From 5f9afdad2d1b4049241598a74df08b61febb185d Mon Sep 17 00:00:00 2001 From: JinWang An Date: Tue, 5 Jan 2021 12:14:37 +0900 Subject: [PATCH] Imported Upstream version 1.4 --- AUTHORS | 12 + CHANGES | 66 +- PKG-INFO | 36 +- Pygments.egg-info/PKG-INFO | 36 +- Pygments.egg-info/SOURCES.txt | 160 +- docs/build/api.html | 2 +- docs/build/authors.html | 14 +- docs/build/changelog.html | 63 +- docs/build/cmdline.html | 2 +- docs/build/filterdevelopment.html | 2 +- docs/build/filters.html | 44 +- docs/build/formatterdevelopment.html | 2 +- docs/build/formatters.html | 10 +- docs/build/index.html | 2 +- docs/build/installation.html | 4 +- docs/build/integrate.html | 2 +- docs/build/lexerdevelopment.html | 6 +- docs/build/lexers.html | 403 +- docs/build/moinmoin.html | 2 +- docs/build/plugins.html | 2 +- docs/build/quickstart.html | 2 +- docs/build/rstdirective.html | 2 +- docs/build/styles.html | 2 +- docs/build/tokens.html | 2 +- docs/build/unicode.html | 2 +- docs/src/installation.txt | 2 +- docs/src/lexerdevelopment.txt | 4 +- docs/src/lexers.txt | 5 + pygments/__init__.py | 4 +- pygments/formatters/html.py | 63 +- pygments/formatters/img.py | 2 +- pygments/formatters/latex.py | 25 +- pygments/lexer.py | 94 +- pygments/lexers/_luabuiltins.py | 11 +- pygments/lexers/_mapping.py | 35 +- pygments/lexers/agile.py | 532 +- pygments/lexers/compiled.py | 163 +- pygments/lexers/dotnet.py | 38 +- pygments/lexers/functional.py | 7 +- pygments/lexers/hdl.py | 135 + pygments/lexers/math.py | 10 +- pygments/lexers/other.py | 638 +- pygments/lexers/templates.py | 206 +- pygments/lexers/text.py | 32 +- pygments/lexers/web.py | 1347 +- pygments/styles/__init__.py | 1 + pygments/token.py | 3 - pygments/util.py | 10 +- scripts/check_sources.py | 4 +- scripts/find_error.py | 2 +- scripts/vim2pygments.py | 4 +- setup.py | 4 +- tests/examplefiles/File.hy | 174 + tests/examplefiles/RegexMatcher.ns2 | 3518 - tests/examplefiles/addressbook.proto | 30 + tests/examplefiles/cells.ps | 515 + tests/examplefiles/classes.dylan | 4 + tests/examplefiles/demo.ahk | 181 + tests/examplefiles/example.ns2 | 69 + tests/examplefiles/flipflop.sv | 19 + tests/examplefiles/import.hs | 4 + tests/examplefiles/intro.ik | 24 + tests/examplefiles/ints.php | 10 + tests/examplefiles/java.properties | 16 + tests/examplefiles/jbst_example1.jbst | 28 + tests/examplefiles/jbst_example2.jbst | 45 + tests/examplefiles/output/ANTLRv3.g | 12741 +++ tests/examplefiles/output/AlternatingGroup.mu | 6270 ++ tests/examplefiles/output/CPDictionary.j | 9439 ++ tests/examplefiles/output/Constants.mo | 6126 ++ tests/examplefiles/output/DancingSudoku.lhs | 16533 ++++ tests/examplefiles/output/Errors.scala | 1674 + tests/examplefiles/output/Intro.java | 61762 ++++++++++++ tests/examplefiles/output/Makefile | 29190 ++++++ tests/examplefiles/output/Object.st | 73362 +++++++++++++++ tests/examplefiles/output/OrderedMap.hx | 13625 +++ tests/examplefiles/output/RegexMatcher.ns2 | 46545 ++++++++++ tests/examplefiles/output/SmallCheck.hs | 16257 ++++ tests/examplefiles/output/Sorting.mod | 14356 +++ tests/examplefiles/output/Sudoku.lhs | 15521 ++++ tests/examplefiles/output/apache2.conf | 6998 ++ tests/examplefiles/output/as3_test.as | 4273 + tests/examplefiles/output/as3_test2.as | 2353 + tests/examplefiles/output/as3_test3.as | 1469 + tests/examplefiles/output/aspx-cs_example | 1786 + tests/examplefiles/output/badcase.java | 1417 + tests/examplefiles/output/batchfile.bat | 2925 + tests/examplefiles/output/boot-9.scm | 36114 ++++++++ tests/examplefiles/output/ceval.c | 61590 ++++++++++++ .../examplefiles/output/cheetah_example.html | 1534 + tests/examplefiles/output/classes.dylan | 2036 + tests/examplefiles/output/condensed_ruby.rb | 2487 + tests/examplefiles/output/database.pytb | 1879 + tests/examplefiles/output/de.MoinMoin.po | 24670 +++++ tests/examplefiles/output/demo.cfm | 2291 + .../output/django_sample.html+django | 4182 + tests/examplefiles/output/dwarf.cw | 1672 + tests/examplefiles/output/erl_session | 1575 + .../examplefiles/output/escape_semicolon.clj | 1445 + tests/examplefiles/output/evil_regex.js | 3097 + tests/examplefiles/output/example.c | 45816 +++++++++ tests/examplefiles/output/example.cpp | 52539 +++++++++++ tests/examplefiles/output/example.lua | 8921 ++ tests/examplefiles/output/example.moo | 2070 + tests/examplefiles/output/example.pas | 77254 ++++++++++++++++ tests/examplefiles/output/example.rb | 36346 ++++++++ tests/examplefiles/output/example.rhtml | 14035 +++ tests/examplefiles/output/example.sh-session | 1536 + tests/examplefiles/output/example.weechatlog | 1477 + tests/examplefiles/output/example.xhtml | 7450 ++ tests/examplefiles/output/example.yaml | 6262 ++ tests/examplefiles/output/example2.aspx | 1851 + tests/examplefiles/output/firefox.mak | 9842 ++ tests/examplefiles/output/format.ml | 40348 ++++++++ tests/examplefiles/output/fucked_up.rb | 2631 + tests/examplefiles/output/functional.rst | 12608 +++ tests/examplefiles/output/genclass.clj | 15877 ++++ .../output/genshi_example.xml+genshi | 7343 ++ .../output/genshitext_example.genshitext | 1932 + tests/examplefiles/output/glsl.frag | 1552 + tests/examplefiles/output/glsl.vert | 1699 + tests/examplefiles/output/html+php_faulty.php | 1362 + tests/examplefiles/output/irb_heredoc | 1456 + .../examplefiles/output/jinjadesignerdoc.rst | 12824 +++ .../examplefiles/output/lighttpd_config.conf | 1643 + tests/examplefiles/output/linecontinuation.py | 1858 + tests/examplefiles/output/ltmain.sh | 48393 ++++++++++ tests/examplefiles/output/main.cmake | 2518 + tests/examplefiles/output/matlab_noreturn | 1425 + tests/examplefiles/output/matlab_sample | 1892 + .../output/matlabsession_sample.txt | 1374 + tests/examplefiles/output/minimal.ns2 | 1637 + .../output/moin_SyntaxReference.txt | 1359 + .../examplefiles/output/multiline_regexes.rb | 1714 + tests/examplefiles/output/nasm_aoutso.asm | 3214 + tests/examplefiles/output/nasm_objexe.asm | 1815 + tests/examplefiles/output/nginx_nginx.conf | 2780 + tests/examplefiles/output/numbers.c | 1644 + tests/examplefiles/output/objc_example.m | 1694 + tests/examplefiles/output/objc_example2.m | 1753 + tests/examplefiles/output/perl_perl5db | 14620 +++ tests/examplefiles/output/perl_regex-delims | 3714 + tests/examplefiles/output/perlfunc.1 | 30315 ++++++ tests/examplefiles/output/phpcomplete.vim | 16465 ++++ tests/examplefiles/output/pleac.in.rb | 30549 ++++++ tests/examplefiles/output/pppoe.applescript | 1606 + tests/examplefiles/output/py3_test.txt | 1374 + tests/examplefiles/output/pycon_test.pycon | 1497 + tests/examplefiles/output/pytb_test2.pytb | 1391 + tests/examplefiles/output/python25-bsd.mak | 6573 ++ tests/examplefiles/output/qsort.prolog | 1905 + .../output/r-console-transcript.Rout | 1723 + tests/examplefiles/output/ragel-cpp_rlscan | 7426 ++ tests/examplefiles/output/ragel-cpp_snippet | 1407 + tests/examplefiles/output/regex.js | 1910 + tests/examplefiles/output/ruby_func_def.rb | 1615 + tests/examplefiles/output/sibling.prolog | 1795 + tests/examplefiles/output/simple.md | 15461 ++++ tests/examplefiles/output/smarty_example.html | 3432 + tests/examplefiles/output/source.lgt | 9968 ++ tests/examplefiles/output/sources.list | 2454 + tests/examplefiles/output/sphere.pov | 1696 + .../output/sqlite3.sqlite3-console | 1638 + tests/examplefiles/output/squid.conf | 1769 + tests/examplefiles/output/string_delimiters.d | 1899 + tests/examplefiles/output/test.R | 4757 + tests/examplefiles/output/test.adb | 6621 ++ tests/examplefiles/output/test.asy | 8079 ++ tests/examplefiles/output/test.bas | 2169 + tests/examplefiles/output/test.boo | 2298 + tests/examplefiles/output/test.cs | 8843 ++ tests/examplefiles/output/test.css | 2260 + tests/examplefiles/output/test.d | 4475 + tests/examplefiles/output/test.erl | 5597 ++ tests/examplefiles/output/test.evoque | 2287 + tests/examplefiles/output/test.flx | 3162 + tests/examplefiles/output/test.html | 29276 ++++++ tests/examplefiles/output/test.java | 14660 +++ tests/examplefiles/output/test.jsp | 2028 + tests/examplefiles/output/test.mod | 6085 ++ tests/examplefiles/output/test.moo | 3472 + tests/examplefiles/output/test.myt | 5759 ++ tests/examplefiles/output/test.pas | 18327 ++++ tests/examplefiles/output/test.php | 11225 +++ tests/examplefiles/output/test.plot | 18708 ++++ tests/examplefiles/output/test.r3 | 2505 + tests/examplefiles/output/test.rb | 4970 + tests/examplefiles/output/test.rhtml | 2368 + tests/examplefiles/output/test.tcsh | 15440 +++ tests/examplefiles/output/test.xsl | 1750 + tests/examplefiles/output/truncated.pytb | 1879 + tests/examplefiles/output/type.lisp | 38261 ++++++++ tests/examplefiles/output/underscore.coffee | 23221 +++++ tests/examplefiles/output/unicode.applescript | 1468 + tests/examplefiles/output/while.pov | 1658 + tests/examplefiles/output/xml_example | 51714 +++++++++++ tests/examplefiles/output/zmlrpc.f90 | 23052 +++++ tests/examplefiles/perl_misc | 62 + tests/examplefiles/stripheredoc.sh | 3 + tests/examplefiles/test.bmx | 145 + tests/examplefiles/test.gdc | 13 + tests/examplefiles/test.ini | 10 + tests/examplefiles/test.maql | 45 + tests/examplefiles/test.scaml | 8 + tests/examplefiles/test.ssp | 12 + tests/examplefiles/test.vb | 407 + tests/examplefiles/test.xqy | 136 + tests/examplefiles/unicodedoc.py | 11 + tests/examplefiles/webkit-transition.css | 3 + tests/examplefiles/wiki.factor | 384 + tests/test_basic_api.py | 4 +- tests/test_examplefiles.py | 40 +- 212 files changed, 1429649 insertions(+), 4046 deletions(-) create mode 100644 pygments/lexers/hdl.py create mode 100644 tests/examplefiles/File.hy delete mode 100644 tests/examplefiles/RegexMatcher.ns2 create mode 100644 tests/examplefiles/addressbook.proto create mode 100644 tests/examplefiles/cells.ps create mode 100644 tests/examplefiles/demo.ahk create mode 100644 tests/examplefiles/example.ns2 create mode 100644 tests/examplefiles/flipflop.sv create mode 100644 tests/examplefiles/import.hs create mode 100644 tests/examplefiles/intro.ik create mode 100644 tests/examplefiles/ints.php create mode 100644 tests/examplefiles/java.properties create mode 100644 tests/examplefiles/jbst_example1.jbst create mode 100644 tests/examplefiles/jbst_example2.jbst create mode 100644 tests/examplefiles/output/ANTLRv3.g create mode 100644 tests/examplefiles/output/AlternatingGroup.mu create mode 100644 tests/examplefiles/output/CPDictionary.j create mode 100644 tests/examplefiles/output/Constants.mo create mode 100644 tests/examplefiles/output/DancingSudoku.lhs create mode 100644 tests/examplefiles/output/Errors.scala create mode 100644 tests/examplefiles/output/Intro.java create mode 100644 tests/examplefiles/output/Makefile create mode 100644 tests/examplefiles/output/Object.st create mode 100644 tests/examplefiles/output/OrderedMap.hx create mode 100644 tests/examplefiles/output/RegexMatcher.ns2 create mode 100644 tests/examplefiles/output/SmallCheck.hs create mode 100644 tests/examplefiles/output/Sorting.mod create mode 100644 tests/examplefiles/output/Sudoku.lhs create mode 100644 tests/examplefiles/output/apache2.conf create mode 100644 tests/examplefiles/output/as3_test.as create mode 100644 tests/examplefiles/output/as3_test2.as create mode 100644 tests/examplefiles/output/as3_test3.as create mode 100644 tests/examplefiles/output/aspx-cs_example create mode 100644 tests/examplefiles/output/badcase.java create mode 100644 tests/examplefiles/output/batchfile.bat create mode 100644 tests/examplefiles/output/boot-9.scm create mode 100644 tests/examplefiles/output/ceval.c create mode 100644 tests/examplefiles/output/cheetah_example.html create mode 100644 tests/examplefiles/output/classes.dylan create mode 100644 tests/examplefiles/output/condensed_ruby.rb create mode 100644 tests/examplefiles/output/database.pytb create mode 100644 tests/examplefiles/output/de.MoinMoin.po create mode 100644 tests/examplefiles/output/demo.cfm create mode 100644 tests/examplefiles/output/django_sample.html+django create mode 100644 tests/examplefiles/output/dwarf.cw create mode 100644 tests/examplefiles/output/erl_session create mode 100644 tests/examplefiles/output/escape_semicolon.clj create mode 100644 tests/examplefiles/output/evil_regex.js create mode 100644 tests/examplefiles/output/example.c create mode 100644 tests/examplefiles/output/example.cpp create mode 100644 tests/examplefiles/output/example.lua create mode 100644 tests/examplefiles/output/example.moo create mode 100644 tests/examplefiles/output/example.pas create mode 100644 tests/examplefiles/output/example.rb create mode 100644 tests/examplefiles/output/example.rhtml create mode 100644 tests/examplefiles/output/example.sh-session create mode 100644 tests/examplefiles/output/example.weechatlog create mode 100644 tests/examplefiles/output/example.xhtml create mode 100644 tests/examplefiles/output/example.yaml create mode 100644 tests/examplefiles/output/example2.aspx create mode 100644 tests/examplefiles/output/firefox.mak create mode 100644 tests/examplefiles/output/format.ml create mode 100644 tests/examplefiles/output/fucked_up.rb create mode 100644 tests/examplefiles/output/functional.rst create mode 100644 tests/examplefiles/output/genclass.clj create mode 100644 tests/examplefiles/output/genshi_example.xml+genshi create mode 100644 tests/examplefiles/output/genshitext_example.genshitext create mode 100644 tests/examplefiles/output/glsl.frag create mode 100644 tests/examplefiles/output/glsl.vert create mode 100644 tests/examplefiles/output/html+php_faulty.php create mode 100644 tests/examplefiles/output/irb_heredoc create mode 100644 tests/examplefiles/output/jinjadesignerdoc.rst create mode 100644 tests/examplefiles/output/lighttpd_config.conf create mode 100644 tests/examplefiles/output/linecontinuation.py create mode 100644 tests/examplefiles/output/ltmain.sh create mode 100644 tests/examplefiles/output/main.cmake create mode 100644 tests/examplefiles/output/matlab_noreturn create mode 100644 tests/examplefiles/output/matlab_sample create mode 100644 tests/examplefiles/output/matlabsession_sample.txt create mode 100644 tests/examplefiles/output/minimal.ns2 create mode 100644 tests/examplefiles/output/moin_SyntaxReference.txt create mode 100644 tests/examplefiles/output/multiline_regexes.rb create mode 100644 tests/examplefiles/output/nasm_aoutso.asm create mode 100644 tests/examplefiles/output/nasm_objexe.asm create mode 100644 tests/examplefiles/output/nginx_nginx.conf create mode 100644 tests/examplefiles/output/numbers.c create mode 100644 tests/examplefiles/output/objc_example.m create mode 100644 tests/examplefiles/output/objc_example2.m create mode 100644 tests/examplefiles/output/perl_perl5db create mode 100644 tests/examplefiles/output/perl_regex-delims create mode 100644 tests/examplefiles/output/perlfunc.1 create mode 100644 tests/examplefiles/output/phpcomplete.vim create mode 100644 tests/examplefiles/output/pleac.in.rb create mode 100644 tests/examplefiles/output/pppoe.applescript create mode 100644 tests/examplefiles/output/py3_test.txt create mode 100644 tests/examplefiles/output/pycon_test.pycon create mode 100644 tests/examplefiles/output/pytb_test2.pytb create mode 100644 tests/examplefiles/output/python25-bsd.mak create mode 100644 tests/examplefiles/output/qsort.prolog create mode 100644 tests/examplefiles/output/r-console-transcript.Rout create mode 100644 tests/examplefiles/output/ragel-cpp_rlscan create mode 100644 tests/examplefiles/output/ragel-cpp_snippet create mode 100644 tests/examplefiles/output/regex.js create mode 100644 tests/examplefiles/output/ruby_func_def.rb create mode 100644 tests/examplefiles/output/sibling.prolog create mode 100644 tests/examplefiles/output/simple.md create mode 100644 tests/examplefiles/output/smarty_example.html create mode 100644 tests/examplefiles/output/source.lgt create mode 100644 tests/examplefiles/output/sources.list create mode 100644 tests/examplefiles/output/sphere.pov create mode 100644 tests/examplefiles/output/sqlite3.sqlite3-console create mode 100644 tests/examplefiles/output/squid.conf create mode 100644 tests/examplefiles/output/string_delimiters.d create mode 100644 tests/examplefiles/output/test.R create mode 100644 tests/examplefiles/output/test.adb create mode 100644 tests/examplefiles/output/test.asy create mode 100644 tests/examplefiles/output/test.bas create mode 100644 tests/examplefiles/output/test.boo create mode 100644 tests/examplefiles/output/test.cs create mode 100644 tests/examplefiles/output/test.css create mode 100644 tests/examplefiles/output/test.d create mode 100644 tests/examplefiles/output/test.erl create mode 100644 tests/examplefiles/output/test.evoque create mode 100644 tests/examplefiles/output/test.flx create mode 100644 tests/examplefiles/output/test.html create mode 100644 tests/examplefiles/output/test.java create mode 100644 tests/examplefiles/output/test.jsp create mode 100644 tests/examplefiles/output/test.mod create mode 100644 tests/examplefiles/output/test.moo create mode 100644 tests/examplefiles/output/test.myt create mode 100644 tests/examplefiles/output/test.pas create mode 100644 tests/examplefiles/output/test.php create mode 100644 tests/examplefiles/output/test.plot create mode 100644 tests/examplefiles/output/test.r3 create mode 100644 tests/examplefiles/output/test.rb create mode 100644 tests/examplefiles/output/test.rhtml create mode 100644 tests/examplefiles/output/test.tcsh create mode 100644 tests/examplefiles/output/test.xsl create mode 100644 tests/examplefiles/output/truncated.pytb create mode 100644 tests/examplefiles/output/type.lisp create mode 100644 tests/examplefiles/output/underscore.coffee create mode 100644 tests/examplefiles/output/unicode.applescript create mode 100644 tests/examplefiles/output/while.pov create mode 100644 tests/examplefiles/output/xml_example create mode 100644 tests/examplefiles/output/zmlrpc.f90 create mode 100644 tests/examplefiles/perl_misc create mode 100644 tests/examplefiles/stripheredoc.sh create mode 100644 tests/examplefiles/test.bmx create mode 100644 tests/examplefiles/test.gdc create mode 100644 tests/examplefiles/test.ini create mode 100644 tests/examplefiles/test.maql create mode 100644 tests/examplefiles/test.scaml create mode 100644 tests/examplefiles/test.ssp create mode 100644 tests/examplefiles/test.vb create mode 100644 tests/examplefiles/test.xqy create mode 100644 tests/examplefiles/unicodedoc.py create mode 100644 tests/examplefiles/webkit-transition.css create mode 100644 tests/examplefiles/wiki.factor diff --git a/AUTHORS b/AUTHORS index d102686..07235bd 100644 --- a/AUTHORS +++ b/AUTHORS @@ -5,6 +5,7 @@ Major developers are Tim Hatch and Armin Ronacher Other contributors, listed alphabetically, are: +* Sam Aaron -- Ioke lexer * Kumar Appaiah -- Debian control lexer * Ali Afshar -- image formatter * Andreas Amann -- AppleScript lexer @@ -14,15 +15,19 @@ Other contributors, listed alphabetically, are: * Max Battcher -- Darcs patch lexer * Paul Baumgart, 280 North, Inc. -- Objective-J lexer * Michael Bayer -- Myghty lexers +* John Benediktsson -- Factor lexer * Jarrett Billingsley -- MiniD lexer * Adam Blinkinsop -- Haskell, Redcode lexers * Frits van Bommel -- assembler lexers * Pierre Bourdon -- bugfixes +* Hiram Chirino -- Scaml and Jade lexers * Christopher Creutzig -- MuPAD lexer * Pete Curry -- bugfixes * Owen Durni -- haXe lexer * Nick Efford -- Python 3 lexer * Artem Egorkine -- terminal256 formatter +* James H. Fisher -- PostScript lexer +* Naveen Garg - Autohotkey lexer * Laurent Gautier -- R/S lexer * Krzysiek Goj -- Scala lexer * Matt Good -- Genshi, Cheetah lexers @@ -33,6 +38,8 @@ Other contributors, listed alphabetically, are: * Aslak Hellesøy -- Gherkin lexer * David Hess, Fish Software, Inc. -- Objective-J lexer * Varun Hiremath -- Debian control lexer +* Ben Hollis -- Mason lexer +* Tim Howard -- BlitzMax lexer * Dennis Kaarsemaker -- sources.list lexer * Benjamin Kowarsch -- Modula-2 lexer * Marek Kubica -- Scheme lexer @@ -40,7 +47,9 @@ Other contributors, listed alphabetically, are: * Gerd Kurzbach -- Modelica lexer * Mark Lee -- Vala lexer * Ben Mabey -- Gherkin lexer +* Simone Margaritelli -- Hybris lexer * Kirk McDonald -- D lexer +* Stephen McKamey -- Duel/JBST lexer * Lukas Meuser -- BBCode formatter, Lua lexer * Paulo Moura -- Logtalk lexer * Ana Nelson -- Ragel, ANTLR, R console lexers @@ -48,9 +57,11 @@ Other contributors, listed alphabetically, are: * Jesper Noehr -- HTML formatter "anchorlinenos" * Jonas Obrist -- BBCode lexer * David Oliva -- Rebol lexer +* Jon Parise -- Protocol buffers lexer * Ronny Pfannschmidt -- BBCode lexer * Benjamin Peterson -- Test suite refactoring * Justin Reidy -- MXML lexer +* Lubomir Rintel -- GoodData MAQL and CL lexers * Andre Roberge -- Tango style * Konrad Rudolph -- LaTeX formatter enhancements * Mario Ruggier -- Evoque lexers @@ -61,6 +72,7 @@ Other contributors, listed alphabetically, are: * Tassilo Schweyer -- Io, MOOCode lexers * Joerg Sieker -- ABAP lexer * Kirill Simonov -- YAML lexer +* Steve Spigarelli -- XQuery lexer * Tiberius Teng -- default style overhaul * Jeremy Thurgood -- Erlang, Squid config lexers * Erick Tryzelaar -- Felix lexer diff --git a/CHANGES b/CHANGES index 991ad67..60090be 100644 --- a/CHANGES +++ b/CHANGES @@ -1,11 +1,73 @@ Pygments changelog ================== -Issue numbers refer to the tracker at http://dev.pocoo.org/projects/pygments/. +Issue numbers refer to the tracker at +http://bitbucket.org/birkenfeld/pygments-main/issues. Version 1.4 ----------- -(in development) +(codename Unschärfe, released Jan 03, 2010) + +- Lexers added: + + * Factor (#520) + * PostScript (#486) + * Verilog (#491) + * BlitzMax Basic (#478) + * Ioke (#465) + * Java properties, split out of the INI lexer (#445) + * Scss (#509) + * Duel/JBST + * XQuery (#617) + * Mason (#615) + * GoodData (#609) + * SSP (#473) + * Autohotkey (#417) + * Google Protocol Buffers + * Hybris (#506) + +- Do not fail in analyse_text methods (#618). + +- Performance improvements in the HTML formatter (#523). + +- With the ``noclasses`` option in the HTML formatter, some styles + present in the stylesheet were not added as inline styles. + +- Four fixes to the Lua lexer (#480, #481, #482, #497). + +- More context-sensitive Gherkin lexer with support for more i18n translations. + +- Support new OO keywords in Matlab lexer (#521). + +- Small fix in the CoffeeScript lexer (#519). + +- A bugfix for backslashes in ocaml strings (#499). + +- Fix unicode/raw docstrings in the Python lexer (#489). + +- Allow PIL to work without PIL.pth (#502). + +- Allow seconds as a unit in CSS (#496). + +- Support ``application/javascript`` as a JavaScript mime type (#504). + +- Support `Offload `_ C++ Extensions as + keywords in the C++ lexer (#484). + +- Escape more characters in LaTeX output (#505). + +- Update Haml/Sass lexers to version 3 (#509). + +- Small PHP lexer string escaping fix (#515). + +- Support comments before preprocessor directives, and unsigned/ + long long literals in C/C++ (#613, #616). + +- Support line continuations in the INI lexer (#494). + +- Fix lexing of Dylan string and char literals (#628). + +- Fix class/procedure name highlighting in VB.NET lexer (#624). Version 1.3.1 diff --git a/PKG-INFO b/PKG-INFO index bcad639..332c5dc 100644 --- a/PKG-INFO +++ b/PKG-INFO @@ -1,35 +1,35 @@ Metadata-Version: 1.0 Name: Pygments -Version: 1.3.1 +Version: 1.4 Summary: Pygments is a syntax highlighting package written in Python. Home-page: http://pygments.org/ Author: Georg Brandl Author-email: georg@python.org License: BSD License Description: - Pygments - ~~~~~~~~ + Pygments + ~~~~~~~~ - Pygments is a syntax highlighting package written in Python. + Pygments is a syntax highlighting package written in Python. - It is a generic syntax highlighter for general use in all kinds of software - such as forum systems, wikis or other applications that need to prettify - source code. Highlights are: + It is a generic syntax highlighter for general use in all kinds of software + such as forum systems, wikis or other applications that need to prettify + source code. Highlights are: - * a wide range of common languages and markup formats is supported - * special attention is paid to details, increasing quality by a fair amount - * support for new languages and formats are added easily - * a number of output formats, presently HTML, LaTeX, RTF, SVG, all image formats that PIL supports and ANSI sequences - * it is usable as a command-line tool and as a library - * ... and it highlights even Brainfuck! + * a wide range of common languages and markup formats is supported + * special attention is paid to details, increasing quality by a fair amount + * support for new languages and formats are added easily + * a number of output formats, presently HTML, LaTeX, RTF, SVG, all image formats that PIL supports and ANSI sequences + * it is usable as a command-line tool and as a library + * ... and it highlights even Brainfuck! - The `Pygments tip`_ is installable with ``easy_install Pygments==dev``. + The `Pygments tip`_ is installable with ``easy_install Pygments==dev``. - .. _Pygments tip: - http://dev.pocoo.org/hg/pygments-main/archive/tip.tar.gz#egg=Pygments-dev + .. _Pygments tip: + http://bitbucket.org/birkenfeld/pygments-main/get/tip.zip#egg=Pygments-dev - :copyright: Copyright 2006-2010 by the Pygments team, see AUTHORS. - :license: BSD, see LICENSE for details. + :copyright: Copyright 2006-2010 by the Pygments team, see AUTHORS. + :license: BSD, see LICENSE for details. Keywords: syntax highlighting Platform: any diff --git a/Pygments.egg-info/PKG-INFO b/Pygments.egg-info/PKG-INFO index bcad639..332c5dc 100644 --- a/Pygments.egg-info/PKG-INFO +++ b/Pygments.egg-info/PKG-INFO @@ -1,35 +1,35 @@ Metadata-Version: 1.0 Name: Pygments -Version: 1.3.1 +Version: 1.4 Summary: Pygments is a syntax highlighting package written in Python. Home-page: http://pygments.org/ Author: Georg Brandl Author-email: georg@python.org License: BSD License Description: - Pygments - ~~~~~~~~ + Pygments + ~~~~~~~~ - Pygments is a syntax highlighting package written in Python. + Pygments is a syntax highlighting package written in Python. - It is a generic syntax highlighter for general use in all kinds of software - such as forum systems, wikis or other applications that need to prettify - source code. Highlights are: + It is a generic syntax highlighter for general use in all kinds of software + such as forum systems, wikis or other applications that need to prettify + source code. Highlights are: - * a wide range of common languages and markup formats is supported - * special attention is paid to details, increasing quality by a fair amount - * support for new languages and formats are added easily - * a number of output formats, presently HTML, LaTeX, RTF, SVG, all image formats that PIL supports and ANSI sequences - * it is usable as a command-line tool and as a library - * ... and it highlights even Brainfuck! + * a wide range of common languages and markup formats is supported + * special attention is paid to details, increasing quality by a fair amount + * support for new languages and formats are added easily + * a number of output formats, presently HTML, LaTeX, RTF, SVG, all image formats that PIL supports and ANSI sequences + * it is usable as a command-line tool and as a library + * ... and it highlights even Brainfuck! - The `Pygments tip`_ is installable with ``easy_install Pygments==dev``. + The `Pygments tip`_ is installable with ``easy_install Pygments==dev``. - .. _Pygments tip: - http://dev.pocoo.org/hg/pygments-main/archive/tip.tar.gz#egg=Pygments-dev + .. _Pygments tip: + http://bitbucket.org/birkenfeld/pygments-main/get/tip.zip#egg=Pygments-dev - :copyright: Copyright 2006-2010 by the Pygments team, see AUTHORS. - :license: BSD, see LICENSE for details. + :copyright: Copyright 2006-2010 by the Pygments team, see AUTHORS. + :license: BSD, see LICENSE for details. Keywords: syntax highlighting Platform: any diff --git a/Pygments.egg-info/SOURCES.txt b/Pygments.egg-info/SOURCES.txt index 08bebb9..b3f065b 100644 --- a/Pygments.egg-info/SOURCES.txt +++ b/Pygments.egg-info/SOURCES.txt @@ -97,6 +97,7 @@ pygments/lexers/asm.py pygments/lexers/compiled.py pygments/lexers/dotnet.py pygments/lexers/functional.py +pygments/lexers/hdl.py pygments/lexers/math.py pygments/lexers/other.py pygments/lexers/parsers.py @@ -159,14 +160,15 @@ tests/examplefiles/CPDictionary.j tests/examplefiles/Constants.mo tests/examplefiles/DancingSudoku.lhs tests/examplefiles/Errors.scala +tests/examplefiles/File.hy tests/examplefiles/Intro.java tests/examplefiles/Makefile tests/examplefiles/Object.st tests/examplefiles/OrderedMap.hx -tests/examplefiles/RegexMatcher.ns2 tests/examplefiles/SmallCheck.hs tests/examplefiles/Sorting.mod tests/examplefiles/Sudoku.lhs +tests/examplefiles/addressbook.proto tests/examplefiles/apache2.conf tests/examplefiles/as3_test.as tests/examplefiles/as3_test2.as @@ -175,12 +177,14 @@ tests/examplefiles/aspx-cs_example tests/examplefiles/badcase.java tests/examplefiles/batchfile.bat tests/examplefiles/boot-9.scm +tests/examplefiles/cells.ps tests/examplefiles/ceval.c tests/examplefiles/cheetah_example.html tests/examplefiles/classes.dylan tests/examplefiles/condensed_ruby.rb tests/examplefiles/database.pytb tests/examplefiles/de.MoinMoin.po +tests/examplefiles/demo.ahk tests/examplefiles/demo.cfm tests/examplefiles/django_sample.html+django tests/examplefiles/dwarf.cw @@ -191,6 +195,7 @@ tests/examplefiles/example.c tests/examplefiles/example.cpp tests/examplefiles/example.lua tests/examplefiles/example.moo +tests/examplefiles/example.ns2 tests/examplefiles/example.pas tests/examplefiles/example.rb tests/examplefiles/example.rhtml @@ -200,6 +205,7 @@ tests/examplefiles/example.xhtml tests/examplefiles/example.yaml tests/examplefiles/example2.aspx tests/examplefiles/firefox.mak +tests/examplefiles/flipflop.sv tests/examplefiles/format.ml tests/examplefiles/fucked_up.rb tests/examplefiles/functional.rst @@ -209,7 +215,13 @@ tests/examplefiles/genshitext_example.genshitext tests/examplefiles/glsl.frag tests/examplefiles/glsl.vert tests/examplefiles/html+php_faulty.php +tests/examplefiles/import.hs +tests/examplefiles/intro.ik +tests/examplefiles/ints.php tests/examplefiles/irb_heredoc +tests/examplefiles/java.properties +tests/examplefiles/jbst_example1.jbst +tests/examplefiles/jbst_example2.jbst tests/examplefiles/jinjadesignerdoc.rst tests/examplefiles/lighttpd_config.conf tests/examplefiles/linecontinuation.py @@ -227,6 +239,7 @@ tests/examplefiles/nginx_nginx.conf tests/examplefiles/numbers.c tests/examplefiles/objc_example.m tests/examplefiles/objc_example2.m +tests/examplefiles/perl_misc tests/examplefiles/perl_perl5db tests/examplefiles/perl_regex-delims tests/examplefiles/perlfunc.1 @@ -252,10 +265,12 @@ tests/examplefiles/sphere.pov tests/examplefiles/sqlite3.sqlite3-console tests/examplefiles/squid.conf tests/examplefiles/string_delimiters.d +tests/examplefiles/stripheredoc.sh tests/examplefiles/test.R tests/examplefiles/test.adb tests/examplefiles/test.asy tests/examplefiles/test.bas +tests/examplefiles/test.bmx tests/examplefiles/test.boo tests/examplefiles/test.cs tests/examplefiles/test.css @@ -263,9 +278,12 @@ tests/examplefiles/test.d tests/examplefiles/test.erl tests/examplefiles/test.evoque tests/examplefiles/test.flx +tests/examplefiles/test.gdc tests/examplefiles/test.html +tests/examplefiles/test.ini tests/examplefiles/test.java tests/examplefiles/test.jsp +tests/examplefiles/test.maql tests/examplefiles/test.mod tests/examplefiles/test.moo tests/examplefiles/test.myt @@ -275,12 +293,150 @@ tests/examplefiles/test.plot tests/examplefiles/test.r3 tests/examplefiles/test.rb tests/examplefiles/test.rhtml +tests/examplefiles/test.scaml +tests/examplefiles/test.ssp tests/examplefiles/test.tcsh +tests/examplefiles/test.vb +tests/examplefiles/test.xqy tests/examplefiles/test.xsl tests/examplefiles/truncated.pytb tests/examplefiles/type.lisp tests/examplefiles/underscore.coffee tests/examplefiles/unicode.applescript +tests/examplefiles/unicodedoc.py +tests/examplefiles/webkit-transition.css tests/examplefiles/while.pov +tests/examplefiles/wiki.factor tests/examplefiles/xml_example -tests/examplefiles/zmlrpc.f90 \ No newline at end of file +tests/examplefiles/zmlrpc.f90 +tests/examplefiles/output/ANTLRv3.g +tests/examplefiles/output/AlternatingGroup.mu +tests/examplefiles/output/CPDictionary.j +tests/examplefiles/output/Constants.mo +tests/examplefiles/output/DancingSudoku.lhs +tests/examplefiles/output/Errors.scala +tests/examplefiles/output/Intro.java +tests/examplefiles/output/Makefile +tests/examplefiles/output/Object.st +tests/examplefiles/output/OrderedMap.hx +tests/examplefiles/output/RegexMatcher.ns2 +tests/examplefiles/output/SmallCheck.hs +tests/examplefiles/output/Sorting.mod +tests/examplefiles/output/Sudoku.lhs +tests/examplefiles/output/apache2.conf +tests/examplefiles/output/as3_test.as +tests/examplefiles/output/as3_test2.as +tests/examplefiles/output/as3_test3.as +tests/examplefiles/output/aspx-cs_example +tests/examplefiles/output/badcase.java +tests/examplefiles/output/batchfile.bat +tests/examplefiles/output/boot-9.scm +tests/examplefiles/output/ceval.c +tests/examplefiles/output/cheetah_example.html +tests/examplefiles/output/classes.dylan +tests/examplefiles/output/condensed_ruby.rb +tests/examplefiles/output/database.pytb +tests/examplefiles/output/de.MoinMoin.po +tests/examplefiles/output/demo.cfm +tests/examplefiles/output/django_sample.html+django +tests/examplefiles/output/dwarf.cw +tests/examplefiles/output/erl_session +tests/examplefiles/output/escape_semicolon.clj +tests/examplefiles/output/evil_regex.js +tests/examplefiles/output/example.c +tests/examplefiles/output/example.cpp +tests/examplefiles/output/example.lua +tests/examplefiles/output/example.moo +tests/examplefiles/output/example.pas +tests/examplefiles/output/example.rb +tests/examplefiles/output/example.rhtml +tests/examplefiles/output/example.sh-session +tests/examplefiles/output/example.weechatlog +tests/examplefiles/output/example.xhtml +tests/examplefiles/output/example.yaml +tests/examplefiles/output/example2.aspx +tests/examplefiles/output/firefox.mak +tests/examplefiles/output/format.ml +tests/examplefiles/output/fucked_up.rb +tests/examplefiles/output/functional.rst +tests/examplefiles/output/genclass.clj +tests/examplefiles/output/genshi_example.xml+genshi +tests/examplefiles/output/genshitext_example.genshitext +tests/examplefiles/output/glsl.frag +tests/examplefiles/output/glsl.vert +tests/examplefiles/output/html+php_faulty.php +tests/examplefiles/output/irb_heredoc +tests/examplefiles/output/jinjadesignerdoc.rst +tests/examplefiles/output/lighttpd_config.conf +tests/examplefiles/output/linecontinuation.py +tests/examplefiles/output/ltmain.sh +tests/examplefiles/output/main.cmake +tests/examplefiles/output/matlab_noreturn +tests/examplefiles/output/matlab_sample +tests/examplefiles/output/matlabsession_sample.txt +tests/examplefiles/output/minimal.ns2 +tests/examplefiles/output/moin_SyntaxReference.txt +tests/examplefiles/output/multiline_regexes.rb +tests/examplefiles/output/nasm_aoutso.asm +tests/examplefiles/output/nasm_objexe.asm +tests/examplefiles/output/nginx_nginx.conf +tests/examplefiles/output/numbers.c +tests/examplefiles/output/objc_example.m +tests/examplefiles/output/objc_example2.m +tests/examplefiles/output/perl_perl5db +tests/examplefiles/output/perl_regex-delims +tests/examplefiles/output/perlfunc.1 +tests/examplefiles/output/phpcomplete.vim +tests/examplefiles/output/pleac.in.rb +tests/examplefiles/output/pppoe.applescript +tests/examplefiles/output/py3_test.txt +tests/examplefiles/output/pycon_test.pycon +tests/examplefiles/output/pytb_test2.pytb +tests/examplefiles/output/python25-bsd.mak +tests/examplefiles/output/qsort.prolog +tests/examplefiles/output/r-console-transcript.Rout +tests/examplefiles/output/ragel-cpp_rlscan +tests/examplefiles/output/ragel-cpp_snippet +tests/examplefiles/output/regex.js +tests/examplefiles/output/ruby_func_def.rb +tests/examplefiles/output/sibling.prolog +tests/examplefiles/output/simple.md +tests/examplefiles/output/smarty_example.html +tests/examplefiles/output/source.lgt +tests/examplefiles/output/sources.list +tests/examplefiles/output/sphere.pov +tests/examplefiles/output/sqlite3.sqlite3-console +tests/examplefiles/output/squid.conf +tests/examplefiles/output/string_delimiters.d +tests/examplefiles/output/test.R +tests/examplefiles/output/test.adb +tests/examplefiles/output/test.asy +tests/examplefiles/output/test.bas +tests/examplefiles/output/test.boo +tests/examplefiles/output/test.cs +tests/examplefiles/output/test.css +tests/examplefiles/output/test.d +tests/examplefiles/output/test.erl +tests/examplefiles/output/test.evoque +tests/examplefiles/output/test.flx +tests/examplefiles/output/test.html +tests/examplefiles/output/test.java +tests/examplefiles/output/test.jsp +tests/examplefiles/output/test.mod +tests/examplefiles/output/test.moo +tests/examplefiles/output/test.myt +tests/examplefiles/output/test.pas +tests/examplefiles/output/test.php +tests/examplefiles/output/test.plot +tests/examplefiles/output/test.r3 +tests/examplefiles/output/test.rb +tests/examplefiles/output/test.rhtml +tests/examplefiles/output/test.tcsh +tests/examplefiles/output/test.xsl +tests/examplefiles/output/truncated.pytb +tests/examplefiles/output/type.lisp +tests/examplefiles/output/underscore.coffee +tests/examplefiles/output/unicode.applescript +tests/examplefiles/output/while.pov +tests/examplefiles/output/xml_example +tests/examplefiles/output/zmlrpc.f90 \ No newline at end of file diff --git a/docs/build/api.html b/docs/build/api.html index 3ca8e31..42a6f71 100644 --- a/docs/build/api.html +++ b/docs/build/api.html @@ -453,6 +453,6 @@ or a tuple, it is returned as a list. - \ No newline at end of file diff --git a/docs/build/authors.html b/docs/build/authors.html index a2ce275..6fb30e6 100644 --- a/docs/build/authors.html +++ b/docs/build/authors.html @@ -214,6 +214,7 @@ div.toc h2 { <armin.ronacher@active-4.com>.

Other contributors, listed alphabetically, are:

    +
  • Sam Aaron -- Ioke lexer
  • Kumar Appaiah -- Debian control lexer
  • Ali Afshar -- image formatter
  • Andreas Amann -- AppleScript lexer
  • @@ -223,15 +224,19 @@ div.toc h2 {
  • Max Battcher -- Darcs patch lexer
  • Paul Baumgart, 280 North, Inc. -- Objective-J lexer
  • Michael Bayer -- Myghty lexers
  • +
  • John Benediktsson -- Factor lexer
  • Jarrett Billingsley -- MiniD lexer
  • Adam Blinkinsop -- Haskell, Redcode lexers
  • Frits van Bommel -- assembler lexers
  • Pierre Bourdon -- bugfixes
  • +
  • Hiram Chirino -- Scaml and Jade lexers
  • Christopher Creutzig -- MuPAD lexer
  • Pete Curry -- bugfixes
  • Owen Durni -- haXe lexer
  • Nick Efford -- Python 3 lexer
  • Artem Egorkine -- terminal256 formatter
  • +
  • James H. Fisher -- PostScript lexer
  • +
  • Naveen Garg - Autohotkey lexer
  • Laurent Gautier -- R/S lexer
  • Krzysiek Goj -- Scala lexer
  • Matt Good -- Genshi, Cheetah lexers
  • @@ -242,6 +247,8 @@ div.toc h2 {
  • Aslak Hellesøy -- Gherkin lexer
  • David Hess, Fish Software, Inc. -- Objective-J lexer
  • Varun Hiremath -- Debian control lexer
  • +
  • Ben Hollis -- Mason lexer
  • +
  • Tim Howard -- BlitzMax lexer
  • Dennis Kaarsemaker -- sources.list lexer
  • Benjamin Kowarsch -- Modula-2 lexer
  • Marek Kubica -- Scheme lexer
  • @@ -249,7 +256,9 @@ div.toc h2 {
  • Gerd Kurzbach -- Modelica lexer
  • Mark Lee -- Vala lexer
  • Ben Mabey -- Gherkin lexer
  • +
  • Simone Margaritelli -- Hybris lexer
  • Kirk McDonald -- D lexer
  • +
  • Stephen McKamey -- Duel/JBST lexer
  • Lukas Meuser -- BBCode formatter, Lua lexer
  • Paulo Moura -- Logtalk lexer
  • Ana Nelson -- Ragel, ANTLR, R console lexers
  • @@ -257,9 +266,11 @@ div.toc h2 {
  • Jesper Noehr -- HTML formatter "anchorlinenos"
  • Jonas Obrist -- BBCode lexer
  • David Oliva -- Rebol lexer
  • +
  • Jon Parise -- Protocol buffers lexer
  • Ronny Pfannschmidt -- BBCode lexer
  • Benjamin Peterson -- Test suite refactoring
  • Justin Reidy -- MXML lexer
  • +
  • Lubomir Rintel -- GoodData MAQL and CL lexers
  • Andre Roberge -- Tango style
  • Konrad Rudolph -- LaTeX formatter enhancements
  • Mario Ruggier -- Evoque lexers
  • @@ -270,6 +281,7 @@ div.toc h2 {
  • Tassilo Schweyer -- Io, MOOCode lexers
  • Joerg Sieker -- ABAP lexer
  • Kirill Simonov -- YAML lexer
  • +
  • Steve Spigarelli -- XQuery lexer
  • Tiberius Teng -- default style overhaul
  • Jeremy Thurgood -- Erlang, Squid config lexers
  • Erick Tryzelaar -- Felix lexer
  • @@ -283,6 +295,6 @@ div.toc h2 { - \ No newline at end of file diff --git a/docs/build/changelog.html b/docs/build/changelog.html index 0177758..a785d5f 100644 --- a/docs/build/changelog.html +++ b/docs/build/changelog.html @@ -213,6 +213,10 @@ div.toc h2 {

    Contents

    -

    Issue numbers refer to the tracker at http://dev.pocoo.org/projects/pygments/.

    +

    Issue numbers refer to the tracker at +http://bitbucket.org/birkenfeld/pygments-main/issues.

    +
    +

    Version 1.4

    +

    (codename Unschärfe, released Jan 03, 2010)

    +
      +
    • Lexers added:
        +
      • Factor (#520)
      • +
      • PostScript (#486)
      • +
      • Verilog (#491)
      • +
      • BlitzMax Basic (#478)
      • +
      • Ioke (#465)
      • +
      • Java properties, split out of the INI lexer (#445)
      • +
      • Scss (#509)
      • +
      • Duel/JBST
      • +
      • XQuery (#617)
      • +
      • Mason (#615)
      • +
      • GoodData (#609)
      • +
      • SSP (#473)
      • +
      • Autohotkey (#417)
      • +
      • Google Protocol Buffers
      • +
      • Hybris (#506)
      • +
      +
    • +
    • Do not fail in analyse_text methods (#618).
    • +
    • Performance improvements in the HTML formatter (#523).
    • +
    • With the noclasses option in the HTML formatter, some styles +present in the stylesheet were not added as inline styles.
    • +
    • Four fixes to the Lua lexer (#480, #481, #482, #497).
    • +
    • More context-sensitive Gherkin lexer with support for more i18n translations.
    • +
    • Support new OO keywords in Matlab lexer (#521).
    • +
    • Small fix in the CoffeeScript lexer (#519).
    • +
    • A bugfix for backslashes in ocaml strings (#499).
    • +
    • Fix unicode/raw docstrings in the Python lexer (#489).
    • +
    • Allow PIL to work without PIL.pth (#502).
    • +
    • Allow seconds as a unit in CSS (#496).
    • +
    • Support application/javascript as a JavaScript mime type (#504).
    • +
    • Support Offload C++ Extensions as +keywords in the C++ lexer (#484).
    • +
    • Escape more characters in LaTeX output (#505).
    • +
    • Update Haml/Sass lexers to version 3 (#509).
    • +
    • Small PHP lexer string escaping fix (#515).
    • +
    • Support comments before preprocessor directives, and unsigned/ +long long literals in C/C++ (#613, #616).
    • +
    • Support line continuations in the INI lexer (#494).
    • +
    • Fix lexing of Dylan string and char literals (#628).
    • +
    • Fix class/procedure name highlighting in VB.NET lexer (#624).
    • +
    +
    +
    +

    Version 1.3.1

    +

    (bugfix release, released Mar 05, 2010)

    +
      +
    • The pygmentize script was missing from the distribution.
    • +
    +

    Version 1.3

    (codename Schneeglöckchen, released Mar 01, 2010)

    @@ -737,6 +796,6 @@ continuations.
    - \ No newline at end of file diff --git a/docs/build/cmdline.html b/docs/build/cmdline.html index 6db3dd6..56acb3f 100644 --- a/docs/build/cmdline.html +++ b/docs/build/cmdline.html @@ -348,6 +348,6 @@ formatter is the terminal encoding (sys.stdout.encoding). - \ No newline at end of file diff --git a/docs/build/filterdevelopment.html b/docs/build/filterdevelopment.html index f6de0c3..09ad053 100644 --- a/docs/build/filterdevelopment.html +++ b/docs/build/filterdevelopment.html @@ -277,6 +277,6 @@ decorated function for filtering.

    - \ No newline at end of file diff --git a/docs/build/filters.html b/docs/build/filters.html index e370f46..5a90ac0 100644 --- a/docs/build/filters.html +++ b/docs/build/filters.html @@ -312,27 +312,6 @@ lexer.

    -

    GobbleFilter

    -
    -

    Gobbles source code lines (eats initial characters).

    -

    This filter drops the first n characters off every line of code. This -may be useful when the source code fed to the lexer is indented by a fixed -amount of space that isn't desired in the output.

    -

    Options accepted:

    -
    -
    n : int
    -
    The number of characters to gobble.
    -
    -

    New in Pygments 1.2.

    - --- - - - -
    Name:gobble
    -

    NameHighlightFilter

    Highlight a normal Name token with a different token type.

    @@ -364,6 +343,27 @@ used for highlighting the strings in names. The default is
    +

    GobbleFilter

    +
    +

    Gobbles source code lines (eats initial characters).

    +

    This filter drops the first n characters off every line of code. This +may be useful when the source code fed to the lexer is indented by a fixed +amount of space that isn't desired in the output.

    +

    Options accepted:

    +
    +
    n : int
    +
    The number of characters to gobble.
    +
    +

    New in Pygments 1.2.

    + +++ + + + +
    Name:gobble
    +

    CodeTagFilter

    Highlight special code tags in comments and docstrings.

    @@ -407,6 +407,6 @@ code to your styleguide.

    - \ No newline at end of file diff --git a/docs/build/formatterdevelopment.html b/docs/build/formatterdevelopment.html index 8a27246..60724ca 100644 --- a/docs/build/formatterdevelopment.html +++ b/docs/build/formatterdevelopment.html @@ -369,6 +369,6 @@ is up to the formatter) and has to return a string or Verbatim environment, like this:

    -
    \begin{Verbatim}[commandchars=@\[\]]
    -@PY[k][def ]@PY[n+nf][foo](@PY[n][bar]):
    -    @PY[k][pass]
    +
    \begin{Verbatim}[commandchars=\\{\}]
    +\PY{k}{def }\PY{n+nf}{foo}(\PY{n}{bar}):
    +    \PY{k}{pass}
     \end{Verbatim}
     
    -

    The special command used here (@PY) and all the other macros it needs +

    The special command used here (\PY) and all the other macros it needs are output by the get_style_defs method.

    With the full option, a complete LaTeX document is output, including the command definitions in the preamble.

    @@ -903,6 +903,6 @@ no support for common styles.

    - \ No newline at end of file diff --git a/docs/build/index.html b/docs/build/index.html index ec386e8..022a077 100644 --- a/docs/build/index.html +++ b/docs/build/index.html @@ -256,6 +256,6 @@ look here - \ No newline at end of file diff --git a/docs/build/installation.html b/docs/build/installation.html index e7ef9c4..874f439 100644 --- a/docs/build/installation.html +++ b/docs/build/installation.html @@ -254,7 +254,7 @@ internet connection.

    If you want to play around with the code

    1. Install Mercurial
    2. -
    3. hg clone http://dev.pocoo.org/hg/pygments-main pygments
    4. +
    5. hg clone http://bitbucket.org/birkenfeld/pygments-main pygments
    6. cd pygments
    7. ln -s pygments /usr/lib/python2.X/site-packages
    8. ln -s pygmentize /usr/local/bin
    9. @@ -276,6 +276,6 @@ is run, the sources are updated from Subversion. --> - \ No newline at end of file diff --git a/docs/build/integrate.html b/docs/build/integrate.html index 06773ec..37f6bba 100644 --- a/docs/build/integrate.html +++ b/docs/build/integrate.html @@ -257,6 +257,6 @@ sets up completion for the pygmentize command - \ No newline at end of file diff --git a/docs/build/lexerdevelopment.html b/docs/build/lexerdevelopment.html index c7d5207..c7b5171 100644 --- a/docs/build/lexerdevelopment.html +++ b/docs/build/lexerdevelopment.html @@ -607,7 +607,7 @@ match object. Note that after the callback is done, processing continues normally, that is, after the end of the previous match. The callback has no possibility to influence the position.

      There are not really any simple examples for lexer callbacks, but you can see -them in action e.g. in the compiled.py source code in the CLexer and +them in action e.g. in the compiled.py source code in the CLexer and JavaLexer classes.

      @@ -655,7 +655,7 @@ For example, this is how the hypothetical lexer above would be written with the }

      This might sound confusing (and it can really be). But it is needed, and for an -example look at the Ruby lexer in agile.py.

      +example look at the Ruby lexer in agile.py.

      Filtering Token Streams

      @@ -686,6 +686,6 @@ the get_tokens_unprocessed() method. The follo
      - \ No newline at end of file diff --git a/docs/build/lexers.html b/docs/build/lexers.html index 84c55e8..7ab6877 100644 --- a/docs/build/lexers.html +++ b/docs/build/lexers.html @@ -223,6 +223,8 @@ div.toc h2 {
    10. Lexers for functional languages
    11. +
    12. Lexers for hardware descriptor languages
    13. +
    14. Lexers for math languages
    15. Lexers for other languages
    16. @@ -251,6 +253,10 @@ div.toc h2 {
      stripall
      Strip all leading and trailing whitespace from the input (default: False).
      +
      ensurenl
      +
      Make sure that the input ends with a newline (default: True). This +is required for some lexers that consume input linewise. +New in Pygments 1.3.
      tabsize
      If given and greater than 0, expand tabs in the input (default: 0).
      encoding
      @@ -286,6 +292,23 @@ guess the encoding of the input.

    +

    FactorLexer

    +
    +

    Lexer for the Factor language.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:factor
    Filename patterns:*.factor
    Mimetypes:text/x-factor
    +

    IoLexer

    For Io (a small, prototype-based @@ -304,6 +327,24 @@ programming language) source.

    +

    IokeLexer

    +
    +

    For Ioke (a strongly typed, dynamic, +prototype based programming language) source.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:ioke, ik
    Filename patterns:*.ik
    Mimetypes:text/x-iokesrc
    +

    LuaLexer

    For Lua source code.

    @@ -329,7 +370,7 @@ should not be highlighted. By default all modules are highlighted.

    Short names:lua -Filename patterns:*.lua +Filename patterns:*.lua, *.wlua Mimetypes:text/x-lua, application/x-lua @@ -411,8 +452,8 @@ language) source.

    foo >>> 1 / 0 Traceback (most recent call last): - File "<stdin>", line 1, in <module> -ZeroDivisionError: integer division or modulo by zero + File "<stdin>", line 1, in <module> +ZeroDivisionError: integer division or modulo by zero

    Additional options:

    @@ -495,9 +536,9 @@ language) source.

    -Short names:rb, ruby +Short names:rb, ruby, duby -Filename patterns:*.rb, *.rbw, Rakefile, *.rake, *.gemspec, *.rbx +Filename patterns:*.rb, *.rbw, Rakefile, *.rake, *.gemspec, *.rbx, *.duby Mimetypes:text/x-ruby, application/x-ruby @@ -656,6 +697,23 @@ language) source.

    +

    BlitzMaxLexer

    +
    +

    For BlitzMax source code.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:blitzmax, bmax
    Filename patterns:*.bmx
    Mimetypes:text/x-bmx
    +

    CLexer

    For C source code with preprocessor directives.

    @@ -762,7 +820,7 @@ Default is to consider all of them builtin. Short names:dylan -Filename patterns:*.dylan +Filename patterns:*.dylan, *.dyl Mimetypes:text/x-dylan @@ -1203,6 +1261,26 @@ at http://paste.lisp
    +
    +

    Lexers for hardware descriptor languages

    +

    VerilogLexer

    +
    +

    For verilog source code with preprocessor directives.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:v
    Filename patterns:*.v, *.sv
    Mimetypes:text/x-verilog
    +
    +

    Lexers for math languages

    MatlabLexer

    @@ -1364,6 +1442,23 @@ Contributed by Andreas Amann <
    autohotkey source code.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:ahk
    Filename patterns:*.ahk, *.ahkl
    Mimetypes:text/x-autohotkey
    +

    BashLexer

    Lexer for (ba|k|)sh shell scripts.

    @@ -1452,7 +1547,7 @@ language.

    GherkinLexer

    -

    For Gherkin <http://cukes.info/> syntax.

    +

    For Gherkin <http://github.com/aslakhellesoy/gherkin/> syntax.

    New in Pygments 1.2.

    @@ -1484,6 +1579,41 @@ language.

    +

    GoodDataCLLexer

    +
    +

    Lexer for GoodData-CL +script files.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:gooddata-cl
    Filename patterns:*.gdc
    Mimetypes:text/x-gooddata-cl
    +
    +

    HybrisLexer

    +
    +

    For Hybris source code.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:hybris, hy
    Filename patterns:*.hy, *.hyb
    Mimetypes:text/x-hybris, application/x-hybris
    +

    LogtalkLexer

    For Logtalk source code.

    @@ -1519,6 +1649,24 @@ language).

    +

    MaqlLexer

    +
    +

    Lexer for GoodData MAQL +scripts.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:maql
    Filename patterns:*.maql
    Mimetypes:text/x-gooddata-maql, application/x-gooddata-maql
    +

    ModelicaLexer

    For Modelica source code.

    @@ -1568,6 +1716,26 @@ language).

    +

    PostScriptLexer

    +
    +

    Lexer for PostScript files.

    +

    The PostScript Language Reference published by Adobe at +<http://partners.adobe.com/public/developer/en/ps/PLRM.pdf> +is the authority for this.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:postscript
    Filename patterns:*.ps, *.eps
    Mimetypes:application/postscript
    +

    PovrayLexer

    For Persistence of Vision Raytracer files.

    @@ -1585,6 +1753,24 @@ language).

    +

    ProtoBufLexer

    +
    +

    Lexer for Protocol Buffer +definition files.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:protobuf
    Filename patterns:*.proto
    Mimetypes:None
    +

    RebolLexer

    A REBOL lexer.

    @@ -2604,6 +2790,24 @@ with the XmlLexer.

    +

    MasonLexer

    +
    +

    Generic mason templates lexer. Stolen from Myghty lexer. Code that isn't +Mason markup is HTML.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:mason
    Filename patterns:*.m, *.mhtml, *.mc, *.mi, autohandler, dhandler
    Mimetypes:application/x-mason
    +

    MyghtyCssLexer

    Subclass of the MyghtyLexer that highlights unlexer data @@ -2730,6 +2934,75 @@ data is left untouched by the lexer.

    +

    SspLexer

    +
    +

    Lexer for Scalate Server Pages.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:ssp
    Filename patterns:*.ssp
    Mimetypes:application/x-ssp
    +
    +

    VelocityHtmlLexer

    +
    +

    Subclass of the VelocityLexer that highlights unlexer data +with the HtmlLexer.

    + +++ + + + + + + + +
    Short names:html+velocity
    Filename patterns:None
    Mimetypes:text/html+velocity
    +
    +

    VelocityLexer

    +
    +

    Generic Velocity template lexer.

    +

    Just highlights velocity directives and variable references, other +data is left untouched by the lexer.

    + +++ + + + + + + + +
    Short names:velocity
    Filename patterns:*.vm, *.fhtml
    Mimetypes:None
    +
    +

    VelocityXmlLexer

    +
    +

    Subclass of the VelocityLexer that highlights unlexer data +with the XmlLexer.

    + +++ + + + + + + + +
    Short names:xml+velocity
    Filename patterns:None
    Mimetypes:application/xml+velocity
    +

    XmlDjangoLexer

    Subclass of the DjangoLexer that highlights unlexed data with the @@ -2862,7 +3135,7 @@ format.

    Short names:cmake -Filename patterns:*.cmake +Filename patterns:*.cmake, CMakeLists.txt Mimetypes:text/x-cmake @@ -2965,7 +3238,7 @@ extensions. Mainly useful for highlighting manpage sources.

    Short names:ini, cfg -Filename patterns:*.ini, *.cfg, *.properties +Filename patterns:*.ini, *.cfg Mimetypes:text/x-ini @@ -3057,6 +3330,23 @@ the same file even).

    +

    PropertiesLexer

    +
    +

    Lexer for configuration files in Java's properties format.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:properties
    Filename patterns:*.properties
    Mimetypes:text/x-java-properties
    +

    RstLexer

    For reStructuredText markup.

    @@ -3205,7 +3495,7 @@ language.

    CoffeeScriptLexer

    -

    For CoffeeScript source code.

    +

    For CoffeeScript source code.

    New in Pygments 1.3.

    @@ -3236,6 +3526,25 @@ language.

    +

    DuelLexer

    +
    +

    Lexer for Duel Views Engine (formerly JBST) markup with JavaScript code blocks. +See http://duelengine.org/. +See http://jsonml.org/jbst/.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:duel, Duel Engine, Duel View, JBST, jbst, JsonML+BST
    Filename patterns:*.duel, *.jbst
    Mimetypes:text/x-duel, text/x-jbst
    +

    HamlLexer

    For Haml markup.

    @@ -3286,6 +3595,25 @@ by the appropriate lexer.

    +

    JadeLexer

    +
    +

    For Jade markup. +Jade is a variant of Scaml, see: +http://scalate.fusesource.org/documentation/scaml-reference.html

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:jade, JADE
    Filename patterns:*.jade
    Mimetypes:text/x-jade
    +

    JavascriptLexer

    For JavaScript source code.

    @@ -3297,7 +3625,7 @@ by the appropriate lexer.

    Filename patterns:*.js -Mimetypes:application/x-javascript, text/x-javascript, text/javascript +Mimetypes:application/javascript, application/x-javascript, text/x-javascript, text/javascript @@ -3394,6 +3722,57 @@ the php documentation.

    +

    ScamlLexer

    +
    +

    For Scaml markup. Scaml is Haml for Scala.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:scaml, SCAML
    Filename patterns:*.scaml
    Mimetypes:text/x-scaml
    +
    +

    ScssLexer

    +
    +

    For SCSS stylesheets.

    + +++ + + + + + + + +
    Short names:scss
    Filename patterns:*.scss
    Mimetypes:text/x-scss
    +
    +

    XQueryLexer

    +
    +

    An XQuery lexer, parsing a stream and outputting the tokens needed to +highlight xquery code.

    +

    New in Pygments 1.4.

    + +++ + + + + + + + +
    Short names:xquery, xqy
    Filename patterns:*.xqy, *.xquery
    Mimetypes:text/xquery, application/xquery
    +

    XmlLexer

    Generic lexer for XML (eXtensible Markup Language).

    @@ -3449,6 +3828,6 @@ in the form (name, aliases, filetypes, mimetypes) - \ No newline at end of file diff --git a/docs/build/moinmoin.html b/docs/build/moinmoin.html index 6f3e3c2..1dfffb7 100644 --- a/docs/build/moinmoin.html +++ b/docs/build/moinmoin.html @@ -240,6 +240,6 @@ can set the INLINESTYLES option to True.

    - \ No newline at end of file diff --git a/docs/build/plugins.html b/docs/build/plugins.html index 95641ad..824c904 100644 --- a/docs/build/plugins.html +++ b/docs/build/plugins.html @@ -289,6 +289,6 @@ distribution.

    - \ No newline at end of file diff --git a/docs/build/quickstart.html b/docs/build/quickstart.html index 92e3a75..1dbd57a 100644 --- a/docs/build/quickstart.html +++ b/docs/build/quickstart.html @@ -385,6 +385,6 @@ $ pygmentize -S default -f html > style.css - \ No newline at end of file diff --git a/docs/build/rstdirective.html b/docs/build/rstdirective.html index bbec08f..5514860 100644 --- a/docs/build/rstdirective.html +++ b/docs/build/rstdirective.html @@ -224,6 +224,6 @@ if the `handlecodeblocks` option is true. --> - \ No newline at end of file diff --git a/docs/build/styles.html b/docs/build/styles.html index ee224ef..3778f92 100644 --- a/docs/build/styles.html +++ b/docs/build/styles.html @@ -336,6 +336,6 @@ a way to iterate over all styles:

    - \ No newline at end of file diff --git a/docs/build/tokens.html b/docs/build/tokens.html index 4290e09..cbc501a 100644 --- a/docs/build/tokens.html +++ b/docs/build/tokens.html @@ -536,6 +536,6 @@ highlight a programming language but a patch file.

    - \ No newline at end of file diff --git a/docs/build/unicode.html b/docs/build/unicode.html index 7a09e8b..dfce253 100644 --- a/docs/build/unicode.html +++ b/docs/build/unicode.html @@ -244,6 +244,6 @@ input and output encodings.

    - \ No newline at end of file diff --git a/docs/src/installation.txt b/docs/src/installation.txt index 2253916..17a9aad 100644 --- a/docs/src/installation.txt +++ b/docs/src/installation.txt @@ -44,7 +44,7 @@ If you want to play around with the code ---------------------------------------- 1. Install `Mercurial`_ -2. ``hg clone http://dev.pocoo.org/hg/pygments-main pygments`` +2. ``hg clone http://bitbucket.org/birkenfeld/pygments-main pygments`` 3. ``cd pygments`` 4. ``ln -s pygments /usr/lib/python2.X/site-packages`` 5. ``ln -s pygmentize /usr/local/bin`` diff --git a/docs/src/lexerdevelopment.txt b/docs/src/lexerdevelopment.txt index ea012a2..b67d6c8 100644 --- a/docs/src/lexerdevelopment.txt +++ b/docs/src/lexerdevelopment.txt @@ -455,7 +455,7 @@ There are not really any simple examples for lexer callbacks, but you can see them in action e.g. in the `compiled.py`_ source code in the `CLexer` and `JavaLexer` classes. -.. _compiled.py: http://dev.pocoo.org/projects/pygments/browser/pygments/lexers/compiled.py +.. _compiled.py: http://bitbucket.org/birkenfeld/pygments-main/src/tip/pygments/lexers/compiled.py The ExtendedRegexLexer class @@ -513,7 +513,7 @@ For example, this is how the hypothetical lexer above would be written with the This might sound confusing (and it can really be). But it is needed, and for an example look at the Ruby lexer in `agile.py`_. -.. _agile.py: http://dev.pocoo.org/projects/pygments/browser/pygments/lexers/agile.py +.. _agile.py: https://bitbucket.org/birkenfeld/pygments-main/src/tip/pygments/lexers/agile.py Filtering Token Streams diff --git a/docs/src/lexers.txt b/docs/src/lexers.txt index 5d40b4b..016de6c 100644 --- a/docs/src/lexers.txt +++ b/docs/src/lexers.txt @@ -15,6 +15,11 @@ Currently, **all lexers** support these options: Strip all leading and trailing whitespace from the input (default: ``False``). +`ensurenl` + Make sure that the input ends with a newline (default: ``True``). This + is required for some lexers that consume input linewise. + *New in Pygments 1.3.* + `tabsize` If given and greater than 0, expand tabs in the input (default: ``0``). diff --git a/pygments/__init__.py b/pygments/__init__.py index 9762308..bc8ae83 100644 --- a/pygments/__init__.py +++ b/pygments/__init__.py @@ -20,13 +20,13 @@ The `Pygments tip`_ is installable with ``easy_install Pygments==dev``. .. _Pygments tip: - http://dev.pocoo.org/hg/pygments-main/archive/tip.tar.gz#egg=Pygments-dev + http://bitbucket.org/birkenfeld/pygments-main/get/tip.zip#egg=Pygments-dev :copyright: Copyright 2006-2010 by the Pygments team, see AUTHORS. :license: BSD, see LICENSE for details. """ -__version__ = '1.3.1' +__version__ = '1.4' __docformat__ = 'restructuredtext' __all__ = ['lex', 'format', 'highlight'] diff --git a/pygments/formatters/html.py b/pygments/formatters/html.py index 5c0972e..d1172c7 100644 --- a/pygments/formatters/html.py +++ b/pygments/formatters/html.py @@ -21,14 +21,17 @@ from pygments.util import get_bool_opt, get_int_opt, get_list_opt, bytes __all__ = ['HtmlFormatter'] -def escape_html(text): +_escape_html_table = { + ord('&'): u'&', + ord('<'): u'<', + ord('>'): u'>', + ord('"'): u'"', + ord("'"): u''', +} + +def escape_html(text, table=_escape_html_table): """Escape &, <, > as well as single and double quotes for HTML.""" - return text.replace('&', '&'). \ - replace('<', '<'). \ - replace('>', '>'). \ - replace('"', '"'). \ - replace("'", ''') - + return text.translate(table) def get_random_id(): """Return a random id for javascript fields.""" @@ -371,22 +374,21 @@ class HtmlFormatter(Formatter): except ValueError: pass - self._class_cache = {} self._create_stylesheet() def _get_css_class(self, ttype): """Return the css class of this token type prefixed with the classprefix option.""" - if ttype in self._class_cache: - return self._class_cache[ttype] - return self.classprefix + _get_ttype_class(ttype) + ttypeclass = _get_ttype_class(ttype) + if ttypeclass: + return self.classprefix + ttypeclass + return '' def _create_stylesheet(self): t2c = self.ttype2class = {Token: ''} c2s = self.class2style = {} - cp = self.classprefix for ttype, ndef in self.style: - name = cp + _get_ttype_class(ttype) + name = self._get_css_class(ttype) style = '' if ndef['color']: style += 'color: #%s; ' % ndef['color'] @@ -508,6 +510,7 @@ class HtmlFormatter(Formatter): st = self.linenostep la = self.lineanchors aln = self.anchorlinenos + nocls = self.noclasses if sp: lines = [] @@ -542,9 +545,16 @@ class HtmlFormatter(Formatter): # in case you wonder about the seemingly redundant
    here: since the # content in the other cell also is wrapped in a div, some browsers in # some configurations seem to mess up the formatting... - yield 0, ('' % self.cssclass + - ' +tp2158 +a(g6 +V\u000a +p2159 +tp2160 +a(g56 +V +p2214 +tp2215 +a(g6 +V\u000a +tp2216 +a(g56 +V +p2217 +tp2218 +a(g6 +V\u000a +tp2219 +a(g27 +V<% +p2220 +tp2221 +a(g6 +V +tp2222 +a(g107 +V@title +p2223 +tp2224 +a(g6 +V +tp2225 +a(g357 +V= +tp2226 +a(g6 +V +tp2227 +a(g285 +V'Arbeitsgruppen' +p2228 +tp2229 +a(g6 +V +tp2230 +a(g27 +V-%> +p2231 +tp2232 +a(g6 +V\u000a +tp2233 +a(g56 +V

    +tp2236 +a(g6 +V\u000a Die Arbeitsgruppen sind verantwortlich für die Organisation und Durchführung verschiedenster Aufgaben:\u000a +p2237 +tp2238 +a(g56 +V

    +p2239 +tp2240 +a(g6 +V\u000a\u000a +p2241 +tp2242 +a(g56 +V
      +tp2250 +a(g6 +V\u000a\u000a +p2251 +tp2252 +a(g56 +V
    • +tp2255 +a(g56 +V +tp2258 +a(g6 +VPlakate und Konzertkarten +p2259 +tp2260 +a(g56 +V +p2261 +tp2262 +a(g6 +V\u000a +p2263 +tp2264 +a(g56 +V
        +tp2267 +a(g6 +V\u000a +p2268 +tp2269 +a(g56 +V
      • +tp2272 +a(g6 +VFrau Schraps +p2273 +tp2274 +a(g56 +V
      • +p2275 +tp2276 +a(g6 +V\u000a +p2277 +tp2278 +a(g56 +V
      • +tp2281 +a(g6 +VPaul-Robert Achcenich +p2282 +tp2283 +a(g56 +V
      • +p2284 +tp2285 +a(g6 +V\u000a +p2286 +tp2287 +a(g56 +V
      • +tp2290 +a(g6 +VJosefine Dahms +p2291 +tp2292 +a(g56 +V
      • +p2293 +tp2294 +a(g6 +V\u000a +p2295 +tp2296 +a(g56 +V
      +p2297 +tp2298 +a(g6 +V\u000a +p2299 +tp2300 +a(g56 +V
    • +p2301 +tp2302 +a(g6 +V\u000a\u000a +p2303 +tp2304 +a(g56 +V
    • +tp2307 +a(g56 +V +tp2310 +a(g6 +VNoten +p2311 +tp2312 +a(g56 +V +p2313 +tp2314 +a(g56 +V
      +p2318 +tp2319 +a(g6 +V\u000a +p2320 +tp2321 +a(g56 +V
        +tp2324 +a(g6 +V\u000a +p2325 +tp2326 +a(g56 +V
      • +tp2329 +a(g6 +VFrau Puppe +p2330 +tp2331 +a(g56 +V
      • +p2332 +tp2333 +a(g6 +V\u000a +p2334 +tp2335 +a(g56 +V
      • +tp2338 +a(g6 +VTheresa Rebin +p2339 +tp2340 +a(g56 +V
      • +p2341 +tp2342 +a(g6 +V\u000a +p2343 +tp2344 +a(g56 +V
      +p2345 +tp2346 +a(g6 +V\u000a +p2347 +tp2348 +a(g56 +V
    • +p2349 +tp2350 +a(g6 +V\u000a \u000a +p2351 +tp2352 +a(g56 +V
    • +tp2355 +a(g56 +V +tp2358 +a(g6 +VProgramme +p2359 +tp2360 +a(g56 +V +p2361 +tp2362 +a(g56 +V
      +p2366 +tp2367 +a(g6 +V\u000a +p2368 +tp2369 +a(g56 +V
        +tp2372 +a(g6 +V\u000a +p2373 +tp2374 +a(g56 +V
      • +tp2377 +a(g6 +V? +tp2378 +a(g56 +V
      • +p2379 +tp2380 +a(g6 +V\u000a +p2381 +tp2382 +a(g56 +V
      +p2383 +tp2384 +a(g6 +V\u000a +p2385 +tp2386 +a(g56 +V
    • +p2387 +tp2388 +a(g6 +V\u000a \u000a +p2389 +tp2390 +a(g56 +V
    • +tp2393 +a(g56 +V +tp2396 +a(g6 +VInstrumentenstransporte +p2397 +tp2398 +a(g56 +V +p2399 +tp2400 +a(g56 +V
      +p2404 +tp2405 +a(g6 +V\u000a +p2406 +tp2407 +a(g56 +V
        +tp2410 +a(g6 +V\u000a +p2411 +tp2412 +a(g56 +V
      • +tp2415 +a(g6 +VFrau Feldmann +p2416 +tp2417 +a(g56 +V
      • +p2418 +tp2419 +a(g6 +V\u000a +p2420 +tp2421 +a(g56 +V
      • +tp2424 +a(g6 +VKnut Müller +p2425 +tp2426 +a(g56 +V
      • +p2427 +tp2428 +a(g6 +V\u000a +p2429 +tp2430 +a(g56 +V
      • +tp2433 +a(g6 +VPatrick Wolter +p2434 +tp2435 +a(g56 +V
      • +p2436 +tp2437 +a(g6 +V\u000a +p2438 +tp2439 +a(g56 +V
      • +tp2442 +a(g6 +VAlexaner Wolf +p2443 +tp2444 +a(g56 +V
      • +p2445 +tp2446 +a(g6 +V\u000a +p2447 +tp2448 +a(g56 +V
      +p2449 +tp2450 +a(g6 +V\u000a +p2451 +tp2452 +a(g56 +V
    • +p2453 +tp2454 +a(g6 +V\u000a \u000a +p2455 +tp2456 +a(g56 +V
    • +tp2459 +a(g56 +V +tp2462 +a(g6 +VInternetseite +p2463 +tp2464 +a(g56 +V +p2465 +tp2466 +a(g56 +V
      +p2470 +tp2471 +a(g6 +V\u000a +p2472 +tp2473 +a(g56 +V
        +tp2476 +a(g6 +V\u000a +p2477 +tp2478 +a(g56 +V
      • +tp2481 +a(g6 +VFrau Sternbeck +p2482 +tp2483 +a(g56 +V
      • +p2484 +tp2485 +a(g6 +V\u000a +p2486 +tp2487 +a(g56 +V
      • +tp2490 +a(g6 +VUwe Ritzschke +p2491 +tp2492 +a(g56 +V
      • +p2493 +tp2494 +a(g6 +V\u000a +p2495 +tp2496 +a(g56 +V
      • +tp2499 +a(g6 +VPaul-Robert Achcenich +p2500 +tp2501 +a(g56 +V
      • +p2502 +tp2503 +a(g6 +V\u000a +p2504 +tp2505 +a(g56 +V
      • +tp2508 +a(g6 +VKnut Müller +p2509 +tp2510 +a(g56 +V
      • +p2511 +tp2512 +a(g6 +V\u000a +p2513 +tp2514 +a(g56 +V
      • +tp2517 +a(g6 +VAlexander Wolf +p2518 +tp2519 +a(g56 +V
      • +p2520 +tp2521 +a(g6 +V\u000a +p2522 +tp2523 +a(g56 +V
      +p2524 +tp2525 +a(g6 +V\u000a +p2526 +tp2527 +a(g56 +V
    • +p2528 +tp2529 +a(g6 +V\u000a \u000a +p2530 +tp2531 +a(g56 +V
    +p2532 +tp2533 +a(g6 +V\u000a +tp2534 +a(g27 +V<% +p2535 +tp2536 +a(g6 +V +tp2537 +a(g107 +V@title +p2538 +tp2539 +a(g6 +V +tp2540 +a(g357 +V= +tp2541 +a(g6 +V +tp2542 +a(g285 +V'Chronik' +p2543 +tp2544 +a(g6 +V +tp2545 +a(g27 +V-%> +p2546 +tp2547 +a(g6 +V\u000a +tp2548 +a(g56 +V

    +tp2551 +a(g6 +V\u000a Das Jugendsinfonieorchester Marzahn-Hellersdorf wurde im Januar 2005 an der \u000a Musikschule Marzahn-Hellersdorf gegründet und gab im Mai 2005 sein erstes \u000a umjubeltes Konzert im FEZ Wuhlheide. Das Orchester umfasst zur Zeit ca. 65 \u000a jugendliche Musiker und soll auf die Größe eines ausgewachsenen \u000a Sinfonieorchesters erweitert werden (80-100 Musiker).\u000a +p2552 +tp2553 +a(g56 +V

    +p2554 +tp2555 +a(g6 +V\u000a \u000a +p2556 +tp2557 +a(g56 +V

    +tp2560 +a(g6 +V\u000a Als musikalischer Leiter konnte der Dirigent und Echo-Preisträger Jobst \u000a Liebrecht gewonnen werden, der die Musikschule schon aus einer früheren \u000a Zusammenarbeit anlässlich der Kinderoper 'Pollicino' von Hans Werner Henze \u000a kennt. Das Orchester probt wöchentlich. Neben den Tuttiproben finden außerdem \u000a ebenfalls wöchentlich Stimmsatzproben statt, die von Lehrkräften betreut werden. \u000a Das gemeinsame Ziel ist der Aufbau eines leistungsstarken, lebendigen \u000a Klangkörpers, der die Jugendlichen und die Zuhörer ganz neu und direkt für die \u000a Orchestermusik begeistert und diese Musik in den sozialen Brennpunkt Marzahn-\u000a Hellersdorf trägt. \u000a +p2561 +tp2562 +a(g56 +V

    +p2563 +tp2564 +a(g6 +V\u000a \u000a +p2565 +tp2566 +a(g56 +V

    +tp2569 +a(g6 +V\u000a Im Jahr sind etwa 2-3 Konzertprogramme geplant, mit denen wir in Konzertsälen \u000a auftreten. Das erste Konzert des Jugendsinfonieorchesters Marzahn-Hellersdorf \u000a wurde von DeutschlandRadio Kultur aufgezeichnet und in einer Sendung mit dem \u000a Titel +p2570 +tp2571 +a(g80 +V„ +p2572 +tp2573 +a(g6 +VEINSTAND: Nicht nur auf der Strasse herumhängen +p2574 +tp2575 +a(g80 +V” +p2576 +tp2577 +a(g6 +V porträtiert.\u000a Wir wollen außerdem vor Ort in Marzahn und Hellersdorf in die Öffentlichkeit\u000a gehen und spielen, um so für die Kultur zu werben und auch weitere Kinder und \u000a Jugendliche für die Musik und fürs Mitmachen zu gewinnen. Durch die Einrichtung\u000a eines zusätzlichen Vororchesters wird längerfristig versucht, die Arbeit auf ein \u000a breites Fundament zu stellen, eine Werkstatt, ein musikalisches Bauhaus zu \u000a gründen. Wenn die Orchesterarbeit erfolgreich angelaufen ist, sollen auch \u000a übergreifende Projekte (Theater, Tanz, Chor) stattfinden. \u000a +p2578 +tp2579 +a(g56 +V

    +p2580 +tp2581 +a(g6 +V\u000a\u000a +p2582 +tp2583 +a(g56 +V

    +tp2586 +a(g6 +V\u000a Das Orchester will Musik von heute spielen in jedem Sinn, ob es sich um Stücke \u000a aus der sinfonischen Tradition handelt oder um zeitgenössische Musik. Wir kennen \u000a keine Berührungsängste und sind neugierig auf Musik aller Art und möchten diese \u000a Neugierde mit unserem Publikum teilen. \u000a +p2587 +tp2588 +a(g56 +V

    +p2589 +tp2590 +a(g6 +V\u000a +tp2591 +a(g27 +V<% +p2592 +tp2593 +a(g6 +V +tp2594 +a(g107 +V@title +p2595 +tp2596 +a(g6 +V +tp2597 +a(g357 +V= +tp2598 +a(g6 +V +tp2599 +a(g285 +V'Dirigent - Jobst Liebrecht' +p2600 +tp2601 +a(g6 +V +tp2602 +a(g27 +V-%> +p2603 +tp2604 +a(g6 +V\u000a +tp2605 +a(g56 +V

    +tp2608 +a(g6 +V\u000a +p2609 +tp2610 +a(g27 +V<%= +p2611 +tp2612 +a(g6 +V +tp2613 +a(g45 +Vimage_tag +p2614 +tp2615 +a(g6 +V +tp2616 +a(g285 +V'jobstliebrecht.jpg' +p2617 +tp2618 +a(g216 +V, +tp2619 +a(g6 +V +tp2620 +a(g262 +V:alt +p2621 +tp2622 +a(g6 +V +tp2623 +a(g357 +V= +tp2624 +a(g357 +V> +tp2625 +a(g6 +V +tp2626 +a(g285 +V'Jobst Liebrecht' +p2627 +tp2628 +a(g216 +V, +tp2629 +a(g6 +V +tp2630 +a(g262 +V:title +p2631 +tp2632 +a(g6 +V +tp2633 +a(g357 +V= +tp2634 +a(g357 +V> +tp2635 +a(g6 +V +tp2636 +a(g285 +V'Jobst Liebrecht' +p2637 +tp2638 +a(g216 +V, +tp2639 +a(g6 +V +tp2640 +a(g262 +V:class +p2641 +tp2642 +a(g6 +V +tp2643 +a(g357 +V= +tp2644 +a(g357 +V> +tp2645 +a(g6 +V +tp2646 +a(g285 +V'pic_right' +p2647 +tp2648 +a(g6 +V +tp2649 +a(g27 +V%> +p2650 +tp2651 +a(g6 +V\u000a Jobst Liebrecht studierte Dirigieren an der Musikhochschule in München und bei Peter Eötvös. Sein spezielles Interesse \u000a für neue Musik führte schnell zur Zusammenarbeit mit renommierten Ensembles auf dem Gebiet wie dem Ensemble Modern, \u000a Frankfurt, dem Klangforum-Ensemble, Wien, dem Ensemble Köln sowie dem Ensemble United Berlin. Aufnahmen entstanden beim \u000a WDR, beim DeutschlandRadio Berlin, beim BR und beim SFB. Er dirigierte u.a. das Rundfunk Sinfonieorchester Berlin, die \u000a Duisburger Philharmoniker und das Münchner Kammerorchester sowie in den Opernhäusern in Halle und Giessen. Tourneen im \u000a Ausland führten ihn nach Argentinien, Georgien, Südkorea und in die USA.\u000a +p2652 +tp2653 +a(g56 +V

    +p2654 +tp2655 +a(g6 +V\u000a \u000a +p2656 +tp2657 +a(g56 +V

    +tp2660 +a(g6 +V\u000a Zu den Ur- und Erstaufführungen, die er betreut hat, gehören die Opern 'Lunu' von Moritz Eggert, 'Gloria von Jaxtberg' von \u000a HK Gruber sowie in Zusammenarbeit mit dem Regisseur Einar Schleef das Musiktheaterspiel 'Der Golem in Bayreuth' von Ulla \u000a Berkewicz/Lesch Schmidt am Wiener Burgtheater.\u000a +p2661 +tp2662 +a(g56 +V

    +p2663 +tp2664 +a(g6 +V\u000a \u000a +p2665 +tp2666 +a(g56 +V

    +tp2669 +a(g6 +V\u000a Jobst Liebrecht war mehrere Jahre lang Assistent von Hans Werner Henze und auch immer wieder pädagogisch tätig. Seine \u000a Aufnahme von Henzes Märchenoper 'Pollicino', die als CD bei Wergo erschienen ist, wurde mit dem ECHO-Preis 2004 in der \u000a Sparte 'Klassik für Kinder' ausgezeichnet.\u000a +p2670 +tp2671 +a(g56 +V

    +p2672 +tp2673 +a(g6 +V\u000a\u000a +p2674 +tp2675 +a(g56 +V

    +tp2678 +a(g6 +V\u000a Als Komponist ist Jobst Liebrecht mit Liedern, Kammermusik sowie Bühnenmusiken an die Öffentlichkeit getreten.\u000a +p2679 +tp2680 +a(g56 +V

    +p2681 +tp2682 +a(g6 +V \u000a +p2683 +tp2684 +a(g27 +V<% +p2685 +tp2686 +a(g6 +V +tp2687 +a(g45 +Vmessage +p2688 +tp2689 +a(g216 +V, +tp2690 +a(g6 +V +tp2691 +a(g45 +Vbacktrace +p2692 +tp2693 +a(g6 +V +tp2694 +a(g357 +V= +tp2695 +a(g6 +V +tp2696 +a(g45 +Vsession +p2697 +tp2698 +a(g357 +V[ +tp2699 +a(g262 +V:boom +p2700 +tp2701 +a(g357 +V] +tp2702 +a(g6 +V +tp2703 +a(g27 +V-%> +p2704 +tp2705 +a(g6 +V\u000a +tp2706 +a(g27 +V<% +p2707 +tp2708 +a(g6 +V +tp2709 +a(g107 +V@title +p2710 +tp2711 +a(g6 +V +tp2712 +a(g357 +V= +tp2713 +a(g6 +V +tp2714 +a(g285 +V'Fehler in Zeile %d' +p2715 +tp2716 +a(g6 +V +tp2717 +a(g357 +V% +tp2718 +a(g6 +V +tp2719 +a(g357 +V[ +tp2720 +a(g45 +Vbacktrace +p2721 +tp2722 +a(g357 +V[ +tp2723 +a(g357 +V/ +tp2724 +a(g45 +Vline +p2725 +tp2726 +a(g216 +V\u005c +tp2727 +a(g45 +Vs +tp2728 +a(g357 +V+ +tp2729 +a(g31 +V#(\u005cd+)/,1]] +p2730 +tp2731 +a(g27 +V-%> +p2732 +tp2733 +a(g6 +V\u000a +tp2734 +a(g56 +V
    +tp2742 +a(g6 +V\u000a +tp2743 +a(g56 +V
    +tp2751 +a(g27 +V<%= +p2752 +tp2753 +a(g6 +V +tp2754 +a(g45 +Vh +tp2755 +a(g6 +V +tp2756 +a(g45 +Vmessage +p2757 +tp2758 +a(g6 +V +tp2759 +a(g27 +V%> +p2760 +tp2761 +a(g56 +V +tp2762 +a(g56 +V
    +p2763 +tp2764 +a(g6 +V\u000a +tp2765 +a(g56 +V
    +p2766 +tp2767 +a(g6 +V\u000a +tp2768 +a(g27 +V<%= +p2769 +tp2770 +a(g6 +V +tp2771 +a(g45 +Vdebug +p2772 +tp2773 +a(g6 +V +tp2774 +a(g45 +Vbacktrace +p2775 +tp2776 +a(g6 +V +tp2777 +a(g27 +V%> +p2778 +tp2779 +a(g6 +V\u000a +tp2780 +a(g27 +V<% +p2781 +tp2782 +a(g6 +V +tp2783 +a(g45 +Vcache +p2784 +tp2785 +a(g6 +V +tp2786 +a(g262 +V:action_suffix +p2787 +tp2788 +a(g6 +V +tp2789 +a(g357 +V= +tp2790 +a(g357 +V> +tp2791 +a(g6 +V +tp2792 +a(g216 +V( +tp2793 +a(g45 +Vaction +p2794 +tp2795 +a(g6 +V +tp2796 +a(g357 +V= +tp2797 +a(g6 +V +tp2798 +a(g45 +Vparams +p2799 +tp2800 +a(g357 +V[ +tp2801 +a(g262 +V:action +p2802 +tp2803 +a(g357 +V] +tp2804 +a(g216 +V) +tp2805 +a(g6 +V +tp2806 +a(g138 +Vdo +p2807 +tp2808 +a(g6 +V +tp2809 +a(g27 +V-%> +p2810 +tp2811 +a(g6 +V\u000a +tp2812 +a(g56 +V

    +tp2815 +a(g6 +V\u000aDer Inhalt für die Aktion +p2816 +tp2817 +a(g27 +V<%= +p2818 +tp2819 +a(g6 +V +tp2820 +a(g45 +Vh +tp2821 +a(g6 +V +tp2822 +a(g45 +Vaction +p2823 +tp2824 +a(g357 +V. +tp2825 +a(g45 +Vinspect +p2826 +tp2827 +a(g6 +V +tp2828 +a(g27 +V%> +p2829 +tp2830 +a(g6 +V fehlt noch.\u000a +p2831 +tp2832 +a(g56 +V

    +p2833 +tp2834 +a(g6 +V\u000a +tp2835 +a(g27 +V<% +p2836 +tp2837 +a(g6 +V +tp2838 +a(g138 +Vend +p2839 +tp2840 +a(g6 +V +tp2841 +a(g27 +V-%> +p2842 +tp2843 +a(g6 +V\u000a +tp2844 +a(g27 +V<% +p2845 +tp2846 +a(g6 +V +tp2847 +a(g107 +V@title +p2848 +tp2849 +a(g6 +V +tp2850 +a(g357 +V= +tp2851 +a(g6 +V +tp2852 +a(g285 +V'Schulferien Berlin' +p2853 +tp2854 +a(g6 +V +tp2855 +a(g27 +V-%> +p2856 +tp2857 +a(g6 +V\u000a +tp2858 +a(g56 +V

    +tp2861 +a(g6 +V\u000a Unser Orchester besteht zu einem sehr großen Teil aus Schülern und auch die\u000a Musikschule, der die meisten von uns entstammen, hat in den Schulferien\u000a geschlossen. +p2862 +tp2863 +a(g56 +V
    +p2867 +tp2868 +a(g6 +V\u000a Deshalb finden innerhalb der +p2869 +tp2870 +a(g56 +V +tp2873 +a(g6 +VBerliner Ferienzeiten keine Proben +p2874 +tp2875 +a(g56 +V +p2876 +tp2877 +a(g6 +V statt.\u000a +p2878 +tp2879 +a(g56 +V

    +p2880 +tp2881 +a(g6 +V\u000a\u000a +p2882 +tp2883 +a(g56 +V
    ' +
    -                  ls + '
    ') + if nocls: + yield 0, ('' % self.cssclass + + ' +tp1074 +a(g6 +V\u000a +p1075 +tp1076 +a(g56 +V +p1162 +tp1163 +a(g6 +V\u000a +p1164 +tp1165 +a(g56 +V +p1192 +tp1193 +a(g6 +V\u000a +p1194 +tp1195 +a(g56 +V +p1265 +tp1266 +a(g6 +V\u000a +p1267 +tp1268 +a(g56 +V +p1317 +tp1318 +a(g6 +V\u000a +tp1319 +a(g56 +V +p1320 +tp1321 +a(g6 +V\u000a\u000a +p1322 +tp1323 +a(g27 +V<% +p1324 +tp1325 +a(g6 +V\u000a +p1326 +tp1327 +a(g45 +Vday +p1328 +tp1329 +a(g6 +V +tp1330 +a(g357 +V= +tp1331 +a(g6 +V +tp1332 +a(g150 +Vnil +p1333 +tp1334 +a(g6 +V\u000a +p1335 +tp1336 +a(g138 +Vend +p1337 +tp1338 +a(g6 +V \u000a +p1339 +tp1340 +a(g27 +V-%> +p1341 +tp1342 +a(g6 +V\u000a +tp1343 +a(g56 +V +tp1346 +a(g6 +V\u000a +p1347 +tp1348 +a(g56 +V +p1364 +tp1365 +a(g6 +V\u000a +p1366 +tp1367 +a(g56 +V +p1383 +tp1384 +a(g6 +V\u000a +p1385 +tp1386 +a(g56 +V +p1402 +tp1403 +a(g6 +V\u000a +p1404 +tp1405 +a(g56 +V +p1421 +tp1422 +a(g6 +V\u000a +tp1423 +a(g56 +V +p1424 +tp1425 +a(g6 +V\u000a +tp1426 +a(g27 +V<% +p1427 +tp1428 +a(g6 +V +tp1429 +a(g107 +V@title +p1430 +tp1431 +a(g6 +V +tp1432 +a(g357 +V= +tp1433 +a(g6 +V +tp1434 +a(g259 +V" +tp1435 +a(g259 +VBesetzung - +p1436 +tp1437 +a(g247 +V#{ +p1438 +tp1439 +a(g107 +V@instrument +p1440 +tp1441 +a(g357 +V. +tp1442 +a(g45 +Vname +p1443 +tp1444 +a(g247 +V} +tp1445 +a(g259 +V" +tp1446 +a(g6 +V +tp1447 +a(g27 +V%> +p1448 +tp1449 +a(g6 +V\u000a\u000a +p1450 +tp1451 +a(g56 +V

    +tp1454 +a(g6 +V\u000a +tp1455 +a(g27 +V<%= +p1456 +tp1457 +a(g6 +V +tp1458 +a(g45 +Vpluralize +p1459 +tp1460 +a(g216 +V( +tp1461 +a(g107 +V@members +p1462 +tp1463 +a(g357 +V. +tp1464 +a(g45 +Vsize +p1465 +tp1466 +a(g216 +V, +tp1467 +a(g6 +V +tp1468 +a(g285 +V'Schüler spielt' +p1469 +tp1470 +a(g216 +V, +tp1471 +a(g6 +V +tp1472 +a(g285 +V'Schüler spielen' +p1473 +tp1474 +a(g216 +V) +tp1475 +a(g6 +V +tp1476 +a(g27 +V%> +p1477 +tp1478 +a(g6 +V +tp1479 +a(g27 +V<%= +p1480 +tp1481 +a(g6 +V +tp1482 +a(g45 +Vh +tp1483 +a(g6 +V +tp1484 +a(g107 +V@instrument +p1485 +tp1486 +a(g357 +V. +tp1487 +a(g45 +Vname +p1488 +tp1489 +a(g6 +V +tp1490 +a(g27 +V%> +p1491 +tp1492 +a(g6 +V:\u000a +p1493 +tp1494 +a(g56 +V

    +p1495 +tp1496 +a(g6 +V\u000a\u000a +p1497 +tp1498 +a(g56 +V
    ' + '
    ' +
    +                      ls + '
    ') + else: + yield 0, ('' % self.cssclass + + '
    ' +
    +                      ls + '
    ') yield 0, dummyoutfile.getvalue() yield 0, '
    ' @@ -556,7 +566,23 @@ class HtmlFormatter(Formatter): num = self.linenostart mw = len(str(len(lines) + num - 1)) - if sp: + if self.noclasses: + if sp: + for t, line in lines: + if num%sp == 0: + style = 'background-color: #ffffc0; padding: 0 5px 0 5px' + else: + style = 'background-color: #f0f0f0; padding: 0 5px 0 5px' + yield 1, '%*s ' % ( + style, mw, (num%st and ' ' or num)) + line + num += 1 + else: + for t, line in lines: + yield 1, ('%*s ' % ( + mw, (num%st and ' ' or num)) + line) + num += 1 + elif sp: for t, line in lines: yield 1, '%*s ' % ( num%sp == 0 and ' special' or '', mw, @@ -616,6 +642,7 @@ class HtmlFormatter(Formatter): # for lookup only getcls = self.ttype2class.get c2s = self.class2style + escape_table = _escape_html_table lspan = '' line = '' @@ -630,7 +657,7 @@ class HtmlFormatter(Formatter): cls = self._get_css_class(ttype) cspan = cls and '' % cls or '' - parts = escape_html(value).split('\n') + parts = value.translate(escape_table).split('\n') # for all but the last line for part in parts[:-1]: diff --git a/pygments/formatters/img.py b/pygments/formatters/img.py index 69ac484..62f5f9b 100644 --- a/pygments/formatters/img.py +++ b/pygments/formatters/img.py @@ -18,7 +18,7 @@ from pygments.util import get_bool_opt, get_int_opt, \ # Import this carefully try: - import Image, ImageDraw, ImageFont + from PIL import Image, ImageDraw, ImageFont pil_available = True except ImportError: pil_available = False diff --git a/pygments/formatters/latex.py b/pygments/formatters/latex.py index 4715b04..cc46442 100644 --- a/pygments/formatters/latex.py +++ b/pygments/formatters/latex.py @@ -21,13 +21,15 @@ def escape_tex(text, commandprefix): return text.replace('\\', '\x00'). \ replace('{', '\x01'). \ replace('}', '\x02'). \ - replace('^', '\x03'). \ - replace('_', '\x04'). \ replace('\x00', r'\%sZbs{}' % commandprefix). \ replace('\x01', r'\%sZob{}' % commandprefix). \ replace('\x02', r'\%sZcb{}' % commandprefix). \ - replace('\x03', r'\%sZca{}' % commandprefix). \ - replace('\x04', r'\%sZus{}' % commandprefix) + replace('^', r'\%sZca{}' % commandprefix). \ + replace('_', r'\%sZus{}' % commandprefix). \ + replace('#', r'\%sZsh{}' % commandprefix). \ + replace('%', r'\%sZpc{}' % commandprefix). \ + replace('$', r'\%sZdl{}' % commandprefix). \ + replace('~', r'\%sZti{}' % commandprefix) DOC_TEMPLATE = r''' @@ -81,6 +83,9 @@ DOC_TEMPLATE = r''' # * \PY@tok@classname sets the \PY@it etc. to reflect the chosen style # for its class. # * \PY resets the style, parses the classnames and then calls \PY@do. +# +# Tip: to read this code, print it out in substituted form using e.g. +# >>> print STYLE_TEMPLATE % {'cp': 'PY'} STYLE_TEMPLATE = r''' \makeatletter @@ -101,6 +106,10 @@ STYLE_TEMPLATE = r''' \def\%(cp)sZob{\char`\{} \def\%(cp)sZcb{\char`\}} \def\%(cp)sZca{\char`\^} +\def\%(cp)sZsh{\char`\#} +\def\%(cp)sZpc{\char`\%%} +\def\%(cp)sZdl{\char`\$} +\def\%(cp)sZti{\char`\~} %% for compatibility with earlier versions \def\%(cp)sZat{@} \def\%(cp)sZlb{[} @@ -131,12 +140,12 @@ class LatexFormatter(Formatter): .. sourcecode:: latex - \begin{Verbatim}[commandchars=@\[\]] - @PY[k][def ]@PY[n+nf][foo](@PY[n][bar]): - @PY[k][pass] + \begin{Verbatim}[commandchars=\\{\}] + \PY{k}{def }\PY{n+nf}{foo}(\PY{n}{bar}): + \PY{k}{pass} \end{Verbatim} - The special command used here (``@PY``) and all the other macros it needs + The special command used here (``\PY``) and all the other macros it needs are output by the `get_style_defs` method. With the `full` option, a complete LaTeX document is output, including diff --git a/pygments/lexer.py b/pygments/lexer.py index fbcc39a..a22768a 100644 --- a/pygments/lexer.py +++ b/pygments/lexer.py @@ -349,7 +349,53 @@ class RegexLexerMeta(LexerMeta): self.tokens on the first instantiation. """ + def _process_regex(cls, regex, rflags): + """Preprocess the regular expression component of a token definition.""" + return re.compile(regex, rflags).match + + def _process_token(cls, token): + """Preprocess the token component of a token definition.""" + assert type(token) is _TokenType or callable(token), \ + 'token type must be simple type or callable, not %r' % (token,) + return token + + def _process_new_state(cls, new_state, unprocessed, processed): + """Preprocess the state transition action of a token definition.""" + if isinstance(new_state, str): + # an existing state + if new_state == '#pop': + return -1 + elif new_state in unprocessed: + return (new_state,) + elif new_state == '#push': + return new_state + elif new_state[:5] == '#pop:': + return -int(new_state[5:]) + else: + assert False, 'unknown new state %r' % new_state + elif isinstance(new_state, combined): + # combine a new state from existing ones + tmp_state = '_tmp_%d' % cls._tmpname + cls._tmpname += 1 + itokens = [] + for istate in new_state: + assert istate != new_state, 'circular state ref %r' % istate + itokens.extend(cls._process_state(unprocessed, + processed, istate)) + processed[tmp_state] = itokens + return (tmp_state,) + elif isinstance(new_state, tuple): + # push more than one state + for istate in new_state: + assert (istate in unprocessed or + istate in ('#pop', '#push')), \ + 'unknown new state ' + istate + return new_state + else: + assert False, 'unknown new state def %r' % new_state + def _process_state(cls, unprocessed, processed, state): + """Preprocess a single state definition.""" assert type(state) is str, "wrong state name %r" % state assert state[0] != '#', "invalid state name %r" % state if state in processed: @@ -360,60 +406,31 @@ class RegexLexerMeta(LexerMeta): if isinstance(tdef, include): # it's a state reference assert tdef != state, "circular state reference %r" % state - tokens.extend(cls._process_state(unprocessed, processed, str(tdef))) + tokens.extend(cls._process_state(unprocessed, processed, + str(tdef))) continue assert type(tdef) is tuple, "wrong rule def %r" % tdef try: - rex = re.compile(tdef[0], rflags).match + rex = cls._process_regex(tdef[0], rflags) except Exception, err: raise ValueError("uncompilable regex %r in state %r of %r: %s" % (tdef[0], state, cls, err)) - assert type(tdef[1]) is _TokenType or callable(tdef[1]), \ - 'token type must be simple type or callable, not %r' % (tdef[1],) + token = cls._process_token(tdef[1]) if len(tdef) == 2: new_state = None else: - tdef2 = tdef[2] - if isinstance(tdef2, str): - # an existing state - if tdef2 == '#pop': - new_state = -1 - elif tdef2 in unprocessed: - new_state = (tdef2,) - elif tdef2 == '#push': - new_state = tdef2 - elif tdef2[:5] == '#pop:': - new_state = -int(tdef2[5:]) - else: - assert False, 'unknown new state %r' % tdef2 - elif isinstance(tdef2, combined): - # combine a new state from existing ones - new_state = '_tmp_%d' % cls._tmpname - cls._tmpname += 1 - itokens = [] - for istate in tdef2: - assert istate != state, 'circular state ref %r' % istate - itokens.extend(cls._process_state(unprocessed, - processed, istate)) - processed[new_state] = itokens - new_state = (new_state,) - elif isinstance(tdef2, tuple): - # push more than one state - for state in tdef2: - assert (state in unprocessed or - state in ('#pop', '#push')), \ - 'unknown new state ' + state - new_state = tdef2 - else: - assert False, 'unknown new state def %r' % tdef2 - tokens.append((rex, tdef[1], new_state)) + new_state = cls._process_new_state(tdef[2], + unprocessed, processed) + + tokens.append((rex, token, new_state)) return tokens def process_tokendef(cls, name, tokendefs=None): + """Preprocess a dictionary of token definitions.""" processed = cls._all_tokens[name] = {} tokendefs = tokendefs or cls.tokens[name] for state in tokendefs.keys(): @@ -421,6 +438,7 @@ class RegexLexerMeta(LexerMeta): return processed def __call__(cls, *args, **kwds): + """Instantiate cls after preprocessing its token definitions.""" if not hasattr(cls, '_tokens'): cls._all_tokens = {} cls._tmpname = 0 diff --git a/pygments/lexers/_luabuiltins.py b/pygments/lexers/_luabuiltins.py index c475c9c..502a3e6 100644 --- a/pygments/lexers/_luabuiltins.py +++ b/pygments/lexers/_luabuiltins.py @@ -60,14 +60,7 @@ MODULES = {'basic': ['_G', 'debug.setmetatable', 'debug.setupvalue', 'debug.traceback'], - 'io': ['file:close', - 'file:flush', - 'file:lines', - 'file:read', - 'file:seek', - 'file:setvbuf', - 'file:write', - 'io.close', + 'io': ['io.close', 'io.flush', 'io.input', 'io.lines', @@ -175,7 +168,7 @@ if __name__ == '__main__': return name.startswith('math') def is_in_io_module(name): - return name.startswith('io.') or name.startswith('file:') + return name.startswith('io.') def is_in_os_module(name): return name.startswith('os.') diff --git a/pygments/lexers/_mapping.py b/pygments/lexers/_mapping.py index cdaf56a..4444e7b 100644 --- a/pygments/lexers/_mapping.py +++ b/pygments/lexers/_mapping.py @@ -30,16 +30,18 @@ LEXERS = { 'ApacheConfLexer': ('pygments.lexers.text', 'ApacheConf', ('apacheconf', 'aconf', 'apache'), ('.htaccess', 'apache.conf', 'apache2.conf'), ('text/x-apacheconf',)), 'AppleScriptLexer': ('pygments.lexers.other', 'AppleScript', ('applescript',), ('*.applescript',), ()), 'AsymptoteLexer': ('pygments.lexers.other', 'Asymptote', ('asy', 'asymptote'), ('*.asy',), ('text/x-asymptote',)), + 'AutohotkeyLexer': ('pygments.lexers.other', 'autohotkey', ('ahk',), ('*.ahk', '*.ahkl'), ('text/x-autohotkey',)), 'BBCodeLexer': ('pygments.lexers.text', 'BBCode', ('bbcode',), (), ('text/x-bbcode',)), 'BaseMakefileLexer': ('pygments.lexers.text', 'Makefile', ('basemake',), (), ()), 'BashLexer': ('pygments.lexers.other', 'Bash', ('bash', 'sh', 'ksh'), ('*.sh', '*.ksh', '*.bash', '*.ebuild', '*.eclass'), ('application/x-sh', 'application/x-shellscript')), 'BashSessionLexer': ('pygments.lexers.other', 'Bash Session', ('console',), ('*.sh-session',), ('application/x-shell-session',)), 'BatchLexer': ('pygments.lexers.other', 'Batchfile', ('bat',), ('*.bat', '*.cmd'), ('application/x-dos-batch',)), 'BefungeLexer': ('pygments.lexers.other', 'Befunge', ('befunge',), ('*.befunge',), ('application/x-befunge',)), + 'BlitzMaxLexer': ('pygments.lexers.compiled', 'BlitzMax', ('blitzmax', 'bmax'), ('*.bmx',), ('text/x-bmx',)), 'BooLexer': ('pygments.lexers.dotnet', 'Boo', ('boo',), ('*.boo',), ('text/x-boo',)), 'BrainfuckLexer': ('pygments.lexers.other', 'Brainfuck', ('brainfuck', 'bf'), ('*.bf', '*.b'), ('application/x-brainfuck',)), 'CLexer': ('pygments.lexers.compiled', 'C', ('c',), ('*.c', '*.h'), ('text/x-chdr', 'text/x-csrc')), - 'CMakeLexer': ('pygments.lexers.text', 'CMake', ('cmake',), ('*.cmake',), ('text/x-cmake',)), + 'CMakeLexer': ('pygments.lexers.text', 'CMake', ('cmake',), ('*.cmake', 'CMakeLists.txt'), ('text/x-cmake',)), 'CObjdumpLexer': ('pygments.lexers.asm', 'c-objdump', ('c-objdump',), ('*.c-objdump',), ('text/x-c-objdump',)), 'CSharpAspxLexer': ('pygments.lexers.dotnet', 'aspx-cs', ('aspx-cs',), ('*.aspx', '*.asax', '*.ascx', '*.ashx', '*.asmx', '*.axd'), ()), 'CSharpLexer': ('pygments.lexers.dotnet', 'C#', ('csharp', 'c#'), ('*.cs',), ('text/x-csharp',)), @@ -49,7 +51,7 @@ LEXERS = { 'CheetahXmlLexer': ('pygments.lexers.templates', 'XML+Cheetah', ('xml+cheetah', 'xml+spitfire'), (), ('application/xml+cheetah', 'application/xml+spitfire')), 'ClojureLexer': ('pygments.lexers.agile', 'Clojure', ('clojure', 'clj'), ('*.clj',), ('text/x-clojure', 'application/x-clojure')), 'CoffeeScriptLexer': ('pygments.lexers.web', 'CoffeeScript', ('coffee-script', 'coffeescript'), ('*.coffee',), ('text/coffeescript',)), - 'ColdfusionHtmlLexer': ('pygments.lexers.templates', 'Coldufsion HTML', ('cfm',), ('*.cfm', '*.cfml', '*.cfc'), ('application/x-coldfusion',)), + 'ColdfusionHtmlLexer': ('pygments.lexers.templates', 'Coldfusion HTML', ('cfm',), ('*.cfm', '*.cfml', '*.cfc'), ('application/x-coldfusion',)), 'ColdfusionLexer': ('pygments.lexers.templates', 'cfstatement', ('cfs',), (), ()), 'CommonLispLexer': ('pygments.lexers.functional', 'Common Lisp', ('common-lisp', 'cl'), ('*.cl', '*.lisp', '*.el'), ('text/x-common-lisp',)), 'CppLexer': ('pygments.lexers.compiled', 'C++', ('cpp', 'c++'), ('*.cpp', '*.hpp', '*.c++', '*.h++', '*.cc', '*.hh', '*.cxx', '*.hxx'), ('text/x-c++hdr', 'text/x-c++src')), @@ -68,13 +70,15 @@ LEXERS = { 'DelphiLexer': ('pygments.lexers.compiled', 'Delphi', ('delphi', 'pas', 'pascal', 'objectpascal'), ('*.pas',), ('text/x-pascal',)), 'DiffLexer': ('pygments.lexers.text', 'Diff', ('diff', 'udiff'), ('*.diff', '*.patch'), ('text/x-diff', 'text/x-patch')), 'DjangoLexer': ('pygments.lexers.templates', 'Django/Jinja', ('django', 'jinja'), (), ('application/x-django-templating', 'application/x-jinja')), - 'DylanLexer': ('pygments.lexers.compiled', 'Dylan', ('dylan',), ('*.dylan',), ('text/x-dylan',)), + 'DuelLexer': ('pygments.lexers.web', 'Duel', ('duel', 'Duel Engine', 'Duel View', 'JBST', 'jbst', 'JsonML+BST'), ('*.duel', '*.jbst'), ('text/x-duel', 'text/x-jbst')), + 'DylanLexer': ('pygments.lexers.compiled', 'Dylan', ('dylan',), ('*.dylan', '*.dyl'), ('text/x-dylan',)), 'ErbLexer': ('pygments.lexers.templates', 'ERB', ('erb',), (), ('application/x-ruby-templating',)), 'ErlangLexer': ('pygments.lexers.functional', 'Erlang', ('erlang',), ('*.erl', '*.hrl'), ('text/x-erlang',)), 'ErlangShellLexer': ('pygments.lexers.functional', 'Erlang erl session', ('erl',), ('*.erl-sh',), ('text/x-erl-shellsession',)), 'EvoqueHtmlLexer': ('pygments.lexers.templates', 'HTML+Evoque', ('html+evoque',), ('*.html',), ('text/html+evoque',)), 'EvoqueLexer': ('pygments.lexers.templates', 'Evoque', ('evoque',), ('*.evoque',), ('application/x-evoque',)), 'EvoqueXmlLexer': ('pygments.lexers.templates', 'XML+Evoque', ('xml+evoque',), ('*.xml',), ('application/xml+evoque',)), + 'FactorLexer': ('pygments.lexers.agile', 'Factor', ('factor',), ('*.factor',), ('text/x-factor',)), 'FelixLexer': ('pygments.lexers.compiled', 'Felix', ('felix', 'flx'), ('*.flx', '*.flxh'), ('text/x-felix',)), 'FortranLexer': ('pygments.lexers.compiled', 'Fortran', ('fortran',), ('*.f', '*.f90'), ('text/x-fortran',)), 'GLShaderLexer': ('pygments.lexers.compiled', 'GLSL', ('glsl',), ('*.vert', '*.frag', '*.geo'), ('text/x-glslsrc',)), @@ -85,6 +89,7 @@ LEXERS = { 'GherkinLexer': ('pygments.lexers.other', 'Gherkin', ('Cucumber', 'cucumber', 'Gherkin', 'gherkin'), ('*.feature',), ('text/x-gherkin',)), 'GnuplotLexer': ('pygments.lexers.other', 'Gnuplot', ('gnuplot',), ('*.plot', '*.plt'), ('text/x-gnuplot',)), 'GoLexer': ('pygments.lexers.compiled', 'Go', ('go',), ('*.go',), ('text/x-gosrc',)), + 'GoodDataCLLexer': ('pygments.lexers.other', 'GoodData-CL', ('gooddata-cl',), ('*.gdc',), ('text/x-gooddata-cl',)), 'GroffLexer': ('pygments.lexers.text', 'Groff', ('groff', 'nroff', 'man'), ('*.[1234567]', '*.man'), ('application/x-troff', 'text/troff')), 'HamlLexer': ('pygments.lexers.web', 'Haml', ('haml', 'HAML'), ('*.haml',), ('text/x-haml',)), 'HaskellLexer': ('pygments.lexers.functional', 'Haskell', ('haskell', 'hs'), ('*.hs',), ('text/x-haskell',)), @@ -94,14 +99,17 @@ LEXERS = { 'HtmlLexer': ('pygments.lexers.web', 'HTML', ('html',), ('*.html', '*.htm', '*.xhtml', '*.xslt'), ('text/html', 'application/xhtml+xml')), 'HtmlPhpLexer': ('pygments.lexers.templates', 'HTML+PHP', ('html+php',), ('*.phtml',), ('application/x-php', 'application/x-httpd-php', 'application/x-httpd-php3', 'application/x-httpd-php4', 'application/x-httpd-php5')), 'HtmlSmartyLexer': ('pygments.lexers.templates', 'HTML+Smarty', ('html+smarty',), (), ('text/html+smarty',)), - 'IniLexer': ('pygments.lexers.text', 'INI', ('ini', 'cfg'), ('*.ini', '*.cfg', '*.properties'), ('text/x-ini',)), + 'HybrisLexer': ('pygments.lexers.other', 'Hybris', ('hybris', 'hy'), ('*.hy', '*.hyb'), ('text/x-hybris', 'application/x-hybris')), + 'IniLexer': ('pygments.lexers.text', 'INI', ('ini', 'cfg'), ('*.ini', '*.cfg'), ('text/x-ini',)), 'IoLexer': ('pygments.lexers.agile', 'Io', ('io',), ('*.io',), ('text/x-iosrc',)), + 'IokeLexer': ('pygments.lexers.agile', 'Ioke', ('ioke', 'ik'), ('*.ik',), ('text/x-iokesrc',)), 'IrcLogsLexer': ('pygments.lexers.text', 'IRC logs', ('irc',), ('*.weechatlog',), ('text/x-irclog',)), + 'JadeLexer': ('pygments.lexers.web', 'Jade', ('jade', 'JADE'), ('*.jade',), ('text/x-jade',)), 'JavaLexer': ('pygments.lexers.compiled', 'Java', ('java',), ('*.java',), ('text/x-java',)), 'JavascriptDjangoLexer': ('pygments.lexers.templates', 'JavaScript+Django/Jinja', ('js+django', 'javascript+django', 'js+jinja', 'javascript+jinja'), (), ('application/x-javascript+django', 'application/x-javascript+jinja', 'text/x-javascript+django', 'text/x-javascript+jinja', 'text/javascript+django', 'text/javascript+jinja')), 'JavascriptErbLexer': ('pygments.lexers.templates', 'JavaScript+Ruby', ('js+erb', 'javascript+erb', 'js+ruby', 'javascript+ruby'), (), ('application/x-javascript+ruby', 'text/x-javascript+ruby', 'text/javascript+ruby')), 'JavascriptGenshiLexer': ('pygments.lexers.templates', 'JavaScript+Genshi Text', ('js+genshitext', 'js+genshi', 'javascript+genshitext', 'javascript+genshi'), (), ('application/x-javascript+genshi', 'text/x-javascript+genshi', 'text/javascript+genshi')), - 'JavascriptLexer': ('pygments.lexers.web', 'JavaScript', ('js', 'javascript'), ('*.js',), ('application/x-javascript', 'text/x-javascript', 'text/javascript')), + 'JavascriptLexer': ('pygments.lexers.web', 'JavaScript', ('js', 'javascript'), ('*.js',), ('application/javascript', 'application/x-javascript', 'text/x-javascript', 'text/javascript')), 'JavascriptPhpLexer': ('pygments.lexers.templates', 'JavaScript+PHP', ('js+php', 'javascript+php'), (), ('application/x-javascript+php', 'text/x-javascript+php', 'text/javascript+php')), 'JavascriptSmartyLexer': ('pygments.lexers.templates', 'JavaScript+Smarty', ('js+smarty', 'javascript+smarty'), (), ('application/x-javascript+smarty', 'text/x-javascript+smarty', 'text/javascript+smarty')), 'JspLexer': ('pygments.lexers.templates', 'Java Server Page', ('jsp',), ('*.jsp',), ('application/x-jsp',)), @@ -109,7 +117,7 @@ LEXERS = { 'LiterateHaskellLexer': ('pygments.lexers.functional', 'Literate Haskell', ('lhs', 'literate-haskell'), ('*.lhs',), ('text/x-literate-haskell',)), 'LlvmLexer': ('pygments.lexers.asm', 'LLVM', ('llvm',), ('*.ll',), ('text/x-llvm',)), 'LogtalkLexer': ('pygments.lexers.other', 'Logtalk', ('logtalk',), ('*.lgt',), ('text/x-logtalk',)), - 'LuaLexer': ('pygments.lexers.agile', 'Lua', ('lua',), ('*.lua',), ('text/x-lua', 'application/x-lua')), + 'LuaLexer': ('pygments.lexers.agile', 'Lua', ('lua',), ('*.lua', '*.wlua'), ('text/x-lua', 'application/x-lua')), 'MOOCodeLexer': ('pygments.lexers.other', 'MOOCode', ('moocode',), ('*.moo',), ('text/x-moocode',)), 'MakefileLexer': ('pygments.lexers.text', 'Makefile', ('make', 'makefile', 'mf', 'bsdmake'), ('*.mak', 'Makefile', 'makefile', 'Makefile.*', 'GNUmakefile'), ('text/x-makefile',)), 'MakoCssLexer': ('pygments.lexers.templates', 'CSS+Mako', ('css+mako',), (), ('text/css+mako',)), @@ -117,6 +125,8 @@ LEXERS = { 'MakoJavascriptLexer': ('pygments.lexers.templates', 'JavaScript+Mako', ('js+mako', 'javascript+mako'), (), ('application/x-javascript+mako', 'text/x-javascript+mako', 'text/javascript+mako')), 'MakoLexer': ('pygments.lexers.templates', 'Mako', ('mako',), ('*.mao',), ('application/x-mako',)), 'MakoXmlLexer': ('pygments.lexers.templates', 'XML+Mako', ('xml+mako',), (), ('application/xml+mako',)), + 'MaqlLexer': ('pygments.lexers.other', 'MAQL', ('maql',), ('*.maql',), ('text/x-gooddata-maql', 'application/x-gooddata-maql')), + 'MasonLexer': ('pygments.lexers.templates', 'Mason', ('mason',), ('*.m', '*.mhtml', '*.mc', '*.mi', 'autohandler', 'dhandler'), ('application/x-mason',)), 'MatlabLexer': ('pygments.lexers.math', 'Matlab', ('matlab', 'octave'), ('*.m',), ('text/matlab',)), 'MatlabSessionLexer': ('pygments.lexers.math', 'Matlab session', ('matlabsession',), (), ()), 'MiniDLexer': ('pygments.lexers.agile', 'MiniD', ('minid',), ('*.md',), ('text/x-minidsrc',)), @@ -143,8 +153,11 @@ LEXERS = { 'OocLexer': ('pygments.lexers.compiled', 'Ooc', ('ooc',), ('*.ooc',), ('text/x-ooc',)), 'PerlLexer': ('pygments.lexers.agile', 'Perl', ('perl', 'pl'), ('*.pl', '*.pm'), ('text/x-perl', 'application/x-perl')), 'PhpLexer': ('pygments.lexers.web', 'PHP', ('php', 'php3', 'php4', 'php5'), ('*.php', '*.php[345]'), ('text/x-php',)), + 'PostScriptLexer': ('pygments.lexers.other', 'PostScript', ('postscript',), ('*.ps', '*.eps'), ('application/postscript',)), 'PovrayLexer': ('pygments.lexers.other', 'POVRay', ('pov',), ('*.pov', '*.inc'), ('text/x-povray',)), 'PrologLexer': ('pygments.lexers.compiled', 'Prolog', ('prolog',), ('*.prolog', '*.pro', '*.pl'), ('text/x-prolog',)), + 'PropertiesLexer': ('pygments.lexers.text', 'Properties', ('properties',), ('*.properties',), ('text/x-java-properties',)), + 'ProtoBufLexer': ('pygments.lexers.other', 'Protocol Buffer', ('protobuf',), ('*.proto',), ()), 'Python3Lexer': ('pygments.lexers.agile', 'Python 3', ('python3', 'py3'), (), ('text/x-python3', 'application/x-python3')), 'Python3TracebackLexer': ('pygments.lexers.agile', 'Python 3.0 Traceback', ('py3tb',), ('*.py3tb',), ('text/x-python3-traceback',)), 'PythonConsoleLexer': ('pygments.lexers.agile', 'Python console session', ('pycon',), (), ('text/x-python-doctest',)), @@ -165,17 +178,20 @@ LEXERS = { 'RhtmlLexer': ('pygments.lexers.templates', 'RHTML', ('rhtml', 'html+erb', 'html+ruby'), ('*.rhtml',), ('text/html+ruby',)), 'RstLexer': ('pygments.lexers.text', 'reStructuredText', ('rst', 'rest', 'restructuredtext'), ('*.rst', '*.rest'), ('text/x-rst', 'text/prs.fallenstein.rst')), 'RubyConsoleLexer': ('pygments.lexers.agile', 'Ruby irb session', ('rbcon', 'irb'), (), ('text/x-ruby-shellsession',)), - 'RubyLexer': ('pygments.lexers.agile', 'Ruby', ('rb', 'ruby'), ('*.rb', '*.rbw', 'Rakefile', '*.rake', '*.gemspec', '*.rbx'), ('text/x-ruby', 'application/x-ruby')), + 'RubyLexer': ('pygments.lexers.agile', 'Ruby', ('rb', 'ruby', 'duby'), ('*.rb', '*.rbw', 'Rakefile', '*.rake', '*.gemspec', '*.rbx', '*.duby'), ('text/x-ruby', 'application/x-ruby')), 'SLexer': ('pygments.lexers.math', 'S', ('splus', 's', 'r'), ('*.S', '*.R'), ('text/S-plus', 'text/S', 'text/R')), 'SassLexer': ('pygments.lexers.web', 'Sass', ('sass', 'SASS'), ('*.sass',), ('text/x-sass',)), 'ScalaLexer': ('pygments.lexers.compiled', 'Scala', ('scala',), ('*.scala',), ('text/x-scala',)), + 'ScamlLexer': ('pygments.lexers.web', 'Scaml', ('scaml', 'SCAML'), ('*.scaml',), ('text/x-scaml',)), 'SchemeLexer': ('pygments.lexers.functional', 'Scheme', ('scheme', 'scm'), ('*.scm',), ('text/x-scheme', 'application/x-scheme')), + 'ScssLexer': ('pygments.lexers.web', 'SCSS', ('scss',), ('*.scss',), ('text/x-scss',)), 'SmalltalkLexer': ('pygments.lexers.other', 'Smalltalk', ('smalltalk', 'squeak'), ('*.st',), ('text/x-smalltalk',)), 'SmartyLexer': ('pygments.lexers.templates', 'Smarty', ('smarty',), ('*.tpl',), ('application/x-smarty',)), 'SourcesListLexer': ('pygments.lexers.text', 'Debian Sourcelist', ('sourceslist', 'sources.list'), ('sources.list',), ()), 'SqlLexer': ('pygments.lexers.other', 'SQL', ('sql',), ('*.sql',), ('text/x-sql',)), 'SqliteConsoleLexer': ('pygments.lexers.other', 'sqlite3con', ('sqlite3',), ('*.sqlite3-console',), ('text/x-sqlite3-console',)), 'SquidConfLexer': ('pygments.lexers.text', 'SquidConf', ('squidconf', 'squid.conf', 'squid'), ('squid.conf',), ('text/x-squidconf',)), + 'SspLexer': ('pygments.lexers.templates', 'Scalate Server Page', ('ssp',), ('*.ssp',), ('application/x-ssp',)), 'TclLexer': ('pygments.lexers.agile', 'Tcl', ('tcl',), ('*.tcl',), ('text/x-tcl', 'text/x-script.tcl', 'application/x-tcl')), 'TcshLexer': ('pygments.lexers.other', 'Tcsh', ('tcsh', 'csh'), ('*.tcsh', '*.csh'), ('application/x-csh',)), 'TexLexer': ('pygments.lexers.text', 'TeX', ('tex', 'latex'), ('*.tex', '*.aux', '*.toc'), ('text/x-tex', 'text/x-latex')), @@ -183,7 +199,12 @@ LEXERS = { 'ValaLexer': ('pygments.lexers.compiled', 'Vala', ('vala', 'vapi'), ('*.vala', '*.vapi'), ('text/x-vala',)), 'VbNetAspxLexer': ('pygments.lexers.dotnet', 'aspx-vb', ('aspx-vb',), ('*.aspx', '*.asax', '*.ascx', '*.ashx', '*.asmx', '*.axd'), ()), 'VbNetLexer': ('pygments.lexers.dotnet', 'VB.net', ('vb.net', 'vbnet'), ('*.vb', '*.bas'), ('text/x-vbnet', 'text/x-vba')), + 'VelocityHtmlLexer': ('pygments.lexers.templates', 'HTML+Velocity', ('html+velocity',), (), ('text/html+velocity',)), + 'VelocityLexer': ('pygments.lexers.templates', 'Velocity', ('velocity',), ('*.vm', '*.fhtml'), ()), + 'VelocityXmlLexer': ('pygments.lexers.templates', 'XML+Velocity', ('xml+velocity',), (), ('application/xml+velocity',)), + 'VerilogLexer': ('pygments.lexers.hdl', 'verilog', ('v',), ('*.v', '*.sv'), ('text/x-verilog',)), 'VimLexer': ('pygments.lexers.text', 'VimL', ('vim',), ('*.vim', '.vimrc'), ('text/x-vim',)), + 'XQueryLexer': ('pygments.lexers.web', 'XQuery', ('xquery', 'xqy'), ('*.xqy', '*.xquery'), ('text/xquery', 'application/xquery')), 'XmlDjangoLexer': ('pygments.lexers.templates', 'XML+Django/Jinja', ('xml+django', 'xml+jinja'), (), ('application/xml+django', 'application/xml+jinja')), 'XmlErbLexer': ('pygments.lexers.templates', 'XML+Ruby', ('xml+erb', 'xml+ruby'), (), ('application/xml+ruby',)), 'XmlLexer': ('pygments.lexers.web', 'XML', ('xml',), ('*.xml', '*.xsl', '*.rss', '*.xslt', '*.xsd', '*.wsdl'), ('text/xml', 'application/xml', 'image/svg+xml', 'application/rss+xml', 'application/atom+xml', 'application/xsl+xml', 'application/xslt+xml')), diff --git a/pygments/lexers/agile.py b/pygments/lexers/agile.py index bfaf0a6..7f3c234 100644 --- a/pygments/lexers/agile.py +++ b/pygments/lexers/agile.py @@ -22,7 +22,7 @@ from pygments import unistring as uni __all__ = ['PythonLexer', 'PythonConsoleLexer', 'PythonTracebackLexer', 'RubyLexer', 'RubyConsoleLexer', 'PerlLexer', 'LuaLexer', 'MiniDLexer', 'IoLexer', 'TclLexer', 'ClojureLexer', - 'Python3Lexer', 'Python3TracebackLexer'] + 'Python3Lexer', 'Python3TracebackLexer', 'FactorLexer', 'IokeLexer'] # b/w compatibility from pygments.lexers.functional import SchemeLexer @@ -43,8 +43,8 @@ class PythonLexer(RegexLexer): tokens = { 'root': [ (r'\n', Text), - (r'^(\s*)("""(?:.|\n)*?""")', bygroups(Text, String.Doc)), - (r"^(\s*)('''(?:.|\n)*?''')", bygroups(Text, String.Doc)), + (r'^(\s*)([rRuU]{,2}"""(?:.|\n)*?""")', bygroups(Text, String.Doc)), + (r"^(\s*)([rRuU]{,2}'''(?:.|\n)*?''')", bygroups(Text, String.Doc)), (r'[^\S\n]+', Text), (r'#.*$', Comment), (r'[]{}:(),;[]', Punctuation), @@ -104,7 +104,7 @@ class PythonLexer(RegexLexer): 'numbers': [ (r'(\d+\.\d*|\d*\.\d+)([eE][+-]?[0-9]+)?', Number.Float), (r'\d+[eE][+-]?[0-9]+', Number.Float), - (r'0\d+', Number.Oct), + (r'0[0-7]+', Number.Oct), (r'0[xX][a-fA-F0-9]+', Number.Hex), (r'\d+L', Number.Integer.Long), (r'\d+', Number.Integer) @@ -310,17 +310,17 @@ class PythonConsoleLexer(Lexer): tb = 0 for match in line_re.finditer(text): line = match.group() - if line.startswith('>>> ') or line.startswith('... '): + if line.startswith(u'>>> ') or line.startswith(u'... '): tb = 0 insertions.append((len(curcode), [(0, Generic.Prompt, line[:4])])) curcode += line[4:] - elif line.rstrip() == '...' and not tb: + elif line.rstrip() == u'...' and not tb: # only a new >>> prompt can end an exception block # otherwise an ellipsis in place of the traceback frames # will be mishandled insertions.append((len(curcode), - [(0, Generic.Prompt, '...')])) + [(0, Generic.Prompt, u'...')])) curcode += line[3:] else: if curcode: @@ -329,8 +329,8 @@ class PythonConsoleLexer(Lexer): yield item curcode = '' insertions = [] - if (line.startswith('Traceback (most recent call last):') or - re.match(r' File "[^"]+", line \d+\n$', line)): + if (line.startswith(u'Traceback (most recent call last):') or + re.match(ur' File "[^"]+", line \d+\n$', line)): tb = 1 curtb = line tbindex = match.start() @@ -338,7 +338,7 @@ class PythonConsoleLexer(Lexer): yield match.start(), Name.Class, line elif tb: curtb += line - if not (line.startswith(' ') or line.strip() == '...'): + if not (line.startswith(' ') or line.strip() == u'...'): tb = 0 for i, t, v in tblexer.get_tokens_unprocessed(curtb): yield tbindex+i, t, v @@ -371,7 +371,7 @@ class PythonTracebackLexer(RegexLexer): ], 'intb': [ (r'^( File )("[^"]+")(, line )(\d+)(, in )(.+)(\n)', - bygroups(Text, Name.Builtin, Text, Number, Text, Name.Identifier, Text)), + bygroups(Text, Name.Builtin, Text, Number, Text, Name, Text)), (r'^( File )("[^"]+")(, line )(\d+)(\n)', bygroups(Text, Name.Builtin, Text, Number, Text)), (r'^( )(.+)(\n)', @@ -379,9 +379,9 @@ class PythonTracebackLexer(RegexLexer): (r'^([ \t]*)(...)(\n)', bygroups(Text, Comment, Text)), # for doctests... (r'^(.+)(: )(.+)(\n)', - bygroups(Name.Class, Text, Name.Identifier, Text), '#pop'), + bygroups(Generic.Error, Text, Name, Text), '#pop'), (r'^([a-zA-Z_][a-zA-Z0-9_]*)(:?\n)', - bygroups(Name.Class, Text), '#pop') + bygroups(Generic.Error, Text), '#pop') ], } @@ -409,15 +409,15 @@ class Python3TracebackLexer(RegexLexer): ], 'intb': [ (r'^( File )("[^"]+")(, line )(\d+)(, in )(.+)(\n)', - bygroups(Text, Name.Builtin, Text, Number, Text, Name.Identifier, Text)), + bygroups(Text, Name.Builtin, Text, Number, Text, Name, Text)), (r'^( )(.+)(\n)', bygroups(Text, using(Python3Lexer), Text)), (r'^([ \t]*)(...)(\n)', bygroups(Text, Comment, Text)), # for doctests... (r'^(.+)(: )(.+)(\n)', - bygroups(Name.Class, Text, Name.Identifier, Text), '#pop'), + bygroups(Generic.Error, Text, Name, Text), '#pop'), (r'^([a-zA-Z_][a-zA-Z0-9_]*)(:?\n)', - bygroups(Name.Class, Text), '#pop') + bygroups(Generic.Error, Text), '#pop') ], } @@ -428,8 +428,9 @@ class RubyLexer(ExtendedRegexLexer): """ name = 'Ruby' - aliases = ['rb', 'ruby'] - filenames = ['*.rb', '*.rbw', 'Rakefile', '*.rake', '*.gemspec', '*.rbx'] + aliases = ['rb', 'ruby', 'duby'] + filenames = ['*.rb', '*.rbw', 'Rakefile', '*.rake', '*.gemspec', + '*.rbx', '*.duby'] mimetypes = ['text/x-ruby', 'application/x-ruby'] flags = re.DOTALL | re.MULTILINE @@ -623,7 +624,7 @@ class RubyLexer(ExtendedRegexLexer): r'putc|puts|raise|rand|readline|readlines|require|' r'scan|select|self|send|set_trace_func|singleton_methods|sleep|' r'split|sprintf|srand|sub|syscall|system|taint|' - r'test|throw|to_a|to_s|trace_var|trap|type|untaint|untrace_var|' + r'test|throw|to_a|to_s|trace_var|trap|untaint|untrace_var|' r'warn)\b', Name.Builtin), (r'__(FILE|LINE)__\b', Name.Builtin.Pseudo), # normal heredocs @@ -837,7 +838,7 @@ class PerlLexer(RegexLexer): (r'^=[a-zA-Z0-9]+\s+.*?\n=cut', Comment.Multiline), (r'(case|continue|do|else|elsif|for|foreach|if|last|my|' r'next|our|redo|reset|then|unless|until|while|use|' - r'print|new|BEGIN|END|return)\b', Keyword), + r'print|new|BEGIN|CHECK|INIT|END|return)\b', Keyword), (r'(format)(\s+)([a-zA-Z0-9_]+)(\s*)(=)(\s*\n)', bygroups(Keyword, Text, Name, Text, Punctuation, Text), 'format'), (r'(eq|lt|gt|le|ge|ne|not|and|or|cmp)\b', Operator.Word), @@ -893,11 +894,14 @@ class PerlLexer(RegexLexer): (r'0_?[0-7]+(_[0-7]+)*', Number.Oct), (r'0x[0-9A-Fa-f]+(_[0-9A-Fa-f]+)*', Number.Hex), (r'0b[01]+(_[01]+)*', Number.Bin), - (r'\d+', Number.Integer), + (r'(?i)(\d*(_\d*)*\.\d+(_\d*)*|\d+(_\d*)*\.\d+(_\d*)*)(e[+-]?\d+)?', + Number.Float), + (r'(?i)\d+(_\d*)*e[+-]?\d+(_\d*)*', Number.Float), + (r'\d+(_\d+)*', Number.Integer), (r"'(\\\\|\\'|[^'])*'", String), (r'"(\\\\|\\"|[^"])*"', String), (r'`(\\\\|\\`|[^`])*`', String.Backtick), - (r'<([^\s>]+)>', String.Regexp), + (r'<([^\s>]+)>', String.Regex), (r'(q|qq|qw|qr|qx)\{', String.Other, 'cb-string'), (r'(q|qq|qw|qr|qx)\(', String.Other, 'rb-string'), (r'(q|qq|qw|qr|qx)\[', String.Other, 'sb-string'), @@ -974,7 +978,7 @@ class PerlLexer(RegexLexer): } def analyse_text(text): - if shebang_matches(text, r'perl(\d\.\d\.\d)?'): + if shebang_matches(text, r'perl'): return True if 'my $' in text: return 0.9 @@ -1006,7 +1010,7 @@ class LuaLexer(RegexLexer): name = 'Lua' aliases = ['lua'] - filenames = ['*.lua'] + filenames = ['*.lua', '*.wlua'] mimetypes = ['text/x-lua', 'application/x-lua'] tokens = { @@ -1026,10 +1030,11 @@ class LuaLexer(RegexLexer): (r'\n', Text), (r'[^\S\n]', Text), - (r'(?s)\[(=*)\[.*?\]\1\]', String.Multiline), - (r'[\[\]\{\}\(\)\.,:;]', Punctuation), + # multiline strings + (r'(?s)\[(=*)\[.*?\]\1\]', String), (r'(==|~=|<=|>=|\.\.|\.\.\.|[=+\-*/%^<>#])', Operator), + (r'[\[\]\{\}\(\)\.,:;]', Punctuation), (r'(and|or|not)\b', Operator.Word), ('(break|do|else|elseif|end|for|if|in|repeat|return|then|until|' @@ -1042,14 +1047,13 @@ class LuaLexer(RegexLexer): (r'[A-Za-z_][A-Za-z0-9_]*(\.[A-Za-z_][A-Za-z0-9_]*)?', Name), - # multiline strings - (r'(?s)\[(=*)\[(.*?)\]\1\]', String), ("'", String.Single, combined('stringescape', 'sqs')), ('"', String.Double, combined('stringescape', 'dqs')) ], 'funcname': [ - ('[A-Za-z_][A-Za-z0-9_]*', Name.Function, '#pop'), + ('(?:([A-Za-z_][A-Za-z0-9_]*)(\.))?([A-Za-z_][A-Za-z0-9_]*)', + bygroups(Name.Class, Punctuation, Name.Function), '#pop'), # inline function ('\(', Punctuation, '#pop'), ], @@ -1483,3 +1487,473 @@ class ClojureLexer(RegexLexer): (r'(\(|\))', Punctuation), ], } + + +class FactorLexer(RegexLexer): + """ + Lexer for the `Factor `_ language. + + *New in Pygments 1.4.* + """ + name = 'Factor' + aliases = ['factor'] + filenames = ['*.factor'] + mimetypes = ['text/x-factor'] + + flags = re.MULTILINE | re.UNICODE + + builtin_kernel = ( + r'(?:or|2bi|2tri|while|wrapper|nip|4dip|wrapper\\?|bi\\*|' + r'callstack>array|both\\?|hashcode|die|dupd|callstack|' + r'callstack\\?|3dup|tri@|pick|curry|build|\\?execute|3bi|' + r'prepose|>boolean|\\?if|clone|eq\\?|tri\\*|\\?|=|swapd|' + r'2over|2keep|3keep|clear|2dup|when|not|tuple\\?|dup|2bi\\*|' + r'2tri\\*|call|tri-curry|object|bi@|do|unless\\*|if\\*|loop|' + r'bi-curry\\*|drop|when\\*|assert=|retainstack|assert\\?|-rot|' + r'execute|2bi@|2tri@|boa|with|either\\?|3drop|bi|curry\\?|' + r'datastack|until|3dip|over|3curry|tri-curry\\*|tri-curry@|swap|' + r'and|2nip|throw|bi-curry|\\(clone\\)|hashcode\\*|compose|2dip|if|3tri|' + r'unless|compose\\?|tuple|keep|2curry|equal\\?|assert|tri|2drop|' + r'most||boolean\\?|identity-hashcode|identity-tuple\\?|' + r'null|new|dip|bi-curry@|rot|xor|identity-tuple|boolean)\s' + ) + + builtin_assocs = ( + r'(?:\\?at|assoc\\?|assoc-clone-like|assoc=|delete-at\\*|' + r'assoc-partition|extract-keys|new-assoc|value\\?|assoc-size|' + r'map>assoc|push-at|assoc-like|key\\?|assoc-intersect|' + r'assoc-refine|update|assoc-union|assoc-combine|at\\*|' + r'assoc-empty\\?|at\\+|set-at|assoc-all\\?|assoc-subset\\?|' + r'assoc-hashcode|change-at|assoc-each|assoc-diff|zip|values|' + r'value-at|rename-at|inc-at|enum\\?|at|cache|assoc>map||' + r'assoc|assoc-map|enum|value-at\\*|assoc-map-as|>alist|' + r'assoc-filter-as|clear-assoc|assoc-stack|maybe-set-at|' + r'substitute|assoc-filter|2cache|delete-at|assoc-find|keys|' + r'assoc-any\\?|unzip)\s' + ) + + builtin_combinators = ( + r'(?:case|execute-effect|no-cond|no-case\\?|3cleave>quot|2cleave|' + r'cond>quot|wrong-values\\?|no-cond\\?|cleave>quot|no-case|' + r'case>quot|3cleave|wrong-values|to-fixed-point|alist>quot|' + r'case-find|cond|cleave|call-effect|2cleave>quot|recursive-hashcode|' + r'linear-case-quot|spread|spread>quot)\s' + ) + + builtin_math = ( + r'(?:number=|if-zero|next-power-of-2|each-integer|\\?1\\+|' + r'fp-special\\?|imaginary-part|unless-zero|float>bits|number\\?|' + r'fp-infinity\\?|bignum\\?|fp-snan\\?|denominator|fp-bitwise=|\\*|' + r'\\+|power-of-2\\?|-|u>=|/|>=|bitand|log2-expects-positive|<|' + r'log2|>|integer\\?|number|bits>double|2/|zero\\?|(find-integer)|' + r'bits>float|float\\?|shift|ratio\\?|even\\?|ratio|fp-sign|bitnot|' + r'>fixnum|complex\\?|/i|/f|byte-array>bignum|when-zero|sgn|>bignum|' + r'next-float|u<|u>|mod|recip|rational|find-last-integer|>float|' + r'(all-integers\\?)|2^|times|integer|fixnum\\?|neg|fixnum|sq|' + r'bignum|(each-integer)|bit\\?|fp-qnan\\?|find-integer|complex|' + r'|real|double>bits|bitor|rem|fp-nan-payload|all-integers\\?|' + r'real-part|log2-expects-positive\\?|prev-float|align|unordered\\?|' + r'float|fp-nan\\?|abs|bitxor|u<=|odd\\?|<=|/mod|rational\\?|>integer|' + r'real\\?|numerator)\s' + ) + + builtin_sequences = ( + r'(?:member-eq\\?|append|assert-sequence=|find-last-from|trim-head-slice|' + r'clone-like|3sequence|assert-sequence\\?|map-as|last-index-from|' + r'reversed|index-from|cut\\*|pad-tail|remove-eq!|concat-as|' + r'but-last|snip|trim-tail|nths|nth|2selector|sequence|slice\\?|' + r'|partition|remove-nth|tail-slice|empty\\?|tail\\*|' + r'if-empty|find-from|virtual-sequence\\?|member\\?|set-length|' + r'drop-prefix|unclip|unclip-last-slice|iota|map-sum|' + r'bounds-error\\?|sequence-hashcode-step|selector-for|' + r'accumulate-as|map|start|midpoint@|\\(accumulate\\)|rest-slice|' + r'prepend|fourth|sift|accumulate!|new-sequence|follow|map!|' + r'like|first4|1sequence|reverse|slice|unless-empty|padding|' + r'virtual@|repetition\\?|set-last|index|4sequence|max-length|' + r'set-second|immutable-sequence|first2|first3|replicate-as|' + r'reduce-index|unclip-slice|supremum|suffix!|insert-nth|' + r'trim-tail-slice|tail|3append|short|count|suffix|concat|' + r'flip|filter|sum|immutable\\?|reverse!|2sequence|map-integers|' + r'delete-all|start\\*|indices|snip-slice|check-slice|sequence\\?|' + r'head|map-find|filter!|append-as|reduce|sequence=|halves|' + r'collapse-slice|interleave|2map|filter-as|binary-reduce|' + r'slice-error\\?|product|bounds-check\\?|bounds-check|harvest|' + r'immutable|virtual-exemplar|find|produce|remove|pad-head|last|' + r'replicate|set-fourth|remove-eq|shorten|reversed\\?|' + r'map-find-last|3map-as|2unclip-slice|shorter\\?|3map|find-last|' + r'head-slice|pop\\*|2map-as|tail-slice\\*|but-last-slice|' + r'2map-reduce|iota\\?|collector-for|accumulate|each|selector|' + r'append!|new-resizable|cut-slice|each-index|head-slice\\*|' + r'2reverse-each|sequence-hashcode|pop|set-nth|\\?nth|' + r'|second|join|when-empty|collector|' + r'immutable-sequence\\?||all\\?|3append-as|' + r'virtual-sequence|subseq\\?|remove-nth!|push-either|new-like|' + r'length|last-index|push-if|2all\\?|lengthen|assert-sequence|' + r'copy|map-reduce|move|third|first|3each|tail\\?|set-first|' + r'prefix|bounds-error|any\\?||trim-slice|exchange|' + r'surround|2reduce|cut|change-nth|min-length|set-third|produce-as|' + r'push-all|head\\?|delete-slice|rest|sum-lengths|2each|head\\*|' + r'infimum|remove!|glue|slice-error|subseq|trim|replace-slice|' + r'push|repetition|map-index|trim-head|unclip-last|mismatch)\s' + ) + + builtin_namespaces = ( + r'(?:global|\\+@|change|set-namestack|change-global|init-namespaces|' + r'on|off|set-global|namespace|set|with-scope|bind|with-variable|' + r'inc|dec|counter|initialize|namestack|get|get-global|make-assoc)\s' + ) + + builtin_arrays = ( + r'(?:|2array|3array|pair|>array|1array|4array|pair\\?|' + r'array|resize-array|array\\?)\s' + ) + + builtin_io = ( + r'(?:\\+character\\+|bad-seek-type\\?|readln|each-morsel|stream-seek|' + r'read|print|with-output-stream|contents|write1|stream-write1|' + r'stream-copy|stream-element-type|with-input-stream|' + r'stream-print|stream-read|stream-contents|stream-tell|' + r'tell-output|bl|seek-output|bad-seek-type|nl|stream-nl|write|' + r'flush|stream-lines|\\+byte\\+|stream-flush|read1|' + r'seek-absolute\\?|stream-read1|lines|stream-readln|' + r'stream-read-until|each-line|seek-end|with-output-stream\\*|' + r'seek-absolute|with-streams|seek-input|seek-relative\\?|' + r'input-stream|stream-write|read-partial|seek-end\\?|' + r'seek-relative|error-stream|read-until|with-input-stream\\*|' + r'with-streams\\*|tell-input|each-block|output-stream|' + r'stream-read-partial|each-stream-block|each-stream-line)\s' + ) + + builtin_strings = ( + r'(?:resize-string|>string||1string|string|string\\?)\s' + ) + + builtin_vectors = ( + r'(?:vector\\?||\\?push|vector|>vector|1vector)\s' + ) + + builtin_continuations = ( + r'(?:with-return|restarts|return-continuation|with-datastack|' + r'recover|rethrow-restarts||ifcc|set-catchstack|' + r'>continuation<|cleanup|ignore-errors|restart\\?|' + r'compute-restarts|attempt-all-error|error-thread|continue|' + r'|attempt-all-error\\?|condition\\?|' + r'|throw-restarts|error|catchstack|continue-with|' + r'thread-error-hook|continuation|rethrow|callcc1|' + r'error-continuation|callcc0|attempt-all|condition|' + r'continuation\\?|restart|return)\s' + ) + + tokens = { + 'root': [ + # TODO: (( inputs -- outputs )) + # TODO: << ... >> + + # defining words + (r'(\s*)(:|::|MACRO:|MEMO:)(\s+)(\S+)', + bygroups(Text, Keyword, Text, Name.Function)), + (r'(\s*)(M:)(\s+)(\S+)(\s+)(\S+)', + bygroups(Text, Keyword, Text, Name.Class, Text, Name.Function)), + (r'(\s*)(GENERIC:)(\s+)(\S+)', + bygroups(Text, Keyword, Text, Name.Function)), + (r'(\s*)(HOOK:|GENERIC#)(\s+)(\S+)(\s+)(\S+)', + bygroups(Text, Keyword, Text, Name.Function, Text, Name.Function)), + (r'(\()(\s+)', bygroups(Name.Function, Text), 'stackeffect'), + (r'\;\s', Keyword), + + # imports and namespaces + (r'(USING:)((?:\s|\\\s)+)', bygroups(Keyword.Namespace, Text), 'import'), + (r'(USE:)(\s+)(\S+)', bygroups(Keyword.Namespace, Text, Name.Namespace)), + (r'(UNUSE:)(\s+)(\S+)', bygroups(Keyword.Namespace, Text, Name.Namespace)), + (r'(QUALIFIED:)(\s+)(\S+)', + bygroups(Keyword.Namespace, Text, Name.Namespace)), + (r'(QUALIFIED-WITH:)(\s+)(\S+)', + bygroups(Keyword.Namespace, Text, Name.Namespace)), + (r'(FROM:|EXCLUDE:)(\s+)(\S+)(\s+)(=>)', + bygroups(Keyword.Namespace, Text, Name.Namespace, Text, Text)), + (r'(IN:)(\s+)(\S+)', bygroups(Keyword.Namespace, Text, Name.Namespace)), + (r'(?:ALIAS|DEFER|FORGET|POSTPONE):', Keyword.Namespace), + + # tuples and classes + (r'(TUPLE:)(\s+)(\S+)(\s+<\s+)(\S+)', + bygroups(Keyword, Text, Name.Class, Text, Name.Class), 'slots'), + (r'(TUPLE:)(\s+)(\S+)', bygroups(Keyword, Text, Name.Class), 'slots'), + (r'(UNION:)(\s+)(\S+)', bygroups(Keyword, Text, Name.Class)), + (r'(INTERSECTION:)(\s+)(\S+)', bygroups(Keyword, Text, Name.Class)), + (r'(PREDICATE:)(\s+)(\S+)(\s+<\s+)(\S+)', + bygroups(Keyword, Text, Name.Class, Text, Name.Class)), + (r'(C:)(\s+)(\S+)(\s+)(\S+)', + bygroups(Keyword, Text, Name.Function, Text, Name.Class)), + (r'INSTANCE:', Keyword), + (r'SLOT:', Keyword), + (r'MIXIN:', Keyword), + (r'(?:SINGLETON|SINGLETONS):', Keyword), + + # other syntax + (r'CONSTANT:', Keyword), + (r'(?:SYMBOL|SYMBOLS):', Keyword), + (r'ERROR:', Keyword), + (r'SYNTAX:', Keyword), + (r'(HELP:)(\s+)(\S+)', bygroups(Keyword, Text, Name.Function)), + (r'(MAIN:)(\s+)(\S+)', bygroups(Keyword.Namespace, Text, Name.Function)), + (r'(?:ALIEN|TYPEDEF|FUNCTION|STRUCT):', Keyword), + + # vocab.private + # TODO: words inside vocab.private should have red names? + (r'(?:)', Keyword.Namespace), + + # strings + (r'"""\s+(?:.|\n)*?\s+"""', String), + (r'"(?:\\\\|\\"|[^"])*"', String), + (r'CHAR:\s+(\\[\\abfnrstv]*|\S)\s', String.Char), + + # comments + (r'\!\s+.*$', Comment), + (r'#\!\s+.*$', Comment), + + # boolean constants + (r'(t|f)\s', Name.Constant), + + # numbers + (r'-?\d+\.\d+\s', Number.Float), + (r'-?\d+\s', Number.Integer), + (r'HEX:\s+[a-fA-F\d]+\s', Number.Hex), + (r'BIN:\s+[01]+\s', Number.Integer), + (r'OCT:\s+[0-7]+\s', Number.Oct), + + # operators + (r'[-+/*=<>^]\s', Operator), + + # keywords + (r'(?:deprecated|final|foldable|flushable|inline|recursive)\s', Keyword), + + # builtins + (builtin_kernel, Name.Builtin), + (builtin_assocs, Name.Builtin), + (builtin_combinators, Name.Builtin), + (builtin_math, Name.Builtin), + (builtin_sequences, Name.Builtin), + (builtin_namespaces, Name.Builtin), + (builtin_arrays, Name.Builtin), + (builtin_io, Name.Builtin), + (builtin_strings, Name.Builtin), + (builtin_vectors, Name.Builtin), + (builtin_continuations, Name.Builtin), + + # whitespaces - usually not relevant + (r'\s+', Text), + + # everything else is text + (r'\S+', Text), + ], + + 'stackeffect': [ + (r'\s*\(', Name.Function, 'stackeffect'), + (r'\)', Name.Function, '#pop'), + (r'\-\-', Name.Function), + (r'\s+', Text), + (r'\S+', Name.Variable), + ], + + 'slots': [ + (r'\s+', Text), + (r';\s', Keyword, '#pop'), + (r'\S+', Name.Variable), + ], + + 'import': [ + (r';', Keyword, '#pop'), + (r'\S+', Name.Namespace), + (r'\s+', Text), + ], + } + + +class IokeLexer(RegexLexer): + """ + For `Ioke `_ (a strongly typed, dynamic, + prototype based programming language) source. + + *New in Pygments 1.4.* + """ + name = 'Ioke' + filenames = ['*.ik'] + aliases = ['ioke', 'ik'] + mimetypes = ['text/x-iokesrc'] + tokens = { + 'interpolatableText': [ + (r'(\\b|\\e|\\t|\\n|\\f|\\r|\\"|\\\\|\\#|\\\Z|\\u[0-9a-fA-F]{1,4}' + r'|\\[0-3]?[0-7]?[0-7])', String.Escape), + (r'#{', Punctuation, 'textInterpolationRoot') + ], + + 'text': [ + (r'(?>|\|\|>>|\*\*>>|:::|::|\.\.\.|===|\*\*>|\*\*=|&&>|&&=|' + ur'\|\|>|\|\|=|\->>|\+>>|!>>|<>>>|<>>|&>>|%>>|#>>|@>>|/>>|\*>>|' + ur'\?>>|\|>>|\^>>|~>>|\$>>|=>>|<<=|>>=|<=>|<\->|=~|!~|=>|\+\+|' + ur'\-\-|<=|>=|==|!=|&&|\.\.|\+=|\-=|\*=|\/=|%=|&=|\^=|\|=|<\-|' + ur'\+>|!>|<>|&>|%>|#>|\@>|\/>|\*>|\?>|\|>|\^>|~>|\$>|<\->|\->|' + ur'<<|>>|\*\*|\?\||\?&|\|\||>|<|\*|\/|%|\+|\-|&|\^|\||=|\$|!|~|' + ur'\?|#|\u2260|\u2218|\u2208|\u2209)', Operator), + (r'(and|nand|or|xor|nor|return|import)(?![a-zA-Z0-9_!?])', + Operator), + + # Punctuation + (r'(\`\`|\`|\'\'|\'|\.|\,|@|@@|\[|\]|\(|\)|{|})', Punctuation), + + #kinds + (r'[A-Z][a-zA-Z0-9_!:?]*', Name.Class), + + #default cellnames + (r'[a-z_][a-zA-Z0-9_!:?]*', Name) + ] + } diff --git a/pygments/lexers/compiled.py b/pygments/lexers/compiled.py index a2543e2..5c10a78 100644 --- a/pygments/lexers/compiled.py +++ b/pygments/lexers/compiled.py @@ -26,7 +26,7 @@ __all__ = ['CLexer', 'CppLexer', 'DLexer', 'DelphiLexer', 'JavaLexer', 'ScalaLexer', 'DylanLexer', 'OcamlLexer', 'ObjectiveCLexer', 'FortranLexer', 'GLShaderLexer', 'PrologLexer', 'CythonLexer', 'ValaLexer', 'OocLexer', 'GoLexer', 'FelixLexer', 'AdaLexer', - 'Modula2Lexer'] + 'Modula2Lexer', 'BlitzMaxLexer'] class CLexer(RegexLexer): @@ -43,8 +43,12 @@ class CLexer(RegexLexer): tokens = { 'whitespace': [ - (r'^\s*#if\s+0', Comment.Preproc, 'if0'), - (r'^\s*#', Comment.Preproc, 'macro'), + # preprocessor directives: without whitespace + ('^#if\s+0', Comment.Preproc, 'if0'), + ('^#', Comment.Preproc, 'macro'), + # or with whitespace + ('^' + _ws + r'#if\s+0', Comment.Preproc, 'if0'), + ('^' + _ws + '#', Comment.Preproc, 'macro'), (r'^(\s*)([a-zA-Z_][a-zA-Z0-9_]*:(?!:))', bygroups(Text, Name.Label)), (r'\n', Text), (r'\s+', Text), @@ -55,11 +59,11 @@ class CLexer(RegexLexer): 'statements': [ (r'L?"', String, 'string'), (r"L?'(\\.|\\[0-7]{1,3}|\\x[a-fA-F0-9]{1,2}|[^\\\'\n])'", String.Char), - (r'(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+[lL]?', Number.Float), + (r'(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+[LlUu]*', Number.Float), (r'(\d+\.\d*|\.\d+|\d+[fF])[fF]?', Number.Float), - (r'0x[0-9a-fA-F]+[Ll]?', Number.Hex), - (r'0[0-7]+[Ll]?', Number.Oct), - (r'\d+[Ll]?', Number.Integer), + (r'0x[0-9a-fA-F]+[LlUu]*', Number.Hex), + (r'0[0-7]+[LlUu]*', Number.Oct), + (r'\d+[LlUu]*', Number.Integer), (r'\*/', Error), (r'[~!%^&*+=|?:<>/-]', Operator), (r'[()\[\],.]', Punctuation), @@ -168,10 +172,17 @@ class CppLexer(RegexLexer): filenames = ['*.cpp', '*.hpp', '*.c++', '*.h++', '*.cc', '*.hh', '*.cxx', '*.hxx'] mimetypes = ['text/x-c++hdr', 'text/x-c++src'] + #: optional Comment or Whitespace + _ws = r'(?:\s|//.*?\n|/[*].*?[*]/)+' + tokens = { 'root': [ - (r'^\s*#if\s+0', Comment.Preproc, 'if0'), - (r'^\s*#', Comment.Preproc, 'macro'), + # preprocessor directives: without whitespace + ('^#if\s+0', Comment.Preproc, 'if0'), + ('^#', Comment.Preproc, 'macro'), + # or with whitespace + ('^' + _ws + r'#if\s+0', Comment.Preproc, 'if0'), + ('^' + _ws + '#', Comment.Preproc, 'macro'), (r'\n', Text), (r'\s+', Text), (r'\\\n', Text), # line continuation @@ -180,11 +191,11 @@ class CppLexer(RegexLexer): (r'[{}]', Punctuation), (r'L?"', String, 'string'), (r"L?'(\\.|\\[0-7]{1,3}|\\x[a-fA-F0-9]{1,2}|[^\\\'\n])'", String.Char), - (r'(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+[lL]?', Number.Float), + (r'(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+[LlUu]*', Number.Float), (r'(\d+\.\d*|\.\d+|\d+[fF])[fF]?', Number.Float), - (r'0x[0-9a-fA-F]+[Ll]?', Number.Hex), - (r'0[0-7]+[Ll]?', Number.Oct), - (r'\d+[Ll]?', Number.Integer), + (r'0x[0-9a-fA-F]+[LlUu]*', Number.Hex), + (r'0[0-7]+[LlUu]*', Number.Oct), + (r'\d+[LlUu]*', Number.Integer), (r'\*/', Error), (r'[~!%^&*+=|?:<>/-]', Operator), (r'[()\[\],.;]', Punctuation), @@ -204,6 +215,8 @@ class CppLexer(RegexLexer): r'uuidof|unaligned|super|single_inheritance|raise|noop|' r'multiple_inheritance|m128i|m128d|m128|m64|interface|' r'identifier|forceinline|event|assume)\b', Keyword.Reserved), + # Offload C++ extensions, http://offload.codeplay.com/ + (r'(__offload|__blockingoffload|__outer)\b', Keyword.Psuedo), (r'(true|false)\b', Keyword.Constant), (r'NULL\b', Name.Builtin), ('[a-zA-Z_][a-zA-Z0-9_]*:(?!:)', Name.Label), @@ -1038,7 +1051,7 @@ class DylanLexer(RegexLexer): name = 'Dylan' aliases = ['dylan'] - filenames = ['*.dylan'] + filenames = ['*.dylan', '*.dyl'] mimetypes = ['text/x-dylan'] flags = re.DOTALL @@ -1051,10 +1064,10 @@ class DylanLexer(RegexLexer): r'|open|primary|sealed|si(deways|ngleton)|slot' r'|v(ariable|irtual))\b', Name.Builtin), (r'<\w+>', Keyword.Type), - (r'#?"(?:\\.|[^"])+?"', String.Double), (r'//.*?\n', Comment.Single), (r'/\*[\w\W]*?\*/', Comment.Multiline), - (r'\'.*?\'', String.Single), + (r'"', String, 'string'), + (r"'(\\.|\\[0-7]{1,3}|\\x[a-fA-F0-9]{1,2}|[^\\\'\n])'", String.Char), (r'=>|\b(a(bove|fterwards)|b(e(gin|low)|y)|c(ase|leanup|reate)' r'|define|else(|if)|end|f(inally|or|rom)|i[fn]|l(et|ocal)|otherwise' r'|rename|s(elect|ignal)|t(hen|o)|u(n(less|til)|se)|wh(en|ile))\b', @@ -1071,6 +1084,13 @@ class DylanLexer(RegexLexer): (r'#[a-zA-Z0-9-]+', Keyword), (r'[a-zA-Z0-9-]+', Name.Variable), ], + 'string': [ + (r'"', String, '#pop'), + (r'\\([\\abfnrtv"\']|x[a-fA-F0-9]{2,4}|[0-7]{1,3})', String.Escape), + (r'[^\\"\n]+', String), # all other characters + (r'\\\n', String), # line continuation + (r'\\', String), # stray backslash + ], } @@ -1090,8 +1110,12 @@ class ObjectiveCLexer(RegexLexer): tokens = { 'whitespace': [ - (r'^(\s*)(#if\s+0)', bygroups(Text, Comment.Preproc), 'if0'), - (r'^(\s*)(#)', bygroups(Text, Comment.Preproc), 'macro'), + # preprocessor directives: without whitespace + ('^#if\s+0', Comment.Preproc, 'if0'), + ('^#', Comment.Preproc, 'macro'), + # or with whitespace + ('^' + _ws + r'#if\s+0', Comment.Preproc, 'if0'), + ('^' + _ws + '#', Comment.Preproc, 'macro'), (r'\n', Text), (r'\s+', Text), (r'\\\n', Text), # line continuation @@ -1323,7 +1347,7 @@ class GLShaderLexer(RegexLexer): 'root': [ (r'^#.*', Comment.Preproc), (r'//.*', Comment.Single), - (r'/\*[\w\W]*\*/', Comment.Multiline), + (r'/(\\\n)?[*](.|\n)*?[*](\\\n)?/', Comment.Multiline), (r'\+|-|~|!=?|\*|/|%|<<|>>|<=?|>=?|==?|&&?|\^|\|\|?', Operator), (r'[?:]', Operator), # quick hack for ternary @@ -1333,7 +1357,7 @@ class GLShaderLexer(RegexLexer): (r'[+-]?\d*\.\d+([eE][-+]?\d+)?', Number.Float), (r'[+-]?\d+\.\d*([eE][-+]?\d+)?', Number.Float), (r'0[xX][0-9a-fA-F]*', Number.Hex), - (r'0[0-7]*', Number.Octal), + (r'0[0-7]*', Number.Oct), (r'[1-9][0-9]*', Number.Integer), (r'\b(attribute|const|uniform|varying|centroid|break|continue|' r'do|for|while|if|else|in|out|inout|float|int|void|bool|true|' @@ -1346,12 +1370,13 @@ class GLShaderLexer(RegexLexer): r'lowp|mediump|highp|precision|input|output|hvec[234]|' r'[df]vec[234]|sampler[23]DRect|sampler2DRectShadow|sizeof|' r'cast|namespace|using)\b', Keyword), #future use - (r'[a-zA-Z_][a-zA-Z_0-9]*', Name.Variable), + (r'[a-zA-Z_][a-zA-Z_0-9]*', Name), (r'\.', Punctuation), (r'\s+', Text), ], } + class PrologLexer(RegexLexer): """ Lexer for Prolog files. @@ -1371,7 +1396,7 @@ class PrologLexer(RegexLexer): (r'[0-9]+', Number), (r'[\[\](){}|.,;!]', Punctuation), (r':-|-->', Punctuation), - (r'"(?:\\x[0-9a-fA-F]+\\|\\u[0-9a-fA-F]{4}|\U[0-9a-fA-F]{8}|' + (r'"(?:\\x[0-9a-fA-F]+\\|\\u[0-9a-fA-F]{4}|\\U[0-9a-fA-F]{8}|' r'\\[0-7]+\\|\\[\w\W]|[^"])*"', String.Double), (r"'(?:''|[^'])*'", String.Atom), # quoted atom # Needs to not be followed by an atom. @@ -1707,7 +1732,7 @@ class OocLexer(RegexLexer): (r'[:(){}\[\];,]', Punctuation), (r'0x[0-9a-fA-F]+', Number.Hex), - (r'0c[0-9]+', Number.Octal), + (r'0c[0-9]+', Number.Oct), (r'0b[01]+', Number.Binary), (r'[0-9_]\.[0-9_]*(?!\.)', Number.Float), (r'[0-9_]+', Number.Decimal), @@ -2363,3 +2388,95 @@ class Modula2Lexer(RegexLexer): token = Keyword.Pervasive # return result yield index, token, value + + +class BlitzMaxLexer(RegexLexer): + """ + For `BlitzMax `_ source code. + + *New in Pygments 1.4.* + """ + + name = 'BlitzMax' + aliases = ['blitzmax', 'bmax'] + filenames = ['*.bmx'] + mimetypes = ['text/x-bmx'] + + bmax_vopwords = r'\b(Shl|Shr|Sar|Mod)\b' + bmax_sktypes = r'@{1,2}|[!#$%]' + bmax_lktypes = r'\b(Int|Byte|Short|Float|Double|Long)\b' + bmax_name = r'[a-z_][a-z0-9_]*' + bmax_var = r'(%s)(?:(?:([ \t]*)(%s)|([ \t]*:[ \t]*\b(?:Shl|Shr|Sar|Mod)\b)|([ \t]*)([:])([ \t]*)(?:%s|(%s)))(?:([ \t]*)(Ptr))?)' % (bmax_name, bmax_sktypes, bmax_lktypes, bmax_name) + bmax_func = bmax_var + r'?((?:[ \t]|\.\.\n)*)([(])' + + flags = re.MULTILINE | re.IGNORECASE + tokens = { + 'root': [ + # Text + (r'[ \t]+', Text), + (r'\.\.\n', Text), # Line continuation + # Comments + (r"'.*?\n", Comment.Single), + (r'([ \t]*)\bRem\n(\n|.)*?\s*\bEnd([ \t]*)Rem', Comment.Multiline), + # Data types + ('"', String.Double, 'string'), + # Numbers + (r'[0-9]+\.[0-9]*(?!\.)', Number.Float), + (r'\.[0-9]*(?!\.)', Number.Float), + (r'[0-9]+', Number.Integer), + (r'\$[0-9a-f]+', Number.Hex), + (r'\%[10]+', Number), # Binary + # Other + (r'(?:(?:(:)?([ \t]*)(:?%s|([+\-*/&|~]))|Or|And|Not|[=<>^]))' % + (bmax_vopwords), Operator), + (r'[(),.:\[\]]', Punctuation), + (r'(?:#[\w \t]*)', Name.Label), + (r'(?:\?[\w \t]*)', Comment.Preproc), + # Identifiers + (r'\b(New)\b([ \t]?)([(]?)(%s)' % (bmax_name), + bygroups(Keyword.Reserved, Text, Punctuation, Name.Class)), + (r'\b(Import|Framework|Module)([ \t]+)(%s\.%s)' % + (bmax_name, bmax_name), + bygroups(Keyword.Reserved, Text, Keyword.Namespace)), + (bmax_func, bygroups(Name.Function, Text, Keyword.Type, + Operator, Text, Punctuation, Text, + Keyword.Type, Name.Class, Text, + Keyword.Type, Text, Punctuation)), + (bmax_var, bygroups(Name.Variable, Text, Keyword.Type, Operator, + Text, Punctuation, Text, Keyword.Type, + Name.Class, Text, Keyword.Type)), + (r'\b(Type|Extends)([ \t]+)(%s)' % (bmax_name), + bygroups(Keyword.Reserved, Text, Name.Class)), + # Keywords + (r'\b(Ptr)\b', Keyword.Type), + (r'\b(Pi|True|False|Null|Self|Super)\b', Keyword.Constant), + (r'\b(Local|Global|Const|Field)\b', Keyword.Declaration), + (r'\b(TNullMethodException|TNullFunctionException|' + r'TNullObjectException|TArrayBoundsException|' + r'TRuntimeException)\b', Name.Exception), + (r'\b(Strict|SuperStrict|Module|ModuleInfo|' + r'End|Return|Continue|Exit|Public|Private|' + r'Var|VarPtr|Chr|Len|Asc|SizeOf|Sgn|Abs|Min|Max|' + r'New|Release|Delete|' + r'Incbin|IncbinPtr|IncbinLen|' + r'Framework|Include|Import|Extern|EndExtern|' + r'Function|EndFunction|' + r'Type|EndType|Extends|' + r'Method|EndMethod|' + r'Abstract|Final|' + r'If|Then|Else|ElseIf|EndIf|' + r'For|To|Next|Step|EachIn|' + r'While|Wend|EndWhile|' + r'Repeat|Until|Forever|' + r'Select|Case|Default|EndSelect|' + r'Try|Catch|EndTry|Throw|Assert|' + r'Goto|DefData|ReadData|RestoreData)\b', Keyword.Reserved), + # Final resolve (for variable names and such) + (r'(%s)' % (bmax_name), Name.Variable), + ], + 'string': [ + (r'""', String.Double), + (r'"C?', String.Double, '#pop'), + (r'[^"]+', String.Double), + ], + } diff --git a/pygments/lexers/dotnet.py b/pygments/lexers/dotnet.py index 0867cdb..48feeb8 100644 --- a/pygments/lexers/dotnet.py +++ b/pygments/lexers/dotnet.py @@ -234,28 +234,30 @@ class VbNetLexer(RegexLexer): r'(On|Off|Binary|Text)', Keyword.Declaration), (r'(?/-]', Operator), + (r'[()\[\],.;\']', Punctuation), + (r'`[a-zA-Z_][a-zA-Z0-9_]*', Name.Constant), + + (r'^\s*(package)(\s+)', bygroups(Keyword.Namespace, Text)), + (r'^\s*(import)(\s+)', bygroups(Keyword.Namespace, Text), 'import'), + + (r'(always|always_comb|always_ff|always_latch|and|assign|automatic|' + r'begin|break|buf|bufif0|bufif1|case|casex|casez|cmos|const|' + r'continue|deassign|default|defparam|disable|do|edge|else|end|endcase|' + r'endfunction|endgenerate|endmodule|endpackage|endprimitive|endspecify|' + r'endtable|endtask|enum|event|final|for|force|forever|fork|function|' + r'generate|genvar|highz0|highz1|if|initial|inout|input|' + r'integer|join|large|localparam|macromodule|medium|module|' + r'nand|negedge|nmos|nor|not|notif0|notif1|or|output|packed|' + r'parameter|pmos|posedge|primitive|pull0|pull1|pulldown|pullup|rcmos|' + r'ref|release|repeat|return|rnmos|rpmos|rtran|rtranif0|' + r'rtranif1|scalared|signed|small|specify|specparam|strength|' + r'string|strong0|strong1|struct|table|task|' + r'tran|tranif0|tranif1|type|typedef|' + r'unsigned|var|vectored|void|wait|weak0|weak1|while|' + r'xnor|xor)\b', Keyword), + + (r'(`accelerate|`autoexpand_vectornets|`celldefine|`default_nettype|' + r'`else|`elsif|`endcelldefine|`endif|`endprotect|`endprotected|' + r'`expand_vectornets|`ifdef|`ifndef|`include|`noaccelerate|`noexpand_vectornets|' + r'`noremove_gatenames|`noremove_netnames|`nounconnected_drive|' + r'`protect|`protected|`remove_gatenames|`remove_netnames|`resetall|' + r'`timescale|`unconnected_drive|`undef)\b', Comment.Preproc), + + (r'(\$bits|\$bitstoreal|\$bitstoshortreal|\$countdrivers|\$display|\$fclose|' + r'\$fdisplay|\$finish|\$floor|\$fmonitor|\$fopen|\$fstrobe|\$fwrite|' + r'\$getpattern|\$history|\$incsave|\$input|\$itor|\$key|\$list|\$log|' + r'\$monitor|\$monitoroff|\$monitoron|\$nokey|\$nolog|\$printtimescale|' + r'\$random|\$readmemb|\$readmemh|\$realtime|\$realtobits|\$reset|\$reset_count|' + r'\$reset_value|\$restart|\$rtoi|\$save|\$scale|\$scope|\$shortrealtobits|' + r'\$showscopes|\$showvariables|\$showvars|\$sreadmemb|\$sreadmemh|' + r'\$stime|\$stop|\$strobe|\$time|\$timeformat|\$write)\b', Name.Builtin), + + (r'(class)(\s+)', bygroups(Keyword, Text), 'classname'), + (r'(byte|shortint|int|longint|interger|time|' + r'bit|logic|reg|' + r'supply0|supply1|tri|triand|trior|tri0|tri1|trireg|uwire|wire|wand|wor' + r'shortreal|real|realtime)\b', Keyword.Type), + ('[a-zA-Z_][a-zA-Z0-9_]*:(?!:)', Name.Label), + ('[a-zA-Z_][a-zA-Z0-9_]*', Name), + ], + 'classname': [ + (r'[a-zA-Z_][a-zA-Z0-9_]*', Name.Class, '#pop'), + ], + 'string': [ + (r'"', String, '#pop'), + (r'\\([\\abfnrtv"\']|x[a-fA-F0-9]{2,4}|[0-7]{1,3})', String.Escape), + (r'[^\\"\n]+', String), # all other characters + (r'\\\n', String), # line continuation + (r'\\', String), # stray backslash + ], + 'macro': [ + (r'[^/\n]+', Comment.Preproc), + (r'/[*](.|\n)*?[*]/', Comment.Multiline), + (r'//.*?\n', Comment.Single, '#pop'), + (r'/', Comment.Preproc), + (r'(?<=\\)\n', Comment.Preproc), + (r'\n', Comment.Preproc, '#pop'), + ], + 'import': [ + (r'[a-zA-Z0-9_:]+\*?', Name.Namespace, '#pop') + ] + } + + def get_tokens_unprocessed(self, text): + for index, token, value in \ + RegexLexer.get_tokens_unprocessed(self, text): + # Convention: mark all upper case names as constants + if token is Name: + if value.isupper(): + token = Name.Constant + yield index, token, value + + diff --git a/pygments/lexers/math.py b/pygments/lexers/math.py index 448e299..5f00c08 100644 --- a/pygments/lexers/math.py +++ b/pygments/lexers/math.py @@ -153,10 +153,10 @@ class MatlabLexer(RegexLexer): (r'%.*$', Comment), (r'^\s*function', Keyword, 'deffunc'), - # from 'iskeyword' on version 7.4.0.336 (R2007a): - (r'(break|case|catch|classdef|continue|else|elseif|end|for|function|' - r'global|if|otherwise|parfor|persistent|return|switch|try|while)\b', - Keyword), + # from 'iskeyword' on version 7.11 (R2010): + (r'(break|case|catch|classdef|continue|else|elseif|end|enumerated|' + r'events|for|function|global|if|methods|otherwise|parfor|' + r'persistent|properties|return|spmd|switch|try|while)\b', Keyword), ("(" + "|".join(elfun+specfun+elmat) + r')\b', Name.Builtin), @@ -228,7 +228,7 @@ class MatlabSessionLexer(Lexer): # without is showing error on same line as before...? line = "\n" + line token = (0, Generic.Traceback, line) - insertions.append( (idx, [token,]) ) + insertions.append((idx, [token])) else: if curcode: diff --git a/pygments/lexers/other.py b/pygments/lexers/other.py index 8ca00fe..69e4ccb 100644 --- a/pygments/lexers/other.py +++ b/pygments/lexers/other.py @@ -13,7 +13,7 @@ import re from pygments.lexer import Lexer, RegexLexer, include, bygroups, using, \ this, do_insertions -from pygments.token import Error, Punctuation, \ +from pygments.token import Error, Punctuation, Literal, Token, \ Text, Comment, Operator, Keyword, Name, String, Number, Generic from pygments.util import shebang_matches from pygments.lexers.web import HtmlLexer @@ -24,7 +24,9 @@ __all__ = ['SqlLexer', 'MySqlLexer', 'SqliteConsoleLexer', 'BrainfuckLexer', 'MOOCodeLexer', 'SmalltalkLexer', 'TcshLexer', 'LogtalkLexer', 'GnuplotLexer', 'PovrayLexer', 'AppleScriptLexer', 'BashSessionLexer', 'ModelicaLexer', 'RebolLexer', 'ABAPLexer', - 'NewspeakLexer', 'GherkinLexer', 'AsymptoteLexer'] + 'NewspeakLexer', 'GherkinLexer', 'AsymptoteLexer', + 'PostScriptLexer', 'AutohotkeyLexer', 'GoodDataCLLexer', + 'MaqlLexer', 'ProtoBufLexer', 'HybrisLexer'] line_re = re.compile('.*?\n') @@ -355,7 +357,7 @@ class BashLexer(RegexLexer): (r'\\[\w\W]', String.Escape), (r'(\b\w+)(\s*)(=)', bygroups(Name.Variable, Text, Operator)), (r'[\[\]{}()=]', Operator), - (r'<<\s*(\'?)\\?(\w+)[\w\W]+?\2', String), + (r'<<-?\s*(\'?)\\?(\w+)[\w\W]+?\2', String), (r'&&|\|\|', Operator), ], 'data': [ @@ -2095,7 +2097,7 @@ class NewspeakLexer(RegexLexer): class GherkinLexer(RegexLexer): """ - For `Gherkin ` syntax. + For `Gherkin ` syntax. *New in Pygments 1.2.* """ @@ -2104,82 +2106,99 @@ class GherkinLexer(RegexLexer): filenames = ['*.feature'] mimetypes = ['text/x-gherkin'] - feature_keywords_regexp = ur'^(기능|機能|功能|フィーチャ|خاصية|תכונה|Функционалност|Функционал|Особина|Могућност|Özellik|Właściwość|Tính năng|Savybė|Požiadavka|Požadavek|Osobina|Ominaisuus|Omadus|OH HAI|Mogućnost|Mogucnost|Jellemző|Fīča|Funzionalità|Funktionalität|Funkcionalnost|Funkcionalitāte|Funcționalitate|Functionaliteit|Functionalitate|Funcionalidade|Fonctionnalité|Fitur|Feature|Egenskap|Egenskab|Crikey|Característica|Arwedd)(:)(.*)$' - scenario_keywords_regexp = ur'^(\s*)(시나리오 개요|시나리오|배경|背景|場景大綱|場景|场景大纲|场景|劇本大綱|劇本|テンプレ|シナリオテンプレート|シナリオテンプレ|シナリオアウトライン|シナリオ|سيناريو مخطط|سيناريو|الخلفية|תרחיש|תבנית תרחיש|רקע|Тарих|Сценарио|Сценарий структураси|Сценарий|Структура сценарија|Структура сценария|Скица|Рамка на сценарий|Пример|Предыстория|Предистория|Позадина|Основа|Концепт|Контекст|Założenia|Tình huống|Tausta|Taust|Tapausaihio|Tapaus|Szenariogrundriss|Szenario|Szablon scenariusza|Stsenaarium|Struktura scenarija|Skica|Skenario konsep|Skenario|Situācija|Senaryo taslağı|Senaryo|Scénář|Scénario|Schema dello scenario|Scenārijs pēc parauga|Scenārijs|Scenár|Scenariusz|Scenariul de şablon|Scenariul de sablon|Scenariu|Scenario Outline|Scenario Amlinellol|Scenario|Scenarijus|Scenarijaus šablonas|Scenarij|Scenarie|Rerefons|Raamstsenaarium|Primer|Pozadí|Pozadina|Pozadie|Plan du scénario|Plan du Scénario|Osnova scénáře|Osnova|Náčrt Scénáře|Náčrt Scenáru|Mate|MISHUN SRSLY|MISHUN|Kịch bản|Kontext|Konteksts|Kontekstas|Kontekst|Koncept|Khung tình huống|Khung kịch bản|Háttér|Grundlage|Geçmiş|Forgatókönyv vázlat|Forgatókönyv|Esquema do Cenário|Esquema do Cenario|Esquema del escenario|Esquema de l\'escenari|Escenario|Escenari|Dasar|Contexto|Contexte|Contesto|Condiţii|Conditii|Cenário|Cenario|Cefndir|Bối cảnh|Blokes|Bakgrunn|Bakgrund|Baggrund|Background|B4|Antecedents|Antecedentes|All y\'all|Achtergrond|Abstrakt Scenario|Abstract Scenario)(:)(.*)$' - examples_regexp = ur'^(\s*)(예|例子|例|サンプル|امثلة|דוגמאות|Сценарији|Примери|Мисоллар|Значения|Örnekler|Voorbeelden|Variantai|Tapaukset|Scenarios|Scenariji|Scenarijai|Příklady|Példák|Príklady|Przykłady|Primjeri|Primeri|Piemēri|Pavyzdžiai|Paraugs|Juhtumid|Exemplos|Exemples|Exemplele|Exempel|Examples|Esempi|Enghreifftiau|Eksempler|Ejemplos|EXAMPLZ|Dữ liệu|Contoh|Cobber|Beispiele)(:)(.*)$' - step_keywords_regexp = ur'^(\s*)(하지만|조건|만일|그리고|그러면|那麼|那么|而且|當|当|前提|假設|假如|但是|但し|並且|もし|ならば|ただし|しかし|かつ|و |متى |لكن |عندما |ثم |بفرض |اذاً |כאשר |וגם |בהינתן |אזי |אז |אבל |Унда |То |Онда |Но |Лекин |Когато |Када |Кад |К тому же |И |Задато |Задати |Задате |Если |Допустим |Дадено |Ва |Бирок |Аммо |Али |Агар |А |Și |És |anrhegedig a |Zatati |Zakładając |Zadato |Zadate |Zadano |Zadani |Zadan |Yna |Ya know how |Ya gotta |Y |Wtedy |When y\'all |When |Wenn |WEN |Và |Ve |Und |Un |Thì |Then y\'all |Then |Tapi |Tak |Tada |Tad |Så |Stel |Soit |Siis |Si |Quando |Quand |Quan |Pryd |Pokud |Pokiaľ |Però |Pero |Pak |Oraz |Onda |Ond |Oletetaan |Og |Och |O zaman |Når |När |Niin |Nhưng |N |Mutta |Men |Mas |Maka |Majd |Mais |Maar |Ma |Lorsque |Lorsqu\'|Kun |Kuid |Kui |Khi |Keď |Ketika |Když |Kai |Kada |Kad |Jeżeli |Ja |Ir |I CAN HAZ |I |Ha |Givet |Given y\'all |Given |Gitt |Gegeven |Gegeben sei |Fakat |Eğer ki |Etant donné |Et |Então |Entonces |Entao |En |Eeldades |E |Duota |Donat |Donada |Diyelim ki |Dengan |De |Dato |Dar |Dann |Dan |Dado |Dacă |Daca |DEN |Când |Cuando |Cho |Cept |Cand |But y\'all |But |Biết |Bet |BUT |Atunci |And y\'all |And |Ama |Als |Alors |Allora |Ali |Aleshores |Ale |Akkor |Aber |AN |A také |A |\* )' + feature_keywords = ur'^(기능|機能|功能|フィーチャ|خاصية|תכונה|Функціонал|Функционалност|Функционал|Фича|Особина|Могућност|Özellik|Właściwość|Tính năng|Trajto|Savybė|Požiadavka|Požadavek|Osobina|Ominaisuus|Omadus|OH HAI|Mogućnost|Mogucnost|Jellemző|Fīča|Funzionalità|Funktionalität|Funkcionalnost|Funkcionalitāte|Funcționalitate|Functionaliteit|Functionalitate|Funcionalitat|Funcionalidade|Fonctionnalité|Fitur|Feature|Egenskap|Egenskab|Crikey|Característica|Arwedd)(:)(.*)$' + feature_element_keywords = ur'^(\s*)(시나리오 개요|시나리오|배경|背景|場景大綱|場景|场景大纲|场景|劇本大綱|劇本|テンプレ|シナリオテンプレート|シナリオテンプレ|シナリオアウトライン|シナリオ|سيناريو مخطط|سيناريو|الخلفية|תרחיש|תבנית תרחיש|רקע|Тарих|Сценарій|Сценарио|Сценарий структураси|Сценарий|Структура сценарію|Структура сценарија|Структура сценария|Скица|Рамка на сценарий|Пример|Предыстория|Предистория|Позадина|Передумова|Основа|Концепт|Контекст|Założenia|Wharrimean is|Tình huống|The thing of it is|Tausta|Taust|Tapausaihio|Tapaus|Szenariogrundriss|Szenario|Szablon scenariusza|Stsenaarium|Struktura scenarija|Skica|Skenario konsep|Skenario|Situācija|Senaryo taslağı|Senaryo|Scénář|Scénario|Schema dello scenario|Scenārijs pēc parauga|Scenārijs|Scenár|Scenaro|Scenariusz|Scenariul de şablon|Scenariul de sablon|Scenariu|Scenario Outline|Scenario Amlinellol|Scenario|Scenarijus|Scenarijaus šablonas|Scenarij|Scenarie|Rerefons|Raamstsenaarium|Primer|Pozadí|Pozadina|Pozadie|Plan du scénario|Plan du Scénario|Osnova scénáře|Osnova|Náčrt Scénáře|Náčrt Scenáru|Mate|MISHUN SRSLY|MISHUN|Kịch bản|Konturo de la scenaro|Kontext|Konteksts|Kontekstas|Kontekst|Koncept|Khung tình huống|Khung kịch bản|Háttér|Grundlage|Geçmiş|Forgatókönyv vázlat|Forgatókönyv|Fono|Esquema do Cenário|Esquema do Cenario|Esquema del escenario|Esquema de l\'escenari|Escenario|Escenari|Dis is what went down|Dasar|Contexto|Contexte|Contesto|Condiţii|Conditii|Cenário|Cenario|Cefndir|Bối cảnh|Blokes|Bakgrunn|Bakgrund|Baggrund|Background|B4|Antecedents|Antecedentes|All y\'all|Achtergrond|Abstrakt Scenario|Abstract Scenario)(:)(.*)$' + examples_keywords = ur'^(\s*)(예|例子|例|サンプル|امثلة|דוגמאות|Сценарији|Примери|Приклади|Мисоллар|Значения|Örnekler|Voorbeelden|Variantai|Tapaukset|Scenarios|Scenariji|Scenarijai|Příklady|Példák|Príklady|Przykłady|Primjeri|Primeri|Piemēri|Pavyzdžiai|Paraugs|Juhtumid|Exemplos|Exemples|Exemplele|Exempel|Examples|Esempi|Enghreifftiau|Ekzemploj|Eksempler|Ejemplos|EXAMPLZ|Dữ liệu|Contoh|Cobber|Beispiele)(:)(.*)$' + step_keywords = ur'^(\s*)(하지만|조건|먼저|만일|만약|단|그리고|그러면|那麼|那么|而且|當|当|前提|假設|假如|但是|但し|並且|もし|ならば|ただし|しかし|かつ|و |متى |لكن |عندما |ثم |بفرض |اذاً |כאשר |וגם |בהינתן |אזי |אז |אבל |Якщо |Унда |То |Припустимо, що |Припустимо |Онда |Но |Нехай |Лекин |Когато |Када |Кад |К тому же |И |Задато |Задати |Задате |Если |Допустим |Дадено |Ва |Бирок |Аммо |Али |Але |Агар |А |І |Și |És |Zatati |Zakładając |Zadato |Zadate |Zadano |Zadani |Zadan |Youse know when youse got |Youse know like when |Yna |Ya know how |Ya gotta |Y |Wun |Wtedy |When y\'all |When |Wenn |WEN |Và |Ve |Und |Un |Thì |Then y\'all |Then |Tapi |Tak |Tada |Tad |Så |Stel |Soit |Siis |Si |Sed |Se |Quando |Quand |Quan |Pryd |Pokud |Pokiaľ |Però |Pero |Pak |Oraz |Onda |Ond |Oletetaan |Og |Och |O zaman |Når |När |Niin |Nhưng |N |Mutta |Men |Mas |Maka |Majd |Mais |Maar |Ma |Lorsque |Lorsqu\'|Kun |Kuid |Kui |Khi |Keď |Ketika |Když |Kaj |Kai |Kada |Kad |Jeżeli |Ja |Ir |I CAN HAZ |I |Ha |Givun |Givet |Given y\'all |Given |Gitt |Gegeven |Gegeben sei |Fakat |Eğer ki |Etant donné |Et |Então |Entonces |Entao |En |Eeldades |E |Duota |Dun |Donitaĵo |Donat |Donada |Do |Diyelim ki |Dengan |Den youse gotta |De |Dato |Dar |Dann |Dan |Dado |Dacă |Daca |DEN |Când |Cuando |Cho |Cept |Cand |Cal |But y\'all |But |Buh |Biết |Bet |BUT |Atès |Atunci |Atesa |Anrhegedig a |Angenommen |And y\'all |And |An |Ama |Als |Alors |Allora |Ali |Aleshores |Ale |Akkor |Aber |AN |A také |A |\* )' tokens = { 'comments': [ - (r'#.*$', Comment) + (r'#.*$', Comment), ], - 'multiline_descriptions' : [ - (step_keywords_regexp, Keyword, "#pop"), + 'feature_elements' : [ + (step_keywords, Keyword, "step_content_stack"), include('comments'), - (r"(\s|.)", Name.Constant) + (r"(\s|.)", Name.Function), ], - 'multiline_descriptions_on_stack' : [ - (step_keywords_regexp, Keyword, "#pop:2"), + 'feature_elements_on_stack' : [ + (step_keywords, Keyword, "#pop:2"), include('comments'), - (r"(\s|.)", Name.Constant) + (r"(\s|.)", Name.Function), ], - 'scenario_table_description': [ - (r"\s+\|", Text, 'scenario_table_header'), + 'examples_table': [ + (r"\s+\|", Keyword, 'examples_table_header'), include('comments'), - (r"(\s|.)", Name.Constant) + (r"(\s|.)", Name.Function), ], - 'scenario_table_header': [ - (r"\s+\|\s*$", Text, "#pop:2"), - (r"(\s+\|\s*)(#.*)$", bygroups(Text, Comment), "#pop:2"), + 'examples_table_header': [ + (r"\s+\|\s*$", Keyword, "#pop:2"), include('comments'), - (r"\s+\|", Text), - (r"[^\|]", Name.Variable) + (r"\s*\|", Keyword), + (r"[^\|]", Name.Variable), ], 'scenario_sections_on_stack': [ - (scenario_keywords_regexp, - bygroups(Text, Name.Class, Name.Class, Name.Constant), - "multiline_descriptions_on_stack") - ], + (feature_element_keywords, bygroups(Name.Function, Keyword, Keyword, Name.Function), "feature_elements_on_stack"), + ], 'narrative': [ include('scenario_sections_on_stack'), - (r"(\s|.)", Name.Builtin) + (r"(\s|.)", Name.Function), ], 'table_vars': [ - (r'(<[^>]*>)', bygroups(Name.Variable)) + (r'(<[^>]+>)', Name.Variable), + ], + 'numbers': [ + (r'(\d+\.?\d*|\d*\.\d+)([eE][+-]?[0-9]+)?', String), ], 'string': [ include('table_vars'), (r'(\s|.)', String), ], 'py_string': [ - (r'"""', String, "#pop"), + (r'"""', Keyword, "#pop"), + include('string'), + ], + 'step_content_root':[ + (r"$", Keyword, "#pop"), + include('step_content'), + ], + 'step_content_stack':[ + (r"$", Keyword, "#pop:2"), + include('step_content'), + ], + 'step_content':[ + (r'"', Name.Function, "double_string"), + include('table_vars'), + include('numbers'), + include('comments'), + (r'(\s|.)', Name.Function), + ], + 'table_content': [ + (r"\s+\|\s*$", Keyword, "#pop"), + include('comments'), + (r"\s*\|", Keyword), include('string'), ], 'double_string': [ - (r'"', String, "#pop"), + (r'"', Name.Function, "#pop"), include('string'), ], 'root': [ - (r'\n', Text), + (r'\n', Name.Function), include('comments'), - (r'"""', String, "py_string"), - (r'"', String, "double_string"), + (r'"""', Keyword, "py_string"), + (r'\s+\|', Keyword, 'table_content'), + (r'"', Name.Function, "double_string"), include('table_vars'), - (r'@[^@\s]+', Name.Namespace), - (step_keywords_regexp, bygroups(Text, Keyword)), - (feature_keywords_regexp, - bygroups(Name.Class, Name.Class, Name.Constant), 'narrative'), - (scenario_keywords_regexp, - bygroups(Text, Name.Class, Name.Class, Name.Constant), - "multiline_descriptions"), - (examples_regexp, - bygroups(Text, Name.Class, Name.Class, Name.Constant), - "scenario_table_description"), - (r'(\s|.)', Text) + include('numbers'), + (r'(\s*)(@[^@\r\n\t ]+)', bygroups(Name.Function, Name.Tag)), + (step_keywords, bygroups(Name.Function, Keyword), "step_content_root"), + (feature_keywords, bygroups(Keyword, Keyword, Name.Function), 'narrative'), + (feature_element_keywords, bygroups(Name.Function, Keyword, Keyword, Name.Function), "feature_elements"), + (examples_keywords, bygroups(Name.Function, Keyword, Keyword, Name.Function), "examples_table"), + (r'(\s|.)', Name.Function), ] } - class AsymptoteLexer(RegexLexer): """ For `Asymptote `_ source code. @@ -2295,3 +2314,530 @@ class AsymptoteLexer(RegexLexer): elif token is Name and value in ASYVARNAME: token = Name.Variable yield index, token, value + + +class PostScriptLexer(RegexLexer): + """ + Lexer for PostScript files. + + The PostScript Language Reference published by Adobe at + + is the authority for this. + + *New in Pygments 1.4.* + """ + name = 'PostScript' + aliases = ['postscript'] + filenames = ['*.ps', '*.eps'] + mimetypes = ['application/postscript'] + + delimiter = r'\(\)\<\>\[\]\{\}\/\%\s' + delimiter_end = r'(?=[%s])' % delimiter + + valid_name_chars = r'[^%s]' % delimiter + valid_name = r"%s+%s" % (valid_name_chars, delimiter_end) + + tokens = { + 'root': [ + # All comment types + (r'^%!.+\n', Comment.Preproc), + (r'%%.*\n', Comment.Special), + (r'(^%.*\n){2,}', Comment.Multiline), + (r'%.*\n', Comment.Single), + + # String literals are awkward; enter separate state. + (r'\(', String, 'stringliteral'), + + (r'[\{\}(\<\<)(\>\>)\[\]]', Punctuation), + + # Numbers + (r'<[0-9A-Fa-f]+>' + delimiter_end, Number.Hex), + # Slight abuse: use Oct to signify any explicit base system + (r'[0-9]+\#(\-|\+)?([0-9]+\.?|[0-9]*\.[0-9]+|[0-9]+\.[0-9]*)' + r'((e|E)[0-9]+)?' + delimiter_end, Number.Oct), + (r'(\-|\+)?([0-9]+\.?|[0-9]*\.[0-9]+|[0-9]+\.[0-9]*)((e|E)[0-9]+)?' + + delimiter_end, Number.Float), + (r'(\-|\+)?[0-9]+' + delimiter_end, Number.Integer), + + # References + (r'\/%s' % valid_name, Name.Variable), + + # Names + (valid_name, Name.Function), # Anything else is executed + + # These keywords taken from + # + # Is there an authoritative list anywhere that doesn't involve + # trawling documentation? + + (r'(false|true)' + delimiter_end, Keyword.Constant), + + # Conditionals / flow control + (r'(eq|ne|ge|gt|le|lt|and|or|not|if|ifelse|for|forall)' + + delimiter_end, Keyword.Reserved), + + ('(abs|add|aload|arc|arcn|array|atan|begin|bind|ceiling|charpath|' + 'clip|closepath|concat|concatmatrix|copy|cos|currentlinewidth|' + 'currentmatrix|currentpoint|curveto|cvi|cvs|def|defaultmatrix|' + 'dict|dictstackoverflow|div|dtransform|dup|end|exch|exec|exit|exp|' + 'fill|findfont|floor|get|getinterval|grestore|gsave|gt|' + 'identmatrix|idiv|idtransform|index|invertmatrix|itransform|' + 'length|lineto|ln|load|log|loop|matrix|mod|moveto|mul|neg|newpath|' + 'pathforall|pathbbox|pop|print|pstack|put|quit|rand|rangecheck|' + 'rcurveto|repeat|restore|rlineto|rmoveto|roll|rotate|round|run|' + 'save|scale|scalefont|setdash|setfont|setgray|setlinecap|' + 'setlinejoin|setlinewidth|setmatrix|setrgbcolor|shfill|show|' + 'showpage|sin|sqrt|stack|stringwidth|stroke|strokepath|sub|' + 'syntaxerror|transform|translate|truncate|typecheck|undefined|' + 'undefinedfilename|undefinedresult)' + delimiter_end, + Name.Builtin), + + (r'\s+', Text), + ], + + 'stringliteral': [ + (r'[^\(\)\\]+', String), + (r'\\', String.Escape, 'escape'), + (r'\(', String, '#push'), + (r'\)', String, '#pop'), + ], + + 'escape': [ + (r'([0-8]{3}|n|r|t|b|f|\\|\(|\)|)', String.Escape, '#pop'), + ], + } + + +class AutohotkeyLexer(RegexLexer): + """ + For `autohotkey `_ source code. + + *New in Pygments 1.4.* + """ + name = 'autohotkey' + aliases = ['ahk'] + filenames = ['*.ahk', '*.ahkl'] + mimetypes = ['text/x-autohotkey'] + + flags = re.IGNORECASE | re.DOTALL | re.MULTILINE + + tokens = { + 'root': [ + include('whitespace'), + (r'^\(', String, 'continuation'), + include('comments'), + (r'(^\s*)(\w+)(\s*)(=)', + bygroups(Text.Whitespace, Name, Text.Whitespace, Operator), + 'command'), + (r'([\w#@$?\[\]]+)(\s*)(\()', + bygroups(Name.Function, Text.Whitespace, Punctuation), + 'parameters'), + include('directives'), + include('labels'), + include('commands'), + include('expressions'), + include('numbers'), + include('literals'), + include('keynames'), + include('keywords'), + ], + 'command': [ + include('comments'), + include('whitespace'), + (r'^\(', String, 'continuation'), + (r'[^\n]*?(?=;*|$)', String, '#pop'), + include('numbers'), + include('literals'), + ], + + 'expressions': [ + include('comments'), + include('whitespace'), + include('numbers'), + include('literals'), + (r'([]\w#@$?[]+)(\s*)(\()', + bygroups(Name.Function, Text.Whitespace, Punctuation), + 'parameters'), + (r'A_\w+', Name.Builtin), + (r'%[]\w#@$?[]+?%', Name.Variable), + # blocks: if, else, function definitions + (r'{', Punctuation, 'block'), + # parameters in function calls + ], + 'literals': [ + (r'"', String, 'string'), + (r'A_\w+', Name.Builtin), + (r'%[]\w#@$?[]+?%', Name.Variable), + (r'[-~!%^&*+|?:<>/=]=?', Operator, 'expressions'), + (r'==', Operator, 'expressions'), + ('[{()},.%#`;]', Punctuation), + (r'\\', Punctuation), + include('keywords'), + (r'\w+', Text), + ], + 'string': [ + (r'"', String, '#pop'), + (r'""|`.', String.Escape), + (r'[^\`"\n]+', String), # all other characters + ], + 'block': [ + include('root'), + ('{', Punctuation, '#push'), + ('}', Punctuation, '#pop'), + ], + 'parameters': [ + (r'\)', Punctuation, '#pop'), + (r'\(', Punctuation, '#push'), + include('numbers'), + include('literals'), + include('whitespace'), + ], + 'keywords': [ + (r'(static|global|local)\b', Keyword.Type), + (r'(if|else|and|or)\b', Keyword.Reserved), + ], + 'directives': [ + (r'#\w+?\s', Keyword), + ], + 'labels': [ + # hotkeys and labels + # technically, hotkey names are limited to named keys and buttons + (r'(^\s*)([^:\s]+?:{1,2})', bygroups(Text.Whitespace, Name.Label)), + # hotstrings + (r'(^\s*)(::[]\w#@$?[]+?::)', bygroups(Text.Whitespace, Name.Label)), + ], + 'comments': [ + (r'^;+.*?$', Comment.Single), # beginning of line comments + (r'(?<=\s);+.*?$', Comment.Single), # end of line comments + (r'^/\*.*?\n\*/', Comment.Multiline), + (r'(?`_ + scripts. + + *New in Pygments 1.4.* + """ + + name = 'MAQL' + aliases = ['maql'] + filenames = ['*.maql'] + mimetypes = ['text/x-gooddata-maql','application/x-gooddata-maql'] + + flags = re.IGNORECASE + tokens = { + 'root': [ + # IDENTITY + (r'IDENTIFIER\b', Name.Builtin), + # IDENTIFIER + (r'\{[^}]+\}', Name.Variable), + # NUMBER + (r'[0-9]+(?:\.[0-9]+)?(?:[eE][+-]?[0-9]{1,3})?', Literal.Number), + # STRING + (r'"', Literal.String, 'string-literal'), + # RELATION + (r'\<\>|\!\=', Operator), + (r'\=|\>\=|\>|\<\=|\<', Operator), + # := + (r'\:\=', Operator), + # OBJECT + (r'\[[^]]+\]', Name.Variable.Class), + # keywords + (r'(DIMENSIONS?|BOTTOM|METRIC|COUNT|OTHER|FACT|WITH|TOP|OR|' + r'ATTRIBUTE|CREATE|PARENT|FALSE|ROWS?|FROM|ALL|AS|PF|' + r'COLUMNS?|DEFINE|REPORT|LIMIT|TABLE|LIKE|AND|BY|' + r'BETWEEN|EXCEPT|SELECT|MATCH|WHERE|TRUE|FOR|IN|' + r'WITHOUT|FILTER|ALIAS|ORDER|FACT|WHEN|NOT|ON|' + r'KEYS|KEY|FULLSET|PRIMARY|LABELS|LABEL|VISUAL|' + r'TITLE|DESCRIPTION|FOLDER|ALTER|DROP|ADD|DATASET|' + r'DATATYPE|INT|BIGINT|DOUBLE|DATE|VARCHAR|DECIMAL|' + r'SYNCHRONIZE|TYPE|DEFAULT|ORDER|ASC|DESC|HYPERLINK|' + r'INCLUDE|TEMPLATE|MODIFY)\b', Keyword), + # FUNCNAME + (r'[a-zA-Z]\w*\b', Name.Function), + # Comments + (r'#.*', Comment.Single), + # Punctuation + (r'[,;\(\)]', Token.Punctuation), + # Space is not significant + (r'\s+', Text) + ], + 'string-literal': [ + (r'\\[tnrfbae"\\]', String.Escape), + (r'"', Literal.String, '#pop'), + (r'[^\\"]+', Literal.String) + ], + } + + +class GoodDataCLLexer(RegexLexer): + """ + Lexer for `GoodData-CL `_ + script files. + + *New in Pygments 1.4.* + """ + + name = 'GoodData-CL' + aliases = ['gooddata-cl'] + filenames = ['*.gdc'] + mimetypes = ['text/x-gooddata-cl'] + + flags = re.IGNORECASE + tokens = { + 'root': [ + # Comments + (r'#.*', Comment.Single), + # Function call + (r'[a-zA-Z]\w*', Name.Function), + # Argument list + (r'\(', Token.Punctuation, 'args-list'), + # Punctuation + (r';', Token.Punctuation), + # Space is not significant + (r'\s+', Text) + ], + 'args-list': [ + (r'\)', Token.Punctuation, '#pop'), + (r',', Token.Punctuation), + (r'[a-zA-Z]\w*', Name.Variable), + (r'=', Operator), + (r'"', Literal.String, 'string-literal'), + (r'[0-9]+(?:\.[0-9]+)?(?:[eE][+-]?[0-9]{1,3})?', Literal.Number), + # Space is not significant + (r'\s', Text) + ], + 'string-literal': [ + (r'\\[tnrfbae"\\]', String.Escape), + (r'"', Literal.String, '#pop'), + (r'[^\\"]+', Literal.String) + ] + } + + +class ProtoBufLexer(RegexLexer): + """ + Lexer for `Protocol Buffer `_ + definition files. + + *New in Pygments 1.4.* + """ + + name = 'Protocol Buffer' + aliases = ['protobuf'] + filenames = ['*.proto'] + + tokens = { + 'root': [ + (r'[ \t]+', Text), + (r'[,;{}\[\]\(\)]', Punctuation), + (r'/(\\\n)?/(\n|(.|\n)*?[^\\]\n)', Comment.Single), + (r'/(\\\n)?[*](.|\n)*?[*](\\\n)?/', Comment.Multiline), + (r'\b(import|option|optional|required|repeated|default|packed|' + r'ctype|extensions|to|max|rpc|returns)\b', Keyword), + (r'(int32|int64|uint32|uint64|sint32|sint64|' + r'fixed32|fixed64|sfixed32|sfixed64|' + r'float|double|bool|string|bytes)\b', Keyword.Type), + (r'(true|false)\b', Keyword.Constant), + (r'(package)(\s+)', bygroups(Keyword.Namespace, Text), 'package'), + (r'(message|extend)(\s+)', + bygroups(Keyword.Declaration, Text), 'message'), + (r'(enum|group|service)(\s+)', + bygroups(Keyword.Declaration, Text), 'type'), + (r'\".*\"', String), + (r'(\d+\.\d*|\.\d+|\d+)[eE][+-]?\d+[LlUu]*', Number.Float), + (r'(\d+\.\d*|\.\d+|\d+[fF])[fF]?', Number.Float), + (r'(\-?(inf|nan))', Number.Float), + (r'0x[0-9a-fA-F]+[LlUu]*', Number.Hex), + (r'0[0-7]+[LlUu]*', Number.Oct), + (r'\d+[LlUu]*', Number.Integer), + (r'[+-=]', Operator), + (r'([a-zA-Z_][a-zA-Z0-9_\.]*)([ \t]*)(=)', + bygroups(Name.Attribute, Text, Operator)), + ('[a-zA-Z_][a-zA-Z0-9_\.]*', Name), + ], + 'package': [ + (r'[a-zA-Z_][a-zA-Z0-9_]*', Name.Namespace, '#pop') + ], + 'message': [ + (r'[a-zA-Z_][a-zA-Z0-9_]*', Name.Class, '#pop') + ], + 'type': [ + (r'[a-zA-Z_][a-zA-Z0-9_]*', Name, '#pop') + ], + } + + +class HybrisLexer(RegexLexer): + """ + For `Hybris `_ source code. + + *New in Pygments 1.4.* + """ + + name = 'Hybris' + aliases = ['hybris', 'hy'] + filenames = ['*.hy', '*.hyb'] + mimetypes = ['text/x-hybris', 'application/x-hybris'] + + flags = re.MULTILINE | re.DOTALL + + tokens = { + 'root': [ + # method names + (r'^(\s*(?:function|method|operator\s+)+?)' + r'([a-zA-Z_][a-zA-Z0-9_]*)' + r'(\s*)(\()', bygroups(Name.Function, Text, Operator)), + (r'[^\S\n]+', Text), + (r'//.*?\n', Comment.Single), + (r'/\*.*?\*/', Comment.Multiline), + (r'@[a-zA-Z_][a-zA-Z0-9_\.]*', Name.Decorator), + (r'(break|case|catch|next|default|do|else|finally|for|foreach|of|' + r'unless|if|new|return|switch|me|throw|try|while)\b', Keyword), + (r'(extends|private|protected|public|static|throws|function|method|' + r'operator)\b', Keyword.Declaration), + (r'(true|false|null|__FILE__|__LINE__|__VERSION__|__LIB_PATH__|' + r'__INC_PATH__)\b', Keyword.Constant), + (r'(class|struct)(\s+)', + bygroups(Keyword.Declaration, Text), 'class'), + (r'(import|include)(\s+)', + bygroups(Keyword.Namespace, Text), 'import'), + (r'(gc_collect|gc_mm_items|gc_mm_usage|gc_collect_threshold|' + r'urlencode|urldecode|base64encode|base64decode|sha1|crc32|sha2|' + r'md5|md5_file|acos|asin|atan|atan2|ceil|cos|cosh|exp|fabs|floor|' + r'fmod|log|log10|pow|sin|sinh|sqrt|tan|tanh|isint|isfloat|ischar|' + r'isstring|isarray|ismap|isalias|typeof|sizeof|toint|tostring|' + r'fromxml|toxml|binary|pack|load|eval|var_names|var_values|' + r'user_functions|dyn_functions|methods|call|call_method|mknod|' + r'mkfifo|mount|umount2|umount|ticks|usleep|sleep|time|strtime|' + r'strdate|dllopen|dlllink|dllcall|dllcall_argv|dllclose|env|exec|' + r'fork|getpid|wait|popen|pclose|exit|kill|pthread_create|' + r'pthread_create_argv|pthread_exit|pthread_join|pthread_kill|' + r'smtp_send|http_get|http_post|http_download|socket|bind|listen|' + r'accept|getsockname|getpeername|settimeout|connect|server|recv|' + r'send|close|print|println|printf|input|readline|serial_open|' + r'serial_fcntl|serial_get_attr|serial_get_ispeed|serial_get_ospeed|' + r'serial_set_attr|serial_set_ispeed|serial_set_ospeed|serial_write|' + r'serial_read|serial_close|xml_load|xml_parse|fopen|fseek|ftell|' + r'fsize|fread|fwrite|fgets|fclose|file|readdir|pcre_replace|size|' + r'pop|unmap|has|keys|values|length|find|substr|replace|split|trim|' + r'remove|contains|join)\b', Name.Builtin), + (r'(MethodReference|Runner|Dll|Thread|Pipe|Process|Runnable|' + r'CGI|ClientSocket|Socket|ServerSocket|File|Console|Directory|' + r'Exception)\b', Keyword.Type), + (r'"(\\\\|\\"|[^"])*"', String), + (r"'\\.'|'[^\\]'|'\\u[0-9a-f]{4}'", String.Char), + (r'(\.)([a-zA-Z_][a-zA-Z0-9_]*)', + bygroups(Operator, Name.Attribute)), + (r'[a-zA-Z_][a-zA-Z0-9_]*:', Name.Label), + (r'[a-zA-Z_\$][a-zA-Z0-9_]*', Name), + (r'[~\^\*!%&\[\]\(\)\{\}<>\|+=:;,./?\-@]+', Operator), + (r'[0-9][0-9]*\.[0-9]+([eE][0-9]+)?[fd]?', Number.Float), + (r'0x[0-9a-f]+', Number.Hex), + (r'[0-9]+L?', Number.Integer), + (r'\n', Text), + ], + 'class': [ + (r'[a-zA-Z_][a-zA-Z0-9_]*', Name.Class, '#pop') + ], + 'import': [ + (r'[a-zA-Z0-9_.]+\*?', Name.Namespace, '#pop') + ], + } diff --git a/pygments/lexers/templates.py b/pygments/lexers/templates.py index eb84745..458bcaa 100644 --- a/pygments/lexers/templates.py +++ b/pygments/lexers/templates.py @@ -13,7 +13,7 @@ import re from pygments.lexers.web import \ PhpLexer, HtmlLexer, XmlLexer, JavascriptLexer, CssLexer -from pygments.lexers.agile import PythonLexer +from pygments.lexers.agile import PythonLexer, PerlLexer from pygments.lexers.compiled import JavaLexer from pygments.lexer import Lexer, DelegatingLexer, RegexLexer, bygroups, \ include, using, this @@ -30,12 +30,14 @@ __all__ = ['HtmlPhpLexer', 'XmlPhpLexer', 'CssPhpLexer', 'JavascriptDjangoLexer', 'GenshiLexer', 'HtmlGenshiLexer', 'GenshiTextLexer', 'CssGenshiLexer', 'JavascriptGenshiLexer', 'MyghtyLexer', 'MyghtyHtmlLexer', 'MyghtyXmlLexer', - 'MyghtyCssLexer', 'MyghtyJavascriptLexer', 'MakoLexer', + 'MyghtyCssLexer', 'MyghtyJavascriptLexer', 'MasonLexer', 'MakoLexer', 'MakoHtmlLexer', 'MakoXmlLexer', 'MakoJavascriptLexer', 'MakoCssLexer', 'JspLexer', 'CheetahLexer', 'CheetahHtmlLexer', 'CheetahXmlLexer', 'CheetahJavascriptLexer', 'EvoqueLexer', 'EvoqueHtmlLexer', 'EvoqueXmlLexer', - 'ColdfusionLexer', 'ColdfusionHtmlLexer'] + 'ColdfusionLexer', 'ColdfusionHtmlLexer', + 'VelocityLexer', 'VelocityHtmlLexer', 'VelocityXmlLexer', + 'SspLexer'] class ErbLexer(Lexer): @@ -188,6 +190,121 @@ class SmartyLexer(RegexLexer): return rv +class VelocityLexer(RegexLexer): + """ + Generic `Velocity `_ template lexer. + + Just highlights velocity directives and variable references, other + data is left untouched by the lexer. + """ + + name = 'Velocity' + aliases = ['velocity'] + filenames = ['*.vm','*.fhtml'] + + flags = re.MULTILINE | re.DOTALL + + identifier = r'[a-zA-Z_][a-zA-Z0-9_]*' + + tokens = { + 'root': [ + (r'[^{#$]+', Other), + (r'(#)(\*.*?\*)(#)', + bygroups(Comment.Preproc, Comment, Comment.Preproc)), + (r'(##)(.*?$)', + bygroups(Comment.Preproc, Comment)), + (r'(#\{?)(' + identifier + r')(\}?)(\s?\()', + bygroups(Comment.Preproc, Name.Function, Comment.Preproc, Punctuation), + 'directiveparams'), + (r'(#\{?)(' + identifier + r')(\}|\b)', + bygroups(Comment.Preproc, Name.Function, Comment.Preproc)), + (r'\$\{?', Punctuation, 'variable') + ], + 'variable': [ + (identifier, Name.Variable), + (r'\(', Punctuation, 'funcparams'), + (r'(\.)(' + identifier + r')', bygroups(Punctuation, Name.Variable), '#push'), + (r'\}', Punctuation, '#pop'), + (r'', Other, '#pop') + ], + 'directiveparams': [ + (r'(&&|\|\||==?|!=?|[-<>+*%&\|\^/])|\b(eq|ne|gt|lt|ge|le|not|in)\b', Operator), + (r'\[', Operator, 'rangeoperator'), + (r'\b' + identifier + r'\b', Name.Function), + include('funcparams') + ], + 'rangeoperator': [ + (r'\.\.', Operator), + include('funcparams'), + (r'\]', Operator, '#pop') + ], + 'funcparams': [ + (r'\$\{?', Punctuation, 'variable'), + (r'\s+', Text), + (r',', Punctuation), + (r'"(\\\\|\\"|[^"])*"', String.Double), + (r"'(\\\\|\\'|[^'])*'", String.Single), + (r"0[xX][0-9a-fA-F]+[Ll]?", Number), + (r"\b[0-9]+\b", Number), + (r'(true|false|null)\b', Keyword.Constant), + (r'\(', Punctuation, '#push'), + (r'\)', Punctuation, '#pop') + ] + } + + def analyse_text(text): + rv = 0.0 + if re.search(r'#\{?macro\}?\(.*?\).*?#\{?end\}?', text): + rv += 0.25 + if re.search(r'#\{?if\}?\(.+?\).*?#\{?end\}?', text): + rv += 0.15 + if re.search(r'#\{?foreach\}?\(.+?\).*?#\{?end\}?', text): + rv += 0.15 + if re.search(r'\$\{?[a-zA-Z_][a-zA-Z0-9_]*(\([^)]*\))?(\.[a-zA-Z0-9_]+(\([^)]*\))?)*\}?', text): + rv += 0.01 + return rv + + +class VelocityHtmlLexer(DelegatingLexer): + """ + Subclass of the `VelocityLexer` that highlights unlexer data + with the `HtmlLexer`. + + """ + + name = 'HTML+Velocity' + aliases = ['html+velocity'] + alias_filenames = ['*.html','*.fhtml'] + mimetypes = ['text/html+velocity'] + + def __init__(self, **options): + super(VelocityHtmlLexer, self).__init__(HtmlLexer, VelocityLexer, + **options) + + +class VelocityXmlLexer(DelegatingLexer): + """ + Subclass of the `VelocityLexer` that highlights unlexer data + with the `XmlLexer`. + + """ + + name = 'XML+Velocity' + aliases = ['xml+velocity'] + alias_filenames = ['*.xml','*.vm'] + mimetypes = ['application/xml+velocity'] + + def __init__(self, **options): + super(VelocityXmlLexer, self).__init__(XmlLexer, VelocityLexer, + **options) + + def analyse_text(text): + rv = VelocityLexer.analyse_text(text) - 0.01 + if looks_like_xml(text): + rv += 0.5 + return rv + + class DjangoLexer(RegexLexer): """ Generic `django `_ @@ -239,7 +356,7 @@ class DjangoLexer(RegexLexer): r'with(?:(?:out)?\s*context)?|scoped|ignore\s+missing)\b', Keyword), (r'(loop|block|super|forloop)\b', Name.Builtin), - (r'[a-zA-Z][a-zA-Z0-9_]*', Name.Variable), + (r'[a-zA-Z][a-zA-Z0-9_-]*', Name.Variable), (r'\.[a-zA-Z0-9_]+', Name.Variable), (r':?"(\\\\|\\"|[^"])*"', String.Double), (r":?'(\\\\|\\'|[^'])*'", String.Single), @@ -389,6 +506,61 @@ class MyghtyCssLexer(DelegatingLexer): **options) +class MasonLexer(RegexLexer): + """ + Generic `mason templates`_ lexer. Stolen from Myghty lexer. Code that isn't + Mason markup is HTML. + + .. _mason templates: http://www.masonhq.com/ + + *New in Pygments 1.4.* + """ + name = 'Mason' + aliases = ['mason'] + filenames = ['*.m', '*.mhtml', '*.mc', '*.mi', 'autohandler', 'dhandler'] + mimetypes = ['application/x-mason'] + + tokens = { + 'root': [ + (r'\s+', Text), + (r'(<%doc>)(.*?)()(?s)', + bygroups(Name.Tag, Comment.Multiline, Name.Tag)), + (r'(<%(def|method))(\s*)(.*?)(>)(.*?)()(?s)', + bygroups(Name.Tag, None, Text, Name.Function, Name.Tag, + using(this), Name.Tag)), + (r'(<%(\w+))(.*?)(>)(.*?)()(?s)', + bygroups(Name.Tag, None, Name.Function, Name.Tag, + using(PerlLexer), Name.Tag)), + (r'(<&[^|])(.*?)(,.*?)?(&>)(?s)', + bygroups(Name.Tag, Name.Function, using(PerlLexer), Name.Tag)), + (r'(<&\|)(.*?)(,.*?)?(&>)(?s)', + bygroups(Name.Tag, Name.Function, using(PerlLexer), Name.Tag)), + (r'', Name.Tag), + (r'(<%!?)(.*?)(%>)(?s)', + bygroups(Name.Tag, using(PerlLexer), Name.Tag)), + (r'(?<=^)#[^\n]*(\n|\Z)', Comment), + (r'(?<=^)(%)([^\n]*)(\n|\Z)', + bygroups(Name.Tag, using(PerlLexer), Other)), + (r"""(?sx) + (.+?) # anything, followed by: + (?: + (?<=\n)(?=[%#]) | # an eval or comment line + (?=' in text: + rv += 0.1 + return rv diff --git a/pygments/lexers/text.py b/pygments/lexers/text.py index 6b22370..5a63e50 100644 --- a/pygments/lexers/text.py +++ b/pygments/lexers/text.py @@ -19,7 +19,7 @@ from pygments.token import Punctuation, Text, Comment, Keyword, Name, String, \ from pygments.util import get_bool_opt from pygments.lexers.other import BashLexer -__all__ = ['IniLexer', 'SourcesListLexer', 'BaseMakefileLexer', +__all__ = ['IniLexer', 'PropertiesLexer', 'SourcesListLexer', 'BaseMakefileLexer', 'MakefileLexer', 'DiffLexer', 'IrcLogsLexer', 'TexLexer', 'GroffLexer', 'ApacheConfLexer', 'BBCodeLexer', 'MoinWikiLexer', 'RstLexer', 'VimLexer', 'GettextLexer', 'SquidConfLexer', @@ -34,7 +34,7 @@ class IniLexer(RegexLexer): name = 'INI' aliases = ['ini', 'cfg'] - filenames = ['*.ini', '*.cfg', '*.properties'] + filenames = ['*.ini', '*.cfg'] mimetypes = ['text/x-ini'] tokens = { @@ -42,7 +42,7 @@ class IniLexer(RegexLexer): (r'\s+', Text), (r'[;#].*?$', Comment), (r'\[.*?\]$', Keyword), - (r'(.*?)([ \t]*)(=)([ \t]*)(.*?)$', + (r'(.*?)([ \t]*)(=)([ \t]*)(.*(?:\n[ \t].+)*)', bygroups(Name.Attribute, Text, Operator, Text, String)) ] } @@ -54,6 +54,28 @@ class IniLexer(RegexLexer): return text[0] == '[' and text[npos-1] == ']' +class PropertiesLexer(RegexLexer): + """ + Lexer for configuration files in Java's properties format. + + *New in Pygments 1.4.* + """ + + name = 'Properties' + aliases = ['properties'] + filenames = ['*.properties'] + mimetypes = ['text/x-java-properties'] + + tokens = { + 'root': [ + (r'\s+', Text), + (r'(?:[;#]|//).*$', Comment), + (r'(.*?)([ \t]*)([=:])([ \t]*)(.*(?:(?<=\\)\n.*)*)', + bygroups(Name.Attribute, Text, Operator, Text, String)), + ], + } + + class SourcesListLexer(RegexLexer): """ Lexer that highlights debian sources.list files. @@ -830,7 +852,7 @@ class GettextLexer(RegexLexer): (r'^#:\s.*?$', Keyword.Declaration), #(r'^#$', Comment), (r'^(#|#\.\s|#\|\s|#~\s|#\s).*$', Comment.Single), - (r'^(")([\w-]*:)(.*")$', + (r'^(")([A-Za-z-]+:)(.*")$', bygroups(String, Name.Property, String)), (r'^".*"$', String), (r'^(msgid|msgid_plural|msgstr)(\s+)(".*")$', @@ -1524,7 +1546,7 @@ class CMakeLexer(RegexLexer): """ name = 'CMake' aliases = ['cmake'] - filenames = ['*.cmake'] + filenames = ['*.cmake', 'CMakeLists.txt'] mimetypes = ['text/x-cmake'] tokens = { diff --git a/pygments/lexers/web.py b/pygments/lexers/web.py index ec0b27b..11bc217 100644 --- a/pygments/lexers/web.py +++ b/pygments/lexers/web.py @@ -10,20 +10,23 @@ """ import re +import copy from pygments.lexer import RegexLexer, ExtendedRegexLexer, bygroups, using, \ include, this -from pygments.token import \ - Text, Comment, Operator, Keyword, Name, String, Number, Other, Punctuation +from pygments.token import Text, Comment, Operator, Keyword, Name, String, \ + Number, Other, Punctuation, Literal from pygments.util import get_bool_opt, get_list_opt, looks_like_xml, \ html_doctype_matches from pygments.lexers.agile import RubyLexer +from pygments.lexers.compiled import ScalaLexer __all__ = ['HtmlLexer', 'XmlLexer', 'JavascriptLexer', 'CssLexer', 'PhpLexer', 'ActionScriptLexer', 'XsltLexer', 'ActionScript3Lexer', - 'MxmlLexer', 'HaxeLexer', 'HamlLexer', 'SassLexer', - 'ObjectiveJLexer', 'CoffeeScriptLexer'] + 'MxmlLexer', 'HaxeLexer', 'HamlLexer', 'SassLexer', 'ScssLexer', + 'ObjectiveJLexer', 'CoffeeScriptLexer', 'DuelLexer', 'ScamlLexer', + 'JadeLexer', 'XQueryLexer'] class JavascriptLexer(RegexLexer): @@ -34,7 +37,8 @@ class JavascriptLexer(RegexLexer): name = 'JavaScript' aliases = ['js', 'javascript'] filenames = ['*.js'] - mimetypes = ['application/x-javascript', 'text/x-javascript', 'text/javascript'] + mimetypes = ['application/javascript', 'application/x-javascript', + 'text/x-javascript', 'text/javascript'] flags = re.DOTALL tokens = { @@ -378,7 +382,7 @@ class CssLexer(RegexLexer): (r'\!important', Comment.Preproc), (r'/\*(?:.|\n)*?\*/', Comment), (r'\#[a-zA-Z0-9]{1,6}', Number), - (r'[\.-]?[0-9]*[\.]?[0-9]+(em|px|\%|pt|pc|in|mm|cm|ex)', Number), + (r'[\.-]?[0-9]*[\.]?[0-9]+(em|px|\%|pt|pc|in|mm|cm|ex|s)\b', Number), (r'-?[0-9]+', Number), (r'[~\^\*!%&<>\|+=@:,./?-]+', Operator), (r'[\[\]();]+', Punctuation), @@ -748,8 +752,11 @@ class PhpLexer(RegexLexer): (r'\$\{\$+[a-zA-Z_][a-zA-Z0-9_]*\}', Name.Variable), (r'\$+[a-zA-Z_][a-zA-Z0-9_]*', Name.Variable), (r'[\\a-zA-Z_][\\a-zA-Z0-9_]*', Name.Other), - (r"[0-9](\.[0-9]*)?(eE[+-][0-9])?[flFLdD]?|" - r"0[xX][0-9a-fA-F]+[Ll]?", Number), + (r'(\d+\.\d*|\d*\.\d+)([eE][+-]?[0-9]+)?', Number.Float), + (r'\d+[eE][+-]?[0-9]+', Number.Float), + (r'0[0-7]+', Number.Oct), + (r'0[xX][a-fA-F0-9]+', Number.Hex), + (r'\d+', Number.Integer), (r"'([^'\\]*(?:\\.[^'\\]*)*)'", String.Single), (r'`([^`\\]*(?:\\.[^`\\]*)*)`', String.Backtick), (r'"', String.Double, 'string'), @@ -763,7 +770,7 @@ class PhpLexer(RegexLexer): 'string': [ (r'"', String.Double, '#pop'), (r'[^{$"\\]+', String.Double), - (r'\\([nrt\"$]|[0-7]{1,3}|x[0-9A-Fa-f]{1,2})', String.Escape), + (r'\\([nrt\"$\\]|[0-7]{1,3}|x[0-9A-Fa-f]{1,2})', String.Escape), (r'\$[a-zA-Z_][a-zA-Z0-9_]*(\[\S+\]|->[a-zA-Z_][a-zA-Z0-9_]*)?', String.Interpol), (r'(\{\$\{)(.*?)(\}\})', @@ -1217,6 +1224,10 @@ class HamlLexer(ExtendedRegexLexer): # which is ignored and used to wrap long lines. # To accomodate this, use this custom faux dot instead. _dot = r'(?: \|\n(?=.* \|)|.)' + + # In certain places, a comma at the end of the line + # allows line wrapping as well. + _comma_dot = r'(?:,\s*\n|' + _dot + ')' tokens = { 'root': [ (r'[ \t]*\n', Text), @@ -1230,7 +1241,7 @@ class HamlLexer(ExtendedRegexLexer): 'eval-or-plain': [ (r'[&!]?==', Punctuation, 'plain'), - (r'([&!]?[=~])(' + _dot + '*\n)', + (r'([&!]?[=~])(' + _comma_dot + '*\n)', bygroups(Punctuation, using(RubyLexer)), 'root'), (r'', Text, 'plain'), @@ -1247,7 +1258,7 @@ class HamlLexer(ExtendedRegexLexer): '#pop'), (r'-#' + _dot + '*\n', _starts_block(Comment.Preproc, 'haml-comment-block'), '#pop'), - (r'(-)(' + _dot + '*\n)', + (r'(-)(' + _comma_dot + '*\n)', bygroups(Punctuation, using(RubyLexer)), '#pop'), (r':' + _dot + '*\n', _starts_block(Name.Decorator, 'filter-block'), @@ -1307,6 +1318,172 @@ class HamlLexer(ExtendedRegexLexer): } +common_sass_tokens = { + 'value': [ + (r'[ \t]+', Text), + (r'[!$][\w-]+', Name.Variable), + (r'url\(', String.Other, 'string-url'), + (r'[a-z_-][\w-]*(?=\()', Name.Function), + (r'(azimuth|background-attachment|background-color|' + r'background-image|background-position|background-repeat|' + r'background|border-bottom-color|border-bottom-style|' + r'border-bottom-width|border-left-color|border-left-style|' + r'border-left-width|border-right|border-right-color|' + r'border-right-style|border-right-width|border-top-color|' + r'border-top-style|border-top-width|border-bottom|' + r'border-collapse|border-left|border-width|border-color|' + r'border-spacing|border-style|border-top|border|caption-side|' + r'clear|clip|color|content|counter-increment|counter-reset|' + r'cue-after|cue-before|cue|cursor|direction|display|' + r'elevation|empty-cells|float|font-family|font-size|' + r'font-size-adjust|font-stretch|font-style|font-variant|' + r'font-weight|font|height|letter-spacing|line-height|' + r'list-style-type|list-style-image|list-style-position|' + r'list-style|margin-bottom|margin-left|margin-right|' + r'margin-top|margin|marker-offset|marks|max-height|max-width|' + r'min-height|min-width|opacity|orphans|outline|outline-color|' + r'outline-style|outline-width|overflow|padding-bottom|' + r'padding-left|padding-right|padding-top|padding|page|' + r'page-break-after|page-break-before|page-break-inside|' + r'pause-after|pause-before|pause|pitch|pitch-range|' + r'play-during|position|quotes|richness|right|size|' + r'speak-header|speak-numeral|speak-punctuation|speak|' + r'speech-rate|stress|table-layout|text-align|text-decoration|' + r'text-indent|text-shadow|text-transform|top|unicode-bidi|' + r'vertical-align|visibility|voice-family|volume|white-space|' + r'widows|width|word-spacing|z-index|bottom|left|' + r'above|absolute|always|armenian|aural|auto|avoid|baseline|' + r'behind|below|bidi-override|blink|block|bold|bolder|both|' + r'capitalize|center-left|center-right|center|circle|' + r'cjk-ideographic|close-quote|collapse|condensed|continuous|' + r'crop|crosshair|cross|cursive|dashed|decimal-leading-zero|' + r'decimal|default|digits|disc|dotted|double|e-resize|embed|' + r'extra-condensed|extra-expanded|expanded|fantasy|far-left|' + r'far-right|faster|fast|fixed|georgian|groove|hebrew|help|' + r'hidden|hide|higher|high|hiragana-iroha|hiragana|icon|' + r'inherit|inline-table|inline|inset|inside|invert|italic|' + r'justify|katakana-iroha|katakana|landscape|larger|large|' + r'left-side|leftwards|level|lighter|line-through|list-item|' + r'loud|lower-alpha|lower-greek|lower-roman|lowercase|ltr|' + r'lower|low|medium|message-box|middle|mix|monospace|' + r'n-resize|narrower|ne-resize|no-close-quote|no-open-quote|' + r'no-repeat|none|normal|nowrap|nw-resize|oblique|once|' + r'open-quote|outset|outside|overline|pointer|portrait|px|' + r'relative|repeat-x|repeat-y|repeat|rgb|ridge|right-side|' + r'rightwards|s-resize|sans-serif|scroll|se-resize|' + r'semi-condensed|semi-expanded|separate|serif|show|silent|' + r'slow|slower|small-caps|small-caption|smaller|soft|solid|' + r'spell-out|square|static|status-bar|super|sw-resize|' + r'table-caption|table-cell|table-column|table-column-group|' + r'table-footer-group|table-header-group|table-row|' + r'table-row-group|text|text-bottom|text-top|thick|thin|' + r'transparent|ultra-condensed|ultra-expanded|underline|' + r'upper-alpha|upper-latin|upper-roman|uppercase|url|' + r'visible|w-resize|wait|wider|x-fast|x-high|x-large|x-loud|' + r'x-low|x-small|x-soft|xx-large|xx-small|yes)\b', Name.Constant), + (r'(indigo|gold|firebrick|indianred|darkolivegreen|' + r'darkseagreen|mediumvioletred|mediumorchid|chartreuse|' + r'mediumslateblue|springgreen|crimson|lightsalmon|brown|' + r'turquoise|olivedrab|cyan|skyblue|darkturquoise|' + r'goldenrod|darkgreen|darkviolet|darkgray|lightpink|' + r'darkmagenta|lightgoldenrodyellow|lavender|yellowgreen|thistle|' + r'violet|orchid|ghostwhite|honeydew|cornflowerblue|' + r'darkblue|darkkhaki|mediumpurple|cornsilk|bisque|slategray|' + r'darkcyan|khaki|wheat|deepskyblue|darkred|steelblue|aliceblue|' + r'gainsboro|mediumturquoise|floralwhite|coral|lightgrey|' + r'lightcyan|darksalmon|beige|azure|lightsteelblue|oldlace|' + r'greenyellow|royalblue|lightseagreen|mistyrose|sienna|' + r'lightcoral|orangered|navajowhite|palegreen|burlywood|' + r'seashell|mediumspringgreen|papayawhip|blanchedalmond|' + r'peru|aquamarine|darkslategray|ivory|dodgerblue|' + r'lemonchiffon|chocolate|orange|forestgreen|slateblue|' + r'mintcream|antiquewhite|darkorange|cadetblue|moccasin|' + r'limegreen|saddlebrown|darkslateblue|lightskyblue|deeppink|' + r'plum|darkgoldenrod|sandybrown|magenta|tan|' + r'rosybrown|pink|lightblue|palevioletred|mediumseagreen|' + r'dimgray|powderblue|seagreen|snow|mediumblue|midnightblue|' + r'paleturquoise|palegoldenrod|whitesmoke|darkorchid|salmon|' + r'lightslategray|lawngreen|lightgreen|tomato|hotpink|' + r'lightyellow|lavenderblush|linen|mediumaquamarine|' + r'blueviolet|peachpuff)\b', Name.Entity), + (r'(black|silver|gray|white|maroon|red|purple|fuchsia|green|' + r'lime|olive|yellow|navy|blue|teal|aqua)\b', Name.Builtin), + (r'\!(important|default)', Name.Exception), + (r'(true|false)', Name.Pseudo), + (r'(and|or|not)', Operator.Word), + (r'/\*', Comment.Multiline, 'inline-comment'), + (r'//[^\n]*', Comment.Single), + (r'\#[a-z0-9]{1,6}', Number.Hex), + (r'(-?\d+)(\%|[a-z]+)?', bygroups(Number.Integer, Keyword.Type)), + (r'(-?\d*\.\d+)(\%|[a-z]+)?', bygroups(Number.Float, Keyword.Type)), + (r'#{', String.Interpol, 'interpolation'), + (r'[~\^\*!&%<>\|+=@:,./?-]+', Operator), + (r'[\[\]()]+', Punctuation), + (r'"', String.Double, 'string-double'), + (r"'", String.Single, 'string-single'), + (r'[a-z_-][\w-]*', Name), + ], + + 'interpolation': [ + (r'\}', String.Interpol, '#pop'), + include('value'), + ], + + 'selector': [ + (r'[ \t]+', Text), + (r'\:', Name.Decorator, 'pseudo-class'), + (r'\.', Name.Class, 'class'), + (r'\#', Name.Namespace, 'id'), + (r'[a-zA-Z0-9_-]+', Name.Tag), + (r'#\{', String.Interpol, 'interpolation'), + (r'&', Keyword), + (r'[~\^\*!&\[\]\(\)<>\|+=@:;,./?-]', Operator), + (r'"', String.Double, 'string-double'), + (r"'", String.Single, 'string-single'), + ], + + 'string-double': [ + (r'(\\.|#(?=[^\n{])|[^\n"#])+', String.Double), + (r'#\{', String.Interpol, 'interpolation'), + (r'"', String.Double, '#pop'), + ], + + 'string-single': [ + (r"(\\.|#(?=[^\n{])|[^\n'#])+", String.Double), + (r'#\{', String.Interpol, 'interpolation'), + (r"'", String.Double, '#pop'), + ], + + 'string-url': [ + (r'(\\#|#(?=[^\n{])|[^\n#)])+', String.Other), + (r'#\{', String.Interpol, 'interpolation'), + (r'\)', String.Other, '#pop'), + ], + + 'pseudo-class': [ + (r'[\w-]+', Name.Decorator), + (r'#\{', String.Interpol, 'interpolation'), + (r'', Text, '#pop'), + ], + + 'class': [ + (r'[\w-]+', Name.Class), + (r'#\{', String.Interpol, 'interpolation'), + (r'', Text, '#pop'), + ], + + 'id': [ + (r'[\w-]+', Name.Namespace), + (r'#\{', String.Interpol, 'interpolation'), + (r'', Text, '#pop'), + ], + + 'for': [ + (r'(from|to|through)', Operator.Word), + include('value'), + ], +} + class SassLexer(ExtendedRegexLexer): """ For Sass stylesheets. @@ -1333,14 +1510,17 @@ class SassLexer(ExtendedRegexLexer): 'root'), (r'@import', Keyword, 'import'), (r'@for', Keyword, 'for'), - (r'@(debug|if|while)', Keyword, 'script'), + (r'@(debug|warn|if|while)', Keyword, 'value'), + (r'(@mixin)( [\w-]+)', bygroups(Keyword, Name.Function), 'value'), + (r'(@include)( [\w-]+)', bygroups(Keyword, Name.Decorator), 'value'), + (r'@extend', Keyword, 'selector'), (r'@[a-z0-9_-]+', Keyword, 'selector'), - (r'=[\w-]+', Name.Function, 'script'), - (r'\+[\w-]+', Name.Decorator, 'script'), - (r'(![a-z_]\w*)([ \t]*(?:\|\|)?=)', - bygroups(Name.Variable, Operator), 'script'), + (r'=[\w-]+', Name.Function, 'value'), + (r'\+[\w-]+', Name.Decorator, 'value'), + (r'([!$][\w-]\w*)([ \t]*(?:(?:\|\|)?=|:))', + bygroups(Name.Variable, Operator), 'value'), (r':', Name.Attribute, 'old-style-attr'), - (r'(?=[^\s:"\[]+\s*[=:]([ \t]|$))', Name.Attribute, 'new-style-attr'), + (r'(?=.+?[=:]([^a-z]|$))', Name.Attribute, 'new-style-attr'), (r'', Text, 'selector'), ], @@ -1360,210 +1540,83 @@ class SassLexer(ExtendedRegexLexer): (r'\n', Text, 'root'), ], - 'for': [ - (r'(from|to|through)', Operator.Word), - include('script'), - ], - 'old-style-attr': [ (r'[^\s:="\[]+', Name.Attribute), (r'#{', String.Interpol, 'interpolation'), - (r'[ \t]*=', Operator, 'script'), + (r'[ \t]*=', Operator, 'value'), (r'', Text, 'value'), ], 'new-style-attr': [ (r'[^\s:="\[]+', Name.Attribute), (r'#{', String.Interpol, 'interpolation'), - (r'[ \t]*=', Operator, 'script'), - (r':', Name.Attribute, 'value'), + (r'[ \t]*[=:]', Operator, 'value'), ], - 'value': [ - (r'[ \t]+', Text), - (r'url\(', String.Other, 'string-url'), - (r'(azimuth|background-attachment|background-color|' - r'background-image|background-position|background-repeat|' - r'background|border-bottom-color|border-bottom-style|' - r'border-bottom-width|border-left-color|border-left-style|' - r'border-left-width|border-right|border-right-color|' - r'border-right-style|border-right-width|border-top-color|' - r'border-top-style|border-top-width|border-bottom|' - r'border-collapse|border-left|border-width|border-color|' - r'border-spacing|border-style|border-top|border|caption-side|' - r'clear|clip|color|content|counter-increment|counter-reset|' - r'cue-after|cue-before|cue|cursor|direction|display|' - r'elevation|empty-cells|float|font-family|font-size|' - r'font-size-adjust|font-stretch|font-style|font-variant|' - r'font-weight|font|height|letter-spacing|line-height|' - r'list-style-type|list-style-image|list-style-position|' - r'list-style|margin-bottom|margin-left|margin-right|' - r'margin-top|margin|marker-offset|marks|max-height|max-width|' - r'min-height|min-width|opacity|orphans|outline|outline-color|' - r'outline-style|outline-width|overflow|padding-bottom|' - r'padding-left|padding-right|padding-top|padding|page|' - r'page-break-after|page-break-before|page-break-inside|' - r'pause-after|pause-before|pause|pitch|pitch-range|' - r'play-during|position|quotes|richness|right|size|' - r'speak-header|speak-numeral|speak-punctuation|speak|' - r'speech-rate|stress|table-layout|text-align|text-decoration|' - r'text-indent|text-shadow|text-transform|top|unicode-bidi|' - r'vertical-align|visibility|voice-family|volume|white-space|' - r'widows|width|word-spacing|z-index|bottom|left|' - r'above|absolute|always|armenian|aural|auto|avoid|baseline|' - r'behind|below|bidi-override|blink|block|bold|bolder|both|' - r'capitalize|center-left|center-right|center|circle|' - r'cjk-ideographic|close-quote|collapse|condensed|continuous|' - r'crop|crosshair|cross|cursive|dashed|decimal-leading-zero|' - r'decimal|default|digits|disc|dotted|double|e-resize|embed|' - r'extra-condensed|extra-expanded|expanded|fantasy|far-left|' - r'far-right|faster|fast|fixed|georgian|groove|hebrew|help|' - r'hidden|hide|higher|high|hiragana-iroha|hiragana|icon|' - r'inherit|inline-table|inline|inset|inside|invert|italic|' - r'justify|katakana-iroha|katakana|landscape|larger|large|' - r'left-side|leftwards|level|lighter|line-through|list-item|' - r'loud|lower-alpha|lower-greek|lower-roman|lowercase|ltr|' - r'lower|low|medium|message-box|middle|mix|monospace|' - r'n-resize|narrower|ne-resize|no-close-quote|no-open-quote|' - r'no-repeat|none|normal|nowrap|nw-resize|oblique|once|' - r'open-quote|outset|outside|overline|pointer|portrait|px|' - r'relative|repeat-x|repeat-y|repeat|rgb|ridge|right-side|' - r'rightwards|s-resize|sans-serif|scroll|se-resize|' - r'semi-condensed|semi-expanded|separate|serif|show|silent|' - r'slow|slower|small-caps|small-caption|smaller|soft|solid|' - r'spell-out|square|static|status-bar|super|sw-resize|' - r'table-caption|table-cell|table-column|table-column-group|' - r'table-footer-group|table-header-group|table-row|' - r'table-row-group|text|text-bottom|text-top|thick|thin|' - r'transparent|ultra-condensed|ultra-expanded|underline|' - r'upper-alpha|upper-latin|upper-roman|uppercase|url|' - r'visible|w-resize|wait|wider|x-fast|x-high|x-large|x-loud|' - r'x-low|x-small|x-soft|xx-large|xx-small|yes)\b', Name.Constant), - (r'(indigo|gold|firebrick|indianred|yellow|darkolivegreen|' - r'darkseagreen|mediumvioletred|mediumorchid|chartreuse|' - r'mediumslateblue|black|springgreen|crimson|lightsalmon|brown|' - r'turquoise|olivedrab|cyan|silver|skyblue|gray|darkturquoise|' - r'goldenrod|darkgreen|darkviolet|darkgray|lightpink|teal|' - r'darkmagenta|lightgoldenrodyellow|lavender|yellowgreen|thistle|' - r'violet|navy|orchid|blue|ghostwhite|honeydew|cornflowerblue|' - r'darkblue|darkkhaki|mediumpurple|cornsilk|red|bisque|slategray|' - r'darkcyan|khaki|wheat|deepskyblue|darkred|steelblue|aliceblue|' - r'gainsboro|mediumturquoise|floralwhite|coral|purple|lightgrey|' - r'lightcyan|darksalmon|beige|azure|lightsteelblue|oldlace|' - r'greenyellow|royalblue|lightseagreen|mistyrose|sienna|' - r'lightcoral|orangered|navajowhite|lime|palegreen|burlywood|' - r'seashell|mediumspringgreen|fuchsia|papayawhip|blanchedalmond|' - r'peru|aquamarine|white|darkslategray|ivory|dodgerblue|' - r'lemonchiffon|chocolate|orange|forestgreen|slateblue|olive|' - r'mintcream|antiquewhite|darkorange|cadetblue|moccasin|' - r'limegreen|saddlebrown|darkslateblue|lightskyblue|deeppink|' - r'plum|aqua|darkgoldenrod|maroon|sandybrown|magenta|tan|' - r'rosybrown|pink|lightblue|palevioletred|mediumseagreen|' - r'dimgray|powderblue|seagreen|snow|mediumblue|midnightblue|' - r'paleturquoise|palegoldenrod|whitesmoke|darkorchid|salmon|' - r'lightslategray|lawngreen|lightgreen|tomato|hotpink|' - r'lightyellow|lavenderblush|linen|mediumaquamarine|green|' - r'blueviolet|peachpuff)\b', Name.Entity), - (r'\!important', Name.Exception), - (r'/\*', Comment, 'inline-comment'), - (r'\#[a-z0-9]{1,6}', Number.Hex), - (r'(-?\d+)(\%|[a-z]+)?', bygroups(Number.Integer, Keyword.Type)), - (r'(-?\d*\.\d+)(\%|[a-z]+)?', bygroups(Number.Float, Keyword.Type)), - (r'#{', String.Interpol, 'interpolation'), - (r'[~\^\*!&%<>\|+=@:,./?-]+', Operator), - (r'[\[\]();]+', Punctuation), - (r'"', String.Double, 'string-double'), - (r"'", String.Single, 'string-single'), - (r'[a-z][\w-]*', Name), - (r'\n', Text, 'root'), - ], - - 'script': [ - (r'[ \t]+', Text), - (r'![\w_]+', Name.Variable), - (r'[+\-*/%=(),!><]', Operator), - (r'"', String.Double, 'string-double'), - (r"'", String.Single, 'string-single'), - (r'\#[a-z0-9]{1,6}', Number.Hex), - (r'(-?\d+)(\%|[a-z]+)?', bygroups(Number.Integer, Keyword.Type)), - (r'(-?\d*\.\d+)(\%|[a-z]+)?', bygroups(Number.Float, Keyword.Type)), - (r'(black|silver|gray|white|maroon|red|purple|fuchsia|green|' - r'lime|olive|yellow|navy|blue|teal|aqua)\b', Name.Builtin), - (r'(true|false)', Name.Pseudo), - (r'(and|or|not)', Operator.Word), - (r'(\\.|[^\s\\+*\/%(),=!])+(?=[ \t]*\()', Name.Function), - (r'(\\.|[^\s\\+*\/%(),=!])+', Name), - (r'\n', Text, 'root'), + 'inline-comment': [ + (r"(\\#|#(?=[^\n{])|\*(?=[^\n/])|[^\n#*])+", Comment.Multiline), + (r'#\{', String.Interpol, 'interpolation'), + (r"\*/", Comment, '#pop'), ], + } + for group, common in common_sass_tokens.iteritems(): + tokens[group] = copy.copy(common) + tokens['value'].append((r'\n', Text, 'root')) + tokens['selector'].append((r'\n', Text, 'root')) - 'interpolation': [ - (r'\}', String.Interpol, '#pop'), - include('script'), - ], - 'selector': [ - (r'[ \t]+', Text), - (r'\:', Name.Decorator, 'pseudo-class'), - (r'\.', Name.Class, 'class'), - (r'\#', Name.Namespace, 'id'), - (r'[a-zA-Z0-9_-]+', Name.Tag), - (r'#\{', String.Interpol, 'interpolation'), - (r'&', Keyword), - (r'[~\^\*!&\[\]\(\)<>\|+=@:;,./?-]', Operator), - (r'"', String.Double, 'string-double'), - (r"'", String.Single, 'string-single'), - (r'\n', Text, 'root'), - ], +class ScssLexer(RegexLexer): + """ + For SCSS stylesheets. + """ - 'string-double': [ - (r'(\\.|#(?=[^\n{])|[^\n"#])+', String.Double), - (r'#\{', String.Interpol, 'interpolation'), - (r'"', String.Double, '#pop'), - ], + name = 'SCSS' + aliases = ['scss'] + filenames = ['*.scss'] + mimetypes = ['text/x-scss'] - 'string-single': [ - (r"(\\.|#(?=[^\n{])|[^\n'#])+", String.Double), - (r'#\{', String.Interpol, 'interpolation'), - (r"'", String.Double, '#pop'), + flags = re.IGNORECASE | re.DOTALL + tokens = { + 'root': [ + (r'\s+', Text), + (r'//.*?\n', Comment.Single), + (r'/\*.*?\*/', Comment.Multiline), + (r'@import', Keyword, 'value'), + (r'@for', Keyword, 'for'), + (r'@(debug|warn|if|while)', Keyword, 'value'), + (r'(@mixin)( [\w-]+)', bygroups(Keyword, Name.Function), 'value'), + (r'(@include)( [\w-]+)', bygroups(Keyword, Name.Decorator), 'value'), + (r'@extend', Keyword, 'selector'), + (r'@[a-z0-9_-]+', Keyword, 'selector'), + (r'(\$[\w-]\w*)([ \t]*:)', bygroups(Name.Variable, Operator), 'value'), + (r'(?=[^;{}][;}])', Name.Attribute, 'attr'), + (r'(?=[^;{}:]+:[^a-z])', Name.Attribute, 'attr'), + (r'', Text, 'selector'), ], - 'string-url': [ - (r'(\\#|#(?=[^\n{])|[^\n#)])+', String.Other), - (r'#\{', String.Interpol, 'interpolation'), - (r'\)', String.Other, '#pop'), + 'attr': [ + (r'[^\s:="\[]+', Name.Attribute), + (r'#{', String.Interpol, 'interpolation'), + (r'[ \t]*:', Operator, 'value'), ], 'inline-comment': [ - (r"(\\#|#(?=[^\n{])|\*(?=[^\n/])|[^\n#*])+", Comment), + (r"(\\#|#(?=[^{])|\*(?=[^/])|[^#*])+", Comment.Multiline), (r'#\{', String.Interpol, 'interpolation'), (r"\*/", Comment, '#pop'), ], - - 'pseudo-class': [ - (r'[\w-]+', Name.Decorator), - (r'#\{', String.Interpol, 'interpolation'), - (r'', Text, '#pop'), - ], - - 'class': [ - (r'[\w-]+', Name.Class), - (r'#\{', String.Interpol, 'interpolation'), - (r'', Text, '#pop'), - ], - - 'id': [ - (r'[\w-]+', Name.Namespace), - (r'#\{', String.Interpol, 'interpolation'), - (r'', Text, '#pop'), - ], } + for group, common in common_sass_tokens.iteritems(): + tokens[group] = copy.copy(common) + tokens['value'].extend([(r'\n', Text), (r'[;{}]', Punctuation, 'root')]) + tokens['selector'].extend([(r'\n', Text), (r'[;{}]', Punctuation, 'root')]) class CoffeeScriptLexer(RegexLexer): """ For `CoffeeScript`_ source code. - .. _CoffeeScript: http://jashkenas.github.com/coffee-script/ + .. _CoffeeScript: http://coffeescript.org *New in Pygments 1.3.* """ @@ -1592,24 +1645,27 @@ class CoffeeScriptLexer(RegexLexer): 'root': [ (r'^(?=\s|/|)', popstate_xmlcomment_callback), + (r'[^-]{1,2}', Literal), + (r'\u009|\u00A|\u00D|[\u0020-\u00D7FF]|[\u00E000-\u00FFFD]|' + r'[\u0010000-\u0010FFFF]', Literal), + ], + 'processing_instruction': [ + (r'\s+', Text, 'processing_instruction_content'), + (r'\?>', String.Doc, '#pop'), + (pitarget, Name), + ], + 'processing_instruction_content': [ + (r'\?>', String.Doc, '#pop'), + (r'\u009|\u00A|\u00D|[\u0020-\uD7FF]|[\uE000-\uFFFD]|' + r'[\u10000-\u10FFFF]', Literal), + ], + 'cdata_section': [ + (r']]>', String.Doc, '#pop'), + (r'\u009|\u00A|\u00D|[\u0020-\uD7FF]|[\uE000-\uFFFD]|' + r'[\u10000-\u10FFFF]', Literal), + ], + 'start_tag': [ + include('whitespace'), + (r'(/>)', popstate_tag_callback), + (r'>', Name.Tag, 'element_content'), + (r'"', Punctuation, 'quot_attribute_content'), + (r"'", Punctuation, 'apos_attribute_content'), + (r'=', Operator), + (qname, Name.Tag), + ], + 'quot_attribute_content': [ + (r'"', Punctuation, 'start_tag'), + (r'(\{)', pushstate_root_callback), + (r'""', Name.Attribute), + (quotattrcontentchar, Name.Attribute), + (entityref, Name.Attribute), + (charref, Name.Attribute), + (r'\{\{|\}\}', Name.Attribute), + ], + 'apos_attribute_content': [ + (r"'", Punctuation, 'start_tag'), + (r'\{', Punctuation, 'root'), + (r"''", Name.Attribute), + (aposattrcontentchar, Name.Attribute), + (entityref, Name.Attribute), + (charref, Name.Attribute), + (r'\{\{|\}\}', Name.Attribute), + ], + 'element_content': [ + (r')', popstate_tag_callback), + (qname, Name.Tag), + ], + 'xmlspace_decl': [ + (r'\(:', Comment, 'comment'), + (r'preserve|strip', Keyword, '#pop'), + ], + 'declareordering': [ + (r'\(:', Comment, 'comment'), + include('whitespace'), + (r'ordered|unordered', Keyword, '#pop'), + ], + 'xqueryversion': [ + include('whitespace'), + (r'\(:', Comment, 'comment'), + (stringdouble, String.Double), + (stringsingle, String.Single), + (r'encoding', Keyword), + (r';', Punctuation, '#pop'), + ], + 'pragma': [ + (qname, Name.Variable, 'pragmacontents'), + ], + 'pragmacontents': [ + (r'#\)', Punctuation, 'operator'), + (r'\u009|\u00A|\u00D|[\u0020-\u00D7FF]|[\u00E000-\u00FFFD]|' + r'[\u0010000-\u0010FFFF]', Literal), + (r'(\s*)', Text), + ], + 'occurrenceindicator': [ + include('whitespace'), + (r'\(:', Comment, 'comment'), + (r'\*|\?|\+', Operator, 'operator'), + (r':=', Operator, 'root'), + (r'', Text, 'operator'), + ], + 'option': [ + include('whitespace'), + (qname, Name.Variable, '#pop'), + ], + 'qname_braren': [ + include('whitespace'), + (r'(\{)', pushstate_operator_root_callback), + (r'(\()', Punctuation, 'root'), + ], + 'element_qname': [ + (qname, Name.Variable, 'root'), + ], + 'attribute_qname': [ + (qname, Name.Variable, 'root'), + ], + 'root': [ + include('whitespace'), + (r'\(:', Comment, 'comment'), + + # handle operator state + # order on numbers matters - handle most complex first + (r'\d+(\.\d*)?[eE][\+\-]?\d+', Number.Double, 'operator'), + (r'(\.\d+)[eE][\+\-]?\d+', Number.Double, 'operator'), + (r'(\.\d+|\d+\.\d*)', Number, 'operator'), + (r'(\d+)', Number.Integer, 'operator'), + (r'(\.\.|\.|\)|\*)', Punctuation, 'operator'), + (r'(declare)(\s+)(construction)', + bygroups(Keyword, Text, Keyword), 'operator'), + (r'(declare)(\s+)(default)(\s+)(order)', + bygroups(Keyword, Text, Keyword, Text, Keyword), 'operator'), + (ncname + ':\*', Name, 'operator'), + (stringdouble, String.Double, 'operator'), + (stringsingle, String.Single, 'operator'), + + (r'(\})', popstate_callback), + + #NAMESPACE DECL + (r'(declare)(\s+)(default)(\s+)(collation)', + bygroups(Keyword, Text, Keyword, Text, Keyword)), + (r'(module|declare)(\s+)(namespace)', + bygroups(Keyword, Text, Keyword), 'namespacedecl'), + (r'(declare)(\s+)(base-uri)', + bygroups(Keyword, Text, Keyword), 'namespacedecl'), + + #NAMESPACE KEYWORD + (r'(declare)(\s+)(default)(\s+)(element|function)', + bygroups(Keyword, Text, Keyword, Text, Keyword), 'namespacekeyword'), + (r'(import)(\s+)(schema|module)', + bygroups(Keyword.Pseudo, Text, Keyword.Pseudo), 'namespacekeyword'), + (r'(declare)(\s+)(copy-namespaces)', + bygroups(Keyword, Text, Keyword), 'namespacekeyword'), + + #VARNAMEs + (r'(for|let|some|every)(\s+)(\$)', + bygroups(Keyword, Text, Name.Variable), 'varname'), + (r'\$', Name.Variable, 'varname'), + (r'(declare)(\s+)(variable)(\s+)(\$)', + bygroups(Keyword, Text, Keyword, Text, Name.Variable), 'varname'), + + #ITEMTYPE + (r'(\))(\s+)(as)', bygroups(Operator, Text, Keyword), 'itemtype'), + + (r'(element|attribute|schema-element|schema-attribute|comment|' + r'text|node|document-node)(\s+)(\()', + pushstate_operator_kindtest_callback), + + (r'(processing-instruction)(\s+)(\()', + pushstate_operator_kindtestforpi_callback), + + (r'( +<%$ Resources: localizationKey %><%-- JBST globalization--%> \ No newline at end of file diff --git a/tests/examplefiles/jbst_example2.jbst b/tests/examplefiles/jbst_example2.jbst new file mode 100644 index 0000000..2b5e048 --- /dev/null +++ b/tests/examplefiles/jbst_example2.jbst @@ -0,0 +1,45 @@ +<%@ Control Name="Foo.MyZebraList" Language="JavaScript" %> + + + +
    +

    <%= this.data.title %> as of <%= this.formatTime(this.data.timestamp) %>!

    +

    <%= this.data.description %>

    +
      + + + + +
    • + <%= this.data.label %> (<%= this.index+1 %> of <%= this.count %>) +
    • +
      + +
    +
    \ No newline at end of file diff --git a/tests/examplefiles/output/ANTLRv3.g b/tests/examplefiles/output/ANTLRv3.g new file mode 100644 index 0000000..497204d --- /dev/null +++ b/tests/examplefiles/output/ANTLRv3.g @@ -0,0 +1,12741 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +tp367 +a(g24 +V/*\u000a [The "BSD licence"]\u000a Copyright (c) 2005-2007 Terence Parr\u000a All rights reserved.\u000a\u000a Redistribution and use in source and binary forms, with or without\u000a modification, are permitted provided that the following conditions\u000a are met:\u000a 1. Redistributions of source code must retain the above copyright\u000a notice, this list of conditions and the following disclaimer.\u000a 2. Redistributions in binary form must reproduce the above copyright\u000a notice, this list of conditions and the following disclaimer in the\u000a documentation and/or other materials provided with the distribution.\u000a 3. The name of the author may not be used to endorse or promote products\u000a derived from this software without specific prior written permission.\u000a\u000a THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS'' AND ANY EXPRESS OR\u000a IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES\u000a OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED.\u000a IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR ANY DIRECT, INDIRECT,\u000a INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT\u000a NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE,\u000a DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY\u000a THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT\u000a (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF\u000a THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.\u000a*/ +p368 +tp369 +a(g17 +V\u000a\u000a +p370 +tp371 +a(g24 +V/** ANTLR v3 grammar written in ANTLR v3 with AST construction */ +p372 +tp373 +a(g17 +V\u000a +tp374 +a(g138 +Vgrammar +p375 +tp376 +a(g17 +V +tp377 +a(g133 +VANTLRv3 +p378 +tp379 +a(g216 +V; +tp380 +a(g17 +V\u000a\u000a +p381 +tp382 +a(g138 +Voptions +p383 +tp384 +a(g17 +V +tp385 +a(g216 +V{ +tp386 +a(g17 +V\u000a +p387 +tp388 +a(g100 +Voutput +p389 +tp390 +a(g216 +V= +tp391 +a(g6 +VAST +p392 +tp393 +a(g216 +V; +tp394 +a(g17 +V\u000a +p395 +tp396 +a(g100 +VASTLabelType +p397 +tp398 +a(g216 +V= +tp399 +a(g6 +VCommonTree +p400 +tp401 +a(g216 +V; +tp402 +a(g17 +V\u000a +tp403 +a(g216 +V} +tp404 +a(g17 +V\u000a\u000a +p405 +tp406 +a(g138 +Vtokens +p407 +tp408 +a(g17 +V +tp409 +a(g216 +V{ +tp410 +a(g17 +V\u000a +p411 +tp412 +a(g72 +VDOC_COMMENT +p413 +tp414 +a(g216 +V; +tp415 +a(g17 +V\u000a +p416 +tp417 +a(g72 +VPARSER +p418 +tp419 +a(g216 +V; +tp420 +a(g17 +V \u000a +p421 +tp422 +a(g72 +VLEXER +p423 +tp424 +a(g216 +V; +tp425 +a(g17 +V\u000a +p426 +tp427 +a(g72 +VRULE +p428 +tp429 +a(g216 +V; +tp430 +a(g17 +V\u000a +p431 +tp432 +a(g72 +VBLOCK +p433 +tp434 +a(g216 +V; +tp435 +a(g17 +V\u000a +p436 +tp437 +a(g72 +VOPTIONAL +p438 +tp439 +a(g216 +V; +tp440 +a(g17 +V\u000a +p441 +tp442 +a(g72 +VCLOSURE +p443 +tp444 +a(g216 +V; +tp445 +a(g17 +V\u000a +p446 +tp447 +a(g72 +VPOSITIVE_CLOSURE +p448 +tp449 +a(g216 +V; +tp450 +a(g17 +V\u000a +p451 +tp452 +a(g72 +VSYNPRED +p453 +tp454 +a(g216 +V; +tp455 +a(g17 +V\u000a +p456 +tp457 +a(g72 +VRANGE +p458 +tp459 +a(g216 +V; +tp460 +a(g17 +V\u000a +p461 +tp462 +a(g72 +VCHAR_RANGE +p463 +tp464 +a(g216 +V; +tp465 +a(g17 +V\u000a +p466 +tp467 +a(g72 +VEPSILON +p468 +tp469 +a(g216 +V; +tp470 +a(g17 +V\u000a +p471 +tp472 +a(g72 +VALT +p473 +tp474 +a(g216 +V; +tp475 +a(g17 +V\u000a +p476 +tp477 +a(g72 +VEOR +p478 +tp479 +a(g216 +V; +tp480 +a(g17 +V\u000a +p481 +tp482 +a(g72 +VEOB +p483 +tp484 +a(g216 +V; +tp485 +a(g17 +V\u000a +p486 +tp487 +a(g72 +VEOA +p488 +tp489 +a(g216 +V; +tp490 +a(g17 +V +tp491 +a(g24 +V// end of alt +p492 +tp493 +a(g17 +V\u000a +p494 +tp495 +a(g72 +VID +p496 +tp497 +a(g216 +V; +tp498 +a(g17 +V\u000a +p499 +tp500 +a(g72 +VARG +p501 +tp502 +a(g216 +V; +tp503 +a(g17 +V\u000a +p504 +tp505 +a(g72 +VARGLIST +p506 +tp507 +a(g216 +V; +tp508 +a(g17 +V\u000a +p509 +tp510 +a(g72 +VRET +p511 +tp512 +a(g216 +V; +tp513 +a(g17 +V\u000a +p514 +tp515 +a(g72 +VLEXER_GRAMMAR +p516 +tp517 +a(g216 +V; +tp518 +a(g17 +V\u000a +p519 +tp520 +a(g72 +VPARSER_GRAMMAR +p521 +tp522 +a(g216 +V; +tp523 +a(g17 +V\u000a +p524 +tp525 +a(g72 +VTREE_GRAMMAR +p526 +tp527 +a(g216 +V; +tp528 +a(g17 +V\u000a +p529 +tp530 +a(g72 +VCOMBINED_GRAMMAR +p531 +tp532 +a(g216 +V; +tp533 +a(g17 +V\u000a +p534 +tp535 +a(g72 +VINITACTION +p536 +tp537 +a(g216 +V; +tp538 +a(g17 +V\u000a +p539 +tp540 +a(g72 +VLABEL +p541 +tp542 +a(g216 +V; +tp543 +a(g17 +V +tp544 +a(g24 +V// $x used in rewrite rules +p545 +tp546 +a(g17 +V\u000a +p547 +tp548 +a(g72 +VTEMPLATE +p549 +tp550 +a(g216 +V; +tp551 +a(g17 +V\u000a +p552 +tp553 +a(g72 +VSCOPE +p554 +tp555 +a(g216 +V= +tp556 +a(g240 +V'scope' +p557 +tp558 +a(g216 +V; +tp559 +a(g17 +V\u000a +p560 +tp561 +a(g72 +VSEMPRED +p562 +tp563 +a(g216 +V; +tp564 +a(g17 +V\u000a +p565 +tp566 +a(g72 +VGATED_SEMPRED +p567 +tp568 +a(g216 +V; +tp569 +a(g17 +V +tp570 +a(g24 +V// {p}? => +p571 +tp572 +a(g17 +V\u000a +p573 +tp574 +a(g72 +VSYN_SEMPRED +p575 +tp576 +a(g216 +V; +tp577 +a(g17 +V +tp578 +a(g24 +V// (...) => it's a manually-specified synpred converted to sempred +p579 +tp580 +a(g17 +V\u000a +p581 +tp582 +a(g72 +VBACKTRACK_SEMPRED +p583 +tp584 +a(g216 +V; +tp585 +a(g17 +V +tp586 +a(g24 +V// auto backtracking mode syn pred converted to sempred +p587 +tp588 +a(g17 +V\u000a +p589 +tp590 +a(g72 +VFRAGMENT +p591 +tp592 +a(g216 +V= +tp593 +a(g240 +V'fragment' +p594 +tp595 +a(g216 +V; +tp596 +a(g17 +V\u000a +p597 +tp598 +a(g72 +VTREE_BEGIN +p599 +tp600 +a(g216 +V= +tp601 +a(g240 +V'^(' +p602 +tp603 +a(g216 +V; +tp604 +a(g17 +V\u000a +p605 +tp606 +a(g72 +VROOT +p607 +tp608 +a(g216 +V= +tp609 +a(g240 +V'^' +p610 +tp611 +a(g216 +V; +tp612 +a(g17 +V\u000a +p613 +tp614 +a(g72 +VBANG +p615 +tp616 +a(g216 +V= +tp617 +a(g240 +V'!' +p618 +tp619 +a(g216 +V; +tp620 +a(g17 +V\u000a +p621 +tp622 +a(g72 +VRANGE +p623 +tp624 +a(g216 +V= +tp625 +a(g240 +V'..' +p626 +tp627 +a(g216 +V; +tp628 +a(g17 +V\u000a +p629 +tp630 +a(g72 +VREWRITE +p631 +tp632 +a(g216 +V= +tp633 +a(g240 +V'->' +p634 +tp635 +a(g216 +V; +tp636 +a(g17 +V\u000a +tp637 +a(g216 +V} +tp638 +a(g17 +V\u000a\u000a +p639 +tp640 +a(g72 +V@member +p641 +tp642 +a(g72 +Vs +tp643 +a(g17 +V +tp644 +a(g216 +V{ +tp645 +a(g6 +V\u000a +p646 +tp647 +a(g45 +Vint +p648 +tp649 +a(g6 +V +tp650 +a(g45 +Vgtype +p651 +tp652 +a(g216 +V; +tp653 +a(g6 +V\u000a +tp654 +a(g216 +V} +tp655 +a(g17 +V\u000a\u000a +p656 +tp657 +a(g72 +VgrammarDef +p658 +tp659 +a(g17 +V\u000a +p660 +tp661 +a(g216 +V: +tp662 +a(g17 +V +p663 +tp664 +a(g60 +VDOC_COMMENT +p665 +tp666 +a(g357 +V? +tp667 +a(g17 +V\u000a +p668 +tp669 +a(g357 +V( +tp670 +a(g17 +V +tp671 +a(g240 +V'lexer' +p672 +tp673 +a(g17 +V +p674 +tp675 +a(g216 +V{ +tp676 +a(g6 +V +tp677 +a(g45 +Vgtype +p678 +tp679 +a(g357 +V= +tp680 +a(g60 +VLEXER_GRAMMAR +p681 +tp682 +a(g216 +V; +tp683 +a(g216 +V} +tp684 +a(g17 +V +p685 +tp686 +a(g24 +V// pure lexer +p687 +tp688 +a(g17 +V\u000a +p689 +tp690 +a(g357 +V| +tp691 +a(g17 +V +p692 +tp693 +a(g240 +V'parser' +p694 +tp695 +a(g17 +V +tp696 +a(g216 +V{ +tp697 +a(g216 +V +tp698 +a(g45 +Vgtype +p699 +tp700 +a(g357 +V= +tp701 +a(g60 +VPARSER_GRAMMAR +p702 +tp703 +a(g216 +V; +tp704 +a(g216 +V} +tp705 +a(g17 +V +p706 +tp707 +a(g24 +V// pure parser +p708 +tp709 +a(g17 +V\u000a +p710 +tp711 +a(g357 +V| +tp712 +a(g17 +V +p713 +tp714 +a(g240 +V'tree' +p715 +tp716 +a(g17 +V +p717 +tp718 +a(g216 +V{ +tp719 +a(g216 +V +tp720 +a(g45 +Vgtype +p721 +tp722 +a(g357 +V= +tp723 +a(g60 +VTREE_GRAMMAR +p724 +tp725 +a(g216 +V; +tp726 +a(g216 +V} +tp727 +a(g17 +V +p728 +tp729 +a(g24 +V// a tree parser +p730 +tp731 +a(g17 +V\u000a +p732 +tp733 +a(g357 +V| +tp734 +a(g17 +V +p735 +tp736 +a(g216 +V{ +tp737 +a(g216 +V +tp738 +a(g45 +Vgtype +p739 +tp740 +a(g357 +V= +tp741 +a(g60 +VCOMBINED_GRAMMAR +p742 +tp743 +a(g216 +V; +tp744 +a(g216 +V} +tp745 +a(g17 +V +tp746 +a(g24 +V// merged parser/lexer +p747 +tp748 +a(g17 +V\u000a +p749 +tp750 +a(g357 +V) +tp751 +a(g17 +V\u000a +p752 +tp753 +a(g100 +Vg +tp754 +a(g357 +V= +tp755 +a(g240 +V'grammar' +p756 +tp757 +a(g17 +V +tp758 +a(g100 +Vid +p759 +tp760 +a(g17 +V +tp761 +a(g240 +V';' +p762 +tp763 +a(g17 +V +tp764 +a(g100 +VoptionsSpec +p765 +tp766 +a(g357 +V? +tp767 +a(g17 +V +tp768 +a(g100 +VtokensSpec +p769 +tp770 +a(g357 +V? +tp771 +a(g17 +V +tp772 +a(g100 +VattrScope +p773 +tp774 +a(g357 +V* +tp775 +a(g17 +V +tp776 +a(g100 +Vaction +p777 +tp778 +a(g357 +V* +tp779 +a(g17 +V\u000a +p780 +tp781 +a(g100 +Vrule +p782 +tp783 +a(g357 +V+ +tp784 +a(g17 +V\u000a +p785 +tp786 +a(g60 +VEOF +p787 +tp788 +a(g17 +V\u000a +p789 +tp790 +a(g357 +V-> +p791 +tp792 +a(g17 +V +tp793 +a(g357 +V^ +tp794 +a(g357 +V( +tp795 +a(g17 +V +tp796 +a(g216 +V{ +tp797 +a(g216 +V +tp798 +a(g45 +Vadaptor +p799 +tp800 +a(g357 +V. +tp801 +a(g45 +Vcreate +p802 +tp803 +a(g216 +V( +tp804 +a(g45 +Vgtype +p805 +tp806 +a(g216 +V, +tp807 +a(g100 +V$g +p808 +tp809 +a(g216 +V +tp810 +a(g216 +V) +tp811 +a(g216 +V} +tp812 +a(g17 +V\u000a +p813 +tp814 +a(g100 +Vid +p815 +tp816 +a(g17 +V +tp817 +a(g60 +VDOC_COMMENT +p818 +tp819 +a(g357 +V? +tp820 +a(g17 +V +tp821 +a(g100 +VoptionsSpec +p822 +tp823 +a(g357 +V? +tp824 +a(g17 +V +tp825 +a(g100 +VtokensSpec +p826 +tp827 +a(g357 +V? +tp828 +a(g17 +V +tp829 +a(g100 +VattrScope +p830 +tp831 +a(g357 +V* +tp832 +a(g17 +V +tp833 +a(g100 +Vaction +p834 +tp835 +a(g357 +V* +tp836 +a(g17 +V +tp837 +a(g100 +Vrule +p838 +tp839 +a(g357 +V+ +tp840 +a(g17 +V\u000a +p841 +tp842 +a(g357 +V) +tp843 +a(g17 +V\u000a +p844 +tp845 +a(g216 +V; +tp846 +a(g17 +V\u000a\u000a +p847 +tp848 +a(g72 +VtokensSpec +p849 +tp850 +a(g17 +V\u000a +p851 +tp852 +a(g216 +V: +tp853 +a(g17 +V +tp854 +a(g60 +VTOKENS +p855 +tp856 +a(g17 +V +tp857 +a(g100 +VtokenSpec +p858 +tp859 +a(g357 +V+ +tp860 +a(g17 +V +tp861 +a(g240 +V'}' +p862 +tp863 +a(g17 +V +tp864 +a(g357 +V-> +p865 +tp866 +a(g17 +V +tp867 +a(g357 +V^ +tp868 +a(g357 +V( +tp869 +a(g60 +VTOKENS +p870 +tp871 +a(g17 +V +tp872 +a(g100 +VtokenSpec +p873 +tp874 +a(g357 +V+ +tp875 +a(g357 +V) +tp876 +a(g17 +V\u000a +p877 +tp878 +a(g216 +V; +tp879 +a(g17 +V\u000a\u000a +p880 +tp881 +a(g72 +VtokenSpec +p882 +tp883 +a(g17 +V\u000a +p884 +tp885 +a(g216 +V: +tp886 +a(g17 +V +tp887 +a(g60 +VTOKEN_REF +p888 +tp889 +a(g17 +V\u000a +p890 +tp891 +a(g357 +V( +tp892 +a(g17 +V +tp893 +a(g240 +V'=' +p894 +tp895 +a(g17 +V +tp896 +a(g357 +V( +tp897 +a(g100 +Vlit +p898 +tp899 +a(g357 +V= +tp900 +a(g60 +VSTRING_LITERAL +p901 +tp902 +a(g357 +V| +tp903 +a(g100 +Vlit +p904 +tp905 +a(g357 +V= +tp906 +a(g60 +VCHAR_LITERAL +p907 +tp908 +a(g357 +V) +tp909 +a(g17 +V +tp910 +a(g357 +V-> +p911 +tp912 +a(g17 +V +tp913 +a(g357 +V^ +tp914 +a(g357 +V( +tp915 +a(g240 +V'=' +p916 +tp917 +a(g17 +V +tp918 +a(g60 +VTOKEN_REF +p919 +tp920 +a(g17 +V +tp921 +a(g100 +V$lit +p922 +tp923 +a(g357 +V) +tp924 +a(g17 +V\u000a +p925 +tp926 +a(g357 +V| +tp927 +a(g17 +V +p928 +tp929 +a(g357 +V-> +p930 +tp931 +a(g17 +V +tp932 +a(g60 +VTOKEN_REF +p933 +tp934 +a(g17 +V\u000a +p935 +tp936 +a(g357 +V) +tp937 +a(g17 +V\u000a +p938 +tp939 +a(g240 +V';' +p940 +tp941 +a(g17 +V\u000a +p942 +tp943 +a(g216 +V; +tp944 +a(g17 +V\u000a\u000a +p945 +tp946 +a(g72 +VattrScope +p947 +tp948 +a(g17 +V\u000a +p949 +tp950 +a(g216 +V: +tp951 +a(g17 +V +tp952 +a(g240 +V'scope' +p953 +tp954 +a(g17 +V +tp955 +a(g100 +Vid +p956 +tp957 +a(g17 +V +tp958 +a(g60 +VACTION +p959 +tp960 +a(g17 +V +tp961 +a(g357 +V-> +p962 +tp963 +a(g17 +V +tp964 +a(g357 +V^ +tp965 +a(g357 +V( +tp966 +a(g240 +V'scope' +p967 +tp968 +a(g17 +V +tp969 +a(g100 +Vid +p970 +tp971 +a(g17 +V +tp972 +a(g60 +VACTION +p973 +tp974 +a(g357 +V) +tp975 +a(g17 +V\u000a +p976 +tp977 +a(g216 +V; +tp978 +a(g17 +V\u000a\u000a +p979 +tp980 +a(g24 +V/** Match stuff like @parser::members {int i;} */ +p981 +tp982 +a(g17 +V\u000a +tp983 +a(g72 +Vaction +p984 +tp985 +a(g17 +V\u000a +p986 +tp987 +a(g216 +V: +tp988 +a(g17 +V +tp989 +a(g240 +V'@' +p990 +tp991 +a(g17 +V +tp992 +a(g357 +V( +tp993 +a(g100 +VactionScopeName +p994 +tp995 +a(g17 +V +tp996 +a(g240 +V'::' +p997 +tp998 +a(g357 +V) +tp999 +a(g357 +V? +tp1000 +a(g17 +V +tp1001 +a(g100 +Vid +p1002 +tp1003 +a(g17 +V +tp1004 +a(g60 +VACTION +p1005 +tp1006 +a(g17 +V +tp1007 +a(g357 +V-> +p1008 +tp1009 +a(g17 +V +tp1010 +a(g357 +V^ +tp1011 +a(g357 +V( +tp1012 +a(g240 +V'@' +p1013 +tp1014 +a(g17 +V +tp1015 +a(g100 +VactionScopeName +p1016 +tp1017 +a(g357 +V? +tp1018 +a(g17 +V +tp1019 +a(g100 +Vid +p1020 +tp1021 +a(g17 +V +tp1022 +a(g60 +VACTION +p1023 +tp1024 +a(g357 +V) +tp1025 +a(g17 +V\u000a +p1026 +tp1027 +a(g216 +V; +tp1028 +a(g17 +V\u000a\u000a +p1029 +tp1030 +a(g24 +V/** Sometimes the scope names will collide with keywords; allow them as\u000a * ids for action scopes.\u000a */ +p1031 +tp1032 +a(g17 +V\u000a +tp1033 +a(g72 +VactionScopeName +p1034 +tp1035 +a(g17 +V\u000a +p1036 +tp1037 +a(g216 +V: +tp1038 +a(g17 +V +tp1039 +a(g100 +Vid +p1040 +tp1041 +a(g17 +V\u000a +p1042 +tp1043 +a(g357 +V| +tp1044 +a(g17 +V +tp1045 +a(g100 +Vl +tp1046 +a(g357 +V= +tp1047 +a(g240 +V'lexer' +p1048 +tp1049 +a(g17 +V +tp1050 +a(g357 +V-> +p1051 +tp1052 +a(g17 +V +tp1053 +a(g60 +VID +p1054 +tp1055 +a(g216 +V[ +tp1056 +a(g100 +V$l +p1057 +tp1058 +a(g216 +V] +tp1059 +a(g17 +V\u000a +p1060 +tp1061 +a(g357 +V| +tp1062 +a(g17 +V +p1063 +tp1064 +a(g100 +Vp +tp1065 +a(g357 +V= +tp1066 +a(g240 +V'parser' +p1067 +tp1068 +a(g17 +V +tp1069 +a(g357 +V-> +p1070 +tp1071 +a(g17 +V +tp1072 +a(g60 +VID +p1073 +tp1074 +a(g216 +V[ +tp1075 +a(g100 +V$p +p1076 +tp1077 +a(g216 +V] +tp1078 +a(g17 +V\u000a +p1079 +tp1080 +a(g216 +V; +tp1081 +a(g17 +V\u000a\u000a +p1082 +tp1083 +a(g72 +VoptionsSpec +p1084 +tp1085 +a(g17 +V\u000a +p1086 +tp1087 +a(g216 +V: +tp1088 +a(g17 +V +tp1089 +a(g60 +VOPTIONS +p1090 +tp1091 +a(g17 +V +tp1092 +a(g357 +V( +tp1093 +a(g100 +Voption +p1094 +tp1095 +a(g17 +V +tp1096 +a(g240 +V';' +p1097 +tp1098 +a(g357 +V) +tp1099 +a(g357 +V+ +tp1100 +a(g17 +V +tp1101 +a(g240 +V'}' +p1102 +tp1103 +a(g17 +V +tp1104 +a(g357 +V-> +p1105 +tp1106 +a(g17 +V +tp1107 +a(g357 +V^ +tp1108 +a(g357 +V( +tp1109 +a(g60 +VOPTIONS +p1110 +tp1111 +a(g17 +V +tp1112 +a(g100 +Voption +p1113 +tp1114 +a(g357 +V+ +tp1115 +a(g357 +V) +tp1116 +a(g17 +V\u000a +p1117 +tp1118 +a(g216 +V; +tp1119 +a(g17 +V\u000a\u000a +p1120 +tp1121 +a(g72 +Voption +p1122 +tp1123 +a(g17 +V\u000a +p1124 +tp1125 +a(g216 +V: +tp1126 +a(g17 +V +p1127 +tp1128 +a(g100 +Vid +p1129 +tp1130 +a(g17 +V +tp1131 +a(g240 +V'=' +p1132 +tp1133 +a(g17 +V +tp1134 +a(g100 +VoptionValue +p1135 +tp1136 +a(g17 +V +tp1137 +a(g357 +V-> +p1138 +tp1139 +a(g17 +V +tp1140 +a(g357 +V^ +tp1141 +a(g357 +V( +tp1142 +a(g240 +V'=' +p1143 +tp1144 +a(g17 +V +tp1145 +a(g100 +Vid +p1146 +tp1147 +a(g17 +V +tp1148 +a(g100 +VoptionValue +p1149 +tp1150 +a(g357 +V) +tp1151 +a(g17 +V\u000a +p1152 +tp1153 +a(g216 +V; +tp1154 +a(g17 +V\u000a \u000a +p1155 +tp1156 +a(g72 +VoptionValue +p1157 +tp1158 +a(g17 +V\u000a +p1159 +tp1160 +a(g216 +V: +tp1161 +a(g17 +V +p1162 +tp1163 +a(g100 +Vid +p1164 +tp1165 +a(g17 +V\u000a +p1166 +tp1167 +a(g357 +V| +tp1168 +a(g17 +V +p1169 +tp1170 +a(g60 +VSTRING_LITERAL +p1171 +tp1172 +a(g17 +V\u000a +p1173 +tp1174 +a(g357 +V| +tp1175 +a(g17 +V +p1176 +tp1177 +a(g60 +VCHAR_LITERAL +p1178 +tp1179 +a(g17 +V\u000a +p1180 +tp1181 +a(g357 +V| +tp1182 +a(g17 +V +p1183 +tp1184 +a(g60 +VINT +p1185 +tp1186 +a(g17 +V\u000a +p1187 +tp1188 +a(g357 +V| +tp1189 +a(g17 +V +tp1190 +a(g100 +Vs +tp1191 +a(g357 +V= +tp1192 +a(g240 +V'*' +p1193 +tp1194 +a(g17 +V +tp1195 +a(g357 +V-> +p1196 +tp1197 +a(g17 +V +tp1198 +a(g60 +VSTRING_LITERAL +p1199 +tp1200 +a(g216 +V[ +tp1201 +a(g100 +V$s +p1202 +tp1203 +a(g216 +V] +tp1204 +a(g17 +V +p1205 +tp1206 +a(g24 +V// used for k=* +p1207 +tp1208 +a(g17 +V\u000a +p1209 +tp1210 +a(g216 +V; +tp1211 +a(g17 +V\u000a\u000a +p1212 +tp1213 +a(g72 +Vrule +p1214 +tp1215 +a(g17 +V\u000a +tp1216 +a(g138 +Vscope +p1217 +tp1218 +a(g17 +V +tp1219 +a(g216 +V{ +tp1220 +a(g216 +V +tp1221 +a(g6 +V\u000a +p1222 +tp1223 +a(g84 +VString +p1224 +tp1225 +a(g6 +V +tp1226 +a(g84 +Vname +p1227 +tp1228 +a(g216 +V; +tp1229 +a(g6 +V\u000a +tp1230 +a(g216 +V} +tp1231 +a(g17 +V\u000a +p1232 +tp1233 +a(g216 +V: +tp1234 +a(g17 +V +tp1235 +a(g60 +VDOC_COMMENT +p1236 +tp1237 +a(g357 +V? +tp1238 +a(g17 +V\u000a +p1239 +tp1240 +a(g357 +V( +tp1241 +a(g17 +V +tp1242 +a(g100 +Vmodifier +p1243 +tp1244 +a(g357 +V= +tp1245 +a(g357 +V( +tp1246 +a(g240 +V'protected' +p1247 +tp1248 +a(g357 +V| +tp1249 +a(g240 +V'public' +p1250 +tp1251 +a(g357 +V| +tp1252 +a(g240 +V'private' +p1253 +tp1254 +a(g357 +V| +tp1255 +a(g240 +V'fragment' +p1256 +tp1257 +a(g357 +V) +tp1258 +a(g17 +V +tp1259 +a(g357 +V) +tp1260 +a(g357 +V? +tp1261 +a(g17 +V\u000a +p1262 +tp1263 +a(g100 +Vid +p1264 +tp1265 +a(g17 +V +tp1266 +a(g216 +V{ +tp1267 +a(g100 +V$rule +p1268 +tp1269 +a(g6 +V +tp1270 +a(g357 +V:: +p1271 +tp1272 +a(g84 +Vname +p1273 +tp1274 +a(g6 +V +tp1275 +a(g357 +V= +tp1276 +a(g6 +V +tp1277 +a(g100 +V$id +p1278 +tp1279 +a(g216 +V. +tp1280 +a(g130 +Vtext +p1281 +tp1282 +a(g6 +V +tp1283 +a(g216 +V; +tp1284 +a(g216 +V} +tp1285 +a(g17 +V\u000a +p1286 +tp1287 +a(g240 +V'!' +p1288 +tp1289 +a(g357 +V? +tp1290 +a(g17 +V\u000a +p1291 +tp1292 +a(g357 +V( +tp1293 +a(g17 +V +tp1294 +a(g100 +Varg +p1295 +tp1296 +a(g357 +V= +tp1297 +a(g60 +VARG_ACTION +p1298 +tp1299 +a(g17 +V +tp1300 +a(g357 +V) +tp1301 +a(g357 +V? +tp1302 +a(g17 +V\u000a +p1303 +tp1304 +a(g357 +V( +tp1305 +a(g17 +V +tp1306 +a(g240 +V'returns' +p1307 +tp1308 +a(g17 +V +tp1309 +a(g100 +Vrt +p1310 +tp1311 +a(g357 +V= +tp1312 +a(g60 +VARG_ACTION +p1313 +tp1314 +a(g17 +V +p1315 +tp1316 +a(g357 +V) +tp1317 +a(g357 +V? +tp1318 +a(g17 +V\u000a +p1319 +tp1320 +a(g100 +VthrowsSpec +p1321 +tp1322 +a(g357 +V? +tp1323 +a(g17 +V +tp1324 +a(g100 +VoptionsSpec +p1325 +tp1326 +a(g357 +V? +tp1327 +a(g17 +V +tp1328 +a(g100 +VruleScopeSpec +p1329 +tp1330 +a(g357 +V? +tp1331 +a(g17 +V +tp1332 +a(g100 +VruleAction +p1333 +tp1334 +a(g357 +V* +tp1335 +a(g17 +V\u000a +p1336 +tp1337 +a(g240 +V':' +p1338 +tp1339 +a(g17 +V +tp1340 +a(g100 +ValtList +p1341 +tp1342 +a(g17 +V +tp1343 +a(g240 +V';' +p1344 +tp1345 +a(g17 +V\u000a +p1346 +tp1347 +a(g100 +VexceptionGroup +p1348 +tp1349 +a(g357 +V? +tp1350 +a(g17 +V\u000a +p1351 +tp1352 +a(g357 +V-> +p1353 +tp1354 +a(g17 +V +tp1355 +a(g357 +V^ +tp1356 +a(g357 +V( +tp1357 +a(g17 +V +tp1358 +a(g60 +VRULE +p1359 +tp1360 +a(g17 +V +tp1361 +a(g100 +Vid +p1362 +tp1363 +a(g17 +V +tp1364 +a(g216 +V{ +tp1365 +a(g216 +V +tp1366 +a(g45 +Vmodifier! +p1367 +tp1368 +a(g357 +V= +tp1369 +a(g45 +Vnull? +p1370 +tp1371 +a(g45 +Vadaptor +p1372 +tp1373 +a(g357 +V. +tp1374 +a(g45 +Vcreate +p1375 +tp1376 +a(g216 +V( +tp1377 +a(g45 +Vmodifier +p1378 +tp1379 +a(g216 +V) +tp1380 +a(g262 +V:null +p1381 +tp1382 +a(g216 +V} +tp1383 +a(g17 +V +tp1384 +a(g357 +V^ +tp1385 +a(g357 +V( +tp1386 +a(g60 +VARG +p1387 +tp1388 +a(g17 +V +tp1389 +a(g100 +V$arg +p1390 +tp1391 +a(g357 +V) +tp1392 +a(g357 +V? +tp1393 +a(g17 +V +tp1394 +a(g357 +V^ +tp1395 +a(g357 +V( +tp1396 +a(g60 +VRET +p1397 +tp1398 +a(g17 +V +tp1399 +a(g100 +V$rt +p1400 +tp1401 +a(g357 +V) +tp1402 +a(g357 +V? +tp1403 +a(g17 +V\u000a +p1404 +tp1405 +a(g100 +VoptionsSpec +p1406 +tp1407 +a(g357 +V? +tp1408 +a(g17 +V +tp1409 +a(g100 +VruleScopeSpec +p1410 +tp1411 +a(g357 +V? +tp1412 +a(g17 +V +tp1413 +a(g100 +VruleAction +p1414 +tp1415 +a(g357 +V* +tp1416 +a(g17 +V\u000a +p1417 +tp1418 +a(g100 +ValtList +p1419 +tp1420 +a(g17 +V\u000a +p1421 +tp1422 +a(g100 +VexceptionGroup +p1423 +tp1424 +a(g357 +V? +tp1425 +a(g17 +V\u000a +p1426 +tp1427 +a(g60 +VEOR +p1428 +tp1429 +a(g216 +V[ +tp1430 +a(g262 +V +tp1431 +a(g259 +V" +tp1432 +a(g259 +VEOR +p1433 +tp1434 +a(g259 +V" +tp1435 +a(g216 +V] +tp1436 +a(g17 +V\u000a +p1437 +tp1438 +a(g357 +V) +tp1439 +a(g17 +V\u000a +p1440 +tp1441 +a(g216 +V; +tp1442 +a(g17 +V\u000a\u000a +p1443 +tp1444 +a(g24 +V/** Match stuff like @init {int i;} */ +p1445 +tp1446 +a(g17 +V\u000a +tp1447 +a(g72 +VruleAction +p1448 +tp1449 +a(g17 +V\u000a +p1450 +tp1451 +a(g216 +V: +tp1452 +a(g17 +V +tp1453 +a(g240 +V'@' +p1454 +tp1455 +a(g17 +V +tp1456 +a(g100 +Vid +p1457 +tp1458 +a(g17 +V +tp1459 +a(g60 +VACTION +p1460 +tp1461 +a(g17 +V +tp1462 +a(g357 +V-> +p1463 +tp1464 +a(g17 +V +tp1465 +a(g357 +V^ +tp1466 +a(g357 +V( +tp1467 +a(g240 +V'@' +p1468 +tp1469 +a(g17 +V +tp1470 +a(g100 +Vid +p1471 +tp1472 +a(g17 +V +tp1473 +a(g60 +VACTION +p1474 +tp1475 +a(g357 +V) +tp1476 +a(g17 +V\u000a +p1477 +tp1478 +a(g216 +V; +tp1479 +a(g17 +V\u000a\u000a +p1480 +tp1481 +a(g72 +VthrowsSpec +p1482 +tp1483 +a(g17 +V\u000a +p1484 +tp1485 +a(g216 +V: +tp1486 +a(g17 +V +tp1487 +a(g240 +V'throws' +p1488 +tp1489 +a(g17 +V +tp1490 +a(g100 +Vid +p1491 +tp1492 +a(g17 +V +tp1493 +a(g357 +V( +tp1494 +a(g17 +V +tp1495 +a(g240 +V',' +p1496 +tp1497 +a(g17 +V +tp1498 +a(g100 +Vid +p1499 +tp1500 +a(g17 +V +tp1501 +a(g357 +V) +tp1502 +a(g357 +V* +tp1503 +a(g17 +V +tp1504 +a(g357 +V-> +p1505 +tp1506 +a(g17 +V +tp1507 +a(g357 +V^ +tp1508 +a(g357 +V( +tp1509 +a(g240 +V'throws' +p1510 +tp1511 +a(g17 +V +tp1512 +a(g100 +Vid +p1513 +tp1514 +a(g357 +V+ +tp1515 +a(g357 +V) +tp1516 +a(g17 +V\u000a +p1517 +tp1518 +a(g216 +V; +tp1519 +a(g17 +V\u000a\u000a +p1520 +tp1521 +a(g72 +VruleScopeSpec +p1522 +tp1523 +a(g17 +V\u000a +p1524 +tp1525 +a(g216 +V: +tp1526 +a(g17 +V +tp1527 +a(g240 +V'scope' +p1528 +tp1529 +a(g17 +V +tp1530 +a(g60 +VACTION +p1531 +tp1532 +a(g17 +V +tp1533 +a(g357 +V-> +p1534 +tp1535 +a(g17 +V +tp1536 +a(g357 +V^ +tp1537 +a(g357 +V( +tp1538 +a(g240 +V'scope' +p1539 +tp1540 +a(g17 +V +tp1541 +a(g60 +VACTION +p1542 +tp1543 +a(g357 +V) +tp1544 +a(g17 +V\u000a +p1545 +tp1546 +a(g357 +V| +tp1547 +a(g17 +V +tp1548 +a(g240 +V'scope' +p1549 +tp1550 +a(g17 +V +tp1551 +a(g100 +Vid +p1552 +tp1553 +a(g17 +V +tp1554 +a(g357 +V( +tp1555 +a(g240 +V',' +p1556 +tp1557 +a(g17 +V +tp1558 +a(g100 +Vid +p1559 +tp1560 +a(g357 +V) +tp1561 +a(g357 +V* +tp1562 +a(g17 +V +tp1563 +a(g240 +V';' +p1564 +tp1565 +a(g17 +V +tp1566 +a(g357 +V-> +p1567 +tp1568 +a(g17 +V +tp1569 +a(g357 +V^ +tp1570 +a(g357 +V( +tp1571 +a(g240 +V'scope' +p1572 +tp1573 +a(g17 +V +tp1574 +a(g100 +Vid +p1575 +tp1576 +a(g357 +V+ +tp1577 +a(g357 +V) +tp1578 +a(g17 +V\u000a +p1579 +tp1580 +a(g357 +V| +tp1581 +a(g17 +V +tp1582 +a(g240 +V'scope' +p1583 +tp1584 +a(g17 +V +tp1585 +a(g60 +VACTION +p1586 +tp1587 +a(g17 +V\u000a +p1588 +tp1589 +a(g240 +V'scope' +p1590 +tp1591 +a(g17 +V +tp1592 +a(g100 +Vid +p1593 +tp1594 +a(g17 +V +tp1595 +a(g357 +V( +tp1596 +a(g240 +V',' +p1597 +tp1598 +a(g17 +V +tp1599 +a(g100 +Vid +p1600 +tp1601 +a(g357 +V) +tp1602 +a(g357 +V* +tp1603 +a(g17 +V +tp1604 +a(g240 +V';' +p1605 +tp1606 +a(g17 +V\u000a +p1607 +tp1608 +a(g357 +V-> +p1609 +tp1610 +a(g17 +V +tp1611 +a(g357 +V^ +tp1612 +a(g357 +V( +tp1613 +a(g240 +V'scope' +p1614 +tp1615 +a(g17 +V +tp1616 +a(g60 +VACTION +p1617 +tp1618 +a(g17 +V +tp1619 +a(g100 +Vid +p1620 +tp1621 +a(g357 +V+ +tp1622 +a(g17 +V +tp1623 +a(g357 +V) +tp1624 +a(g17 +V\u000a +p1625 +tp1626 +a(g216 +V; +tp1627 +a(g17 +V\u000a\u000a +p1628 +tp1629 +a(g72 +Vblock +p1630 +tp1631 +a(g17 +V\u000a +p1632 +tp1633 +a(g216 +V: +tp1634 +a(g17 +V +p1635 +tp1636 +a(g100 +Vlp +p1637 +tp1638 +a(g357 +V= +tp1639 +a(g240 +V'(' +p1640 +tp1641 +a(g17 +V\u000a +p1642 +tp1643 +a(g357 +V( +tp1644 +a(g17 +V +tp1645 +a(g357 +V( +tp1646 +a(g100 +Vopts +p1647 +tp1648 +a(g357 +V= +tp1649 +a(g100 +VoptionsSpec +p1650 +tp1651 +a(g357 +V) +tp1652 +a(g357 +V? +tp1653 +a(g17 +V +tp1654 +a(g240 +V':' +p1655 +tp1656 +a(g17 +V +tp1657 +a(g357 +V) +tp1658 +a(g357 +V? +tp1659 +a(g17 +V\u000a +p1660 +tp1661 +a(g100 +Va1 +p1662 +tp1663 +a(g357 +V= +tp1664 +a(g100 +Valternative +p1665 +tp1666 +a(g17 +V +tp1667 +a(g100 +Vrewrite +p1668 +tp1669 +a(g17 +V +tp1670 +a(g357 +V( +tp1671 +a(g17 +V +tp1672 +a(g240 +V'|' +p1673 +tp1674 +a(g17 +V +tp1675 +a(g100 +Va2 +p1676 +tp1677 +a(g357 +V= +tp1678 +a(g100 +Valternative +p1679 +tp1680 +a(g17 +V +tp1681 +a(g100 +Vrewrite +p1682 +tp1683 +a(g17 +V +tp1684 +a(g357 +V) +tp1685 +a(g357 +V* +tp1686 +a(g17 +V\u000a +p1687 +tp1688 +a(g100 +Vrp +p1689 +tp1690 +a(g357 +V= +tp1691 +a(g240 +V')' +p1692 +tp1693 +a(g17 +V\u000a +p1694 +tp1695 +a(g357 +V-> +p1696 +tp1697 +a(g17 +V +tp1698 +a(g357 +V^ +tp1699 +a(g357 +V( +tp1700 +a(g17 +V +tp1701 +a(g60 +VBLOCK +p1702 +tp1703 +a(g216 +V[ +tp1704 +a(g100 +V$lp +p1705 +tp1706 +a(g259 +V +tp1707 +a(g216 +V, +tp1708 +a(g259 +V" +tp1709 +a(g259 +VBLOCK +p1710 +tp1711 +a(g259 +V" +tp1712 +a(g216 +V] +tp1713 +a(g17 +V +tp1714 +a(g100 +VoptionsSpec +p1715 +tp1716 +a(g357 +V? +tp1717 +a(g17 +V +tp1718 +a(g100 +Valternative +p1719 +tp1720 +a(g357 +V+ +tp1721 +a(g17 +V +tp1722 +a(g60 +VEOB +p1723 +tp1724 +a(g216 +V[ +tp1725 +a(g100 +V$rp +p1726 +tp1727 +a(g259 +V +tp1728 +a(g216 +V, +tp1729 +a(g259 +V" +tp1730 +a(g259 +VEOB +p1731 +tp1732 +a(g259 +V" +tp1733 +a(g216 +V] +tp1734 +a(g17 +V +tp1735 +a(g357 +V) +tp1736 +a(g17 +V\u000a +p1737 +tp1738 +a(g216 +V; +tp1739 +a(g17 +V\u000a\u000a +p1740 +tp1741 +a(g72 +ValtList +p1742 +tp1743 +a(g17 +V\u000a +tp1744 +a(g72 +V@init +p1745 +tp1746 +a(g17 +V +tp1747 +a(g216 +V{ +tp1748 +a(g259 +V +tp1749 +a(g243 +V\u000a // +p1750 +tp1751 +a(g243 +V must create root manually as it's used by invoked rules in real antlr tool.\u000a +p1752 +tp1753 +a(g243 +V/ +tp1754 +a(g357 +V/ +tp1755 +a(g6 +V +tp1756 +a(g45 +Vleave +p1757 +tp1758 +a(g6 +V +tp1759 +a(g45 +Vhere +p1760 +tp1761 +a(g6 +V +tp1762 +a(g45 +Vto +p1763 +tp1764 +a(g6 +V +tp1765 +a(g45 +Vdemonstrate +p1766 +tp1767 +a(g6 +V +tp1768 +a(g45 +Vuse +p1769 +tp1770 +a(g6 +V +tp1771 +a(g45 +Vof +p1772 +tp1773 +a(g6 +V +tp1774 +a(g216 +V{ +tp1775 +a(g357 +V. +tp1776 +a(g45 +V. +tp1777 +a(g357 +V. +tp1778 +a(g216 +V} +tp1779 +a(g6 +V +tp1780 +a(g138 +Vin +p1781 +tp1782 +a(g6 +V +tp1783 +a(g45 +Vrewrite +p1784 +tp1785 +a(g6 +V +tp1786 +a(g45 +Vrule +p1787 +tp1788 +a(g243 +V\u000a // +p1789 +tp1790 +a(g243 +V it's really BLOCK[firstToken,"BLOCK"]; set line +p1791 +tp1792 +a(g243 +V/ +tp1793 +a(g45 +Vcol +p1794 +tp1795 +a(g6 +V +tp1796 +a(g45 +Vto +p1797 +tp1798 +a(g6 +V +tp1799 +a(g45 +Vprevious +p1800 +tp1801 +a(g6 +V +tp1802 +a(g216 +V( +tp1803 +a(g6 +V +tp1804 +a(g361 +Vor +p1805 +tp1806 +a(g6 +V +tp1807 +a(g216 +V: +tp1808 +a(g6 +V +tp1809 +a(g45 +Vtoken +p1810 +tp1811 +a(g357 +V. +tp1812 +a(g6 +V\u000a +p1813 +tp1814 +a(g60 +VCommonTree +p1815 +tp1816 +a(g6 +V +tp1817 +a(g45 +VblkRoot +p1818 +tp1819 +a(g6 +V +tp1820 +a(g357 +V= +tp1821 +a(g6 +V +tp1822 +a(g216 +V( +tp1823 +a(g60 +VCommonTree +p1824 +tp1825 +a(g216 +V) +tp1826 +a(g45 +Vadaptor +p1827 +tp1828 +a(g357 +V. +tp1829 +a(g45 +Vcreate +p1830 +tp1831 +a(g216 +V( +tp1832 +a(g60 +VBLOCK +p1833 +tp1834 +a(g216 +V, +tp1835 +a(g45 +Vinput +p1836 +tp1837 +a(g357 +V. +tp1838 +a(g45 +VLT +p1839 +tp1840 +a(g216 +V( +tp1841 +a(g357 +V- +tp1842 +a(g332 +V1 +tp1843 +a(g216 +V) +tp1844 +a(g216 +V, +tp1845 +a(g259 +V" +tp1846 +a(g259 +VBLOCK +p1847 +tp1848 +a(g259 +V" +tp1849 +a(g216 +V) +tp1850 +a(g216 +V; +tp1851 +a(g6 +V\u000a +tp1852 +a(g216 +V} +tp1853 +a(g17 +V\u000a +p1854 +tp1855 +a(g216 +V: +tp1856 +a(g17 +V +p1857 +tp1858 +a(g100 +Va1 +p1859 +tp1860 +a(g357 +V= +tp1861 +a(g100 +Valternative +p1862 +tp1863 +a(g17 +V +tp1864 +a(g100 +Vrewrite +p1865 +tp1866 +a(g17 +V +tp1867 +a(g357 +V( +tp1868 +a(g17 +V +tp1869 +a(g240 +V'|' +p1870 +tp1871 +a(g17 +V +tp1872 +a(g100 +Va2 +p1873 +tp1874 +a(g357 +V= +tp1875 +a(g100 +Valternative +p1876 +tp1877 +a(g17 +V +tp1878 +a(g100 +Vrewrite +p1879 +tp1880 +a(g17 +V +tp1881 +a(g357 +V) +tp1882 +a(g357 +V* +tp1883 +a(g17 +V\u000a +p1884 +tp1885 +a(g357 +V-> +p1886 +tp1887 +a(g17 +V +tp1888 +a(g357 +V^ +tp1889 +a(g357 +V( +tp1890 +a(g17 +V +tp1891 +a(g216 +V{ +tp1892 +a(g6 +V +tp1893 +a(g45 +VblkRoot +p1894 +tp1895 +a(g216 +V} +tp1896 +a(g17 +V +tp1897 +a(g357 +V( +tp1898 +a(g100 +Valternative +p1899 +tp1900 +a(g17 +V +tp1901 +a(g100 +Vrewrite +p1902 +tp1903 +a(g357 +V? +tp1904 +a(g357 +V) +tp1905 +a(g357 +V+ +tp1906 +a(g17 +V +tp1907 +a(g60 +VEOB +p1908 +tp1909 +a(g216 +V[ +tp1910 +a(g45 +V +tp1911 +a(g259 +V" +tp1912 +a(g259 +VEOB +p1913 +tp1914 +a(g259 +V" +tp1915 +a(g216 +V] +tp1916 +a(g17 +V +tp1917 +a(g357 +V) +tp1918 +a(g17 +V\u000a +p1919 +tp1920 +a(g216 +V; +tp1921 +a(g17 +V\u000a\u000a +p1922 +tp1923 +a(g72 +Valternative +p1924 +tp1925 +a(g17 +V\u000a +tp1926 +a(g72 +V@init +p1927 +tp1928 +a(g17 +V +tp1929 +a(g216 +V{ +tp1930 +a(g259 +V +tp1931 +a(g6 +V\u000a +p1932 +tp1933 +a(g60 +VToken +p1934 +tp1935 +a(g6 +V +tp1936 +a(g45 +VfirstToken +p1937 +tp1938 +a(g6 +V +tp1939 +a(g357 +V= +tp1940 +a(g6 +V +tp1941 +a(g45 +Vinput +p1942 +tp1943 +a(g357 +V. +tp1944 +a(g45 +VLT +p1945 +tp1946 +a(g216 +V( +tp1947 +a(g332 +V1 +tp1948 +a(g216 +V) +tp1949 +a(g216 +V; +tp1950 +a(g6 +V\u000a +p1951 +tp1952 +a(g60 +VToken +p1953 +tp1954 +a(g6 +V +tp1955 +a(g45 +VprevToken +p1956 +tp1957 +a(g6 +V +tp1958 +a(g357 +V= +tp1959 +a(g6 +V +tp1960 +a(g45 +Vinput +p1961 +tp1962 +a(g357 +V. +tp1963 +a(g45 +VLT +p1964 +tp1965 +a(g216 +V( +tp1966 +a(g357 +V- +tp1967 +a(g332 +V1 +tp1968 +a(g216 +V) +tp1969 +a(g216 +V; +tp1970 +a(g243 +V // +p1971 +tp1972 +a(g243 +V either : or | I think\u000a +p1973 +tp1974 +a(g216 +V} +tp1975 +a(g17 +V\u000a +p1976 +tp1977 +a(g216 +V: +tp1978 +a(g17 +V +p1979 +tp1980 +a(g100 +Velement +p1981 +tp1982 +a(g357 +V+ +tp1983 +a(g17 +V +tp1984 +a(g357 +V-> +p1985 +tp1986 +a(g17 +V +tp1987 +a(g357 +V^ +tp1988 +a(g357 +V( +tp1989 +a(g60 +VALT +p1990 +tp1991 +a(g216 +V[ +tp1992 +a(g243 +VfirstToken,"ALT" +p1993 +tp1994 +a(g216 +V] +tp1995 +a(g17 +V +tp1996 +a(g100 +Velement +p1997 +tp1998 +a(g357 +V+ +tp1999 +a(g17 +V +tp2000 +a(g60 +VEOA +p2001 +tp2002 +a(g216 +V[ +tp2003 +a(g243 +V"EOA" +p2004 +tp2005 +a(g216 +V] +tp2006 +a(g357 +V) +tp2007 +a(g17 +V\u000a +p2008 +tp2009 +a(g357 +V| +tp2010 +a(g17 +V +p2011 +tp2012 +a(g357 +V-> +p2013 +tp2014 +a(g17 +V +tp2015 +a(g357 +V^ +tp2016 +a(g357 +V( +tp2017 +a(g60 +VALT +p2018 +tp2019 +a(g216 +V[ +tp2020 +a(g243 +VprevToken,"ALT" +p2021 +tp2022 +a(g216 +V] +tp2023 +a(g17 +V +tp2024 +a(g60 +VEPSILON +p2025 +tp2026 +a(g216 +V[ +tp2027 +a(g243 +VprevToken,"EPSILON" +p2028 +tp2029 +a(g216 +V] +tp2030 +a(g17 +V +tp2031 +a(g60 +VEOA +p2032 +tp2033 +a(g216 +V[ +tp2034 +a(g243 +V"EOA" +p2035 +tp2036 +a(g216 +V] +tp2037 +a(g357 +V) +tp2038 +a(g17 +V\u000a +p2039 +tp2040 +a(g216 +V; +tp2041 +a(g17 +V\u000a\u000a +p2042 +tp2043 +a(g72 +VexceptionGroup +p2044 +tp2045 +a(g17 +V\u000a +p2046 +tp2047 +a(g216 +V: +tp2048 +a(g17 +V +tp2049 +a(g357 +V( +tp2050 +a(g17 +V +tp2051 +a(g100 +VexceptionHandler +p2052 +tp2053 +a(g17 +V +tp2054 +a(g357 +V) +tp2055 +a(g357 +V+ +tp2056 +a(g17 +V +tp2057 +a(g357 +V( +tp2058 +a(g17 +V +tp2059 +a(g100 +VfinallyClause +p2060 +tp2061 +a(g17 +V +tp2062 +a(g357 +V) +tp2063 +a(g357 +V? +tp2064 +a(g17 +V\u000a +p2065 +tp2066 +a(g357 +V| +tp2067 +a(g17 +V +tp2068 +a(g100 +VfinallyClause +p2069 +tp2070 +a(g17 +V\u000a +p2071 +tp2072 +a(g216 +V; +tp2073 +a(g17 +V\u000a\u000a +p2074 +tp2075 +a(g72 +VexceptionHandler +p2076 +tp2077 +a(g17 +V\u000a +p2078 +tp2079 +a(g216 +V: +tp2080 +a(g17 +V +p2081 +tp2082 +a(g240 +V'catch' +p2083 +tp2084 +a(g17 +V +tp2085 +a(g60 +VARG_ACTION +p2086 +tp2087 +a(g17 +V +tp2088 +a(g60 +VACTION +p2089 +tp2090 +a(g17 +V +tp2091 +a(g357 +V-> +p2092 +tp2093 +a(g17 +V +tp2094 +a(g357 +V^ +tp2095 +a(g357 +V( +tp2096 +a(g240 +V'catch' +p2097 +tp2098 +a(g17 +V +tp2099 +a(g60 +VARG_ACTION +p2100 +tp2101 +a(g17 +V +tp2102 +a(g60 +VACTION +p2103 +tp2104 +a(g357 +V) +tp2105 +a(g17 +V\u000a +p2106 +tp2107 +a(g216 +V; +tp2108 +a(g17 +V\u000a\u000a +p2109 +tp2110 +a(g72 +VfinallyClause +p2111 +tp2112 +a(g17 +V\u000a +p2113 +tp2114 +a(g216 +V: +tp2115 +a(g17 +V +p2116 +tp2117 +a(g240 +V'finally' +p2118 +tp2119 +a(g17 +V +tp2120 +a(g60 +VACTION +p2121 +tp2122 +a(g17 +V +tp2123 +a(g357 +V-> +p2124 +tp2125 +a(g17 +V +tp2126 +a(g357 +V^ +tp2127 +a(g357 +V( +tp2128 +a(g240 +V'finally' +p2129 +tp2130 +a(g17 +V +tp2131 +a(g60 +VACTION +p2132 +tp2133 +a(g357 +V) +tp2134 +a(g17 +V\u000a +p2135 +tp2136 +a(g216 +V; +tp2137 +a(g17 +V\u000a\u000a +p2138 +tp2139 +a(g72 +Velement +p2140 +tp2141 +a(g17 +V\u000a +p2142 +tp2143 +a(g216 +V: +tp2144 +a(g17 +V +tp2145 +a(g100 +VelementNoOptionSpec +p2146 +tp2147 +a(g17 +V\u000a +p2148 +tp2149 +a(g216 +V; +tp2150 +a(g17 +V\u000a\u000a +p2151 +tp2152 +a(g72 +VelementNoOptionSpec +p2153 +tp2154 +a(g17 +V\u000a +p2155 +tp2156 +a(g216 +V: +tp2157 +a(g17 +V +tp2158 +a(g100 +Vid +p2159 +tp2160 +a(g17 +V +tp2161 +a(g357 +V( +tp2162 +a(g100 +VlabelOp +p2163 +tp2164 +a(g357 +V= +tp2165 +a(g240 +V'=' +p2166 +tp2167 +a(g357 +V| +tp2168 +a(g100 +VlabelOp +p2169 +tp2170 +a(g357 +V= +tp2171 +a(g240 +V'+=' +p2172 +tp2173 +a(g357 +V) +tp2174 +a(g17 +V +tp2175 +a(g100 +Vatom +p2176 +tp2177 +a(g17 +V\u000a +p2178 +tp2179 +a(g357 +V( +tp2180 +a(g17 +V +tp2181 +a(g100 +VebnfSuffix +p2182 +tp2183 +a(g17 +V +tp2184 +a(g357 +V-> +p2185 +tp2186 +a(g17 +V +tp2187 +a(g357 +V^ +tp2188 +a(g357 +V( +tp2189 +a(g17 +V +tp2190 +a(g100 +VebnfSuffix +p2191 +tp2192 +a(g17 +V +tp2193 +a(g357 +V^ +tp2194 +a(g357 +V( +tp2195 +a(g60 +VBLOCK +p2196 +tp2197 +a(g216 +V[ +tp2198 +a(g243 +V"BLOCK" +p2199 +tp2200 +a(g216 +V] +tp2201 +a(g17 +V +tp2202 +a(g357 +V^ +tp2203 +a(g357 +V( +tp2204 +a(g60 +VALT +p2205 +tp2206 +a(g216 +V[ +tp2207 +a(g243 +V"ALT" +p2208 +tp2209 +a(g216 +V] +tp2210 +a(g17 +V +tp2211 +a(g357 +V^ +tp2212 +a(g357 +V( +tp2213 +a(g100 +V$labelOp +p2214 +tp2215 +a(g17 +V +tp2216 +a(g100 +Vid +p2217 +tp2218 +a(g17 +V +tp2219 +a(g100 +Vatom +p2220 +tp2221 +a(g357 +V) +tp2222 +a(g17 +V +tp2223 +a(g60 +VEOA +p2224 +tp2225 +a(g216 +V[ +tp2226 +a(g243 +V"EOA" +p2227 +tp2228 +a(g216 +V] +tp2229 +a(g357 +V) +tp2230 +a(g17 +V +tp2231 +a(g60 +VEOB +p2232 +tp2233 +a(g216 +V[ +tp2234 +a(g243 +V"EOB" +p2235 +tp2236 +a(g216 +V] +tp2237 +a(g357 +V) +tp2238 +a(g357 +V) +tp2239 +a(g17 +V\u000a +p2240 +tp2241 +a(g357 +V| +tp2242 +a(g17 +V +p2243 +tp2244 +a(g357 +V-> +p2245 +tp2246 +a(g17 +V +tp2247 +a(g357 +V^ +tp2248 +a(g357 +V( +tp2249 +a(g100 +V$labelOp +p2250 +tp2251 +a(g17 +V +tp2252 +a(g100 +Vid +p2253 +tp2254 +a(g17 +V +tp2255 +a(g100 +Vatom +p2256 +tp2257 +a(g357 +V) +tp2258 +a(g17 +V\u000a +p2259 +tp2260 +a(g357 +V) +tp2261 +a(g17 +V\u000a +p2262 +tp2263 +a(g357 +V| +tp2264 +a(g17 +V +tp2265 +a(g100 +Vid +p2266 +tp2267 +a(g17 +V +tp2268 +a(g357 +V( +tp2269 +a(g100 +VlabelOp +p2270 +tp2271 +a(g357 +V= +tp2272 +a(g240 +V'=' +p2273 +tp2274 +a(g357 +V| +tp2275 +a(g100 +VlabelOp +p2276 +tp2277 +a(g357 +V= +tp2278 +a(g240 +V'+=' +p2279 +tp2280 +a(g357 +V) +tp2281 +a(g17 +V +tp2282 +a(g100 +Vblock +p2283 +tp2284 +a(g17 +V\u000a +p2285 +tp2286 +a(g357 +V( +tp2287 +a(g17 +V +tp2288 +a(g100 +VebnfSuffix +p2289 +tp2290 +a(g17 +V +tp2291 +a(g357 +V-> +p2292 +tp2293 +a(g17 +V +tp2294 +a(g357 +V^ +tp2295 +a(g357 +V( +tp2296 +a(g17 +V +tp2297 +a(g100 +VebnfSuffix +p2298 +tp2299 +a(g17 +V +tp2300 +a(g357 +V^ +tp2301 +a(g357 +V( +tp2302 +a(g60 +VBLOCK +p2303 +tp2304 +a(g216 +V[ +tp2305 +a(g243 +V"BLOCK" +p2306 +tp2307 +a(g216 +V] +tp2308 +a(g17 +V +tp2309 +a(g357 +V^ +tp2310 +a(g357 +V( +tp2311 +a(g60 +VALT +p2312 +tp2313 +a(g216 +V[ +tp2314 +a(g243 +V"ALT" +p2315 +tp2316 +a(g216 +V] +tp2317 +a(g17 +V +tp2318 +a(g357 +V^ +tp2319 +a(g357 +V( +tp2320 +a(g100 +V$labelOp +p2321 +tp2322 +a(g17 +V +tp2323 +a(g100 +Vid +p2324 +tp2325 +a(g17 +V +tp2326 +a(g100 +Vblock +p2327 +tp2328 +a(g357 +V) +tp2329 +a(g17 +V +tp2330 +a(g60 +VEOA +p2331 +tp2332 +a(g216 +V[ +tp2333 +a(g243 +V"EOA" +p2334 +tp2335 +a(g216 +V] +tp2336 +a(g357 +V) +tp2337 +a(g17 +V +tp2338 +a(g60 +VEOB +p2339 +tp2340 +a(g216 +V[ +tp2341 +a(g243 +V"EOB" +p2342 +tp2343 +a(g216 +V] +tp2344 +a(g357 +V) +tp2345 +a(g357 +V) +tp2346 +a(g17 +V\u000a +p2347 +tp2348 +a(g357 +V| +tp2349 +a(g17 +V +p2350 +tp2351 +a(g357 +V-> +p2352 +tp2353 +a(g17 +V +tp2354 +a(g357 +V^ +tp2355 +a(g357 +V( +tp2356 +a(g100 +V$labelOp +p2357 +tp2358 +a(g17 +V +tp2359 +a(g100 +Vid +p2360 +tp2361 +a(g17 +V +tp2362 +a(g100 +Vblock +p2363 +tp2364 +a(g357 +V) +tp2365 +a(g17 +V\u000a +p2366 +tp2367 +a(g357 +V) +tp2368 +a(g17 +V\u000a +p2369 +tp2370 +a(g357 +V| +tp2371 +a(g17 +V +tp2372 +a(g100 +Vatom +p2373 +tp2374 +a(g17 +V\u000a +p2375 +tp2376 +a(g357 +V( +tp2377 +a(g17 +V +tp2378 +a(g100 +VebnfSuffix +p2379 +tp2380 +a(g17 +V +tp2381 +a(g357 +V-> +p2382 +tp2383 +a(g17 +V +tp2384 +a(g357 +V^ +tp2385 +a(g357 +V( +tp2386 +a(g60 +VBLOCK +p2387 +tp2388 +a(g216 +V[ +tp2389 +a(g243 +V"BLOCK" +p2390 +tp2391 +a(g216 +V] +tp2392 +a(g17 +V +tp2393 +a(g357 +V^ +tp2394 +a(g357 +V( +tp2395 +a(g60 +VALT +p2396 +tp2397 +a(g216 +V[ +tp2398 +a(g243 +V"ALT" +p2399 +tp2400 +a(g216 +V] +tp2401 +a(g17 +V +tp2402 +a(g100 +Vatom +p2403 +tp2404 +a(g17 +V +tp2405 +a(g60 +VEOA +p2406 +tp2407 +a(g216 +V[ +tp2408 +a(g243 +V"EOA" +p2409 +tp2410 +a(g216 +V] +tp2411 +a(g357 +V) +tp2412 +a(g17 +V +tp2413 +a(g60 +VEOB +p2414 +tp2415 +a(g216 +V[ +tp2416 +a(g243 +V"EOB" +p2417 +tp2418 +a(g216 +V] +tp2419 +a(g357 +V) +tp2420 +a(g17 +V\u000a +p2421 +tp2422 +a(g357 +V| +tp2423 +a(g17 +V +p2424 +tp2425 +a(g357 +V-> +p2426 +tp2427 +a(g17 +V +tp2428 +a(g100 +Vatom +p2429 +tp2430 +a(g17 +V\u000a +p2431 +tp2432 +a(g357 +V) +tp2433 +a(g17 +V\u000a +p2434 +tp2435 +a(g357 +V| +tp2436 +a(g17 +V +tp2437 +a(g100 +Vebnf +p2438 +tp2439 +a(g17 +V\u000a +p2440 +tp2441 +a(g357 +V| +tp2442 +a(g17 +V +p2443 +tp2444 +a(g60 +VACTION +p2445 +tp2446 +a(g17 +V\u000a +p2447 +tp2448 +a(g357 +V| +tp2449 +a(g17 +V +p2450 +tp2451 +a(g60 +VSEMPRED +p2452 +tp2453 +a(g17 +V +tp2454 +a(g357 +V( +tp2455 +a(g17 +V +tp2456 +a(g240 +V'=>' +p2457 +tp2458 +a(g17 +V +tp2459 +a(g357 +V-> +p2460 +tp2461 +a(g17 +V +tp2462 +a(g60 +VGATED_SEMPRED +p2463 +tp2464 +a(g17 +V +tp2465 +a(g357 +V| +tp2466 +a(g17 +V +tp2467 +a(g357 +V-> +p2468 +tp2469 +a(g17 +V +tp2470 +a(g60 +VSEMPRED +p2471 +tp2472 +a(g17 +V +tp2473 +a(g357 +V) +tp2474 +a(g17 +V\u000a +p2475 +tp2476 +a(g357 +V| +tp2477 +a(g17 +V +p2478 +tp2479 +a(g100 +VtreeSpec +p2480 +tp2481 +a(g17 +V\u000a +p2482 +tp2483 +a(g216 +V; +tp2484 +a(g17 +V\u000a\u000a +p2485 +tp2486 +a(g72 +Vatom +p2487 +tp2488 +a(g216 +V: +tp2489 +a(g17 +V +p2490 +tp2491 +a(g100 +Vrange +p2492 +tp2493 +a(g17 +V +tp2494 +a(g357 +V( +tp2495 +a(g17 +V +tp2496 +a(g357 +V( +tp2497 +a(g100 +Vop +p2498 +tp2499 +a(g357 +V= +tp2500 +a(g240 +V'^' +p2501 +tp2502 +a(g357 +V| +tp2503 +a(g100 +Vop +p2504 +tp2505 +a(g357 +V= +tp2506 +a(g240 +V'!' +p2507 +tp2508 +a(g357 +V) +tp2509 +a(g17 +V +tp2510 +a(g357 +V-> +p2511 +tp2512 +a(g17 +V +tp2513 +a(g357 +V^ +tp2514 +a(g357 +V( +tp2515 +a(g100 +V$op +p2516 +tp2517 +a(g17 +V +tp2518 +a(g100 +Vrange +p2519 +tp2520 +a(g357 +V) +tp2521 +a(g17 +V +tp2522 +a(g357 +V| +tp2523 +a(g17 +V +tp2524 +a(g357 +V-> +p2525 +tp2526 +a(g17 +V +tp2527 +a(g100 +Vrange +p2528 +tp2529 +a(g17 +V +tp2530 +a(g357 +V) +tp2531 +a(g17 +V\u000a +p2532 +tp2533 +a(g357 +V| +tp2534 +a(g17 +V +p2535 +tp2536 +a(g100 +Vterminal +p2537 +tp2538 +a(g17 +V\u000a +p2539 +tp2540 +a(g357 +V| +tp2541 +a(g17 +V +tp2542 +a(g100 +VnotSet +p2543 +tp2544 +a(g17 +V +tp2545 +a(g357 +V( +tp2546 +a(g17 +V +tp2547 +a(g357 +V( +tp2548 +a(g100 +Vop +p2549 +tp2550 +a(g357 +V= +tp2551 +a(g240 +V'^' +p2552 +tp2553 +a(g357 +V| +tp2554 +a(g100 +Vop +p2555 +tp2556 +a(g357 +V= +tp2557 +a(g240 +V'!' +p2558 +tp2559 +a(g357 +V) +tp2560 +a(g17 +V +tp2561 +a(g357 +V-> +p2562 +tp2563 +a(g17 +V +tp2564 +a(g357 +V^ +tp2565 +a(g357 +V( +tp2566 +a(g100 +V$op +p2567 +tp2568 +a(g17 +V +tp2569 +a(g100 +VnotSet +p2570 +tp2571 +a(g357 +V) +tp2572 +a(g17 +V +tp2573 +a(g357 +V| +tp2574 +a(g17 +V +tp2575 +a(g357 +V-> +p2576 +tp2577 +a(g17 +V +tp2578 +a(g100 +VnotSet +p2579 +tp2580 +a(g17 +V +tp2581 +a(g357 +V) +tp2582 +a(g17 +V\u000a +p2583 +tp2584 +a(g357 +V| +tp2585 +a(g17 +V +p2586 +tp2587 +a(g60 +VRULE_REF +p2588 +tp2589 +a(g17 +V +tp2590 +a(g357 +V( +tp2591 +a(g17 +V +tp2592 +a(g100 +Varg +p2593 +tp2594 +a(g357 +V= +tp2595 +a(g60 +VARG_ACTION +p2596 +tp2597 +a(g17 +V +tp2598 +a(g357 +V) +tp2599 +a(g357 +V? +tp2600 +a(g17 +V +tp2601 +a(g357 +V( +tp2602 +a(g17 +V +tp2603 +a(g357 +V( +tp2604 +a(g100 +Vop +p2605 +tp2606 +a(g357 +V= +tp2607 +a(g240 +V'^' +p2608 +tp2609 +a(g357 +V| +tp2610 +a(g100 +Vop +p2611 +tp2612 +a(g357 +V= +tp2613 +a(g240 +V'!' +p2614 +tp2615 +a(g357 +V) +tp2616 +a(g17 +V +tp2617 +a(g357 +V) +tp2618 +a(g357 +V? +tp2619 +a(g17 +V\u000a +p2620 +tp2621 +a(g357 +V-> +p2622 +tp2623 +a(g17 +V +tp2624 +a(g216 +V{ +tp2625 +a(g100 +V$arg +p2626 +tp2627 +a(g243 +V!=null&&op!=null +p2628 +tp2629 +a(g216 +V} +tp2630 +a(g357 +V? +tp2631 +a(g17 +V +tp2632 +a(g357 +V^ +tp2633 +a(g357 +V( +tp2634 +a(g100 +V$op +p2635 +tp2636 +a(g17 +V +tp2637 +a(g60 +VRULE_REF +p2638 +tp2639 +a(g17 +V +tp2640 +a(g100 +V$arg +p2641 +tp2642 +a(g357 +V) +tp2643 +a(g17 +V\u000a +p2644 +tp2645 +a(g357 +V-> +p2646 +tp2647 +a(g17 +V +tp2648 +a(g216 +V{ +tp2649 +a(g100 +V$arg +p2650 +tp2651 +a(g243 +V!=null +p2652 +tp2653 +a(g216 +V} +tp2654 +a(g357 +V? +tp2655 +a(g17 +V +p2656 +tp2657 +a(g357 +V^ +tp2658 +a(g357 +V( +tp2659 +a(g60 +VRULE_REF +p2660 +tp2661 +a(g17 +V +tp2662 +a(g100 +V$arg +p2663 +tp2664 +a(g357 +V) +tp2665 +a(g17 +V\u000a +p2666 +tp2667 +a(g357 +V-> +p2668 +tp2669 +a(g17 +V +tp2670 +a(g216 +V{ +tp2671 +a(g100 +V$op +p2672 +tp2673 +a(g243 +V!=null +p2674 +tp2675 +a(g216 +V} +tp2676 +a(g357 +V? +tp2677 +a(g17 +V +p2678 +tp2679 +a(g357 +V^ +tp2680 +a(g357 +V( +tp2681 +a(g100 +V$op +p2682 +tp2683 +a(g17 +V +tp2684 +a(g60 +VRULE_REF +p2685 +tp2686 +a(g357 +V) +tp2687 +a(g17 +V\u000a +p2688 +tp2689 +a(g357 +V-> +p2690 +tp2691 +a(g17 +V +tp2692 +a(g60 +VRULE_REF +p2693 +tp2694 +a(g17 +V\u000a +p2695 +tp2696 +a(g216 +V; +tp2697 +a(g17 +V\u000a\u000a +p2698 +tp2699 +a(g72 +VnotSet +p2700 +tp2701 +a(g17 +V\u000a +p2702 +tp2703 +a(g216 +V: +tp2704 +a(g17 +V +tp2705 +a(g240 +V'~' +p2706 +tp2707 +a(g17 +V\u000a +p2708 +tp2709 +a(g357 +V( +tp2710 +a(g17 +V +tp2711 +a(g100 +VnotTerminal +p2712 +tp2713 +a(g17 +V +tp2714 +a(g357 +V-> +p2715 +tp2716 +a(g17 +V +tp2717 +a(g357 +V^ +tp2718 +a(g357 +V( +tp2719 +a(g240 +V'~' +p2720 +tp2721 +a(g17 +V +tp2722 +a(g100 +VnotTerminal +p2723 +tp2724 +a(g357 +V) +tp2725 +a(g17 +V\u000a +p2726 +tp2727 +a(g357 +V| +tp2728 +a(g17 +V +tp2729 +a(g100 +Vblock +p2730 +tp2731 +a(g17 +V +p2732 +tp2733 +a(g357 +V-> +p2734 +tp2735 +a(g17 +V +tp2736 +a(g357 +V^ +tp2737 +a(g357 +V( +tp2738 +a(g240 +V'~' +p2739 +tp2740 +a(g17 +V +tp2741 +a(g100 +Vblock +p2742 +tp2743 +a(g357 +V) +tp2744 +a(g17 +V\u000a +p2745 +tp2746 +a(g357 +V) +tp2747 +a(g17 +V\u000a +p2748 +tp2749 +a(g216 +V; +tp2750 +a(g17 +V\u000a\u000a +p2751 +tp2752 +a(g72 +VtreeSpec +p2753 +tp2754 +a(g17 +V\u000a +p2755 +tp2756 +a(g216 +V: +tp2757 +a(g17 +V +tp2758 +a(g240 +V'^(' +p2759 +tp2760 +a(g17 +V +tp2761 +a(g100 +Velement +p2762 +tp2763 +a(g17 +V +tp2764 +a(g357 +V( +tp2765 +a(g17 +V +tp2766 +a(g100 +Velement +p2767 +tp2768 +a(g17 +V +tp2769 +a(g357 +V) +tp2770 +a(g357 +V+ +tp2771 +a(g17 +V +tp2772 +a(g240 +V')' +p2773 +tp2774 +a(g17 +V +tp2775 +a(g357 +V-> +p2776 +tp2777 +a(g17 +V +tp2778 +a(g357 +V^ +tp2779 +a(g357 +V( +tp2780 +a(g60 +VTREE_BEGIN +p2781 +tp2782 +a(g17 +V +tp2783 +a(g100 +Velement +p2784 +tp2785 +a(g357 +V+ +tp2786 +a(g357 +V) +tp2787 +a(g17 +V\u000a +p2788 +tp2789 +a(g216 +V; +tp2790 +a(g17 +V\u000a\u000a +p2791 +tp2792 +a(g24 +V/** Matches ENBF blocks (and token sets via block rule) */ +p2793 +tp2794 +a(g17 +V\u000a +tp2795 +a(g72 +Vebnf +p2796 +tp2797 +a(g17 +V\u000a +tp2798 +a(g72 +V@init +p2799 +tp2800 +a(g17 +V +tp2801 +a(g216 +V{ +tp2802 +a(g243 +V\u000a Token firstToken = input.LT(1);\u000a +p2803 +tp2804 +a(g216 +V} +tp2805 +a(g17 +V\u000a +tp2806 +a(g72 +V@after +p2807 +tp2808 +a(g17 +V +tp2809 +a(g216 +V{ +tp2810 +a(g243 +V\u000a +p2811 +tp2812 +a(g100 +V$ebnf +p2813 +tp2814 +a(g216 +V. +tp2815 +a(g243 +Vtree.getToken().setLine(firstToken.getLine());\u000a +p2816 +tp2817 +a(g100 +V$ebnf +p2818 +tp2819 +a(g216 +V. +tp2820 +a(g243 +Vtree.getToken().setCharPositionInLine(firstToken.getCharPositionInLine());\u000a +p2821 +tp2822 +a(g216 +V} +tp2823 +a(g17 +V\u000a +p2824 +tp2825 +a(g216 +V: +tp2826 +a(g17 +V +tp2827 +a(g100 +Vblock +p2828 +tp2829 +a(g17 +V +tp2830 +a(g216 +V{ +tp2831 +a(g243 +VToken op=input.LT(1); +p2832 +tp2833 +a(g216 +V} +tp2834 +a(g17 +V\u000a +p2835 +tp2836 +a(g357 +V( +tp2837 +a(g17 +V +tp2838 +a(g240 +V'?' +p2839 +tp2840 +a(g17 +V +p2841 +tp2842 +a(g357 +V-> +p2843 +tp2844 +a(g17 +V +tp2845 +a(g357 +V^ +tp2846 +a(g357 +V( +tp2847 +a(g60 +VOPTIONAL +p2848 +tp2849 +a(g216 +V[ +tp2850 +a(g243 +Vop +p2851 +tp2852 +a(g216 +V] +tp2853 +a(g17 +V +tp2854 +a(g100 +Vblock +p2855 +tp2856 +a(g357 +V) +tp2857 +a(g17 +V\u000a +p2858 +tp2859 +a(g357 +V| +tp2860 +a(g17 +V +tp2861 +a(g240 +V'*' +p2862 +tp2863 +a(g17 +V +p2864 +tp2865 +a(g357 +V-> +p2866 +tp2867 +a(g17 +V +tp2868 +a(g357 +V^ +tp2869 +a(g357 +V( +tp2870 +a(g60 +VCLOSURE +p2871 +tp2872 +a(g216 +V[ +tp2873 +a(g243 +Vop +p2874 +tp2875 +a(g216 +V] +tp2876 +a(g17 +V +tp2877 +a(g100 +Vblock +p2878 +tp2879 +a(g357 +V) +tp2880 +a(g17 +V\u000a +p2881 +tp2882 +a(g357 +V| +tp2883 +a(g17 +V +tp2884 +a(g240 +V'+' +p2885 +tp2886 +a(g17 +V +p2887 +tp2888 +a(g357 +V-> +p2889 +tp2890 +a(g17 +V +tp2891 +a(g357 +V^ +tp2892 +a(g357 +V( +tp2893 +a(g60 +VPOSITIVE_CLOSURE +p2894 +tp2895 +a(g216 +V[ +tp2896 +a(g243 +Vop +p2897 +tp2898 +a(g216 +V] +tp2899 +a(g17 +V +tp2900 +a(g100 +Vblock +p2901 +tp2902 +a(g357 +V) +tp2903 +a(g17 +V\u000a +p2904 +tp2905 +a(g357 +V| +tp2906 +a(g17 +V +p2907 +tp2908 +a(g240 +V'^' +p2909 +tp2910 +a(g17 +V +p2911 +tp2912 +a(g357 +V-> +p2913 +tp2914 +a(g17 +V +tp2915 +a(g357 +V^ +tp2916 +a(g357 +V( +tp2917 +a(g240 +V'^' +p2918 +tp2919 +a(g17 +V +tp2920 +a(g100 +Vblock +p2921 +tp2922 +a(g357 +V) +tp2923 +a(g17 +V\u000a +p2924 +tp2925 +a(g357 +V| +tp2926 +a(g17 +V +p2927 +tp2928 +a(g240 +V'!' +p2929 +tp2930 +a(g17 +V +p2931 +tp2932 +a(g357 +V-> +p2933 +tp2934 +a(g17 +V +tp2935 +a(g357 +V^ +tp2936 +a(g357 +V( +tp2937 +a(g240 +V'!' +p2938 +tp2939 +a(g17 +V +tp2940 +a(g100 +Vblock +p2941 +tp2942 +a(g357 +V) +tp2943 +a(g17 +V\u000a +p2944 +tp2945 +a(g357 +V| +tp2946 +a(g17 +V +p2947 +tp2948 +a(g240 +V'=>' +p2949 +tp2950 +a(g17 +V +tp2951 +a(g24 +V// syntactic predicate +p2952 +tp2953 +a(g17 +V\u000a +p2954 +tp2955 +a(g357 +V-> +p2956 +tp2957 +a(g17 +V +tp2958 +a(g216 +V{ +tp2959 +a(g243 +Vgtype==COMBINED_GRAMMAR &&\u000a Character.isUpperCase( +p2960 +tp2961 +a(g100 +V$rule +p2962 +tp2963 +a(g243 +V::name.charAt(0)) +p2964 +tp2965 +a(g216 +V} +tp2966 +a(g357 +V? +tp2967 +a(g17 +V\u000a +p2968 +tp2969 +a(g24 +V// if lexer rule in combined, leave as pred for lexer +p2970 +tp2971 +a(g17 +V\u000a +p2972 +tp2973 +a(g357 +V^ +tp2974 +a(g357 +V( +tp2975 +a(g60 +VSYNPRED +p2976 +tp2977 +a(g216 +V[ +tp2978 +a(g243 +V"=>" +p2979 +tp2980 +a(g216 +V] +tp2981 +a(g17 +V +tp2982 +a(g100 +Vblock +p2983 +tp2984 +a(g357 +V) +tp2985 +a(g17 +V\u000a +p2986 +tp2987 +a(g24 +V// in real antlr tool, text for SYN_SEMPRED is predname +p2988 +tp2989 +a(g17 +V\u000a +p2990 +tp2991 +a(g357 +V-> +p2992 +tp2993 +a(g17 +V +tp2994 +a(g60 +VSYN_SEMPRED +p2995 +tp2996 +a(g17 +V\u000a +p2997 +tp2998 +a(g357 +V| +tp2999 +a(g17 +V +p3000 +tp3001 +a(g357 +V-> +p3002 +tp3003 +a(g17 +V +tp3004 +a(g100 +Vblock +p3005 +tp3006 +a(g17 +V\u000a +p3007 +tp3008 +a(g357 +V) +tp3009 +a(g17 +V\u000a +p3010 +tp3011 +a(g216 +V; +tp3012 +a(g17 +V\u000a\u000a +p3013 +tp3014 +a(g72 +Vrange +p3015 +tp3016 +a(g216 +V! +tp3017 +a(g17 +V\u000a +p3018 +tp3019 +a(g216 +V: +tp3020 +a(g17 +V +tp3021 +a(g100 +Vc1 +p3022 +tp3023 +a(g357 +V= +tp3024 +a(g60 +VCHAR_LITERAL +p3025 +tp3026 +a(g17 +V +tp3027 +a(g60 +VRANGE +p3028 +tp3029 +a(g17 +V +tp3030 +a(g100 +Vc2 +p3031 +tp3032 +a(g357 +V= +tp3033 +a(g60 +VCHAR_LITERAL +p3034 +tp3035 +a(g17 +V +tp3036 +a(g357 +V-> +p3037 +tp3038 +a(g17 +V +tp3039 +a(g357 +V^ +tp3040 +a(g357 +V( +tp3041 +a(g60 +VCHAR_RANGE +p3042 +tp3043 +a(g216 +V[ +tp3044 +a(g100 +V$c +p3045 +tp3046 +a(g243 +V1,".." +p3047 +tp3048 +a(g216 +V] +tp3049 +a(g17 +V +tp3050 +a(g100 +V$c1 +p3051 +tp3052 +a(g17 +V +tp3053 +a(g100 +V$c2 +p3054 +tp3055 +a(g357 +V) +tp3056 +a(g17 +V\u000a +p3057 +tp3058 +a(g216 +V; +tp3059 +a(g17 +V\u000a\u000a +p3060 +tp3061 +a(g72 +Vterminal +p3062 +tp3063 +a(g17 +V\u000a +p3064 +tp3065 +a(g216 +V: +tp3066 +a(g17 +V +p3067 +tp3068 +a(g357 +V( +tp3069 +a(g17 +V +tp3070 +a(g60 +VCHAR_LITERAL +p3071 +tp3072 +a(g17 +V +p3073 +tp3074 +a(g357 +V-> +p3075 +tp3076 +a(g17 +V +tp3077 +a(g60 +VCHAR_LITERAL +p3078 +tp3079 +a(g17 +V\u000a +p3080 +tp3081 +a(g24 +V// Args are only valid for lexer rules +p3082 +tp3083 +a(g17 +V\u000a +p3084 +tp3085 +a(g357 +V| +tp3086 +a(g17 +V +p3087 +tp3088 +a(g60 +VTOKEN_REF +p3089 +tp3090 +a(g17 +V\u000a +p3091 +tp3092 +a(g357 +V( +tp3093 +a(g17 +V +tp3094 +a(g60 +VARG_ACTION +p3095 +tp3096 +a(g17 +V +p3097 +tp3098 +a(g357 +V-> +p3099 +tp3100 +a(g17 +V +tp3101 +a(g357 +V^ +tp3102 +a(g357 +V( +tp3103 +a(g60 +VTOKEN_REF +p3104 +tp3105 +a(g17 +V +tp3106 +a(g60 +VARG_ACTION +p3107 +tp3108 +a(g357 +V) +tp3109 +a(g17 +V\u000a +p3110 +tp3111 +a(g357 +V| +tp3112 +a(g17 +V +p3113 +tp3114 +a(g357 +V-> +p3115 +tp3116 +a(g17 +V +tp3117 +a(g60 +VTOKEN_REF +p3118 +tp3119 +a(g17 +V\u000a +p3120 +tp3121 +a(g357 +V) +tp3122 +a(g17 +V\u000a +p3123 +tp3124 +a(g357 +V| +tp3125 +a(g17 +V +p3126 +tp3127 +a(g60 +VSTRING_LITERAL +p3128 +tp3129 +a(g17 +V +p3130 +tp3131 +a(g357 +V-> +p3132 +tp3133 +a(g17 +V +tp3134 +a(g60 +VSTRING_LITERAL +p3135 +tp3136 +a(g17 +V\u000a +p3137 +tp3138 +a(g357 +V| +tp3139 +a(g17 +V +p3140 +tp3141 +a(g240 +V'.' +p3142 +tp3143 +a(g17 +V +p3144 +tp3145 +a(g357 +V-> +p3146 +tp3147 +a(g17 +V +tp3148 +a(g240 +V'.' +p3149 +tp3150 +a(g17 +V\u000a +p3151 +tp3152 +a(g357 +V) +tp3153 +a(g17 +V \u000a +p3154 +tp3155 +a(g357 +V( +tp3156 +a(g17 +V +tp3157 +a(g240 +V'^' +p3158 +tp3159 +a(g17 +V +p3160 +tp3161 +a(g357 +V-> +p3162 +tp3163 +a(g17 +V +tp3164 +a(g357 +V^ +tp3165 +a(g357 +V( +tp3166 +a(g240 +V'^' +p3167 +tp3168 +a(g17 +V +tp3169 +a(g100 +V$terminal +p3170 +tp3171 +a(g357 +V) +tp3172 +a(g17 +V\u000a +p3173 +tp3174 +a(g357 +V| +tp3175 +a(g17 +V +tp3176 +a(g240 +V'!' +p3177 +tp3178 +a(g17 +V +p3179 +tp3180 +a(g357 +V-> +p3181 +tp3182 +a(g17 +V +tp3183 +a(g357 +V^ +tp3184 +a(g357 +V( +tp3185 +a(g240 +V'!' +p3186 +tp3187 +a(g17 +V +tp3188 +a(g100 +V$terminal +p3189 +tp3190 +a(g357 +V) +tp3191 +a(g17 +V\u000a +p3192 +tp3193 +a(g357 +V) +tp3194 +a(g357 +V? +tp3195 +a(g17 +V\u000a +p3196 +tp3197 +a(g216 +V; +tp3198 +a(g17 +V\u000a\u000a +p3199 +tp3200 +a(g72 +VnotTerminal +p3201 +tp3202 +a(g17 +V\u000a +p3203 +tp3204 +a(g216 +V: +tp3205 +a(g17 +V +p3206 +tp3207 +a(g60 +VCHAR_LITERAL +p3208 +tp3209 +a(g17 +V\u000a +p3210 +tp3211 +a(g357 +V| +tp3212 +a(g17 +V +tp3213 +a(g60 +VTOKEN_REF +p3214 +tp3215 +a(g17 +V\u000a +p3216 +tp3217 +a(g357 +V| +tp3218 +a(g17 +V +tp3219 +a(g60 +VSTRING_LITERAL +p3220 +tp3221 +a(g17 +V\u000a +p3222 +tp3223 +a(g216 +V; +tp3224 +a(g17 +V\u000a \u000a +p3225 +tp3226 +a(g72 +VebnfSuffix +p3227 +tp3228 +a(g17 +V\u000a +tp3229 +a(g72 +V@init +p3230 +tp3231 +a(g17 +V +tp3232 +a(g216 +V{ +tp3233 +a(g243 +V\u000a Token op = input.LT(1);\u000a +p3234 +tp3235 +a(g216 +V} +tp3236 +a(g17 +V\u000a +p3237 +tp3238 +a(g216 +V: +tp3239 +a(g17 +V +tp3240 +a(g240 +V'?' +p3241 +tp3242 +a(g17 +V +tp3243 +a(g357 +V-> +p3244 +tp3245 +a(g17 +V +tp3246 +a(g60 +VOPTIONAL +p3247 +tp3248 +a(g216 +V[ +tp3249 +a(g243 +Vop +p3250 +tp3251 +a(g216 +V] +tp3252 +a(g17 +V\u000a +p3253 +tp3254 +a(g357 +V| +tp3255 +a(g17 +V +tp3256 +a(g240 +V'*' +p3257 +tp3258 +a(g17 +V +tp3259 +a(g357 +V-> +p3260 +tp3261 +a(g17 +V +tp3262 +a(g60 +VCLOSURE +p3263 +tp3264 +a(g216 +V[ +tp3265 +a(g243 +Vop +p3266 +tp3267 +a(g216 +V] +tp3268 +a(g17 +V\u000a +p3269 +tp3270 +a(g357 +V| +tp3271 +a(g17 +V +tp3272 +a(g240 +V'+' +p3273 +tp3274 +a(g17 +V +tp3275 +a(g357 +V-> +p3276 +tp3277 +a(g17 +V +tp3278 +a(g60 +VPOSITIVE_CLOSURE +p3279 +tp3280 +a(g216 +V[ +tp3281 +a(g243 +Vop +p3282 +tp3283 +a(g216 +V] +tp3284 +a(g17 +V\u000a +p3285 +tp3286 +a(g216 +V; +tp3287 +a(g17 +V\u000a \u000a\u000a\u000a +p3288 +tp3289 +a(g24 +V// R E W R I T E S Y N T A X +p3290 +tp3291 +a(g17 +V\u000a\u000a +p3292 +tp3293 +a(g72 +Vrewrite +p3294 +tp3295 +a(g17 +V\u000a +tp3296 +a(g72 +V@init +p3297 +tp3298 +a(g17 +V +tp3299 +a(g216 +V{ +tp3300 +a(g243 +V\u000a Token firstToken = input.LT(1);\u000a +p3301 +tp3302 +a(g216 +V} +tp3303 +a(g17 +V\u000a +p3304 +tp3305 +a(g216 +V: +tp3306 +a(g17 +V +tp3307 +a(g357 +V( +tp3308 +a(g100 +Vrew +p3309 +tp3310 +a(g357 +V+ +tp3311 +a(g357 +V= +tp3312 +a(g240 +V'->' +p3313 +tp3314 +a(g17 +V +tp3315 +a(g100 +Vpreds +p3316 +tp3317 +a(g357 +V+ +tp3318 +a(g357 +V= +tp3319 +a(g60 +VSEMPRED +p3320 +tp3321 +a(g17 +V +tp3322 +a(g100 +Vpredicated +p3323 +tp3324 +a(g357 +V+ +tp3325 +a(g357 +V= +tp3326 +a(g100 +Vrewrite_alternative +p3327 +tp3328 +a(g357 +V) +tp3329 +a(g357 +V* +tp3330 +a(g17 +V\u000a +p3331 +tp3332 +a(g100 +Vrew2 +p3333 +tp3334 +a(g357 +V= +tp3335 +a(g240 +V'->' +p3336 +tp3337 +a(g17 +V +tp3338 +a(g100 +Vlast +p3339 +tp3340 +a(g357 +V= +tp3341 +a(g100 +Vrewrite_alternative +p3342 +tp3343 +a(g17 +V\u000a +p3344 +tp3345 +a(g357 +V-> +p3346 +tp3347 +a(g17 +V +tp3348 +a(g357 +V^ +tp3349 +a(g357 +V( +tp3350 +a(g100 +V$rew +p3351 +tp3352 +a(g17 +V +tp3353 +a(g100 +V$preds +p3354 +tp3355 +a(g17 +V +tp3356 +a(g100 +V$predicated +p3357 +tp3358 +a(g357 +V) +tp3359 +a(g357 +V* +tp3360 +a(g17 +V +tp3361 +a(g357 +V^ +tp3362 +a(g357 +V( +tp3363 +a(g100 +V$rew2 +p3364 +tp3365 +a(g17 +V +tp3366 +a(g100 +V$last +p3367 +tp3368 +a(g357 +V) +tp3369 +a(g17 +V\u000a +p3370 +tp3371 +a(g357 +V| +tp3372 +a(g17 +V\u000a +p3373 +tp3374 +a(g216 +V; +tp3375 +a(g17 +V\u000a\u000a +p3376 +tp3377 +a(g72 +Vrewrite_alternative +p3378 +tp3379 +a(g17 +V\u000a +p3380 +tp3381 +a(g216 +V: +tp3382 +a(g17 +V +tp3383 +a(g100 +Vrewrite_template +p3384 +tp3385 +a(g17 +V\u000a +p3386 +tp3387 +a(g357 +V| +tp3388 +a(g17 +V +tp3389 +a(g100 +Vrewrite_tree_alternative +p3390 +tp3391 +a(g17 +V\u000a +p3392 +tp3393 +a(g357 +V| +tp3394 +a(g17 +V +p3395 +tp3396 +a(g24 +V/* empty rewrite */ +p3397 +tp3398 +a(g17 +V +tp3399 +a(g357 +V-> +p3400 +tp3401 +a(g17 +V +tp3402 +a(g357 +V^ +tp3403 +a(g357 +V( +tp3404 +a(g60 +VALT +p3405 +tp3406 +a(g216 +V[ +tp3407 +a(g243 +V"ALT" +p3408 +tp3409 +a(g216 +V] +tp3410 +a(g17 +V +tp3411 +a(g60 +VEPSILON +p3412 +tp3413 +a(g216 +V[ +tp3414 +a(g243 +V"EPSILON" +p3415 +tp3416 +a(g216 +V] +tp3417 +a(g17 +V +tp3418 +a(g60 +VEOA +p3419 +tp3420 +a(g216 +V[ +tp3421 +a(g243 +V"EOA" +p3422 +tp3423 +a(g216 +V] +tp3424 +a(g357 +V) +tp3425 +a(g17 +V\u000a +p3426 +tp3427 +a(g216 +V; +tp3428 +a(g17 +V\u000a \u000a +p3429 +tp3430 +a(g72 +Vrewrite_template_block +p3431 +tp3432 +a(g17 +V\u000a +p3433 +tp3434 +a(g216 +V: +tp3435 +a(g17 +V +p3436 +tp3437 +a(g100 +Vlp +p3438 +tp3439 +a(g357 +V= +tp3440 +a(g240 +V'(' +p3441 +tp3442 +a(g17 +V +tp3443 +a(g100 +Vrewrite_template +p3444 +tp3445 +a(g17 +V +tp3446 +a(g240 +V')' +p3447 +tp3448 +a(g17 +V +tp3449 +a(g357 +V-> +p3450 +tp3451 +a(g17 +V +tp3452 +a(g357 +V^ +tp3453 +a(g357 +V( +tp3454 +a(g60 +VBLOCK +p3455 +tp3456 +a(g216 +V[ +tp3457 +a(g100 +V$lp +p3458 +tp3459 +a(g243 +V,"BLOCK" +p3460 +tp3461 +a(g216 +V] +tp3462 +a(g17 +V +tp3463 +a(g100 +Vrewrite_template +p3464 +tp3465 +a(g17 +V +tp3466 +a(g60 +VEOB +p3467 +tp3468 +a(g216 +V[ +tp3469 +a(g100 +V$lp +p3470 +tp3471 +a(g243 +V,"EOB" +p3472 +tp3473 +a(g216 +V] +tp3474 +a(g357 +V) +tp3475 +a(g17 +V\u000a +p3476 +tp3477 +a(g216 +V; +tp3478 +a(g17 +V\u000a\u000a +p3479 +tp3480 +a(g72 +Vrewrite_tree_block +p3481 +tp3482 +a(g17 +V\u000a +p3483 +tp3484 +a(g216 +V: +tp3485 +a(g17 +V +p3486 +tp3487 +a(g100 +Vlp +p3488 +tp3489 +a(g357 +V= +tp3490 +a(g240 +V'(' +p3491 +tp3492 +a(g17 +V +tp3493 +a(g100 +Vrewrite_tree_alternative +p3494 +tp3495 +a(g17 +V +tp3496 +a(g240 +V')' +p3497 +tp3498 +a(g17 +V\u000a +p3499 +tp3500 +a(g357 +V-> +p3501 +tp3502 +a(g17 +V +tp3503 +a(g357 +V^ +tp3504 +a(g357 +V( +tp3505 +a(g60 +VBLOCK +p3506 +tp3507 +a(g216 +V[ +tp3508 +a(g100 +V$lp +p3509 +tp3510 +a(g243 +V,"BLOCK" +p3511 +tp3512 +a(g216 +V] +tp3513 +a(g17 +V +tp3514 +a(g100 +Vrewrite_tree_alternative +p3515 +tp3516 +a(g17 +V +tp3517 +a(g60 +VEOB +p3518 +tp3519 +a(g216 +V[ +tp3520 +a(g100 +V$lp +p3521 +tp3522 +a(g243 +V,"EOB" +p3523 +tp3524 +a(g216 +V] +tp3525 +a(g357 +V) +tp3526 +a(g17 +V\u000a +p3527 +tp3528 +a(g216 +V; +tp3529 +a(g17 +V\u000a\u000a +p3530 +tp3531 +a(g72 +Vrewrite_tree_alternative +p3532 +tp3533 +a(g17 +V\u000a +p3534 +tp3535 +a(g216 +V: +tp3536 +a(g17 +V +tp3537 +a(g100 +Vrewrite_tree_element +p3538 +tp3539 +a(g357 +V+ +tp3540 +a(g17 +V +tp3541 +a(g357 +V-> +p3542 +tp3543 +a(g17 +V +tp3544 +a(g357 +V^ +tp3545 +a(g357 +V( +tp3546 +a(g60 +VALT +p3547 +tp3548 +a(g216 +V[ +tp3549 +a(g243 +V"ALT" +p3550 +tp3551 +a(g216 +V] +tp3552 +a(g17 +V +tp3553 +a(g100 +Vrewrite_tree_element +p3554 +tp3555 +a(g357 +V+ +tp3556 +a(g17 +V +tp3557 +a(g60 +VEOA +p3558 +tp3559 +a(g216 +V[ +tp3560 +a(g243 +V"EOA" +p3561 +tp3562 +a(g216 +V] +tp3563 +a(g357 +V) +tp3564 +a(g17 +V\u000a +p3565 +tp3566 +a(g216 +V; +tp3567 +a(g17 +V\u000a\u000a +p3568 +tp3569 +a(g72 +Vrewrite_tree_element +p3570 +tp3571 +a(g17 +V\u000a +p3572 +tp3573 +a(g216 +V: +tp3574 +a(g17 +V +tp3575 +a(g100 +Vrewrite_tree_atom +p3576 +tp3577 +a(g17 +V\u000a +p3578 +tp3579 +a(g357 +V| +tp3580 +a(g17 +V +tp3581 +a(g100 +Vrewrite_tree_atom +p3582 +tp3583 +a(g17 +V +tp3584 +a(g100 +VebnfSuffix +p3585 +tp3586 +a(g17 +V\u000a +p3587 +tp3588 +a(g357 +V-> +p3589 +tp3590 +a(g17 +V +tp3591 +a(g357 +V^ +tp3592 +a(g357 +V( +tp3593 +a(g17 +V +tp3594 +a(g100 +VebnfSuffix +p3595 +tp3596 +a(g17 +V +tp3597 +a(g357 +V^ +tp3598 +a(g357 +V( +tp3599 +a(g60 +VBLOCK +p3600 +tp3601 +a(g216 +V[ +tp3602 +a(g243 +V"BLOCK" +p3603 +tp3604 +a(g216 +V] +tp3605 +a(g17 +V +tp3606 +a(g357 +V^ +tp3607 +a(g357 +V( +tp3608 +a(g60 +VALT +p3609 +tp3610 +a(g216 +V[ +tp3611 +a(g243 +V"ALT" +p3612 +tp3613 +a(g216 +V] +tp3614 +a(g17 +V +tp3615 +a(g100 +Vrewrite_tree_atom +p3616 +tp3617 +a(g17 +V +tp3618 +a(g60 +VEOA +p3619 +tp3620 +a(g216 +V[ +tp3621 +a(g243 +V"EOA" +p3622 +tp3623 +a(g216 +V] +tp3624 +a(g357 +V) +tp3625 +a(g17 +V +tp3626 +a(g60 +VEOB +p3627 +tp3628 +a(g216 +V[ +tp3629 +a(g243 +V"EOB" +p3630 +tp3631 +a(g216 +V] +tp3632 +a(g357 +V) +tp3633 +a(g357 +V) +tp3634 +a(g17 +V\u000a +p3635 +tp3636 +a(g357 +V| +tp3637 +a(g17 +V +p3638 +tp3639 +a(g100 +Vrewrite_tree +p3640 +tp3641 +a(g17 +V\u000a +p3642 +tp3643 +a(g357 +V( +tp3644 +a(g17 +V +tp3645 +a(g100 +VebnfSuffix +p3646 +tp3647 +a(g17 +V\u000a +p3648 +tp3649 +a(g357 +V-> +p3650 +tp3651 +a(g17 +V +tp3652 +a(g357 +V^ +tp3653 +a(g357 +V( +tp3654 +a(g60 +VBLOCK +p3655 +tp3656 +a(g216 +V[ +tp3657 +a(g243 +V"BLOCK" +p3658 +tp3659 +a(g216 +V] +tp3660 +a(g17 +V +tp3661 +a(g357 +V^ +tp3662 +a(g357 +V( +tp3663 +a(g60 +VALT +p3664 +tp3665 +a(g216 +V[ +tp3666 +a(g243 +V"ALT" +p3667 +tp3668 +a(g216 +V] +tp3669 +a(g17 +V +tp3670 +a(g100 +Vrewrite_tree +p3671 +tp3672 +a(g17 +V +tp3673 +a(g60 +VEOA +p3674 +tp3675 +a(g216 +V[ +tp3676 +a(g243 +V"EOA" +p3677 +tp3678 +a(g216 +V] +tp3679 +a(g357 +V) +tp3680 +a(g17 +V +tp3681 +a(g60 +VEOB +p3682 +tp3683 +a(g216 +V[ +tp3684 +a(g243 +V"EOB" +p3685 +tp3686 +a(g216 +V] +tp3687 +a(g357 +V) +tp3688 +a(g17 +V\u000a +p3689 +tp3690 +a(g357 +V| +tp3691 +a(g17 +V +tp3692 +a(g357 +V-> +p3693 +tp3694 +a(g17 +V +tp3695 +a(g100 +Vrewrite_tree +p3696 +tp3697 +a(g17 +V\u000a +p3698 +tp3699 +a(g357 +V) +tp3700 +a(g17 +V\u000a +p3701 +tp3702 +a(g357 +V| +tp3703 +a(g17 +V +p3704 +tp3705 +a(g100 +Vrewrite_tree_ebnf +p3706 +tp3707 +a(g17 +V\u000a +p3708 +tp3709 +a(g216 +V; +tp3710 +a(g17 +V\u000a\u000a +p3711 +tp3712 +a(g72 +Vrewrite_tree_atom +p3713 +tp3714 +a(g17 +V\u000a +p3715 +tp3716 +a(g216 +V: +tp3717 +a(g17 +V +p3718 +tp3719 +a(g60 +VCHAR_LITERAL +p3720 +tp3721 +a(g17 +V\u000a +p3722 +tp3723 +a(g357 +V| +tp3724 +a(g17 +V +p3725 +tp3726 +a(g60 +VTOKEN_REF +p3727 +tp3728 +a(g17 +V +tp3729 +a(g60 +VARG_ACTION +p3730 +tp3731 +a(g357 +V? +tp3732 +a(g17 +V +tp3733 +a(g357 +V-> +p3734 +tp3735 +a(g17 +V +tp3736 +a(g357 +V^ +tp3737 +a(g357 +V( +tp3738 +a(g60 +VTOKEN_REF +p3739 +tp3740 +a(g17 +V +tp3741 +a(g60 +VARG_ACTION +p3742 +tp3743 +a(g357 +V? +tp3744 +a(g357 +V) +tp3745 +a(g17 +V +tp3746 +a(g24 +V// for imaginary nodes +p3747 +tp3748 +a(g17 +V\u000a +p3749 +tp3750 +a(g357 +V| +tp3751 +a(g17 +V +p3752 +tp3753 +a(g60 +VRULE_REF +p3754 +tp3755 +a(g17 +V\u000a +p3756 +tp3757 +a(g357 +V| +tp3758 +a(g17 +V +p3759 +tp3760 +a(g60 +VSTRING_LITERAL +p3761 +tp3762 +a(g17 +V\u000a +p3763 +tp3764 +a(g357 +V| +tp3765 +a(g17 +V +p3766 +tp3767 +a(g100 +Vd +tp3768 +a(g357 +V= +tp3769 +a(g240 +V'$' +p3770 +tp3771 +a(g17 +V +tp3772 +a(g100 +Vid +p3773 +tp3774 +a(g17 +V +tp3775 +a(g357 +V-> +p3776 +tp3777 +a(g17 +V +tp3778 +a(g60 +VLABEL +p3779 +tp3780 +a(g216 +V[ +tp3781 +a(g100 +V$d +p3782 +tp3783 +a(g243 +V, +tp3784 +a(g100 +V$id +p3785 +tp3786 +a(g216 +V. +tp3787 +a(g130 +Vtext +p3788 +tp3789 +a(g216 +V] +tp3790 +a(g17 +V +tp3791 +a(g24 +V// reference to a label in a rewrite rule +p3792 +tp3793 +a(g17 +V\u000a +p3794 +tp3795 +a(g357 +V| +tp3796 +a(g17 +V +tp3797 +a(g60 +VACTION +p3798 +tp3799 +a(g17 +V\u000a +p3800 +tp3801 +a(g216 +V; +tp3802 +a(g17 +V\u000a\u000a +p3803 +tp3804 +a(g72 +Vrewrite_tree_ebnf +p3805 +tp3806 +a(g17 +V\u000a +tp3807 +a(g72 +V@init +p3808 +tp3809 +a(g17 +V +tp3810 +a(g216 +V{ +tp3811 +a(g243 +V\u000a Token firstToken = input.LT(1);\u000a +p3812 +tp3813 +a(g216 +V} +tp3814 +a(g17 +V\u000a +tp3815 +a(g72 +V@after +p3816 +tp3817 +a(g17 +V +tp3818 +a(g216 +V{ +tp3819 +a(g243 +V\u000a +p3820 +tp3821 +a(g100 +V$rewrite +p3822 +tp3823 +a(g243 +V_tree_ebnf.tree.getToken().setLine(firstToken.getLine());\u000a +p3824 +tp3825 +a(g100 +V$rewrite +p3826 +tp3827 +a(g243 +V_tree_ebnf.tree.getToken().setCharPositionInLine(firstToken.getCharPositionInLine());\u000a +p3828 +tp3829 +a(g216 +V} +tp3830 +a(g17 +V\u000a +p3831 +tp3832 +a(g216 +V: +tp3833 +a(g17 +V +tp3834 +a(g100 +Vrewrite_tree_block +p3835 +tp3836 +a(g17 +V +tp3837 +a(g100 +VebnfSuffix +p3838 +tp3839 +a(g17 +V +tp3840 +a(g357 +V-> +p3841 +tp3842 +a(g17 +V +tp3843 +a(g357 +V^ +tp3844 +a(g357 +V( +tp3845 +a(g100 +VebnfSuffix +p3846 +tp3847 +a(g17 +V +tp3848 +a(g100 +Vrewrite_tree_block +p3849 +tp3850 +a(g357 +V) +tp3851 +a(g17 +V\u000a +p3852 +tp3853 +a(g216 +V; +tp3854 +a(g17 +V\u000a \u000a +p3855 +tp3856 +a(g72 +Vrewrite_tree +p3857 +tp3858 +a(g17 +V\u000a +p3859 +tp3860 +a(g216 +V: +tp3861 +a(g17 +V +tp3862 +a(g240 +V'^(' +p3863 +tp3864 +a(g17 +V +tp3865 +a(g100 +Vrewrite_tree_atom +p3866 +tp3867 +a(g17 +V +tp3868 +a(g100 +Vrewrite_tree_element +p3869 +tp3870 +a(g357 +V* +tp3871 +a(g17 +V +tp3872 +a(g240 +V')' +p3873 +tp3874 +a(g17 +V\u000a +p3875 +tp3876 +a(g357 +V-> +p3877 +tp3878 +a(g17 +V +tp3879 +a(g357 +V^ +tp3880 +a(g357 +V( +tp3881 +a(g60 +VTREE_BEGIN +p3882 +tp3883 +a(g17 +V +tp3884 +a(g100 +Vrewrite_tree_atom +p3885 +tp3886 +a(g17 +V +tp3887 +a(g100 +Vrewrite_tree_element +p3888 +tp3889 +a(g357 +V* +tp3890 +a(g17 +V +tp3891 +a(g357 +V) +tp3892 +a(g17 +V\u000a +p3893 +tp3894 +a(g216 +V; +tp3895 +a(g17 +V\u000a\u000a +p3896 +tp3897 +a(g24 +V/** Build a tree for a template rewrite:\u000a ^(TEMPLATE (ID|ACTION) ^(ARGLIST ^(ARG ID ACTION) ...) )\u000a where ARGLIST is always there even if no args exist.\u000a ID can be "template" keyword. If first child is ACTION then it's\u000a an indirect template ref\u000a\u000a -> foo(a={...}, b={...})\u000a -> ({string-e})(a={...}, b={...}) // e evaluates to template name\u000a -> {%{$ID.text}} // create literal template from string (done in ActionTranslator)\u000a -> {st-expr} // st-expr evaluates to ST\u000a */ +p3898 +tp3899 +a(g17 +V\u000a +tp3900 +a(g72 +Vrewrite_template +p3901 +tp3902 +a(g17 +V\u000a +p3903 +tp3904 +a(g216 +V: +tp3905 +a(g17 +V +p3906 +tp3907 +a(g24 +V// -> template(a={...},...) "..." inline template +p3908 +tp3909 +a(g17 +V\u000a +p3910 +tp3911 +a(g216 +V{ +tp3912 +a(g243 +Vinput.LT(1).getText().equals("template") +p3913 +tp3914 +a(g216 +V} +tp3915 +a(g357 +V? +tp3916 +a(g17 +V\u000a +p3917 +tp3918 +a(g100 +Vid +p3919 +tp3920 +a(g17 +V +tp3921 +a(g100 +Vlp +p3922 +tp3923 +a(g357 +V= +tp3924 +a(g240 +V'(' +p3925 +tp3926 +a(g17 +V +tp3927 +a(g100 +Vrewrite_template_args +p3928 +tp3929 +a(g17 +V +tp3930 +a(g240 +V')' +p3931 +tp3932 +a(g17 +V\u000a +p3933 +tp3934 +a(g100 +Vst +p3935 +tp3936 +a(g357 +V= +tp3937 +a(g357 +V( +tp3938 +a(g17 +V +tp3939 +a(g60 +VDOUBLE_QUOTE_STRING_LITERAL +p3940 +tp3941 +a(g17 +V +tp3942 +a(g357 +V| +tp3943 +a(g17 +V +tp3944 +a(g60 +VDOUBLE_ANGLE_STRING_LITERAL +p3945 +tp3946 +a(g17 +V +tp3947 +a(g357 +V) +tp3948 +a(g17 +V\u000a +p3949 +tp3950 +a(g357 +V-> +p3951 +tp3952 +a(g17 +V +tp3953 +a(g357 +V^ +tp3954 +a(g357 +V( +tp3955 +a(g60 +VTEMPLATE +p3956 +tp3957 +a(g216 +V[ +tp3958 +a(g100 +V$lp +p3959 +tp3960 +a(g243 +V,"TEMPLATE" +p3961 +tp3962 +a(g216 +V] +tp3963 +a(g17 +V +tp3964 +a(g100 +Vid +p3965 +tp3966 +a(g17 +V +tp3967 +a(g100 +Vrewrite_template_args +p3968 +tp3969 +a(g17 +V +tp3970 +a(g100 +V$st +p3971 +tp3972 +a(g357 +V) +tp3973 +a(g17 +V\u000a\u000a +p3974 +tp3975 +a(g357 +V| +tp3976 +a(g17 +V +tp3977 +a(g24 +V// -> foo(a={...}, ...) +p3978 +tp3979 +a(g17 +V\u000a +p3980 +tp3981 +a(g100 +Vrewrite_template_ref +p3982 +tp3983 +a(g17 +V\u000a\u000a +p3984 +tp3985 +a(g357 +V| +tp3986 +a(g17 +V +tp3987 +a(g24 +V// -> ({expr})(a={...}, ...) +p3988 +tp3989 +a(g17 +V\u000a +p3990 +tp3991 +a(g100 +Vrewrite_indirect_template_head +p3992 +tp3993 +a(g17 +V\u000a\u000a +p3994 +tp3995 +a(g357 +V| +tp3996 +a(g17 +V +tp3997 +a(g24 +V// -> {...} +p3998 +tp3999 +a(g17 +V\u000a +p4000 +tp4001 +a(g60 +VACTION +p4002 +tp4003 +a(g17 +V\u000a +p4004 +tp4005 +a(g216 +V; +tp4006 +a(g17 +V\u000a\u000a +p4007 +tp4008 +a(g24 +V/** -> foo(a={...}, ...) */ +p4009 +tp4010 +a(g17 +V\u000a +tp4011 +a(g72 +Vrewrite_template_ref +p4012 +tp4013 +a(g17 +V\u000a +p4014 +tp4015 +a(g216 +V: +tp4016 +a(g17 +V +tp4017 +a(g100 +Vid +p4018 +tp4019 +a(g17 +V +tp4020 +a(g100 +Vlp +p4021 +tp4022 +a(g357 +V= +tp4023 +a(g240 +V'(' +p4024 +tp4025 +a(g17 +V +tp4026 +a(g100 +Vrewrite_template_args +p4027 +tp4028 +a(g17 +V +tp4029 +a(g240 +V')' +p4030 +tp4031 +a(g17 +V\u000a +p4032 +tp4033 +a(g357 +V-> +p4034 +tp4035 +a(g17 +V +tp4036 +a(g357 +V^ +tp4037 +a(g357 +V( +tp4038 +a(g60 +VTEMPLATE +p4039 +tp4040 +a(g216 +V[ +tp4041 +a(g100 +V$lp +p4042 +tp4043 +a(g243 +V,"TEMPLATE" +p4044 +tp4045 +a(g216 +V] +tp4046 +a(g17 +V +tp4047 +a(g100 +Vid +p4048 +tp4049 +a(g17 +V +tp4050 +a(g100 +Vrewrite_template_args +p4051 +tp4052 +a(g357 +V) +tp4053 +a(g17 +V\u000a +p4054 +tp4055 +a(g216 +V; +tp4056 +a(g17 +V\u000a\u000a +p4057 +tp4058 +a(g24 +V/** -> ({expr})(a={...}, ...) */ +p4059 +tp4060 +a(g17 +V\u000a +tp4061 +a(g72 +Vrewrite_indirect_template_head +p4062 +tp4063 +a(g17 +V\u000a +p4064 +tp4065 +a(g216 +V: +tp4066 +a(g17 +V +tp4067 +a(g100 +Vlp +p4068 +tp4069 +a(g357 +V= +tp4070 +a(g240 +V'(' +p4071 +tp4072 +a(g17 +V +tp4073 +a(g60 +VACTION +p4074 +tp4075 +a(g17 +V +tp4076 +a(g240 +V')' +p4077 +tp4078 +a(g17 +V +tp4079 +a(g240 +V'(' +p4080 +tp4081 +a(g17 +V +tp4082 +a(g100 +Vrewrite_template_args +p4083 +tp4084 +a(g17 +V +tp4085 +a(g240 +V')' +p4086 +tp4087 +a(g17 +V\u000a +p4088 +tp4089 +a(g357 +V-> +p4090 +tp4091 +a(g17 +V +tp4092 +a(g357 +V^ +tp4093 +a(g357 +V( +tp4094 +a(g60 +VTEMPLATE +p4095 +tp4096 +a(g216 +V[ +tp4097 +a(g100 +V$lp +p4098 +tp4099 +a(g243 +V,"TEMPLATE" +p4100 +tp4101 +a(g216 +V] +tp4102 +a(g17 +V +tp4103 +a(g60 +VACTION +p4104 +tp4105 +a(g17 +V +tp4106 +a(g100 +Vrewrite_template_args +p4107 +tp4108 +a(g357 +V) +tp4109 +a(g17 +V\u000a +p4110 +tp4111 +a(g216 +V; +tp4112 +a(g17 +V\u000a\u000a +p4113 +tp4114 +a(g72 +Vrewrite_template_args +p4115 +tp4116 +a(g17 +V\u000a +p4117 +tp4118 +a(g216 +V: +tp4119 +a(g17 +V +tp4120 +a(g100 +Vrewrite_template_arg +p4121 +tp4122 +a(g17 +V +tp4123 +a(g357 +V( +tp4124 +a(g240 +V',' +p4125 +tp4126 +a(g17 +V +tp4127 +a(g100 +Vrewrite_template_arg +p4128 +tp4129 +a(g357 +V) +tp4130 +a(g357 +V* +tp4131 +a(g17 +V\u000a +p4132 +tp4133 +a(g357 +V-> +p4134 +tp4135 +a(g17 +V +tp4136 +a(g357 +V^ +tp4137 +a(g357 +V( +tp4138 +a(g60 +VARGLIST +p4139 +tp4140 +a(g17 +V +tp4141 +a(g100 +Vrewrite_template_arg +p4142 +tp4143 +a(g357 +V+ +tp4144 +a(g357 +V) +tp4145 +a(g17 +V\u000a +p4146 +tp4147 +a(g357 +V| +tp4148 +a(g17 +V +tp4149 +a(g357 +V-> +p4150 +tp4151 +a(g17 +V +tp4152 +a(g60 +VARGLIST +p4153 +tp4154 +a(g17 +V\u000a +p4155 +tp4156 +a(g216 +V; +tp4157 +a(g17 +V\u000a\u000a +p4158 +tp4159 +a(g72 +Vrewrite_template_arg +p4160 +tp4161 +a(g17 +V\u000a +p4162 +tp4163 +a(g216 +V: +tp4164 +a(g17 +V +p4165 +tp4166 +a(g100 +Vid +p4167 +tp4168 +a(g17 +V +tp4169 +a(g240 +V'=' +p4170 +tp4171 +a(g17 +V +tp4172 +a(g60 +VACTION +p4173 +tp4174 +a(g17 +V +tp4175 +a(g357 +V-> +p4176 +tp4177 +a(g17 +V +tp4178 +a(g357 +V^ +tp4179 +a(g357 +V( +tp4180 +a(g60 +VARG +p4181 +tp4182 +a(g216 +V[ +tp4183 +a(g100 +V$id +p4184 +tp4185 +a(g216 +V. +tp4186 +a(g243 +Vstart +p4187 +tp4188 +a(g216 +V] +tp4189 +a(g17 +V +tp4190 +a(g100 +Vid +p4191 +tp4192 +a(g17 +V +tp4193 +a(g60 +VACTION +p4194 +tp4195 +a(g357 +V) +tp4196 +a(g17 +V\u000a +p4197 +tp4198 +a(g216 +V; +tp4199 +a(g17 +V\u000a\u000a +p4200 +tp4201 +a(g72 +Vid +p4202 +tp4203 +a(g17 +V +tp4204 +a(g216 +V: +tp4205 +a(g17 +V +tp4206 +a(g60 +VTOKEN_REF +p4207 +tp4208 +a(g17 +V +tp4209 +a(g357 +V-> +p4210 +tp4211 +a(g17 +V +tp4212 +a(g60 +VID +p4213 +tp4214 +a(g216 +V[ +tp4215 +a(g100 +V$TOKEN +p4216 +tp4217 +a(g243 +V_REF +p4218 +tp4219 +a(g216 +V] +tp4220 +a(g17 +V\u000a +p4221 +tp4222 +a(g357 +V| +tp4223 +a(g17 +V +tp4224 +a(g60 +VRULE_REF +p4225 +tp4226 +a(g17 +V +p4227 +tp4228 +a(g357 +V-> +p4229 +tp4230 +a(g17 +V +tp4231 +a(g60 +VID +p4232 +tp4233 +a(g216 +V[ +tp4234 +a(g100 +V$RULE +p4235 +tp4236 +a(g243 +V_REF +p4237 +tp4238 +a(g216 +V] +tp4239 +a(g17 +V\u000a +p4240 +tp4241 +a(g216 +V; +tp4242 +a(g17 +V\u000a\u000a +p4243 +tp4244 +a(g24 +V// L E X I C A L R U L E S +p4245 +tp4246 +a(g17 +V\u000a\u000a +p4247 +tp4248 +a(g72 +VSL_COMMENT +p4249 +tp4250 +a(g17 +V\u000a +p4251 +tp4252 +a(g216 +V: +tp4253 +a(g17 +V +tp4254 +a(g240 +V'//' +p4255 +tp4256 +a(g17 +V\u000a +p4257 +tp4258 +a(g357 +V( +tp4259 +a(g17 +V +tp4260 +a(g240 +V' $ANTLR ' +p4261 +tp4262 +a(g17 +V +tp4263 +a(g60 +VSRC +p4264 +tp4265 +a(g17 +V +tp4266 +a(g24 +V// src directive +p4267 +tp4268 +a(g17 +V\u000a +p4269 +tp4270 +a(g357 +V| +tp4271 +a(g17 +V +tp4272 +a(g357 +V~ +tp4273 +a(g357 +V( +tp4274 +a(g240 +V'\u005cr' +p4275 +tp4276 +a(g357 +V| +tp4277 +a(g240 +V'\u005cn' +p4278 +tp4279 +a(g357 +V) +tp4280 +a(g357 +V* +tp4281 +a(g17 +V\u000a +p4282 +tp4283 +a(g357 +V) +tp4284 +a(g17 +V\u000a +p4285 +tp4286 +a(g240 +V'\u005cr' +p4287 +tp4288 +a(g357 +V? +tp4289 +a(g17 +V +tp4290 +a(g240 +V'\u005cn' +p4291 +tp4292 +a(g17 +V\u000a +p4293 +tp4294 +a(g216 +V{ +tp4295 +a(g100 +V$channel +p4296 +tp4297 +a(g243 +V=HIDDEN; +p4298 +tp4299 +a(g216 +V} +tp4300 +a(g17 +V\u000a +p4301 +tp4302 +a(g216 +V; +tp4303 +a(g17 +V\u000a\u000a +p4304 +tp4305 +a(g72 +VML_COMMENT +p4306 +tp4307 +a(g17 +V\u000a +p4308 +tp4309 +a(g216 +V: +tp4310 +a(g17 +V +tp4311 +a(g240 +V'/*' +p4312 +tp4313 +a(g17 +V +tp4314 +a(g216 +V{ +tp4315 +a(g243 +Vif (input.LA(1)=='*') +p4316 +tp4317 +a(g100 +V$type +p4318 +tp4319 +a(g243 +V=DOC_COMMENT; else +p4320 +tp4321 +a(g100 +V$channel +p4322 +tp4323 +a(g243 +V=HIDDEN; +p4324 +tp4325 +a(g216 +V} +tp4326 +a(g17 +V +tp4327 +a(g357 +V. +tp4328 +a(g357 +V* +tp4329 +a(g17 +V +tp4330 +a(g240 +V'*/' +p4331 +tp4332 +a(g17 +V\u000a +p4333 +tp4334 +a(g216 +V; +tp4335 +a(g17 +V\u000a\u000a +p4336 +tp4337 +a(g72 +VCHAR_LITERAL +p4338 +tp4339 +a(g17 +V\u000a +p4340 +tp4341 +a(g216 +V: +tp4342 +a(g17 +V +tp4343 +a(g240 +V'\u005c'' +p4344 +tp4345 +a(g17 +V +tp4346 +a(g60 +VLITERAL_CHAR +p4347 +tp4348 +a(g17 +V +tp4349 +a(g240 +V'\u005c'' +p4350 +tp4351 +a(g17 +V\u000a +p4352 +tp4353 +a(g216 +V; +tp4354 +a(g17 +V\u000a\u000a +p4355 +tp4356 +a(g72 +VSTRING_LITERAL +p4357 +tp4358 +a(g17 +V\u000a +p4359 +tp4360 +a(g216 +V: +tp4361 +a(g17 +V +tp4362 +a(g240 +V'\u005c'' +p4363 +tp4364 +a(g17 +V +tp4365 +a(g60 +VLITERAL_CHAR +p4366 +tp4367 +a(g17 +V +tp4368 +a(g60 +VLITERAL_CHAR +p4369 +tp4370 +a(g357 +V* +tp4371 +a(g17 +V +tp4372 +a(g240 +V'\u005c'' +p4373 +tp4374 +a(g17 +V\u000a +p4375 +tp4376 +a(g216 +V; +tp4377 +a(g17 +V\u000a\u000a +p4378 +tp4379 +a(g138 +Vfragment +p4380 +tp4381 +a(g17 +V\u000a +tp4382 +a(g72 +VLITERAL_CHAR +p4383 +tp4384 +a(g17 +V\u000a +p4385 +tp4386 +a(g216 +V: +tp4387 +a(g17 +V +tp4388 +a(g60 +VESC +p4389 +tp4390 +a(g17 +V\u000a +p4391 +tp4392 +a(g357 +V| +tp4393 +a(g17 +V +tp4394 +a(g357 +V~ +tp4395 +a(g357 +V( +tp4396 +a(g240 +V'\u005c'' +p4397 +tp4398 +a(g357 +V| +tp4399 +a(g240 +V'\u005c\u005c' +p4400 +tp4401 +a(g357 +V) +tp4402 +a(g17 +V\u000a +p4403 +tp4404 +a(g216 +V; +tp4405 +a(g17 +V\u000a\u000a +p4406 +tp4407 +a(g72 +VDOUBLE_QUOTE_STRING_LITERAL +p4408 +tp4409 +a(g17 +V\u000a +p4410 +tp4411 +a(g216 +V: +tp4412 +a(g17 +V +tp4413 +a(g240 +V'"' +p4414 +tp4415 +a(g17 +V +tp4416 +a(g60 +VLITERAL_CHAR +p4417 +tp4418 +a(g357 +V* +tp4419 +a(g17 +V +tp4420 +a(g240 +V'"' +p4421 +tp4422 +a(g17 +V\u000a +p4423 +tp4424 +a(g216 +V; +tp4425 +a(g17 +V\u000a\u000a +p4426 +tp4427 +a(g72 +VDOUBLE_ANGLE_STRING_LITERAL +p4428 +tp4429 +a(g17 +V\u000a +p4430 +tp4431 +a(g216 +V: +tp4432 +a(g17 +V +tp4433 +a(g240 +V'<<' +p4434 +tp4435 +a(g17 +V +tp4436 +a(g357 +V. +tp4437 +a(g357 +V* +tp4438 +a(g17 +V +tp4439 +a(g240 +V'>>' +p4440 +tp4441 +a(g17 +V\u000a +p4442 +tp4443 +a(g216 +V; +tp4444 +a(g17 +V\u000a\u000a +p4445 +tp4446 +a(g138 +Vfragment +p4447 +tp4448 +a(g17 +V\u000a +tp4449 +a(g72 +VESC +p4450 +tp4451 +a(g17 +V +tp4452 +a(g216 +V: +tp4453 +a(g17 +V +tp4454 +a(g240 +V'\u005c\u005c' +p4455 +tp4456 +a(g17 +V\u000a +p4457 +tp4458 +a(g357 +V( +tp4459 +a(g17 +V +tp4460 +a(g240 +V'n' +p4461 +tp4462 +a(g17 +V\u000a +p4463 +tp4464 +a(g357 +V| +tp4465 +a(g17 +V +tp4466 +a(g240 +V'r' +p4467 +tp4468 +a(g17 +V\u000a +p4469 +tp4470 +a(g357 +V| +tp4471 +a(g17 +V +tp4472 +a(g240 +V't' +p4473 +tp4474 +a(g17 +V\u000a +p4475 +tp4476 +a(g357 +V| +tp4477 +a(g17 +V +tp4478 +a(g240 +V'b' +p4479 +tp4480 +a(g17 +V\u000a +p4481 +tp4482 +a(g357 +V| +tp4483 +a(g17 +V +tp4484 +a(g240 +V'f' +p4485 +tp4486 +a(g17 +V\u000a +p4487 +tp4488 +a(g357 +V| +tp4489 +a(g17 +V +tp4490 +a(g240 +V'"' +p4491 +tp4492 +a(g17 +V\u000a +p4493 +tp4494 +a(g357 +V| +tp4495 +a(g17 +V +tp4496 +a(g240 +V'\u005c'' +p4497 +tp4498 +a(g17 +V\u000a +p4499 +tp4500 +a(g357 +V| +tp4501 +a(g17 +V +tp4502 +a(g240 +V'\u005c\u005c' +p4503 +tp4504 +a(g17 +V\u000a +p4505 +tp4506 +a(g357 +V| +tp4507 +a(g17 +V +tp4508 +a(g240 +V'>' +p4509 +tp4510 +a(g17 +V\u000a +p4511 +tp4512 +a(g357 +V| +tp4513 +a(g17 +V +tp4514 +a(g240 +V'u' +p4515 +tp4516 +a(g17 +V +tp4517 +a(g60 +VXDIGIT +p4518 +tp4519 +a(g17 +V +tp4520 +a(g60 +VXDIGIT +p4521 +tp4522 +a(g17 +V +tp4523 +a(g60 +VXDIGIT +p4524 +tp4525 +a(g17 +V +tp4526 +a(g60 +VXDIGIT +p4527 +tp4528 +a(g17 +V\u000a +p4529 +tp4530 +a(g357 +V| +tp4531 +a(g17 +V +tp4532 +a(g357 +V. +tp4533 +a(g17 +V +tp4534 +a(g24 +V// unknown, leave as it is +p4535 +tp4536 +a(g17 +V\u000a +p4537 +tp4538 +a(g357 +V) +tp4539 +a(g17 +V\u000a +p4540 +tp4541 +a(g216 +V; +tp4542 +a(g17 +V\u000a\u000a +p4543 +tp4544 +a(g138 +Vfragment +p4545 +tp4546 +a(g17 +V\u000a +tp4547 +a(g72 +VXDIGIT +p4548 +tp4549 +a(g17 +V +tp4550 +a(g216 +V: +tp4551 +a(g17 +V\u000a +p4552 +tp4553 +a(g240 +V'0' +p4554 +tp4555 +a(g17 +V +tp4556 +a(g357 +V.. +p4557 +tp4558 +a(g17 +V +tp4559 +a(g240 +V'9' +p4560 +tp4561 +a(g17 +V\u000a +p4562 +tp4563 +a(g357 +V| +tp4564 +a(g17 +V +tp4565 +a(g240 +V'a' +p4566 +tp4567 +a(g17 +V +tp4568 +a(g357 +V.. +p4569 +tp4570 +a(g17 +V +tp4571 +a(g240 +V'f' +p4572 +tp4573 +a(g17 +V\u000a +p4574 +tp4575 +a(g357 +V| +tp4576 +a(g17 +V +tp4577 +a(g240 +V'A' +p4578 +tp4579 +a(g17 +V +tp4580 +a(g357 +V.. +p4581 +tp4582 +a(g17 +V +tp4583 +a(g240 +V'F' +p4584 +tp4585 +a(g17 +V\u000a +p4586 +tp4587 +a(g216 +V; +tp4588 +a(g17 +V\u000a\u000a +p4589 +tp4590 +a(g72 +VINT +p4591 +tp4592 +a(g17 +V +tp4593 +a(g216 +V: +tp4594 +a(g17 +V +tp4595 +a(g240 +V'0' +p4596 +tp4597 +a(g357 +V.. +p4598 +tp4599 +a(g240 +V'9' +p4600 +tp4601 +a(g357 +V+ +tp4602 +a(g17 +V\u000a +p4603 +tp4604 +a(g216 +V; +tp4605 +a(g17 +V\u000a\u000a +p4606 +tp4607 +a(g72 +VARG_ACTION +p4608 +tp4609 +a(g17 +V\u000a +p4610 +tp4611 +a(g216 +V: +tp4612 +a(g17 +V +tp4613 +a(g60 +VNESTED_ARG_ACTION +p4614 +tp4615 +a(g17 +V\u000a +p4616 +tp4617 +a(g216 +V; +tp4618 +a(g17 +V\u000a\u000a +p4619 +tp4620 +a(g138 +Vfragment +p4621 +tp4622 +a(g17 +V\u000a +tp4623 +a(g72 +VNESTED_ARG_ACTION +p4624 +tp4625 +a(g17 +V +tp4626 +a(g216 +V: +tp4627 +a(g17 +V\u000a +p4628 +tp4629 +a(g240 +V'[' +p4630 +tp4631 +a(g17 +V\u000a +p4632 +tp4633 +a(g357 +V( +tp4634 +a(g17 +V +tp4635 +a(g138 +Voptions +p4636 +tp4637 +a(g17 +V +tp4638 +a(g216 +V{ +tp4639 +a(g100 +Vgreedy +p4640 +tp4641 +a(g216 +V= +tp4642 +a(g6 +Vfalse +p4643 +tp4644 +a(g216 +V; +tp4645 +a(g17 +V +tp4646 +a(g100 +Vk +tp4647 +a(g216 +V= +tp4648 +a(g6 +V1 +tp4649 +a(g216 +V; +tp4650 +a(g216 +V} +tp4651 +a(g17 +V\u000a +p4652 +tp4653 +a(g216 +V: +tp4654 +a(g17 +V +tp4655 +a(g60 +VNESTED_ARG_ACTION +p4656 +tp4657 +a(g17 +V\u000a +p4658 +tp4659 +a(g357 +V| +tp4660 +a(g17 +V +tp4661 +a(g60 +VACTION_STRING_LITERAL +p4662 +tp4663 +a(g17 +V\u000a +p4664 +tp4665 +a(g357 +V| +tp4666 +a(g17 +V +tp4667 +a(g60 +VACTION_CHAR_LITERAL +p4668 +tp4669 +a(g17 +V\u000a +p4670 +tp4671 +a(g357 +V| +tp4672 +a(g17 +V +tp4673 +a(g357 +V. +tp4674 +a(g17 +V\u000a +p4675 +tp4676 +a(g357 +V) +tp4677 +a(g357 +V* +tp4678 +a(g17 +V\u000a +p4679 +tp4680 +a(g240 +V']' +p4681 +tp4682 +a(g17 +V\u000a +p4683 +tp4684 +a(g216 +V{ +tp4685 +a(g243 +VsetText(getText().substring(1, getText().length()-1)); +p4686 +tp4687 +a(g216 +V} +tp4688 +a(g17 +V\u000a +p4689 +tp4690 +a(g216 +V; +tp4691 +a(g17 +V\u000a\u000a +p4692 +tp4693 +a(g72 +VACTION +p4694 +tp4695 +a(g17 +V\u000a +p4696 +tp4697 +a(g216 +V: +tp4698 +a(g17 +V +tp4699 +a(g60 +VNESTED_ACTION +p4700 +tp4701 +a(g17 +V +tp4702 +a(g357 +V( +tp4703 +a(g17 +V +tp4704 +a(g240 +V'?' +p4705 +tp4706 +a(g17 +V +tp4707 +a(g216 +V{ +tp4708 +a(g100 +V$type +p4709 +tp4710 +a(g243 +V = SEMPRED; +p4711 +tp4712 +a(g216 +V} +tp4713 +a(g17 +V +tp4714 +a(g357 +V) +tp4715 +a(g357 +V? +tp4716 +a(g17 +V\u000a +p4717 +tp4718 +a(g216 +V; +tp4719 +a(g17 +V\u000a\u000a +p4720 +tp4721 +a(g138 +Vfragment +p4722 +tp4723 +a(g17 +V\u000a +tp4724 +a(g72 +VNESTED_ACTION +p4725 +tp4726 +a(g17 +V +tp4727 +a(g216 +V: +tp4728 +a(g17 +V\u000a +p4729 +tp4730 +a(g240 +V'{' +p4731 +tp4732 +a(g17 +V\u000a +p4733 +tp4734 +a(g357 +V( +tp4735 +a(g17 +V +tp4736 +a(g138 +Voptions +p4737 +tp4738 +a(g17 +V +tp4739 +a(g216 +V{ +tp4740 +a(g100 +Vgreedy +p4741 +tp4742 +a(g216 +V= +tp4743 +a(g6 +Vfalse +p4744 +tp4745 +a(g216 +V; +tp4746 +a(g17 +V +tp4747 +a(g100 +Vk +tp4748 +a(g216 +V= +tp4749 +a(g6 +V3 +tp4750 +a(g216 +V; +tp4751 +a(g216 +V} +tp4752 +a(g17 +V\u000a +p4753 +tp4754 +a(g216 +V: +tp4755 +a(g17 +V +tp4756 +a(g60 +VNESTED_ACTION +p4757 +tp4758 +a(g17 +V\u000a +p4759 +tp4760 +a(g357 +V| +tp4761 +a(g17 +V +tp4762 +a(g60 +VSL_COMMENT +p4763 +tp4764 +a(g17 +V\u000a +p4765 +tp4766 +a(g357 +V| +tp4767 +a(g17 +V +tp4768 +a(g60 +VML_COMMENT +p4769 +tp4770 +a(g17 +V\u000a +p4771 +tp4772 +a(g357 +V| +tp4773 +a(g17 +V +tp4774 +a(g60 +VACTION_STRING_LITERAL +p4775 +tp4776 +a(g17 +V\u000a +p4777 +tp4778 +a(g357 +V| +tp4779 +a(g17 +V +tp4780 +a(g60 +VACTION_CHAR_LITERAL +p4781 +tp4782 +a(g17 +V\u000a +p4783 +tp4784 +a(g357 +V| +tp4785 +a(g17 +V +tp4786 +a(g357 +V. +tp4787 +a(g17 +V\u000a +p4788 +tp4789 +a(g357 +V) +tp4790 +a(g357 +V* +tp4791 +a(g17 +V\u000a +p4792 +tp4793 +a(g240 +V'}' +p4794 +tp4795 +a(g17 +V\u000a +p4796 +tp4797 +a(g216 +V{ +tp4798 +a(g100 +V$channel +p4799 +tp4800 +a(g243 +V = DEFAULT_TOKEN_CHANNEL; +p4801 +tp4802 +a(g216 +V} +tp4803 +a(g17 +V\u000a +p4804 +tp4805 +a(g216 +V; +tp4806 +a(g17 +V\u000a\u000a +p4807 +tp4808 +a(g138 +Vfragment +p4809 +tp4810 +a(g17 +V\u000a +tp4811 +a(g72 +VACTION_CHAR_LITERAL +p4812 +tp4813 +a(g17 +V\u000a +p4814 +tp4815 +a(g216 +V: +tp4816 +a(g17 +V +tp4817 +a(g240 +V'\u005c'' +p4818 +tp4819 +a(g17 +V +tp4820 +a(g357 +V( +tp4821 +a(g60 +VACTION_ESC +p4822 +tp4823 +a(g357 +V| +tp4824 +a(g357 +V~ +tp4825 +a(g357 +V( +tp4826 +a(g240 +V'\u005c\u005c' +p4827 +tp4828 +a(g357 +V| +tp4829 +a(g240 +V'\u005c'' +p4830 +tp4831 +a(g357 +V) +tp4832 +a(g357 +V) +tp4833 +a(g17 +V +tp4834 +a(g240 +V'\u005c'' +p4835 +tp4836 +a(g17 +V\u000a +p4837 +tp4838 +a(g216 +V; +tp4839 +a(g17 +V\u000a\u000a +p4840 +tp4841 +a(g138 +Vfragment +p4842 +tp4843 +a(g17 +V\u000a +tp4844 +a(g72 +VACTION_STRING_LITERAL +p4845 +tp4846 +a(g17 +V\u000a +p4847 +tp4848 +a(g216 +V: +tp4849 +a(g17 +V +tp4850 +a(g240 +V'"' +p4851 +tp4852 +a(g17 +V +tp4853 +a(g357 +V( +tp4854 +a(g60 +VACTION_ESC +p4855 +tp4856 +a(g357 +V| +tp4857 +a(g357 +V~ +tp4858 +a(g357 +V( +tp4859 +a(g240 +V'\u005c\u005c' +p4860 +tp4861 +a(g357 +V| +tp4862 +a(g240 +V'"' +p4863 +tp4864 +a(g357 +V) +tp4865 +a(g357 +V) +tp4866 +a(g357 +V+ +tp4867 +a(g17 +V +tp4868 +a(g240 +V'"' +p4869 +tp4870 +a(g17 +V\u000a +p4871 +tp4872 +a(g216 +V; +tp4873 +a(g17 +V\u000a\u000a +p4874 +tp4875 +a(g138 +Vfragment +p4876 +tp4877 +a(g17 +V\u000a +tp4878 +a(g72 +VACTION_ESC +p4879 +tp4880 +a(g17 +V\u000a +p4881 +tp4882 +a(g216 +V: +tp4883 +a(g17 +V +tp4884 +a(g240 +V'\u005c\u005c\u005c'' +p4885 +tp4886 +a(g17 +V\u000a +p4887 +tp4888 +a(g357 +V| +tp4889 +a(g17 +V +tp4890 +a(g240 +V'\u005c\u005c"' +p4891 +tp4892 +a(g17 +V\u000a +p4893 +tp4894 +a(g357 +V| +tp4895 +a(g17 +V +tp4896 +a(g240 +V'\u005c\u005c' +p4897 +tp4898 +a(g17 +V +tp4899 +a(g357 +V~ +tp4900 +a(g357 +V( +tp4901 +a(g240 +V'\u005c'' +p4902 +tp4903 +a(g357 +V| +tp4904 +a(g240 +V'"' +p4905 +tp4906 +a(g357 +V) +tp4907 +a(g17 +V\u000a +p4908 +tp4909 +a(g216 +V; +tp4910 +a(g17 +V\u000a\u000a +p4911 +tp4912 +a(g72 +VTOKEN_REF +p4913 +tp4914 +a(g17 +V\u000a +p4915 +tp4916 +a(g216 +V: +tp4917 +a(g17 +V +tp4918 +a(g240 +V'A' +p4919 +tp4920 +a(g357 +V.. +p4921 +tp4922 +a(g240 +V'Z' +p4923 +tp4924 +a(g17 +V +tp4925 +a(g357 +V( +tp4926 +a(g240 +V'a' +p4927 +tp4928 +a(g357 +V.. +p4929 +tp4930 +a(g240 +V'z' +p4931 +tp4932 +a(g357 +V| +tp4933 +a(g240 +V'A' +p4934 +tp4935 +a(g357 +V.. +p4936 +tp4937 +a(g240 +V'Z' +p4938 +tp4939 +a(g357 +V| +tp4940 +a(g240 +V'_' +p4941 +tp4942 +a(g357 +V| +tp4943 +a(g240 +V'0' +p4944 +tp4945 +a(g357 +V.. +p4946 +tp4947 +a(g240 +V'9' +p4948 +tp4949 +a(g357 +V) +tp4950 +a(g357 +V* +tp4951 +a(g17 +V\u000a +p4952 +tp4953 +a(g216 +V; +tp4954 +a(g17 +V\u000a\u000a +p4955 +tp4956 +a(g72 +VRULE_REF +p4957 +tp4958 +a(g17 +V\u000a +p4959 +tp4960 +a(g216 +V: +tp4961 +a(g17 +V +tp4962 +a(g240 +V'a' +p4963 +tp4964 +a(g357 +V.. +p4965 +tp4966 +a(g240 +V'z' +p4967 +tp4968 +a(g17 +V +tp4969 +a(g357 +V( +tp4970 +a(g240 +V'a' +p4971 +tp4972 +a(g357 +V.. +p4973 +tp4974 +a(g240 +V'z' +p4975 +tp4976 +a(g357 +V| +tp4977 +a(g240 +V'A' +p4978 +tp4979 +a(g357 +V.. +p4980 +tp4981 +a(g240 +V'Z' +p4982 +tp4983 +a(g357 +V| +tp4984 +a(g240 +V'_' +p4985 +tp4986 +a(g357 +V| +tp4987 +a(g240 +V'0' +p4988 +tp4989 +a(g357 +V.. +p4990 +tp4991 +a(g240 +V'9' +p4992 +tp4993 +a(g357 +V) +tp4994 +a(g357 +V* +tp4995 +a(g17 +V\u000a +p4996 +tp4997 +a(g216 +V; +tp4998 +a(g17 +V\u000a\u000a +p4999 +tp5000 +a(g24 +V/** Match the start of an options section. Don't allow normal\u000a * action processing on the {...} as it's not a action.\u000a */ +p5001 +tp5002 +a(g17 +V\u000a +tp5003 +a(g72 +VOPTIONS +p5004 +tp5005 +a(g17 +V\u000a +p5006 +tp5007 +a(g216 +V: +tp5008 +a(g17 +V +tp5009 +a(g240 +V'options' +p5010 +tp5011 +a(g17 +V +tp5012 +a(g60 +VWS_LOOP +p5013 +tp5014 +a(g17 +V +tp5015 +a(g240 +V'{' +p5016 +tp5017 +a(g17 +V +tp5018 +a(g216 +V{ +tp5019 +a(g100 +V$channel +p5020 +tp5021 +a(g243 +V=DEFAULT_TOKEN_CHANNEL; +p5022 +tp5023 +a(g216 +V} +tp5024 +a(g17 +V +tp5025 +a(g24 +V// WS_LOOP sets channel +p5026 +tp5027 +a(g17 +V\u000a +p5028 +tp5029 +a(g216 +V; +tp5030 +a(g17 +V\u000a \u000a +p5031 +tp5032 +a(g72 +VTOKENS +p5033 +tp5034 +a(g17 +V\u000a +p5035 +tp5036 +a(g216 +V: +tp5037 +a(g17 +V +tp5038 +a(g240 +V'tokens' +p5039 +tp5040 +a(g17 +V +tp5041 +a(g60 +VWS_LOOP +p5042 +tp5043 +a(g17 +V +tp5044 +a(g240 +V'{' +p5045 +tp5046 +a(g17 +V +tp5047 +a(g216 +V{ +tp5048 +a(g100 +V$channel +p5049 +tp5050 +a(g243 +V=DEFAULT_TOKEN_CHANNEL; +p5051 +tp5052 +a(g216 +V} +tp5053 +a(g17 +V\u000a +p5054 +tp5055 +a(g216 +V; +tp5056 +a(g17 +V\u000a\u000a +p5057 +tp5058 +a(g24 +V/** Reset the file and line information; useful when the grammar\u000a * has been generated so that errors are shown relative to the\u000a * original file like the old C preprocessor used to do.\u000a */ +p5059 +tp5060 +a(g17 +V\u000a +tp5061 +a(g138 +Vfragment +p5062 +tp5063 +a(g17 +V\u000a +tp5064 +a(g72 +VSRC +p5065 +tp5066 +a(g17 +V +tp5067 +a(g216 +V: +tp5068 +a(g17 +V +tp5069 +a(g240 +V'src' +p5070 +tp5071 +a(g17 +V +tp5072 +a(g240 +V' ' +p5073 +tp5074 +a(g17 +V +tp5075 +a(g100 +Vfile +p5076 +tp5077 +a(g357 +V= +tp5078 +a(g60 +VACTION_STRING_LITERAL +p5079 +tp5080 +a(g17 +V +tp5081 +a(g240 +V' ' +p5082 +tp5083 +a(g17 +V +tp5084 +a(g100 +Vline +p5085 +tp5086 +a(g357 +V= +tp5087 +a(g60 +VINT +p5088 +tp5089 +a(g17 +V +tp5090 +a(g216 +V{ +tp5091 +a(g100 +V$channel +p5092 +tp5093 +a(g243 +V=HIDDEN; +p5094 +tp5095 +a(g216 +V} +tp5096 +a(g17 +V\u000a +p5097 +tp5098 +a(g216 +V; +tp5099 +a(g17 +V\u000a\u000a +p5100 +tp5101 +a(g72 +VWS +p5102 +tp5103 +a(g17 +V +tp5104 +a(g216 +V: +tp5105 +a(g17 +V +tp5106 +a(g357 +V( +tp5107 +a(g17 +V +tp5108 +a(g240 +V' ' +p5109 +tp5110 +a(g17 +V\u000a +p5111 +tp5112 +a(g357 +V| +tp5113 +a(g17 +V +tp5114 +a(g240 +V'\u005ct' +p5115 +tp5116 +a(g17 +V\u000a +p5117 +tp5118 +a(g357 +V| +tp5119 +a(g17 +V +tp5120 +a(g240 +V'\u005cr' +p5121 +tp5122 +a(g357 +V? +tp5123 +a(g17 +V +tp5124 +a(g240 +V'\u005cn' +p5125 +tp5126 +a(g17 +V\u000a +p5127 +tp5128 +a(g357 +V) +tp5129 +a(g357 +V+ +tp5130 +a(g17 +V\u000a +p5131 +tp5132 +a(g216 +V{ +tp5133 +a(g100 +V$channel +p5134 +tp5135 +a(g243 +V=HIDDEN; +p5136 +tp5137 +a(g216 +V} +tp5138 +a(g17 +V\u000a +p5139 +tp5140 +a(g216 +V; +tp5141 +a(g17 +V\u000a\u000a +p5142 +tp5143 +a(g138 +Vfragment +p5144 +tp5145 +a(g17 +V\u000a +tp5146 +a(g72 +VWS_LOOP +p5147 +tp5148 +a(g17 +V\u000a +p5149 +tp5150 +a(g216 +V: +tp5151 +a(g17 +V +tp5152 +a(g357 +V( +tp5153 +a(g17 +V +tp5154 +a(g60 +VWS +p5155 +tp5156 +a(g17 +V\u000a +p5157 +tp5158 +a(g357 +V| +tp5159 +a(g17 +V +tp5160 +a(g60 +VSL_COMMENT +p5161 +tp5162 +a(g17 +V\u000a +p5163 +tp5164 +a(g357 +V| +tp5165 +a(g17 +V +tp5166 +a(g60 +VML_COMMENT +p5167 +tp5168 +a(g17 +V\u000a +p5169 +tp5170 +a(g357 +V) +tp5171 +a(g357 +V* +tp5172 +a(g17 +V\u000a +p5173 +tp5174 +a(g216 +V{ +tp5175 +a(g100 +V$channel +p5176 +tp5177 +a(g243 +V=HIDDEN; +p5178 +tp5179 +a(g216 +V} +tp5180 +a(g17 +V\u000a +p5181 +tp5182 +a(g216 +V; +tp5183 +a(g17 +V\u000a +tp5184 +a(g243 +V +tp5185 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/AlternatingGroup.mu b/tests/examplefiles/output/AlternatingGroup.mu new file mode 100644 index 0000000..ac3685f --- /dev/null +++ b/tests/examplefiles/output/AlternatingGroup.mu @@ -0,0 +1,6270 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsS'Preproc' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag355 +ag358 +ag7 +atRp366 +sg362 +g363 +sbsbV/* +p367 +tp368 +a(g7 +V+ +tp369 +a(g7 +V+ +tp370 +a(g7 +V +tp371 +a(g7 +V$ +tp372 +a(g7 +VI +tp373 +a(g7 +Vd +tp374 +a(g7 +V: +tp375 +a(g7 +V +tp376 +a(g7 +VA +tp377 +a(g7 +Vl +tp378 +a(g7 +Vt +tp379 +a(g7 +Ve +tp380 +a(g7 +Vr +tp381 +a(g7 +Vn +tp382 +a(g7 +Va +tp383 +a(g7 +Vt +tp384 +a(g7 +Vi +tp385 +a(g7 +Vn +tp386 +a(g7 +Vg +tp387 +a(g7 +VG +tp388 +a(g7 +Vr +tp389 +a(g7 +Vo +tp390 +a(g7 +Vu +tp391 +a(g7 +Vp +tp392 +a(g7 +V. +tp393 +a(g7 +Vm +tp394 +a(g7 +Vu +tp395 +a(g7 +V, +tp396 +a(g7 +Vv +tp397 +a(g7 +V +tp398 +a(g7 +V1 +tp399 +a(g7 +V. +tp400 +a(g7 +V4 +tp401 +a(g7 +V +tp402 +a(g7 +V2 +tp403 +a(g7 +V0 +tp404 +a(g7 +V0 +tp405 +a(g7 +V3 +tp406 +a(g7 +V/ +tp407 +a(g7 +V0 +tp408 +a(g7 +V9 +tp409 +a(g7 +V/ +tp410 +a(g7 +V0 +tp411 +a(g7 +V8 +tp412 +a(g7 +V +tp413 +a(g7 +V1 +tp414 +a(g7 +V5 +tp415 +a(g7 +V: +tp416 +a(g7 +V0 +tp417 +a(g7 +V0 +tp418 +a(g7 +V: +tp419 +a(g7 +V4 +tp420 +a(g7 +V7 +tp421 +a(g7 +V +tp422 +a(g7 +Vn +tp423 +a(g7 +Vt +tp424 +a(g7 +Vh +tp425 +a(g7 +Vi +tp426 +a(g7 +Ve +tp427 +a(g7 +Vr +tp428 +a(g7 +Vy +tp429 +a(g7 +V +tp430 +a(g7 +VE +tp431 +a(g7 +Vx +tp432 +a(g7 +Vp +tp433 +a(g7 +V +tp434 +a(g7 +V$ +tp435 +a(g7 +V\u000a +tp436 +a(g7 +V\u000a +tp437 +a(g7 +VD +tp438 +a(g7 +Vo +tp439 +a(g7 +Vm +tp440 +a(g7 +V: +tp441 +a(g7 +V: +tp442 +a(g7 +VA +tp443 +a(g7 +Vl +tp444 +a(g7 +Vt +tp445 +a(g7 +Ve +tp446 +a(g7 +Vr +tp447 +a(g7 +Vn +tp448 +a(g7 +Va +tp449 +a(g7 +Vt +tp450 +a(g7 +Vi +tp451 +a(g7 +Vn +tp452 +a(g7 +Vg +tp453 +a(g7 +VG +tp454 +a(g7 +Vr +tp455 +a(g7 +Vo +tp456 +a(g7 +Vu +tp457 +a(g7 +Vp +tp458 +a(g7 +V( +tp459 +a(g7 +Vn +tp460 +a(g7 +V) +tp461 +a(g7 +V +tp462 +a(g7 +V- +tp463 +a(g7 +V- +tp464 +a(g7 +V +tp465 +a(g7 +Vt +tp466 +a(g7 +Vh +tp467 +a(g7 +Ve +tp468 +a(g7 +V +tp469 +a(g7 +VA +tp470 +a(g7 +Vl +tp471 +a(g7 +Vt +tp472 +a(g7 +Ve +tp473 +a(g7 +Vr +tp474 +a(g7 +Vn +tp475 +a(g7 +Va +tp476 +a(g7 +Vt +tp477 +a(g7 +Vi +tp478 +a(g7 +Vn +tp479 +a(g7 +Vg +tp480 +a(g7 +V +tp481 +a(g7 +VG +tp482 +a(g7 +Vr +tp483 +a(g7 +Vo +tp484 +a(g7 +Vu +tp485 +a(g7 +Vp +tp486 +a(g7 +V +tp487 +a(g7 +Vo +tp488 +a(g7 +Vf +tp489 +a(g7 +V +tp490 +a(g7 +V{ +tp491 +a(g7 +V1 +tp492 +a(g7 +V. +tp493 +a(g7 +V. +tp494 +a(g7 +Vn +tp495 +a(g7 +V} +tp496 +a(g7 +V\u000a +tp497 +a(g7 +V\u000a +tp498 +a(g7 +Vn +tp499 +a(g7 +V +tp500 +a(g7 +V +tp501 +a(g7 +V +tp502 +a(g7 +V +tp503 +a(g7 +V- +tp504 +a(g7 +V +tp505 +a(g7 +Vi +tp506 +a(g7 +Vn +tp507 +a(g7 +Vt +tp508 +a(g7 +Ve +tp509 +a(g7 +Vg +tp510 +a(g7 +Ve +tp511 +a(g7 +Vr +tp512 +a(g7 +V +tp513 +a(g7 +V> +tp514 +a(g7 +V= +tp515 +a(g7 +V +tp516 +a(g7 +V1 +tp517 +a(g7 +V\u000a +tp518 +a(g7 +V\u000a +tp519 +a(g7 +VE +tp520 +a(g7 +Vl +tp521 +a(g7 +Ve +tp522 +a(g7 +Vm +tp523 +a(g7 +Ve +tp524 +a(g7 +Vn +tp525 +a(g7 +Vt +tp526 +a(g7 +Vs +tp527 +a(g7 +V +tp528 +a(g7 +Va +tp529 +a(g7 +Vr +tp530 +a(g7 +Ve +tp531 +a(g7 +V +tp532 +a(g7 +Vr +tp533 +a(g7 +Ve +tp534 +a(g7 +Vp +tp535 +a(g7 +Vr +tp536 +a(g7 +Ve +tp537 +a(g7 +Vs +tp538 +a(g7 +Ve +tp539 +a(g7 +Vn +tp540 +a(g7 +Vt +tp541 +a(g7 +Ve +tp542 +a(g7 +Vd +tp543 +a(g7 +V +tp544 +a(g7 +Va +tp545 +a(g7 +Vs +tp546 +a(g7 +V +tp547 +a(g7 +Vi +tp548 +a(g7 +Vn +tp549 +a(g7 +V +tp550 +a(g7 +VD +tp551 +a(g7 +Vo +tp552 +a(g7 +Vm +tp553 +a(g7 +V: +tp554 +a(g7 +V: +tp555 +a(g7 +VP +tp556 +a(g7 +Ve +tp557 +a(g7 +Vr +tp558 +a(g7 +Vm +tp559 +a(g7 +Vu +tp560 +a(g7 +Vt +tp561 +a(g7 +Va +tp562 +a(g7 +Vt +tp563 +a(g7 +Vi +tp564 +a(g7 +Vo +tp565 +a(g7 +Vn +tp566 +a(g7 +VG +tp567 +a(g7 +Vr +tp568 +a(g7 +Vo +tp569 +a(g7 +Vu +tp570 +a(g7 +Vp +tp571 +a(g7 +V( +tp572 +a(g7 +Vn +tp573 +a(g7 +V) +tp574 +a(g7 +V\u000a +tp575 +a(g7 +V\u000a +tp576 +a(g7 +VA +tp577 +a(g7 +Vu +tp578 +a(g7 +Vt +tp579 +a(g7 +Vh +tp580 +a(g7 +Vo +tp581 +a(g7 +Vr +tp582 +a(g7 +V: +tp583 +a(g7 +V +tp584 +a(g7 +V +tp585 +a(g7 +V +tp586 +a(g7 +V +tp587 +a(g7 +V +tp588 +a(g7 +V +tp589 +a(g7 +VN +tp590 +a(g7 +Vi +tp591 +a(g7 +Vc +tp592 +a(g7 +Vo +tp593 +a(g7 +Vl +tp594 +a(g7 +Va +tp595 +a(g7 +Vs +tp596 +a(g7 +V +tp597 +a(g7 +VM +tp598 +a(g7 +V. +tp599 +a(g7 +V +tp600 +a(g7 +VT +tp601 +a(g7 +Vh +tp602 +a(g7 +Vi +tp603 +a(g7 +Vé +tp604 +a(g7 +Vr +tp605 +a(g7 +Vy +tp606 +a(g7 +V +tp607 +a(g7 +V< +tp608 +a(g7 +Vn +tp609 +a(g7 +Vt +tp610 +a(g7 +Vh +tp611 +a(g7 +Vi +tp612 +a(g7 +Ve +tp613 +a(g7 +Vr +tp614 +a(g7 +Vy +tp615 +a(g7 +V@ +tp616 +a(g7 +Vu +tp617 +a(g7 +Vs +tp618 +a(g7 +Ve +tp619 +a(g7 +Vr +tp620 +a(g7 +Vs +tp621 +a(g7 +V. +tp622 +a(g7 +Vs +tp623 +a(g7 +Vo +tp624 +a(g7 +Vu +tp625 +a(g7 +Vr +tp626 +a(g7 +Vc +tp627 +a(g7 +Ve +tp628 +a(g7 +Vf +tp629 +a(g7 +Vo +tp630 +a(g7 +Vr +tp631 +a(g7 +Vg +tp632 +a(g7 +Ve +tp633 +a(g7 +V. +tp634 +a(g7 +Vn +tp635 +a(g7 +Ve +tp636 +a(g7 +Vt +tp637 +a(g7 +V> +tp638 +a(g7 +V\u000a +tp639 +a(g7 +VL +tp640 +a(g7 +Vi +tp641 +a(g7 +Vc +tp642 +a(g7 +Ve +tp643 +a(g7 +Vn +tp644 +a(g7 +Vs +tp645 +a(g7 +Ve +tp646 +a(g7 +V: +tp647 +a(g7 +V +tp648 +a(g7 +V +tp649 +a(g7 +V +tp650 +a(g7 +V +tp651 +a(g7 +V +tp652 +a(g7 +VL +tp653 +a(g7 +VG +tp654 +a(g7 +VP +tp655 +a(g7 +VL +tp656 +a(g7 +V\u000a +tp657 +a(g7 +VC +tp658 +a(g7 +Vr +tp659 +a(g7 +Ve +tp660 +a(g7 +Va +tp661 +a(g7 +Vt +tp662 +a(g7 +Ve +tp663 +a(g7 +Vd +tp664 +a(g7 +V: +tp665 +a(g7 +V +tp666 +a(g7 +V +tp667 +a(g7 +V +tp668 +a(g7 +V +tp669 +a(g7 +V +tp670 +a(g7 +VA +tp671 +a(g7 +Vu +tp672 +a(g7 +Vg +tp673 +a(g7 +Vu +tp674 +a(g7 +Vs +tp675 +a(g7 +Vt +tp676 +a(g7 +V +tp677 +a(g7 +V8 +tp678 +a(g7 +Vt +tp679 +a(g7 +Vh +tp680 +a(g7 +V, +tp681 +a(g7 +V +tp682 +a(g7 +V1 +tp683 +a(g7 +V9 +tp684 +a(g7 +V9 +tp685 +a(g7 +V9 +tp686 +a(g7 +V\u000a +tp687 +a(g7 +VL +tp688 +a(g7 +Va +tp689 +a(g7 +Vs +tp690 +a(g7 +Vt +tp691 +a(g7 +V +tp692 +a(g7 +Vu +tp693 +a(g7 +Vp +tp694 +a(g7 +Vd +tp695 +a(g7 +Va +tp696 +a(g7 +Vt +tp697 +a(g7 +Ve +tp698 +a(g7 +V: +tp699 +a(g7 +V +tp700 +a(g7 +V$ +tp701 +a(g7 +VD +tp702 +a(g7 +Va +tp703 +a(g7 +Vt +tp704 +a(g7 +Ve +tp705 +a(g7 +V: +tp706 +a(g7 +V +tp707 +a(g7 +V2 +tp708 +a(g7 +V0 +tp709 +a(g7 +V0 +tp710 +a(g7 +V3 +tp711 +a(g7 +V/ +tp712 +a(g7 +V0 +tp713 +a(g7 +V9 +tp714 +a(g7 +V/ +tp715 +a(g7 +V0 +tp716 +a(g7 +V8 +tp717 +a(g7 +V +tp718 +a(g7 +V1 +tp719 +a(g7 +V5 +tp720 +a(g7 +V: +tp721 +a(g7 +V0 +tp722 +a(g7 +V0 +tp723 +a(g7 +V: +tp724 +a(g7 +V4 +tp725 +a(g7 +V7 +tp726 +a(g7 +V +tp727 +a(g7 +V$ +tp728 +a(g7 +V\u000a +tp729 +a(g7 +V+ +tp730 +a(g7 +V+ +tp731 +a(g7 +V*/ +p732 +tp733 +a(g189 +V\u000a +tp734 +a(g189 +V\u000a +tp735 +a(g111 +Vdomain +p736 +tp737 +a(g189 +V +tp738 +a(g21 +VDom::AlternatingGroup +p739 +tp740 +a(g202 +V( +tp741 +a(g73 +Vn +tp742 +a(g344 +V: +tp743 +a(g189 +V +tp744 +a(g73 +VType::PosInt +p745 +tp746 +a(g202 +V) +tp747 +a(g189 +V\u000a +tp748 +a(g189 +V +tp749 +a(g189 +V +tp750 +a(g189 +V +tp751 +a(g189 +V +tp752 +a(g111 +Vinherits +p753 +tp754 +a(g189 +V +tp755 +a(g21 +VDom::PermutationGroup +p756 +tp757 +a(g202 +V( +tp758 +a(g73 +Vn +tp759 +a(g344 +V, +tp760 +a(g73 +VtoBeDefined +p761 +tp762 +a(g202 +V) +tp763 +a(g344 +V; +tp764 +a(g189 +V\u000a +tp765 +a(g189 +V +tp766 +a(g189 +V +tp767 +a(g189 +V +tp768 +a(g189 +V +tp769 +a(g111 +Vcategory +p770 +tp771 +a(g189 +V +tp772 +a(g73 +VCat::PermutationGroup +p773 +tp774 +a(g344 +V; +tp775 +a(g189 +V\u000a +tp776 +a(g189 +V +tp777 +a(g189 +V +tp778 +a(g189 +V +tp779 +a(g189 +V +tp780 +a(g111 +Vaxiom +p781 +tp782 +a(g189 +V +tp783 +a(g73 +VAx::canonicalRep +p784 +tp785 +a(g344 +V; +tp786 +a(g189 +V\u000a +tp787 +a(g189 +V\u000a +tp788 +a(g7 +V/* +p789 +tp790 +a(g7 +V- +tp791 +a(g7 +V- +tp792 +a(g7 +V\u000a +tp793 +a(g7 +V +tp794 +a(g7 +V +tp795 +a(g7 +V +tp796 +a(g7 +V +tp797 +a(g7 +Vs +tp798 +a(g7 +Vi +tp799 +a(g7 +Vz +tp800 +a(g7 +Ve +tp801 +a(g7 +V\u000a +tp802 +a(g7 +V\u000a +tp803 +a(g7 +V +tp804 +a(g7 +V +tp805 +a(g7 +V +tp806 +a(g7 +V +tp807 +a(g7 +VS +tp808 +a(g7 +Vi +tp809 +a(g7 +Vz +tp810 +a(g7 +Ve +tp811 +a(g7 +V +tp812 +a(g7 +Vo +tp813 +a(g7 +Vf +tp814 +a(g7 +V +tp815 +a(g7 +Vt +tp816 +a(g7 +Vh +tp817 +a(g7 +Ve +tp818 +a(g7 +V +tp819 +a(g7 +Vg +tp820 +a(g7 +Vr +tp821 +a(g7 +Vo +tp822 +a(g7 +Vu +tp823 +a(g7 +Vp +tp824 +a(g7 +V. +tp825 +a(g7 +V\u000a +tp826 +a(g7 +V- +tp827 +a(g7 +V- +tp828 +a(g7 +V*/ +p829 +tp830 +a(g189 +V\u000a +tp831 +a(g189 +V\u000a +tp832 +a(g189 +V +tp833 +a(g189 +V +tp834 +a(g189 +V +tp835 +a(g189 +V +tp836 +a(g73 +Vsize +p837 +tp838 +a(g189 +V +tp839 +a(g344 +V: +tp840 +a(g344 +V= +tp841 +a(g189 +V +tp842 +a(g21 +Vfact +p843 +tp844 +a(g202 +V( +tp845 +a(g73 +Vn +tp846 +a(g202 +V) +tp847 +a(g344 +V/ +tp848 +a(g213 +V2 +tp849 +a(g344 +V; +tp850 +a(g189 +V\u000a +tp851 +a(g189 +V\u000a +tp852 +a(g7 +V/* +p853 +tp854 +a(g7 +V- +tp855 +a(g7 +V- +tp856 +a(g7 +V\u000a +tp857 +a(g7 +V +tp858 +a(g7 +V +tp859 +a(g7 +V +tp860 +a(g7 +V +tp861 +a(g7 +Vg +tp862 +a(g7 +Ve +tp863 +a(g7 +Vn +tp864 +a(g7 +Ve +tp865 +a(g7 +Vr +tp866 +a(g7 +Va +tp867 +a(g7 +Vt +tp868 +a(g7 +Vo +tp869 +a(g7 +Vr +tp870 +a(g7 +Vs +tp871 +a(g7 +V\u000a +tp872 +a(g7 +V\u000a +tp873 +a(g7 +V +tp874 +a(g7 +V +tp875 +a(g7 +V +tp876 +a(g7 +V +tp877 +a(g7 +VA +tp878 +a(g7 +V +tp879 +a(g7 +Vl +tp880 +a(g7 +Vi +tp881 +a(g7 +Vs +tp882 +a(g7 +Vt +tp883 +a(g7 +V +tp884 +a(g7 +Vo +tp885 +a(g7 +Vf +tp886 +a(g7 +V +tp887 +a(g7 +Vg +tp888 +a(g7 +Ve +tp889 +a(g7 +Vn +tp890 +a(g7 +Ve +tp891 +a(g7 +Vr +tp892 +a(g7 +Va +tp893 +a(g7 +Vt +tp894 +a(g7 +Vo +tp895 +a(g7 +Vr +tp896 +a(g7 +Vs +tp897 +a(g7 +V +tp898 +a(g7 +Vo +tp899 +a(g7 +Vf +tp900 +a(g7 +V +tp901 +a(g7 +Vt +tp902 +a(g7 +Vh +tp903 +a(g7 +Ve +tp904 +a(g7 +V +tp905 +a(g7 +Vg +tp906 +a(g7 +Vr +tp907 +a(g7 +Vo +tp908 +a(g7 +Vu +tp909 +a(g7 +Vp +tp910 +a(g7 +V\u000a +tp911 +a(g7 +V\u000a +tp912 +a(g7 +V +tp913 +a(g7 +V +tp914 +a(g7 +V +tp915 +a(g7 +V +tp916 +a(g7 +VT +tp917 +a(g7 +Vh +tp918 +a(g7 +Ve +tp919 +a(g7 +V +tp920 +a(g7 +Vf +tp921 +a(g7 +Vi +tp922 +a(g7 +Vr +tp923 +a(g7 +Vs +tp924 +a(g7 +Vt +tp925 +a(g7 +V +tp926 +a(g7 +V3 +tp927 +a(g7 +V- +tp928 +a(g7 +Vc +tp929 +a(g7 +Vy +tp930 +a(g7 +Vc +tp931 +a(g7 +Vl +tp932 +a(g7 +Ve +tp933 +a(g7 +V +tp934 +a(g7 +V( +tp935 +a(g7 +V1 +tp936 +a(g7 +V, +tp937 +a(g7 +V2 +tp938 +a(g7 +V, +tp939 +a(g7 +V3 +tp940 +a(g7 +V) +tp941 +a(g7 +V, +tp942 +a(g7 +V +tp943 +a(g7 +Va +tp944 +a(g7 +Vn +tp945 +a(g7 +Vd +tp946 +a(g7 +V +tp947 +a(g7 +Va +tp948 +a(g7 +V +tp949 +a(g7 +Vm +tp950 +a(g7 +Va +tp951 +a(g7 +Vx +tp952 +a(g7 +Vi +tp953 +a(g7 +Vm +tp954 +a(g7 +Va +tp955 +a(g7 +Vl +tp956 +a(g7 +V +tp957 +a(g7 +Ve +tp958 +a(g7 +Vv +tp959 +a(g7 +Ve +tp960 +a(g7 +Vn +tp961 +a(g7 +V +tp962 +a(g7 +Vc +tp963 +a(g7 +Vy +tp964 +a(g7 +Vc +tp965 +a(g7 +Vl +tp966 +a(g7 +Ve +tp967 +a(g7 +V +tp968 +a(g7 +V( +tp969 +a(g7 +V1 +tp970 +a(g7 +V, +tp971 +a(g7 +V. +tp972 +a(g7 +V. +tp973 +a(g7 +V. +tp974 +a(g7 +V, +tp975 +a(g7 +Vn +tp976 +a(g7 +V) +tp977 +a(g7 +V +tp978 +a(g7 +Vo +tp979 +a(g7 +Vr +tp980 +a(g7 +V\u000a +tp981 +a(g7 +V +tp982 +a(g7 +V +tp983 +a(g7 +V +tp984 +a(g7 +V +tp985 +a(g7 +V( +tp986 +a(g7 +V2 +tp987 +a(g7 +V, +tp988 +a(g7 +V. +tp989 +a(g7 +V. +tp990 +a(g7 +V. +tp991 +a(g7 +V, +tp992 +a(g7 +Vn +tp993 +a(g7 +V) +tp994 +a(g7 +V +tp995 +a(g7 +Vd +tp996 +a(g7 +Ve +tp997 +a(g7 +Vp +tp998 +a(g7 +Ve +tp999 +a(g7 +Vn +tp1000 +a(g7 +Vd +tp1001 +a(g7 +Vi +tp1002 +a(g7 +Vn +tp1003 +a(g7 +Vg +tp1004 +a(g7 +V +tp1005 +a(g7 +Vo +tp1006 +a(g7 +Vn +tp1007 +a(g7 +V +tp1008 +a(g7 +Vt +tp1009 +a(g7 +Vh +tp1010 +a(g7 +Ve +tp1011 +a(g7 +V +tp1012 +a(g7 +Vp +tp1013 +a(g7 +Va +tp1014 +a(g7 +Vr +tp1015 +a(g7 +Vi +tp1016 +a(g7 +Vt +tp1017 +a(g7 +Vy +tp1018 +a(g7 +V +tp1019 +a(g7 +Vo +tp1020 +a(g7 +Vf +tp1021 +a(g7 +V +tp1022 +a(g7 +Vn +tp1023 +a(g7 +V\u000a +tp1024 +a(g7 +V\u000a +tp1025 +a(g7 +V- +tp1026 +a(g7 +V- +tp1027 +a(g7 +V*/ +p1028 +tp1029 +a(g189 +V\u000a +tp1030 +a(g189 +V\u000a +tp1031 +a(g189 +V +tp1032 +a(g189 +V +tp1033 +a(g189 +V +tp1034 +a(g189 +V +tp1035 +a(g73 +Vgenerators +p1036 +tp1037 +a(g189 +V +tp1038 +a(g344 +V: +tp1039 +a(g344 +V= +tp1040 +a(g189 +V\u000a +tp1041 +a(g189 +V +tp1042 +a(g189 +V +tp1043 +a(g189 +V +tp1044 +a(g189 +V +tp1045 +a(g111 +Vif +p1046 +tp1047 +a(g189 +V +tp1048 +a(g189 +V +tp1049 +a(g73 +Vn +tp1050 +a(g344 +V< +tp1051 +a(g344 +V= +tp1052 +a(g213 +V2 +tp1053 +a(g189 +V +tp1054 +a(g189 +V +tp1055 +a(g189 +V +tp1056 +a(g189 +V +tp1057 +a(g189 +V +tp1058 +a(g189 +V +tp1059 +a(g111 +Vthen +p1060 +tp1061 +a(g189 +V +tp1062 +a(g73 +Vgenerators +p1063 +tp1064 +a(g344 +V: +tp1065 +a(g344 +V= +tp1066 +a(g202 +V[ +tp1067 +a(g60 +Vdom +p1068 +tp1069 +a(g202 +V( +tp1070 +a(g202 +V[ +tp1071 +a(g202 +V[ +tp1072 +a(g213 +V1 +tp1073 +a(g202 +V] +tp1074 +a(g202 +V] +tp1075 +a(g202 +V) +tp1076 +a(g202 +V] +tp1077 +a(g344 +V; +tp1078 +a(g189 +V\u000a +tp1079 +a(g189 +V +tp1080 +a(g189 +V +tp1081 +a(g189 +V +tp1082 +a(g189 +V +tp1083 +a(g111 +Velif +p1084 +tp1085 +a(g189 +V +tp1086 +a(g73 +Vn +tp1087 +a(g344 +V= +tp1088 +a(g213 +V3 +tp1089 +a(g189 +V +tp1090 +a(g189 +V +tp1091 +a(g189 +V +tp1092 +a(g189 +V +tp1093 +a(g189 +V +tp1094 +a(g189 +V +tp1095 +a(g111 +Vthen +p1096 +tp1097 +a(g189 +V +tp1098 +a(g73 +Vgenerators +p1099 +tp1100 +a(g344 +V: +tp1101 +a(g344 +V= +tp1102 +a(g202 +V[ +tp1103 +a(g60 +Vdom +p1104 +tp1105 +a(g202 +V( +tp1106 +a(g202 +V[ +tp1107 +a(g202 +V[ +tp1108 +a(g213 +V1 +tp1109 +a(g344 +V, +tp1110 +a(g213 +V2 +tp1111 +a(g344 +V, +tp1112 +a(g213 +V3 +tp1113 +a(g202 +V] +tp1114 +a(g202 +V] +tp1115 +a(g202 +V) +tp1116 +a(g202 +V] +tp1117 +a(g344 +V; +tp1118 +a(g189 +V\u000a +tp1119 +a(g189 +V +tp1120 +a(g189 +V +tp1121 +a(g189 +V +tp1122 +a(g189 +V +tp1123 +a(g111 +Velif +p1124 +tp1125 +a(g189 +V +tp1126 +a(g73 +Vn +tp1127 +a(g189 +V +tp1128 +a(g348 +Vmod +p1129 +tp1130 +a(g189 +V +tp1131 +a(g213 +V2 +tp1132 +a(g344 +V= +tp1133 +a(g213 +V0 +tp1134 +a(g189 +V +tp1135 +a(g189 +V +tp1136 +a(g189 +V +tp1137 +a(g111 +Vthen +p1138 +tp1139 +a(g189 +V +tp1140 +a(g73 +Vgenerators +p1141 +tp1142 +a(g344 +V: +tp1143 +a(g344 +V= +tp1144 +a(g202 +V[ +tp1145 +a(g60 +Vdom +p1146 +tp1147 +a(g202 +V( +tp1148 +a(g202 +V[ +tp1149 +a(g202 +V[ +tp1150 +a(g213 +V1 +tp1151 +a(g344 +V, +tp1152 +a(g213 +V2 +tp1153 +a(g344 +V, +tp1154 +a(g213 +V3 +tp1155 +a(g202 +V] +tp1156 +a(g202 +V] +tp1157 +a(g202 +V) +tp1158 +a(g344 +V, +tp1159 +a(g189 +V +tp1160 +a(g60 +Vdom +p1161 +tp1162 +a(g202 +V( +tp1163 +a(g202 +V[ +tp1164 +a(g202 +V[ +tp1165 +a(g344 +V$ +tp1166 +a(g213 +V2. +p1167 +tp1168 +a(g344 +V. +tp1169 +a(g73 +Vn +tp1170 +a(g202 +V] +tp1171 +a(g202 +V] +tp1172 +a(g202 +V) +tp1173 +a(g202 +V] +tp1174 +a(g344 +V; +tp1175 +a(g189 +V\u000a +tp1176 +a(g189 +V +tp1177 +a(g189 +V +tp1178 +a(g189 +V +tp1179 +a(g189 +V +tp1180 +a(g111 +Velse +p1181 +tp1182 +a(g189 +V +tp1183 +a(g189 +V +tp1184 +a(g189 +V +tp1185 +a(g189 +V +tp1186 +a(g73 +Vgenerators +p1187 +tp1188 +a(g344 +V: +tp1189 +a(g344 +V= +tp1190 +a(g202 +V[ +tp1191 +a(g60 +Vdom +p1192 +tp1193 +a(g202 +V( +tp1194 +a(g202 +V[ +tp1195 +a(g202 +V[ +tp1196 +a(g213 +V1 +tp1197 +a(g344 +V, +tp1198 +a(g213 +V2 +tp1199 +a(g344 +V, +tp1200 +a(g213 +V3 +tp1201 +a(g202 +V] +tp1202 +a(g202 +V] +tp1203 +a(g202 +V) +tp1204 +a(g344 +V, +tp1205 +a(g189 +V +tp1206 +a(g60 +Vdom +p1207 +tp1208 +a(g202 +V( +tp1209 +a(g202 +V[ +tp1210 +a(g202 +V[ +tp1211 +a(g344 +V$ +tp1212 +a(g213 +V1. +p1213 +tp1214 +a(g344 +V. +tp1215 +a(g73 +Vn +tp1216 +a(g202 +V] +tp1217 +a(g202 +V] +tp1218 +a(g202 +V) +tp1219 +a(g202 +V] +tp1220 +a(g344 +V; +tp1221 +a(g189 +V\u000a +tp1222 +a(g189 +V +tp1223 +a(g189 +V +tp1224 +a(g189 +V +tp1225 +a(g189 +V +tp1226 +a(g111 +Vend_if +p1227 +tp1228 +a(g344 +V; +tp1229 +a(g189 +V\u000a +tp1230 +a(g189 +V +tp1231 +a(g189 +V +tp1232 +a(g189 +V +tp1233 +a(g189 +V +tp1234 +a(g189 +V\u000a +tp1235 +a(g7 +V/* +p1236 +tp1237 +a(g7 +V- +tp1238 +a(g7 +V- +tp1239 +a(g7 +V\u000a +tp1240 +a(g7 +V +tp1241 +a(g7 +V +tp1242 +a(g7 +V +tp1243 +a(g7 +V +tp1244 +a(g7 +Va +tp1245 +a(g7 +Vl +tp1246 +a(g7 +Vl +tp1247 +a(g7 +VE +tp1248 +a(g7 +Vl +tp1249 +a(g7 +Ve +tp1250 +a(g7 +Vm +tp1251 +a(g7 +Ve +tp1252 +a(g7 +Vn +tp1253 +a(g7 +Vt +tp1254 +a(g7 +Vs +tp1255 +a(g7 +V\u000a +tp1256 +a(g7 +V\u000a +tp1257 +a(g7 +V +tp1258 +a(g7 +V +tp1259 +a(g7 +V +tp1260 +a(g7 +V +tp1261 +a(g7 +VL +tp1262 +a(g7 +Vi +tp1263 +a(g7 +Vs +tp1264 +a(g7 +Vt +tp1265 +a(g7 +V +tp1266 +a(g7 +Vo +tp1267 +a(g7 +Vf +tp1268 +a(g7 +V +tp1269 +a(g7 +Va +tp1270 +a(g7 +Vl +tp1271 +a(g7 +Vl +tp1272 +a(g7 +V +tp1273 +a(g7 +Vt +tp1274 +a(g7 +Vh +tp1275 +a(g7 +Ve +tp1276 +a(g7 +V +tp1277 +a(g7 +Ve +tp1278 +a(g7 +Vl +tp1279 +a(g7 +Ve +tp1280 +a(g7 +Vm +tp1281 +a(g7 +Ve +tp1282 +a(g7 +Vn +tp1283 +a(g7 +Vt +tp1284 +a(g7 +Vs +tp1285 +a(g7 +V +tp1286 +a(g7 +Vo +tp1287 +a(g7 +Vf +tp1288 +a(g7 +V +tp1289 +a(g7 +Vt +tp1290 +a(g7 +Vh +tp1291 +a(g7 +Ve +tp1292 +a(g7 +V +tp1293 +a(g7 +Vg +tp1294 +a(g7 +Vr +tp1295 +a(g7 +Vo +tp1296 +a(g7 +Vu +tp1297 +a(g7 +Vp +tp1298 +a(g7 +V\u000a +tp1299 +a(g7 +V- +tp1300 +a(g7 +V- +tp1301 +a(g7 +V*/ +p1302 +tp1303 +a(g189 +V\u000a +tp1304 +a(g189 +V\u000a +tp1305 +a(g189 +V +tp1306 +a(g189 +V +tp1307 +a(g189 +V +tp1308 +a(g189 +V +tp1309 +a(g73 +VallElements +p1310 +tp1311 +a(g189 +V +tp1312 +a(g344 +V: +tp1313 +a(g344 +V= +tp1314 +a(g189 +V\u000a +tp1315 +a(g189 +V +tp1316 +a(g189 +V +tp1317 +a(g189 +V +tp1318 +a(g189 +V +tp1319 +a(g111 +Vproc +p1320 +tp1321 +a(g202 +V( +tp1322 +a(g202 +V) +tp1323 +a(g189 +V\u000a +tp1324 +a(g189 +V +tp1325 +a(g111 +Voption +p1326 +tp1327 +a(g189 +V +tp1328 +a(g73 +Vremember +p1329 +tp1330 +a(g344 +V; +tp1331 +a(g189 +V\u000a +tp1332 +a(g189 +V +tp1333 +a(g111 +Vlocal +p1334 +tp1335 +a(g189 +V +tp1336 +a(g73 +Vp +tp1337 +a(g344 +V; +tp1338 +a(g189 +V\u000a +tp1339 +a(g189 +V +tp1340 +a(g189 +V +tp1341 +a(g189 +V +tp1342 +a(g189 +V +tp1343 +a(g111 +Vbegin +p1344 +tp1345 +a(g189 +V\u000a +tp1346 +a(g189 +V +tp1347 +a(g202 +V[ +tp1348 +a(g21 +Vnew +p1349 +tp1350 +a(g202 +V( +tp1351 +a(g60 +Vdom +p1352 +tp1353 +a(g344 +V, +tp1354 +a(g73 +Vp +tp1355 +a(g202 +V) +tp1356 +a(g189 +V +tp1357 +a(g344 +V$ +tp1358 +a(g189 +V +tp1359 +a(g73 +Vp +tp1360 +a(g189 +V +tp1361 +a(g348 +Vin +p1362 +tp1363 +a(g189 +V +tp1364 +a(g21 +Vselect +p1365 +tp1366 +a(g202 +V( +tp1367 +a(g21 +Vcombinat::permutations +p1368 +tp1369 +a(g202 +V( +tp1370 +a(g73 +Vn +tp1371 +a(g202 +V) +tp1372 +a(g344 +V, +tp1373 +a(g189 +V\u000a +tp1374 +a(g189 +V +tp1375 +a(g189 +V +tp1376 +a(g189 +V +tp1377 +a(g189 +V +tp1378 +a(g189 +V +tp1379 +a(g189 +V +tp1380 +a(g73 +Vp +tp1381 +a(g344 +V- +tp1382 +a(g344 +V> +tp1383 +a(g21 +Vbool +p1384 +tp1385 +a(g202 +V( +tp1386 +a(g21 +Vcombinat::permutations::sign +p1387 +tp1388 +a(g202 +V( +tp1389 +a(g73 +Vp +tp1390 +a(g202 +V) +tp1391 +a(g344 +V= +tp1392 +a(g213 +V1 +tp1393 +a(g202 +V) +tp1394 +a(g202 +V) +tp1395 +a(g202 +V] +tp1396 +a(g344 +V; +tp1397 +a(g189 +V\u000a +tp1398 +a(g189 +V +tp1399 +a(g189 +V +tp1400 +a(g189 +V +tp1401 +a(g189 +V +tp1402 +a(g111 +Vend_proc +p1403 +tp1404 +a(g344 +V; +tp1405 +a(g189 +V\u000a +tp1406 +a(g189 +V\u000a +tp1407 +a(g7 +V/* +p1408 +tp1409 +a(g7 +V- +tp1410 +a(g7 +V- +tp1411 +a(g7 +V\u000a +tp1412 +a(g7 +V +tp1413 +a(g7 +V +tp1414 +a(g7 +V +tp1415 +a(g7 +V +tp1416 +a(g7 +Vc +tp1417 +a(g7 +Vy +tp1418 +a(g7 +Vc +tp1419 +a(g7 +Vl +tp1420 +a(g7 +Ve +tp1421 +a(g7 +VT +tp1422 +a(g7 +Vy +tp1423 +a(g7 +Vp +tp1424 +a(g7 +Ve +tp1425 +a(g7 +Vs +tp1426 +a(g7 +V: +tp1427 +a(g7 +V\u000a +tp1428 +a(g7 +V\u000a +tp1429 +a(g7 +V +tp1430 +a(g7 +V +tp1431 +a(g7 +V +tp1432 +a(g7 +V +tp1433 +a(g7 +VC +tp1434 +a(g7 +Vo +tp1435 +a(g7 +Vu +tp1436 +a(g7 +Vn +tp1437 +a(g7 +Vt +tp1438 +a(g7 +V +tp1439 +a(g7 +Vt +tp1440 +a(g7 +Vh +tp1441 +a(g7 +Ve +tp1442 +a(g7 +V +tp1443 +a(g7 +Ve +tp1444 +a(g7 +Vl +tp1445 +a(g7 +Ve +tp1446 +a(g7 +Vm +tp1447 +a(g7 +Ve +tp1448 +a(g7 +Vn +tp1449 +a(g7 +Vt +tp1450 +a(g7 +Vs +tp1451 +a(g7 +V +tp1452 +a(g7 +Vo +tp1453 +a(g7 +Vf +tp1454 +a(g7 +V +tp1455 +a(g7 +Vt +tp1456 +a(g7 +Vh +tp1457 +a(g7 +Ve +tp1458 +a(g7 +V +tp1459 +a(g7 +Vg +tp1460 +a(g7 +Vr +tp1461 +a(g7 +Vo +tp1462 +a(g7 +Vu +tp1463 +a(g7 +Vp +tp1464 +a(g7 +V +tp1465 +a(g7 +Vb +tp1466 +a(g7 +Vy +tp1467 +a(g7 +V +tp1468 +a(g7 +Vc +tp1469 +a(g7 +Vy +tp1470 +a(g7 +Vc +tp1471 +a(g7 +Vl +tp1472 +a(g7 +Ve +tp1473 +a(g7 +V +tp1474 +a(g7 +Vt +tp1475 +a(g7 +Vy +tp1476 +a(g7 +Vp +tp1477 +a(g7 +Ve +tp1478 +a(g7 +V. +tp1479 +a(g7 +V\u000a +tp1480 +a(g7 +V +tp1481 +a(g7 +V +tp1482 +a(g7 +V +tp1483 +a(g7 +V +tp1484 +a(g7 +V( +tp1485 +a(g7 +VC +tp1486 +a(g7 +Vf +tp1487 +a(g7 +V +tp1488 +a(g7 +VC +tp1489 +a(g7 +Va +tp1490 +a(g7 +Vt +tp1491 +a(g7 +V: +tp1492 +a(g7 +V: +tp1493 +a(g7 +VP +tp1494 +a(g7 +Ve +tp1495 +a(g7 +Vr +tp1496 +a(g7 +Vm +tp1497 +a(g7 +Vu +tp1498 +a(g7 +Vt +tp1499 +a(g7 +Va +tp1500 +a(g7 +Vt +tp1501 +a(g7 +Vi +tp1502 +a(g7 +Vo +tp1503 +a(g7 +Vn +tp1504 +a(g7 +VG +tp1505 +a(g7 +Vr +tp1506 +a(g7 +Vo +tp1507 +a(g7 +Vu +tp1508 +a(g7 +Vp +tp1509 +a(g7 +VM +tp1510 +a(g7 +Vo +tp1511 +a(g7 +Vd +tp1512 +a(g7 +Vu +tp1513 +a(g7 +Vl +tp1514 +a(g7 +Ve +tp1515 +a(g7 +V) +tp1516 +a(g7 +V. +tp1517 +a(g7 +V\u000a +tp1518 +a(g7 +V\u000a +tp1519 +a(g7 +V +tp1520 +a(g7 +V +tp1521 +a(g7 +V +tp1522 +a(g7 +V +tp1523 +a(g7 +VS +tp1524 +a(g7 +Va +tp1525 +a(g7 +Vm +tp1526 +a(g7 +Ve +tp1527 +a(g7 +V +tp1528 +a(g7 +Va +tp1529 +a(g7 +Vl +tp1530 +a(g7 +Vg +tp1531 +a(g7 +Vo +tp1532 +a(g7 +Vr +tp1533 +a(g7 +Vi +tp1534 +a(g7 +Vt +tp1535 +a(g7 +Vh +tp1536 +a(g7 +Vm +tp1537 +a(g7 +V +tp1538 +a(g7 +Va +tp1539 +a(g7 +Vs +tp1540 +a(g7 +V +tp1541 +a(g7 +Vf +tp1542 +a(g7 +Vo +tp1543 +a(g7 +Vr +tp1544 +a(g7 +V +tp1545 +a(g7 +VD +tp1546 +a(g7 +Vo +tp1547 +a(g7 +Vm +tp1548 +a(g7 +V: +tp1549 +a(g7 +V: +tp1550 +a(g7 +VS +tp1551 +a(g7 +Vy +tp1552 +a(g7 +Vm +tp1553 +a(g7 +Vm +tp1554 +a(g7 +Ve +tp1555 +a(g7 +Vt +tp1556 +a(g7 +Vr +tp1557 +a(g7 +Vi +tp1558 +a(g7 +Vc +tp1559 +a(g7 +VG +tp1560 +a(g7 +Vr +tp1561 +a(g7 +Vo +tp1562 +a(g7 +Vu +tp1563 +a(g7 +Vp +tp1564 +a(g7 +V, +tp1565 +a(g7 +V +tp1566 +a(g7 +Vb +tp1567 +a(g7 +Vu +tp1568 +a(g7 +Vt +tp1569 +a(g7 +V +tp1570 +a(g7 +Vo +tp1571 +a(g7 +Vn +tp1572 +a(g7 +Vl +tp1573 +a(g7 +Vy +tp1574 +a(g7 +V +tp1575 +a(g7 +Ve +tp1576 +a(g7 +Vv +tp1577 +a(g7 +Ve +tp1578 +a(g7 +Vn +tp1579 +a(g7 +V +tp1580 +a(g7 +Vp +tp1581 +a(g7 +Ve +tp1582 +a(g7 +Vr +tp1583 +a(g7 +Vm +tp1584 +a(g7 +Vu +tp1585 +a(g7 +Vt +tp1586 +a(g7 +Va +tp1587 +a(g7 +Vt +tp1588 +a(g7 +Vi +tp1589 +a(g7 +Vo +tp1590 +a(g7 +Vn +tp1591 +a(g7 +Vs +tp1592 +a(g7 +V\u000a +tp1593 +a(g7 +V +tp1594 +a(g7 +V +tp1595 +a(g7 +V +tp1596 +a(g7 +V +tp1597 +a(g7 +Va +tp1598 +a(g7 +Vr +tp1599 +a(g7 +Ve +tp1600 +a(g7 +V +tp1601 +a(g7 +Vc +tp1602 +a(g7 +Vo +tp1603 +a(g7 +Vn +tp1604 +a(g7 +Vs +tp1605 +a(g7 +Vi +tp1606 +a(g7 +Vd +tp1607 +a(g7 +Ve +tp1608 +a(g7 +Vr +tp1609 +a(g7 +Ve +tp1610 +a(g7 +Vd +tp1611 +a(g7 +V. +tp1612 +a(g7 +V +tp1613 +a(g7 +VT +tp1614 +a(g7 +Vh +tp1615 +a(g7 +Vi +tp1616 +a(g7 +Vs +tp1617 +a(g7 +V +tp1618 +a(g7 +Vi +tp1619 +a(g7 +Vs +tp1620 +a(g7 +V +tp1621 +a(g7 +Vd +tp1622 +a(g7 +Vo +tp1623 +a(g7 +Vn +tp1624 +a(g7 +Ve +tp1625 +a(g7 +V +tp1626 +a(g7 +Vb +tp1627 +a(g7 +Vy +tp1628 +a(g7 +V +tp1629 +a(g7 +Vd +tp1630 +a(g7 +Vi +tp1631 +a(g7 +Vs +tp1632 +a(g7 +Vr +tp1633 +a(g7 +Ve +tp1634 +a(g7 +Vg +tp1635 +a(g7 +Va +tp1636 +a(g7 +Vr +tp1637 +a(g7 +Vd +tp1638 +a(g7 +Vi +tp1639 +a(g7 +Vn +tp1640 +a(g7 +Vg +tp1641 +a(g7 +V +tp1642 +a(g7 +Vp +tp1643 +a(g7 +Va +tp1644 +a(g7 +Vr +tp1645 +a(g7 +Vt +tp1646 +a(g7 +Vi +tp1647 +a(g7 +Vt +tp1648 +a(g7 +Vi +tp1649 +a(g7 +Vo +tp1650 +a(g7 +Vn +tp1651 +a(g7 +Vs +tp1652 +a(g7 +V +tp1653 +a(g7 +Vp +tp1654 +a(g7 +V +tp1655 +a(g7 +Vs +tp1656 +a(g7 +Vu +tp1657 +a(g7 +Vc +tp1658 +a(g7 +Vh +tp1659 +a(g7 +V\u000a +tp1660 +a(g7 +V +tp1661 +a(g7 +V +tp1662 +a(g7 +V +tp1663 +a(g7 +V +tp1664 +a(g7 +Vt +tp1665 +a(g7 +Vh +tp1666 +a(g7 +Va +tp1667 +a(g7 +Vt +tp1668 +a(g7 +V +tp1669 +a(g7 +Vn +tp1670 +a(g7 +V- +tp1671 +a(g7 +Vl +tp1672 +a(g7 +Ve +tp1673 +a(g7 +Vn +tp1674 +a(g7 +Vg +tp1675 +a(g7 +Vt +tp1676 +a(g7 +Vh +tp1677 +a(g7 +V( +tp1678 +a(g7 +Vp +tp1679 +a(g7 +V) +tp1680 +a(g7 +V +tp1681 +a(g7 +Vi +tp1682 +a(g7 +Vs +tp1683 +a(g7 +V +tp1684 +a(g7 +Vo +tp1685 +a(g7 +Vd +tp1686 +a(g7 +Vd +tp1687 +a(g7 +V. +tp1688 +a(g7 +V\u000a +tp1689 +a(g7 +V- +tp1690 +a(g7 +V- +tp1691 +a(g7 +V*/ +p1692 +tp1693 +a(g189 +V\u000a +tp1694 +a(g189 +V\u000a +tp1695 +a(g189 +V +tp1696 +a(g189 +V +tp1697 +a(g189 +V +tp1698 +a(g189 +V +tp1699 +a(g73 +VcycleTypes +p1700 +tp1701 +a(g189 +V +tp1702 +a(g344 +V: +tp1703 +a(g344 +V= +tp1704 +a(g189 +V\u000a +tp1705 +a(g189 +V +tp1706 +a(g189 +V +tp1707 +a(g189 +V +tp1708 +a(g189 +V +tp1709 +a(g111 +Vproc +p1710 +tp1711 +a(g202 +V( +tp1712 +a(g202 +V) +tp1713 +a(g189 +V\u000a +tp1714 +a(g189 +V +tp1715 +a(g111 +Voption +p1716 +tp1717 +a(g189 +V +tp1718 +a(g73 +Vremember +p1719 +tp1720 +a(g344 +V; +tp1721 +a(g189 +V\u000a +tp1722 +a(g189 +V +tp1723 +a(g111 +Vlocal +p1724 +tp1725 +a(g189 +V +tp1726 +a(g73 +Vt +tp1727 +a(g344 +V, +tp1728 +a(g189 +V +tp1729 +a(g73 +Vp +tp1730 +a(g344 +V, +tp1731 +a(g189 +V +tp1732 +a(g73 +Vgen +p1733 +tp1734 +a(g344 +V; +tp1735 +a(g189 +V\u000a +tp1736 +a(g189 +V +tp1737 +a(g189 +V +tp1738 +a(g189 +V +tp1739 +a(g189 +V +tp1740 +a(g111 +Vbegin +p1741 +tp1742 +a(g189 +V\u000a +tp1743 +a(g189 +V +tp1744 +a(g21 +Vuserinfo +p1745 +tp1746 +a(g202 +V( +tp1747 +a(g213 +V3 +tp1748 +a(g344 +V, +tp1749 +a(g189 +V +tp1750 +a(g226 +V"cycleTypes: starting computation" +p1751 +tp1752 +a(g202 +V) +tp1753 +a(g344 +V; +tp1754 +a(g189 +V\u000a +tp1755 +a(g189 +V +tp1756 +a(g73 +Vt +tp1757 +a(g344 +V: +tp1758 +a(g344 +V= +tp1759 +a(g21 +Vtable +p1760 +tp1761 +a(g202 +V( +tp1762 +a(g202 +V) +tp1763 +a(g344 +V; +tp1764 +a(g189 +V\u000a +tp1765 +a(g189 +V\u000a +tp1766 +a(g189 +V +tp1767 +a(g73 +Vgen +p1768 +tp1769 +a(g189 +V +tp1770 +a(g344 +V: +tp1771 +a(g344 +V= +tp1772 +a(g189 +V +tp1773 +a(g21 +Vcombinat::partitions::generator +p1774 +tp1775 +a(g202 +V( +tp1776 +a(g73 +Vn +tp1777 +a(g202 +V) +tp1778 +a(g344 +V; +tp1779 +a(g189 +V\u000a +tp1780 +a(g189 +V +tp1781 +a(g111 +Vwhile +p1782 +tp1783 +a(g189 +V +tp1784 +a(g202 +V( +tp1785 +a(g73 +Vp +tp1786 +a(g344 +V: +tp1787 +a(g344 +V= +tp1788 +a(g21 +Vgen +p1789 +tp1790 +a(g202 +V( +tp1791 +a(g202 +V) +tp1792 +a(g202 +V) +tp1793 +a(g189 +V +tp1794 +a(g344 +V< +tp1795 +a(g344 +V> +tp1796 +a(g189 +V +tp1797 +a(g33 +VFAIL +p1798 +tp1799 +a(g189 +V +tp1800 +a(g111 +Vdo +p1801 +tp1802 +a(g189 +V\u000a +tp1803 +a(g189 +V +tp1804 +a(g189 +V +tp1805 +a(g189 +V +tp1806 +a(g189 +V +tp1807 +a(g189 +V +tp1808 +a(g21 +Vuserinfo +p1809 +tp1810 +a(g202 +V( +tp1811 +a(g213 +V5 +tp1812 +a(g344 +V, +tp1813 +a(g189 +V +tp1814 +a(g226 +V"working on partition" +p1815 +tp1816 +a(g344 +V, +tp1817 +a(g189 +V +tp1818 +a(g73 +Vp +tp1819 +a(g202 +V) +tp1820 +a(g344 +V; +tp1821 +a(g189 +V\u000a +tp1822 +a(g189 +V +tp1823 +a(g189 +V +tp1824 +a(g189 +V +tp1825 +a(g189 +V +tp1826 +a(g189 +V +tp1827 +a(g111 +Vif +p1828 +tp1829 +a(g202 +V( +tp1830 +a(g73 +Vn +tp1831 +a(g344 +V- +tp1832 +a(g21 +Vnops +p1833 +tp1834 +a(g202 +V( +tp1835 +a(g73 +Vp +tp1836 +a(g202 +V) +tp1837 +a(g189 +V +tp1838 +a(g348 +Vmod +p1839 +tp1840 +a(g189 +V +tp1841 +a(g213 +V2 +tp1842 +a(g344 +V= +tp1843 +a(g213 +V0 +tp1844 +a(g202 +V) +tp1845 +a(g189 +V +tp1846 +a(g111 +Vthen +p1847 +tp1848 +a(g189 +V\u000a +tp1849 +a(g189 +V +tp1850 +a(g189 +V +tp1851 +a(g358 +V// Compute the size of the conjugacy class of Sn indexed by p +p1852 +tp1853 +a(g189 +V\u000a +tp1854 +a(g189 +V +tp1855 +a(g189 +V +tp1856 +a(g358 +V// and the cycle type of a permutation in this conjugacy class +p1857 +tp1858 +a(g189 +V\u000a +tp1859 +a(g189 +V +tp1860 +a(g189 +V +tp1861 +a(g189 +V +tp1862 +a(g189 +V +tp1863 +a(g189 +V +tp1864 +a(g189 +V +tp1865 +a(g189 +V +tp1866 +a(g189 +V +tp1867 +a(g189 +V +tp1868 +a(g189 +V +tp1869 +a(g189 +V +tp1870 +a(g189 +V +tp1871 +a(g189 +V +tp1872 +a(g189 +V +tp1873 +a(g189 +V +tp1874 +a(g189 +V +tp1875 +a(g73 +Vt +tp1876 +a(g202 +V[ +tp1877 +a(g21 +Vcombinat::partitions::toExp +p1878 +tp1879 +a(g202 +V( +tp1880 +a(g73 +Vp +tp1881 +a(g344 +V, +tp1882 +a(g73 +Vn +tp1883 +a(g202 +V) +tp1884 +a(g202 +V] +tp1885 +a(g189 +V\u000a +tp1886 +a(g189 +V +tp1887 +a(g189 +V +tp1888 +a(g189 +V +tp1889 +a(g189 +V +tp1890 +a(g189 +V +tp1891 +a(g189 +V +tp1892 +a(g189 +V +tp1893 +a(g189 +V +tp1894 +a(g189 +V +tp1895 +a(g189 +V +tp1896 +a(g189 +V +tp1897 +a(g189 +V +tp1898 +a(g189 +V +tp1899 +a(g189 +V +tp1900 +a(g189 +V +tp1901 +a(g189 +V +tp1902 +a(g189 +V +tp1903 +a(g189 +V +tp1904 +a(g344 +V: +tp1905 +a(g344 +V= +tp1906 +a(g189 +V +tp1907 +a(g21 +Vcombinat::partitions::conjugacyClassSize +p1908 +tp1909 +a(g202 +V( +tp1910 +a(g73 +Vp +tp1911 +a(g202 +V) +tp1912 +a(g344 +V; +tp1913 +a(g189 +V\u000a +tp1914 +a(g189 +V +tp1915 +a(g189 +V +tp1916 +a(g189 +V +tp1917 +a(g189 +V +tp1918 +a(g189 +V +tp1919 +a(g111 +Vend_if +p1920 +tp1921 +a(g344 +V; +tp1922 +a(g189 +V\u000a +tp1923 +a(g189 +V +tp1924 +a(g189 +V +tp1925 +a(g189 +V +tp1926 +a(g189 +V +tp1927 +a(g189 +V +tp1928 +a(g189 +V +tp1929 +a(g189 +V +tp1930 +a(g189 +V +tp1931 +a(g111 +Vend_while +p1932 +tp1933 +a(g344 +V; +tp1934 +a(g189 +V\u000a +tp1935 +a(g189 +V +tp1936 +a(g73 +Vt +tp1937 +a(g344 +V; +tp1938 +a(g189 +V\u000a +tp1939 +a(g189 +V +tp1940 +a(g189 +V +tp1941 +a(g189 +V +tp1942 +a(g189 +V +tp1943 +a(g111 +Vend_proc +p1944 +tp1945 +a(g344 +V; +tp1946 +a(g189 +V\u000a +tp1947 +a(g189 +V\u000a +tp1948 +a(g111 +Vbegin +p1949 +tp1950 +a(g189 +V\u000a +tp1951 +a(g189 +V +tp1952 +a(g189 +V +tp1953 +a(g189 +V +tp1954 +a(g189 +V +tp1955 +a(g111 +Vif +p1956 +tp1957 +a(g189 +V +tp1958 +a(g21 +Vtestargs +p1959 +tp1960 +a(g202 +V( +tp1961 +a(g202 +V) +tp1962 +a(g189 +V +tp1963 +a(g111 +Vthen +p1964 +tp1965 +a(g189 +V\u000a +tp1966 +a(g189 +V +tp1967 +a(g111 +Vif +p1968 +tp1969 +a(g189 +V +tp1970 +a(g21 +Vargs +p1971 +tp1972 +a(g202 +V( +tp1973 +a(g213 +V0 +tp1974 +a(g202 +V) +tp1975 +a(g189 +V +tp1976 +a(g344 +V< +tp1977 +a(g344 +V> +tp1978 +a(g189 +V +tp1979 +a(g213 +V1 +tp1980 +a(g189 +V +tp1981 +a(g111 +Vthen +p1982 +tp1983 +a(g189 +V +tp1984 +a(g21 +Verror +p1985 +tp1986 +a(g202 +V( +tp1987 +a(g226 +V"wrong no of args" +p1988 +tp1989 +a(g202 +V) +tp1990 +a(g344 +V; +tp1991 +a(g189 +V +tp1992 +a(g111 +Vend_if +p1993 +tp1994 +a(g344 +V; +tp1995 +a(g189 +V\u000a +tp1996 +a(g189 +V +tp1997 +a(g111 +Vif +p1998 +tp1999 +a(g189 +V +tp2000 +a(g348 +Vnot +p2001 +tp2002 +a(g189 +V +tp2003 +a(g21 +Vtesttype +p2004 +tp2005 +a(g202 +V( +tp2006 +a(g73 +Vn +tp2007 +a(g344 +V, +tp2008 +a(g106 +VDOM_INT +p2009 +tp2010 +a(g202 +V) +tp2011 +a(g189 +V +tp2012 +a(g111 +Vthen +p2013 +tp2014 +a(g189 +V\u000a +tp2015 +a(g189 +V +tp2016 +a(g189 +V +tp2017 +a(g189 +V +tp2018 +a(g189 +V +tp2019 +a(g189 +V +tp2020 +a(g21 +Verror +p2021 +tp2022 +a(g202 +V( +tp2023 +a(g226 +V"argument must be integer" +p2024 +tp2025 +a(g202 +V) +tp2026 +a(g189 +V\u000a +tp2027 +a(g189 +V +tp2028 +a(g111 +Vend_if +p2029 +tp2030 +a(g344 +V; +tp2031 +a(g189 +V\u000a +tp2032 +a(g189 +V +tp2033 +a(g111 +Vif +p2034 +tp2035 +a(g189 +V +tp2036 +a(g73 +Vn +tp2037 +a(g189 +V +tp2038 +a(g344 +V< +tp2039 +a(g189 +V +tp2040 +a(g213 +V1 +tp2041 +a(g189 +V +tp2042 +a(g111 +Vthen +p2043 +tp2044 +a(g189 +V\u000a +tp2045 +a(g189 +V +tp2046 +a(g189 +V +tp2047 +a(g189 +V +tp2048 +a(g189 +V +tp2049 +a(g189 +V +tp2050 +a(g21 +Verror +p2051 +tp2052 +a(g202 +V( +tp2053 +a(g226 +V"argument must be positive" +p2054 +tp2055 +a(g202 +V) +tp2056 +a(g189 +V\u000a +tp2057 +a(g189 +V +tp2058 +a(g111 +Vend_if +p2059 +tp2060 +a(g344 +V; +tp2061 +a(g189 +V\u000a +tp2062 +a(g189 +V +tp2063 +a(g189 +V +tp2064 +a(g189 +V +tp2065 +a(g189 +V +tp2066 +a(g111 +Vend_if +p2067 +tp2068 +a(g344 +V; +tp2069 +a(g189 +V\u000a +tp2070 +a(g111 +Vend_domain +p2071 +tp2072 +a(g344 +V: +tp2073 +a(g189 +V\u000a +tp2074 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/CPDictionary.j b/tests/examplefiles/output/CPDictionary.j new file mode 100644 index 0000000..9867bfc --- /dev/null +++ b/tests/examplefiles/output/CPDictionary.j @@ -0,0 +1,9439 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +g32 +g2 +(g3 +g4 +(g110 +g32 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp116 +(dp117 +g9 +g10 +((ltRp118 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g111 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g110 +g122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g111 +sbsg9 +g10 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g110 +S'Type' +p134 +ttRp135 +(dp136 +g9 +g10 +((ltRp137 +sg12 +g111 +sbag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g9 +g10 +((ltRp145 +sg12 +g140 +sbsg12 +g15 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g140 +sbsg9 +g10 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g9 +g10 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g9 +g10 +((ltRp191 +sg12 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg12 +g15 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g9 +g10 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g9 +g10 +((ltRp204 +sg12 +g198 +sbatRp205 +sg201 +g202 +sg12 +g15 +sbsS'Token' +p206 +g15 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g9 +g10 +((ltRp214 +sg12 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g209 +sbsg12 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g222 +sbsg12 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g222 +sbsS'Single' +p267 +g2 +(g3 +g4 +(g208 +g221 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g222 +sbsg64 +g2 +(g3 +g4 +(g208 +g221 +g64 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g222 +sbsS'Doc' +p274 +g2 +(g3 +g4 +(g208 +g221 +g274 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g222 +sbsg9 +g10 +((lp278 +g271 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p279 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g222 +sbag241 +ag264 +ag256 +ag275 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag268 +ag252 +ag248 +atRp283 +sg279 +g280 +sbsg12 +g15 +sg207 +g209 +sS'Scalar' +p284 +g2 +(g3 +g4 +(g208 +g284 +ttRp285 +(dp286 +g9 +g10 +((lp287 +g2 +(g3 +g4 +(g208 +g284 +S'Plain' +p288 +ttRp289 +(dp290 +g9 +g10 +((ltRp291 +sg12 +g285 +sbatRp292 +sg12 +g219 +sg288 +g289 +sbsg64 +g2 +(g3 +g4 +(g208 +g64 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g219 +sbsS'Date' +p296 +g2 +(g3 +g4 +(g208 +g296 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g219 +sbsg9 +g10 +((lp300 +g297 +ag222 +ag293 +ag209 +ag285 +atRp301 +sbsS'Decimal' +p302 +g2 +(g3 +g4 +(g208 +g207 +g302 +ttRp303 +(dp304 +g9 +g10 +((ltRp305 +sg12 +g209 +sbsS'Float' +p306 +g2 +(g3 +g4 +(g208 +g207 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g209 +sbsS'Hex' +p310 +g2 +(g3 +g4 +(g208 +g207 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g209 +sbsS'Integer' +p314 +g2 +(g3 +g4 +(g208 +g207 +g314 +ttRp315 +(dp316 +g9 +g10 +((lp317 +g2 +(g3 +g4 +(g208 +g207 +g314 +S'Long' +p318 +ttRp319 +(dp320 +g9 +g10 +((ltRp321 +sg12 +g315 +sbatRp322 +sg318 +g319 +sg12 +g209 +sbsS'Octal' +p323 +g2 +(g3 +g4 +(g208 +g207 +g323 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g209 +sbsg9 +g10 +((lp327 +g212 +ag216 +ag324 +ag303 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p328 +ttRp329 +(dp330 +g9 +g10 +((ltRp331 +sg12 +g209 +sbag315 +ag307 +ag311 +atRp332 +sg328 +g329 +sbsg208 +g219 +sg64 +g2 +(g3 +g4 +(g64 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g15 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsS'Operator' +p339 +g2 +(g3 +g4 +(g339 +ttRp340 +(dp341 +g9 +g10 +((lp342 +g2 +(g3 +g4 +(g339 +S'Word' +p343 +ttRp344 +(dp345 +g9 +g10 +((ltRp346 +sg12 +g340 +sbatRp347 +sg343 +g344 +sg12 +g15 +sbsg9 +g10 +((lp348 +g13 +ag336 +ag140 +ag185 +ag18 +ag198 +ag111 +ag219 +ag340 +ag333 +atRp349 +sg221 +g222 +sbsS'Preproc' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbsg267 +g2 +(g3 +g4 +(g5 +g267 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag351 +ag354 +ag7 +atRp362 +sg358 +g359 +sbsbV/*\u000a * CPDictionary.j\u000a * Foundation\u000a *\u000a * Created by Francisco Tolmasky.\u000a * Copyright 2008, 280 North, Inc.\u000a *\u000a * This library is free software; you can redistribute it and/or\u000a * modify it under the terms of the GNU Lesser General Public\u000a * License as published by the Free Software Foundation; either\u000a * version 2.1 of the License, or (at your option) any later version.\u000a *\u000a * This library is distributed in the hope that it will be useful,\u000a * but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU\u000a * Lesser General Public License for more details.\u000a *\u000a * You should have received a copy of the GNU Lesser General Public\u000a * License along with this library; if not, write to the Free Software\u000a * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA\u000a */ +p363 +tp364 +a(g185 +V\u000a +tp365 +a(g185 +V\u000a +tp366 +a(g354 +V//@import "CPRange.j"\u000a +p367 +tp368 +a(g351 +V@import +p369 +tp370 +a(g185 +V +tp371 +a(g241 +V"CPObject.j" +p372 +tp373 +a(g185 +V\u000a +tp374 +a(g351 +V@import +p375 +tp376 +a(g185 +V +tp377 +a(g241 +V"CPEnumerator.j" +p378 +tp379 +a(g185 +V\u000a +tp380 +a(g351 +V@import +p381 +tp382 +a(g185 +V +tp383 +a(g241 +V"CPException.j" +p384 +tp385 +a(g185 +V\u000a +tp386 +a(g185 +V\u000a +tp387 +a(g7 +V/* @ignore */ +p388 +tp389 +a(g185 +V\u000a +tp390 +a(g111 +V@implementation +p391 +tp392 +a(g185 +V +tp393 +a(g106 +V_CPDictionaryValueEnumerator +p394 +tp395 +a(g185 +V +tp396 +a(g340 +V: +tp397 +a(g185 +V +tp398 +a(g185 +V +tp399 +a(g106 +VCPEnumerator +p400 +tp401 +a(g185 +V\u000a +tp402 +a(g198 +V{ +tp403 +a(g185 +V\u000a +tp404 +a(g185 +V +p405 +tp406 +a(g185 +V +tp407 +a(g18 +VCPEnumerator +p408 +tp409 +a(g185 +V +p410 +tp411 +a(g18 +V_keyEnumerator +p412 +tp413 +a(g198 +V; +tp414 +a(g185 +V\u000a +tp415 +a(g185 +V +p416 +tp417 +a(g185 +V +tp418 +a(g18 +VCPDictionary +p419 +tp420 +a(g185 +V +p421 +tp422 +a(g18 +V_dictionary +p423 +tp424 +a(g198 +V; +tp425 +a(g185 +V\u000a +tp426 +a(g185 +V +tp427 +a(g198 +V} +tp428 +a(g185 +V\u000a +tp429 +a(g185 +V\u000a +tp430 +a(g340 +V- +tp431 +a(g185 +V +tp432 +a(g185 +V +tp433 +a(g198 +V( +tp434 +a(g185 +V +tp435 +a(g135 +Vid +p436 +tp437 +a(g198 +V) +tp438 +a(g21 +VinitWithDictionary: +p439 +tp440 +a(g198 +V( +tp441 +a(g185 +V +tp442 +a(g135 +VCPDictionary +p443 +tp444 +a(g198 +V) +tp445 +a(g185 +VaDictionary +p446 +tp447 +a(g185 +V\u000a +tp448 +a(g198 +V{ +tp449 +a(g185 +V +tp450 +a(g185 +V\u000a +tp451 +a(g185 +V +p452 +tp453 +a(g57 +Vself +p454 +tp455 +a(g185 +V +tp456 +a(g340 +V= +tp457 +a(g185 +V +tp458 +a(g185 +V +tp459 +a(g198 +V[ +tp460 +a(g185 +V +tp461 +a(g57 +Vsuper +p462 +tp463 +a(g185 +V +tp464 +a(g18 +Vinit +p465 +tp466 +a(g198 +V] +tp467 +a(g198 +V; +tp468 +a(g185 +V\u000a +tp469 +a(g185 +V \u000a +p470 +tp471 +a(g185 +V +tp472 +a(g111 +Vif +p473 +tp474 +a(g185 +V +tp475 +a(g185 +V +tp476 +a(g198 +V( +tp477 +a(g185 +V +tp478 +a(g57 +Vself +p479 +tp480 +a(g198 +V) +tp481 +a(g185 +V\u000a +tp482 +a(g185 +V +p483 +tp484 +a(g198 +V{ +tp485 +a(g185 +V\u000a +tp486 +a(g185 +V +p487 +tp488 +a(g185 +V +tp489 +a(g18 +V_keyEnumerator +p490 +tp491 +a(g185 +V +tp492 +a(g340 +V= +tp493 +a(g185 +V +tp494 +a(g185 +V +tp495 +a(g198 +V[ +tp496 +a(g185 +V +tp497 +a(g18 +VaDictionary +p498 +tp499 +a(g185 +V +tp500 +a(g18 +VkeyEnumerator +p501 +tp502 +a(g198 +V] +tp503 +a(g198 +V; +tp504 +a(g185 +V\u000a +tp505 +a(g185 +V +p506 +tp507 +a(g185 +V +tp508 +a(g18 +V_dictionary +p509 +tp510 +a(g185 +V +tp511 +a(g340 +V= +tp512 +a(g185 +V +tp513 +a(g185 +V +tp514 +a(g18 +VaDictionary +p515 +tp516 +a(g198 +V; +tp517 +a(g185 +V\u000a +tp518 +a(g185 +V +p519 +tp520 +a(g185 +V +tp521 +a(g198 +V} +tp522 +a(g185 +V\u000a +tp523 +a(g185 +V \u000a +p524 +tp525 +a(g111 +Vreturn +p526 +tp527 +a(g185 +V +tp528 +a(g185 +V +tp529 +a(g57 +Vself +p530 +tp531 +a(g198 +V; +tp532 +a(g185 +V\u000a +tp533 +a(g185 +V +tp534 +a(g198 +V} +tp535 +a(g185 +V\u000a +tp536 +a(g185 +V\u000a +tp537 +a(g340 +V- +tp538 +a(g185 +V +tp539 +a(g185 +V +tp540 +a(g198 +V( +tp541 +a(g185 +V +tp542 +a(g135 +Vid +p543 +tp544 +a(g198 +V) +tp545 +a(g21 +VnextObject +p546 +tp547 +a(g185 +V\u000a +tp548 +a(g198 +V{ +tp549 +a(g185 +V +tp550 +a(g185 +V\u000a +tp551 +a(g185 +V +p552 +tp553 +a(g127 +Vvar +p554 +tp555 +a(g185 +V +tp556 +a(g185 +V +tp557 +a(g18 +Vkey +p558 +tp559 +a(g185 +V +tp560 +a(g340 +V= +tp561 +a(g185 +V +tp562 +a(g185 +V +tp563 +a(g198 +V[ +tp564 +a(g185 +V +tp565 +a(g18 +V_keyEnumerator +p566 +tp567 +a(g185 +V +tp568 +a(g18 +VnextObject +p569 +tp570 +a(g198 +V] +tp571 +a(g198 +V; +tp572 +a(g185 +V\u000a +tp573 +a(g185 +V \u000a +p574 +tp575 +a(g185 +V +tp576 +a(g111 +Vif +p577 +tp578 +a(g185 +V +tp579 +a(g185 +V +tp580 +a(g198 +V( +tp581 +a(g185 +V +tp582 +a(g340 +V! +tp583 +a(g185 +V +tp584 +a(g18 +Vkey +p585 +tp586 +a(g198 +V) +tp587 +a(g185 +V\u000a +tp588 +a(g185 +V +p589 +tp590 +a(g111 +Vreturn +p591 +tp592 +a(g185 +V +tp593 +a(g185 +V +tp594 +a(g113 +Vnil +p595 +tp596 +a(g198 +V; +tp597 +a(g185 +V\u000a +tp598 +a(g185 +V\u000a +tp599 +a(g185 +V +p600 +tp601 +a(g185 +V +tp602 +a(g111 +Vreturn +p603 +tp604 +a(g185 +V +tp605 +a(g185 +V +tp606 +a(g198 +V[ +tp607 +a(g185 +V +tp608 +a(g18 +V_dictionary +p609 +tp610 +a(g185 +V +tp611 +a(g18 +VobjectForKey +p612 +tp613 +a(g340 +V: +tp614 +a(g185 +V +tp615 +a(g18 +Vkey +p616 +tp617 +a(g198 +V] +tp618 +a(g198 +V; +tp619 +a(g185 +V\u000a +tp620 +a(g185 +V +tp621 +a(g198 +V} +tp622 +a(g185 +V\u000a +tp623 +a(g185 +V\u000a +tp624 +a(g111 +V@end +p625 +tp626 +a(g185 +V\u000a\u000a +p627 +tp628 +a(g7 +V/*! \u000a @class CPDictionary\u000a @ingroup foundation\u000a @brief A mutable key-value pair collection.\u000a\u000a A dictionary is the standard way of passing around key-value pairs in\u000a the Cappuccino framework. It is similar to the\u000a Java map interface,\u000a except all keys are CPStrings and values can be any\u000a Cappuccino or JavaScript object.\u000a\u000a If you are familiar with dictionaries in Cocoa, you'll notice that\u000a there is no CPMutableDictionary class. The regular CPDictionary\u000a has \u005cc -setObject:forKey: and \u005cc -removeObjectForKey: methods.\u000a In Cappuccino there is no distinction between immutable and mutable classes.\u000a They are all mutable.\u000a*/ +p629 +tp630 +a(g185 +V\u000a +tp631 +a(g111 +V@implementation +p632 +tp633 +a(g185 +V +tp634 +a(g106 +VCPDictionary +p635 +tp636 +a(g185 +V +tp637 +a(g340 +V: +tp638 +a(g185 +V +tp639 +a(g185 +V +tp640 +a(g106 +VCPObject +p641 +tp642 +a(g185 +V\u000a +tp643 +a(g198 +V{ +tp644 +a(g185 +V\u000a +tp645 +a(g185 +V +tp646 +a(g198 +V} +tp647 +a(g185 +V\u000a +tp648 +a(g185 +V\u000a +tp649 +a(g7 +V/*\u000a @ignore\u000a*/ +p650 +tp651 +a(g185 +V\u000a +tp652 +a(g340 +V+ +tp653 +a(g185 +V +tp654 +a(g185 +V +tp655 +a(g198 +V( +tp656 +a(g185 +V +tp657 +a(g135 +Vid +p658 +tp659 +a(g198 +V) +tp660 +a(g21 +Valloc +p661 +tp662 +a(g185 +V\u000a +tp663 +a(g198 +V{ +tp664 +a(g185 +V +tp665 +a(g185 +V\u000a +tp666 +a(g185 +V +p667 +tp668 +a(g111 +Vreturn +p669 +tp670 +a(g185 +V +tp671 +a(g185 +V +tp672 +a(g111 +Vnew +p673 +tp674 +a(g185 +V +tp675 +a(g185 +V +tp676 +a(g21 +Vobjj_dictionary +p677 +tp678 +a(g198 +V( +tp679 +a(g185 +V +tp680 +a(g198 +V) +tp681 +a(g198 +V; +tp682 +a(g185 +V\u000a +tp683 +a(g185 +V +tp684 +a(g198 +V} +tp685 +a(g185 +V\u000a +tp686 +a(g185 +V\u000a +tp687 +a(g7 +V/*!\u000a Returns a new empty CPDictionary.\u000a*/ +p688 +tp689 +a(g185 +V\u000a +tp690 +a(g340 +V+ +tp691 +a(g185 +V +tp692 +a(g185 +V +tp693 +a(g198 +V( +tp694 +a(g185 +V +tp695 +a(g135 +Vid +p696 +tp697 +a(g198 +V) +tp698 +a(g21 +Vdictionary +p699 +tp700 +a(g185 +V\u000a +tp701 +a(g198 +V{ +tp702 +a(g185 +V +tp703 +a(g185 +V\u000a +tp704 +a(g185 +V +p705 +tp706 +a(g111 +Vreturn +p707 +tp708 +a(g185 +V +tp709 +a(g185 +V +tp710 +a(g198 +V[ +tp711 +a(g185 +V +tp712 +a(g198 +V[ +tp713 +a(g185 +V +tp714 +a(g57 +Vself +p715 +tp716 +a(g185 +V +tp717 +a(g18 +Valloc +p718 +tp719 +a(g198 +V] +tp720 +a(g185 +V +tp721 +a(g18 +Vinit +p722 +tp723 +a(g198 +V] +tp724 +a(g198 +V; +tp725 +a(g185 +V\u000a +tp726 +a(g185 +V +tp727 +a(g198 +V} +tp728 +a(g185 +V\u000a +tp729 +a(g185 +V\u000a +tp730 +a(g7 +V/*!\u000a Returns a new dictionary, initialized with the contents of \u005cc aDictionary.\u000a @param aDictionary the dictionary to copy key-value pairs from\u000a @return the new CPDictionary\u000a*/ +p731 +tp732 +a(g185 +V\u000a +tp733 +a(g340 +V+ +tp734 +a(g185 +V +tp735 +a(g185 +V +tp736 +a(g198 +V( +tp737 +a(g185 +V +tp738 +a(g135 +Vid +p739 +tp740 +a(g198 +V) +tp741 +a(g21 +VdictionaryWithDictionary: +p742 +tp743 +a(g198 +V( +tp744 +a(g185 +V +tp745 +a(g135 +VCPDictionary +p746 +tp747 +a(g198 +V) +tp748 +a(g185 +VaDictionary +p749 +tp750 +a(g185 +V\u000a +tp751 +a(g198 +V{ +tp752 +a(g185 +V +tp753 +a(g185 +V\u000a +tp754 +a(g185 +V +p755 +tp756 +a(g111 +Vreturn +p757 +tp758 +a(g185 +V +tp759 +a(g185 +V +tp760 +a(g198 +V[ +tp761 +a(g185 +V +tp762 +a(g198 +V[ +tp763 +a(g185 +V +tp764 +a(g57 +Vself +p765 +tp766 +a(g185 +V +tp767 +a(g18 +Valloc +p768 +tp769 +a(g198 +V] +tp770 +a(g185 +V +tp771 +a(g18 +VinitWithDictionary +p772 +tp773 +a(g340 +V: +tp774 +a(g185 +V +tp775 +a(g18 +VaDictionary +p776 +tp777 +a(g198 +V] +tp778 +a(g198 +V; +tp779 +a(g185 +V\u000a +tp780 +a(g185 +V +tp781 +a(g198 +V} +tp782 +a(g185 +V\u000a +tp783 +a(g185 +V\u000a +tp784 +a(g7 +V/*!\u000a Creates a new dictionary with single key-value pair.\u000a @param anObject the object for the paring\u000a @param aKey the key for the pairing\u000a @return the new CPDictionary\u000a*/ +p785 +tp786 +a(g185 +V\u000a +tp787 +a(g340 +V+ +tp788 +a(g185 +V +tp789 +a(g185 +V +tp790 +a(g198 +V( +tp791 +a(g185 +V +tp792 +a(g135 +Vid +p793 +tp794 +a(g198 +V) +tp795 +a(g21 +VdictionaryWithObject: +p796 +tp797 +a(g198 +V( +tp798 +a(g185 +V +tp799 +a(g135 +Vid +p800 +tp801 +a(g198 +V) +tp802 +a(g185 +VanObject +p803 +tp804 +a(g185 +V +tp805 +a(g21 +VforKey: +p806 +tp807 +a(g198 +V( +tp808 +a(g185 +V +tp809 +a(g135 +Vid +p810 +tp811 +a(g198 +V) +tp812 +a(g185 +VaKey +p813 +tp814 +a(g185 +V\u000a +tp815 +a(g198 +V{ +tp816 +a(g185 +V +tp817 +a(g185 +V\u000a +tp818 +a(g185 +V +p819 +tp820 +a(g111 +Vreturn +p821 +tp822 +a(g185 +V +tp823 +a(g185 +V +tp824 +a(g198 +V[ +tp825 +a(g185 +V +tp826 +a(g198 +V[ +tp827 +a(g185 +V +tp828 +a(g57 +Vself +p829 +tp830 +a(g185 +V +tp831 +a(g18 +Valloc +p832 +tp833 +a(g198 +V] +tp834 +a(g185 +V +tp835 +a(g18 +VinitWithObjects +p836 +tp837 +a(g340 +V: +tp838 +a(g185 +V +tp839 +a(g198 +V[ +tp840 +a(g185 +V +tp841 +a(g18 +VanObject +p842 +tp843 +a(g198 +V] +tp844 +a(g185 +V +tp845 +a(g18 +VforKeys +p846 +tp847 +a(g340 +V: +tp848 +a(g185 +V +tp849 +a(g198 +V[ +tp850 +a(g185 +V +tp851 +a(g18 +VaKey +p852 +tp853 +a(g198 +V] +tp854 +a(g198 +V] +tp855 +a(g198 +V; +tp856 +a(g185 +V\u000a +tp857 +a(g185 +V +tp858 +a(g198 +V} +tp859 +a(g185 +V\u000a +tp860 +a(g185 +V\u000a +tp861 +a(g7 +V/*!\u000a Creates a dictionary with multiple key-value pairs.\u000a @param objects the objects to place in the dictionary\u000a @param keys the keys for each of the objects\u000a @throws CPInvalidArgumentException if the number of objects and keys is different\u000a @return the new CPDictionary\u000a*/ +p862 +tp863 +a(g185 +V\u000a +tp864 +a(g340 +V+ +tp865 +a(g185 +V +tp866 +a(g185 +V +tp867 +a(g198 +V( +tp868 +a(g185 +V +tp869 +a(g135 +Vid +p870 +tp871 +a(g198 +V) +tp872 +a(g21 +VdictionaryWithObjects: +p873 +tp874 +a(g198 +V( +tp875 +a(g185 +V +tp876 +a(g135 +VCPArray +p877 +tp878 +a(g198 +V) +tp879 +a(g185 +Vobjects +p880 +tp881 +a(g185 +V +tp882 +a(g21 +VforKeys: +p883 +tp884 +a(g198 +V( +tp885 +a(g185 +V +tp886 +a(g135 +VCPArray +p887 +tp888 +a(g198 +V) +tp889 +a(g185 +Vkeys +p890 +tp891 +a(g185 +V\u000a +tp892 +a(g198 +V{ +tp893 +a(g185 +V +tp894 +a(g185 +V\u000a +tp895 +a(g185 +V +p896 +tp897 +a(g111 +Vreturn +p898 +tp899 +a(g185 +V +tp900 +a(g185 +V +tp901 +a(g198 +V[ +tp902 +a(g185 +V +tp903 +a(g198 +V[ +tp904 +a(g185 +V +tp905 +a(g57 +Vself +p906 +tp907 +a(g185 +V +tp908 +a(g18 +Valloc +p909 +tp910 +a(g198 +V] +tp911 +a(g185 +V +tp912 +a(g18 +VinitWithObjects +p913 +tp914 +a(g340 +V: +tp915 +a(g185 +V +tp916 +a(g18 +Vobjects +p917 +tp918 +a(g185 +V +tp919 +a(g18 +VforKeys +p920 +tp921 +a(g340 +V: +tp922 +a(g185 +V +tp923 +a(g18 +Vkeys +p924 +tp925 +a(g198 +V] +tp926 +a(g198 +V; +tp927 +a(g185 +V\u000a +tp928 +a(g185 +V +tp929 +a(g198 +V} +tp930 +a(g185 +V\u000a +tp931 +a(g185 +V\u000a +tp932 +a(g7 +V/*!\u000a Creates a dictionary with multiple key-value pairs.\u000a @param JavaScript object\u000a @return the new CPDictionary\u000a*/ +p933 +tp934 +a(g185 +V\u000a +tp935 +a(g340 +V+ +tp936 +a(g185 +V +tp937 +a(g185 +V +tp938 +a(g198 +V( +tp939 +a(g185 +V +tp940 +a(g135 +Vid +p941 +tp942 +a(g198 +V) +tp943 +a(g21 +VdictionaryWithJSObject: +p944 +tp945 +a(g198 +V( +tp946 +a(g185 +V +tp947 +a(g135 +VJSObject +p948 +tp949 +a(g198 +V) +tp950 +a(g185 +Vobject +p951 +tp952 +a(g185 +V\u000a +tp953 +a(g198 +V{ +tp954 +a(g185 +V +tp955 +a(g185 +V\u000a +tp956 +a(g185 +V +p957 +tp958 +a(g111 +Vreturn +p959 +tp960 +a(g185 +V +tp961 +a(g185 +V +tp962 +a(g198 +V[ +tp963 +a(g185 +V +tp964 +a(g57 +Vself +p965 +tp966 +a(g185 +V +tp967 +a(g18 +VdictionaryWithJSObject +p968 +tp969 +a(g340 +V: +tp970 +a(g185 +V +tp971 +a(g18 +Vobject +p972 +tp973 +a(g185 +V +tp974 +a(g18 +Vrecursively +p975 +tp976 +a(g340 +V: +tp977 +a(g185 +V +tp978 +a(g113 +VNO +p979 +tp980 +a(g198 +V] +tp981 +a(g198 +V; +tp982 +a(g185 +V\u000a +tp983 +a(g185 +V +tp984 +a(g198 +V} +tp985 +a(g185 +V\u000a +tp986 +a(g185 +V\u000a +tp987 +a(g7 +V/*!\u000a Creates a dictionary with multiple key-value pairs, recursively.\u000a @param JavaScript object\u000a @return the new CPDictionary\u000a*/ +p988 +tp989 +a(g185 +V\u000a +tp990 +a(g340 +V+ +tp991 +a(g185 +V +tp992 +a(g185 +V +tp993 +a(g198 +V( +tp994 +a(g185 +V +tp995 +a(g135 +Vid +p996 +tp997 +a(g198 +V) +tp998 +a(g21 +VdictionaryWithJSObject: +p999 +tp1000 +a(g198 +V( +tp1001 +a(g185 +V +tp1002 +a(g135 +VJSObject +p1003 +tp1004 +a(g198 +V) +tp1005 +a(g185 +Vobject +p1006 +tp1007 +a(g185 +V +tp1008 +a(g21 +Vrecursively: +p1009 +tp1010 +a(g198 +V( +tp1011 +a(g185 +V +tp1012 +a(g135 +VBOOL +p1013 +tp1014 +a(g198 +V) +tp1015 +a(g185 +Vrecursively +p1016 +tp1017 +a(g185 +V\u000a +tp1018 +a(g198 +V{ +tp1019 +a(g185 +V +tp1020 +a(g185 +V\u000a +tp1021 +a(g185 +V +p1022 +tp1023 +a(g127 +Vvar +p1024 +tp1025 +a(g185 +V +tp1026 +a(g185 +V +tp1027 +a(g18 +Vdictionary +p1028 +tp1029 +a(g185 +V +tp1030 +a(g340 +V= +tp1031 +a(g185 +V +tp1032 +a(g185 +V +tp1033 +a(g198 +V[ +tp1034 +a(g185 +V +tp1035 +a(g198 +V[ +tp1036 +a(g185 +V +tp1037 +a(g57 +Vself +p1038 +tp1039 +a(g185 +V +tp1040 +a(g18 +Valloc +p1041 +tp1042 +a(g198 +V] +tp1043 +a(g185 +V +tp1044 +a(g18 +Vinit +p1045 +tp1046 +a(g198 +V] +tp1047 +a(g198 +V; +tp1048 +a(g185 +V\u000a +tp1049 +a(g185 +V \u000a +p1050 +tp1051 +a(g185 +V +tp1052 +a(g111 +Vfor +p1053 +tp1054 +a(g185 +V +tp1055 +a(g185 +V +tp1056 +a(g198 +V( +tp1057 +a(g185 +V +tp1058 +a(g127 +Vvar +p1059 +tp1060 +a(g185 +V +tp1061 +a(g185 +V +tp1062 +a(g18 +Vkey +p1063 +tp1064 +a(g185 +V +tp1065 +a(g111 +Vin +p1066 +tp1067 +a(g185 +V +tp1068 +a(g185 +V +tp1069 +a(g18 +Vobject +p1070 +tp1071 +a(g198 +V) +tp1072 +a(g185 +V\u000a +tp1073 +a(g185 +V +p1074 +tp1075 +a(g198 +V{ +tp1076 +a(g185 +V\u000a +tp1077 +a(g185 +V +p1078 +tp1079 +a(g185 +V +tp1080 +a(g127 +Vvar +p1081 +tp1082 +a(g185 +V +tp1083 +a(g185 +V +tp1084 +a(g18 +Vvalue +p1085 +tp1086 +a(g185 +V +tp1087 +a(g340 +V= +tp1088 +a(g185 +V +tp1089 +a(g185 +V +tp1090 +a(g18 +Vobject +p1091 +tp1092 +a(g198 +V[ +tp1093 +a(g185 +V +tp1094 +a(g18 +Vkey +p1095 +tp1096 +a(g198 +V] +tp1097 +a(g198 +V; +tp1098 +a(g185 +V\u000a +tp1099 +a(g185 +V \u000a +p1100 +tp1101 +a(g185 +V +tp1102 +a(g111 +Vif +p1103 +tp1104 +a(g185 +V +tp1105 +a(g185 +V +tp1106 +a(g198 +V( +tp1107 +a(g185 +V +tp1108 +a(g18 +Vrecursively +p1109 +tp1110 +a(g185 +V +tp1111 +a(g340 +V&& +p1112 +tp1113 +a(g185 +V +tp1114 +a(g185 +V +tp1115 +a(g18 +Vvalue +p1116 +tp1117 +a(g198 +V. +tp1118 +a(g18 +Vconstructor +p1119 +tp1120 +a(g185 +V +tp1121 +a(g340 +V=== +p1122 +tp1123 +a(g185 +V +tp1124 +a(g185 +V +tp1125 +a(g57 +VObject +p1126 +tp1127 +a(g198 +V) +tp1128 +a(g185 +V\u000a +tp1129 +a(g185 +V +p1130 +tp1131 +a(g18 +Vvalue +p1132 +tp1133 +a(g185 +V +tp1134 +a(g340 +V= +tp1135 +a(g185 +V +tp1136 +a(g185 +V +tp1137 +a(g198 +V[ +tp1138 +a(g185 +V +tp1139 +a(g18 +VCPDictionary +p1140 +tp1141 +a(g185 +V +tp1142 +a(g18 +VdictionaryWithJSObject +p1143 +tp1144 +a(g340 +V: +tp1145 +a(g185 +V +tp1146 +a(g18 +Vvalue +p1147 +tp1148 +a(g185 +V +tp1149 +a(g18 +Vrecursively +p1150 +tp1151 +a(g340 +V: +tp1152 +a(g185 +V +tp1153 +a(g113 +VYES +p1154 +tp1155 +a(g198 +V] +tp1156 +a(g198 +V; +tp1157 +a(g185 +V\u000a +tp1158 +a(g185 +V \u000a +p1159 +tp1160 +a(g185 +V +tp1161 +a(g198 +V[ +tp1162 +a(g185 +V +tp1163 +a(g18 +Vdictionary +p1164 +tp1165 +a(g185 +V +tp1166 +a(g18 +VsetObject +p1167 +tp1168 +a(g340 +V: +tp1169 +a(g185 +V +tp1170 +a(g18 +Vvalue +p1171 +tp1172 +a(g185 +V +tp1173 +a(g18 +VforKey +p1174 +tp1175 +a(g340 +V: +tp1176 +a(g185 +V +tp1177 +a(g18 +Vkey +p1178 +tp1179 +a(g198 +V] +tp1180 +a(g198 +V; +tp1181 +a(g185 +V\u000a +tp1182 +a(g185 +V +p1183 +tp1184 +a(g185 +V +tp1185 +a(g198 +V} +tp1186 +a(g185 +V\u000a +tp1187 +a(g185 +V \u000a +p1188 +tp1189 +a(g111 +Vreturn +p1190 +tp1191 +a(g185 +V +tp1192 +a(g185 +V +tp1193 +a(g18 +Vdictionary +p1194 +tp1195 +a(g198 +V; +tp1196 +a(g185 +V\u000a +tp1197 +a(g185 +V +tp1198 +a(g198 +V} +tp1199 +a(g185 +V\u000a +tp1200 +a(g185 +V\u000a +tp1201 +a(g7 +V/*!\u000a Creates and returns a dictionary constructed by a given pairs of keys and values.\u000a @param firstObject first object value\u000a @param ... key for the first object and ongoing value-key pairs for more objects.\u000a @throws CPInvalidArgumentException if the number of objects and keys is different\u000a @return the new CPDictionary\u000a \u000a Assuming that there's no object retaining in Cappuccino, you can create\u000a dictionaries same way as with alloc and initWithObjectsAndKeys:\u000a var dict = [CPDictionary dictionaryWithObjectsAndKeys:\u000a @"value1", @"key1",\u000a @"value2", @"key2"];\u000a \u000a Note, that there's no final nil like in Objective-C/Cocoa.\u000a \u000a @see [CPDictionary initWithObjectsAndKeys:]\u000a*/ +p1202 +tp1203 +a(g185 +V\u000a +tp1204 +a(g340 +V+ +tp1205 +a(g185 +V +tp1206 +a(g185 +V +tp1207 +a(g198 +V( +tp1208 +a(g185 +V +tp1209 +a(g135 +Vid +p1210 +tp1211 +a(g198 +V) +tp1212 +a(g21 +VdictionaryWithObjectsAndKeys: +p1213 +tp1214 +a(g198 +V( +tp1215 +a(g185 +V +tp1216 +a(g135 +Vid +p1217 +tp1218 +a(g198 +V) +tp1219 +a(g185 +VfirstObject +p1220 +tp1221 +a(g198 +V, +tp1222 +a(g185 +V +tp1223 +a(g185 +V +tp1224 +a(g198 +V. +tp1225 +a(g198 +V. +tp1226 +a(g198 +V. +tp1227 +a(g185 +V\u000a +tp1228 +a(g198 +V{ +tp1229 +a(g185 +V +tp1230 +a(g185 +V\u000a +tp1231 +a(g185 +V +p1232 +tp1233 +a(g18 +Varguments +p1234 +tp1235 +a(g198 +V[ +tp1236 +a(g185 +V +tp1237 +a(g315 +V0 +tp1238 +a(g198 +V] +tp1239 +a(g185 +V +tp1240 +a(g340 +V= +tp1241 +a(g185 +V +tp1242 +a(g185 +V +tp1243 +a(g198 +V[ +tp1244 +a(g185 +V +tp1245 +a(g57 +Vself +p1246 +tp1247 +a(g185 +V +tp1248 +a(g18 +Valloc +p1249 +tp1250 +a(g198 +V] +tp1251 +a(g198 +V; +tp1252 +a(g185 +V\u000a +tp1253 +a(g185 +V +p1254 +tp1255 +a(g185 +V +tp1256 +a(g18 +Varguments +p1257 +tp1258 +a(g198 +V[ +tp1259 +a(g185 +V +tp1260 +a(g315 +V1 +tp1261 +a(g198 +V] +tp1262 +a(g185 +V +tp1263 +a(g340 +V= +tp1264 +a(g185 +V +tp1265 +a(g185 +V +tp1266 +a(g111 +V@selector +p1267 +tp1268 +a(g198 +V( +tp1269 +a(g185 +V +tp1270 +a(g18 +VinitWithObjectsAndKeys +p1271 +tp1272 +a(g340 +V: +tp1273 +a(g185 +V +tp1274 +a(g198 +V) +tp1275 +a(g198 +V; +tp1276 +a(g185 +V\u000a +tp1277 +a(g185 +V \u000a +p1278 +tp1279 +a(g185 +V +tp1280 +a(g111 +Vreturn +p1281 +tp1282 +a(g185 +V +tp1283 +a(g185 +V +tp1284 +a(g18 +Vobjj_msgSend +p1285 +tp1286 +a(g198 +V. +tp1287 +a(g21 +Vapply +p1288 +tp1289 +a(g198 +V( +tp1290 +a(g185 +V +tp1291 +a(g57 +Vthis +p1292 +tp1293 +a(g198 +V, +tp1294 +a(g185 +V +tp1295 +a(g185 +V +tp1296 +a(g18 +Varguments +p1297 +tp1298 +a(g198 +V) +tp1299 +a(g198 +V; +tp1300 +a(g185 +V\u000a +tp1301 +a(g185 +V +tp1302 +a(g198 +V} +tp1303 +a(g185 +V\u000a +tp1304 +a(g185 +V\u000a +tp1305 +a(g7 +V/*!\u000a Initializes the dictionary with the contents of another dictionary.\u000a @param aDictionary the dictionary to copy key-value pairs from\u000a @return the initialized dictionary\u000a*/ +p1306 +tp1307 +a(g185 +V\u000a +tp1308 +a(g340 +V- +tp1309 +a(g185 +V +tp1310 +a(g185 +V +tp1311 +a(g198 +V( +tp1312 +a(g185 +V +tp1313 +a(g135 +Vid +p1314 +tp1315 +a(g198 +V) +tp1316 +a(g21 +VinitWithDictionary: +p1317 +tp1318 +a(g198 +V( +tp1319 +a(g185 +V +tp1320 +a(g135 +VCPDictionary +p1321 +tp1322 +a(g198 +V) +tp1323 +a(g185 +VaDictionary +p1324 +tp1325 +a(g185 +V\u000a +tp1326 +a(g198 +V{ +tp1327 +a(g185 +V +tp1328 +a(g185 +V\u000a +tp1329 +a(g185 +V +p1330 +tp1331 +a(g127 +Vvar +p1332 +tp1333 +a(g185 +V +tp1334 +a(g185 +V +tp1335 +a(g18 +Vkey +p1336 +tp1337 +a(g185 +V +tp1338 +a(g340 +V= +tp1339 +a(g185 +V +tp1340 +a(g185 +V +tp1341 +a(g222 +V" +tp1342 +a(g222 +V" +tp1343 +a(g198 +V, +tp1344 +a(g185 +V\u000a +tp1345 +a(g185 +V +p1346 +tp1347 +a(g185 +V +tp1348 +a(g18 +Vdictionary +p1349 +tp1350 +a(g185 +V +tp1351 +a(g340 +V= +tp1352 +a(g185 +V +tp1353 +a(g185 +V +tp1354 +a(g198 +V[ +tp1355 +a(g185 +V +tp1356 +a(g198 +V[ +tp1357 +a(g185 +V +tp1358 +a(g18 +VCPDictionary +p1359 +tp1360 +a(g185 +V +tp1361 +a(g18 +Valloc +p1362 +tp1363 +a(g198 +V] +tp1364 +a(g185 +V +tp1365 +a(g18 +Vinit +p1366 +tp1367 +a(g198 +V] +tp1368 +a(g198 +V; +tp1369 +a(g185 +V\u000a +tp1370 +a(g185 +V \u000a +p1371 +tp1372 +a(g185 +V +tp1373 +a(g111 +Vfor +p1374 +tp1375 +a(g185 +V +tp1376 +a(g185 +V +tp1377 +a(g198 +V( +tp1378 +a(g185 +V +tp1379 +a(g18 +Vkey +p1380 +tp1381 +a(g185 +V +tp1382 +a(g111 +Vin +p1383 +tp1384 +a(g185 +V +tp1385 +a(g185 +V +tp1386 +a(g18 +VaDictionary +p1387 +tp1388 +a(g198 +V. +tp1389 +a(g18 +V_buckets +p1390 +tp1391 +a(g198 +V) +tp1392 +a(g185 +V\u000a +tp1393 +a(g185 +V +p1394 +tp1395 +a(g198 +V[ +tp1396 +a(g185 +V +tp1397 +a(g18 +Vdictionary +p1398 +tp1399 +a(g185 +V +tp1400 +a(g18 +VsetObject +p1401 +tp1402 +a(g340 +V: +tp1403 +a(g185 +V +tp1404 +a(g198 +V[ +tp1405 +a(g185 +V +tp1406 +a(g18 +VaDictionary +p1407 +tp1408 +a(g185 +V +tp1409 +a(g18 +VobjectForKey +p1410 +tp1411 +a(g340 +V: +tp1412 +a(g185 +V +tp1413 +a(g18 +Vkey +p1414 +tp1415 +a(g198 +V] +tp1416 +a(g185 +V +tp1417 +a(g18 +VforKey +p1418 +tp1419 +a(g340 +V: +tp1420 +a(g185 +V +tp1421 +a(g18 +Vkey +p1422 +tp1423 +a(g198 +V] +tp1424 +a(g198 +V; +tp1425 +a(g185 +V\u000a +tp1426 +a(g185 +V \u000a +p1427 +tp1428 +a(g185 +V +tp1429 +a(g111 +Vreturn +p1430 +tp1431 +a(g185 +V +tp1432 +a(g185 +V +tp1433 +a(g18 +Vdictionary +p1434 +tp1435 +a(g198 +V; +tp1436 +a(g185 +V\u000a +tp1437 +a(g185 +V +tp1438 +a(g198 +V} +tp1439 +a(g185 +V\u000a +tp1440 +a(g185 +V\u000a +tp1441 +a(g7 +V/*!\u000a Initializes the dictionary from the arrays of keys and objects.\u000a @param objects the objects to put in the dictionary\u000a @param keyArray the keys for the objects to put in the dictionary\u000a @throws CPInvalidArgumentException if the number of objects and keys is different\u000a @return the initialized dictionary\u000a*/ +p1442 +tp1443 +a(g185 +V\u000a +tp1444 +a(g340 +V- +tp1445 +a(g185 +V +tp1446 +a(g185 +V +tp1447 +a(g198 +V( +tp1448 +a(g185 +V +tp1449 +a(g135 +Vid +p1450 +tp1451 +a(g198 +V) +tp1452 +a(g21 +VinitWithObjects: +p1453 +tp1454 +a(g198 +V( +tp1455 +a(g185 +V +tp1456 +a(g135 +VCPArray +p1457 +tp1458 +a(g198 +V) +tp1459 +a(g185 +Vobjects +p1460 +tp1461 +a(g185 +V +tp1462 +a(g21 +VforKeys: +p1463 +tp1464 +a(g198 +V( +tp1465 +a(g185 +V +tp1466 +a(g135 +VCPArray +p1467 +tp1468 +a(g198 +V) +tp1469 +a(g185 +VkeyArray +p1470 +tp1471 +a(g185 +V\u000a +tp1472 +a(g198 +V{ +tp1473 +a(g185 +V +tp1474 +a(g185 +V\u000a +tp1475 +a(g185 +V +p1476 +tp1477 +a(g57 +Vself +p1478 +tp1479 +a(g185 +V +tp1480 +a(g340 +V= +tp1481 +a(g185 +V +tp1482 +a(g185 +V +tp1483 +a(g198 +V[ +tp1484 +a(g185 +V +tp1485 +a(g57 +Vsuper +p1486 +tp1487 +a(g185 +V +tp1488 +a(g18 +Vinit +p1489 +tp1490 +a(g198 +V] +tp1491 +a(g198 +V; +tp1492 +a(g185 +V\u000a +tp1493 +a(g185 +V\u000a +tp1494 +a(g185 +V +p1495 +tp1496 +a(g185 +V +tp1497 +a(g111 +Vif +p1498 +tp1499 +a(g185 +V +tp1500 +a(g185 +V +tp1501 +a(g198 +V( +tp1502 +a(g185 +V +tp1503 +a(g198 +V[ +tp1504 +a(g185 +V +tp1505 +a(g18 +Vobjects +p1506 +tp1507 +a(g185 +V +tp1508 +a(g18 +Vcount +p1509 +tp1510 +a(g198 +V] +tp1511 +a(g185 +V +tp1512 +a(g340 +V!= +p1513 +tp1514 +a(g185 +V +tp1515 +a(g185 +V +tp1516 +a(g198 +V[ +tp1517 +a(g185 +V +tp1518 +a(g18 +VkeyArray +p1519 +tp1520 +a(g185 +V +tp1521 +a(g18 +Vcount +p1522 +tp1523 +a(g198 +V] +tp1524 +a(g198 +V) +tp1525 +a(g185 +V\u000a +tp1526 +a(g185 +V +p1527 +tp1528 +a(g198 +V[ +tp1529 +a(g185 +V +tp1530 +a(g18 +VCPException +p1531 +tp1532 +a(g185 +V +tp1533 +a(g18 +Vraise +p1534 +tp1535 +a(g340 +V: +tp1536 +a(g185 +V +tp1537 +a(g18 +VCPInvalidArgumentException +p1538 +tp1539 +a(g185 +V +tp1540 +a(g18 +Vreason +p1541 +tp1542 +a(g340 +V: +tp1543 +a(g185 +V +tp1544 +a(g222 +V" +tp1545 +a(g222 +VCounts are different.( +p1546 +tp1547 +a(g222 +V" +tp1548 +a(g340 +V+ +tp1549 +a(g185 +V +tp1550 +a(g198 +V[ +tp1551 +a(g185 +V +tp1552 +a(g18 +Vobjects +p1553 +tp1554 +a(g185 +V +tp1555 +a(g18 +Vcount +p1556 +tp1557 +a(g198 +V] +tp1558 +a(g340 +V+ +tp1559 +a(g185 +V +tp1560 +a(g222 +V" +tp1561 +a(g222 +V!= +p1562 +tp1563 +a(g222 +V" +tp1564 +a(g340 +V+ +tp1565 +a(g185 +V +tp1566 +a(g198 +V[ +tp1567 +a(g185 +V +tp1568 +a(g18 +VkeyArray +p1569 +tp1570 +a(g185 +V +tp1571 +a(g18 +Vcount +p1572 +tp1573 +a(g198 +V] +tp1574 +a(g340 +V+ +tp1575 +a(g185 +V +tp1576 +a(g222 +V" +tp1577 +a(g222 +V) +tp1578 +a(g222 +V" +tp1579 +a(g198 +V] +tp1580 +a(g198 +V; +tp1581 +a(g185 +V\u000a +tp1582 +a(g185 +V\u000a +tp1583 +a(g185 +V +p1584 +tp1585 +a(g185 +V +tp1586 +a(g111 +Vif +p1587 +tp1588 +a(g185 +V +tp1589 +a(g185 +V +tp1590 +a(g198 +V( +tp1591 +a(g185 +V +tp1592 +a(g57 +Vself +p1593 +tp1594 +a(g198 +V) +tp1595 +a(g185 +V\u000a +tp1596 +a(g185 +V +p1597 +tp1598 +a(g198 +V{ +tp1599 +a(g185 +V\u000a +tp1600 +a(g185 +V +p1601 +tp1602 +a(g185 +V +tp1603 +a(g127 +Vvar +p1604 +tp1605 +a(g185 +V +tp1606 +a(g185 +V +tp1607 +a(g18 +Vi +tp1608 +a(g185 +V +tp1609 +a(g340 +V= +tp1610 +a(g185 +V +tp1611 +a(g185 +V +tp1612 +a(g198 +V[ +tp1613 +a(g185 +V +tp1614 +a(g18 +VkeyArray +p1615 +tp1616 +a(g185 +V +tp1617 +a(g18 +Vcount +p1618 +tp1619 +a(g198 +V] +tp1620 +a(g198 +V; +tp1621 +a(g185 +V\u000a +tp1622 +a(g185 +V \u000a +p1623 +tp1624 +a(g185 +V +tp1625 +a(g111 +Vwhile +p1626 +tp1627 +a(g185 +V +tp1628 +a(g185 +V +tp1629 +a(g198 +V( +tp1630 +a(g185 +V +tp1631 +a(g18 +Vi +tp1632 +a(g340 +V-- +p1633 +tp1634 +a(g185 +V +tp1635 +a(g198 +V) +tp1636 +a(g185 +V\u000a +tp1637 +a(g185 +V +p1638 +tp1639 +a(g198 +V[ +tp1640 +a(g185 +V +tp1641 +a(g57 +Vself +p1642 +tp1643 +a(g185 +V +tp1644 +a(g18 +VsetObject +p1645 +tp1646 +a(g340 +V: +tp1647 +a(g185 +V +tp1648 +a(g18 +Vobjects +p1649 +tp1650 +a(g198 +V[ +tp1651 +a(g185 +V +tp1652 +a(g18 +Vi +tp1653 +a(g198 +V] +tp1654 +a(g185 +V +tp1655 +a(g18 +VforKey +p1656 +tp1657 +a(g340 +V: +tp1658 +a(g185 +V +tp1659 +a(g18 +VkeyArray +p1660 +tp1661 +a(g198 +V[ +tp1662 +a(g185 +V +tp1663 +a(g18 +Vi +tp1664 +a(g198 +V] +tp1665 +a(g198 +V] +tp1666 +a(g198 +V; +tp1667 +a(g185 +V\u000a +tp1668 +a(g185 +V +p1669 +tp1670 +a(g185 +V +tp1671 +a(g198 +V} +tp1672 +a(g185 +V\u000a +tp1673 +a(g185 +V \u000a +p1674 +tp1675 +a(g111 +Vreturn +p1676 +tp1677 +a(g185 +V +tp1678 +a(g185 +V +tp1679 +a(g57 +Vself +p1680 +tp1681 +a(g198 +V; +tp1682 +a(g185 +V\u000a +tp1683 +a(g185 +V +tp1684 +a(g198 +V} +tp1685 +a(g185 +V\u000a +tp1686 +a(g185 +V\u000a +tp1687 +a(g7 +V/*!\u000a Creates and returns a dictionary constructed by a given pairs of keys and values.\u000a @param firstObject first object value\u000a @param ... key for the first object and ongoing value-key pairs for more objects.\u000a @throws CPInvalidArgumentException if the number of objects and keys is different\u000a @return the new CPDictionary\u000a \u000a You can create dictionaries this way:\u000a var dict = [[CPDictionary alloc] initWithObjectsAndKeys:\u000a @"value1", @"key1",\u000a @"value2", @"key2"];\u000a \u000a Note, that there's no final nil like in Objective-C/Cocoa.\u000a*/ +p1688 +tp1689 +a(g185 +V\u000a +tp1690 +a(g340 +V- +tp1691 +a(g185 +V +tp1692 +a(g185 +V +tp1693 +a(g198 +V( +tp1694 +a(g185 +V +tp1695 +a(g135 +Vid +p1696 +tp1697 +a(g198 +V) +tp1698 +a(g21 +VinitWithObjectsAndKeys: +p1699 +tp1700 +a(g198 +V( +tp1701 +a(g185 +V +tp1702 +a(g135 +Vid +p1703 +tp1704 +a(g198 +V) +tp1705 +a(g185 +VfirstObject +p1706 +tp1707 +a(g198 +V, +tp1708 +a(g185 +V +tp1709 +a(g185 +V +tp1710 +a(g198 +V. +tp1711 +a(g198 +V. +tp1712 +a(g198 +V. +tp1713 +a(g185 +V\u000a +tp1714 +a(g198 +V{ +tp1715 +a(g185 +V +tp1716 +a(g185 +V\u000a +tp1717 +a(g185 +V +p1718 +tp1719 +a(g127 +Vvar +p1720 +tp1721 +a(g185 +V +tp1722 +a(g185 +V +tp1723 +a(g18 +VargCount +p1724 +tp1725 +a(g185 +V +tp1726 +a(g340 +V= +tp1727 +a(g185 +V +tp1728 +a(g185 +V +tp1729 +a(g18 +Varguments +p1730 +tp1731 +a(g198 +V. +tp1732 +a(g18 +Vlength +p1733 +tp1734 +a(g198 +V; +tp1735 +a(g185 +V\u000a +tp1736 +a(g185 +V \u000a +p1737 +tp1738 +a(g185 +V +tp1739 +a(g111 +Vif +p1740 +tp1741 +a(g185 +V +tp1742 +a(g185 +V +tp1743 +a(g198 +V( +tp1744 +a(g185 +V +tp1745 +a(g18 +VargCount +p1746 +tp1747 +a(g185 +V +tp1748 +a(g340 +V% +tp1749 +a(g185 +V +tp1750 +a(g185 +V +tp1751 +a(g315 +V2 +tp1752 +a(g185 +V +tp1753 +a(g340 +V!== +p1754 +tp1755 +a(g185 +V +tp1756 +a(g185 +V +tp1757 +a(g315 +V0 +tp1758 +a(g198 +V) +tp1759 +a(g185 +V\u000a +tp1760 +a(g185 +V +p1761 +tp1762 +a(g198 +V[ +tp1763 +a(g185 +V +tp1764 +a(g18 +VCPException +p1765 +tp1766 +a(g185 +V +tp1767 +a(g18 +Vraise +p1768 +tp1769 +a(g340 +V: +tp1770 +a(g185 +V +tp1771 +a(g18 +VCPInvalidArgumentException +p1772 +tp1773 +a(g185 +V +tp1774 +a(g18 +Vreason +p1775 +tp1776 +a(g340 +V: +tp1777 +a(g185 +V +tp1778 +a(g222 +V" +tp1779 +a(g222 +VKey-value count is mismatched. ( +p1780 +tp1781 +a(g222 +V" +tp1782 +a(g185 +V +tp1783 +a(g340 +V+ +tp1784 +a(g185 +V +tp1785 +a(g185 +V +tp1786 +a(g18 +VargCount +p1787 +tp1788 +a(g185 +V +tp1789 +a(g340 +V+ +tp1790 +a(g185 +V +tp1791 +a(g185 +V +tp1792 +a(g222 +V" +tp1793 +a(g222 +V arguments passed) +p1794 +tp1795 +a(g222 +V" +tp1796 +a(g198 +V] +tp1797 +a(g198 +V; +tp1798 +a(g185 +V\u000a +tp1799 +a(g185 +V\u000a +tp1800 +a(g185 +V +p1801 +tp1802 +a(g185 +V +tp1803 +a(g57 +Vself +p1804 +tp1805 +a(g185 +V +tp1806 +a(g340 +V= +tp1807 +a(g185 +V +tp1808 +a(g185 +V +tp1809 +a(g198 +V[ +tp1810 +a(g185 +V +tp1811 +a(g57 +Vsuper +p1812 +tp1813 +a(g185 +V +tp1814 +a(g18 +Vinit +p1815 +tp1816 +a(g198 +V] +tp1817 +a(g198 +V; +tp1818 +a(g185 +V\u000a +tp1819 +a(g185 +V \u000a +p1820 +tp1821 +a(g185 +V +tp1822 +a(g111 +Vif +p1823 +tp1824 +a(g185 +V +tp1825 +a(g185 +V +tp1826 +a(g198 +V( +tp1827 +a(g185 +V +tp1828 +a(g57 +Vself +p1829 +tp1830 +a(g198 +V) +tp1831 +a(g185 +V\u000a +tp1832 +a(g185 +V +p1833 +tp1834 +a(g198 +V{ +tp1835 +a(g185 +V\u000a +tp1836 +a(g185 +V +p1837 +tp1838 +a(g354 +V// The arguments array contains self and _cmd, so the first object is at position 2.\u000a +p1839 +tp1840 +a(g185 +V +p1841 +tp1842 +a(g185 +V +tp1843 +a(g127 +Vvar +p1844 +tp1845 +a(g185 +V +tp1846 +a(g185 +V +tp1847 +a(g18 +Vindex +p1848 +tp1849 +a(g185 +V +tp1850 +a(g340 +V= +tp1851 +a(g185 +V +tp1852 +a(g185 +V +tp1853 +a(g315 +V2 +tp1854 +a(g198 +V; +tp1855 +a(g185 +V\u000a +tp1856 +a(g185 +V \u000a +p1857 +tp1858 +a(g185 +V +tp1859 +a(g111 +Vfor +p1860 +tp1861 +a(g185 +V +tp1862 +a(g198 +V( +tp1863 +a(g185 +V +tp1864 +a(g198 +V; +tp1865 +a(g185 +V +tp1866 +a(g185 +V +tp1867 +a(g18 +Vindex +p1868 +tp1869 +a(g185 +V +tp1870 +a(g340 +V< +tp1871 +a(g185 +V +tp1872 +a(g185 +V +tp1873 +a(g18 +VargCount +p1874 +tp1875 +a(g198 +V; +tp1876 +a(g185 +V +tp1877 +a(g185 +V +tp1878 +a(g18 +Vindex +p1879 +tp1880 +a(g185 +V +tp1881 +a(g340 +V+= +p1882 +tp1883 +a(g185 +V +tp1884 +a(g185 +V +tp1885 +a(g315 +V2 +tp1886 +a(g198 +V) +tp1887 +a(g185 +V\u000a +tp1888 +a(g185 +V +p1889 +tp1890 +a(g198 +V{ +tp1891 +a(g185 +V\u000a +tp1892 +a(g185 +V +p1893 +tp1894 +a(g185 +V +tp1895 +a(g127 +Vvar +p1896 +tp1897 +a(g185 +V +tp1898 +a(g185 +V +tp1899 +a(g18 +Vvalue +p1900 +tp1901 +a(g185 +V +tp1902 +a(g340 +V= +tp1903 +a(g185 +V +tp1904 +a(g185 +V +tp1905 +a(g18 +Varguments +p1906 +tp1907 +a(g198 +V[ +tp1908 +a(g185 +V +tp1909 +a(g18 +Vindex +p1910 +tp1911 +a(g198 +V] +tp1912 +a(g198 +V; +tp1913 +a(g185 +V\u000a +tp1914 +a(g185 +V\u000a +tp1915 +a(g185 +V +p1916 +tp1917 +a(g185 +V +tp1918 +a(g111 +Vif +p1919 +tp1920 +a(g185 +V +tp1921 +a(g185 +V +tp1922 +a(g198 +V( +tp1923 +a(g185 +V +tp1924 +a(g18 +Vvalue +p1925 +tp1926 +a(g185 +V +tp1927 +a(g340 +V=== +p1928 +tp1929 +a(g185 +V +tp1930 +a(g185 +V +tp1931 +a(g113 +Vnil +p1932 +tp1933 +a(g198 +V) +tp1934 +a(g185 +V\u000a +tp1935 +a(g185 +V +p1936 +tp1937 +a(g111 +Vbreak +p1938 +tp1939 +a(g185 +V +tp1940 +a(g198 +V; +tp1941 +a(g185 +V\u000a +tp1942 +a(g185 +V\u000a +tp1943 +a(g185 +V +p1944 +tp1945 +a(g185 +V +tp1946 +a(g198 +V[ +tp1947 +a(g185 +V +tp1948 +a(g57 +Vself +p1949 +tp1950 +a(g185 +V +tp1951 +a(g18 +VsetObject +p1952 +tp1953 +a(g340 +V: +tp1954 +a(g185 +V +tp1955 +a(g18 +Vvalue +p1956 +tp1957 +a(g185 +V +tp1958 +a(g18 +VforKey +p1959 +tp1960 +a(g340 +V: +tp1961 +a(g185 +V +tp1962 +a(g18 +Varguments +p1963 +tp1964 +a(g198 +V[ +tp1965 +a(g185 +V +tp1966 +a(g18 +Vindex +p1967 +tp1968 +a(g185 +V +tp1969 +a(g340 +V+ +tp1970 +a(g185 +V +tp1971 +a(g185 +V +tp1972 +a(g315 +V1 +tp1973 +a(g198 +V] +tp1974 +a(g198 +V] +tp1975 +a(g198 +V; +tp1976 +a(g185 +V\u000a +tp1977 +a(g185 +V +p1978 +tp1979 +a(g185 +V +tp1980 +a(g198 +V} +tp1981 +a(g185 +V\u000a +tp1982 +a(g185 +V +p1983 +tp1984 +a(g198 +V} +tp1985 +a(g185 +V\u000a +tp1986 +a(g185 +V\u000a +tp1987 +a(g185 +V +p1988 +tp1989 +a(g111 +Vreturn +p1990 +tp1991 +a(g185 +V +tp1992 +a(g185 +V +tp1993 +a(g57 +Vself +p1994 +tp1995 +a(g198 +V; +tp1996 +a(g185 +V\u000a +tp1997 +a(g185 +V +tp1998 +a(g198 +V} +tp1999 +a(g185 +V\u000a +tp2000 +a(g185 +V\u000a +tp2001 +a(g7 +V/*!\u000a return a copy of the receiver (does not deep copy the objects contained in the dictionary).\u000a*/ +p2002 +tp2003 +a(g185 +V\u000a +tp2004 +a(g340 +V- +tp2005 +a(g185 +V +tp2006 +a(g185 +V +tp2007 +a(g198 +V( +tp2008 +a(g185 +V +tp2009 +a(g135 +VCPDictionary +p2010 +tp2011 +a(g198 +V) +tp2012 +a(g21 +Vcopy +p2013 +tp2014 +a(g185 +V\u000a +tp2015 +a(g198 +V{ +tp2016 +a(g185 +V +tp2017 +a(g185 +V\u000a +tp2018 +a(g185 +V +p2019 +tp2020 +a(g111 +Vreturn +p2021 +tp2022 +a(g185 +V +tp2023 +a(g185 +V +tp2024 +a(g198 +V[ +tp2025 +a(g185 +V +tp2026 +a(g18 +VCPDictionary +p2027 +tp2028 +a(g185 +V +tp2029 +a(g18 +VdictionaryWithDictionary +p2030 +tp2031 +a(g340 +V: +tp2032 +a(g185 +V +tp2033 +a(g57 +Vself +p2034 +tp2035 +a(g198 +V] +tp2036 +a(g198 +V; +tp2037 +a(g185 +V\u000a +tp2038 +a(g185 +V +tp2039 +a(g198 +V} +tp2040 +a(g185 +V\u000a +tp2041 +a(g185 +V\u000a +tp2042 +a(g7 +V/*!\u000a Returns the number of entries in the dictionary\u000a*/ +p2043 +tp2044 +a(g185 +V\u000a +tp2045 +a(g340 +V- +tp2046 +a(g185 +V +tp2047 +a(g185 +V +tp2048 +a(g198 +V( +tp2049 +a(g185 +V +tp2050 +a(g135 +Vint +p2051 +tp2052 +a(g198 +V) +tp2053 +a(g21 +Vcount +p2054 +tp2055 +a(g185 +V\u000a +tp2056 +a(g198 +V{ +tp2057 +a(g185 +V +tp2058 +a(g185 +V\u000a +tp2059 +a(g185 +V +p2060 +tp2061 +a(g111 +Vreturn +p2062 +tp2063 +a(g185 +V +tp2064 +a(g185 +V +tp2065 +a(g18 +Vcount +p2066 +tp2067 +a(g198 +V; +tp2068 +a(g185 +V\u000a +tp2069 +a(g185 +V +tp2070 +a(g198 +V} +tp2071 +a(g185 +V\u000a +tp2072 +a(g185 +V\u000a +tp2073 +a(g7 +V/*!\u000a Returns an array of keys for all the entries in the dictionary.\u000a*/ +p2074 +tp2075 +a(g185 +V\u000a +tp2076 +a(g340 +V- +tp2077 +a(g185 +V +tp2078 +a(g185 +V +tp2079 +a(g198 +V( +tp2080 +a(g185 +V +tp2081 +a(g135 +VCPArray +p2082 +tp2083 +a(g198 +V) +tp2084 +a(g21 +VallKeys +p2085 +tp2086 +a(g185 +V\u000a +tp2087 +a(g198 +V{ +tp2088 +a(g185 +V +tp2089 +a(g185 +V\u000a +tp2090 +a(g185 +V +p2091 +tp2092 +a(g111 +Vreturn +p2093 +tp2094 +a(g185 +V +tp2095 +a(g185 +V +tp2096 +a(g18 +V_keys +p2097 +tp2098 +a(g198 +V; +tp2099 +a(g185 +V\u000a +tp2100 +a(g185 +V +tp2101 +a(g198 +V} +tp2102 +a(g185 +V\u000a +tp2103 +a(g185 +V\u000a +tp2104 +a(g7 +V/*!\u000a Returns an array of values for all the entries in the dictionary.\u000a*/ +p2105 +tp2106 +a(g185 +V\u000a +tp2107 +a(g340 +V- +tp2108 +a(g185 +V +tp2109 +a(g185 +V +tp2110 +a(g198 +V( +tp2111 +a(g185 +V +tp2112 +a(g135 +VCPArray +p2113 +tp2114 +a(g198 +V) +tp2115 +a(g21 +VallValues +p2116 +tp2117 +a(g185 +V\u000a +tp2118 +a(g198 +V{ +tp2119 +a(g185 +V +tp2120 +a(g185 +V\u000a +tp2121 +a(g185 +V +p2122 +tp2123 +a(g127 +Vvar +p2124 +tp2125 +a(g185 +V +tp2126 +a(g185 +V +tp2127 +a(g18 +Vindex +p2128 +tp2129 +a(g185 +V +tp2130 +a(g340 +V= +tp2131 +a(g185 +V +tp2132 +a(g185 +V +tp2133 +a(g18 +V_keys +p2134 +tp2135 +a(g198 +V. +tp2136 +a(g18 +Vlength +p2137 +tp2138 +a(g198 +V, +tp2139 +a(g185 +V\u000a +tp2140 +a(g185 +V +p2141 +tp2142 +a(g185 +V +tp2143 +a(g18 +Vvalues +p2144 +tp2145 +a(g185 +V +tp2146 +a(g340 +V= +tp2147 +a(g185 +V +tp2148 +a(g185 +V +tp2149 +a(g198 +V[ +tp2150 +a(g185 +V +tp2151 +a(g198 +V] +tp2152 +a(g198 +V; +tp2153 +a(g185 +V\u000a +tp2154 +a(g185 +V \u000a +p2155 +tp2156 +a(g185 +V +tp2157 +a(g111 +Vwhile +p2158 +tp2159 +a(g185 +V +tp2160 +a(g185 +V +tp2161 +a(g198 +V( +tp2162 +a(g185 +V +tp2163 +a(g18 +Vindex +p2164 +tp2165 +a(g340 +V-- +p2166 +tp2167 +a(g185 +V +tp2168 +a(g198 +V) +tp2169 +a(g185 +V\u000a +tp2170 +a(g185 +V +p2171 +tp2172 +a(g18 +Vvalues +p2173 +tp2174 +a(g198 +V. +tp2175 +a(g21 +Vpush +p2176 +tp2177 +a(g198 +V( +tp2178 +a(g185 +V +tp2179 +a(g21 +Vdictionary_getValue +p2180 +tp2181 +a(g198 +V( +tp2182 +a(g185 +V +tp2183 +a(g57 +Vself +p2184 +tp2185 +a(g198 +V, +tp2186 +a(g185 +V +tp2187 +a(g185 +V +tp2188 +a(g198 +V[ +tp2189 +a(g185 +V +tp2190 +a(g18 +V_keys +p2191 +tp2192 +a(g198 +V[ +tp2193 +a(g185 +V +tp2194 +a(g18 +Vindex +p2195 +tp2196 +a(g198 +V] +tp2197 +a(g198 +V] +tp2198 +a(g198 +V) +tp2199 +a(g198 +V) +tp2200 +a(g198 +V; +tp2201 +a(g185 +V\u000a +tp2202 +a(g185 +V\u000a +tp2203 +a(g185 +V +p2204 +tp2205 +a(g185 +V +tp2206 +a(g111 +Vreturn +p2207 +tp2208 +a(g185 +V +tp2209 +a(g185 +V +tp2210 +a(g18 +Vvalues +p2211 +tp2212 +a(g198 +V; +tp2213 +a(g185 +V\u000a +tp2214 +a(g185 +V +tp2215 +a(g198 +V} +tp2216 +a(g185 +V\u000a +tp2217 +a(g185 +V\u000a +tp2218 +a(g7 +V/*!\u000a Returns an enumerator that enumerates over all the dictionary's keys.\u000a*/ +p2219 +tp2220 +a(g185 +V\u000a +tp2221 +a(g340 +V- +tp2222 +a(g185 +V +tp2223 +a(g185 +V +tp2224 +a(g198 +V( +tp2225 +a(g185 +V +tp2226 +a(g135 +VCPEnumerator +p2227 +tp2228 +a(g198 +V) +tp2229 +a(g21 +VkeyEnumerator +p2230 +tp2231 +a(g185 +V\u000a +tp2232 +a(g198 +V{ +tp2233 +a(g185 +V +tp2234 +a(g185 +V\u000a +tp2235 +a(g185 +V +p2236 +tp2237 +a(g111 +Vreturn +p2238 +tp2239 +a(g185 +V +tp2240 +a(g185 +V +tp2241 +a(g198 +V[ +tp2242 +a(g185 +V +tp2243 +a(g18 +V_keys +p2244 +tp2245 +a(g185 +V +tp2246 +a(g18 +VobjectEnumerator +p2247 +tp2248 +a(g198 +V] +tp2249 +a(g198 +V; +tp2250 +a(g185 +V\u000a +tp2251 +a(g185 +V +tp2252 +a(g198 +V} +tp2253 +a(g185 +V\u000a +tp2254 +a(g185 +V\u000a +tp2255 +a(g7 +V/*!\u000a Returns an enumerator that enumerates over all the dictionary's values.\u000a*/ +p2256 +tp2257 +a(g185 +V\u000a +tp2258 +a(g340 +V- +tp2259 +a(g185 +V +tp2260 +a(g185 +V +tp2261 +a(g198 +V( +tp2262 +a(g185 +V +tp2263 +a(g135 +VCPEnumerator +p2264 +tp2265 +a(g198 +V) +tp2266 +a(g21 +VobjectEnumerator +p2267 +tp2268 +a(g185 +V\u000a +tp2269 +a(g198 +V{ +tp2270 +a(g185 +V +tp2271 +a(g185 +V\u000a +tp2272 +a(g185 +V +p2273 +tp2274 +a(g111 +Vreturn +p2275 +tp2276 +a(g185 +V +tp2277 +a(g185 +V +tp2278 +a(g198 +V[ +tp2279 +a(g185 +V +tp2280 +a(g198 +V[ +tp2281 +a(g185 +V +tp2282 +a(g18 +V_CPDictionaryValueEnumerator +p2283 +tp2284 +a(g185 +V +tp2285 +a(g18 +Valloc +p2286 +tp2287 +a(g198 +V] +tp2288 +a(g185 +V +tp2289 +a(g18 +VinitWithDictionary +p2290 +tp2291 +a(g340 +V: +tp2292 +a(g185 +V +tp2293 +a(g57 +Vself +p2294 +tp2295 +a(g198 +V] +tp2296 +a(g198 +V; +tp2297 +a(g185 +V\u000a +tp2298 +a(g185 +V +tp2299 +a(g198 +V} +tp2300 +a(g185 +V\u000a +tp2301 +a(g185 +V\u000a +tp2302 +a(g7 +V/*!\u000a Compare the receiver to this dictionary, and return whether or not they are equal. \u000a*/ +p2303 +tp2304 +a(g185 +V\u000a +tp2305 +a(g340 +V- +tp2306 +a(g185 +V +tp2307 +a(g185 +V +tp2308 +a(g198 +V( +tp2309 +a(g185 +V +tp2310 +a(g135 +VBOOL +p2311 +tp2312 +a(g198 +V) +tp2313 +a(g21 +VisEqualToDictionary: +p2314 +tp2315 +a(g198 +V( +tp2316 +a(g185 +V +tp2317 +a(g135 +VCPDictionary +p2318 +tp2319 +a(g198 +V) +tp2320 +a(g185 +VaDictionary +p2321 +tp2322 +a(g185 +V\u000a +tp2323 +a(g198 +V{ +tp2324 +a(g185 +V +tp2325 +a(g185 +V\u000a +tp2326 +a(g185 +V +p2327 +tp2328 +a(g111 +Vif +p2329 +tp2330 +a(g185 +V +tp2331 +a(g185 +V +tp2332 +a(g198 +V( +tp2333 +a(g185 +V +tp2334 +a(g18 +Vcount +p2335 +tp2336 +a(g185 +V +tp2337 +a(g340 +V!== +p2338 +tp2339 +a(g185 +V +tp2340 +a(g185 +V +tp2341 +a(g198 +V[ +tp2342 +a(g185 +V +tp2343 +a(g18 +VaDictionary +p2344 +tp2345 +a(g185 +V +tp2346 +a(g18 +Vcount +p2347 +tp2348 +a(g198 +V] +tp2349 +a(g198 +V) +tp2350 +a(g185 +V\u000a +tp2351 +a(g185 +V +p2352 +tp2353 +a(g111 +Vreturn +p2354 +tp2355 +a(g185 +V +tp2356 +a(g185 +V +tp2357 +a(g113 +VNO +p2358 +tp2359 +a(g198 +V; +tp2360 +a(g185 +V\u000a +tp2361 +a(g185 +V\u000a +tp2362 +a(g185 +V +p2363 +tp2364 +a(g185 +V +tp2365 +a(g127 +Vvar +p2366 +tp2367 +a(g185 +V +tp2368 +a(g185 +V +tp2369 +a(g18 +Vindex +p2370 +tp2371 +a(g185 +V +tp2372 +a(g340 +V= +tp2373 +a(g185 +V +tp2374 +a(g185 +V +tp2375 +a(g18 +Vcount +p2376 +tp2377 +a(g198 +V; +tp2378 +a(g185 +V\u000a +tp2379 +a(g185 +V +p2380 +tp2381 +a(g185 +V +tp2382 +a(g111 +Vwhile +p2383 +tp2384 +a(g185 +V +tp2385 +a(g185 +V +tp2386 +a(g198 +V( +tp2387 +a(g185 +V +tp2388 +a(g18 +Vindex +p2389 +tp2390 +a(g340 +V-- +p2391 +tp2392 +a(g185 +V +tp2393 +a(g198 +V) +tp2394 +a(g185 +V\u000a +tp2395 +a(g185 +V +p2396 +tp2397 +a(g198 +V{ +tp2398 +a(g185 +V\u000a +tp2399 +a(g185 +V +p2400 +tp2401 +a(g185 +V +tp2402 +a(g127 +Vvar +p2403 +tp2404 +a(g185 +V +tp2405 +a(g185 +V +tp2406 +a(g18 +VcurrentKey +p2407 +tp2408 +a(g185 +V +tp2409 +a(g340 +V= +tp2410 +a(g185 +V +tp2411 +a(g185 +V +tp2412 +a(g18 +V_keys +p2413 +tp2414 +a(g198 +V[ +tp2415 +a(g185 +V +tp2416 +a(g18 +Vindex +p2417 +tp2418 +a(g198 +V] +tp2419 +a(g198 +V, +tp2420 +a(g185 +V\u000a +tp2421 +a(g185 +V +p2422 +tp2423 +a(g185 +V +tp2424 +a(g18 +VlhsObject +p2425 +tp2426 +a(g185 +V +tp2427 +a(g340 +V= +tp2428 +a(g185 +V +tp2429 +a(g185 +V +tp2430 +a(g18 +V_buckets +p2431 +tp2432 +a(g198 +V[ +tp2433 +a(g185 +V +tp2434 +a(g18 +VcurrentKey +p2435 +tp2436 +a(g198 +V] +tp2437 +a(g198 +V, +tp2438 +a(g185 +V\u000a +tp2439 +a(g185 +V +p2440 +tp2441 +a(g185 +V +tp2442 +a(g18 +VrhsObject +p2443 +tp2444 +a(g185 +V +tp2445 +a(g340 +V= +tp2446 +a(g185 +V +tp2447 +a(g185 +V +tp2448 +a(g18 +VaDictionary +p2449 +tp2450 +a(g198 +V. +tp2451 +a(g18 +V_buckets +p2452 +tp2453 +a(g198 +V[ +tp2454 +a(g185 +V +tp2455 +a(g18 +VcurrentKey +p2456 +tp2457 +a(g198 +V] +tp2458 +a(g198 +V; +tp2459 +a(g185 +V\u000a +tp2460 +a(g185 +V\u000a +tp2461 +a(g185 +V +p2462 +tp2463 +a(g185 +V +tp2464 +a(g111 +Vif +p2465 +tp2466 +a(g185 +V +tp2467 +a(g185 +V +tp2468 +a(g198 +V( +tp2469 +a(g185 +V +tp2470 +a(g18 +VlhsObject +p2471 +tp2472 +a(g185 +V +tp2473 +a(g340 +V=== +p2474 +tp2475 +a(g185 +V +tp2476 +a(g185 +V +tp2477 +a(g18 +VrhsObject +p2478 +tp2479 +a(g198 +V) +tp2480 +a(g185 +V\u000a +tp2481 +a(g185 +V +p2482 +tp2483 +a(g111 +Vcontinue +p2484 +tp2485 +a(g185 +V +tp2486 +a(g198 +V; +tp2487 +a(g185 +V\u000a +tp2488 +a(g185 +V \u000a +p2489 +tp2490 +a(g185 +V +tp2491 +a(g111 +Vif +p2492 +tp2493 +a(g185 +V +tp2494 +a(g185 +V +tp2495 +a(g198 +V( +tp2496 +a(g185 +V +tp2497 +a(g18 +VlhsObject +p2498 +tp2499 +a(g198 +V. +tp2500 +a(g18 +Visa +p2501 +tp2502 +a(g185 +V +tp2503 +a(g340 +V&& +p2504 +tp2505 +a(g185 +V +tp2506 +a(g185 +V +tp2507 +a(g18 +VrhsObject +p2508 +tp2509 +a(g198 +V. +tp2510 +a(g18 +Visa +p2511 +tp2512 +a(g185 +V +tp2513 +a(g340 +V&& +p2514 +tp2515 +a(g185 +V +tp2516 +a(g185 +V +tp2517 +a(g198 +V[ +tp2518 +a(g185 +V +tp2519 +a(g18 +VlhsObject +p2520 +tp2521 +a(g185 +V +tp2522 +a(g18 +VrespondsToSelector +p2523 +tp2524 +a(g340 +V: +tp2525 +a(g185 +V +tp2526 +a(g111 +V@selector +p2527 +tp2528 +a(g198 +V( +tp2529 +a(g185 +V +tp2530 +a(g18 +VisEqual +p2531 +tp2532 +a(g340 +V: +tp2533 +a(g185 +V +tp2534 +a(g198 +V) +tp2535 +a(g198 +V] +tp2536 +a(g185 +V +tp2537 +a(g340 +V&& +p2538 +tp2539 +a(g185 +V +tp2540 +a(g185 +V +tp2541 +a(g198 +V[ +tp2542 +a(g185 +V +tp2543 +a(g18 +VlhsObject +p2544 +tp2545 +a(g185 +V +tp2546 +a(g18 +VisEqual +p2547 +tp2548 +a(g340 +V: +tp2549 +a(g185 +V +tp2550 +a(g18 +VrhsObject +p2551 +tp2552 +a(g198 +V] +tp2553 +a(g198 +V) +tp2554 +a(g185 +V\u000a +tp2555 +a(g185 +V +p2556 +tp2557 +a(g111 +Vcontinue +p2558 +tp2559 +a(g185 +V +tp2560 +a(g198 +V; +tp2561 +a(g185 +V\u000a +tp2562 +a(g185 +V \u000a +p2563 +tp2564 +a(g185 +V +tp2565 +a(g111 +Vreturn +p2566 +tp2567 +a(g185 +V +tp2568 +a(g185 +V +tp2569 +a(g113 +VNO +p2570 +tp2571 +a(g198 +V; +tp2572 +a(g185 +V\u000a +tp2573 +a(g185 +V +p2574 +tp2575 +a(g185 +V +tp2576 +a(g198 +V} +tp2577 +a(g185 +V\u000a +tp2578 +a(g185 +V\u000a +tp2579 +a(g185 +V +p2580 +tp2581 +a(g111 +Vreturn +p2582 +tp2583 +a(g185 +V +tp2584 +a(g185 +V +tp2585 +a(g113 +VYES +p2586 +tp2587 +a(g198 +V; +tp2588 +a(g185 +V\u000a +tp2589 +a(g185 +V +tp2590 +a(g198 +V} +tp2591 +a(g185 +V\u000a +tp2592 +a(g185 +V\u000a +tp2593 +a(g7 +V/*\u000a Instance.isEqualToDictionary(aDictionary)\u000a {\u000a if(this.count()!=aDictionary.count()) return NO;\u000a \u000a var i= this._keys.count();\u000a while(i--) if(this.objectForKey(this._keys[i])!=aDictionary.objectForKey(this._keys[i])) return NO;\u000a \u000a return YES;\u000a }\u000a \u000a Instance.allKeys()\u000a {\u000a return this._keys;\u000a }\u000a \u000a Instance.allKeysForObject(anObject)\u000a {\u000a var i= 0,\u000a keys= CPArray.array(),\u000a count= this.count();\u000a \u000a while((i= this._objects.indexOfObjectInRage(0, count-i))!=CPNotFound) keys.addObject(this._keys[i]);\u000a \u000a return keys;\u000a }\u000a \u000a Instance.allValues()\u000a {\u000a return this._objects;\u000a }\u000a \u000a Instance.keyEnumerator()\u000a {\u000a return this._keys.objectEnumerator();\u000a }\u000a \u000a Instance.keysSortedByValueUsingSelector(aSelector)\u000a {\u000a var dictionary= this,\u000a objectSelector= function(rhs)\u000a {\u000a return aSelector.apply(dictionary.objectForKey(this), [dictionary.objectForKey(rhs)]);\u000a };\u000a \u000a return this._keys.sortedArrayUsingSelector(objectSelector);\u000a }\u000a \u000a Instance.objectEnumerator()\u000a {\u000a return this._objects.objectEnumerator();\u000a }\u000a*/ +p2594 +tp2595 +a(g185 +V\u000a +tp2596 +a(g7 +V/*!\u000a Returns the object for the entry with key \u005cc aKey.\u000a @param aKey the key for the object's entry\u000a @return the object for the entry\u000a*/ +p2597 +tp2598 +a(g185 +V\u000a +tp2599 +a(g340 +V- +tp2600 +a(g185 +V +tp2601 +a(g185 +V +tp2602 +a(g198 +V( +tp2603 +a(g185 +V +tp2604 +a(g135 +Vid +p2605 +tp2606 +a(g198 +V) +tp2607 +a(g21 +VobjectForKey: +p2608 +tp2609 +a(g198 +V( +tp2610 +a(g185 +V +tp2611 +a(g135 +VCPString +p2612 +tp2613 +a(g198 +V) +tp2614 +a(g185 +VaKey +p2615 +tp2616 +a(g185 +V\u000a +tp2617 +a(g198 +V{ +tp2618 +a(g185 +V +tp2619 +a(g185 +V\u000a +tp2620 +a(g185 +V +p2621 +tp2622 +a(g127 +Vvar +p2623 +tp2624 +a(g185 +V +tp2625 +a(g185 +V +tp2626 +a(g18 +Vobject +p2627 +tp2628 +a(g185 +V +tp2629 +a(g340 +V= +tp2630 +a(g185 +V +tp2631 +a(g185 +V +tp2632 +a(g18 +V_buckets +p2633 +tp2634 +a(g198 +V[ +tp2635 +a(g185 +V +tp2636 +a(g18 +VaKey +p2637 +tp2638 +a(g198 +V] +tp2639 +a(g198 +V; +tp2640 +a(g185 +V\u000a +tp2641 +a(g185 +V \u000a +p2642 +tp2643 +a(g185 +V +tp2644 +a(g111 +Vreturn +p2645 +tp2646 +a(g185 +V +tp2647 +a(g185 +V +tp2648 +a(g198 +V( +tp2649 +a(g185 +V +tp2650 +a(g18 +Vobject +p2651 +tp2652 +a(g185 +V +tp2653 +a(g340 +V=== +p2654 +tp2655 +a(g185 +V +tp2656 +a(g185 +V +tp2657 +a(g113 +Vundefined +p2658 +tp2659 +a(g198 +V) +tp2660 +a(g185 +V +tp2661 +a(g340 +V? +tp2662 +a(g185 +V +tp2663 +a(g185 +V +tp2664 +a(g113 +Vnil +p2665 +tp2666 +a(g185 +V +tp2667 +a(g340 +V: +tp2668 +a(g185 +V +tp2669 +a(g185 +V +tp2670 +a(g18 +Vobject +p2671 +tp2672 +a(g198 +V; +tp2673 +a(g185 +V\u000a +tp2674 +a(g185 +V +tp2675 +a(g198 +V} +tp2676 +a(g185 +V\u000a +tp2677 +a(g7 +V/*\u000a Instance.objectsForKeys(keys, aNotFoundMarker)\u000a {\u000a var i= keys.length,\u000a objects= CPArray.array();\u000a \u000a while(i--)\u000a {\u000a var object= this.objectForKey(keys[i]);\u000a objects.addObject(object==nil?aNotFoundMarker:object);\u000a }\u000a \u000a return objects;\u000a }\u000a \u000a Instance.valueForKey(aKey)\u000a {\u000a if(aKey.length && aKey[0]=="@") return this.objectForKey(aKey.substr(1));\u000a \u000a return base.valueForKey(aKey);\u000a }\u000a \u000a //\u000a \u000a Instance.addEntriesFromDictionary(aDictionary)\u000a {\u000a var key,\u000a keyEnumerator= aDictionary.keyEnumerator();\u000a\u000a while(key= keyEnumerator.nextObject()) this.setObjectForKey(aDictionary.objectForKey(key), key);\u000a }\u000a*/ +p2678 +tp2679 +a(g185 +V\u000a +tp2680 +a(g7 +V/*!\u000a Removes all the entries from the dictionary.\u000a*/ +p2681 +tp2682 +a(g185 +V\u000a +tp2683 +a(g340 +V- +tp2684 +a(g185 +V +tp2685 +a(g185 +V +tp2686 +a(g198 +V( +tp2687 +a(g185 +V +tp2688 +a(g135 +Vvoid +p2689 +tp2690 +a(g198 +V) +tp2691 +a(g21 +VremoveAllObjects +p2692 +tp2693 +a(g185 +V\u000a +tp2694 +a(g198 +V{ +tp2695 +a(g185 +V +tp2696 +a(g185 +V\u000a +tp2697 +a(g185 +V +p2698 +tp2699 +a(g18 +V_keys +p2700 +tp2701 +a(g185 +V +tp2702 +a(g340 +V= +tp2703 +a(g185 +V +tp2704 +a(g185 +V +tp2705 +a(g198 +V[ +tp2706 +a(g185 +V +tp2707 +a(g198 +V] +tp2708 +a(g198 +V; +tp2709 +a(g185 +V\u000a +tp2710 +a(g185 +V +p2711 +tp2712 +a(g185 +V +tp2713 +a(g18 +Vcount +p2714 +tp2715 +a(g185 +V +tp2716 +a(g340 +V= +tp2717 +a(g185 +V +tp2718 +a(g185 +V +tp2719 +a(g315 +V0 +tp2720 +a(g198 +V; +tp2721 +a(g185 +V\u000a +tp2722 +a(g185 +V +p2723 +tp2724 +a(g185 +V +tp2725 +a(g18 +V_buckets +p2726 +tp2727 +a(g185 +V +tp2728 +a(g340 +V= +tp2729 +a(g185 +V +tp2730 +a(g185 +V +tp2731 +a(g198 +V{ +tp2732 +a(g185 +V +tp2733 +a(g198 +V} +tp2734 +a(g198 +V; +tp2735 +a(g185 +V\u000a +tp2736 +a(g185 +V +tp2737 +a(g198 +V} +tp2738 +a(g185 +V\u000a +tp2739 +a(g185 +V\u000a +tp2740 +a(g7 +V/*!\u000a Removes the entry for the specified key.\u000a @param aKey the key of the entry to be removed\u000a*/ +p2741 +tp2742 +a(g185 +V\u000a +tp2743 +a(g340 +V- +tp2744 +a(g185 +V +tp2745 +a(g185 +V +tp2746 +a(g198 +V( +tp2747 +a(g185 +V +tp2748 +a(g135 +Vvoid +p2749 +tp2750 +a(g198 +V) +tp2751 +a(g21 +VremoveObjectForKey: +p2752 +tp2753 +a(g198 +V( +tp2754 +a(g185 +V +tp2755 +a(g135 +Vid +p2756 +tp2757 +a(g198 +V) +tp2758 +a(g185 +VaKey +p2759 +tp2760 +a(g185 +V\u000a +tp2761 +a(g198 +V{ +tp2762 +a(g185 +V +tp2763 +a(g185 +V\u000a +tp2764 +a(g185 +V +p2765 +tp2766 +a(g21 +Vdictionary_removeValue +p2767 +tp2768 +a(g198 +V( +tp2769 +a(g185 +V +tp2770 +a(g57 +Vself +p2771 +tp2772 +a(g198 +V, +tp2773 +a(g185 +V +tp2774 +a(g185 +V +tp2775 +a(g18 +VaKey +p2776 +tp2777 +a(g198 +V) +tp2778 +a(g198 +V; +tp2779 +a(g185 +V\u000a +tp2780 +a(g185 +V +tp2781 +a(g198 +V} +tp2782 +a(g185 +V\u000a +tp2783 +a(g185 +V\u000a +tp2784 +a(g7 +V/*!\u000a Removes each entry in allKeys from the receiver.\u000a @param allKeys an array of keys that will be removed from the dictionary\u000a*/ +p2785 +tp2786 +a(g185 +V\u000a +tp2787 +a(g340 +V- +tp2788 +a(g185 +V +tp2789 +a(g185 +V +tp2790 +a(g198 +V( +tp2791 +a(g185 +V +tp2792 +a(g135 +Vvoid +p2793 +tp2794 +a(g198 +V) +tp2795 +a(g21 +VremoveObjectsForKeys: +p2796 +tp2797 +a(g198 +V( +tp2798 +a(g185 +V +tp2799 +a(g135 +VCPArray +p2800 +tp2801 +a(g198 +V) +tp2802 +a(g185 +VallKeys +p2803 +tp2804 +a(g185 +V\u000a +tp2805 +a(g198 +V{ +tp2806 +a(g185 +V +tp2807 +a(g185 +V\u000a +tp2808 +a(g185 +V +p2809 +tp2810 +a(g127 +Vvar +p2811 +tp2812 +a(g185 +V +tp2813 +a(g185 +V +tp2814 +a(g18 +Vindex +p2815 +tp2816 +a(g185 +V +tp2817 +a(g340 +V= +tp2818 +a(g185 +V +tp2819 +a(g185 +V +tp2820 +a(g18 +VallKeys +p2821 +tp2822 +a(g198 +V. +tp2823 +a(g18 +Vlength +p2824 +tp2825 +a(g198 +V; +tp2826 +a(g185 +V\u000a +tp2827 +a(g185 +V\u000a +tp2828 +a(g185 +V +p2829 +tp2830 +a(g185 +V +tp2831 +a(g111 +Vwhile +p2832 +tp2833 +a(g185 +V +tp2834 +a(g185 +V +tp2835 +a(g198 +V( +tp2836 +a(g185 +V +tp2837 +a(g18 +Vindex +p2838 +tp2839 +a(g340 +V-- +p2840 +tp2841 +a(g185 +V +tp2842 +a(g198 +V) +tp2843 +a(g185 +V\u000a +tp2844 +a(g185 +V +p2845 +tp2846 +a(g21 +Vdictionary_removeValue +p2847 +tp2848 +a(g198 +V( +tp2849 +a(g185 +V +tp2850 +a(g57 +Vself +p2851 +tp2852 +a(g198 +V, +tp2853 +a(g185 +V +tp2854 +a(g185 +V +tp2855 +a(g18 +VallKeys +p2856 +tp2857 +a(g198 +V[ +tp2858 +a(g185 +V +tp2859 +a(g18 +Vindex +p2860 +tp2861 +a(g198 +V] +tp2862 +a(g198 +V) +tp2863 +a(g198 +V; +tp2864 +a(g185 +V\u000a +tp2865 +a(g185 +V +tp2866 +a(g198 +V} +tp2867 +a(g185 +V\u000a +tp2868 +a(g185 +V\u000a +tp2869 +a(g7 +V/*\u000a Instance.removeObjectForKey(aKey)\u000a {\u000a var entry= this._dictionary[aKey];\u000a \u000a if(entry)\u000a {\u000a var range= CPMakeRange(entry.index, 1);\u000a \u000a this._keys.removeObjectsInRange(range);\u000a this._objects.removeObjectsInRange(range);\u000a \u000a delete this._dictionary[aKey];\u000a }\u000a }\u000a \u000a Instance.setDictionary(aDictionary)\u000a {\u000a this._keys= CPArray.arrayWithArray(aDictionary.allKeys());\u000a this._objects= CPArray.arrayWithArray(aDictionary.allValues());\u000a \u000a this._dictionary= { };\u000a \u000a var i= this._keys.count();\u000a while(i--) this._dictionary[this._keys[i]]= { object: this._objects[i], index: i };\u000a }\u000a*/ +p2870 +tp2871 +a(g185 +V\u000a +tp2872 +a(g7 +V/*!\u000a Adds an entry into the dictionary.\u000a @param anObject the object for the entry\u000a @param aKey the entry's key\u000a*/ +p2873 +tp2874 +a(g185 +V\u000a +tp2875 +a(g340 +V- +tp2876 +a(g185 +V +tp2877 +a(g185 +V +tp2878 +a(g198 +V( +tp2879 +a(g185 +V +tp2880 +a(g135 +Vvoid +p2881 +tp2882 +a(g198 +V) +tp2883 +a(g21 +VsetObject: +p2884 +tp2885 +a(g198 +V( +tp2886 +a(g185 +V +tp2887 +a(g135 +Vid +p2888 +tp2889 +a(g198 +V) +tp2890 +a(g185 +VanObject +p2891 +tp2892 +a(g185 +V +tp2893 +a(g21 +VforKey: +p2894 +tp2895 +a(g198 +V( +tp2896 +a(g185 +V +tp2897 +a(g135 +Vid +p2898 +tp2899 +a(g198 +V) +tp2900 +a(g185 +VaKey +p2901 +tp2902 +a(g185 +V\u000a +tp2903 +a(g198 +V{ +tp2904 +a(g185 +V +tp2905 +a(g185 +V\u000a +tp2906 +a(g185 +V +p2907 +tp2908 +a(g21 +Vdictionary_setValue +p2909 +tp2910 +a(g198 +V( +tp2911 +a(g185 +V +tp2912 +a(g57 +Vself +p2913 +tp2914 +a(g198 +V, +tp2915 +a(g185 +V +tp2916 +a(g185 +V +tp2917 +a(g18 +VaKey +p2918 +tp2919 +a(g198 +V, +tp2920 +a(g185 +V +tp2921 +a(g185 +V +tp2922 +a(g18 +VanObject +p2923 +tp2924 +a(g198 +V) +tp2925 +a(g198 +V; +tp2926 +a(g185 +V\u000a +tp2927 +a(g185 +V +tp2928 +a(g198 +V} +tp2929 +a(g185 +V\u000a +tp2930 +a(g7 +V/*\u000a Instance.setValueForKey(aValue, aKey)\u000a {\u000a if(!aValue) this.removeObjectForKey(aKey);\u000a else this.setObjectForKey(aValue, aKey);\u000a }\u000a \u000a Instance.copy()\u000a {\u000a return CPDictionary.alloc().dictionaryWithDictionary(this);\u000a }\u000a*/ +p2931 +tp2932 +a(g185 +V\u000a +tp2933 +a(g185 +V\u000a +tp2934 +a(g7 +V/*!\u000a Take all the key/value pairs in aDictionary and apply them to this dictionary.\u000a*/ +p2935 +tp2936 +a(g185 +V\u000a +tp2937 +a(g340 +V- +tp2938 +a(g185 +V +tp2939 +a(g185 +V +tp2940 +a(g198 +V( +tp2941 +a(g185 +V +tp2942 +a(g135 +Vvoid +p2943 +tp2944 +a(g198 +V) +tp2945 +a(g21 +VaddEntriesFromDictionary: +p2946 +tp2947 +a(g198 +V( +tp2948 +a(g185 +V +tp2949 +a(g135 +VCPDictionary +p2950 +tp2951 +a(g198 +V) +tp2952 +a(g185 +VaDictionary +p2953 +tp2954 +a(g185 +V\u000a +tp2955 +a(g198 +V{ +tp2956 +a(g185 +V +tp2957 +a(g185 +V\u000a +tp2958 +a(g185 +V +p2959 +tp2960 +a(g111 +Vif +p2961 +tp2962 +a(g185 +V +tp2963 +a(g185 +V +tp2964 +a(g198 +V( +tp2965 +a(g185 +V +tp2966 +a(g340 +V! +tp2967 +a(g185 +V +tp2968 +a(g18 +VaDictionary +p2969 +tp2970 +a(g198 +V) +tp2971 +a(g185 +V\u000a +tp2972 +a(g185 +V +p2973 +tp2974 +a(g111 +Vreturn +p2975 +tp2976 +a(g185 +V +tp2977 +a(g198 +V; +tp2978 +a(g185 +V\u000a +tp2979 +a(g185 +V \u000a +p2980 +tp2981 +a(g185 +V +tp2982 +a(g127 +Vvar +p2983 +tp2984 +a(g185 +V +tp2985 +a(g185 +V +tp2986 +a(g18 +Vkeys +p2987 +tp2988 +a(g185 +V +tp2989 +a(g340 +V= +tp2990 +a(g185 +V +tp2991 +a(g185 +V +tp2992 +a(g198 +V[ +tp2993 +a(g185 +V +tp2994 +a(g18 +VaDictionary +p2995 +tp2996 +a(g185 +V +tp2997 +a(g18 +VallKeys +p2998 +tp2999 +a(g198 +V] +tp3000 +a(g198 +V, +tp3001 +a(g185 +V\u000a +tp3002 +a(g185 +V +p3003 +tp3004 +a(g185 +V +tp3005 +a(g18 +Vindex +p3006 +tp3007 +a(g185 +V +tp3008 +a(g340 +V= +tp3009 +a(g185 +V +tp3010 +a(g185 +V +tp3011 +a(g198 +V[ +tp3012 +a(g185 +V +tp3013 +a(g18 +Vkeys +p3014 +tp3015 +a(g185 +V +tp3016 +a(g18 +Vcount +p3017 +tp3018 +a(g198 +V] +tp3019 +a(g198 +V; +tp3020 +a(g185 +V\u000a +tp3021 +a(g185 +V \u000a +p3022 +tp3023 +a(g185 +V +tp3024 +a(g111 +Vwhile +p3025 +tp3026 +a(g185 +V +tp3027 +a(g185 +V +tp3028 +a(g198 +V( +tp3029 +a(g185 +V +tp3030 +a(g18 +Vindex +p3031 +tp3032 +a(g340 +V-- +p3033 +tp3034 +a(g185 +V +tp3035 +a(g198 +V) +tp3036 +a(g185 +V\u000a +tp3037 +a(g185 +V +p3038 +tp3039 +a(g198 +V{ +tp3040 +a(g185 +V\u000a +tp3041 +a(g185 +V +p3042 +tp3043 +a(g185 +V +tp3044 +a(g127 +Vvar +p3045 +tp3046 +a(g185 +V +tp3047 +a(g185 +V +tp3048 +a(g18 +Vkey +p3049 +tp3050 +a(g185 +V +tp3051 +a(g340 +V= +tp3052 +a(g185 +V +tp3053 +a(g185 +V +tp3054 +a(g18 +Vkeys +p3055 +tp3056 +a(g198 +V[ +tp3057 +a(g185 +V +tp3058 +a(g18 +Vindex +p3059 +tp3060 +a(g198 +V] +tp3061 +a(g198 +V; +tp3062 +a(g185 +V\u000a +tp3063 +a(g185 +V\u000a +tp3064 +a(g185 +V +p3065 +tp3066 +a(g185 +V +tp3067 +a(g198 +V[ +tp3068 +a(g185 +V +tp3069 +a(g57 +Vself +p3070 +tp3071 +a(g185 +V +tp3072 +a(g18 +VsetObject +p3073 +tp3074 +a(g340 +V: +tp3075 +a(g185 +V +tp3076 +a(g198 +V[ +tp3077 +a(g185 +V +tp3078 +a(g18 +VaDictionary +p3079 +tp3080 +a(g185 +V +tp3081 +a(g18 +VobjectForKey +p3082 +tp3083 +a(g340 +V: +tp3084 +a(g185 +V +tp3085 +a(g18 +Vkey +p3086 +tp3087 +a(g198 +V] +tp3088 +a(g185 +V +tp3089 +a(g18 +VforKey +p3090 +tp3091 +a(g340 +V: +tp3092 +a(g185 +V +tp3093 +a(g18 +Vkey +p3094 +tp3095 +a(g198 +V] +tp3096 +a(g198 +V; +tp3097 +a(g185 +V\u000a +tp3098 +a(g185 +V +p3099 +tp3100 +a(g185 +V +tp3101 +a(g198 +V} +tp3102 +a(g185 +V\u000a +tp3103 +a(g198 +V} +tp3104 +a(g185 +V\u000a +tp3105 +a(g185 +V\u000a +tp3106 +a(g7 +V/*!\u000a Returns a human readable description of the dictionary.\u000a*/ +p3107 +tp3108 +a(g185 +V\u000a +tp3109 +a(g340 +V- +tp3110 +a(g185 +V +tp3111 +a(g185 +V +tp3112 +a(g198 +V( +tp3113 +a(g185 +V +tp3114 +a(g135 +VCPString +p3115 +tp3116 +a(g198 +V) +tp3117 +a(g21 +Vdescription +p3118 +tp3119 +a(g185 +V\u000a +tp3120 +a(g198 +V{ +tp3121 +a(g185 +V +tp3122 +a(g185 +V\u000a +tp3123 +a(g185 +V +p3124 +tp3125 +a(g127 +Vvar +p3126 +tp3127 +a(g185 +V +tp3128 +a(g185 +V +tp3129 +a(g18 +Vdescription +p3130 +tp3131 +a(g185 +V +tp3132 +a(g340 +V= +tp3133 +a(g185 +V +tp3134 +a(g185 +V +tp3135 +a(g222 +V@" +p3136 +tp3137 +a(g222 +VCPDictionary { +p3138 +tp3139 +a(g248 +V\u005cn +p3140 +tp3141 +a(g222 +V" +tp3142 +a(g198 +V; +tp3143 +a(g185 +V\u000a +tp3144 +a(g185 +V \u000a +p3145 +tp3146 +a(g185 +V +tp3147 +a(g127 +Vvar +p3148 +tp3149 +a(g185 +V +tp3150 +a(g185 +V +tp3151 +a(g18 +Vi +tp3152 +a(g185 +V +tp3153 +a(g340 +V= +tp3154 +a(g185 +V +tp3155 +a(g185 +V +tp3156 +a(g18 +V_keys +p3157 +tp3158 +a(g198 +V. +tp3159 +a(g18 +Vlength +p3160 +tp3161 +a(g198 +V; +tp3162 +a(g185 +V\u000a +tp3163 +a(g185 +V \u000a +p3164 +tp3165 +a(g185 +V +tp3166 +a(g111 +Vwhile +p3167 +tp3168 +a(g185 +V +tp3169 +a(g185 +V +tp3170 +a(g198 +V( +tp3171 +a(g185 +V +tp3172 +a(g18 +Vi +tp3173 +a(g340 +V-- +p3174 +tp3175 +a(g185 +V +tp3176 +a(g198 +V) +tp3177 +a(g185 +V\u000a +tp3178 +a(g185 +V +p3179 +tp3180 +a(g198 +V{ +tp3181 +a(g185 +V\u000a +tp3182 +a(g185 +V +p3183 +tp3184 +a(g185 +V +tp3185 +a(g18 +Vdescription +p3186 +tp3187 +a(g185 +V +tp3188 +a(g340 +V+= +p3189 +tp3190 +a(g185 +V +tp3191 +a(g185 +V +tp3192 +a(g18 +V_keys +p3193 +tp3194 +a(g198 +V[ +tp3195 +a(g185 +V +tp3196 +a(g18 +Vi +tp3197 +a(g198 +V] +tp3198 +a(g185 +V +tp3199 +a(g340 +V+ +tp3200 +a(g185 +V +tp3201 +a(g185 +V +tp3202 +a(g222 +V" +tp3203 +a(g222 +V: +tp3204 +a(g222 +V" +tp3205 +a(g198 +V; +tp3206 +a(g185 +V\u000a +tp3207 +a(g185 +V\u000a +tp3208 +a(g185 +V +p3209 +tp3210 +a(g185 +V +tp3211 +a(g127 +Vvar +p3212 +tp3213 +a(g185 +V +tp3214 +a(g185 +V +tp3215 +a(g18 +Vobject +p3216 +tp3217 +a(g185 +V +tp3218 +a(g340 +V= +tp3219 +a(g185 +V +tp3220 +a(g185 +V +tp3221 +a(g18 +V_buckets +p3222 +tp3223 +a(g198 +V[ +tp3224 +a(g185 +V +tp3225 +a(g18 +V_keys +p3226 +tp3227 +a(g198 +V[ +tp3228 +a(g185 +V +tp3229 +a(g18 +Vi +tp3230 +a(g198 +V] +tp3231 +a(g198 +V] +tp3232 +a(g198 +V; +tp3233 +a(g185 +V\u000a +tp3234 +a(g185 +V\u000a +tp3235 +a(g185 +V +p3236 +tp3237 +a(g185 +V +tp3238 +a(g111 +Vif +p3239 +tp3240 +a(g185 +V +tp3241 +a(g185 +V +tp3242 +a(g198 +V( +tp3243 +a(g185 +V +tp3244 +a(g18 +Vobject +p3245 +tp3246 +a(g185 +V +tp3247 +a(g340 +V&& +p3248 +tp3249 +a(g185 +V +tp3250 +a(g185 +V +tp3251 +a(g18 +Vobject +p3252 +tp3253 +a(g198 +V. +tp3254 +a(g18 +Visa +p3255 +tp3256 +a(g198 +V) +tp3257 +a(g185 +V\u000a +tp3258 +a(g185 +V +p3259 +tp3260 +a(g18 +Vdescription +p3261 +tp3262 +a(g185 +V +tp3263 +a(g340 +V+= +p3264 +tp3265 +a(g185 +V +tp3266 +a(g185 +V +tp3267 +a(g198 +V[ +tp3268 +a(g185 +V +tp3269 +a(g18 +Vobject +p3270 +tp3271 +a(g185 +V +tp3272 +a(g18 +Vdescription +p3273 +tp3274 +a(g198 +V] +tp3275 +a(g198 +V; +tp3276 +a(g185 +V\u000a +tp3277 +a(g185 +V +p3278 +tp3279 +a(g185 +V +tp3280 +a(g111 +Velse +p3281 +tp3282 +a(g185 +V\u000a +tp3283 +a(g185 +V +p3284 +tp3285 +a(g185 +V +tp3286 +a(g18 +Vdescription +p3287 +tp3288 +a(g185 +V +tp3289 +a(g340 +V+= +p3290 +tp3291 +a(g185 +V +tp3292 +a(g185 +V +tp3293 +a(g18 +Vobject +p3294 +tp3295 +a(g198 +V; +tp3296 +a(g185 +V\u000a +tp3297 +a(g185 +V\u000a +tp3298 +a(g185 +V +p3299 +tp3300 +a(g185 +V +tp3301 +a(g18 +Vdescription +p3302 +tp3303 +a(g185 +V +tp3304 +a(g340 +V+= +p3305 +tp3306 +a(g185 +V +tp3307 +a(g185 +V +tp3308 +a(g222 +V" +tp3309 +a(g248 +V\u005cn +p3310 +tp3311 +a(g222 +V" +tp3312 +a(g198 +V; +tp3313 +a(g185 +V\u000a +tp3314 +a(g185 +V +p3315 +tp3316 +a(g185 +V +tp3317 +a(g198 +V} +tp3318 +a(g185 +V\u000a +tp3319 +a(g185 +V\u000a +tp3320 +a(g185 +V +p3321 +tp3322 +a(g18 +Vdescription +p3323 +tp3324 +a(g185 +V +tp3325 +a(g340 +V+= +p3326 +tp3327 +a(g185 +V +tp3328 +a(g185 +V +tp3329 +a(g222 +V" +tp3330 +a(g222 +V} +tp3331 +a(g222 +V" +tp3332 +a(g198 +V; +tp3333 +a(g185 +V\u000a +tp3334 +a(g185 +V\u000a +tp3335 +a(g185 +V +p3336 +tp3337 +a(g185 +V +tp3338 +a(g111 +Vreturn +p3339 +tp3340 +a(g185 +V +tp3341 +a(g185 +V +tp3342 +a(g18 +Vdescription +p3343 +tp3344 +a(g198 +V; +tp3345 +a(g185 +V\u000a +tp3346 +a(g185 +V +tp3347 +a(g198 +V} +tp3348 +a(g185 +V\u000a +tp3349 +a(g185 +V\u000a +tp3350 +a(g111 +V@end +p3351 +tp3352 +a(g185 +V\u000a\u000a +p3353 +tp3354 +a(g111 +V@implementation +p3355 +tp3356 +a(g185 +V +tp3357 +a(g106 +VCPDictionary +p3358 +tp3359 +a(g185 +V +tp3360 +a(g198 +V( +tp3361 +a(g185 +V +tp3362 +a(g45 +VCPCoding +p3363 +tp3364 +a(g185 +V) +tp3365 +a(g185 +V\u000a +tp3366 +a(g185 +V\u000a +tp3367 +a(g7 +V/*\u000a Initializes the dictionary by unarchiving the data from a coder.\u000a @param aCoder the coder from which the data will be unarchived.\u000a @return the initialized dictionary\u000a*/ +p3368 +tp3369 +a(g185 +V\u000a +tp3370 +a(g340 +V- +tp3371 +a(g185 +V +tp3372 +a(g185 +V +tp3373 +a(g198 +V( +tp3374 +a(g185 +V +tp3375 +a(g135 +Vid +p3376 +tp3377 +a(g198 +V) +tp3378 +a(g21 +VinitWithCoder: +p3379 +tp3380 +a(g198 +V( +tp3381 +a(g185 +V +tp3382 +a(g135 +VCPCoder +p3383 +tp3384 +a(g198 +V) +tp3385 +a(g185 +VaCoder +p3386 +tp3387 +a(g185 +V\u000a +tp3388 +a(g198 +V{ +tp3389 +a(g185 +V +tp3390 +a(g185 +V\u000a +tp3391 +a(g185 +V +p3392 +tp3393 +a(g111 +Vreturn +p3394 +tp3395 +a(g185 +V +tp3396 +a(g185 +V +tp3397 +a(g198 +V[ +tp3398 +a(g185 +V +tp3399 +a(g18 +VaCoder +p3400 +tp3401 +a(g185 +V +tp3402 +a(g18 +V_decodeDictionaryOfObjectsForKey +p3403 +tp3404 +a(g340 +V: +tp3405 +a(g185 +V +tp3406 +a(g222 +V@" +p3407 +tp3408 +a(g222 +VCP.objects +p3409 +tp3410 +a(g222 +V" +tp3411 +a(g198 +V] +tp3412 +a(g198 +V; +tp3413 +a(g185 +V\u000a +tp3414 +a(g185 +V +tp3415 +a(g198 +V} +tp3416 +a(g185 +V\u000a +tp3417 +a(g185 +V\u000a +tp3418 +a(g7 +V/*!\u000a Archives the dictionary to a provided coder.\u000a @param aCoder the coder to which the dictionary data will be archived.\u000a*/ +p3419 +tp3420 +a(g185 +V\u000a +tp3421 +a(g340 +V- +tp3422 +a(g185 +V +tp3423 +a(g185 +V +tp3424 +a(g198 +V( +tp3425 +a(g185 +V +tp3426 +a(g135 +Vvoid +p3427 +tp3428 +a(g198 +V) +tp3429 +a(g21 +VencodeWithCoder: +p3430 +tp3431 +a(g198 +V( +tp3432 +a(g185 +V +tp3433 +a(g135 +VCPCoder +p3434 +tp3435 +a(g198 +V) +tp3436 +a(g185 +VaCoder +p3437 +tp3438 +a(g185 +V\u000a +tp3439 +a(g198 +V{ +tp3440 +a(g185 +V +tp3441 +a(g185 +V\u000a +tp3442 +a(g185 +V +p3443 +tp3444 +a(g198 +V[ +tp3445 +a(g185 +V +tp3446 +a(g18 +VaCoder +p3447 +tp3448 +a(g185 +V +tp3449 +a(g18 +V_encodeDictionaryOfObjects +p3450 +tp3451 +a(g340 +V: +tp3452 +a(g185 +V +tp3453 +a(g57 +Vself +p3454 +tp3455 +a(g185 +V +tp3456 +a(g18 +VforKey +p3457 +tp3458 +a(g340 +V: +tp3459 +a(g185 +V +tp3460 +a(g222 +V@" +p3461 +tp3462 +a(g222 +VCP.objects +p3463 +tp3464 +a(g222 +V" +tp3465 +a(g198 +V] +tp3466 +a(g198 +V; +tp3467 +a(g185 +V\u000a +tp3468 +a(g185 +V +tp3469 +a(g198 +V} +tp3470 +a(g185 +V\u000a +tp3471 +a(g185 +V\u000a +tp3472 +a(g111 +V@end +p3473 +tp3474 +a(g185 +V\u000a\u000a +p3475 +tp3476 +a(g7 +V/*!\u000a @class CPMutableDictionary\u000a @ingroup compatability\u000a\u000a This class is just an empty subclass of CPDictionary.\u000a CPDictionary already implements mutable methods and\u000a this class only exists for source compatability.\u000a*/ +p3477 +tp3478 +a(g185 +V\u000a +tp3479 +a(g111 +V@implementation +p3480 +tp3481 +a(g185 +V +tp3482 +a(g106 +VCPMutableDictionary +p3483 +tp3484 +a(g185 +V +tp3485 +a(g340 +V: +tp3486 +a(g185 +V +tp3487 +a(g185 +V +tp3488 +a(g106 +VCPDictionary +p3489 +tp3490 +a(g185 +V\u000a +tp3491 +a(g185 +V\u000a +tp3492 +a(g111 +V@end +p3493 +tp3494 +a(g185 +V\u000a\u000a +p3495 +tp3496 +a(g18 +Vobjj_dictionary +p3497 +tp3498 +a(g198 +V. +tp3499 +a(g111 +Vprototype +p3500 +tp3501 +a(g185 +V +tp3502 +a(g198 +V. +tp3503 +a(g18 +Visa +p3504 +tp3505 +a(g185 +V +tp3506 +a(g340 +V= +tp3507 +a(g185 +V +tp3508 +a(g185 +V +tp3509 +a(g18 +VCPDictionary +p3510 +tp3511 +a(g198 +V; +tp3512 +a(g185 +V\u000a +tp3513 +a(g185 +V +tp3514 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/Constants.mo b/tests/examplefiles/output/Constants.mo new file mode 100644 index 0000000..7825f39 --- /dev/null +++ b/tests/examplefiles/output/Constants.mo @@ -0,0 +1,6126 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Pervasive' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsS'Constant' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g11 +g12 +((ltRp18 +sg14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g14 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g11 +g12 +((ltRp35 +sg14 +g22 +sbsg11 +g12 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g11 +g12 +((ltRp40 +sg14 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g11 +g12 +((ltRp56 +sg14 +g43 +sbsg15 +g2 +(g3 +g4 +(g42 +g15 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g43 +sbsg14 +g19 +sS'Pseudo' +p60 +g2 +(g3 +g4 +(g42 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g43 +sbsS'Attribute' +p64 +g2 +(g3 +g4 +(g42 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g43 +sbsS'Label' +p68 +g2 +(g3 +g4 +(g42 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g43 +sbsS'Blubb' +p72 +g2 +(g3 +g4 +(g42 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g43 +sbsS'Entity' +p76 +g2 +(g3 +g4 +(g42 +g76 +ttRp77 +(dp78 +g11 +g12 +((ltRp79 +sg14 +g43 +sbsS'Builtin' +p80 +g2 +(g3 +g4 +(g42 +g80 +ttRp81 +(dp82 +g11 +g12 +((lp83 +g2 +(g3 +g4 +(g42 +g80 +g60 +ttRp84 +(dp85 +g11 +g12 +((ltRp86 +sg14 +g81 +sbatRp87 +sg60 +g84 +sg14 +g43 +sbsS'Other' +p88 +g2 +(g3 +g4 +(g42 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g43 +sbsS'Identifier' +p92 +g2 +(g3 +g4 +(g42 +g92 +ttRp93 +(dp94 +g11 +g12 +((ltRp95 +sg14 +g43 +sbsS'Variable' +p96 +g2 +(g3 +g4 +(g42 +g96 +ttRp97 +(dp98 +g14 +g43 +sS'Global' +p99 +g2 +(g3 +g4 +(g42 +g96 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g97 +sbsS'Instance' +p103 +g2 +(g3 +g4 +(g42 +g96 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g97 +sbsS'Anonymous' +p107 +g2 +(g3 +g4 +(g42 +g96 +g107 +ttRp108 +(dp109 +g11 +g12 +((ltRp110 +sg14 +g97 +sbsg11 +g12 +((lp111 +g108 +ag104 +ag100 +ag2 +(g3 +g4 +(g42 +g96 +S'Class' +p112 +ttRp113 +(dp114 +g11 +g12 +((ltRp115 +sg14 +g97 +sbatRp116 +sg112 +g113 +sbsg11 +g12 +((lp117 +g2 +(g3 +g4 +(g42 +S'Decorator' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g43 +sbag65 +ag57 +ag61 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g43 +sbag93 +ag81 +ag97 +ag89 +ag73 +ag77 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p126 +ttRp127 +(dp128 +g11 +g12 +((ltRp129 +sg14 +g43 +sbag69 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g112 +ttRp130 +(dp131 +g11 +g12 +((ltRp132 +sg14 +g43 +sbatRp133 +sg126 +g127 +sg112 +g130 +sg118 +g119 +sg122 +g123 +sbsg5 +g6 +sS'Generic' +p134 +g2 +(g3 +g4 +(g134 +ttRp135 +(dp136 +S'Prompt' +p137 +g2 +(g3 +g4 +(g134 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g135 +sbsg14 +g19 +sS'Deleted' +p141 +g2 +(g3 +g4 +(g134 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g135 +sbsS'Traceback' +p145 +g2 +(g3 +g4 +(g134 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g135 +sbsS'Emph' +p149 +g2 +(g3 +g4 +(g134 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g135 +sbsS'Output' +p153 +g2 +(g3 +g4 +(g134 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g135 +sbsS'Subheading' +p157 +g2 +(g3 +g4 +(g134 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g135 +sbsS'Error' +p161 +g2 +(g3 +g4 +(g134 +g161 +ttRp162 +(dp163 +g11 +g12 +((ltRp164 +sg14 +g135 +sbsg11 +g12 +((lp165 +g154 +ag150 +ag162 +ag158 +ag146 +ag142 +ag2 +(g3 +g4 +(g134 +S'Heading' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Inserted' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Strong' +p174 +ttRp175 +(dp176 +g11 +g12 +((ltRp177 +sg14 +g135 +sbag138 +atRp178 +sg174 +g175 +sg170 +g171 +sg166 +g167 +sbsS'Text' +p179 +g2 +(g3 +g4 +(g179 +ttRp180 +(dp181 +g11 +g12 +((lp182 +g2 +(g3 +g4 +(g179 +S'Symbol' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g180 +sbag2 +(g3 +g4 +(g179 +S'Whitespace' +p187 +ttRp188 +(dp189 +g11 +g12 +((ltRp190 +sg14 +g180 +sbatRp191 +sg183 +g184 +sg187 +g188 +sg14 +g19 +sbsS'Punctuation' +p192 +g2 +(g3 +g4 +(g192 +ttRp193 +(dp194 +g11 +g12 +((lp195 +g2 +(g3 +g4 +(g192 +S'Indicator' +p196 +ttRp197 +(dp198 +g11 +g12 +((ltRp199 +sg14 +g193 +sbatRp200 +sg196 +g197 +sg14 +g19 +sbsS'Token' +p201 +g19 +sS'Number' +p202 +g2 +(g3 +g4 +(S'Literal' +p203 +g202 +ttRp204 +(dp205 +S'Bin' +p206 +g2 +(g3 +g4 +(g203 +g202 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g204 +sbsS'Binary' +p210 +g2 +(g3 +g4 +(g203 +g202 +g210 +ttRp211 +(dp212 +g11 +g12 +((ltRp213 +sg14 +g204 +sbsg14 +g2 +(g3 +g4 +(g203 +ttRp214 +(dp215 +S'String' +p216 +g2 +(g3 +g4 +(g203 +g216 +ttRp217 +(dp218 +S'Regex' +p219 +g2 +(g3 +g4 +(g203 +g216 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g217 +sbsS'Interpol' +p223 +g2 +(g3 +g4 +(g203 +g216 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g217 +sbsS'Regexp' +p227 +g2 +(g3 +g4 +(g203 +g216 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g217 +sbsg14 +g214 +sS'Heredoc' +p231 +g2 +(g3 +g4 +(g203 +g216 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g217 +sbsS'Double' +p235 +g2 +(g3 +g4 +(g203 +g216 +g235 +ttRp236 +(dp237 +g11 +g12 +((ltRp238 +sg14 +g217 +sbsg183 +g2 +(g3 +g4 +(g203 +g216 +g183 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g217 +sbsS'Escape' +p242 +g2 +(g3 +g4 +(g203 +g216 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g217 +sbsS'Character' +p246 +g2 +(g3 +g4 +(g203 +g216 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g217 +sbsS'Interp' +p250 +g2 +(g3 +g4 +(g203 +g216 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g217 +sbsS'Backtick' +p254 +g2 +(g3 +g4 +(g203 +g216 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g217 +sbsS'Char' +p258 +g2 +(g3 +g4 +(g203 +g216 +g258 +ttRp259 +(dp260 +g11 +g12 +((ltRp261 +sg14 +g217 +sbsg28 +g2 +(g3 +g4 +(g203 +g216 +g28 +ttRp262 +(dp263 +g11 +g12 +((ltRp264 +sg14 +g217 +sbsg88 +g2 +(g3 +g4 +(g203 +g216 +g88 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g217 +sbsS'Doc' +p268 +g2 +(g3 +g4 +(g203 +g216 +g268 +ttRp269 +(dp270 +g11 +g12 +((ltRp271 +sg14 +g217 +sbsg11 +g12 +((lp272 +g265 +ag2 +(g3 +g4 +(g203 +g216 +S'Atom' +p273 +ttRp274 +(dp275 +g11 +g12 +((ltRp276 +sg14 +g217 +sbag236 +ag259 +ag251 +ag269 +ag232 +ag255 +ag224 +ag239 +ag228 +ag220 +ag262 +ag247 +ag243 +atRp277 +sg273 +g274 +sbsg14 +g19 +sg202 +g204 +sS'Scalar' +p278 +g2 +(g3 +g4 +(g203 +g278 +ttRp279 +(dp280 +g11 +g12 +((lp281 +g2 +(g3 +g4 +(g203 +g278 +S'Plain' +p282 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g279 +sbatRp286 +sg14 +g214 +sg282 +g283 +sbsg88 +g2 +(g3 +g4 +(g203 +g88 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g214 +sbsS'Date' +p290 +g2 +(g3 +g4 +(g203 +g290 +ttRp291 +(dp292 +g11 +g12 +((ltRp293 +sg14 +g214 +sbsg11 +g12 +((lp294 +g291 +ag217 +ag287 +ag204 +ag279 +atRp295 +sbsS'Decimal' +p296 +g2 +(g3 +g4 +(g203 +g202 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g204 +sbsS'Float' +p300 +g2 +(g3 +g4 +(g203 +g202 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g204 +sbsS'Hex' +p304 +g2 +(g3 +g4 +(g203 +g202 +g304 +ttRp305 +(dp306 +g11 +g12 +((ltRp307 +sg14 +g204 +sbsS'Integer' +p308 +g2 +(g3 +g4 +(g203 +g202 +g308 +ttRp309 +(dp310 +g11 +g12 +((lp311 +g2 +(g3 +g4 +(g203 +g202 +g308 +S'Long' +p312 +ttRp313 +(dp314 +g11 +g12 +((ltRp315 +sg14 +g309 +sbatRp316 +sg312 +g313 +sg14 +g204 +sbsS'Octal' +p317 +g2 +(g3 +g4 +(g203 +g202 +g317 +ttRp318 +(dp319 +g11 +g12 +((ltRp320 +sg14 +g204 +sbsg11 +g12 +((lp321 +g207 +ag211 +ag318 +ag297 +ag2 +(g3 +g4 +(g203 +g202 +S'Oct' +p322 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g204 +sbag309 +ag301 +ag305 +atRp326 +sg322 +g323 +sbsg203 +g214 +sg88 +g2 +(g3 +g4 +(g88 +ttRp327 +(dp328 +g11 +g12 +((ltRp329 +sg14 +g19 +sbsg161 +g2 +(g3 +g4 +(g161 +ttRp330 +(dp331 +g11 +g12 +((ltRp332 +sg14 +g19 +sbsS'Operator' +p333 +g2 +(g3 +g4 +(g333 +ttRp334 +(dp335 +g11 +g12 +((lp336 +g2 +(g3 +g4 +(g333 +S'Word' +p337 +ttRp338 +(dp339 +g11 +g12 +((ltRp340 +sg14 +g334 +sbatRp341 +sg337 +g338 +sg14 +g19 +sbsg11 +g12 +((lp342 +g22 +ag330 +ag135 +ag180 +ag43 +ag193 +ag6 +ag214 +ag334 +ag327 +atRp343 +sg216 +g217 +sbsg122 +g2 +(g3 +g4 +(g5 +g122 +ttRp344 +(dp345 +g11 +g12 +((ltRp346 +sg14 +g6 +sbsg60 +g2 +(g3 +g4 +(g5 +g60 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Reserved' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsS'Declaration' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g11 +g12 +((ltRp357 +sg14 +g6 +sbsg96 +g2 +(g3 +g4 +(g5 +g96 +ttRp358 +(dp359 +g11 +g12 +((ltRp360 +sg14 +g6 +sbsg11 +g12 +((lp361 +g16 +ag351 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g11 +g12 +((ltRp365 +sg14 +g6 +sbag9 +ag355 +ag358 +ag344 +ag347 +atRp366 +sg362 +g363 +sbVwithin +p367 +tp368 +a(g180 +V +tp369 +a(g43 +VModelica +p370 +tp371 +a(g193 +V; +tp372 +a(g180 +V\u000a +tp373 +a(g130 +Vpackage +p374 +tp375 +a(g180 +V +tp376 +a(g43 +VConstants +p377 +tp378 +a(g180 +V\u000a +tp379 +a(g180 +V +p380 +tp381 +a(g217 +V" +tp382 +a(g217 +VLibrary of mathematical constants and constants of nature (e.g., pi, eps, R, sigma) +p383 +tp384 +a(g217 +V" +tp385 +a(g180 +V\u000a +tp386 +a(g180 +V\u000a +tp387 +a(g180 +V +p388 +tp389 +a(g6 +Vimport +p390 +tp391 +a(g180 +V +tp392 +a(g43 +VSI +p393 +tp394 +a(g180 +V +tp395 +a(g334 +V= +tp396 +a(g180 +V +tp397 +a(g130 +VModelica.SIunits +p398 +tp399 +a(g193 +V; +tp400 +a(g180 +V\u000a +tp401 +a(g180 +V +p402 +tp403 +a(g6 +Vimport +p404 +tp405 +a(g180 +V +tp406 +a(g43 +VNonSI +p407 +tp408 +a(g180 +V +tp409 +a(g334 +V= +tp410 +a(g180 +V +tp411 +a(g130 +VModelica.SIunits.Conversions.NonSIunits +p412 +tp413 +a(g193 +V; +tp414 +a(g180 +V\u000a +tp415 +a(g180 +V\u000a +tp416 +a(g180 +V +p417 +tp418 +a(g6 +Vextends +p419 +tp420 +a(g180 +V +tp421 +a(g130 +VModelica.Icons.Library2 +p422 +tp423 +a(g193 +V; +tp424 +a(g180 +V\u000a +tp425 +a(g180 +V\u000a +tp426 +a(g180 +V +p427 +tp428 +a(g22 +V// Mathematical constants\u000a +p429 +tp430 +a(g180 +V +p431 +tp432 +a(g6 +Vfinal +p433 +tp434 +a(g180 +V +tp435 +a(g6 +Vconstant +p436 +tp437 +a(g180 +V +tp438 +a(g81 +VReal +p439 +tp440 +a(g180 +V +tp441 +a(g43 +Ve +tp442 +a(g334 +V= +tp443 +a(g130 +VModelica.Math.exp +p444 +tp445 +a(g193 +V( +tp446 +a(g301 +V1.0 +p447 +tp448 +a(g193 +V) +tp449 +a(g193 +V; +tp450 +a(g180 +V\u000a +tp451 +a(g180 +V +p452 +tp453 +a(g6 +Vfinal +p454 +tp455 +a(g180 +V +tp456 +a(g6 +Vconstant +p457 +tp458 +a(g180 +V +tp459 +a(g81 +VReal +p460 +tp461 +a(g180 +V +tp462 +a(g43 +Vpi +p463 +tp464 +a(g334 +V= +tp465 +a(g309 +V2 +tp466 +a(g334 +V* +tp467 +a(g130 +VModelica.Math.asin +p468 +tp469 +a(g193 +V( +tp470 +a(g301 +V1.0 +p471 +tp472 +a(g193 +V) +tp473 +a(g193 +V; +tp474 +a(g180 +V +tp475 +a(g22 +V// 3.14159265358979;\u000a +p476 +tp477 +a(g180 +V +p478 +tp479 +a(g6 +Vfinal +p480 +tp481 +a(g180 +V +tp482 +a(g6 +Vconstant +p483 +tp484 +a(g180 +V +tp485 +a(g81 +VReal +p486 +tp487 +a(g180 +V +tp488 +a(g43 +VD2R +p489 +tp490 +a(g334 +V= +tp491 +a(g43 +Vpi +p492 +tp493 +a(g334 +V/ +tp494 +a(g309 +V180 +p495 +tp496 +a(g180 +V +tp497 +a(g217 +V" +tp498 +a(g217 +VDegree to Radian +p499 +tp500 +a(g217 +V" +tp501 +a(g193 +V; +tp502 +a(g180 +V\u000a +tp503 +a(g180 +V +p504 +tp505 +a(g6 +Vfinal +p506 +tp507 +a(g180 +V +tp508 +a(g6 +Vconstant +p509 +tp510 +a(g180 +V +tp511 +a(g81 +VReal +p512 +tp513 +a(g180 +V +tp514 +a(g43 +VR2D +p515 +tp516 +a(g334 +V= +tp517 +a(g309 +V180 +p518 +tp519 +a(g334 +V/ +tp520 +a(g43 +Vpi +p521 +tp522 +a(g180 +V +tp523 +a(g217 +V" +tp524 +a(g217 +VRadian to Degree +p525 +tp526 +a(g217 +V" +tp527 +a(g193 +V; +tp528 +a(g180 +V\u000a +tp529 +a(g180 +V\u000a +tp530 +a(g180 +V +p531 +tp532 +a(g22 +V// Machine dependent constants\u000a +p533 +tp534 +a(g180 +V +p535 +tp536 +a(g22 +V// (the definition is a temporary fix since not adapted to the\u000a +p537 +tp538 +a(g180 +V +p539 +tp540 +a(g22 +V// machine where the Modelica translator is running)\u000a +p541 +tp542 +a(g180 +V +p543 +tp544 +a(g6 +Vfinal +p545 +tp546 +a(g180 +V +tp547 +a(g6 +Vconstant +p548 +tp549 +a(g180 +V +tp550 +a(g81 +VReal +p551 +tp552 +a(g180 +V +tp553 +a(g43 +Veps +p554 +tp555 +a(g334 +V= +tp556 +a(g301 +V1.e-15 +p557 +tp558 +a(g180 +V +tp559 +a(g217 +V" +tp560 +a(g217 +VBiggest number such that 1.0 + eps = 1.0 +p561 +tp562 +a(g217 +V" +tp563 +a(g193 +V; +tp564 +a(g180 +V\u000a +tp565 +a(g180 +V +p566 +tp567 +a(g6 +Vfinal +p568 +tp569 +a(g180 +V +tp570 +a(g6 +Vconstant +p571 +tp572 +a(g180 +V +tp573 +a(g81 +VReal +p574 +tp575 +a(g180 +V +tp576 +a(g43 +Vsmall +p577 +tp578 +a(g334 +V= +tp579 +a(g301 +V1.e-60 +p580 +tp581 +a(g180 +V\u000a +tp582 +a(g180 +V +p583 +tp584 +a(g217 +V" +tp585 +a(g217 +VSmallest number such that small and -small are representable on the machine +p586 +tp587 +a(g217 +V" +tp588 +a(g193 +V; +tp589 +a(g180 +V\u000a +tp590 +a(g180 +V +p591 +tp592 +a(g6 +Vfinal +p593 +tp594 +a(g180 +V +tp595 +a(g6 +Vconstant +p596 +tp597 +a(g180 +V +tp598 +a(g81 +VReal +p599 +tp600 +a(g180 +V +tp601 +a(g43 +Vinf +p602 +tp603 +a(g334 +V= +tp604 +a(g301 +V1.e+60 +p605 +tp606 +a(g180 +V\u000a +tp607 +a(g180 +V +p608 +tp609 +a(g217 +V" +tp610 +a(g217 +VBiggest Real number such that inf and -inf are representable on the machine +p611 +tp612 +a(g217 +V" +tp613 +a(g193 +V; +tp614 +a(g180 +V\u000a +tp615 +a(g180 +V +p616 +tp617 +a(g6 +Vfinal +p618 +tp619 +a(g180 +V +tp620 +a(g6 +Vconstant +p621 +tp622 +a(g180 +V +tp623 +a(g81 +VInteger +p624 +tp625 +a(g180 +V +tp626 +a(g43 +VInteger_inf +p627 +tp628 +a(g334 +V= +tp629 +a(g309 +V2147483647 +p630 +tp631 +a(g180 +V\u000a +tp632 +a(g180 +V +p633 +tp634 +a(g217 +V" +tp635 +a(g217 +VBiggest Integer number such that Integer_inf and -Integer_inf are representable on the machine +p636 +tp637 +a(g217 +V" +tp638 +a(g193 +V; +tp639 +a(g180 +V\u000a +tp640 +a(g180 +V\u000a +tp641 +a(g180 +V +p642 +tp643 +a(g22 +V// Constants of nature\u000a +p644 +tp645 +a(g180 +V +p646 +tp647 +a(g22 +V// (name, value, description from http://physics.nist.gov/cuu/Constants/)\u000a +p648 +tp649 +a(g180 +V +p650 +tp651 +a(g6 +Vfinal +p652 +tp653 +a(g180 +V +tp654 +a(g6 +Vconstant +p655 +tp656 +a(g180 +V +tp657 +a(g130 +VSI.Velocity +p658 +tp659 +a(g180 +V +tp660 +a(g43 +Vc +tp661 +a(g334 +V= +tp662 +a(g309 +V299792458 +p663 +tp664 +a(g180 +V +tp665 +a(g217 +V" +tp666 +a(g217 +VSpeed of light in vacuum +p667 +tp668 +a(g217 +V" +tp669 +a(g193 +V; +tp670 +a(g180 +V\u000a +tp671 +a(g180 +V +p672 +tp673 +a(g6 +Vfinal +p674 +tp675 +a(g180 +V +tp676 +a(g6 +Vconstant +p677 +tp678 +a(g180 +V +tp679 +a(g130 +VSI.Acceleration +p680 +tp681 +a(g180 +V +tp682 +a(g43 +Vg_n +p683 +tp684 +a(g334 +V= +tp685 +a(g301 +V9.80665 +p686 +tp687 +a(g180 +V\u000a +tp688 +a(g180 +V +p689 +tp690 +a(g217 +V" +tp691 +a(g217 +VStandard acceleration of gravity on earth +p692 +tp693 +a(g217 +V" +tp694 +a(g193 +V; +tp695 +a(g180 +V\u000a +tp696 +a(g180 +V +p697 +tp698 +a(g6 +Vfinal +p699 +tp700 +a(g180 +V +tp701 +a(g6 +Vconstant +p702 +tp703 +a(g180 +V +tp704 +a(g81 +VReal +p705 +tp706 +a(g180 +V +tp707 +a(g43 +VG +tp708 +a(g193 +V( +tp709 +a(g6 +Vfinal +p710 +tp711 +a(g180 +V +tp712 +a(g43 +Vunit +p713 +tp714 +a(g334 +V= +tp715 +a(g217 +V" +tp716 +a(g217 +Vm3/(kg.s2) +p717 +tp718 +a(g217 +V" +tp719 +a(g193 +V) +tp720 +a(g180 +V +tp721 +a(g334 +V= +tp722 +a(g180 +V +tp723 +a(g301 +V6.6742e-11 +p724 +tp725 +a(g180 +V\u000a +tp726 +a(g180 +V +p727 +tp728 +a(g217 +V" +tp729 +a(g217 +VNewtonian constant of gravitation +p730 +tp731 +a(g217 +V" +tp732 +a(g193 +V; +tp733 +a(g180 +V\u000a +tp734 +a(g180 +V +p735 +tp736 +a(g6 +Vfinal +p737 +tp738 +a(g180 +V +tp739 +a(g6 +Vconstant +p740 +tp741 +a(g180 +V +tp742 +a(g130 +VSI.FaradayConstant +p743 +tp744 +a(g180 +V +tp745 +a(g43 +VF +tp746 +a(g180 +V +tp747 +a(g334 +V= +tp748 +a(g180 +V +tp749 +a(g301 +V9.64853399e4 +p750 +tp751 +a(g180 +V +tp752 +a(g217 +V" +tp753 +a(g217 +VFaraday constant, C/mol +p754 +tp755 +a(g217 +V" +tp756 +a(g193 +V; +tp757 +a(g180 +V\u000a +tp758 +a(g180 +V +p759 +tp760 +a(g6 +Vfinal +p761 +tp762 +a(g180 +V +tp763 +a(g6 +Vconstant +p764 +tp765 +a(g180 +V +tp766 +a(g81 +VReal +p767 +tp768 +a(g180 +V +tp769 +a(g43 +Vh +tp770 +a(g193 +V( +tp771 +a(g6 +Vfinal +p772 +tp773 +a(g180 +V +tp774 +a(g43 +Vunit +p775 +tp776 +a(g334 +V= +tp777 +a(g217 +V" +tp778 +a(g217 +VJ.s +p779 +tp780 +a(g217 +V" +tp781 +a(g193 +V) +tp782 +a(g180 +V +tp783 +a(g334 +V= +tp784 +a(g180 +V +tp785 +a(g301 +V6.6260693e-34 +p786 +tp787 +a(g180 +V +tp788 +a(g217 +V" +tp789 +a(g217 +VPlanck constant +p790 +tp791 +a(g217 +V" +tp792 +a(g193 +V; +tp793 +a(g180 +V\u000a +tp794 +a(g180 +V +p795 +tp796 +a(g6 +Vfinal +p797 +tp798 +a(g180 +V +tp799 +a(g6 +Vconstant +p800 +tp801 +a(g180 +V +tp802 +a(g81 +VReal +p803 +tp804 +a(g180 +V +tp805 +a(g43 +Vk +tp806 +a(g193 +V( +tp807 +a(g6 +Vfinal +p808 +tp809 +a(g180 +V +tp810 +a(g43 +Vunit +p811 +tp812 +a(g334 +V= +tp813 +a(g217 +V" +tp814 +a(g217 +VJ/K +p815 +tp816 +a(g217 +V" +tp817 +a(g193 +V) +tp818 +a(g180 +V +tp819 +a(g334 +V= +tp820 +a(g180 +V +tp821 +a(g301 +V1.3806505e-23 +p822 +tp823 +a(g180 +V +tp824 +a(g217 +V" +tp825 +a(g217 +VBoltzmann constant +p826 +tp827 +a(g217 +V" +tp828 +a(g193 +V; +tp829 +a(g180 +V\u000a +tp830 +a(g180 +V +p831 +tp832 +a(g6 +Vfinal +p833 +tp834 +a(g180 +V +tp835 +a(g6 +Vconstant +p836 +tp837 +a(g180 +V +tp838 +a(g81 +VReal +p839 +tp840 +a(g180 +V +tp841 +a(g43 +VR +tp842 +a(g193 +V( +tp843 +a(g6 +Vfinal +p844 +tp845 +a(g180 +V +tp846 +a(g43 +Vunit +p847 +tp848 +a(g334 +V= +tp849 +a(g217 +V" +tp850 +a(g217 +VJ/(mol.K) +p851 +tp852 +a(g217 +V" +tp853 +a(g193 +V) +tp854 +a(g180 +V +tp855 +a(g334 +V= +tp856 +a(g180 +V +tp857 +a(g301 +V8.314472 +p858 +tp859 +a(g180 +V +tp860 +a(g217 +V" +tp861 +a(g217 +VMolar gas constant +p862 +tp863 +a(g217 +V" +tp864 +a(g193 +V; +tp865 +a(g180 +V\u000a +tp866 +a(g180 +V +p867 +tp868 +a(g6 +Vfinal +p869 +tp870 +a(g180 +V +tp871 +a(g6 +Vconstant +p872 +tp873 +a(g180 +V +tp874 +a(g81 +VReal +p875 +tp876 +a(g180 +V +tp877 +a(g43 +Vsigma +p878 +tp879 +a(g193 +V( +tp880 +a(g6 +Vfinal +p881 +tp882 +a(g180 +V +tp883 +a(g43 +Vunit +p884 +tp885 +a(g334 +V= +tp886 +a(g217 +V" +tp887 +a(g217 +VW/(m2.K4) +p888 +tp889 +a(g217 +V" +tp890 +a(g193 +V) +tp891 +a(g180 +V +tp892 +a(g334 +V= +tp893 +a(g180 +V +tp894 +a(g301 +V5.670400e-8 +p895 +tp896 +a(g180 +V\u000a +tp897 +a(g180 +V +p898 +tp899 +a(g217 +V" +tp900 +a(g217 +VStefan-Boltzmann constant +p901 +tp902 +a(g217 +V" +tp903 +a(g193 +V; +tp904 +a(g180 +V\u000a +tp905 +a(g180 +V +p906 +tp907 +a(g6 +Vfinal +p908 +tp909 +a(g180 +V +tp910 +a(g6 +Vconstant +p911 +tp912 +a(g180 +V +tp913 +a(g81 +VReal +p914 +tp915 +a(g180 +V +tp916 +a(g43 +VN_A +p917 +tp918 +a(g193 +V( +tp919 +a(g6 +Vfinal +p920 +tp921 +a(g180 +V +tp922 +a(g43 +Vunit +p923 +tp924 +a(g334 +V= +tp925 +a(g217 +V" +tp926 +a(g217 +V1/mol +p927 +tp928 +a(g217 +V" +tp929 +a(g193 +V) +tp930 +a(g180 +V +tp931 +a(g334 +V= +tp932 +a(g180 +V +tp933 +a(g301 +V6.0221415e23 +p934 +tp935 +a(g180 +V\u000a +tp936 +a(g180 +V +p937 +tp938 +a(g217 +V" +tp939 +a(g217 +VAvogadro constant +p940 +tp941 +a(g217 +V" +tp942 +a(g193 +V; +tp943 +a(g180 +V\u000a +tp944 +a(g180 +V +p945 +tp946 +a(g6 +Vfinal +p947 +tp948 +a(g180 +V +tp949 +a(g6 +Vconstant +p950 +tp951 +a(g180 +V +tp952 +a(g81 +VReal +p953 +tp954 +a(g180 +V +tp955 +a(g43 +Vmue_0 +p956 +tp957 +a(g193 +V( +tp958 +a(g6 +Vfinal +p959 +tp960 +a(g180 +V +tp961 +a(g43 +Vunit +p962 +tp963 +a(g334 +V= +tp964 +a(g217 +V" +tp965 +a(g217 +VN/A2 +p966 +tp967 +a(g217 +V" +tp968 +a(g193 +V) +tp969 +a(g180 +V +tp970 +a(g334 +V= +tp971 +a(g180 +V +tp972 +a(g309 +V4 +tp973 +a(g334 +V* +tp974 +a(g43 +Vpi +p975 +tp976 +a(g334 +V* +tp977 +a(g301 +V1.e-7 +p978 +tp979 +a(g180 +V +tp980 +a(g217 +V" +tp981 +a(g217 +VMagnetic constant +p982 +tp983 +a(g217 +V" +tp984 +a(g193 +V; +tp985 +a(g180 +V\u000a +tp986 +a(g180 +V +p987 +tp988 +a(g6 +Vfinal +p989 +tp990 +a(g180 +V +tp991 +a(g6 +Vconstant +p992 +tp993 +a(g180 +V +tp994 +a(g81 +VReal +p995 +tp996 +a(g180 +V +tp997 +a(g43 +Vepsilon_0 +p998 +tp999 +a(g193 +V( +tp1000 +a(g6 +Vfinal +p1001 +tp1002 +a(g180 +V +tp1003 +a(g43 +Vunit +p1004 +tp1005 +a(g334 +V= +tp1006 +a(g217 +V" +tp1007 +a(g217 +VF/m +p1008 +tp1009 +a(g217 +V" +tp1010 +a(g193 +V) +tp1011 +a(g180 +V +tp1012 +a(g334 +V= +tp1013 +a(g180 +V +tp1014 +a(g309 +V1 +tp1015 +a(g334 +V/ +tp1016 +a(g193 +V( +tp1017 +a(g43 +Vmue_0 +p1018 +tp1019 +a(g334 +V* +tp1020 +a(g43 +Vc +tp1021 +a(g334 +V* +tp1022 +a(g43 +Vc +tp1023 +a(g193 +V) +tp1024 +a(g180 +V\u000a +tp1025 +a(g180 +V +p1026 +tp1027 +a(g217 +V" +tp1028 +a(g217 +VElectric constant +p1029 +tp1030 +a(g217 +V" +tp1031 +a(g193 +V; +tp1032 +a(g180 +V\u000a +tp1033 +a(g180 +V +p1034 +tp1035 +a(g6 +Vfinal +p1036 +tp1037 +a(g180 +V +tp1038 +a(g6 +Vconstant +p1039 +tp1040 +a(g180 +V +tp1041 +a(g130 +VNonSI.Temperature_degC +p1042 +tp1043 +a(g180 +V +tp1044 +a(g43 +VT_zero +p1045 +tp1046 +a(g334 +V= +tp1047 +a(g334 +V- +tp1048 +a(g301 +V273.15 +p1049 +tp1050 +a(g180 +V\u000a +tp1051 +a(g180 +V +p1052 +tp1053 +a(g217 +V" +tp1054 +a(g217 +VAbsolute zero temperature +p1055 +tp1056 +a(g217 +V" +tp1057 +a(g193 +V; +tp1058 +a(g180 +V\u000a +tp1059 +a(g180 +V\u000a +tp1060 +a(g180 +V +p1061 +tp1062 +a(g6 +Vannotation +p1063 +tp1064 +a(g180 +V +tp1065 +a(g193 +V( +tp1066 +a(g180 +V\u000a +tp1067 +a(g180 +V +p1068 +tp1069 +a(g43 +VDocumentation +p1070 +tp1071 +a(g193 +V( +tp1072 +a(g43 +Vinfo +p1073 +tp1074 +a(g334 +V= +tp1075 +a(g54 +V" +p1076 +tp1077 +a(g180 +V\u000a +tp1078 +a(g54 +V

    +tp1081 +a(g180 +V\u000aThis package provides often needed constants from mathematics, machine\u000adependent constants and constants from nature. The latter constants\u000a(name, value, description) are from the following source:\u000a +p1082 +tp1083 +a(g54 +V

    +p1084 +tp1085 +a(g180 +V\u000a\u000a +p1086 +tp1087 +a(g54 +V
    +tp1090 +a(g180 +V\u000a +tp1091 +a(g54 +V
    +tp1094 +a(g180 +VPeter J. Mohr and Barry N. Taylor (1999): +p1095 +tp1096 +a(g54 +V
    +p1097 +tp1098 +a(g180 +V\u000a +tp1099 +a(g54 +V
    +tp1102 +a(g54 +V +tp1105 +a(g180 +VCODATA Recommended Values of the Fundamental Physical Constants: 1998 +p1106 +tp1107 +a(g54 +V +p1108 +tp1109 +a(g180 +V.\u000a Journal of Physical and Chemical Reference Data, Vol. 28, No. 6, 1999 and\u000a Reviews of Modern Physics, Vol. 72, No. 2, 2000. See also +p1110 +tp1111 +a(g54 +Vhttp://physics.nist.gov/cuu/Constants/ +p1118 +tp1119 +a(g54 +V +p1120 +tp1121 +a(g54 +V
    +p1122 +tp1123 +a(g180 +V\u000a +tp1124 +a(g54 +V
    +p1125 +tp1126 +a(g180 +V\u000a\u000a +p1127 +tp1128 +a(g54 +V

    +tp1131 +a(g180 +VCODATA is the Committee on Data for Science and Technology. +p1132 +tp1133 +a(g54 +V

    +p1134 +tp1135 +a(g180 +V\u000a\u000a +p1136 +tp1137 +a(g54 +V
    +tp1140 +a(g180 +V\u000a +tp1141 +a(g54 +V
    +tp1144 +a(g54 +V +tp1147 +a(g180 +VMain Author: +p1148 +tp1149 +a(g54 +V +p1150 +tp1151 +a(g54 +V
    +p1152 +tp1153 +a(g180 +V\u000a +tp1154 +a(g54 +V
    +tp1157 +a(g54 +V +tp1165 +a(g180 +VMartin Otter +p1166 +tp1167 +a(g54 +V +p1168 +tp1169 +a(g54 +V
    +tp1172 +a(g180 +V\u000a Deutsches Zentrum f +p1173 +tp1174 +a(g77 +Vü +p1175 +tp1176 +a(g180 +Vr Luft und Raumfahrt e. V. (DLR) +p1177 +tp1178 +a(g54 +V
    +tp1181 +a(g180 +V\u000a Oberpfaffenhofen +p1182 +tp1183 +a(g54 +V
    +tp1186 +a(g180 +V\u000a Postfach 11 16 +p1187 +tp1188 +a(g54 +V
    +tp1191 +a(g180 +V\u000a D-82230 We +p1192 +tp1193 +a(g77 +Vß +p1194 +tp1195 +a(g180 +Vling +p1196 +tp1197 +a(g54 +V
    +tp1200 +a(g180 +V\u000a email: +p1201 +tp1202 +a(g54 +V +tp1210 +a(g180 +VMartin.Otter@dlr.de +p1211 +tp1212 +a(g54 +V +p1213 +tp1214 +a(g54 +V
    +p1215 +tp1216 +a(g180 +V\u000a +tp1217 +a(g54 +V
    +p1218 +tp1219 +a(g180 +V\u000a\u000a\u000a +p1220 +tp1221 +a(g54 +V

    +tp1224 +a(g180 +V\u000aCopyright +p1225 +tp1226 +a(g77 +V© +p1227 +tp1228 +a(g180 +V 1998-2009, Modelica Association and DLR.\u000a +p1229 +tp1230 +a(g54 +V

    +p1231 +tp1232 +a(g180 +V\u000a +tp1233 +a(g54 +V

    +tp1236 +a(g180 +V\u000a +tp1237 +a(g54 +V +tp1240 +a(g180 +VThis Modelica package is +p1241 +tp1242 +a(g54 +V +tp1245 +a(g180 +Vfree +p1246 +tp1247 +a(g54 +V +p1248 +tp1249 +a(g180 +V software; it can be redistributed and/or modified\u000aunder the terms of the +p1250 +tp1251 +a(g54 +V +tp1254 +a(g180 +VModelica license +p1255 +tp1256 +a(g54 +V +p1257 +tp1258 +a(g180 +V, see the license conditions\u000aand the accompanying +p1259 +tp1260 +a(g54 +V +tp1263 +a(g180 +Vdisclaimer +p1264 +tp1265 +a(g54 +V +p1266 +tp1267 +a(g180 +V \u000a +p1268 +tp1269 +a(g54 +V +tp1277 +a(g180 +Vhere +p1278 +tp1279 +a(g54 +V +p1280 +tp1281 +a(g180 +V. +tp1282 +a(g54 +V +p1283 +tp1284 +a(g180 +V\u000a +tp1285 +a(g54 +V

    +p1286 +tp1287 +a(g54 +V
    +tp1290 +a(g180 +V\u000a +tp1291 +a(g54 +V +p1292 +tp1293 +a(g180 +V\u000a +tp1294 +a(g217 +V" +tp1295 +a(g217 +V, revisions= +p1296 +tp1297 +a(g217 +V" +tp1298 +a(g54 +V +p1299 +tp1300 +a(g180 +V\u000a +tp1301 +a(g54 +V
      +tp1304 +a(g180 +V\u000a +tp1305 +a(g54 +V
    • +tp1308 +a(g54 +V +tp1311 +a(g180 +VNov 8, 2004 +p1312 +tp1313 +a(g54 +V +p1314 +tp1315 +a(g180 +V\u000a by +p1316 +tp1317 +a(g54 +V +tp1325 +a(g180 +VChristian Schweiger +p1326 +tp1327 +a(g54 +V +p1328 +tp1329 +a(g180 +V: +tp1330 +a(g54 +V
      +tp1333 +a(g180 +V\u000a Constants updated according to 2002 CODATA values. +p1334 +tp1335 +a(g54 +V
    • +p1336 +tp1337 +a(g180 +V\u000a +tp1338 +a(g54 +V
    • +tp1341 +a(g54 +V +tp1344 +a(g180 +VDec 9, 1999 +p1345 +tp1346 +a(g54 +V +p1347 +tp1348 +a(g180 +V\u000a by +p1349 +tp1350 +a(g54 +V +tp1358 +a(g180 +VMartin Otter +p1359 +tp1360 +a(g54 +V +p1361 +tp1362 +a(g180 +V: +tp1363 +a(g54 +V
      +tp1366 +a(g180 +V\u000a Constants updated according to 1998 CODATA values. Using names, values\u000a and description text from this source. Included magnetic and\u000a electric constant. +p1367 +tp1368 +a(g54 +V
    • +p1369 +tp1370 +a(g180 +V\u000a +tp1371 +a(g54 +V
    • +tp1374 +a(g54 +V +tp1377 +a(g180 +VSep 18, 1999 +p1378 +tp1379 +a(g54 +V +p1380 +tp1381 +a(g180 +V\u000a by +p1382 +tp1383 +a(g54 +V +tp1391 +a(g180 +VMartin Otter +p1392 +tp1393 +a(g54 +V +p1394 +tp1395 +a(g180 +V: +tp1396 +a(g54 +V
      +tp1399 +a(g180 +V\u000a Constants eps, inf, small introduced. +p1400 +tp1401 +a(g54 +V
    • +p1402 +tp1403 +a(g180 +V\u000a +tp1404 +a(g54 +V
    • +tp1407 +a(g54 +V +tp1410 +a(g180 +VNov 15, 1997 +p1411 +tp1412 +a(g54 +V +p1413 +tp1414 +a(g180 +V\u000a by +p1415 +tp1416 +a(g54 +V +tp1424 +a(g180 +VMartin Otter +p1425 +tp1426 +a(g54 +V +p1427 +tp1428 +a(g180 +V: +tp1429 +a(g54 +V
      +tp1432 +a(g180 +V\u000a Realized. +p1433 +tp1434 +a(g54 +V
    • +p1435 +tp1436 +a(g180 +V\u000a +tp1437 +a(g54 +V
    +p1438 +tp1439 +a(g180 +V\u000a +tp1440 +a(g54 +V +p1441 +tp1442 +a(g217 +V" +tp1443 +a(g217 +V), +p1444 +tp1445 +a(g180 +V\u000a +tp1446 +a(g180 +V +p1447 +tp1448 +a(g43 +VInvisible +p1449 +tp1450 +a(g334 +V= +tp1451 +a(g6 +Vtrue +p1452 +tp1453 +a(g193 +V, +tp1454 +a(g180 +V\u000a +tp1455 +a(g180 +V +p1456 +tp1457 +a(g43 +VIcon +p1458 +tp1459 +a(g193 +V( +tp1460 +a(g43 +VcoordinateSystem +p1461 +tp1462 +a(g193 +V( +tp1463 +a(g43 +VpreserveAspectRatio +p1464 +tp1465 +a(g334 +V= +tp1466 +a(g6 +Vtrue +p1467 +tp1468 +a(g193 +V, +tp1469 +a(g180 +V +tp1470 +a(g43 +Vextent +p1471 +tp1472 +a(g334 +V= +tp1473 +a(g193 +V{ +tp1474 +a(g193 +V{ +tp1475 +a(g334 +V- +tp1476 +a(g309 +V100 +p1477 +tp1478 +a(g193 +V, +tp1479 +a(g334 +V- +tp1480 +a(g309 +V100 +p1481 +tp1482 +a(g193 +V} +tp1483 +a(g193 +V, +tp1484 +a(g193 +V{ +tp1485 +a(g309 +V100 +p1486 +tp1487 +a(g193 +V, +tp1488 +a(g180 +V\u000a +tp1489 +a(g180 +V +p1490 +tp1491 +a(g309 +V100 +p1492 +tp1493 +a(g193 +V} +tp1494 +a(g193 +V} +tp1495 +a(g193 +V) +tp1496 +a(g193 +V, +tp1497 +a(g180 +V +tp1498 +a(g43 +Vgraphics +p1499 +tp1500 +a(g334 +V= +tp1501 +a(g193 +V{ +tp1502 +a(g180 +V\u000a +tp1503 +a(g180 +V +p1504 +tp1505 +a(g43 +VLine +p1506 +tp1507 +a(g193 +V( +tp1508 +a(g180 +V\u000a +tp1509 +a(g180 +V +p1510 +tp1511 +a(g43 +Vpoints +p1512 +tp1513 +a(g334 +V= +tp1514 +a(g193 +V{ +tp1515 +a(g193 +V{ +tp1516 +a(g334 +V- +tp1517 +a(g309 +V34 +p1518 +tp1519 +a(g193 +V, +tp1520 +a(g334 +V- +tp1521 +a(g309 +V38 +p1522 +tp1523 +a(g193 +V} +tp1524 +a(g193 +V, +tp1525 +a(g193 +V{ +tp1526 +a(g309 +V12 +p1527 +tp1528 +a(g193 +V, +tp1529 +a(g334 +V- +tp1530 +a(g309 +V38 +p1531 +tp1532 +a(g193 +V} +tp1533 +a(g193 +V} +tp1534 +a(g193 +V, +tp1535 +a(g180 +V\u000a +tp1536 +a(g180 +V +p1537 +tp1538 +a(g43 +Vcolor +p1539 +tp1540 +a(g334 +V= +tp1541 +a(g193 +V{ +tp1542 +a(g309 +V0 +tp1543 +a(g193 +V, +tp1544 +a(g309 +V0 +tp1545 +a(g193 +V, +tp1546 +a(g309 +V0 +tp1547 +a(g193 +V} +tp1548 +a(g193 +V, +tp1549 +a(g180 +V\u000a +tp1550 +a(g180 +V +p1551 +tp1552 +a(g43 +Vthickness +p1553 +tp1554 +a(g334 +V= +tp1555 +a(g301 +V0.5 +p1556 +tp1557 +a(g193 +V) +tp1558 +a(g193 +V, +tp1559 +a(g180 +V\u000a +tp1560 +a(g180 +V +p1561 +tp1562 +a(g43 +VLine +p1563 +tp1564 +a(g193 +V( +tp1565 +a(g180 +V\u000a +tp1566 +a(g180 +V +p1567 +tp1568 +a(g43 +Vpoints +p1569 +tp1570 +a(g334 +V= +tp1571 +a(g193 +V{ +tp1572 +a(g193 +V{ +tp1573 +a(g334 +V- +tp1574 +a(g309 +V20 +p1575 +tp1576 +a(g193 +V, +tp1577 +a(g334 +V- +tp1578 +a(g309 +V38 +p1579 +tp1580 +a(g193 +V} +tp1581 +a(g193 +V, +tp1582 +a(g193 +V{ +tp1583 +a(g334 +V- +tp1584 +a(g309 +V24 +p1585 +tp1586 +a(g193 +V, +tp1587 +a(g334 +V- +tp1588 +a(g309 +V48 +p1589 +tp1590 +a(g193 +V} +tp1591 +a(g193 +V, +tp1592 +a(g193 +V{ +tp1593 +a(g334 +V- +tp1594 +a(g309 +V28 +p1595 +tp1596 +a(g193 +V, +tp1597 +a(g334 +V- +tp1598 +a(g309 +V56 +p1599 +tp1600 +a(g193 +V} +tp1601 +a(g193 +V, +tp1602 +a(g193 +V{ +tp1603 +a(g334 +V- +tp1604 +a(g309 +V34 +p1605 +tp1606 +a(g193 +V, +tp1607 +a(g334 +V- +tp1608 +a(g309 +V64 +p1609 +tp1610 +a(g193 +V} +tp1611 +a(g193 +V} +tp1612 +a(g193 +V, +tp1613 +a(g180 +V\u000a +tp1614 +a(g180 +V +p1615 +tp1616 +a(g43 +Vcolor +p1617 +tp1618 +a(g334 +V= +tp1619 +a(g193 +V{ +tp1620 +a(g309 +V0 +tp1621 +a(g193 +V, +tp1622 +a(g309 +V0 +tp1623 +a(g193 +V, +tp1624 +a(g309 +V0 +tp1625 +a(g193 +V} +tp1626 +a(g193 +V, +tp1627 +a(g180 +V\u000a +tp1628 +a(g180 +V +p1629 +tp1630 +a(g43 +Vthickness +p1631 +tp1632 +a(g334 +V= +tp1633 +a(g301 +V0.5 +p1634 +tp1635 +a(g193 +V) +tp1636 +a(g193 +V, +tp1637 +a(g180 +V\u000a +tp1638 +a(g180 +V +p1639 +tp1640 +a(g43 +VLine +p1641 +tp1642 +a(g193 +V( +tp1643 +a(g180 +V\u000a +tp1644 +a(g180 +V +p1645 +tp1646 +a(g43 +Vpoints +p1647 +tp1648 +a(g334 +V= +tp1649 +a(g193 +V{ +tp1650 +a(g193 +V{ +tp1651 +a(g334 +V- +tp1652 +a(g309 +V2 +tp1653 +a(g193 +V, +tp1654 +a(g334 +V- +tp1655 +a(g309 +V38 +p1656 +tp1657 +a(g193 +V} +tp1658 +a(g193 +V, +tp1659 +a(g193 +V{ +tp1660 +a(g309 +V2 +tp1661 +a(g193 +V, +tp1662 +a(g334 +V- +tp1663 +a(g309 +V46 +p1664 +tp1665 +a(g193 +V} +tp1666 +a(g193 +V, +tp1667 +a(g193 +V{ +tp1668 +a(g309 +V8 +tp1669 +a(g193 +V, +tp1670 +a(g334 +V- +tp1671 +a(g309 +V56 +p1672 +tp1673 +a(g193 +V} +tp1674 +a(g193 +V, +tp1675 +a(g193 +V{ +tp1676 +a(g309 +V14 +p1677 +tp1678 +a(g193 +V, +tp1679 +a(g334 +V- +tp1680 +a(g309 +V64 +p1681 +tp1682 +a(g193 +V} +tp1683 +a(g193 +V} +tp1684 +a(g193 +V, +tp1685 +a(g180 +V\u000a +tp1686 +a(g180 +V +p1687 +tp1688 +a(g43 +Vcolor +p1689 +tp1690 +a(g334 +V= +tp1691 +a(g193 +V{ +tp1692 +a(g309 +V0 +tp1693 +a(g193 +V, +tp1694 +a(g309 +V0 +tp1695 +a(g193 +V, +tp1696 +a(g309 +V0 +tp1697 +a(g193 +V} +tp1698 +a(g193 +V, +tp1699 +a(g180 +V\u000a +tp1700 +a(g180 +V +p1701 +tp1702 +a(g43 +Vthickness +p1703 +tp1704 +a(g334 +V= +tp1705 +a(g301 +V0.5 +p1706 +tp1707 +a(g193 +V) +tp1708 +a(g193 +V} +tp1709 +a(g193 +V) +tp1710 +a(g193 +V, +tp1711 +a(g180 +V\u000a +tp1712 +a(g180 +V +p1713 +tp1714 +a(g43 +VDiagram +p1715 +tp1716 +a(g193 +V( +tp1717 +a(g43 +Vgraphics +p1718 +tp1719 +a(g334 +V= +tp1720 +a(g193 +V{ +tp1721 +a(g180 +V\u000a +tp1722 +a(g180 +V +p1723 +tp1724 +a(g43 +VRectangle +p1725 +tp1726 +a(g193 +V( +tp1727 +a(g180 +V\u000a +tp1728 +a(g180 +V +p1729 +tp1730 +a(g43 +Vextent +p1731 +tp1732 +a(g334 +V= +tp1733 +a(g193 +V{ +tp1734 +a(g193 +V{ +tp1735 +a(g309 +V200 +p1736 +tp1737 +a(g193 +V, +tp1738 +a(g309 +V162 +p1739 +tp1740 +a(g193 +V} +tp1741 +a(g193 +V, +tp1742 +a(g193 +V{ +tp1743 +a(g309 +V380 +p1744 +tp1745 +a(g193 +V, +tp1746 +a(g309 +V312 +p1747 +tp1748 +a(g193 +V} +tp1749 +a(g193 +V} +tp1750 +a(g193 +V, +tp1751 +a(g180 +V\u000a +tp1752 +a(g180 +V +p1753 +tp1754 +a(g43 +VfillColor +p1755 +tp1756 +a(g334 +V= +tp1757 +a(g193 +V{ +tp1758 +a(g309 +V235 +p1759 +tp1760 +a(g193 +V, +tp1761 +a(g309 +V235 +p1762 +tp1763 +a(g193 +V, +tp1764 +a(g309 +V235 +p1765 +tp1766 +a(g193 +V} +tp1767 +a(g193 +V, +tp1768 +a(g180 +V\u000a +tp1769 +a(g180 +V +p1770 +tp1771 +a(g43 +VfillPattern +p1772 +tp1773 +a(g334 +V= +tp1774 +a(g130 +VFillPattern.Solid +p1775 +tp1776 +a(g193 +V, +tp1777 +a(g180 +V\u000a +tp1778 +a(g180 +V +p1779 +tp1780 +a(g43 +VlineColor +p1781 +tp1782 +a(g334 +V= +tp1783 +a(g193 +V{ +tp1784 +a(g309 +V0 +tp1785 +a(g193 +V, +tp1786 +a(g309 +V0 +tp1787 +a(g193 +V, +tp1788 +a(g309 +V255 +p1789 +tp1790 +a(g193 +V} +tp1791 +a(g193 +V) +tp1792 +a(g193 +V, +tp1793 +a(g180 +V\u000a +tp1794 +a(g180 +V +p1795 +tp1796 +a(g43 +VPolygon +p1797 +tp1798 +a(g193 +V( +tp1799 +a(g180 +V\u000a +tp1800 +a(g180 +V +p1801 +tp1802 +a(g43 +Vpoints +p1803 +tp1804 +a(g334 +V= +tp1805 +a(g193 +V{ +tp1806 +a(g193 +V{ +tp1807 +a(g309 +V200 +p1808 +tp1809 +a(g193 +V, +tp1810 +a(g309 +V312 +p1811 +tp1812 +a(g193 +V} +tp1813 +a(g193 +V, +tp1814 +a(g193 +V{ +tp1815 +a(g309 +V220 +p1816 +tp1817 +a(g193 +V, +tp1818 +a(g309 +V332 +p1819 +tp1820 +a(g193 +V} +tp1821 +a(g193 +V, +tp1822 +a(g193 +V{ +tp1823 +a(g309 +V400 +p1824 +tp1825 +a(g193 +V, +tp1826 +a(g309 +V332 +p1827 +tp1828 +a(g193 +V} +tp1829 +a(g193 +V, +tp1830 +a(g193 +V{ +tp1831 +a(g309 +V380 +p1832 +tp1833 +a(g193 +V, +tp1834 +a(g309 +V312 +p1835 +tp1836 +a(g193 +V} +tp1837 +a(g193 +V, +tp1838 +a(g193 +V{ +tp1839 +a(g309 +V200 +p1840 +tp1841 +a(g193 +V, +tp1842 +a(g309 +V312 +p1843 +tp1844 +a(g193 +V} +tp1845 +a(g193 +V} +tp1846 +a(g193 +V, +tp1847 +a(g180 +V\u000a +tp1848 +a(g180 +V +p1849 +tp1850 +a(g43 +VfillColor +p1851 +tp1852 +a(g334 +V= +tp1853 +a(g193 +V{ +tp1854 +a(g309 +V235 +p1855 +tp1856 +a(g193 +V, +tp1857 +a(g309 +V235 +p1858 +tp1859 +a(g193 +V, +tp1860 +a(g309 +V235 +p1861 +tp1862 +a(g193 +V} +tp1863 +a(g193 +V, +tp1864 +a(g180 +V\u000a +tp1865 +a(g180 +V +p1866 +tp1867 +a(g43 +VfillPattern +p1868 +tp1869 +a(g334 +V= +tp1870 +a(g130 +VFillPattern.Solid +p1871 +tp1872 +a(g193 +V, +tp1873 +a(g180 +V\u000a +tp1874 +a(g180 +V +p1875 +tp1876 +a(g43 +VlineColor +p1877 +tp1878 +a(g334 +V= +tp1879 +a(g193 +V{ +tp1880 +a(g309 +V0 +tp1881 +a(g193 +V, +tp1882 +a(g309 +V0 +tp1883 +a(g193 +V, +tp1884 +a(g309 +V255 +p1885 +tp1886 +a(g193 +V} +tp1887 +a(g193 +V) +tp1888 +a(g193 +V, +tp1889 +a(g180 +V\u000a +tp1890 +a(g180 +V +p1891 +tp1892 +a(g43 +VPolygon +p1893 +tp1894 +a(g193 +V( +tp1895 +a(g180 +V\u000a +tp1896 +a(g180 +V +p1897 +tp1898 +a(g43 +Vpoints +p1899 +tp1900 +a(g334 +V= +tp1901 +a(g193 +V{ +tp1902 +a(g193 +V{ +tp1903 +a(g309 +V400 +p1904 +tp1905 +a(g193 +V, +tp1906 +a(g309 +V332 +p1907 +tp1908 +a(g193 +V} +tp1909 +a(g193 +V, +tp1910 +a(g193 +V{ +tp1911 +a(g309 +V400 +p1912 +tp1913 +a(g193 +V, +tp1914 +a(g309 +V182 +p1915 +tp1916 +a(g193 +V} +tp1917 +a(g193 +V, +tp1918 +a(g193 +V{ +tp1919 +a(g309 +V380 +p1920 +tp1921 +a(g193 +V, +tp1922 +a(g309 +V162 +p1923 +tp1924 +a(g193 +V} +tp1925 +a(g193 +V, +tp1926 +a(g193 +V{ +tp1927 +a(g309 +V380 +p1928 +tp1929 +a(g193 +V, +tp1930 +a(g309 +V312 +p1931 +tp1932 +a(g193 +V} +tp1933 +a(g193 +V, +tp1934 +a(g193 +V{ +tp1935 +a(g309 +V400 +p1936 +tp1937 +a(g193 +V, +tp1938 +a(g309 +V332 +p1939 +tp1940 +a(g193 +V} +tp1941 +a(g193 +V} +tp1942 +a(g193 +V, +tp1943 +a(g180 +V\u000a +tp1944 +a(g180 +V +p1945 +tp1946 +a(g43 +VfillColor +p1947 +tp1948 +a(g334 +V= +tp1949 +a(g193 +V{ +tp1950 +a(g309 +V235 +p1951 +tp1952 +a(g193 +V, +tp1953 +a(g309 +V235 +p1954 +tp1955 +a(g193 +V, +tp1956 +a(g309 +V235 +p1957 +tp1958 +a(g193 +V} +tp1959 +a(g193 +V, +tp1960 +a(g180 +V\u000a +tp1961 +a(g180 +V +p1962 +tp1963 +a(g43 +VfillPattern +p1964 +tp1965 +a(g334 +V= +tp1966 +a(g130 +VFillPattern.Solid +p1967 +tp1968 +a(g193 +V, +tp1969 +a(g180 +V\u000a +tp1970 +a(g180 +V +p1971 +tp1972 +a(g43 +VlineColor +p1973 +tp1974 +a(g334 +V= +tp1975 +a(g193 +V{ +tp1976 +a(g309 +V0 +tp1977 +a(g193 +V, +tp1978 +a(g309 +V0 +tp1979 +a(g193 +V, +tp1980 +a(g309 +V255 +p1981 +tp1982 +a(g193 +V} +tp1983 +a(g193 +V) +tp1984 +a(g193 +V, +tp1985 +a(g180 +V\u000a +tp1986 +a(g180 +V +p1987 +tp1988 +a(g43 +VText +p1989 +tp1990 +a(g193 +V( +tp1991 +a(g180 +V\u000a +tp1992 +a(g180 +V +p1993 +tp1994 +a(g43 +Vextent +p1995 +tp1996 +a(g334 +V= +tp1997 +a(g193 +V{ +tp1998 +a(g193 +V{ +tp1999 +a(g309 +V210 +p2000 +tp2001 +a(g193 +V, +tp2002 +a(g309 +V302 +p2003 +tp2004 +a(g193 +V} +tp2005 +a(g193 +V, +tp2006 +a(g193 +V{ +tp2007 +a(g309 +V370 +p2008 +tp2009 +a(g193 +V, +tp2010 +a(g309 +V272 +p2011 +tp2012 +a(g193 +V} +tp2013 +a(g193 +V} +tp2014 +a(g193 +V, +tp2015 +a(g180 +V\u000a +tp2016 +a(g180 +V +p2017 +tp2018 +a(g43 +VlineColor +p2019 +tp2020 +a(g334 +V= +tp2021 +a(g193 +V{ +tp2022 +a(g309 +V160 +p2023 +tp2024 +a(g193 +V, +tp2025 +a(g309 +V160 +p2026 +tp2027 +a(g193 +V, +tp2028 +a(g309 +V164 +p2029 +tp2030 +a(g193 +V} +tp2031 +a(g193 +V, +tp2032 +a(g180 +V\u000a +tp2033 +a(g180 +V +p2034 +tp2035 +a(g43 +VfillColor +p2036 +tp2037 +a(g334 +V= +tp2038 +a(g193 +V{ +tp2039 +a(g309 +V0 +tp2040 +a(g193 +V, +tp2041 +a(g309 +V0 +tp2042 +a(g193 +V, +tp2043 +a(g309 +V0 +tp2044 +a(g193 +V} +tp2045 +a(g193 +V, +tp2046 +a(g180 +V\u000a +tp2047 +a(g180 +V +p2048 +tp2049 +a(g43 +VfillPattern +p2050 +tp2051 +a(g334 +V= +tp2052 +a(g130 +VFillPattern.Solid +p2053 +tp2054 +a(g193 +V, +tp2055 +a(g180 +V\u000a +tp2056 +a(g180 +V +p2057 +tp2058 +a(g43 +VtextString +p2059 +tp2060 +a(g334 +V= +tp2061 +a(g217 +V" +tp2062 +a(g217 +VLibrary +p2063 +tp2064 +a(g217 +V" +tp2065 +a(g193 +V) +tp2066 +a(g193 +V, +tp2067 +a(g180 +V\u000a +tp2068 +a(g180 +V +p2069 +tp2070 +a(g43 +VLine +p2071 +tp2072 +a(g193 +V( +tp2073 +a(g180 +V\u000a +tp2074 +a(g180 +V +p2075 +tp2076 +a(g43 +Vpoints +p2077 +tp2078 +a(g334 +V= +tp2079 +a(g193 +V{ +tp2080 +a(g193 +V{ +tp2081 +a(g309 +V266 +p2082 +tp2083 +a(g193 +V, +tp2084 +a(g309 +V224 +p2085 +tp2086 +a(g193 +V} +tp2087 +a(g193 +V, +tp2088 +a(g193 +V{ +tp2089 +a(g309 +V312 +p2090 +tp2091 +a(g193 +V, +tp2092 +a(g309 +V224 +p2093 +tp2094 +a(g193 +V} +tp2095 +a(g193 +V} +tp2096 +a(g193 +V, +tp2097 +a(g180 +V\u000a +tp2098 +a(g180 +V +p2099 +tp2100 +a(g43 +Vcolor +p2101 +tp2102 +a(g334 +V= +tp2103 +a(g193 +V{ +tp2104 +a(g309 +V0 +tp2105 +a(g193 +V, +tp2106 +a(g309 +V0 +tp2107 +a(g193 +V, +tp2108 +a(g309 +V0 +tp2109 +a(g193 +V} +tp2110 +a(g193 +V, +tp2111 +a(g180 +V\u000a +tp2112 +a(g180 +V +p2113 +tp2114 +a(g43 +Vthickness +p2115 +tp2116 +a(g334 +V= +tp2117 +a(g309 +V1 +tp2118 +a(g193 +V) +tp2119 +a(g193 +V, +tp2120 +a(g180 +V\u000a +tp2121 +a(g180 +V +p2122 +tp2123 +a(g43 +VLine +p2124 +tp2125 +a(g193 +V( +tp2126 +a(g180 +V\u000a +tp2127 +a(g180 +V +p2128 +tp2129 +a(g43 +Vpoints +p2130 +tp2131 +a(g334 +V= +tp2132 +a(g193 +V{ +tp2133 +a(g193 +V{ +tp2134 +a(g309 +V280 +p2135 +tp2136 +a(g193 +V, +tp2137 +a(g309 +V224 +p2138 +tp2139 +a(g193 +V} +tp2140 +a(g193 +V, +tp2141 +a(g193 +V{ +tp2142 +a(g309 +V276 +p2143 +tp2144 +a(g193 +V, +tp2145 +a(g309 +V214 +p2146 +tp2147 +a(g193 +V} +tp2148 +a(g193 +V, +tp2149 +a(g193 +V{ +tp2150 +a(g309 +V272 +p2151 +tp2152 +a(g193 +V, +tp2153 +a(g309 +V206 +p2154 +tp2155 +a(g193 +V} +tp2156 +a(g193 +V, +tp2157 +a(g193 +V{ +tp2158 +a(g309 +V266 +p2159 +tp2160 +a(g193 +V, +tp2161 +a(g309 +V198 +p2162 +tp2163 +a(g193 +V} +tp2164 +a(g193 +V} +tp2165 +a(g193 +V, +tp2166 +a(g180 +V\u000a +tp2167 +a(g180 +V +p2168 +tp2169 +a(g43 +Vcolor +p2170 +tp2171 +a(g334 +V= +tp2172 +a(g193 +V{ +tp2173 +a(g309 +V0 +tp2174 +a(g193 +V, +tp2175 +a(g309 +V0 +tp2176 +a(g193 +V, +tp2177 +a(g309 +V0 +tp2178 +a(g193 +V} +tp2179 +a(g193 +V, +tp2180 +a(g180 +V\u000a +tp2181 +a(g180 +V +p2182 +tp2183 +a(g43 +Vthickness +p2184 +tp2185 +a(g334 +V= +tp2186 +a(g309 +V1 +tp2187 +a(g193 +V) +tp2188 +a(g193 +V, +tp2189 +a(g180 +V\u000a +tp2190 +a(g180 +V +p2191 +tp2192 +a(g43 +VLine +p2193 +tp2194 +a(g193 +V( +tp2195 +a(g180 +V\u000a +tp2196 +a(g180 +V +p2197 +tp2198 +a(g43 +Vpoints +p2199 +tp2200 +a(g334 +V= +tp2201 +a(g193 +V{ +tp2202 +a(g193 +V{ +tp2203 +a(g309 +V298 +p2204 +tp2205 +a(g193 +V, +tp2206 +a(g309 +V224 +p2207 +tp2208 +a(g193 +V} +tp2209 +a(g193 +V, +tp2210 +a(g193 +V{ +tp2211 +a(g309 +V302 +p2212 +tp2213 +a(g193 +V, +tp2214 +a(g309 +V216 +p2215 +tp2216 +a(g193 +V} +tp2217 +a(g193 +V, +tp2218 +a(g193 +V{ +tp2219 +a(g309 +V308 +p2220 +tp2221 +a(g193 +V, +tp2222 +a(g309 +V206 +p2223 +tp2224 +a(g193 +V} +tp2225 +a(g193 +V, +tp2226 +a(g193 +V{ +tp2227 +a(g309 +V314 +p2228 +tp2229 +a(g193 +V, +tp2230 +a(g309 +V198 +p2231 +tp2232 +a(g193 +V} +tp2233 +a(g193 +V} +tp2234 +a(g193 +V, +tp2235 +a(g180 +V\u000a +tp2236 +a(g180 +V +p2237 +tp2238 +a(g43 +Vcolor +p2239 +tp2240 +a(g334 +V= +tp2241 +a(g193 +V{ +tp2242 +a(g309 +V0 +tp2243 +a(g193 +V, +tp2244 +a(g309 +V0 +tp2245 +a(g193 +V, +tp2246 +a(g309 +V0 +tp2247 +a(g193 +V} +tp2248 +a(g193 +V, +tp2249 +a(g180 +V\u000a +tp2250 +a(g180 +V +p2251 +tp2252 +a(g43 +Vthickness +p2253 +tp2254 +a(g334 +V= +tp2255 +a(g309 +V1 +tp2256 +a(g193 +V) +tp2257 +a(g193 +V, +tp2258 +a(g180 +V\u000a +tp2259 +a(g180 +V +p2260 +tp2261 +a(g43 +VText +p2262 +tp2263 +a(g193 +V( +tp2264 +a(g180 +V\u000a +tp2265 +a(g180 +V +p2266 +tp2267 +a(g43 +Vextent +p2268 +tp2269 +a(g334 +V= +tp2270 +a(g193 +V{ +tp2271 +a(g193 +V{ +tp2272 +a(g309 +V152 +p2273 +tp2274 +a(g193 +V, +tp2275 +a(g309 +V412 +p2276 +tp2277 +a(g193 +V} +tp2278 +a(g193 +V, +tp2279 +a(g193 +V{ +tp2280 +a(g309 +V458 +p2281 +tp2282 +a(g193 +V, +tp2283 +a(g309 +V334 +p2284 +tp2285 +a(g193 +V} +tp2286 +a(g193 +V} +tp2287 +a(g193 +V, +tp2288 +a(g180 +V\u000a +tp2289 +a(g180 +V +p2290 +tp2291 +a(g43 +VlineColor +p2292 +tp2293 +a(g334 +V= +tp2294 +a(g193 +V{ +tp2295 +a(g309 +V255 +p2296 +tp2297 +a(g193 +V, +tp2298 +a(g309 +V0 +tp2299 +a(g193 +V, +tp2300 +a(g309 +V0 +tp2301 +a(g193 +V} +tp2302 +a(g193 +V, +tp2303 +a(g180 +V\u000a +tp2304 +a(g180 +V +p2305 +tp2306 +a(g43 +VtextString +p2307 +tp2308 +a(g334 +V= +tp2309 +a(g217 +V" +tp2310 +a(g217 +VModelica.Constants +p2311 +tp2312 +a(g217 +V" +tp2313 +a(g193 +V) +tp2314 +a(g193 +V} +tp2315 +a(g193 +V) +tp2316 +a(g193 +V) +tp2317 +a(g193 +V; +tp2318 +a(g180 +V\u000a +tp2319 +a(g6 +Vend +p2320 +tp2321 +a(g180 +V +tp2322 +a(g43 +VConstants +p2323 +tp2324 +a(g193 +V; +tp2325 +a(g180 +V\u000a +tp2326 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/DancingSudoku.lhs b/tests/examplefiles/output/DancingSudoku.lhs new file mode 100644 index 0000000..ffbeb5a --- /dev/null +++ b/tests/examplefiles/output/DancingSudoku.lhs @@ -0,0 +1,16533 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +S'Reserved' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Pervasive' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsS'Constant' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g9 +g10 +((ltRp22 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp23 +(dp24 +S'Comment' +p25 +g2 +(g3 +g4 +(g25 +ttRp26 +(dp27 +g12 +g23 +sS'Preproc' +p28 +g2 +(g3 +g4 +(g25 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g26 +sbsS'Single' +p32 +g2 +(g3 +g4 +(g25 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g26 +sbsS'Multiline' +p36 +g2 +(g3 +g4 +(g25 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g26 +sbsg9 +g10 +((lp40 +g2 +(g3 +g4 +(g25 +S'Special' +p41 +ttRp42 +(dp43 +g9 +g10 +((ltRp44 +sg12 +g26 +sbag29 +ag33 +ag37 +atRp45 +sg41 +g42 +sbsS'Name' +p46 +g2 +(g3 +g4 +(g46 +ttRp47 +(dp48 +S'Function' +p49 +g2 +(g3 +g4 +(g46 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g47 +sbsS'Exception' +p53 +g2 +(g3 +g4 +(g46 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g47 +sbsS'Tag' +p57 +g2 +(g3 +g4 +(g46 +g57 +ttRp58 +(dp59 +g9 +g10 +((ltRp60 +sg12 +g47 +sbsg19 +g2 +(g3 +g4 +(g46 +g19 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g47 +sbsg12 +g23 +sS'Pseudo' +p64 +g2 +(g3 +g4 +(g46 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g47 +sbsS'Attribute' +p68 +g2 +(g3 +g4 +(g46 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g47 +sbsS'Label' +p72 +g2 +(g3 +g4 +(g46 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g47 +sbsS'Blubb' +p76 +g2 +(g3 +g4 +(g46 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g47 +sbsS'Entity' +p80 +g2 +(g3 +g4 +(g46 +g80 +ttRp81 +(dp82 +g9 +g10 +((ltRp83 +sg12 +g47 +sbsS'Builtin' +p84 +g2 +(g3 +g4 +(g46 +g84 +ttRp85 +(dp86 +g9 +g10 +((lp87 +g2 +(g3 +g4 +(g46 +g84 +g64 +ttRp88 +(dp89 +g9 +g10 +((ltRp90 +sg12 +g85 +sbatRp91 +sg64 +g88 +sg12 +g47 +sbsS'Other' +p92 +g2 +(g3 +g4 +(g46 +g92 +ttRp93 +(dp94 +g9 +g10 +((ltRp95 +sg12 +g47 +sbsS'Identifier' +p96 +g2 +(g3 +g4 +(g46 +g96 +ttRp97 +(dp98 +g9 +g10 +((ltRp99 +sg12 +g47 +sbsS'Variable' +p100 +g2 +(g3 +g4 +(g46 +g100 +ttRp101 +(dp102 +g12 +g47 +sS'Global' +p103 +g2 +(g3 +g4 +(g46 +g100 +g103 +ttRp104 +(dp105 +g9 +g10 +((ltRp106 +sg12 +g101 +sbsS'Instance' +p107 +g2 +(g3 +g4 +(g46 +g100 +g107 +ttRp108 +(dp109 +g9 +g10 +((ltRp110 +sg12 +g101 +sbsS'Anonymous' +p111 +g2 +(g3 +g4 +(g46 +g100 +g111 +ttRp112 +(dp113 +g9 +g10 +((ltRp114 +sg12 +g101 +sbsg9 +g10 +((lp115 +g112 +ag108 +ag104 +ag2 +(g3 +g4 +(g46 +g100 +S'Class' +p116 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g101 +sbatRp120 +sg116 +g117 +sbsg9 +g10 +((lp121 +g2 +(g3 +g4 +(g46 +S'Decorator' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g47 +sbag69 +ag61 +ag65 +ag2 +(g3 +g4 +(g46 +S'Namespace' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g47 +sbag97 +ag85 +ag101 +ag93 +ag77 +ag81 +ag50 +ag2 +(g3 +g4 +(g46 +S'Property' +p130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g47 +sbag73 +ag58 +ag54 +ag2 +(g3 +g4 +(g46 +g116 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g47 +sbatRp137 +sg130 +g131 +sg116 +g134 +sg122 +g123 +sg126 +g127 +sbsg5 +g13 +sS'Generic' +p138 +g2 +(g3 +g4 +(g138 +ttRp139 +(dp140 +S'Prompt' +p141 +g2 +(g3 +g4 +(g138 +g141 +ttRp142 +(dp143 +g9 +g10 +((ltRp144 +sg12 +g139 +sbsg12 +g23 +sS'Deleted' +p145 +g2 +(g3 +g4 +(g138 +g145 +ttRp146 +(dp147 +g9 +g10 +((ltRp148 +sg12 +g139 +sbsS'Traceback' +p149 +g2 +(g3 +g4 +(g138 +g149 +ttRp150 +(dp151 +g9 +g10 +((ltRp152 +sg12 +g139 +sbsS'Emph' +p153 +g2 +(g3 +g4 +(g138 +g153 +ttRp154 +(dp155 +g9 +g10 +((ltRp156 +sg12 +g139 +sbsS'Output' +p157 +g2 +(g3 +g4 +(g138 +g157 +ttRp158 +(dp159 +g9 +g10 +((ltRp160 +sg12 +g139 +sbsS'Subheading' +p161 +g2 +(g3 +g4 +(g138 +g161 +ttRp162 +(dp163 +g9 +g10 +((ltRp164 +sg12 +g139 +sbsS'Error' +p165 +g2 +(g3 +g4 +(g138 +g165 +ttRp166 +(dp167 +g9 +g10 +((ltRp168 +sg12 +g139 +sbsg9 +g10 +((lp169 +g158 +ag154 +ag166 +ag162 +ag150 +ag146 +ag2 +(g3 +g4 +(g138 +S'Heading' +p170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g139 +sbag2 +(g3 +g4 +(g138 +S'Inserted' +p174 +ttRp175 +(dp176 +g9 +g10 +((ltRp177 +sg12 +g139 +sbag2 +(g3 +g4 +(g138 +S'Strong' +p178 +ttRp179 +(dp180 +g9 +g10 +((ltRp181 +sg12 +g139 +sbag142 +atRp182 +sg178 +g179 +sg174 +g175 +sg170 +g171 +sbsS'Text' +p183 +g2 +(g3 +g4 +(g183 +ttRp184 +(dp185 +g9 +g10 +((lp186 +g2 +(g3 +g4 +(g183 +S'Symbol' +p187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg12 +g184 +sbag2 +(g3 +g4 +(g183 +S'Whitespace' +p191 +ttRp192 +(dp193 +g9 +g10 +((ltRp194 +sg12 +g184 +sbatRp195 +sg187 +g188 +sg191 +g192 +sg12 +g23 +sbsS'Punctuation' +p196 +g2 +(g3 +g4 +(g196 +ttRp197 +(dp198 +g9 +g10 +((lp199 +g2 +(g3 +g4 +(g196 +S'Indicator' +p200 +ttRp201 +(dp202 +g9 +g10 +((ltRp203 +sg12 +g197 +sbatRp204 +sg200 +g201 +sg12 +g23 +sbsS'Token' +p205 +g23 +sS'Number' +p206 +g2 +(g3 +g4 +(S'Literal' +p207 +g206 +ttRp208 +(dp209 +S'Bin' +p210 +g2 +(g3 +g4 +(g207 +g206 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg12 +g208 +sbsS'Binary' +p214 +g2 +(g3 +g4 +(g207 +g206 +g214 +ttRp215 +(dp216 +g9 +g10 +((ltRp217 +sg12 +g208 +sbsg12 +g2 +(g3 +g4 +(g207 +ttRp218 +(dp219 +S'String' +p220 +g2 +(g3 +g4 +(g207 +g220 +ttRp221 +(dp222 +S'Regex' +p223 +g2 +(g3 +g4 +(g207 +g220 +g223 +ttRp224 +(dp225 +g9 +g10 +((ltRp226 +sg12 +g221 +sbsS'Interpol' +p227 +g2 +(g3 +g4 +(g207 +g220 +g227 +ttRp228 +(dp229 +g9 +g10 +((ltRp230 +sg12 +g221 +sbsS'Regexp' +p231 +g2 +(g3 +g4 +(g207 +g220 +g231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g221 +sbsg12 +g218 +sS'Heredoc' +p235 +g2 +(g3 +g4 +(g207 +g220 +g235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g221 +sbsS'Double' +p239 +g2 +(g3 +g4 +(g207 +g220 +g239 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g221 +sbsg187 +g2 +(g3 +g4 +(g207 +g220 +g187 +ttRp243 +(dp244 +g9 +g10 +((ltRp245 +sg12 +g221 +sbsS'Escape' +p246 +g2 +(g3 +g4 +(g207 +g220 +g246 +ttRp247 +(dp248 +g9 +g10 +((ltRp249 +sg12 +g221 +sbsS'Character' +p250 +g2 +(g3 +g4 +(g207 +g220 +g250 +ttRp251 +(dp252 +g9 +g10 +((ltRp253 +sg12 +g221 +sbsS'Interp' +p254 +g2 +(g3 +g4 +(g207 +g220 +g254 +ttRp255 +(dp256 +g9 +g10 +((ltRp257 +sg12 +g221 +sbsS'Backtick' +p258 +g2 +(g3 +g4 +(g207 +g220 +g258 +ttRp259 +(dp260 +g9 +g10 +((ltRp261 +sg12 +g221 +sbsS'Char' +p262 +g2 +(g3 +g4 +(g207 +g220 +g262 +ttRp263 +(dp264 +g9 +g10 +((ltRp265 +sg12 +g221 +sbsg32 +g2 +(g3 +g4 +(g207 +g220 +g32 +ttRp266 +(dp267 +g9 +g10 +((ltRp268 +sg12 +g221 +sbsg92 +g2 +(g3 +g4 +(g207 +g220 +g92 +ttRp269 +(dp270 +g9 +g10 +((ltRp271 +sg12 +g221 +sbsS'Doc' +p272 +g2 +(g3 +g4 +(g207 +g220 +g272 +ttRp273 +(dp274 +g9 +g10 +((ltRp275 +sg12 +g221 +sbsg9 +g10 +((lp276 +g269 +ag2 +(g3 +g4 +(g207 +g220 +S'Atom' +p277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g221 +sbag240 +ag263 +ag255 +ag273 +ag236 +ag259 +ag228 +ag243 +ag232 +ag224 +ag266 +ag251 +ag247 +atRp281 +sg277 +g278 +sbsg12 +g23 +sg206 +g208 +sS'Scalar' +p282 +g2 +(g3 +g4 +(g207 +g282 +ttRp283 +(dp284 +g9 +g10 +((lp285 +g2 +(g3 +g4 +(g207 +g282 +S'Plain' +p286 +ttRp287 +(dp288 +g9 +g10 +((ltRp289 +sg12 +g283 +sbatRp290 +sg12 +g218 +sg286 +g287 +sbsg92 +g2 +(g3 +g4 +(g207 +g92 +ttRp291 +(dp292 +g9 +g10 +((ltRp293 +sg12 +g218 +sbsS'Date' +p294 +g2 +(g3 +g4 +(g207 +g294 +ttRp295 +(dp296 +g9 +g10 +((ltRp297 +sg12 +g218 +sbsg9 +g10 +((lp298 +g295 +ag221 +ag291 +ag208 +ag283 +atRp299 +sbsS'Decimal' +p300 +g2 +(g3 +g4 +(g207 +g206 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g208 +sbsS'Float' +p304 +g2 +(g3 +g4 +(g207 +g206 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g208 +sbsS'Hex' +p308 +g2 +(g3 +g4 +(g207 +g206 +g308 +ttRp309 +(dp310 +g9 +g10 +((ltRp311 +sg12 +g208 +sbsS'Integer' +p312 +g2 +(g3 +g4 +(g207 +g206 +g312 +ttRp313 +(dp314 +g9 +g10 +((lp315 +g2 +(g3 +g4 +(g207 +g206 +g312 +S'Long' +p316 +ttRp317 +(dp318 +g9 +g10 +((ltRp319 +sg12 +g313 +sbatRp320 +sg316 +g317 +sg12 +g208 +sbsS'Octal' +p321 +g2 +(g3 +g4 +(g207 +g206 +g321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g208 +sbsg9 +g10 +((lp325 +g211 +ag215 +ag322 +ag301 +ag2 +(g3 +g4 +(g207 +g206 +S'Oct' +p326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g208 +sbag313 +ag305 +ag309 +atRp330 +sg326 +g327 +sbsg207 +g218 +sg92 +g2 +(g3 +g4 +(g92 +ttRp331 +(dp332 +g9 +g10 +((ltRp333 +sg12 +g23 +sbsg165 +g2 +(g3 +g4 +(g165 +ttRp334 +(dp335 +g9 +g10 +((ltRp336 +sg12 +g23 +sbsS'Operator' +p337 +g2 +(g3 +g4 +(g337 +ttRp338 +(dp339 +g9 +g10 +((lp340 +g2 +(g3 +g4 +(g337 +S'Word' +p341 +ttRp342 +(dp343 +g9 +g10 +((ltRp344 +sg12 +g338 +sbatRp345 +sg341 +g342 +sg12 +g23 +sbsg9 +g10 +((lp346 +g26 +ag334 +ag139 +ag184 +ag47 +ag197 +ag13 +ag218 +ag338 +ag331 +atRp347 +sg220 +g221 +sbsg126 +g2 +(g3 +g4 +(g5 +g126 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g13 +sbsg64 +g2 +(g3 +g4 +(g5 +g64 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbsg6 +g7 +sS'Declaration' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg100 +g2 +(g3 +g4 +(g5 +g100 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g20 +ag7 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag16 +ag355 +ag358 +ag348 +ag351 +atRp366 +sg362 +g363 +sbsbV +tp367 +a(g184 +V A Sukodku solver by Chris Kuklewicz (haskell (at) list (dot) mightyreason (dot) com)\u000a +p368 +tp369 +a(g7 +V +tp370 +a(g184 +V The usual BSD license applies, copyright 2006.\u000a +p371 +tp372 +a(g7 +V +tp373 +a(g184 +V Uploaded to HaskellWiki as DancingSudoku.lhs\u000a +p374 +tp375 +a(g7 +V +tp376 +a(g184 +V\u000a +tp377 +a(g7 +V +tp378 +a(g184 +V I compile on a powerbook G4 (Mac OS X, ghc 6.4.2) using\u000a +p379 +tp380 +a(g7 +V +tp381 +a(g184 +V ghc -optc-O3 -funbox-strict-fields -O2 --make -fglasgow-exts\u000a +p382 +tp383 +a(g7 +V +tp384 +a(g184 +V\u000a +tp385 +a(g7 +V +tp386 +a(g184 +V This is a translation of Knuth's GDANCE from dance.w / dance.c\u000a +p387 +tp388 +a(g7 +V +tp389 +a(g184 +V\u000a +tp390 +a(g7 +V +tp391 +a(g184 +V http://www-cs-faculty.stanford.edu/~uno/preprints.html\u000a +p392 +tp393 +a(g7 +V +tp394 +a(g184 +V http://www-cs-faculty.stanford.edu/~uno/programs.html\u000a +p395 +tp396 +a(g7 +V +tp397 +a(g184 +V http://en.wikipedia.org/wiki/Dancing_Links\u000a +p398 +tp399 +a(g7 +V +tp400 +a(g184 +V\u000a +tp401 +a(g7 +V +tp402 +a(g184 +V I have an older verison that uses lazy ST to return the solutions on\u000a +p403 +tp404 +a(g7 +V +tp405 +a(g184 +V demand, which was more useful when trying to generate new puzzles to\u000a +p406 +tp407 +a(g7 +V +tp408 +a(g184 +V solve.\u000a +p409 +tp410 +a(g7 +V +tp411 +a(g184 +V\u000a +tp412 +a(g7 +V +tp413 +a(g42 +V> +p414 +tp415 +a(g7 +Vmodule +p416 +tp417 +a(g184 +V +tp418 +a(g127 +VMain +p419 +tp420 +a(g184 +V +tp421 +a(g7 +Vwhere +p422 +tp423 +a(g184 +V\u000a +tp424 +a(g184 +V\u000a +tp425 +a(g184 +V +tp426 +a(g42 +V> +p427 +tp428 +a(g184 +V +tp429 +a(g7 +Vimport +p430 +tp431 +a(g184 +V +tp432 +a(g127 +VPrelude +p433 +tp434 +a(g184 +V +tp435 +a(g13 +Vhiding +p436 +tp437 +a(g184 +V +tp438 +a(g197 +V( +tp439 +a(g50 +Vread +p440 +tp441 +a(g197 +V) +tp442 +a(g184 +V\u000a +tp443 +a(g42 +V> +p444 +tp445 +a(g184 +V +tp446 +a(g7 +Vimport +p447 +tp448 +a(g184 +V +tp449 +a(g127 +VControl.Monad +p450 +tp451 +a(g184 +V\u000a +tp452 +a(g42 +V> +p453 +tp454 +a(g184 +V +tp455 +a(g7 +Vimport +p456 +tp457 +a(g184 +V +tp458 +a(g127 +VControl.Monad.Fix +p459 +tp460 +a(g184 +V\u000a +tp461 +a(g42 +V> +p462 +tp463 +a(g184 +V +tp464 +a(g7 +Vimport +p465 +tp466 +a(g184 +V +tp467 +a(g127 +VData.Array.IArray +p468 +tp469 +a(g184 +V\u000a +tp470 +a(g42 +V> +p471 +tp472 +a(g184 +V +tp473 +a(g7 +Vimport +p474 +tp475 +a(g184 +V +tp476 +a(g127 +VControl.Monad.ST.Strict +p477 +tp478 +a(g184 +V\u000a +tp479 +a(g42 +V> +p480 +tp481 +a(g184 +V +tp482 +a(g7 +Vimport +p483 +tp484 +a(g184 +V +tp485 +a(g127 +VData.STRef.Strict +p486 +tp487 +a(g184 +V\u000a +tp488 +a(g42 +V> +p489 +tp490 +a(g184 +V +tp491 +a(g7 +Vimport +p492 +tp493 +a(g184 +V +tp494 +a(g127 +VData.Char +p495 +tp496 +a(g197 +V( +tp497 +a(g47 +VintToDigit +p498 +tp499 +a(g197 +V, +tp500 +a(g47 +VdigitToInt +p501 +tp502 +a(g197 +V) +tp503 +a(g184 +V\u000a +tp504 +a(g42 +V> +p505 +tp506 +a(g184 +V +tp507 +a(g7 +Vimport +p508 +tp509 +a(g184 +V +tp510 +a(g127 +VData.List +p511 +tp512 +a(g197 +V( +tp513 +a(g47 +Vunfoldr +p514 +tp515 +a(g197 +V, +tp516 +a(g47 +Vintersperse +p517 +tp518 +a(g197 +V, +tp519 +a(g47 +Vinits +p520 +tp521 +a(g197 +V) +tp522 +a(g184 +V\u000a +tp523 +a(g184 +V\u000a +tp524 +a(g184 +V +tp525 +a(g42 +V> +p526 +tp527 +a(g184 +V +tp528 +a(g50 +Vnew +p529 +tp530 +a(g184 +V +tp531 +a(g342 +V= +tp532 +a(g184 +V +tp533 +a(g47 +VnewSTRef +p534 +tp535 +a(g184 +V\u000a +tp536 +a(g42 +V> +p537 +tp538 +a(g184 +V +tp539 +a(g37 +V{- +p540 +tp541 +a(g37 +V# INLINE new # +p542 +tp543 +a(g37 +V-} +p544 +tp545 +a(g184 +V\u000a +tp546 +a(g42 +V> +p547 +tp548 +a(g184 +V +tp549 +a(g50 +Vread +p550 +tp551 +a(g184 +V +tp552 +a(g342 +V= +tp553 +a(g184 +V +tp554 +a(g47 +VreadSTRef +p555 +tp556 +a(g184 +V\u000a +tp557 +a(g42 +V> +p558 +tp559 +a(g184 +V +tp560 +a(g37 +V{- +p561 +tp562 +a(g37 +V# INLINE read # +p563 +tp564 +a(g37 +V-} +p565 +tp566 +a(g184 +V\u000a +tp567 +a(g42 +V> +p568 +tp569 +a(g184 +V +tp570 +a(g50 +Vwrite +p571 +tp572 +a(g184 +V +tp573 +a(g342 +V= +tp574 +a(g184 +V +tp575 +a(g47 +VwriteSTRef +p576 +tp577 +a(g184 +V\u000a +tp578 +a(g42 +V> +p579 +tp580 +a(g184 +V +tp581 +a(g37 +V{- +p582 +tp583 +a(g37 +V# INLINE write # +p584 +tp585 +a(g37 +V-} +p586 +tp587 +a(g184 +V\u000a +tp588 +a(g42 +V> +p589 +tp590 +a(g184 +V +tp591 +a(g50 +Vmodify +p592 +tp593 +a(g184 +V +tp594 +a(g342 +V= +tp595 +a(g184 +V +tp596 +a(g47 +VmodifySTRef +p597 +tp598 +a(g184 +V\u000a +tp599 +a(g42 +V> +p600 +tp601 +a(g184 +V +tp602 +a(g37 +V{- +p603 +tp604 +a(g37 +V# INLINE modify # +p605 +tp606 +a(g37 +V-} +p607 +tp608 +a(g184 +V\u000a +tp609 +a(g184 +V\u000a +tp610 +a(g184 +V +tp611 +a(g184 +V Data types to prevent mixing different index and value types\u000a +p612 +tp613 +a(g184 +V +tp614 +a(g184 +V\u000a +tp615 +a(g184 +V +tp616 +a(g42 +V> +p617 +tp618 +a(g184 +V +tp619 +a(g7 +Vtype +p620 +tp621 +a(g184 +V +tp622 +a(g363 +VA +tp623 +a(g184 +V +tp624 +a(g342 +V= +tp625 +a(g184 +V +tp626 +a(g363 +VInt +p627 +tp628 +a(g184 +V\u000a +tp629 +a(g42 +V> +p630 +tp631 +a(g184 +V +tp632 +a(g7 +Vnewtype +p633 +tp634 +a(g184 +V +tp635 +a(g363 +VR +tp636 +a(g184 +V +tp637 +a(g342 +V= +tp638 +a(g184 +V +tp639 +a(g363 +VR +tp640 +a(g184 +V +tp641 +a(g363 +VA +tp642 +a(g184 +V +tp643 +a(g7 +Vderiving +p644 +tp645 +a(g184 +V +tp646 +a(g197 +V( +tp647 +a(g363 +VShow +p648 +tp649 +a(g197 +V, +tp650 +a(g363 +VRead +p651 +tp652 +a(g197 +V, +tp653 +a(g363 +VEq +p654 +tp655 +a(g197 +V, +tp656 +a(g363 +VOrd +p657 +tp658 +a(g197 +V, +tp659 +a(g363 +VIx +p660 +tp661 +a(g197 +V, +tp662 +a(g363 +VEnum +p663 +tp664 +a(g197 +V) +tp665 +a(g184 +V\u000a +tp666 +a(g42 +V> +p667 +tp668 +a(g184 +V +tp669 +a(g7 +Vnewtype +p670 +tp671 +a(g184 +V +tp672 +a(g363 +VC +tp673 +a(g184 +V +tp674 +a(g342 +V= +tp675 +a(g184 +V +tp676 +a(g363 +VC +tp677 +a(g184 +V +tp678 +a(g363 +VA +tp679 +a(g184 +V +tp680 +a(g7 +Vderiving +p681 +tp682 +a(g184 +V +tp683 +a(g197 +V( +tp684 +a(g363 +VShow +p685 +tp686 +a(g197 +V, +tp687 +a(g363 +VRead +p688 +tp689 +a(g197 +V, +tp690 +a(g363 +VEq +p691 +tp692 +a(g197 +V, +tp693 +a(g363 +VOrd +p694 +tp695 +a(g197 +V, +tp696 +a(g363 +VIx +p697 +tp698 +a(g197 +V, +tp699 +a(g363 +VEnum +p700 +tp701 +a(g197 +V) +tp702 +a(g184 +V\u000a +tp703 +a(g42 +V> +p704 +tp705 +a(g184 +V +tp706 +a(g7 +Vnewtype +p707 +tp708 +a(g184 +V +tp709 +a(g363 +VV +tp710 +a(g184 +V +tp711 +a(g342 +V= +tp712 +a(g184 +V +tp713 +a(g363 +VV +tp714 +a(g184 +V +tp715 +a(g363 +VA +tp716 +a(g184 +V +tp717 +a(g7 +Vderiving +p718 +tp719 +a(g184 +V +tp720 +a(g197 +V( +tp721 +a(g363 +VShow +p722 +tp723 +a(g197 +V, +tp724 +a(g363 +VRead +p725 +tp726 +a(g197 +V, +tp727 +a(g363 +VEq +p728 +tp729 +a(g197 +V, +tp730 +a(g363 +VOrd +p731 +tp732 +a(g197 +V, +tp733 +a(g363 +VIx +p734 +tp735 +a(g197 +V, +tp736 +a(g363 +VEnum +p737 +tp738 +a(g197 +V) +tp739 +a(g184 +V\u000a +tp740 +a(g42 +V> +p741 +tp742 +a(g184 +V +tp743 +a(g7 +Vnewtype +p744 +tp745 +a(g184 +V +tp746 +a(g363 +VB +tp747 +a(g184 +V +tp748 +a(g342 +V= +tp749 +a(g184 +V +tp750 +a(g363 +VB +tp751 +a(g184 +V +tp752 +a(g363 +VA +tp753 +a(g184 +V +tp754 +a(g7 +Vderiving +p755 +tp756 +a(g184 +V +tp757 +a(g197 +V( +tp758 +a(g363 +VShow +p759 +tp760 +a(g197 +V, +tp761 +a(g363 +VRead +p762 +tp763 +a(g197 +V, +tp764 +a(g363 +VEq +p765 +tp766 +a(g197 +V, +tp767 +a(g363 +VOrd +p768 +tp769 +a(g197 +V, +tp770 +a(g363 +VIx +p771 +tp772 +a(g197 +V, +tp773 +a(g363 +VEnum +p774 +tp775 +a(g197 +V) +tp776 +a(g184 +V\u000a +tp777 +a(g184 +V\u000a +tp778 +a(g184 +V +tp779 +a(g184 +V Sudoku also has block constraints, so we want to look up a block\u000a +p780 +tp781 +a(g184 +V +tp782 +a(g184 +V index in an array:\u000a +p783 +tp784 +a(g184 +V +tp785 +a(g184 +V\u000a +tp786 +a(g184 +V +tp787 +a(g42 +V> +p788 +tp789 +a(g184 +V +tp790 +a(g50 +VlookupBlock +p791 +tp792 +a(g184 +V +tp793 +a(g342 +V:: +p794 +tp795 +a(g184 +V +tp796 +a(g363 +VArray +p797 +tp798 +a(g184 +V +tp799 +a(g197 +V( +tp800 +a(g363 +VR +tp801 +a(g197 +V, +tp802 +a(g363 +VC +tp803 +a(g197 +V) +tp804 +a(g184 +V +tp805 +a(g363 +VB +tp806 +a(g184 +V\u000a +tp807 +a(g42 +V> +p808 +tp809 +a(g184 +V +tp810 +a(g50 +VlookupBlock +p811 +tp812 +a(g184 +V +tp813 +a(g342 +V= +tp814 +a(g184 +V +tp815 +a(g47 +VlistArray +p816 +tp817 +a(g184 +V +tp818 +a(g47 +Vbb +p819 +tp820 +a(g184 +V +tp821 +a(g197 +V[ +tp822 +a(g184 +V +tp823 +a(g47 +VtoBlock +p824 +tp825 +a(g184 +V +tp826 +a(g47 +Vij +p827 +tp828 +a(g184 +V +tp829 +a(g338 +V| +tp830 +a(g184 +V +tp831 +a(g47 +Vij +p832 +tp833 +a(g184 +V +tp834 +a(g342 +V<- +p835 +tp836 +a(g184 +V +tp837 +a(g47 +Vrange +p838 +tp839 +a(g184 +V +tp840 +a(g47 +Vbb +p841 +tp842 +a(g184 +V +tp843 +a(g197 +V] +tp844 +a(g184 +V\u000a +tp845 +a(g42 +V> +p846 +tp847 +a(g184 +V +tp848 +a(g7 +Vwhere +p849 +tp850 +a(g184 +V +tp851 +a(g47 +Vra +p852 +tp853 +a(g184 +V +tp854 +a(g342 +V:: +p855 +tp856 +a(g184 +V +tp857 +a(g363 +VArray +p858 +tp859 +a(g184 +V +tp860 +a(g363 +VInt +p861 +tp862 +a(g184 +V +tp863 +a(g363 +VB +tp864 +a(g184 +V\u000a +tp865 +a(g42 +V> +p866 +tp867 +a(g184 +V +tp868 +a(g50 +Vra +p869 +tp870 +a(g184 +V +tp871 +a(g342 +V= +tp872 +a(g184 +V +tp873 +a(g47 +VlistArray +p874 +tp875 +a(g184 +V +tp876 +a(g197 +V( +tp877 +a(g313 +V0 +tp878 +a(g197 +V, +tp879 +a(g47 +Vpred +p880 +tp881 +a(g184 +V +tp882 +a(g197 +V( +tp883 +a(g47 +VrangeSize +p884 +tp885 +a(g184 +V +tp886 +a(g47 +Vb +tp887 +a(g197 +V) +tp888 +a(g197 +V) +tp889 +a(g184 +V +tp890 +a(g197 +V[ +tp891 +a(g363 +VB +tp892 +a(g184 +V +tp893 +a(g197 +V( +tp894 +a(g47 +Vfst +p895 +tp896 +a(g184 +V +tp897 +a(g47 +Vb +tp898 +a(g197 +V) +tp899 +a(g184 +V +tp900 +a(g338 +V.. +p901 +tp902 +a(g184 +V +tp903 +a(g363 +VB +tp904 +a(g184 +V +tp905 +a(g197 +V( +tp906 +a(g47 +Vsnd +p907 +tp908 +a(g184 +V +tp909 +a(g47 +Vb +tp910 +a(g197 +V) +tp911 +a(g197 +V] +tp912 +a(g184 +V\u000a +tp913 +a(g42 +V> +p914 +tp915 +a(g184 +V +tp916 +a(g50 +VtoBlock +p917 +tp918 +a(g184 +V +tp919 +a(g197 +V( +tp920 +a(g363 +VR +tp921 +a(g184 +V +tp922 +a(g47 +Vi +tp923 +a(g197 +V, +tp924 +a(g363 +VC +tp925 +a(g184 +V +tp926 +a(g47 +Vj +tp927 +a(g197 +V) +tp928 +a(g184 +V +tp929 +a(g342 +V= +tp930 +a(g184 +V +tp931 +a(g47 +Vra +p932 +tp933 +a(g184 +V +tp934 +a(g338 +V! +tp935 +a(g184 +V +tp936 +a(g197 +V( +tp937 +a(g184 +V +tp938 +a(g197 +V( +tp939 +a(g47 +Vdiv +p940 +tp941 +a(g184 +V +tp942 +a(g197 +V( +tp943 +a(g47 +Vindex +p944 +tp945 +a(g184 +V +tp946 +a(g47 +Vb +tp947 +a(g184 +V +tp948 +a(g47 +Vj +tp949 +a(g197 +V) +tp950 +a(g184 +V +tp951 +a(g313 +V3 +tp952 +a(g197 +V) +tp953 +a(g338 +V+ +tp954 +a(g313 +V3 +tp955 +a(g338 +V* +tp956 +a(g197 +V( +tp957 +a(g47 +Vdiv +p958 +tp959 +a(g184 +V +tp960 +a(g197 +V( +tp961 +a(g47 +Vindex +p962 +tp963 +a(g184 +V +tp964 +a(g47 +Vb +tp965 +a(g184 +V +tp966 +a(g47 +Vi +tp967 +a(g197 +V) +tp968 +a(g184 +V +tp969 +a(g313 +V3 +tp970 +a(g197 +V) +tp971 +a(g184 +V +tp972 +a(g197 +V) +tp973 +a(g184 +V\u000a +tp974 +a(g184 +V\u000a +tp975 +a(g184 +V +tp976 +a(g184 +V The values for an unknown location is 'u'.\u000a +p977 +tp978 +a(g184 +V +tp979 +a(g184 +V The bound and range are given by b and rng. And bb is a 2D bound.\u000a +p980 +tp981 +a(g184 +V +tp982 +a(g184 +V\u000a +tp983 +a(g184 +V +tp984 +a(g42 +V> +p985 +tp986 +a(g184 +V +tp987 +a(g50 +Vu +tp988 +a(g184 +V +tp989 +a(g342 +V= +tp990 +a(g184 +V +tp991 +a(g363 +VV +tp992 +a(g184 +V +tp993 +a(g313 +V0 +tp994 +a(g184 +V +p995 +tp996 +a(g33 +V-- unknown value +p997 +tp998 +a(g184 +V\u000a +tp999 +a(g42 +V> +p1000 +tp1001 +a(g184 +V +tp1002 +a(g50 +Vb +tp1003 +a(g184 +V +tp1004 +a(g342 +V:: +p1005 +tp1006 +a(g184 +V +tp1007 +a(g197 +V( +tp1008 +a(g363 +VInt +p1009 +tp1010 +a(g197 +V, +tp1011 +a(g363 +VInt +p1012 +tp1013 +a(g197 +V) +tp1014 +a(g184 +V\u000a +tp1015 +a(g42 +V> +p1016 +tp1017 +a(g184 +V +tp1018 +a(g50 +Vb +tp1019 +a(g184 +V +tp1020 +a(g342 +V= +tp1021 +a(g184 +V +tp1022 +a(g197 +V( +tp1023 +a(g313 +V1 +tp1024 +a(g197 +V, +tp1025 +a(g313 +V9 +tp1026 +a(g197 +V) +tp1027 +a(g184 +V +tp1028 +a(g33 +V-- min and max bounds +p1029 +tp1030 +a(g184 +V\u000a +tp1031 +a(g42 +V> +p1032 +tp1033 +a(g184 +V +tp1034 +a(g50 +Vrng +p1035 +tp1036 +a(g184 +V +tp1037 +a(g342 +V= +tp1038 +a(g184 +V +tp1039 +a(g47 +VenumFromTo +p1040 +tp1041 +a(g184 +V +tp1042 +a(g197 +V( +tp1043 +a(g47 +Vfst +p1044 +tp1045 +a(g184 +V +tp1046 +a(g47 +Vb +tp1047 +a(g197 +V) +tp1048 +a(g184 +V +p1049 +tp1050 +a(g197 +V( +tp1051 +a(g47 +Vsnd +p1052 +tp1053 +a(g184 +V +tp1054 +a(g47 +Vb +tp1055 +a(g197 +V) +tp1056 +a(g184 +V +p1057 +tp1058 +a(g33 +V-- list from '1' to '9' +p1059 +tp1060 +a(g184 +V\u000a +tp1061 +a(g42 +V> +p1062 +tp1063 +a(g184 +V +tp1064 +a(g50 +Vbb +p1065 +tp1066 +a(g184 +V +tp1067 +a(g342 +V= +tp1068 +a(g184 +V +tp1069 +a(g197 +V( +tp1070 +a(g197 +V( +tp1071 +a(g363 +VR +tp1072 +a(g184 +V +tp1073 +a(g197 +V( +tp1074 +a(g47 +Vfst +p1075 +tp1076 +a(g184 +V +tp1077 +a(g47 +Vb +tp1078 +a(g197 +V) +tp1079 +a(g197 +V, +tp1080 +a(g363 +VC +tp1081 +a(g184 +V +tp1082 +a(g197 +V( +tp1083 +a(g47 +Vfst +p1084 +tp1085 +a(g184 +V +tp1086 +a(g47 +Vb +tp1087 +a(g197 +V) +tp1088 +a(g197 +V) +tp1089 +a(g197 +V, +tp1090 +a(g197 +V( +tp1091 +a(g363 +VR +tp1092 +a(g184 +V +tp1093 +a(g197 +V( +tp1094 +a(g47 +Vsnd +p1095 +tp1096 +a(g184 +V +tp1097 +a(g47 +Vb +tp1098 +a(g197 +V) +tp1099 +a(g197 +V, +tp1100 +a(g363 +VC +tp1101 +a(g184 +V +tp1102 +a(g197 +V( +tp1103 +a(g47 +Vsnd +p1104 +tp1105 +a(g184 +V +tp1106 +a(g47 +Vb +tp1107 +a(g197 +V) +tp1108 +a(g197 +V) +tp1109 +a(g197 +V) +tp1110 +a(g184 +V\u000a +tp1111 +a(g184 +V\u000a +tp1112 +a(g184 +V +tp1113 +a(g184 +V A Spec can be turned into a parsed array with ease:\u000a +p1114 +tp1115 +a(g184 +V +tp1116 +a(g184 +V\u000a +tp1117 +a(g184 +V +tp1118 +a(g42 +V> +p1119 +tp1120 +a(g184 +V +tp1121 +a(g7 +Vtype +p1122 +tp1123 +a(g184 +V +tp1124 +a(g363 +VHint +p1125 +tp1126 +a(g184 +V +tp1127 +a(g342 +V= +tp1128 +a(g184 +V +tp1129 +a(g197 +V( +tp1130 +a(g197 +V( +tp1131 +a(g363 +VR +tp1132 +a(g197 +V, +tp1133 +a(g363 +VC +tp1134 +a(g197 +V) +tp1135 +a(g197 +V, +tp1136 +a(g363 +VV +tp1137 +a(g197 +V) +tp1138 +a(g184 +V\u000a +tp1139 +a(g42 +V> +p1140 +tp1141 +a(g184 +V +tp1142 +a(g7 +Vnewtype +p1143 +tp1144 +a(g184 +V +tp1145 +a(g363 +VSpec +p1146 +tp1147 +a(g184 +V +tp1148 +a(g342 +V= +tp1149 +a(g184 +V +tp1150 +a(g363 +VSpec +p1151 +tp1152 +a(g184 +V +tp1153 +a(g197 +V[ +tp1154 +a(g363 +VHint +p1155 +tp1156 +a(g197 +V] +tp1157 +a(g184 +V +tp1158 +a(g7 +Vderiving +p1159 +tp1160 +a(g184 +V +tp1161 +a(g197 +V( +tp1162 +a(g363 +VEq +p1163 +tp1164 +a(g197 +V, +tp1165 +a(g363 +VShow +p1166 +tp1167 +a(g197 +V) +tp1168 +a(g184 +V\u000a +tp1169 +a(g184 +V\u000a +tp1170 +a(g184 +V +tp1171 +a(g42 +V> +p1172 +tp1173 +a(g184 +V +tp1174 +a(g7 +Vtype +p1175 +tp1176 +a(g184 +V +tp1177 +a(g363 +VPA +p1178 +tp1179 +a(g184 +V +tp1180 +a(g342 +V= +tp1181 +a(g184 +V +tp1182 +a(g363 +VArray +p1183 +tp1184 +a(g184 +V +tp1185 +a(g197 +V( +tp1186 +a(g363 +VR +tp1187 +a(g197 +V, +tp1188 +a(g363 +VC +tp1189 +a(g197 +V) +tp1190 +a(g184 +V +tp1191 +a(g363 +VV +tp1192 +a(g184 +V\u000a +tp1193 +a(g184 +V\u000a +tp1194 +a(g184 +V +tp1195 +a(g42 +V> +p1196 +tp1197 +a(g184 +V +tp1198 +a(g50 +Vparse +p1199 +tp1200 +a(g184 +V +tp1201 +a(g342 +V:: +p1202 +tp1203 +a(g184 +V +tp1204 +a(g363 +VSpec +p1205 +tp1206 +a(g184 +V +tp1207 +a(g342 +V-> +p1208 +tp1209 +a(g184 +V +tp1210 +a(g363 +VPA +p1211 +tp1212 +a(g184 +V\u000a +tp1213 +a(g42 +V> +p1214 +tp1215 +a(g184 +V +tp1216 +a(g50 +Vparse +p1217 +tp1218 +a(g184 +V +tp1219 +a(g197 +V( +tp1220 +a(g363 +VSpec +p1221 +tp1222 +a(g184 +V +tp1223 +a(g47 +Vparsed +p1224 +tp1225 +a(g197 +V) +tp1226 +a(g184 +V +tp1227 +a(g342 +V= +tp1228 +a(g184 +V +tp1229 +a(g7 +Vlet +p1230 +tp1231 +a(g184 +V +tp1232 +a(g47 +Vacc +p1233 +tp1234 +a(g184 +V +tp1235 +a(g47 +Vold +p1236 +tp1237 +a(g184 +V +tp1238 +a(g47 +Vnew +p1239 +tp1240 +a(g184 +V +tp1241 +a(g342 +V= +tp1242 +a(g184 +V +tp1243 +a(g47 +Vnew +p1244 +tp1245 +a(g184 +V\u000a +tp1246 +a(g42 +V> +p1247 +tp1248 +a(g184 +V +tp1249 +a(g7 +Vin +p1250 +tp1251 +a(g184 +V +tp1252 +a(g47 +VaccumArray +p1253 +tp1254 +a(g184 +V +tp1255 +a(g47 +Vacc +p1256 +tp1257 +a(g184 +V +tp1258 +a(g47 +Vu +tp1259 +a(g184 +V +tp1260 +a(g47 +Vbb +p1261 +tp1262 +a(g184 +V +tp1263 +a(g47 +Vparsed +p1264 +tp1265 +a(g184 +V\u000a +tp1266 +a(g184 +V\u000a +tp1267 +a(g184 +V +tp1268 +a(g184 +V The dancing links algorithm depends on a sparse 2D node structure.\u000a +p1269 +tp1270 +a(g184 +V +tp1271 +a(g184 +V Each column represents a constraint. Each row represents a Hint.\u000a +p1272 +tp1273 +a(g184 +V +tp1274 +a(g184 +V The number of possible hints is 9x9x9 = 271\u000a +p1275 +tp1276 +a(g184 +V +tp1277 +a(g184 +V\u000a +tp1278 +a(g184 +V +tp1279 +a(g42 +V> +p1280 +tp1281 +a(g184 +V +tp1282 +a(g7 +Vtype +p1283 +tp1284 +a(g184 +V +tp1285 +a(g197 +V( +tp1286 +a(g363 +VMutInt +p1287 +tp1288 +a(g184 +V +tp1289 +a(g47 +Vst +p1290 +tp1291 +a(g197 +V) +tp1292 +a(g184 +V +p1293 +tp1294 +a(g342 +V= +tp1295 +a(g184 +V +tp1296 +a(g197 +V( +tp1297 +a(g363 +VSTRef +p1298 +tp1299 +a(g184 +V +tp1300 +a(g47 +Vst +p1301 +tp1302 +a(g197 +V) +tp1303 +a(g184 +V +tp1304 +a(g363 +VInt +p1305 +tp1306 +a(g184 +V\u000a +tp1307 +a(g184 +V\u000a +tp1308 +a(g184 +V +tp1309 +a(g184 +V The pointer types:\u000a +p1310 +tp1311 +a(g184 +V +tp1312 +a(g184 +V\u000a +tp1313 +a(g184 +V +tp1314 +a(g42 +V> +p1315 +tp1316 +a(g184 +V +tp1317 +a(g7 +Vtype +p1318 +tp1319 +a(g184 +V +tp1320 +a(g197 +V( +tp1321 +a(g363 +VNodePtr +p1322 +tp1323 +a(g184 +V +tp1324 +a(g47 +Vst +p1325 +tp1326 +a(g197 +V) +tp1327 +a(g184 +V +tp1328 +a(g342 +V= +tp1329 +a(g184 +V +tp1330 +a(g197 +V( +tp1331 +a(g363 +VSTRef +p1332 +tp1333 +a(g184 +V +tp1334 +a(g47 +Vst +p1335 +tp1336 +a(g197 +V) +tp1337 +a(g184 +V +tp1338 +a(g197 +V( +tp1339 +a(g363 +VNode +p1340 +tp1341 +a(g184 +V +tp1342 +a(g47 +Vst +p1343 +tp1344 +a(g197 +V) +tp1345 +a(g184 +V\u000a +tp1346 +a(g42 +V> +p1347 +tp1348 +a(g184 +V +tp1349 +a(g7 +Vtype +p1350 +tp1351 +a(g184 +V +tp1352 +a(g197 +V( +tp1353 +a(g363 +VHeadPtr +p1354 +tp1355 +a(g184 +V +tp1356 +a(g47 +Vst +p1357 +tp1358 +a(g197 +V) +tp1359 +a(g184 +V +p1360 +tp1361 +a(g342 +V= +tp1362 +a(g184 +V +tp1363 +a(g197 +V( +tp1364 +a(g363 +VSTRef +p1365 +tp1366 +a(g184 +V +tp1367 +a(g47 +Vst +p1368 +tp1369 +a(g197 +V) +tp1370 +a(g184 +V +tp1371 +a(g197 +V( +tp1372 +a(g363 +VHead +p1373 +tp1374 +a(g184 +V +tp1375 +a(g47 +Vst +p1376 +tp1377 +a(g197 +V) +tp1378 +a(g184 +V\u000a +tp1379 +a(g184 +V\u000a +tp1380 +a(g184 +V +tp1381 +a(g184 +V The structures is a 2D grid of nodes, with Col's on the top of\u000a +p1382 +tp1383 +a(g184 +V +tp1384 +a(g184 +V columns and a sparse collection of nodes. Note that topNode of Head\u000a +p1385 +tp1386 +a(g184 +V +tp1387 +a(g184 +V is not a strict field. This is because the topNode needs to refer to\u000a +p1388 +tp1389 +a(g184 +V +tp1390 +a(g184 +V the Head, and they are both created monadically.\u000a +p1391 +tp1392 +a(g184 +V +tp1393 +a(g184 +V\u000a +tp1394 +a(g184 +V +tp1395 +a(g42 +V> +p1396 +tp1397 +a(g184 +V +tp1398 +a(g7 +Vtype +p1399 +tp1400 +a(g184 +V +tp1401 +a(g363 +VHeadName +p1402 +tp1403 +a(g184 +V +tp1404 +a(g342 +V= +tp1405 +a(g184 +V +tp1406 +a(g197 +V( +tp1407 +a(g363 +VInt +p1408 +tp1409 +a(g197 +V, +tp1410 +a(g363 +VInt +p1411 +tp1412 +a(g197 +V, +tp1413 +a(g363 +VInt +p1414 +tp1415 +a(g197 +V) +tp1416 +a(g184 +V +tp1417 +a(g33 +V-- see below for meaning +p1418 +tp1419 +a(g184 +V\u000a +tp1420 +a(g184 +V\u000a +tp1421 +a(g184 +V +tp1422 +a(g42 +V> +p1423 +tp1424 +a(g184 +V +tp1425 +a(g7 +Vdata +p1426 +tp1427 +a(g184 +V +tp1428 +a(g363 +VHead +p1429 +tp1430 +a(g184 +V +tp1431 +a(g47 +Vst +p1432 +tp1433 +a(g184 +V +tp1434 +a(g342 +V= +tp1435 +a(g184 +V +tp1436 +a(g363 +VHead +p1437 +tp1438 +a(g184 +V +tp1439 +a(g197 +V{ +tp1440 +a(g47 +VheadName +p1441 +tp1442 +a(g342 +V:: +p1443 +tp1444 +a(g184 +V +tp1445 +a(g338 +V! +tp1446 +a(g363 +VHeadName +p1447 +tp1448 +a(g184 +V\u000a +tp1449 +a(g42 +V> +p1450 +tp1451 +a(g184 +V +tp1452 +a(g197 +V, +tp1453 +a(g47 +VtopNode +p1454 +tp1455 +a(g342 +V:: +p1456 +tp1457 +a(g184 +V +tp1458 +a(g197 +V( +tp1459 +a(g363 +VNode +p1460 +tp1461 +a(g184 +V +tp1462 +a(g47 +Vst +p1463 +tp1464 +a(g197 +V) +tp1465 +a(g184 +V +tp1466 +a(g33 +V-- header node for this column +p1467 +tp1468 +a(g184 +V\u000a +tp1469 +a(g42 +V> +p1470 +tp1471 +a(g184 +V +tp1472 +a(g197 +V, +tp1473 +a(g47 +Vlen +p1474 +tp1475 +a(g342 +V:: +p1476 +tp1477 +a(g184 +V +tp1478 +a(g338 +V! +tp1479 +a(g197 +V( +tp1480 +a(g363 +VMutInt +p1481 +tp1482 +a(g184 +V +tp1483 +a(g47 +Vst +p1484 +tp1485 +a(g197 +V) +tp1486 +a(g184 +V +p1487 +tp1488 +a(g33 +V-- number of nodes below this head +p1489 +tp1490 +a(g184 +V\u000a +tp1491 +a(g42 +V> +p1492 +tp1493 +a(g184 +V +tp1494 +a(g197 +V, +tp1495 +a(g47 +Vnext +p1496 +tp1497 +a(g197 +V, +tp1498 +a(g47 +Vprev +p1499 +tp1500 +a(g342 +V:: +p1501 +tp1502 +a(g184 +V +tp1503 +a(g338 +V! +tp1504 +a(g197 +V( +tp1505 +a(g363 +VHeadPtr +p1506 +tp1507 +a(g184 +V +tp1508 +a(g47 +Vst +p1509 +tp1510 +a(g197 +V) +tp1511 +a(g184 +V +p1512 +tp1513 +a(g33 +V-- doubly-linked list +p1514 +tp1515 +a(g184 +V\u000a +tp1516 +a(g42 +V> +p1517 +tp1518 +a(g184 +V +tp1519 +a(g197 +V} +tp1520 +a(g184 +V\u000a +tp1521 +a(g184 +V\u000a +tp1522 +a(g184 +V +tp1523 +a(g42 +V> +p1524 +tp1525 +a(g184 +V +tp1526 +a(g7 +Vdata +p1527 +tp1528 +a(g184 +V +tp1529 +a(g363 +VNode +p1530 +tp1531 +a(g184 +V +tp1532 +a(g47 +Vst +p1533 +tp1534 +a(g184 +V +tp1535 +a(g342 +V= +tp1536 +a(g184 +V +tp1537 +a(g363 +VNode +p1538 +tp1539 +a(g184 +V +tp1540 +a(g197 +V{ +tp1541 +a(g47 +VgetHint +p1542 +tp1543 +a(g342 +V:: +p1544 +tp1545 +a(g184 +V +tp1546 +a(g338 +V! +tp1547 +a(g363 +VHint +p1548 +tp1549 +a(g184 +V\u000a +tp1550 +a(g42 +V> +p1551 +tp1552 +a(g184 +V +tp1553 +a(g197 +V, +tp1554 +a(g47 +VgetHead +p1555 +tp1556 +a(g342 +V:: +p1557 +tp1558 +a(g184 +V +tp1559 +a(g338 +V! +tp1560 +a(g197 +V( +tp1561 +a(g363 +VHead +p1562 +tp1563 +a(g184 +V +tp1564 +a(g47 +Vst +p1565 +tp1566 +a(g197 +V) +tp1567 +a(g184 +V +p1568 +tp1569 +a(g33 +V-- head for the column this node is in +p1570 +tp1571 +a(g184 +V\u000a +tp1572 +a(g42 +V> +p1573 +tp1574 +a(g184 +V +tp1575 +a(g197 +V, +tp1576 +a(g47 +Vup +p1577 +tp1578 +a(g197 +V, +tp1579 +a(g47 +Vdown +p1580 +tp1581 +a(g197 +V, +tp1582 +a(g47 +Vleft +p1583 +tp1584 +a(g197 +V, +tp1585 +a(g47 +Vright +p1586 +tp1587 +a(g184 +V +tp1588 +a(g342 +V:: +p1589 +tp1590 +a(g184 +V +tp1591 +a(g338 +V! +tp1592 +a(g197 +V( +tp1593 +a(g363 +VNodePtr +p1594 +tp1595 +a(g184 +V +tp1596 +a(g47 +Vst +p1597 +tp1598 +a(g197 +V) +tp1599 +a(g184 +V +p1600 +tp1601 +a(g33 +V-- two doubly-linked lists +p1602 +tp1603 +a(g184 +V\u000a +tp1604 +a(g42 +V> +p1605 +tp1606 +a(g184 +V +tp1607 +a(g197 +V} +tp1608 +a(g184 +V\u000a +tp1609 +a(g184 +V\u000a +tp1610 +a(g184 +V +tp1611 +a(g42 +V> +p1612 +tp1613 +a(g184 +V +tp1614 +a(g7 +Vinstance +p1615 +tp1616 +a(g184 +V +tp1617 +a(g363 +VEq +p1618 +tp1619 +a(g184 +V +tp1620 +a(g197 +V( +tp1621 +a(g363 +VHead +p1622 +tp1623 +a(g184 +V +tp1624 +a(g47 +Vst +p1625 +tp1626 +a(g197 +V) +tp1627 +a(g184 +V +tp1628 +a(g7 +Vwhere +p1629 +tp1630 +a(g184 +V\u000a +tp1631 +a(g42 +V> +p1632 +tp1633 +a(g184 +V +tp1634 +a(g50 +Va +tp1635 +a(g184 +V +tp1636 +a(g338 +V== +p1637 +tp1638 +a(g184 +V +tp1639 +a(g47 +Vb +tp1640 +a(g184 +V +tp1641 +a(g342 +V= +tp1642 +a(g184 +V +tp1643 +a(g47 +VheadName +p1644 +tp1645 +a(g184 +V +tp1646 +a(g47 +Va +tp1647 +a(g184 +V +tp1648 +a(g338 +V== +p1649 +tp1650 +a(g184 +V +tp1651 +a(g47 +VheadName +p1652 +tp1653 +a(g184 +V +tp1654 +a(g47 +Vb +tp1655 +a(g184 +V\u000a +tp1656 +a(g184 +V\u000a +tp1657 +a(g184 +V +tp1658 +a(g42 +V> +p1659 +tp1660 +a(g184 +V +tp1661 +a(g7 +Vinstance +p1662 +tp1663 +a(g184 +V +tp1664 +a(g363 +VEq +p1665 +tp1666 +a(g184 +V +tp1667 +a(g197 +V( +tp1668 +a(g363 +VNode +p1669 +tp1670 +a(g184 +V +tp1671 +a(g47 +Vst +p1672 +tp1673 +a(g197 +V) +tp1674 +a(g184 +V +tp1675 +a(g7 +Vwhere +p1676 +tp1677 +a(g184 +V\u000a +tp1678 +a(g42 +V> +p1679 +tp1680 +a(g184 +V +tp1681 +a(g50 +Va +tp1682 +a(g184 +V +tp1683 +a(g338 +V== +p1684 +tp1685 +a(g184 +V +tp1686 +a(g47 +Vb +tp1687 +a(g184 +V +tp1688 +a(g342 +V= +tp1689 +a(g184 +V +tp1690 +a(g47 +Vup +p1691 +tp1692 +a(g184 +V +tp1693 +a(g47 +Va +tp1694 +a(g184 +V +tp1695 +a(g338 +V== +p1696 +tp1697 +a(g184 +V +tp1698 +a(g47 +Vup +p1699 +tp1700 +a(g184 +V +tp1701 +a(g47 +Vb +tp1702 +a(g184 +V\u000a +tp1703 +a(g184 +V\u000a +tp1704 +a(g184 +V +tp1705 +a(g184 +V To initialize the structures is a bit tedious. Knuth's code reads in\u000a +p1706 +tp1707 +a(g184 +V +tp1708 +a(g184 +V the problem description from a data file and builds the structure\u000a +p1709 +tp1710 +a(g184 +V +tp1711 +a(g184 +V based on that. Rather than short strings, I will use HeadName as the\u000a +p1712 +tp1713 +a(g184 +V +tp1714 +a(g184 +V identifier.\u000a +p1715 +tp1716 +a(g184 +V +tp1717 +a(g184 +V \u000a +p1718 +tp1719 +a(g184 +V +tp1720 +a(g184 +V The columns are (0,4,5) for nodes that put some value in Row 4 Col 5\u000a +p1721 +tp1722 +a(g184 +V +tp1723 +a(g184 +V (1,2,3) for nodes that put Val 3 in Row 2 and some column\u000a +p1724 +tp1725 +a(g184 +V +tp1726 +a(g184 +V (2,7,4) for nodes that put Val 4 in Col 7 and some row\u000a +p1727 +tp1728 +a(g184 +V +tp1729 +a(g184 +V (3,1,8) for nodes that put Val 8 in some (row,column) in Block 1\u000a +p1730 +tp1731 +a(g184 +V +tp1732 +a(g184 +V\u000a +tp1733 +a(g184 +V +tp1734 +a(g184 +V The first head is (0,0,0) which is the root. The non-root head data\u000a +p1735 +tp1736 +a(g184 +V +tp1737 +a(g184 +V will be put in an array with the HeadName as an index.\u000a +p1738 +tp1739 +a(g184 +V +tp1740 +a(g184 +V\u000a +tp1741 +a(g184 +V +tp1742 +a(g42 +V> +p1743 +tp1744 +a(g184 +V +tp1745 +a(g50 +VheadNames +p1746 +tp1747 +a(g184 +V +tp1748 +a(g342 +V:: +p1749 +tp1750 +a(g184 +V +tp1751 +a(g197 +V[ +tp1752 +a(g363 +VHeadName +p1753 +tp1754 +a(g197 +V] +tp1755 +a(g184 +V\u000a +tp1756 +a(g42 +V> +p1757 +tp1758 +a(g184 +V +tp1759 +a(g50 +VheadNames +p1760 +tp1761 +a(g184 +V +tp1762 +a(g342 +V= +tp1763 +a(g184 +V +tp1764 +a(g7 +Vlet +p1765 +tp1766 +a(g184 +V +tp1767 +a(g47 +Vnames +p1768 +tp1769 +a(g184 +V +tp1770 +a(g342 +V= +tp1771 +a(g184 +V +tp1772 +a(g197 +V[ +tp1773 +a(g313 +V0 +tp1774 +a(g197 +V, +tp1775 +a(g313 +V1 +tp1776 +a(g197 +V, +tp1777 +a(g313 +V2 +tp1778 +a(g197 +V, +tp1779 +a(g313 +V3 +tp1780 +a(g197 +V] +tp1781 +a(g184 +V \u000a +p1782 +tp1783 +a(g42 +V> +p1784 +tp1785 +a(g184 +V +tp1786 +a(g7 +Vin +p1787 +tp1788 +a(g184 +V +tp1789 +a(g197 +V( +tp1790 +a(g313 +V0 +tp1791 +a(g197 +V, +tp1792 +a(g313 +V0 +tp1793 +a(g197 +V, +tp1794 +a(g313 +V0 +tp1795 +a(g197 +V) +tp1796 +a(g363 +V: +tp1797 +a(g197 +V[ +tp1798 +a(g184 +V +tp1799 +a(g197 +V( +tp1800 +a(g47 +Vl +tp1801 +a(g197 +V, +tp1802 +a(g47 +Vi +tp1803 +a(g197 +V, +tp1804 +a(g47 +Vj +tp1805 +a(g197 +V) +tp1806 +a(g184 +V +tp1807 +a(g338 +V| +tp1808 +a(g184 +V +tp1809 +a(g47 +Vl +tp1810 +a(g342 +V<- +p1811 +tp1812 +a(g47 +Vnames +p1813 +tp1814 +a(g197 +V, +tp1815 +a(g47 +Vi +tp1816 +a(g342 +V<- +p1817 +tp1818 +a(g47 +Vrng +p1819 +tp1820 +a(g197 +V, +tp1821 +a(g47 +Vj +tp1822 +a(g342 +V<- +p1823 +tp1824 +a(g47 +Vrng +p1825 +tp1826 +a(g197 +V] +tp1827 +a(g184 +V\u000a +tp1828 +a(g184 +V\u000a +tp1829 +a(g184 +V +tp1830 +a(g184 +V A "row" of left-right linked nodes is a move. It is defined by a\u000a +p1831 +tp1832 +a(g184 +V +tp1833 +a(g184 +V list of head names.\u000a +p1834 +tp1835 +a(g184 +V +tp1836 +a(g184 +V\u000a +tp1837 +a(g184 +V +tp1838 +a(g42 +V> +p1839 +tp1840 +a(g184 +V +tp1841 +a(g7 +Vtype +p1842 +tp1843 +a(g184 +V +tp1844 +a(g363 +VMove +p1845 +tp1846 +a(g184 +V +tp1847 +a(g342 +V= +tp1848 +a(g184 +V +tp1849 +a(g197 +V[ +tp1850 +a(g197 +V( +tp1851 +a(g363 +VHint +p1852 +tp1853 +a(g197 +V, +tp1854 +a(g363 +VHeadName +p1855 +tp1856 +a(g197 +V) +tp1857 +a(g197 +V] +tp1858 +a(g184 +V\u000a +tp1859 +a(g184 +V\u000a +tp1860 +a(g184 +V +tp1861 +a(g184 +V Initial hints are enforced by making them the only legal move for\u000a +p1862 +tp1863 +a(g184 +V +tp1864 +a(g184 +V that location. Blank entries with value 'u = V 0' have a move for\u000a +p1865 +tp1866 +a(g184 +V +tp1867 +a(g184 +V all possible values [V 1..V 9].\u000a +p1868 +tp1869 +a(g184 +V +tp1870 +a(g184 +V\u000a +tp1871 +a(g184 +V +tp1872 +a(g42 +V> +p1873 +tp1874 +a(g184 +V +tp1875 +a(g50 +VparseSpec +p1876 +tp1877 +a(g184 +V +tp1878 +a(g342 +V:: +p1879 +tp1880 +a(g184 +V +tp1881 +a(g363 +VSpec +p1882 +tp1883 +a(g184 +V +tp1884 +a(g342 +V-> +p1885 +tp1886 +a(g184 +V +tp1887 +a(g197 +V[ +tp1888 +a(g363 +VMove +p1889 +tp1890 +a(g197 +V] +tp1891 +a(g184 +V\u000a +tp1892 +a(g42 +V> +p1893 +tp1894 +a(g184 +V +tp1895 +a(g50 +VparseSpec +p1896 +tp1897 +a(g184 +V +tp1898 +a(g47 +Vspec +p1899 +tp1900 +a(g184 +V +tp1901 +a(g342 +V= +tp1902 +a(g184 +V\u000a +tp1903 +a(g42 +V> +p1904 +tp1905 +a(g184 +V +tp1906 +a(g7 +Vlet +p1907 +tp1908 +a(g184 +V +tp1909 +a(g47 +VrowsFrom +p1910 +tp1911 +a(g184 +V +tp1912 +a(g342 +V:: +p1913 +tp1914 +a(g184 +V +tp1915 +a(g363 +VHint +p1916 +tp1917 +a(g184 +V +tp1918 +a(g342 +V-> +p1919 +tp1920 +a(g184 +V +tp1921 +a(g197 +V[ +tp1922 +a(g363 +VMove +p1923 +tp1924 +a(g197 +V] +tp1925 +a(g184 +V\u000a +tp1926 +a(g42 +V> +p1927 +tp1928 +a(g184 +V +tp1929 +a(g50 +VrowsFrom +p1930 +tp1931 +a(g184 +V +tp1932 +a(g197 +V( +tp1933 +a(g47 +Vrc +p1934 +tp1935 +a(g338 +V@ +tp1936 +a(g197 +V( +tp1937 +a(g363 +VR +tp1938 +a(g184 +V +tp1939 +a(g47 +Vr +tp1940 +a(g197 +V, +tp1941 +a(g363 +VC +tp1942 +a(g184 +V +tp1943 +a(g47 +Vc +tp1944 +a(g197 +V) +tp1945 +a(g197 +V, +tp1946 +a(g47 +Vmv +p1947 +tp1948 +a(g338 +V@ +tp1949 +a(g197 +V( +tp1950 +a(g363 +VV +tp1951 +a(g184 +V +tp1952 +a(g47 +Vv' +p1953 +tp1954 +a(g197 +V) +tp1955 +a(g197 +V) +tp1956 +a(g184 +V +tp1957 +a(g342 +V= +tp1958 +a(g184 +V \u000a +p1959 +tp1960 +a(g42 +V> +p1961 +tp1962 +a(g184 +V +tp1963 +a(g7 +Vif +p1964 +tp1965 +a(g184 +V +tp1966 +a(g47 +Vmv +p1967 +tp1968 +a(g184 +V +tp1969 +a(g338 +V== +p1970 +tp1971 +a(g184 +V +tp1972 +a(g47 +Vu +tp1973 +a(g184 +V +tp1974 +a(g7 +Vthen +p1975 +tp1976 +a(g184 +V +tp1977 +a(g197 +V[ +tp1978 +a(g184 +V +tp1979 +a(g47 +Vrsyms +p1980 +tp1981 +a(g184 +V +tp1982 +a(g47 +Vv +tp1983 +a(g184 +V +tp1984 +a(g338 +V| +tp1985 +a(g184 +V +tp1986 +a(g47 +Vv +tp1987 +a(g184 +V +tp1988 +a(g342 +V<- +p1989 +tp1990 +a(g184 +V +tp1991 +a(g47 +Vrng +p1992 +tp1993 +a(g184 +V +tp1994 +a(g197 +V] +tp1995 +a(g184 +V\u000a +tp1996 +a(g42 +V> +p1997 +tp1998 +a(g184 +V +tp1999 +a(g7 +Velse +p2000 +tp2001 +a(g184 +V +tp2002 +a(g197 +V[ +tp2003 +a(g184 +V +tp2004 +a(g47 +Vrsyms +p2005 +tp2006 +a(g184 +V +tp2007 +a(g47 +Vv' +p2008 +tp2009 +a(g184 +V +tp2010 +a(g197 +V] +tp2011 +a(g184 +V\u000a +tp2012 +a(g42 +V> +p2013 +tp2014 +a(g184 +V +tp2015 +a(g7 +Vwhere +p2016 +tp2017 +a(g184 +V +tp2018 +a(g197 +V( +tp2019 +a(g363 +VB +tp2020 +a(g184 +V +tp2021 +a(g47 +Vb +tp2022 +a(g197 +V) +tp2023 +a(g184 +V +tp2024 +a(g342 +V= +tp2025 +a(g184 +V +tp2026 +a(g47 +VlookupBlock +p2027 +tp2028 +a(g184 +V +tp2029 +a(g338 +V! +tp2030 +a(g184 +V +tp2031 +a(g47 +Vrc +p2032 +tp2033 +a(g184 +V\u000a +tp2034 +a(g42 +V> +p2035 +tp2036 +a(g184 +V +tp2037 +a(g50 +Vrsyms +p2038 +tp2039 +a(g184 +V +tp2040 +a(g342 +V:: +p2041 +tp2042 +a(g184 +V +tp2043 +a(g363 +VA +tp2044 +a(g184 +V +tp2045 +a(g342 +V-> +p2046 +tp2047 +a(g184 +V +tp2048 +a(g363 +VMove +p2049 +tp2050 +a(g184 +V\u000a +tp2051 +a(g42 +V> +p2052 +tp2053 +a(g184 +V +tp2054 +a(g50 +Vrsyms +p2055 +tp2056 +a(g184 +V +tp2057 +a(g47 +Vv +tp2058 +a(g184 +V +tp2059 +a(g342 +V= +tp2060 +a(g184 +V +tp2061 +a(g47 +Vmap +p2062 +tp2063 +a(g184 +V +tp2064 +a(g197 +V( +tp2065 +a(g184 +V +tp2066 +a(g197 +V( +tp2067 +a(g197 +V, +tp2068 +a(g197 +V) +tp2069 +a(g184 +V +tp2070 +a(g197 +V( +tp2071 +a(g47 +Vrc +p2072 +tp2073 +a(g197 +V, +tp2074 +a(g363 +VV +tp2075 +a(g184 +V +tp2076 +a(g47 +Vv +tp2077 +a(g197 +V) +tp2078 +a(g184 +V +tp2079 +a(g197 +V) +tp2080 +a(g184 +V +tp2081 +a(g197 +V[ +tp2082 +a(g197 +V( +tp2083 +a(g313 +V0 +tp2084 +a(g197 +V, +tp2085 +a(g47 +Vr +tp2086 +a(g197 +V, +tp2087 +a(g47 +Vc +tp2088 +a(g197 +V) +tp2089 +a(g197 +V, +tp2090 +a(g197 +V( +tp2091 +a(g313 +V1 +tp2092 +a(g197 +V, +tp2093 +a(g47 +Vr +tp2094 +a(g197 +V, +tp2095 +a(g47 +Vv +tp2096 +a(g197 +V) +tp2097 +a(g197 +V, +tp2098 +a(g197 +V( +tp2099 +a(g313 +V2 +tp2100 +a(g197 +V, +tp2101 +a(g47 +Vc +tp2102 +a(g197 +V, +tp2103 +a(g47 +Vv +tp2104 +a(g197 +V) +tp2105 +a(g197 +V, +tp2106 +a(g197 +V( +tp2107 +a(g313 +V3 +tp2108 +a(g197 +V, +tp2109 +a(g47 +Vb +tp2110 +a(g197 +V, +tp2111 +a(g47 +Vv +tp2112 +a(g197 +V) +tp2113 +a(g197 +V] +tp2114 +a(g184 +V\u000a +tp2115 +a(g42 +V> +p2116 +tp2117 +a(g184 +V +tp2118 +a(g7 +Vin +p2119 +tp2120 +a(g184 +V +tp2121 +a(g47 +VconcatMap +p2122 +tp2123 +a(g184 +V +tp2124 +a(g47 +VrowsFrom +p2125 +tp2126 +a(g184 +V +tp2127 +a(g197 +V( +tp2128 +a(g47 +Vassocs +p2129 +tp2130 +a(g184 +V +tp2131 +a(g197 +V( +tp2132 +a(g47 +Vparse +p2133 +tp2134 +a(g184 +V +tp2135 +a(g47 +Vspec +p2136 +tp2137 +a(g197 +V) +tp2138 +a(g197 +V) +tp2139 +a(g184 +V\u000a +tp2140 +a(g184 +V\u000a +tp2141 +a(g184 +V +tp2142 +a(g184 +V mkDList creates doubly linked lists using a monadic smart\u000a +p2143 +tp2144 +a(g184 +V +tp2145 +a(g184 +V constructor and the recursive "mdo" notation as documented at\u000a +p2146 +tp2147 +a(g184 +V +tp2148 +a(g184 +V http://www.haskell.org/ghc/docs/latest/html/users_guide/syntax-extns.html#mdo-notation\u000a +p2149 +tp2150 +a(g184 +V +tp2151 +a(g184 +V http://www.cse.ogi.edu/PacSoft/projects/rmb/\u000a +p2152 +tp2153 +a(g184 +V +tp2154 +a(g184 +V\u000a +tp2155 +a(g184 +V +tp2156 +a(g184 +V For more fun with this, see the wiki page at\u000a +p2157 +tp2158 +a(g184 +V +tp2159 +a(g184 +V http://haskell.org/hawiki/TyingTheKnot\u000a +p2160 +tp2161 +a(g184 +V +tp2162 +a(g184 +V\u000a +tp2163 +a(g184 +V +tp2164 +a(g42 +V> +p2165 +tp2166 +a(g184 +V +tp2167 +a(g50 +VmkDList +p2168 +tp2169 +a(g184 +V +tp2170 +a(g342 +V:: +p2171 +tp2172 +a(g184 +V +tp2173 +a(g197 +V( +tp2174 +a(g363 +VMonadFix +p2175 +tp2176 +a(g184 +V +tp2177 +a(g47 +Vm +tp2178 +a(g197 +V) +tp2179 +a(g184 +V +tp2180 +a(g342 +V=> +p2181 +tp2182 +a(g184 +V +tp2183 +a(g197 +V( +tp2184 +a(g47 +Vb +tp2185 +a(g184 +V +tp2186 +a(g342 +V-> +p2187 +tp2188 +a(g184 +V +tp2189 +a(g47 +Va +tp2190 +a(g184 +V +tp2191 +a(g342 +V-> +p2192 +tp2193 +a(g184 +V +tp2194 +a(g47 +Vb +tp2195 +a(g184 +V +tp2196 +a(g342 +V-> +p2197 +tp2198 +a(g184 +V +tp2199 +a(g47 +Vm +tp2200 +a(g184 +V +tp2201 +a(g47 +Vb +tp2202 +a(g197 +V) +tp2203 +a(g184 +V +tp2204 +a(g342 +V-> +p2205 +tp2206 +a(g184 +V +tp2207 +a(g197 +V[ +tp2208 +a(g47 +Va +tp2209 +a(g197 +V] +tp2210 +a(g184 +V +tp2211 +a(g342 +V-> +p2212 +tp2213 +a(g184 +V +tp2214 +a(g47 +Vm +tp2215 +a(g184 +V +tp2216 +a(g47 +Vb +tp2217 +a(g184 +V\u000a +tp2218 +a(g42 +V> +p2219 +tp2220 +a(g184 +V +tp2221 +a(g50 +VmkDList +p2222 +tp2223 +a(g184 +V +tp2224 +a(g7 +V_ +tp2225 +a(g184 +V +tp2226 +a(g363 +V[] +p2227 +tp2228 +a(g184 +V +tp2229 +a(g342 +V= +tp2230 +a(g184 +V +tp2231 +a(g54 +Verror +p2232 +tp2233 +a(g184 +V +tp2234 +a(g221 +V" +tp2235 +a(g221 +Vmust have at least one element +p2236 +tp2237 +a(g221 +V" +tp2238 +a(g184 +V\u000a +tp2239 +a(g42 +V> +p2240 +tp2241 +a(g184 +V +tp2242 +a(g50 +VmkDList +p2243 +tp2244 +a(g184 +V +tp2245 +a(g47 +VmkNode +p2246 +tp2247 +a(g184 +V +tp2248 +a(g47 +Vxs +p2249 +tp2250 +a(g184 +V +tp2251 +a(g342 +V= +tp2252 +a(g184 +V +tp2253 +a(g47 +Vmdo +p2254 +tp2255 +a(g184 +V +tp2256 +a(g197 +V( +tp2257 +a(g47 +Vfirst +p2258 +tp2259 +a(g197 +V, +tp2260 +a(g47 +Vlast +p2261 +tp2262 +a(g197 +V) +tp2263 +a(g184 +V +tp2264 +a(g342 +V<- +p2265 +tp2266 +a(g184 +V +tp2267 +a(g47 +Vgo +p2268 +tp2269 +a(g184 +V +tp2270 +a(g47 +Vlast +p2271 +tp2272 +a(g184 +V +tp2273 +a(g47 +Vxs +p2274 +tp2275 +a(g184 +V +tp2276 +a(g47 +Vfirst +p2277 +tp2278 +a(g184 +V\u000a +tp2279 +a(g42 +V> +p2280 +tp2281 +a(g184 +V +tp2282 +a(g50 +Vreturn +p2283 +tp2284 +a(g184 +V +tp2285 +a(g47 +Vfirst +p2286 +tp2287 +a(g184 +V\u000a +tp2288 +a(g42 +V> +p2289 +tp2290 +a(g184 +V +tp2291 +a(g7 +Vwhere +p2292 +tp2293 +a(g184 +V +tp2294 +a(g47 +Vgo +p2295 +tp2296 +a(g184 +V +tp2297 +a(g47 +Vprev +p2298 +tp2299 +a(g184 +V +tp2300 +a(g363 +V[] +p2301 +tp2302 +a(g184 +V +p2303 +tp2304 +a(g47 +Vnext +p2305 +tp2306 +a(g184 +V +tp2307 +a(g342 +V= +tp2308 +a(g184 +V +tp2309 +a(g47 +Vreturn +p2310 +tp2311 +a(g184 +V +tp2312 +a(g197 +V( +tp2313 +a(g47 +Vnext +p2314 +tp2315 +a(g197 +V, +tp2316 +a(g47 +Vprev +p2317 +tp2318 +a(g197 +V) +tp2319 +a(g184 +V\u000a +tp2320 +a(g42 +V> +p2321 +tp2322 +a(g184 +V +tp2323 +a(g50 +Vgo +p2324 +tp2325 +a(g184 +V +tp2326 +a(g47 +Vprev +p2327 +tp2328 +a(g184 +V +tp2329 +a(g197 +V( +tp2330 +a(g47 +Vx +tp2331 +a(g363 +V: +tp2332 +a(g47 +Vxs +p2333 +tp2334 +a(g197 +V) +tp2335 +a(g184 +V +tp2336 +a(g47 +Vnext +p2337 +tp2338 +a(g184 +V +tp2339 +a(g342 +V= +tp2340 +a(g184 +V +tp2341 +a(g47 +Vmdo +p2342 +tp2343 +a(g184 +V +tp2344 +a(g47 +Vthis +p2345 +tp2346 +a(g184 +V +tp2347 +a(g342 +V<- +p2348 +tp2349 +a(g184 +V +tp2350 +a(g47 +VmkNode +p2351 +tp2352 +a(g184 +V +tp2353 +a(g47 +Vprev +p2354 +tp2355 +a(g184 +V +tp2356 +a(g47 +Vx +tp2357 +a(g184 +V +tp2358 +a(g47 +Vrest +p2359 +tp2360 +a(g184 +V\u000a +tp2361 +a(g42 +V> +p2362 +tp2363 +a(g184 +V +tp2364 +a(g197 +V( +tp2365 +a(g47 +Vrest +p2366 +tp2367 +a(g197 +V, +tp2368 +a(g47 +Vlast +p2369 +tp2370 +a(g197 +V) +tp2371 +a(g184 +V +tp2372 +a(g342 +V<- +p2373 +tp2374 +a(g184 +V +tp2375 +a(g47 +Vgo +p2376 +tp2377 +a(g184 +V +tp2378 +a(g47 +Vthis +p2379 +tp2380 +a(g184 +V +tp2381 +a(g47 +Vxs +p2382 +tp2383 +a(g184 +V +tp2384 +a(g47 +Vnext +p2385 +tp2386 +a(g184 +V\u000a +tp2387 +a(g42 +V> +p2388 +tp2389 +a(g184 +V +tp2390 +a(g50 +Vreturn +p2391 +tp2392 +a(g184 +V +tp2393 +a(g197 +V( +tp2394 +a(g47 +Vthis +p2395 +tp2396 +a(g197 +V, +tp2397 +a(g47 +Vlast +p2398 +tp2399 +a(g197 +V) +tp2400 +a(g184 +V\u000a +tp2401 +a(g184 +V\u000a +tp2402 +a(g184 +V +tp2403 +a(g184 +V toSimple takes a function and a header node and iterates (read . function)\u000a +p2404 +tp2405 +a(g184 +V +tp2406 +a(g184 +V until the header is reached again, but does not return the header\u000a +p2407 +tp2408 +a(g184 +V +tp2409 +a(g184 +V itself.\u000a +p2410 +tp2411 +a(g184 +V +tp2412 +a(g184 +V\u000a +tp2413 +a(g184 +V +tp2414 +a(g42 +V> +p2415 +tp2416 +a(g184 +V +tp2417 +a(g50 +VtoSingle +p2418 +tp2419 +a(g184 +V +tp2420 +a(g47 +Vstep +p2421 +tp2422 +a(g184 +V +tp2423 +a(g47 +Vheader +p2424 +tp2425 +a(g184 +V +tp2426 +a(g342 +V= +tp2427 +a(g184 +V +tp2428 +a(g47 +Vloop +p2429 +tp2430 +a(g184 +V +tp2431 +a(g338 +V=<< +p2432 +tp2433 +a(g184 +V +tp2434 +a(g197 +V( +tp2435 +a(g47 +Vread +p2436 +tp2437 +a(g184 +V +tp2438 +a(g338 +V. +tp2439 +a(g184 +V +tp2440 +a(g47 +Vstep +p2441 +tp2442 +a(g197 +V) +tp2443 +a(g184 +V +tp2444 +a(g47 +Vheader +p2445 +tp2446 +a(g184 +V\u000a +tp2447 +a(g42 +V> +p2448 +tp2449 +a(g184 +V +tp2450 +a(g7 +Vwhere +p2451 +tp2452 +a(g184 +V +tp2453 +a(g47 +Vloop +p2454 +tp2455 +a(g184 +V +tp2456 +a(g47 +Vy +tp2457 +a(g184 +V +tp2458 +a(g342 +V= +tp2459 +a(g184 +V +tp2460 +a(g7 +Vif +p2461 +tp2462 +a(g184 +V +tp2463 +a(g47 +Vheader +p2464 +tp2465 +a(g338 +V/= +p2466 +tp2467 +a(g47 +Vy +tp2468 +a(g184 +V +tp2469 +a(g7 +Vthen +p2470 +tp2471 +a(g184 +V +tp2472 +a(g47 +VliftM +p2473 +tp2474 +a(g184 +V +tp2475 +a(g197 +V( +tp2476 +a(g47 +Vy +tp2477 +a(g363 +V: +tp2478 +a(g197 +V) +tp2479 +a(g184 +V +tp2480 +a(g197 +V( +tp2481 +a(g47 +Vread +p2482 +tp2483 +a(g184 +V +tp2484 +a(g197 +V( +tp2485 +a(g47 +Vstep +p2486 +tp2487 +a(g184 +V +tp2488 +a(g47 +Vy +tp2489 +a(g197 +V) +tp2490 +a(g184 +V +tp2491 +a(g338 +V>>= +p2492 +tp2493 +a(g184 +V +tp2494 +a(g47 +Vloop +p2495 +tp2496 +a(g197 +V) +tp2497 +a(g184 +V\u000a +tp2498 +a(g42 +V> +p2499 +tp2500 +a(g184 +V +tp2501 +a(g7 +Velse +p2502 +tp2503 +a(g184 +V +tp2504 +a(g47 +Vreturn +p2505 +tp2506 +a(g184 +V +tp2507 +a(g363 +V[] +p2508 +tp2509 +a(g184 +V\u000a +tp2510 +a(g42 +V> +p2511 +tp2512 +a(g184 +V\u000a +tp2513 +a(g184 +V\u000a +tp2514 +a(g184 +V +tp2515 +a(g184 +V forEach is an optimization of (toSimple step header >>= mapM_ act)\u000a +p2516 +tp2517 +a(g184 +V +tp2518 +a(g184 +V\u000a +tp2519 +a(g184 +V +tp2520 +a(g42 +V> +p2521 +tp2522 +a(g184 +V +tp2523 +a(g50 +VforEach +p2524 +tp2525 +a(g184 +V +tp2526 +a(g47 +Vstep +p2527 +tp2528 +a(g184 +V +tp2529 +a(g47 +Vheader +p2530 +tp2531 +a(g184 +V +tp2532 +a(g47 +Vact +p2533 +tp2534 +a(g184 +V +tp2535 +a(g342 +V= +tp2536 +a(g184 +V +tp2537 +a(g47 +Vloop +p2538 +tp2539 +a(g184 +V +tp2540 +a(g338 +V=<< +p2541 +tp2542 +a(g184 +V +tp2543 +a(g197 +V( +tp2544 +a(g47 +Vread +p2545 +tp2546 +a(g184 +V +tp2547 +a(g338 +V. +tp2548 +a(g184 +V +tp2549 +a(g47 +Vstep +p2550 +tp2551 +a(g197 +V) +tp2552 +a(g184 +V +tp2553 +a(g47 +Vheader +p2554 +tp2555 +a(g184 +V\u000a +tp2556 +a(g42 +V> +p2557 +tp2558 +a(g184 +V +tp2559 +a(g7 +Vwhere +p2560 +tp2561 +a(g184 +V +tp2562 +a(g47 +Vloop +p2563 +tp2564 +a(g184 +V +tp2565 +a(g47 +Vy +tp2566 +a(g184 +V +tp2567 +a(g342 +V= +tp2568 +a(g184 +V +tp2569 +a(g7 +Vif +p2570 +tp2571 +a(g184 +V +tp2572 +a(g47 +Vheader +p2573 +tp2574 +a(g338 +V/= +p2575 +tp2576 +a(g47 +Vy +tp2577 +a(g184 +V +tp2578 +a(g7 +Vthen +p2579 +tp2580 +a(g184 +V +tp2581 +a(g197 +V( +tp2582 +a(g47 +Vact +p2583 +tp2584 +a(g184 +V +tp2585 +a(g47 +Vy +tp2586 +a(g184 +V +tp2587 +a(g338 +V>> +p2588 +tp2589 +a(g184 +V +tp2590 +a(g197 +V( +tp2591 +a(g47 +Vread +p2592 +tp2593 +a(g184 +V +tp2594 +a(g197 +V( +tp2595 +a(g47 +Vstep +p2596 +tp2597 +a(g184 +V +tp2598 +a(g47 +Vy +tp2599 +a(g197 +V) +tp2600 +a(g197 +V) +tp2601 +a(g184 +V +tp2602 +a(g338 +V>>= +p2603 +tp2604 +a(g184 +V +tp2605 +a(g47 +Vloop +p2606 +tp2607 +a(g197 +V) +tp2608 +a(g184 +V\u000a +tp2609 +a(g42 +V> +p2610 +tp2611 +a(g184 +V +tp2612 +a(g7 +Velse +p2613 +tp2614 +a(g184 +V +tp2615 +a(g47 +Vreturn +p2616 +tp2617 +a(g184 +V +tp2618 +a(g85 +V() +p2619 +tp2620 +a(g184 +V\u000a +tp2621 +a(g184 +V\u000a +tp2622 +a(g184 +V +tp2623 +a(g184 +V Now make the root node and all the head nodes. This also exploits mdo:\u000a +p2624 +tp2625 +a(g184 +V +tp2626 +a(g184 +V\u000a +tp2627 +a(g184 +V +tp2628 +a(g42 +V> +p2629 +tp2630 +a(g184 +V +tp2631 +a(g50 +VmakeHeads +p2632 +tp2633 +a(g184 +V +tp2634 +a(g342 +V:: +p2635 +tp2636 +a(g184 +V +tp2637 +a(g197 +V[ +tp2638 +a(g363 +VHeadName +p2639 +tp2640 +a(g197 +V] +tp2641 +a(g184 +V +tp2642 +a(g342 +V-> +p2643 +tp2644 +a(g184 +V +tp2645 +a(g197 +V( +tp2646 +a(g363 +VST +p2647 +tp2648 +a(g184 +V +tp2649 +a(g47 +Vst +p2650 +tp2651 +a(g197 +V) +tp2652 +a(g184 +V +tp2653 +a(g197 +V( +tp2654 +a(g363 +VHead +p2655 +tp2656 +a(g184 +V +tp2657 +a(g47 +Vst +p2658 +tp2659 +a(g197 +V) +tp2660 +a(g184 +V\u000a +tp2661 +a(g42 +V> +p2662 +tp2663 +a(g184 +V +tp2664 +a(g50 +VmakeHeads +p2665 +tp2666 +a(g184 +V +tp2667 +a(g47 +Vnames +p2668 +tp2669 +a(g184 +V +tp2670 +a(g342 +V= +tp2671 +a(g184 +V +tp2672 +a(g47 +VmkDList +p2673 +tp2674 +a(g184 +V +tp2675 +a(g47 +VmakeHead +p2676 +tp2677 +a(g184 +V +tp2678 +a(g47 +Vnames +p2679 +tp2680 +a(g184 +V\u000a +tp2681 +a(g42 +V> +p2682 +tp2683 +a(g184 +V +tp2684 +a(g7 +Vwhere +p2685 +tp2686 +a(g184 +V +tp2687 +a(g47 +VmakeHead +p2688 +tp2689 +a(g184 +V +tp2690 +a(g47 +Vbefore +p2691 +tp2692 +a(g184 +V +tp2693 +a(g47 +Vname +p2694 +tp2695 +a(g184 +V +tp2696 +a(g47 +Vafter +p2697 +tp2698 +a(g184 +V +tp2699 +a(g342 +V= +tp2700 +a(g184 +V +tp2701 +a(g47 +Vmdo +p2702 +tp2703 +a(g184 +V\u000a +tp2704 +a(g42 +V> +p2705 +tp2706 +a(g184 +V +tp2707 +a(g338 +V~ +tp2708 +a(g47 +VnewTopNode +p2709 +tp2710 +a(g184 +V +tp2711 +a(g342 +V<- +p2712 +tp2713 +a(g184 +V +tp2714 +a(g47 +VliftM4 +p2715 +tp2716 +a(g184 +V +tp2717 +a(g197 +V( +tp2718 +a(g363 +VNode +p2719 +tp2720 +a(g184 +V +tp2721 +a(g197 +V( +tp2722 +a(g197 +V( +tp2723 +a(g363 +VR +tp2724 +a(g184 +V +tp2725 +a(g313 +V0 +tp2726 +a(g197 +V, +tp2727 +a(g363 +VC +tp2728 +a(g184 +V +tp2729 +a(g313 +V0 +tp2730 +a(g197 +V) +tp2731 +a(g197 +V, +tp2732 +a(g363 +VV +tp2733 +a(g184 +V +tp2734 +a(g313 +V0 +tp2735 +a(g197 +V) +tp2736 +a(g184 +V +tp2737 +a(g47 +VnewHead +p2738 +tp2739 +a(g197 +V) +tp2740 +a(g184 +V +tp2741 +a(g197 +V( +tp2742 +a(g47 +Vnew +p2743 +tp2744 +a(g184 +V +tp2745 +a(g47 +VnewTopNode +p2746 +tp2747 +a(g197 +V) +tp2748 +a(g184 +V +tp2749 +a(g197 +V( +tp2750 +a(g47 +Vnew +p2751 +tp2752 +a(g184 +V +tp2753 +a(g47 +VnewTopNode +p2754 +tp2755 +a(g197 +V) +tp2756 +a(g184 +V\u000a +tp2757 +a(g42 +V> +p2758 +tp2759 +a(g184 +V +tp2760 +a(g197 +V( +tp2761 +a(g47 +Vnew +p2762 +tp2763 +a(g184 +V +tp2764 +a(g47 +VnewTopNode +p2765 +tp2766 +a(g197 +V) +tp2767 +a(g184 +V +tp2768 +a(g197 +V( +tp2769 +a(g47 +Vnew +p2770 +tp2771 +a(g184 +V +tp2772 +a(g47 +VnewTopNode +p2773 +tp2774 +a(g197 +V) +tp2775 +a(g184 +V\u000a +tp2776 +a(g42 +V> +p2777 +tp2778 +a(g184 +V +tp2779 +a(g50 +VnewHead +p2780 +tp2781 +a(g184 +V +tp2782 +a(g342 +V<- +p2783 +tp2784 +a(g184 +V +tp2785 +a(g47 +VliftM3 +p2786 +tp2787 +a(g184 +V +tp2788 +a(g197 +V( +tp2789 +a(g363 +VHead +p2790 +tp2791 +a(g184 +V +tp2792 +a(g47 +Vname +p2793 +tp2794 +a(g184 +V +tp2795 +a(g47 +VnewTopNode +p2796 +tp2797 +a(g197 +V) +tp2798 +a(g184 +V \u000a +p2799 +tp2800 +a(g42 +V> +p2801 +tp2802 +a(g184 +V +tp2803 +a(g197 +V( +tp2804 +a(g47 +Vnew +p2805 +tp2806 +a(g184 +V +tp2807 +a(g313 +V0 +tp2808 +a(g197 +V) +tp2809 +a(g184 +V +tp2810 +a(g197 +V( +tp2811 +a(g47 +Vnew +p2812 +tp2813 +a(g184 +V +tp2814 +a(g47 +Vafter +p2815 +tp2816 +a(g197 +V) +tp2817 +a(g184 +V +tp2818 +a(g197 +V( +tp2819 +a(g47 +Vnew +p2820 +tp2821 +a(g184 +V +tp2822 +a(g47 +Vbefore +p2823 +tp2824 +a(g197 +V) +tp2825 +a(g184 +V\u000a +tp2826 +a(g42 +V> +p2827 +tp2828 +a(g184 +V +tp2829 +a(g50 +Vreturn +p2830 +tp2831 +a(g184 +V +tp2832 +a(g47 +VnewHead +p2833 +tp2834 +a(g184 +V\u000a +tp2835 +a(g184 +V\u000a +tp2836 +a(g184 +V +tp2837 +a(g184 +V The Head nodes will be places in an array for easy lookup while building moves:\u000a +p2838 +tp2839 +a(g184 +V +tp2840 +a(g184 +V\u000a +tp2841 +a(g184 +V +tp2842 +a(g42 +V> +p2843 +tp2844 +a(g184 +V +tp2845 +a(g7 +Vtype +p2846 +tp2847 +a(g184 +V +tp2848 +a(g363 +VHArray +p2849 +tp2850 +a(g184 +V +tp2851 +a(g47 +Vst +p2852 +tp2853 +a(g184 +V +tp2854 +a(g342 +V= +tp2855 +a(g184 +V +tp2856 +a(g363 +VArray +p2857 +tp2858 +a(g184 +V +tp2859 +a(g363 +VHeadName +p2860 +tp2861 +a(g184 +V +tp2862 +a(g197 +V( +tp2863 +a(g363 +VHead +p2864 +tp2865 +a(g184 +V +tp2866 +a(g47 +Vst +p2867 +tp2868 +a(g197 +V) +tp2869 +a(g184 +V\u000a +tp2870 +a(g42 +V> +p2871 +tp2872 +a(g184 +V +tp2873 +a(g50 +VhBounds +p2874 +tp2875 +a(g184 +V +tp2876 +a(g342 +V= +tp2877 +a(g184 +V +tp2878 +a(g197 +V( +tp2879 +a(g197 +V( +tp2880 +a(g313 +V0 +tp2881 +a(g197 +V, +tp2882 +a(g313 +V1 +tp2883 +a(g197 +V, +tp2884 +a(g313 +V1 +tp2885 +a(g197 +V) +tp2886 +a(g197 +V, +tp2887 +a(g197 +V( +tp2888 +a(g313 +V3 +tp2889 +a(g197 +V, +tp2890 +a(g313 +V9 +tp2891 +a(g197 +V, +tp2892 +a(g313 +V9 +tp2893 +a(g197 +V) +tp2894 +a(g197 +V) +tp2895 +a(g184 +V\u000a +tp2896 +a(g42 +V> +p2897 +tp2898 +a(g184 +V +tp2899 +a(g7 +Vtype +p2900 +tp2901 +a(g184 +V +tp2902 +a(g363 +VRoot +p2903 +tp2904 +a(g184 +V +tp2905 +a(g47 +Vst +p2906 +tp2907 +a(g184 +V +tp2908 +a(g342 +V= +tp2909 +a(g184 +V +p2910 +tp2911 +a(g197 +V( +tp2912 +a(g363 +VHead +p2913 +tp2914 +a(g184 +V +tp2915 +a(g47 +Vst +p2916 +tp2917 +a(g197 +V, +tp2918 +a(g363 +VHArray +p2919 +tp2920 +a(g184 +V +tp2921 +a(g47 +Vst +p2922 +tp2923 +a(g197 +V) +tp2924 +a(g184 +V\u000a +tp2925 +a(g184 +V\u000a +tp2926 +a(g184 +V +tp2927 +a(g184 +V The addMove function creates the (four) nodes that represent a move and adds\u000a +p2928 +tp2929 +a(g184 +V +tp2930 +a(g184 +V them to the data structure. The HArray in Root makes for a fast\u000a +p2931 +tp2932 +a(g184 +V +tp2933 +a(g184 +V lookup of the Head data.\u000a +p2934 +tp2935 +a(g184 +V +tp2936 +a(g184 +V\u000a +tp2937 +a(g184 +V +tp2938 +a(g42 +V> +p2939 +tp2940 +a(g184 +V +tp2941 +a(g50 +VaddMove +p2942 +tp2943 +a(g184 +V +tp2944 +a(g342 +V:: +p2945 +tp2946 +a(g184 +V +tp2947 +a(g47 +Vforall +p2948 +tp2949 +a(g184 +V +tp2950 +a(g47 +Vst +p2951 +tp2952 +a(g338 +V. +tp2953 +a(g184 +V +tp2954 +a(g197 +V( +tp2955 +a(g363 +VRoot +p2956 +tp2957 +a(g184 +V +tp2958 +a(g47 +Vst +p2959 +tp2960 +a(g197 +V) +tp2961 +a(g184 +V +tp2962 +a(g342 +V-> +p2963 +tp2964 +a(g184 +V +tp2965 +a(g363 +VMove +p2966 +tp2967 +a(g184 +V +tp2968 +a(g342 +V-> +p2969 +tp2970 +a(g184 +V +tp2971 +a(g197 +V( +tp2972 +a(g363 +VST +p2973 +tp2974 +a(g184 +V +tp2975 +a(g47 +Vst +p2976 +tp2977 +a(g197 +V) +tp2978 +a(g184 +V +tp2979 +a(g197 +V( +tp2980 +a(g363 +VNode +p2981 +tp2982 +a(g184 +V +tp2983 +a(g47 +Vst +p2984 +tp2985 +a(g197 +V) +tp2986 +a(g184 +V\u000a +tp2987 +a(g42 +V> +p2988 +tp2989 +a(g184 +V +tp2990 +a(g50 +VaddMove +p2991 +tp2992 +a(g184 +V +tp2993 +a(g197 +V( +tp2994 +a(g7 +V_ +tp2995 +a(g197 +V, +tp2996 +a(g47 +Vha +p2997 +tp2998 +a(g197 +V) +tp2999 +a(g184 +V +tp3000 +a(g47 +Vmove +p3001 +tp3002 +a(g184 +V +tp3003 +a(g342 +V= +tp3004 +a(g184 +V +tp3005 +a(g47 +VmkDList +p3006 +tp3007 +a(g184 +V +tp3008 +a(g47 +VaddNode +p3009 +tp3010 +a(g184 +V +tp3011 +a(g47 +Vmove +p3012 +tp3013 +a(g184 +V\u000a +tp3014 +a(g42 +V> +p3015 +tp3016 +a(g184 +V +tp3017 +a(g7 +Vwhere +p3018 +tp3019 +a(g184 +V +tp3020 +a(g47 +VaddNode +p3021 +tp3022 +a(g184 +V +tp3023 +a(g342 +V:: +p3024 +tp3025 +a(g184 +V +tp3026 +a(g197 +V( +tp3027 +a(g363 +VNode +p3028 +tp3029 +a(g184 +V +tp3030 +a(g47 +Vst +p3031 +tp3032 +a(g197 +V) +tp3033 +a(g184 +V +tp3034 +a(g342 +V-> +p3035 +tp3036 +a(g184 +V +tp3037 +a(g197 +V( +tp3038 +a(g363 +VHint +p3039 +tp3040 +a(g197 +V, +tp3041 +a(g363 +VHeadName +p3042 +tp3043 +a(g197 +V) +tp3044 +a(g184 +V +tp3045 +a(g342 +V-> +p3046 +tp3047 +a(g184 +V +tp3048 +a(g197 +V( +tp3049 +a(g363 +VNode +p3050 +tp3051 +a(g184 +V +tp3052 +a(g47 +Vst +p3053 +tp3054 +a(g197 +V) +tp3055 +a(g184 +V +tp3056 +a(g342 +V-> +p3057 +tp3058 +a(g184 +V +tp3059 +a(g197 +V( +tp3060 +a(g363 +VST +p3061 +tp3062 +a(g184 +V +tp3063 +a(g47 +Vst +p3064 +tp3065 +a(g197 +V) +tp3066 +a(g184 +V +tp3067 +a(g197 +V( +tp3068 +a(g363 +VNode +p3069 +tp3070 +a(g184 +V +tp3071 +a(g47 +Vst +p3072 +tp3073 +a(g197 +V) +tp3074 +a(g184 +V\u000a +tp3075 +a(g42 +V> +p3076 +tp3077 +a(g184 +V +tp3078 +a(g50 +VaddNode +p3079 +tp3080 +a(g184 +V +tp3081 +a(g47 +Vbefore +p3082 +tp3083 +a(g184 +V +tp3084 +a(g197 +V( +tp3085 +a(g47 +Vhint +p3086 +tp3087 +a(g197 +V, +tp3088 +a(g47 +Vname +p3089 +tp3090 +a(g197 +V) +tp3091 +a(g184 +V +tp3092 +a(g47 +Vafter +p3093 +tp3094 +a(g184 +V +tp3095 +a(g342 +V= +tp3096 +a(g184 +V +tp3097 +a(g7 +Vdo +p3098 +tp3099 +a(g184 +V\u000a +tp3100 +a(g42 +V> +p3101 +tp3102 +a(g184 +V +tp3103 +a(g7 +Vlet +p3104 +tp3105 +a(g184 +V +tp3106 +a(g47 +Vhead +p3107 +tp3108 +a(g184 +V +tp3109 +a(g342 +V= +tp3110 +a(g184 +V +tp3111 +a(g47 +Vha +p3112 +tp3113 +a(g184 +V +tp3114 +a(g338 +V! +tp3115 +a(g184 +V +tp3116 +a(g47 +Vname +p3117 +tp3118 +a(g184 +V\u000a +tp3119 +a(g42 +V> +p3120 +tp3121 +a(g184 +V +tp3122 +a(g7 +Vlet +p3123 +tp3124 +a(g184 +V +tp3125 +a(g47 +Vbelow +p3126 +tp3127 +a(g184 +V +tp3128 +a(g342 +V= +tp3129 +a(g184 +V +tp3130 +a(g47 +VtopNode +p3131 +tp3132 +a(g184 +V +tp3133 +a(g47 +Vhead +p3134 +tp3135 +a(g184 +V\u000a +tp3136 +a(g42 +V> +p3137 +tp3138 +a(g184 +V +tp3139 +a(g50 +Vabove +p3140 +tp3141 +a(g184 +V +tp3142 +a(g342 +V<- +p3143 +tp3144 +a(g184 +V +tp3145 +a(g47 +Vread +p3146 +tp3147 +a(g184 +V +tp3148 +a(g197 +V( +tp3149 +a(g47 +Vup +p3150 +tp3151 +a(g184 +V +tp3152 +a(g47 +Vbelow +p3153 +tp3154 +a(g197 +V) +tp3155 +a(g184 +V\u000a +tp3156 +a(g42 +V> +p3157 +tp3158 +a(g184 +V +tp3159 +a(g50 +VnewNode +p3160 +tp3161 +a(g184 +V +tp3162 +a(g342 +V<- +p3163 +tp3164 +a(g184 +V +tp3165 +a(g47 +VliftM4 +p3166 +tp3167 +a(g184 +V +tp3168 +a(g197 +V( +tp3169 +a(g363 +VNode +p3170 +tp3171 +a(g184 +V +tp3172 +a(g47 +Vhint +p3173 +tp3174 +a(g184 +V +tp3175 +a(g47 +Vhead +p3176 +tp3177 +a(g197 +V) +tp3178 +a(g184 +V +tp3179 +a(g197 +V( +tp3180 +a(g47 +Vnew +p3181 +tp3182 +a(g184 +V +tp3183 +a(g47 +Vabove +p3184 +tp3185 +a(g197 +V) +tp3186 +a(g184 +V +tp3187 +a(g197 +V( +tp3188 +a(g47 +Vnew +p3189 +tp3190 +a(g184 +V +tp3191 +a(g47 +Vbelow +p3192 +tp3193 +a(g197 +V) +tp3194 +a(g184 +V\u000a +tp3195 +a(g42 +V> +p3196 +tp3197 +a(g184 +V +tp3198 +a(g197 +V( +tp3199 +a(g47 +Vnew +p3200 +tp3201 +a(g184 +V +tp3202 +a(g47 +Vbefore +p3203 +tp3204 +a(g197 +V) +tp3205 +a(g184 +V +tp3206 +a(g197 +V( +tp3207 +a(g47 +Vnew +p3208 +tp3209 +a(g184 +V +tp3210 +a(g47 +Vafter +p3211 +tp3212 +a(g197 +V) +tp3213 +a(g184 +V\u000a +tp3214 +a(g42 +V> +p3215 +tp3216 +a(g184 +V +tp3217 +a(g50 +Vwrite +p3218 +tp3219 +a(g184 +V +tp3220 +a(g197 +V( +tp3221 +a(g47 +Vdown +p3222 +tp3223 +a(g184 +V +tp3224 +a(g47 +Vabove +p3225 +tp3226 +a(g197 +V) +tp3227 +a(g184 +V +tp3228 +a(g47 +VnewNode +p3229 +tp3230 +a(g184 +V\u000a +tp3231 +a(g42 +V> +p3232 +tp3233 +a(g184 +V +tp3234 +a(g50 +Vwrite +p3235 +tp3236 +a(g184 +V +tp3237 +a(g197 +V( +tp3238 +a(g47 +Vup +p3239 +tp3240 +a(g184 +V +tp3241 +a(g47 +Vbelow +p3242 +tp3243 +a(g197 +V) +tp3244 +a(g184 +V +tp3245 +a(g47 +VnewNode +p3246 +tp3247 +a(g184 +V\u000a +tp3248 +a(g42 +V> +p3249 +tp3250 +a(g184 +V +tp3251 +a(g50 +Vmodify +p3252 +tp3253 +a(g184 +V +tp3254 +a(g197 +V( +tp3255 +a(g47 +Vlen +p3256 +tp3257 +a(g184 +V +tp3258 +a(g47 +Vhead +p3259 +tp3260 +a(g197 +V) +tp3261 +a(g184 +V +tp3262 +a(g47 +Vsucc +p3263 +tp3264 +a(g184 +V\u000a +tp3265 +a(g42 +V> +p3266 +tp3267 +a(g184 +V +tp3268 +a(g50 +Vl +tp3269 +a(g184 +V +tp3270 +a(g342 +V<- +p3271 +tp3272 +a(g184 +V +tp3273 +a(g47 +Vread +p3274 +tp3275 +a(g184 +V +tp3276 +a(g197 +V( +tp3277 +a(g47 +Vlen +p3278 +tp3279 +a(g184 +V +tp3280 +a(g47 +Vhead +p3281 +tp3282 +a(g197 +V) +tp3283 +a(g184 +V\u000a +tp3284 +a(g42 +V> +p3285 +tp3286 +a(g184 +V +tp3287 +a(g50 +Vseq +p3288 +tp3289 +a(g184 +V +tp3290 +a(g47 +Vl +tp3291 +a(g184 +V +tp3292 +a(g197 +V( +tp3293 +a(g47 +Vreturn +p3294 +tp3295 +a(g184 +V +tp3296 +a(g47 +VnewNode +p3297 +tp3298 +a(g197 +V) +tp3299 +a(g184 +V\u000a +tp3300 +a(g184 +V\u000a +tp3301 +a(g184 +V +tp3302 +a(g184 +V Create the column headers, including the fast lookup array. These\u000a +p3303 +tp3304 +a(g184 +V +tp3305 +a(g184 +V will be resused between puzzles.\u000a +p3306 +tp3307 +a(g184 +V +tp3308 +a(g184 +V\u000a +tp3309 +a(g184 +V +tp3310 +a(g42 +V> +p3311 +tp3312 +a(g184 +V +tp3313 +a(g50 +VinitHA +p3314 +tp3315 +a(g184 +V +tp3316 +a(g342 +V:: +p3317 +tp3318 +a(g184 +V +tp3319 +a(g197 +V( +tp3320 +a(g363 +VST +p3321 +tp3322 +a(g184 +V +tp3323 +a(g47 +Vst +p3324 +tp3325 +a(g197 +V) +tp3326 +a(g184 +V +tp3327 +a(g197 +V( +tp3328 +a(g363 +VRoot +p3329 +tp3330 +a(g184 +V +tp3331 +a(g47 +Vst +p3332 +tp3333 +a(g197 +V) +tp3334 +a(g184 +V\u000a +tp3335 +a(g42 +V> +p3336 +tp3337 +a(g184 +V +tp3338 +a(g50 +VinitHA +p3339 +tp3340 +a(g184 +V +tp3341 +a(g342 +V= +tp3342 +a(g184 +V +tp3343 +a(g7 +Vdo +p3344 +tp3345 +a(g184 +V\u000a +tp3346 +a(g42 +V> +p3347 +tp3348 +a(g184 +V +tp3349 +a(g50 +Vroot +p3350 +tp3351 +a(g184 +V +tp3352 +a(g342 +V<- +p3353 +tp3354 +a(g184 +V +tp3355 +a(g47 +VmakeHeads +p3356 +tp3357 +a(g184 +V +tp3358 +a(g47 +VheadNames +p3359 +tp3360 +a(g184 +V\u000a +tp3361 +a(g42 +V> +p3362 +tp3363 +a(g184 +V +tp3364 +a(g50 +Vheads +p3365 +tp3366 +a(g184 +V +tp3367 +a(g342 +V<- +p3368 +tp3369 +a(g184 +V +tp3370 +a(g47 +VtoSingle +p3371 +tp3372 +a(g184 +V +tp3373 +a(g47 +Vnext +p3374 +tp3375 +a(g184 +V +tp3376 +a(g47 +Vroot +p3377 +tp3378 +a(g184 +V\u000a +tp3379 +a(g42 +V> +p3380 +tp3381 +a(g184 +V +tp3382 +a(g7 +Vlet +p3383 +tp3384 +a(g184 +V +tp3385 +a(g47 +Vha +p3386 +tp3387 +a(g184 +V +tp3388 +a(g342 +V= +tp3389 +a(g184 +V +tp3390 +a(g47 +Varray +p3391 +tp3392 +a(g184 +V +tp3393 +a(g47 +VhBounds +p3394 +tp3395 +a(g184 +V +tp3396 +a(g197 +V( +tp3397 +a(g47 +Vzip +p3398 +tp3399 +a(g184 +V +tp3400 +a(g197 +V( +tp3401 +a(g47 +Vmap +p3402 +tp3403 +a(g184 +V +tp3404 +a(g47 +VheadName +p3405 +tp3406 +a(g184 +V +tp3407 +a(g47 +Vheads +p3408 +tp3409 +a(g197 +V) +tp3410 +a(g184 +V +tp3411 +a(g47 +Vheads +p3412 +tp3413 +a(g197 +V) +tp3414 +a(g184 +V\u000a +tp3415 +a(g42 +V> +p3416 +tp3417 +a(g184 +V +tp3418 +a(g50 +Vreturn +p3419 +tp3420 +a(g184 +V +tp3421 +a(g197 +V( +tp3422 +a(g47 +Vroot +p3423 +tp3424 +a(g197 +V, +tp3425 +a(g47 +Vha +p3426 +tp3427 +a(g197 +V) +tp3428 +a(g184 +V\u000a +tp3429 +a(g184 +V\u000a +tp3430 +a(g184 +V +tp3431 +a(g184 +V Take the Root from initHA and a puzzle Spec and fill in all the Nodes.\u000a +p3432 +tp3433 +a(g184 +V +tp3434 +a(g184 +V\u000a +tp3435 +a(g184 +V +tp3436 +a(g42 +V> +p3437 +tp3438 +a(g184 +V +tp3439 +a(g50 +VinitRoot +p3440 +tp3441 +a(g184 +V +tp3442 +a(g342 +V:: +p3443 +tp3444 +a(g184 +V +tp3445 +a(g197 +V( +tp3446 +a(g363 +VRoot +p3447 +tp3448 +a(g184 +V +tp3449 +a(g47 +Vst +p3450 +tp3451 +a(g197 +V) +tp3452 +a(g184 +V +tp3453 +a(g342 +V-> +p3454 +tp3455 +a(g184 +V +tp3456 +a(g363 +VSpec +p3457 +tp3458 +a(g184 +V +tp3459 +a(g342 +V-> +p3460 +tp3461 +a(g184 +V +tp3462 +a(g197 +V( +tp3463 +a(g363 +VST +p3464 +tp3465 +a(g184 +V +tp3466 +a(g47 +Vst +p3467 +tp3468 +a(g197 +V) +tp3469 +a(g184 +V +tp3470 +a(g85 +V() +p3471 +tp3472 +a(g184 +V\u000a +tp3473 +a(g42 +V> +p3474 +tp3475 +a(g184 +V +tp3476 +a(g50 +VinitRoot +p3477 +tp3478 +a(g184 +V +tp3479 +a(g47 +Vroot +p3480 +tp3481 +a(g184 +V +tp3482 +a(g47 +Vspec +p3483 +tp3484 +a(g184 +V +tp3485 +a(g342 +V= +tp3486 +a(g184 +V +tp3487 +a(g7 +Vdo +p3488 +tp3489 +a(g184 +V\u000a +tp3490 +a(g42 +V> +p3491 +tp3492 +a(g184 +V +tp3493 +a(g7 +Vlet +p3494 +tp3495 +a(g184 +V +tp3496 +a(g47 +Vmoves +p3497 +tp3498 +a(g184 +V +tp3499 +a(g342 +V= +tp3500 +a(g184 +V +tp3501 +a(g47 +VparseSpec +p3502 +tp3503 +a(g184 +V +tp3504 +a(g47 +Vspec +p3505 +tp3506 +a(g184 +V\u000a +tp3507 +a(g42 +V> +p3508 +tp3509 +a(g184 +V +tp3510 +a(g50 +VmapM_ +p3511 +tp3512 +a(g184 +V +tp3513 +a(g197 +V( +tp3514 +a(g47 +VaddMove +p3515 +tp3516 +a(g184 +V +tp3517 +a(g47 +Vroot +p3518 +tp3519 +a(g197 +V) +tp3520 +a(g184 +V +tp3521 +a(g47 +Vmoves +p3522 +tp3523 +a(g184 +V\u000a +tp3524 +a(g184 +V\u000a +tp3525 +a(g184 +V +tp3526 +a(g184 +V Return the column headers to their condition after initHA\u000a +p3527 +tp3528 +a(g184 +V +tp3529 +a(g184 +V\u000a +tp3530 +a(g184 +V +tp3531 +a(g42 +V> +p3532 +tp3533 +a(g184 +V +tp3534 +a(g50 +VresetRoot +p3535 +tp3536 +a(g184 +V +tp3537 +a(g342 +V:: +p3538 +tp3539 +a(g184 +V +tp3540 +a(g197 +V( +tp3541 +a(g363 +VRoot +p3542 +tp3543 +a(g184 +V +tp3544 +a(g47 +Vst +p3545 +tp3546 +a(g197 +V) +tp3547 +a(g184 +V +tp3548 +a(g342 +V-> +p3549 +tp3550 +a(g184 +V +tp3551 +a(g197 +V( +tp3552 +a(g363 +VST +p3553 +tp3554 +a(g184 +V +tp3555 +a(g47 +Vst +p3556 +tp3557 +a(g197 +V) +tp3558 +a(g184 +V +tp3559 +a(g85 +V() +p3560 +tp3561 +a(g184 +V\u000a +tp3562 +a(g42 +V> +p3563 +tp3564 +a(g184 +V +tp3565 +a(g50 +VresetRoot +p3566 +tp3567 +a(g184 +V +tp3568 +a(g197 +V( +tp3569 +a(g47 +Vroot +p3570 +tp3571 +a(g197 +V, +tp3572 +a(g47 +Vha +p3573 +tp3574 +a(g197 +V) +tp3575 +a(g184 +V +tp3576 +a(g342 +V= +tp3577 +a(g184 +V +tp3578 +a(g7 +Vdo +p3579 +tp3580 +a(g184 +V\u000a +tp3581 +a(g42 +V> +p3582 +tp3583 +a(g184 +V +tp3584 +a(g7 +Vlet +p3585 +tp3586 +a(g184 +V +tp3587 +a(g47 +Vheads +p3588 +tp3589 +a(g338 +V@ +tp3590 +a(g197 +V( +tp3591 +a(g47 +Vfirst +p3592 +tp3593 +a(g363 +V: +tp3594 +a(g7 +V_ +tp3595 +a(g197 +V) +tp3596 +a(g184 +V +tp3597 +a(g342 +V= +tp3598 +a(g184 +V +tp3599 +a(g47 +Velems +p3600 +tp3601 +a(g184 +V +tp3602 +a(g47 +Vha +p3603 +tp3604 +a(g184 +V\u000a +tp3605 +a(g42 +V> +p3606 +tp3607 +a(g184 +V +tp3608 +a(g7 +Vlet +p3609 +tp3610 +a(g184 +V +tp3611 +a(g47 +VresetHead +p3612 +tp3613 +a(g184 +V +tp3614 +a(g47 +Vhead +p3615 +tp3616 +a(g184 +V +tp3617 +a(g342 +V= +tp3618 +a(g184 +V +tp3619 +a(g7 +Vdo +p3620 +tp3621 +a(g184 +V\u000a +tp3622 +a(g42 +V> +p3623 +tp3624 +a(g184 +V +tp3625 +a(g50 +Vwrite +p3626 +tp3627 +a(g184 +V +tp3628 +a(g197 +V( +tp3629 +a(g47 +Vlen +p3630 +tp3631 +a(g184 +V +tp3632 +a(g47 +Vhead +p3633 +tp3634 +a(g197 +V) +tp3635 +a(g184 +V +tp3636 +a(g313 +V0 +tp3637 +a(g184 +V\u000a +tp3638 +a(g42 +V> +p3639 +tp3640 +a(g184 +V +tp3641 +a(g7 +Vlet +p3642 +tp3643 +a(g184 +V +tp3644 +a(g47 +Vnode +p3645 +tp3646 +a(g184 +V +tp3647 +a(g342 +V= +tp3648 +a(g184 +V +tp3649 +a(g47 +VtopNode +p3650 +tp3651 +a(g184 +V +tp3652 +a(g47 +Vhead +p3653 +tp3654 +a(g184 +V\u000a +tp3655 +a(g42 +V> +p3656 +tp3657 +a(g184 +V +tp3658 +a(g50 +Vwrite +p3659 +tp3660 +a(g184 +V +tp3661 +a(g197 +V( +tp3662 +a(g47 +Vdown +p3663 +tp3664 +a(g184 +V +tp3665 +a(g47 +Vnode +p3666 +tp3667 +a(g197 +V) +tp3668 +a(g184 +V +tp3669 +a(g47 +Vnode +p3670 +tp3671 +a(g184 +V\u000a +tp3672 +a(g42 +V> +p3673 +tp3674 +a(g184 +V +tp3675 +a(g50 +Vwrite +p3676 +tp3677 +a(g184 +V +tp3678 +a(g197 +V( +tp3679 +a(g47 +Vup +p3680 +tp3681 +a(g184 +V +tp3682 +a(g47 +Vnode +p3683 +tp3684 +a(g197 +V) +tp3685 +a(g184 +V +tp3686 +a(g47 +Vnode +p3687 +tp3688 +a(g184 +V\u000a +tp3689 +a(g42 +V> +p3690 +tp3691 +a(g184 +V +tp3692 +a(g50 +Vreset +p3693 +tp3694 +a(g184 +V +tp3695 +a(g197 +V( +tp3696 +a(g47 +Vlast +p3697 +tp3698 +a(g363 +V: +tp3699 +a(g363 +V[] +p3700 +tp3701 +a(g197 +V) +tp3702 +a(g184 +V +tp3703 +a(g342 +V= +tp3704 +a(g184 +V +tp3705 +a(g7 +Vdo +p3706 +tp3707 +a(g184 +V\u000a +tp3708 +a(g42 +V> +p3709 +tp3710 +a(g184 +V +tp3711 +a(g50 +Vwrite +p3712 +tp3713 +a(g184 +V +tp3714 +a(g197 +V( +tp3715 +a(g47 +Vprev +p3716 +tp3717 +a(g184 +V +tp3718 +a(g47 +Vroot +p3719 +tp3720 +a(g197 +V) +tp3721 +a(g184 +V +tp3722 +a(g47 +Vlast +p3723 +tp3724 +a(g184 +V\u000a +tp3725 +a(g42 +V> +p3726 +tp3727 +a(g184 +V +tp3728 +a(g50 +Vwrite +p3729 +tp3730 +a(g184 +V +tp3731 +a(g197 +V( +tp3732 +a(g47 +Vnext +p3733 +tp3734 +a(g184 +V +tp3735 +a(g47 +Vroot +p3736 +tp3737 +a(g197 +V) +tp3738 +a(g184 +V +tp3739 +a(g47 +Vfirst +p3740 +tp3741 +a(g184 +V\u000a +tp3742 +a(g42 +V> +p3743 +tp3744 +a(g184 +V +tp3745 +a(g50 +Vreset +p3746 +tp3747 +a(g184 +V +tp3748 +a(g197 +V( +tp3749 +a(g47 +Vbefore +p3750 +tp3751 +a(g363 +V: +tp3752 +a(g47 +Vxs +p3753 +tp3754 +a(g338 +V@ +tp3755 +a(g197 +V( +tp3756 +a(g47 +Vhead +p3757 +tp3758 +a(g363 +V: +tp3759 +a(g363 +V[] +p3760 +tp3761 +a(g197 +V) +tp3762 +a(g197 +V) +tp3763 +a(g184 +V +tp3764 +a(g342 +V= +tp3765 +a(g184 +V +tp3766 +a(g7 +Vdo +p3767 +tp3768 +a(g184 +V\u000a +tp3769 +a(g42 +V> +p3770 +tp3771 +a(g184 +V +tp3772 +a(g50 +VresetHead +p3773 +tp3774 +a(g184 +V +tp3775 +a(g47 +Vhead +p3776 +tp3777 +a(g184 +V\u000a +tp3778 +a(g42 +V> +p3779 +tp3780 +a(g184 +V +tp3781 +a(g50 +Vwrite +p3782 +tp3783 +a(g184 +V +tp3784 +a(g197 +V( +tp3785 +a(g47 +Vprev +p3786 +tp3787 +a(g184 +V +tp3788 +a(g47 +Vhead +p3789 +tp3790 +a(g197 +V) +tp3791 +a(g184 +V +tp3792 +a(g47 +Vbefore +p3793 +tp3794 +a(g184 +V\u000a +tp3795 +a(g42 +V> +p3796 +tp3797 +a(g184 +V +tp3798 +a(g50 +Vwrite +p3799 +tp3800 +a(g184 +V +tp3801 +a(g197 +V( +tp3802 +a(g47 +Vnext +p3803 +tp3804 +a(g184 +V +tp3805 +a(g47 +Vhead +p3806 +tp3807 +a(g197 +V) +tp3808 +a(g184 +V +tp3809 +a(g47 +Vroot +p3810 +tp3811 +a(g184 +V\u000a +tp3812 +a(g42 +V> +p3813 +tp3814 +a(g184 +V +tp3815 +a(g50 +Vreset +p3816 +tp3817 +a(g184 +V +tp3818 +a(g47 +Vxs +p3819 +tp3820 +a(g184 +V\u000a +tp3821 +a(g42 +V> +p3822 +tp3823 +a(g184 +V +tp3824 +a(g50 +Vreset +p3825 +tp3826 +a(g184 +V +tp3827 +a(g197 +V( +tp3828 +a(g47 +Vbefore +p3829 +tp3830 +a(g363 +V: +tp3831 +a(g47 +Vxs +p3832 +tp3833 +a(g338 +V@ +tp3834 +a(g197 +V( +tp3835 +a(g47 +Vhead +p3836 +tp3837 +a(g363 +V: +tp3838 +a(g47 +Vafter +p3839 +tp3840 +a(g363 +V: +tp3841 +a(g7 +V_ +tp3842 +a(g197 +V) +tp3843 +a(g197 +V) +tp3844 +a(g184 +V +tp3845 +a(g342 +V= +tp3846 +a(g184 +V +tp3847 +a(g7 +Vdo +p3848 +tp3849 +a(g184 +V\u000a +tp3850 +a(g42 +V> +p3851 +tp3852 +a(g184 +V +tp3853 +a(g50 +VresetHead +p3854 +tp3855 +a(g184 +V +tp3856 +a(g47 +Vhead +p3857 +tp3858 +a(g184 +V\u000a +tp3859 +a(g42 +V> +p3860 +tp3861 +a(g184 +V +tp3862 +a(g50 +Vwrite +p3863 +tp3864 +a(g184 +V +tp3865 +a(g197 +V( +tp3866 +a(g47 +Vprev +p3867 +tp3868 +a(g184 +V +tp3869 +a(g47 +Vhead +p3870 +tp3871 +a(g197 +V) +tp3872 +a(g184 +V +tp3873 +a(g47 +Vbefore +p3874 +tp3875 +a(g184 +V\u000a +tp3876 +a(g42 +V> +p3877 +tp3878 +a(g184 +V +tp3879 +a(g50 +Vwrite +p3880 +tp3881 +a(g184 +V +tp3882 +a(g197 +V( +tp3883 +a(g47 +Vnext +p3884 +tp3885 +a(g184 +V +tp3886 +a(g47 +Vhead +p3887 +tp3888 +a(g197 +V) +tp3889 +a(g184 +V +tp3890 +a(g47 +Vafter +p3891 +tp3892 +a(g184 +V\u000a +tp3893 +a(g42 +V> +p3894 +tp3895 +a(g184 +V +tp3896 +a(g50 +Vreset +p3897 +tp3898 +a(g184 +V +tp3899 +a(g47 +Vxs +p3900 +tp3901 +a(g184 +V\u000a +tp3902 +a(g42 +V> +p3903 +tp3904 +a(g184 +V +tp3905 +a(g50 +Vreset +p3906 +tp3907 +a(g184 +V +tp3908 +a(g197 +V( +tp3909 +a(g47 +Vroot +p3910 +tp3911 +a(g363 +V: +tp3912 +a(g47 +Vheads +p3913 +tp3914 +a(g197 +V) +tp3915 +a(g184 +V\u000a +tp3916 +a(g184 +V\u000a +tp3917 +a(g184 +V +tp3918 +a(g184 +V getBest iterates over the unmet constraints (i.e. the Head that are\u000a +p3919 +tp3920 +a(g184 +V +tp3921 +a(g184 +V reachable from root). It locates the one with the lowest number of\u000a +p3922 +tp3923 +a(g184 +V +tp3924 +a(g184 +V possible moves that will solve it, aborting early if it finds 0 or 1\u000a +p3925 +tp3926 +a(g184 +V +tp3927 +a(g184 +V moves.\u000a +p3928 +tp3929 +a(g184 +V +tp3930 +a(g184 +V\u000a +tp3931 +a(g184 +V +tp3932 +a(g42 +V> +p3933 +tp3934 +a(g184 +V +tp3935 +a(g50 +VgetBest +p3936 +tp3937 +a(g184 +V +tp3938 +a(g342 +V:: +p3939 +tp3940 +a(g184 +V +tp3941 +a(g197 +V( +tp3942 +a(g363 +VHead +p3943 +tp3944 +a(g184 +V +tp3945 +a(g47 +Vst +p3946 +tp3947 +a(g197 +V) +tp3948 +a(g184 +V +tp3949 +a(g342 +V-> +p3950 +tp3951 +a(g184 +V +tp3952 +a(g197 +V( +tp3953 +a(g363 +VST +p3954 +tp3955 +a(g184 +V +tp3956 +a(g47 +Vst +p3957 +tp3958 +a(g197 +V) +tp3959 +a(g184 +V +tp3960 +a(g197 +V( +tp3961 +a(g363 +VMaybe +p3962 +tp3963 +a(g184 +V +tp3964 +a(g197 +V( +tp3965 +a(g363 +VHead +p3966 +tp3967 +a(g184 +V +tp3968 +a(g47 +Vst +p3969 +tp3970 +a(g197 +V) +tp3971 +a(g197 +V) +tp3972 +a(g184 +V\u000a +tp3973 +a(g42 +V> +p3974 +tp3975 +a(g184 +V +tp3976 +a(g50 +VgetBest +p3977 +tp3978 +a(g184 +V +tp3979 +a(g47 +Vroot +p3980 +tp3981 +a(g184 +V +tp3982 +a(g342 +V= +tp3983 +a(g184 +V +tp3984 +a(g7 +Vdo +p3985 +tp3986 +a(g184 +V\u000a +tp3987 +a(g42 +V> +p3988 +tp3989 +a(g184 +V +tp3990 +a(g50 +Vfirst +p3991 +tp3992 +a(g184 +V +tp3993 +a(g342 +V<- +p3994 +tp3995 +a(g184 +V +tp3996 +a(g47 +Vread +p3997 +tp3998 +a(g184 +V +tp3999 +a(g197 +V( +tp4000 +a(g47 +Vnext +p4001 +tp4002 +a(g184 +V +tp4003 +a(g47 +Vroot +p4004 +tp4005 +a(g197 +V) +tp4006 +a(g184 +V\u000a +tp4007 +a(g42 +V> +p4008 +tp4009 +a(g184 +V +tp4010 +a(g7 +Vif +p4011 +tp4012 +a(g184 +V +tp4013 +a(g47 +Vfirst +p4014 +tp4015 +a(g184 +V +tp4016 +a(g338 +V== +p4017 +tp4018 +a(g184 +V +tp4019 +a(g47 +Vroot +p4020 +tp4021 +a(g184 +V +tp4022 +a(g7 +Vthen +p4023 +tp4024 +a(g184 +V +tp4025 +a(g47 +Vreturn +p4026 +tp4027 +a(g184 +V +tp4028 +a(g363 +VNothing +p4029 +tp4030 +a(g184 +V\u000a +tp4031 +a(g42 +V> +p4032 +tp4033 +a(g184 +V +tp4034 +a(g7 +Velse +p4035 +tp4036 +a(g184 +V +tp4037 +a(g7 +Vdo +p4038 +tp4039 +a(g184 +V\u000a +tp4040 +a(g42 +V> +p4041 +tp4042 +a(g184 +V +tp4043 +a(g7 +Vlet +p4044 +tp4045 +a(g184 +V +tp4046 +a(g47 +VfindMin +p4047 +tp4048 +a(g184 +V +tp4049 +a(g47 +Vm +tp4050 +a(g184 +V +tp4051 +a(g47 +Vbest +p4052 +tp4053 +a(g184 +V +tp4054 +a(g47 +Vhead +p4055 +tp4056 +a(g184 +V +tp4057 +a(g338 +V| +tp4058 +a(g184 +V +tp4059 +a(g47 +Vhead +p4060 +tp4061 +a(g184 +V +tp4062 +a(g338 +V== +p4063 +tp4064 +a(g184 +V +tp4065 +a(g47 +Vroot +p4066 +tp4067 +a(g184 +V +tp4068 +a(g342 +V= +tp4069 +a(g184 +V +tp4070 +a(g47 +Vreturn +p4071 +tp4072 +a(g184 +V +tp4073 +a(g197 +V( +tp4074 +a(g363 +VJust +p4075 +tp4076 +a(g184 +V +tp4077 +a(g47 +Vbest +p4078 +tp4079 +a(g197 +V) +tp4080 +a(g184 +V\u000a +tp4081 +a(g42 +V> +p4082 +tp4083 +a(g184 +V +tp4084 +a(g338 +V| +tp4085 +a(g184 +V +tp4086 +a(g47 +Votherwise +p4087 +tp4088 +a(g184 +V +tp4089 +a(g342 +V= +tp4090 +a(g184 +V +tp4091 +a(g7 +Vdo +p4092 +tp4093 +a(g184 +V\u000a +tp4094 +a(g42 +V> +p4095 +tp4096 +a(g184 +V +tp4097 +a(g50 +Vl +tp4098 +a(g184 +V +tp4099 +a(g342 +V<- +p4100 +tp4101 +a(g184 +V +tp4102 +a(g47 +Vread +p4103 +tp4104 +a(g184 +V +tp4105 +a(g197 +V( +tp4106 +a(g47 +Vlen +p4107 +tp4108 +a(g184 +V +tp4109 +a(g47 +Vhead +p4110 +tp4111 +a(g197 +V) +tp4112 +a(g184 +V\u000a +tp4113 +a(g42 +V> +p4114 +tp4115 +a(g184 +V +tp4116 +a(g7 +Vif +p4117 +tp4118 +a(g184 +V +tp4119 +a(g47 +Vl +tp4120 +a(g184 +V +tp4121 +a(g338 +V<= +p4122 +tp4123 +a(g184 +V +tp4124 +a(g313 +V1 +tp4125 +a(g184 +V +tp4126 +a(g7 +Vthen +p4127 +tp4128 +a(g184 +V +tp4129 +a(g47 +Vreturn +p4130 +tp4131 +a(g184 +V +tp4132 +a(g197 +V( +tp4133 +a(g363 +VJust +p4134 +tp4135 +a(g184 +V +tp4136 +a(g47 +Vhead +p4137 +tp4138 +a(g197 +V) +tp4139 +a(g184 +V\u000a +tp4140 +a(g42 +V> +p4141 +tp4142 +a(g184 +V +tp4143 +a(g7 +Velse +p4144 +tp4145 +a(g184 +V +tp4146 +a(g7 +Vif +p4147 +tp4148 +a(g184 +V +tp4149 +a(g47 +Vl +tp4150 +a(g184 +V +tp4151 +a(g338 +V< +tp4152 +a(g184 +V +tp4153 +a(g47 +Vm +tp4154 +a(g184 +V +tp4155 +a(g7 +Vthen +p4156 +tp4157 +a(g184 +V +tp4158 +a(g47 +VfindMin +p4159 +tp4160 +a(g184 +V +tp4161 +a(g47 +Vl +tp4162 +a(g184 +V +tp4163 +a(g47 +Vhead +p4164 +tp4165 +a(g184 +V +tp4166 +a(g338 +V=<< +p4167 +tp4168 +a(g184 +V +tp4169 +a(g47 +Vread +p4170 +tp4171 +a(g184 +V +tp4172 +a(g197 +V( +tp4173 +a(g47 +Vnext +p4174 +tp4175 +a(g184 +V +tp4176 +a(g47 +Vhead +p4177 +tp4178 +a(g197 +V) +tp4179 +a(g184 +V\u000a +tp4180 +a(g42 +V> +p4181 +tp4182 +a(g184 +V +tp4183 +a(g7 +Velse +p4184 +tp4185 +a(g184 +V +tp4186 +a(g47 +VfindMin +p4187 +tp4188 +a(g184 +V +tp4189 +a(g47 +Vl +tp4190 +a(g184 +V +tp4191 +a(g47 +Vbest +p4192 +tp4193 +a(g184 +V +tp4194 +a(g338 +V=<< +p4195 +tp4196 +a(g184 +V +tp4197 +a(g47 +Vread +p4198 +tp4199 +a(g184 +V +tp4200 +a(g197 +V( +tp4201 +a(g47 +Vnext +p4202 +tp4203 +a(g184 +V +tp4204 +a(g47 +Vhead +p4205 +tp4206 +a(g197 +V) +tp4207 +a(g184 +V\u000a +tp4208 +a(g42 +V> +p4209 +tp4210 +a(g184 +V +tp4211 +a(g50 +VfindMin +p4212 +tp4213 +a(g184 +V +tp4214 +a(g313 +V10 +p4215 +tp4216 +a(g184 +V +tp4217 +a(g47 +Vfirst +p4218 +tp4219 +a(g184 +V +tp4220 +a(g47 +Vfirst +p4221 +tp4222 +a(g184 +V\u000a +tp4223 +a(g184 +V\u000a +tp4224 +a(g184 +V +tp4225 +a(g184 +V The unlink and relink operations are from where Knuth got the name\u000a +p4226 +tp4227 +a(g184 +V +tp4228 +a(g184 +V "dancing links". So long as "a" does not change in between, the\u000a +p4229 +tp4230 +a(g184 +V +tp4231 +a(g184 +V relink call will undo the unlink call. Similarly, the unconver will\u000a +p4232 +tp4233 +a(g184 +V +tp4234 +a(g184 +V undo the changes of cover and unconverOthers will undo coverOthers.\u000a +p4235 +tp4236 +a(g184 +V +tp4237 +a(g184 +V\u000a +tp4238 +a(g184 +V +tp4239 +a(g42 +V> +p4240 +tp4241 +a(g184 +V +tp4242 +a(g50 +Vunlink +p4243 +tp4244 +a(g184 +V +tp4245 +a(g342 +V:: +p4246 +tp4247 +a(g184 +V +tp4248 +a(g197 +V( +tp4249 +a(g47 +Va +tp4250 +a(g342 +V-> +p4251 +tp4252 +a(g363 +VSTRef +p4253 +tp4254 +a(g184 +V +tp4255 +a(g47 +Vst +p4256 +tp4257 +a(g184 +V +tp4258 +a(g47 +Va +tp4259 +a(g197 +V) +tp4260 +a(g184 +V +tp4261 +a(g342 +V-> +p4262 +tp4263 +a(g184 +V +tp4264 +a(g197 +V( +tp4265 +a(g47 +Va +tp4266 +a(g342 +V-> +p4267 +tp4268 +a(g363 +VSTRef +p4269 +tp4270 +a(g184 +V +tp4271 +a(g47 +Vst +p4272 +tp4273 +a(g184 +V +tp4274 +a(g47 +Va +tp4275 +a(g197 +V) +tp4276 +a(g184 +V +tp4277 +a(g342 +V-> +p4278 +tp4279 +a(g184 +V +tp4280 +a(g47 +Va +tp4281 +a(g184 +V +tp4282 +a(g342 +V-> +p4283 +tp4284 +a(g184 +V +tp4285 +a(g197 +V( +tp4286 +a(g363 +VST +p4287 +tp4288 +a(g184 +V +tp4289 +a(g47 +Vst +p4290 +tp4291 +a(g197 +V) +tp4292 +a(g184 +V +tp4293 +a(g85 +V() +p4294 +tp4295 +a(g184 +V\u000a +tp4296 +a(g42 +V> +p4297 +tp4298 +a(g184 +V +tp4299 +a(g50 +Vunlink +p4300 +tp4301 +a(g184 +V +tp4302 +a(g47 +Vprev +p4303 +tp4304 +a(g184 +V +tp4305 +a(g47 +Vnext +p4306 +tp4307 +a(g184 +V +tp4308 +a(g47 +Va +tp4309 +a(g184 +V +tp4310 +a(g342 +V= +tp4311 +a(g184 +V +tp4312 +a(g7 +Vdo +p4313 +tp4314 +a(g184 +V\u000a +tp4315 +a(g42 +V> +p4316 +tp4317 +a(g184 +V +tp4318 +a(g50 +Vbefore +p4319 +tp4320 +a(g184 +V +tp4321 +a(g342 +V<- +p4322 +tp4323 +a(g184 +V +tp4324 +a(g47 +Vread +p4325 +tp4326 +a(g184 +V +tp4327 +a(g197 +V( +tp4328 +a(g47 +Vprev +p4329 +tp4330 +a(g184 +V +tp4331 +a(g47 +Va +tp4332 +a(g197 +V) +tp4333 +a(g184 +V\u000a +tp4334 +a(g42 +V> +p4335 +tp4336 +a(g184 +V +tp4337 +a(g50 +Vafter +p4338 +tp4339 +a(g184 +V +tp4340 +a(g342 +V<- +p4341 +tp4342 +a(g184 +V +tp4343 +a(g47 +Vread +p4344 +tp4345 +a(g184 +V +tp4346 +a(g197 +V( +tp4347 +a(g47 +Vnext +p4348 +tp4349 +a(g184 +V +tp4350 +a(g47 +Va +tp4351 +a(g197 +V) +tp4352 +a(g184 +V\u000a +tp4353 +a(g42 +V> +p4354 +tp4355 +a(g184 +V +tp4356 +a(g50 +Vwrite +p4357 +tp4358 +a(g184 +V +tp4359 +a(g197 +V( +tp4360 +a(g47 +Vnext +p4361 +tp4362 +a(g184 +V +tp4363 +a(g47 +Vbefore +p4364 +tp4365 +a(g197 +V) +tp4366 +a(g184 +V +tp4367 +a(g47 +Vafter +p4368 +tp4369 +a(g184 +V\u000a +tp4370 +a(g42 +V> +p4371 +tp4372 +a(g184 +V +tp4373 +a(g50 +Vwrite +p4374 +tp4375 +a(g184 +V +tp4376 +a(g197 +V( +tp4377 +a(g47 +Vprev +p4378 +tp4379 +a(g184 +V +tp4380 +a(g47 +Vafter +p4381 +tp4382 +a(g197 +V) +tp4383 +a(g184 +V +tp4384 +a(g47 +Vbefore +p4385 +tp4386 +a(g184 +V\u000a +tp4387 +a(g184 +V\u000a +tp4388 +a(g184 +V +tp4389 +a(g42 +V> +p4390 +tp4391 +a(g184 +V +tp4392 +a(g50 +Vrelink +p4393 +tp4394 +a(g184 +V +tp4395 +a(g342 +V:: +p4396 +tp4397 +a(g184 +V +tp4398 +a(g197 +V( +tp4399 +a(g47 +Va +tp4400 +a(g342 +V-> +p4401 +tp4402 +a(g363 +VSTRef +p4403 +tp4404 +a(g184 +V +tp4405 +a(g47 +Vst +p4406 +tp4407 +a(g184 +V +tp4408 +a(g47 +Va +tp4409 +a(g197 +V) +tp4410 +a(g184 +V +tp4411 +a(g342 +V-> +p4412 +tp4413 +a(g184 +V +tp4414 +a(g197 +V( +tp4415 +a(g47 +Va +tp4416 +a(g342 +V-> +p4417 +tp4418 +a(g363 +VSTRef +p4419 +tp4420 +a(g184 +V +tp4421 +a(g47 +Vst +p4422 +tp4423 +a(g184 +V +tp4424 +a(g47 +Va +tp4425 +a(g197 +V) +tp4426 +a(g184 +V +tp4427 +a(g342 +V-> +p4428 +tp4429 +a(g184 +V +tp4430 +a(g47 +Va +tp4431 +a(g184 +V +tp4432 +a(g342 +V-> +p4433 +tp4434 +a(g184 +V +tp4435 +a(g197 +V( +tp4436 +a(g363 +VST +p4437 +tp4438 +a(g184 +V +tp4439 +a(g47 +Vst +p4440 +tp4441 +a(g197 +V) +tp4442 +a(g184 +V +tp4443 +a(g85 +V() +p4444 +tp4445 +a(g184 +V\u000a +tp4446 +a(g42 +V> +p4447 +tp4448 +a(g184 +V +tp4449 +a(g50 +Vrelink +p4450 +tp4451 +a(g184 +V +tp4452 +a(g47 +Vprev +p4453 +tp4454 +a(g184 +V +tp4455 +a(g47 +Vnext +p4456 +tp4457 +a(g184 +V +tp4458 +a(g47 +Va +tp4459 +a(g184 +V +tp4460 +a(g342 +V= +tp4461 +a(g184 +V +tp4462 +a(g7 +Vdo +p4463 +tp4464 +a(g184 +V\u000a +tp4465 +a(g42 +V> +p4466 +tp4467 +a(g184 +V +tp4468 +a(g50 +Vbefore +p4469 +tp4470 +a(g184 +V +tp4471 +a(g342 +V<- +p4472 +tp4473 +a(g184 +V +tp4474 +a(g47 +Vread +p4475 +tp4476 +a(g184 +V +tp4477 +a(g197 +V( +tp4478 +a(g47 +Vprev +p4479 +tp4480 +a(g184 +V +tp4481 +a(g47 +Va +tp4482 +a(g197 +V) +tp4483 +a(g184 +V\u000a +tp4484 +a(g42 +V> +p4485 +tp4486 +a(g184 +V +tp4487 +a(g50 +Vafter +p4488 +tp4489 +a(g184 +V +tp4490 +a(g342 +V<- +p4491 +tp4492 +a(g184 +V +tp4493 +a(g47 +Vread +p4494 +tp4495 +a(g184 +V +tp4496 +a(g197 +V( +tp4497 +a(g47 +Vnext +p4498 +tp4499 +a(g184 +V +tp4500 +a(g47 +Va +tp4501 +a(g197 +V) +tp4502 +a(g184 +V\u000a +tp4503 +a(g42 +V> +p4504 +tp4505 +a(g184 +V +tp4506 +a(g50 +Vwrite +p4507 +tp4508 +a(g184 +V +tp4509 +a(g197 +V( +tp4510 +a(g47 +Vnext +p4511 +tp4512 +a(g184 +V +tp4513 +a(g47 +Vbefore +p4514 +tp4515 +a(g197 +V) +tp4516 +a(g184 +V +tp4517 +a(g47 +Va +tp4518 +a(g184 +V\u000a +tp4519 +a(g42 +V> +p4520 +tp4521 +a(g184 +V +tp4522 +a(g50 +Vwrite +p4523 +tp4524 +a(g184 +V +tp4525 +a(g197 +V( +tp4526 +a(g47 +Vprev +p4527 +tp4528 +a(g184 +V +tp4529 +a(g47 +Vafter +p4530 +tp4531 +a(g197 +V) +tp4532 +a(g184 +V +tp4533 +a(g47 +Va +tp4534 +a(g184 +V\u000a +tp4535 +a(g184 +V\u000a +tp4536 +a(g184 +V +tp4537 +a(g42 +V> +p4538 +tp4539 +a(g184 +V +tp4540 +a(g50 +Vcover +p4541 +tp4542 +a(g184 +V +tp4543 +a(g342 +V:: +p4544 +tp4545 +a(g184 +V +tp4546 +a(g197 +V( +tp4547 +a(g363 +VHead +p4548 +tp4549 +a(g184 +V +tp4550 +a(g47 +Vst +p4551 +tp4552 +a(g197 +V) +tp4553 +a(g184 +V +tp4554 +a(g342 +V-> +p4555 +tp4556 +a(g184 +V +tp4557 +a(g197 +V( +tp4558 +a(g363 +VST +p4559 +tp4560 +a(g184 +V +tp4561 +a(g47 +Vst +p4562 +tp4563 +a(g197 +V) +tp4564 +a(g184 +V +tp4565 +a(g85 +V() +p4566 +tp4567 +a(g184 +V\u000a +tp4568 +a(g42 +V> +p4569 +tp4570 +a(g184 +V +tp4571 +a(g50 +Vcover +p4572 +tp4573 +a(g184 +V +tp4574 +a(g47 +Vhead +p4575 +tp4576 +a(g184 +V +tp4577 +a(g342 +V= +tp4578 +a(g184 +V +tp4579 +a(g7 +Vdo +p4580 +tp4581 +a(g184 +V\u000a +tp4582 +a(g42 +V> +p4583 +tp4584 +a(g184 +V +tp4585 +a(g50 +Vunlink +p4586 +tp4587 +a(g184 +V +tp4588 +a(g47 +Vprev +p4589 +tp4590 +a(g184 +V +tp4591 +a(g47 +Vnext +p4592 +tp4593 +a(g184 +V +tp4594 +a(g47 +Vhead +p4595 +tp4596 +a(g184 +V\u000a +tp4597 +a(g42 +V> +p4598 +tp4599 +a(g184 +V +tp4600 +a(g7 +Vlet +p4601 +tp4602 +a(g184 +V +tp4603 +a(g47 +VeachDown +p4604 +tp4605 +a(g184 +V +tp4606 +a(g47 +Vrr +p4607 +tp4608 +a(g184 +V +tp4609 +a(g342 +V= +tp4610 +a(g184 +V +tp4611 +a(g47 +VforEach +p4612 +tp4613 +a(g184 +V +tp4614 +a(g47 +Vright +p4615 +tp4616 +a(g184 +V +tp4617 +a(g47 +Vrr +p4618 +tp4619 +a(g184 +V +tp4620 +a(g47 +VeachRight +p4621 +tp4622 +a(g184 +V\u000a +tp4623 +a(g42 +V> +p4624 +tp4625 +a(g184 +V +tp4626 +a(g50 +VeachRight +p4627 +tp4628 +a(g184 +V +tp4629 +a(g47 +Vnn +p4630 +tp4631 +a(g184 +V +tp4632 +a(g342 +V= +tp4633 +a(g184 +V +tp4634 +a(g7 +Vdo +p4635 +tp4636 +a(g184 +V\u000a +tp4637 +a(g42 +V> +p4638 +tp4639 +a(g184 +V +tp4640 +a(g50 +Vunlink +p4641 +tp4642 +a(g184 +V +tp4643 +a(g47 +Vup +p4644 +tp4645 +a(g184 +V +tp4646 +a(g47 +Vdown +p4647 +tp4648 +a(g184 +V +tp4649 +a(g47 +Vnn +p4650 +tp4651 +a(g184 +V\u000a +tp4652 +a(g42 +V> +p4653 +tp4654 +a(g184 +V +tp4655 +a(g50 +Vmodify +p4656 +tp4657 +a(g184 +V +tp4658 +a(g197 +V( +tp4659 +a(g47 +Vlen +p4660 +tp4661 +a(g184 +V +tp4662 +a(g338 +V$ +tp4663 +a(g184 +V +tp4664 +a(g47 +VgetHead +p4665 +tp4666 +a(g184 +V +tp4667 +a(g47 +Vnn +p4668 +tp4669 +a(g197 +V) +tp4670 +a(g184 +V +tp4671 +a(g47 +Vpred +p4672 +tp4673 +a(g184 +V\u000a +tp4674 +a(g42 +V> +p4675 +tp4676 +a(g184 +V +tp4677 +a(g50 +VforEach +p4678 +tp4679 +a(g184 +V +tp4680 +a(g47 +Vdown +p4681 +tp4682 +a(g184 +V +tp4683 +a(g197 +V( +tp4684 +a(g47 +VtopNode +p4685 +tp4686 +a(g184 +V +tp4687 +a(g47 +Vhead +p4688 +tp4689 +a(g197 +V) +tp4690 +a(g184 +V +tp4691 +a(g47 +VeachDown +p4692 +tp4693 +a(g184 +V\u000a +tp4694 +a(g184 +V\u000a +tp4695 +a(g184 +V +tp4696 +a(g42 +V> +p4697 +tp4698 +a(g184 +V +tp4699 +a(g50 +Vuncover +p4700 +tp4701 +a(g184 +V +tp4702 +a(g342 +V:: +p4703 +tp4704 +a(g184 +V +tp4705 +a(g197 +V( +tp4706 +a(g363 +VHead +p4707 +tp4708 +a(g184 +V +tp4709 +a(g47 +Vst +p4710 +tp4711 +a(g197 +V) +tp4712 +a(g184 +V +tp4713 +a(g342 +V-> +p4714 +tp4715 +a(g184 +V +tp4716 +a(g197 +V( +tp4717 +a(g363 +VST +p4718 +tp4719 +a(g184 +V +tp4720 +a(g47 +Vst +p4721 +tp4722 +a(g197 +V) +tp4723 +a(g184 +V +tp4724 +a(g85 +V() +p4725 +tp4726 +a(g184 +V\u000a +tp4727 +a(g42 +V> +p4728 +tp4729 +a(g184 +V +tp4730 +a(g50 +Vuncover +p4731 +tp4732 +a(g184 +V +tp4733 +a(g47 +Vhead +p4734 +tp4735 +a(g184 +V +tp4736 +a(g342 +V= +tp4737 +a(g184 +V +tp4738 +a(g7 +Vdo +p4739 +tp4740 +a(g184 +V\u000a +tp4741 +a(g42 +V> +p4742 +tp4743 +a(g184 +V +tp4744 +a(g7 +Vlet +p4745 +tp4746 +a(g184 +V +tp4747 +a(g47 +VeachUp +p4748 +tp4749 +a(g184 +V +tp4750 +a(g47 +Vrr +p4751 +tp4752 +a(g184 +V +tp4753 +a(g342 +V= +tp4754 +a(g184 +V +tp4755 +a(g47 +VforEach +p4756 +tp4757 +a(g184 +V +tp4758 +a(g47 +Vleft +p4759 +tp4760 +a(g184 +V +tp4761 +a(g47 +Vrr +p4762 +tp4763 +a(g184 +V +tp4764 +a(g47 +VeachLeft +p4765 +tp4766 +a(g184 +V\u000a +tp4767 +a(g42 +V> +p4768 +tp4769 +a(g184 +V +tp4770 +a(g50 +VeachLeft +p4771 +tp4772 +a(g184 +V +tp4773 +a(g47 +Vnn +p4774 +tp4775 +a(g184 +V +tp4776 +a(g342 +V= +tp4777 +a(g184 +V +tp4778 +a(g7 +Vdo +p4779 +tp4780 +a(g184 +V\u000a +tp4781 +a(g42 +V> +p4782 +tp4783 +a(g184 +V +tp4784 +a(g50 +Vmodify +p4785 +tp4786 +a(g184 +V +tp4787 +a(g197 +V( +tp4788 +a(g47 +Vlen +p4789 +tp4790 +a(g184 +V +tp4791 +a(g338 +V$ +tp4792 +a(g184 +V +tp4793 +a(g47 +VgetHead +p4794 +tp4795 +a(g184 +V +tp4796 +a(g47 +Vnn +p4797 +tp4798 +a(g197 +V) +tp4799 +a(g184 +V +tp4800 +a(g47 +Vsucc +p4801 +tp4802 +a(g184 +V\u000a +tp4803 +a(g42 +V> +p4804 +tp4805 +a(g184 +V +tp4806 +a(g50 +Vrelink +p4807 +tp4808 +a(g184 +V +tp4809 +a(g47 +Vup +p4810 +tp4811 +a(g184 +V +tp4812 +a(g47 +Vdown +p4813 +tp4814 +a(g184 +V +tp4815 +a(g47 +Vnn +p4816 +tp4817 +a(g184 +V\u000a +tp4818 +a(g42 +V> +p4819 +tp4820 +a(g184 +V +tp4821 +a(g50 +VforEach +p4822 +tp4823 +a(g184 +V +tp4824 +a(g47 +Vup +p4825 +tp4826 +a(g184 +V +tp4827 +a(g197 +V( +tp4828 +a(g47 +VtopNode +p4829 +tp4830 +a(g184 +V +tp4831 +a(g47 +Vhead +p4832 +tp4833 +a(g197 +V) +tp4834 +a(g184 +V +tp4835 +a(g47 +VeachUp +p4836 +tp4837 +a(g184 +V\u000a +tp4838 +a(g42 +V> +p4839 +tp4840 +a(g184 +V +tp4841 +a(g50 +Vrelink +p4842 +tp4843 +a(g184 +V +tp4844 +a(g47 +Vprev +p4845 +tp4846 +a(g184 +V +tp4847 +a(g47 +Vnext +p4848 +tp4849 +a(g184 +V +tp4850 +a(g47 +Vhead +p4851 +tp4852 +a(g184 +V\u000a +tp4853 +a(g184 +V\u000a +tp4854 +a(g184 +V +tp4855 +a(g42 +V> +p4856 +tp4857 +a(g184 +V +tp4858 +a(g50 +VcoverOthers +p4859 +tp4860 +a(g184 +V +tp4861 +a(g342 +V:: +p4862 +tp4863 +a(g184 +V +tp4864 +a(g197 +V( +tp4865 +a(g363 +VNode +p4866 +tp4867 +a(g184 +V +tp4868 +a(g47 +Vst +p4869 +tp4870 +a(g197 +V) +tp4871 +a(g184 +V +tp4872 +a(g342 +V-> +p4873 +tp4874 +a(g184 +V +tp4875 +a(g197 +V( +tp4876 +a(g363 +VST +p4877 +tp4878 +a(g184 +V +tp4879 +a(g47 +Vst +p4880 +tp4881 +a(g197 +V) +tp4882 +a(g184 +V +tp4883 +a(g85 +V() +p4884 +tp4885 +a(g184 +V\u000a +tp4886 +a(g42 +V> +p4887 +tp4888 +a(g184 +V +tp4889 +a(g50 +VcoverOthers +p4890 +tp4891 +a(g184 +V +tp4892 +a(g47 +Vnode +p4893 +tp4894 +a(g184 +V +tp4895 +a(g342 +V= +tp4896 +a(g184 +V +tp4897 +a(g47 +VforEach +p4898 +tp4899 +a(g184 +V +tp4900 +a(g47 +Vright +p4901 +tp4902 +a(g184 +V +tp4903 +a(g47 +Vnode +p4904 +tp4905 +a(g184 +V +tp4906 +a(g197 +V( +tp4907 +a(g47 +Vcover +p4908 +tp4909 +a(g184 +V +tp4910 +a(g338 +V. +tp4911 +a(g184 +V +tp4912 +a(g47 +VgetHead +p4913 +tp4914 +a(g197 +V) +tp4915 +a(g184 +V\u000a +tp4916 +a(g184 +V\u000a +tp4917 +a(g184 +V +tp4918 +a(g42 +V> +p4919 +tp4920 +a(g184 +V +tp4921 +a(g50 +VuncoverOthers +p4922 +tp4923 +a(g184 +V +tp4924 +a(g342 +V:: +p4925 +tp4926 +a(g184 +V +tp4927 +a(g197 +V( +tp4928 +a(g363 +VNode +p4929 +tp4930 +a(g184 +V +tp4931 +a(g47 +Vst +p4932 +tp4933 +a(g197 +V) +tp4934 +a(g184 +V +tp4935 +a(g342 +V-> +p4936 +tp4937 +a(g184 +V +tp4938 +a(g197 +V( +tp4939 +a(g363 +VST +p4940 +tp4941 +a(g184 +V +tp4942 +a(g47 +Vst +p4943 +tp4944 +a(g197 +V) +tp4945 +a(g184 +V +tp4946 +a(g85 +V() +p4947 +tp4948 +a(g184 +V\u000a +tp4949 +a(g42 +V> +p4950 +tp4951 +a(g184 +V +tp4952 +a(g50 +VuncoverOthers +p4953 +tp4954 +a(g184 +V +tp4955 +a(g47 +Vnode +p4956 +tp4957 +a(g184 +V +tp4958 +a(g342 +V= +tp4959 +a(g184 +V +tp4960 +a(g47 +VforEach +p4961 +tp4962 +a(g184 +V +tp4963 +a(g47 +Vleft +p4964 +tp4965 +a(g184 +V +tp4966 +a(g47 +Vnode +p4967 +tp4968 +a(g184 +V +tp4969 +a(g197 +V( +tp4970 +a(g47 +Vuncover +p4971 +tp4972 +a(g184 +V +tp4973 +a(g338 +V. +tp4974 +a(g184 +V +tp4975 +a(g47 +VgetHead +p4976 +tp4977 +a(g197 +V) +tp4978 +a(g184 +V\u000a +tp4979 +a(g184 +V\u000a +tp4980 +a(g184 +V +tp4981 +a(g184 +V A helper function for gdance:\u000a +p4982 +tp4983 +a(g184 +V +tp4984 +a(g184 +V\u000a +tp4985 +a(g184 +V +tp4986 +a(g42 +V> +p4987 +tp4988 +a(g184 +V +tp4989 +a(g50 +VchoicesToSpec +p4990 +tp4991 +a(g184 +V +tp4992 +a(g342 +V:: +p4993 +tp4994 +a(g184 +V +tp4995 +a(g197 +V[ +tp4996 +a(g197 +V( +tp4997 +a(g363 +VNode +p4998 +tp4999 +a(g184 +V +tp5000 +a(g47 +Vst +p5001 +tp5002 +a(g197 +V) +tp5003 +a(g197 +V] +tp5004 +a(g184 +V +tp5005 +a(g342 +V-> +p5006 +tp5007 +a(g184 +V +tp5008 +a(g363 +VSpec +p5009 +tp5010 +a(g184 +V\u000a +tp5011 +a(g42 +V> +p5012 +tp5013 +a(g184 +V +tp5014 +a(g50 +VchoicesToSpec +p5015 +tp5016 +a(g184 +V +tp5017 +a(g342 +V= +tp5018 +a(g184 +V +tp5019 +a(g363 +VSpec +p5020 +tp5021 +a(g184 +V +tp5022 +a(g338 +V. +tp5023 +a(g184 +V +tp5024 +a(g197 +V( +tp5025 +a(g47 +Vmap +p5026 +tp5027 +a(g184 +V +tp5028 +a(g47 +VgetHint +p5029 +tp5030 +a(g197 +V) +tp5031 +a(g184 +V\u000a +tp5032 +a(g184 +V\u000a +tp5033 +a(g184 +V +tp5034 +a(g184 +V This is the heart of the algorithm. I have altered it to return only\u000a +p5035 +tp5036 +a(g184 +V +tp5037 +a(g184 +V the first solution, or produce an error if none is found.\u000a +p5038 +tp5039 +a(g184 +V +tp5040 +a(g184 +V\u000a +tp5041 +a(g184 +V +tp5042 +a(g184 +V Knuth used several goto links to do what is done below with tail\u000a +p5043 +tp5044 +a(g184 +V +tp5045 +a(g184 +V recursion.\u000a +p5046 +tp5047 +a(g184 +V +tp5048 +a(g184 +V\u000a +tp5049 +a(g184 +V +tp5050 +a(g42 +V> +p5051 +tp5052 +a(g184 +V +tp5053 +a(g50 +Vgdance +p5054 +tp5055 +a(g184 +V +tp5056 +a(g342 +V:: +p5057 +tp5058 +a(g184 +V +tp5059 +a(g197 +V( +tp5060 +a(g363 +VHead +p5061 +tp5062 +a(g184 +V +tp5063 +a(g47 +Vst +p5064 +tp5065 +a(g197 +V) +tp5066 +a(g184 +V +tp5067 +a(g342 +V-> +p5068 +tp5069 +a(g184 +V +tp5070 +a(g197 +V( +tp5071 +a(g363 +VST +p5072 +tp5073 +a(g184 +V +tp5074 +a(g47 +Vst +p5075 +tp5076 +a(g197 +V) +tp5077 +a(g184 +V +tp5078 +a(g363 +VSpec +p5079 +tp5080 +a(g184 +V +tp5081 +a(g33 +V-- [Spec] +p5082 +tp5083 +a(g184 +V\u000a +tp5084 +a(g42 +V> +p5085 +tp5086 +a(g184 +V +tp5087 +a(g50 +Vgdance +p5088 +tp5089 +a(g184 +V +tp5090 +a(g47 +Vroot +p5091 +tp5092 +a(g184 +V +tp5093 +a(g342 +V= +tp5094 +a(g184 +V\u000a +tp5095 +a(g42 +V> +p5096 +tp5097 +a(g184 +V +tp5098 +a(g7 +Vlet +p5099 +tp5100 +a(g184 +V\u000a +tp5101 +a(g42 +V> +p5102 +tp5103 +a(g184 +V +tp5104 +a(g50 +Vforward +p5105 +tp5106 +a(g184 +V +tp5107 +a(g47 +Vchoices +p5108 +tp5109 +a(g184 +V +tp5110 +a(g342 +V= +tp5111 +a(g184 +V +tp5112 +a(g7 +Vdo +p5113 +tp5114 +a(g184 +V\u000a +tp5115 +a(g42 +V> +p5116 +tp5117 +a(g184 +V +tp5118 +a(g50 +VmaybeHead +p5119 +tp5120 +a(g184 +V +tp5121 +a(g342 +V<- +p5122 +tp5123 +a(g184 +V +tp5124 +a(g47 +VgetBest +p5125 +tp5126 +a(g184 +V +tp5127 +a(g47 +Vroot +p5128 +tp5129 +a(g184 +V\u000a +tp5130 +a(g42 +V> +p5131 +tp5132 +a(g184 +V +tp5133 +a(g7 +Vcase +p5134 +tp5135 +a(g184 +V +tp5136 +a(g47 +VmaybeHead +p5137 +tp5138 +a(g184 +V +tp5139 +a(g7 +Vof +p5140 +tp5141 +a(g184 +V\u000a +tp5142 +a(g42 +V> +p5143 +tp5144 +a(g184 +V +tp5145 +a(g363 +VNothing +p5146 +tp5147 +a(g184 +V +tp5148 +a(g342 +V-> +p5149 +tp5150 +a(g184 +V +tp5151 +a(g7 +Vif +p5152 +tp5153 +a(g184 +V +tp5154 +a(g47 +Vnull +p5155 +tp5156 +a(g184 +V +tp5157 +a(g47 +Vchoices +p5158 +tp5159 +a(g184 +V\u000a +tp5160 +a(g42 +V> +p5161 +tp5162 +a(g184 +V +tp5163 +a(g7 +Vthen +p5164 +tp5165 +a(g184 +V +tp5166 +a(g54 +Verror +p5167 +tp5168 +a(g184 +V +tp5169 +a(g221 +V" +tp5170 +a(g221 +VNo choices in forward +p5171 +tp5172 +a(g221 +V" +tp5173 +a(g184 +V +tp5174 +a(g33 +V-- return [] -- for [Spec] +p5175 +tp5176 +a(g184 +V\u000a +tp5177 +a(g42 +V> +p5178 +tp5179 +a(g184 +V +tp5180 +a(g7 +Velse +p5181 +tp5182 +a(g184 +V +tp5183 +a(g7 +Vdo +p5184 +tp5185 +a(g184 +V +tp5186 +a(g33 +V-- nextSols <- recover choices -- for [Spec] +p5187 +tp5188 +a(g184 +V\u000a +tp5189 +a(g42 +V> +p5190 +tp5191 +a(g184 +V +tp5192 +a(g50 +Vreturn +p5193 +tp5194 +a(g184 +V +tp5195 +a(g338 +V$ +tp5196 +a(g184 +V +tp5197 +a(g197 +V( +tp5198 +a(g47 +VchoicesToSpec +p5199 +tp5200 +a(g184 +V +tp5201 +a(g47 +Vchoices +p5202 +tp5203 +a(g197 +V) +tp5204 +a(g184 +V +tp5205 +a(g33 +V-- :nextSols -- for [Spec] +p5206 +tp5207 +a(g184 +V\u000a +tp5208 +a(g42 +V> +p5209 +tp5210 +a(g184 +V +tp5211 +a(g363 +VJust +p5212 +tp5213 +a(g184 +V +tp5214 +a(g47 +Vhead +p5215 +tp5216 +a(g184 +V +tp5217 +a(g342 +V-> +p5218 +tp5219 +a(g184 +V +tp5220 +a(g7 +Vdo +p5221 +tp5222 +a(g184 +V +tp5223 +a(g47 +Vcover +p5224 +tp5225 +a(g184 +V +tp5226 +a(g47 +Vhead +p5227 +tp5228 +a(g184 +V\u000a +tp5229 +a(g42 +V> +p5230 +tp5231 +a(g184 +V +tp5232 +a(g50 +VstartRow +p5233 +tp5234 +a(g184 +V +tp5235 +a(g342 +V<- +p5236 +tp5237 +a(g184 +V +tp5238 +a(g47 +VreadSTRef +p5239 +tp5240 +a(g184 +V +tp5241 +a(g197 +V( +tp5242 +a(g47 +Vdown +p5243 +tp5244 +a(g184 +V +tp5245 +a(g197 +V( +tp5246 +a(g47 +VtopNode +p5247 +tp5248 +a(g184 +V +tp5249 +a(g47 +Vhead +p5250 +tp5251 +a(g197 +V) +tp5252 +a(g197 +V) +tp5253 +a(g184 +V\u000a +tp5254 +a(g42 +V> +p5255 +tp5256 +a(g184 +V +tp5257 +a(g50 +Vadvance +p5258 +tp5259 +a(g184 +V +tp5260 +a(g197 +V( +tp5261 +a(g47 +VstartRow +p5262 +tp5263 +a(g363 +V: +tp5264 +a(g47 +Vchoices +p5265 +tp5266 +a(g197 +V) +tp5267 +a(g184 +V\u000a +tp5268 +a(g42 +V> +p5269 +tp5270 +a(g184 +V\u000a +tp5271 +a(g42 +V> +p5272 +tp5273 +a(g184 +V +tp5274 +a(g50 +Vadvance +p5275 +tp5276 +a(g184 +V +tp5277 +a(g47 +Vchoices +p5278 +tp5279 +a(g338 +V@ +tp5280 +a(g197 +V( +tp5281 +a(g47 +VnewRow +p5282 +tp5283 +a(g363 +V: +tp5284 +a(g47 +VoldChoices +p5285 +tp5286 +a(g197 +V) +tp5287 +a(g184 +V +tp5288 +a(g342 +V= +tp5289 +a(g184 +V +tp5290 +a(g7 +Vdo +p5291 +tp5292 +a(g184 +V\u000a +tp5293 +a(g42 +V> +p5294 +tp5295 +a(g184 +V +tp5296 +a(g7 +Vlet +p5297 +tp5298 +a(g184 +V +tp5299 +a(g47 +VendOfRows +p5300 +tp5301 +a(g184 +V +tp5302 +a(g342 +V= +tp5303 +a(g184 +V +tp5304 +a(g47 +VtopNode +p5305 +tp5306 +a(g184 +V +tp5307 +a(g197 +V( +tp5308 +a(g47 +VgetHead +p5309 +tp5310 +a(g184 +V +tp5311 +a(g47 +VnewRow +p5312 +tp5313 +a(g197 +V) +tp5314 +a(g184 +V\u000a +tp5315 +a(g42 +V> +p5316 +tp5317 +a(g184 +V +tp5318 +a(g7 +Vif +p5319 +tp5320 +a(g184 +V +tp5321 +a(g197 +V( +tp5322 +a(g47 +VnewRow +p5323 +tp5324 +a(g184 +V +tp5325 +a(g338 +V== +p5326 +tp5327 +a(g184 +V +tp5328 +a(g47 +VendOfRows +p5329 +tp5330 +a(g197 +V) +tp5331 +a(g184 +V\u000a +tp5332 +a(g42 +V> +p5333 +tp5334 +a(g184 +V +tp5335 +a(g7 +Vthen +p5336 +tp5337 +a(g184 +V +tp5338 +a(g7 +Vdo +p5339 +tp5340 +a(g184 +V +tp5341 +a(g47 +Vuncover +p5342 +tp5343 +a(g184 +V +tp5344 +a(g197 +V( +tp5345 +a(g47 +VgetHead +p5346 +tp5347 +a(g184 +V +tp5348 +a(g47 +VnewRow +p5349 +tp5350 +a(g197 +V) +tp5351 +a(g184 +V\u000a +tp5352 +a(g42 +V> +p5353 +tp5354 +a(g184 +V +tp5355 +a(g7 +Vif +p5356 +tp5357 +a(g184 +V +tp5358 +a(g197 +V( +tp5359 +a(g47 +Vnull +p5360 +tp5361 +a(g184 +V +tp5362 +a(g47 +VoldChoices +p5363 +tp5364 +a(g197 +V) +tp5365 +a(g184 +V\u000a +tp5366 +a(g42 +V> +p5367 +tp5368 +a(g184 +V +tp5369 +a(g7 +Vthen +p5370 +tp5371 +a(g184 +V +tp5372 +a(g54 +Verror +p5373 +tp5374 +a(g184 +V +tp5375 +a(g221 +V" +tp5376 +a(g221 +VNo choices in advance +p5377 +tp5378 +a(g221 +V" +tp5379 +a(g184 +V +tp5380 +a(g33 +V-- return [] -- for [Spec] +p5381 +tp5382 +a(g184 +V\u000a +tp5383 +a(g42 +V> +p5384 +tp5385 +a(g184 +V +tp5386 +a(g7 +Velse +p5387 +tp5388 +a(g184 +V +tp5389 +a(g47 +Vrecover +p5390 +tp5391 +a(g184 +V +tp5392 +a(g47 +VoldChoices +p5393 +tp5394 +a(g184 +V\u000a +tp5395 +a(g42 +V> +p5396 +tp5397 +a(g184 +V +tp5398 +a(g7 +Velse +p5399 +tp5400 +a(g184 +V +tp5401 +a(g7 +Vdo +p5402 +tp5403 +a(g184 +V +tp5404 +a(g47 +VcoverOthers +p5405 +tp5406 +a(g184 +V +tp5407 +a(g47 +VnewRow +p5408 +tp5409 +a(g184 +V\u000a +tp5410 +a(g42 +V> +p5411 +tp5412 +a(g184 +V +tp5413 +a(g50 +Vforward +p5414 +tp5415 +a(g184 +V +tp5416 +a(g47 +Vchoices +p5417 +tp5418 +a(g184 +V\u000a +tp5419 +a(g42 +V> +p5420 +tp5421 +a(g184 +V\u000a +tp5422 +a(g42 +V> +p5423 +tp5424 +a(g184 +V +tp5425 +a(g50 +Vrecover +p5426 +tp5427 +a(g184 +V +tp5428 +a(g197 +V( +tp5429 +a(g47 +VoldRow +p5430 +tp5431 +a(g363 +V: +tp5432 +a(g47 +VoldChoices +p5433 +tp5434 +a(g197 +V) +tp5435 +a(g184 +V +tp5436 +a(g342 +V= +tp5437 +a(g184 +V +tp5438 +a(g7 +Vdo +p5439 +tp5440 +a(g184 +V\u000a +tp5441 +a(g42 +V> +p5442 +tp5443 +a(g184 +V +tp5444 +a(g50 +VuncoverOthers +p5445 +tp5446 +a(g184 +V +tp5447 +a(g47 +VoldRow +p5448 +tp5449 +a(g184 +V\u000a +tp5450 +a(g42 +V> +p5451 +tp5452 +a(g184 +V +tp5453 +a(g50 +VnewRow +p5454 +tp5455 +a(g184 +V +tp5456 +a(g342 +V<- +p5457 +tp5458 +a(g184 +V +tp5459 +a(g47 +VreadSTRef +p5460 +tp5461 +a(g184 +V +tp5462 +a(g197 +V( +tp5463 +a(g47 +Vdown +p5464 +tp5465 +a(g184 +V +tp5466 +a(g47 +VoldRow +p5467 +tp5468 +a(g197 +V) +tp5469 +a(g184 +V\u000a +tp5470 +a(g42 +V> +p5471 +tp5472 +a(g184 +V +tp5473 +a(g50 +Vadvance +p5474 +tp5475 +a(g184 +V +tp5476 +a(g197 +V( +tp5477 +a(g47 +VnewRow +p5478 +tp5479 +a(g363 +V: +tp5480 +a(g47 +VoldChoices +p5481 +tp5482 +a(g197 +V) +tp5483 +a(g184 +V\u000a +tp5484 +a(g42 +V> +p5485 +tp5486 +a(g184 +V\u000a +tp5487 +a(g42 +V> +p5488 +tp5489 +a(g184 +V +tp5490 +a(g7 +Vin +p5491 +tp5492 +a(g184 +V +tp5493 +a(g47 +Vforward +p5494 +tp5495 +a(g184 +V +tp5496 +a(g363 +V[] +p5497 +tp5498 +a(g184 +V\u000a +tp5499 +a(g184 +V\u000a +tp5500 +a(g184 +V +tp5501 +a(g184 +V\u000a +tp5502 +a(g184 +V +tp5503 +a(g184 +V Convert a text board into a Spec\u000a +p5504 +tp5505 +a(g184 +V +tp5506 +a(g184 +V\u000a +tp5507 +a(g184 +V +tp5508 +a(g42 +V> +p5509 +tp5510 +a(g184 +V +tp5511 +a(g50 +VparseBoard +p5512 +tp5513 +a(g184 +V +tp5514 +a(g342 +V:: +p5515 +tp5516 +a(g184 +V +tp5517 +a(g363 +VString +p5518 +tp5519 +a(g184 +V +tp5520 +a(g342 +V-> +p5521 +tp5522 +a(g184 +V +tp5523 +a(g363 +VSpec +p5524 +tp5525 +a(g184 +V\u000a +tp5526 +a(g42 +V> +p5527 +tp5528 +a(g184 +V +tp5529 +a(g50 +VparseBoard +p5530 +tp5531 +a(g184 +V +tp5532 +a(g47 +Vs +tp5533 +a(g184 +V +tp5534 +a(g342 +V= +tp5535 +a(g184 +V +tp5536 +a(g363 +VSpec +p5537 +tp5538 +a(g184 +V +tp5539 +a(g197 +V( +tp5540 +a(g47 +Vzip +p5541 +tp5542 +a(g184 +V +tp5543 +a(g47 +Vrcs +p5544 +tp5545 +a(g184 +V +tp5546 +a(g47 +Vvs'check +p5547 +tp5548 +a(g197 +V) +tp5549 +a(g184 +V\u000a +tp5550 +a(g42 +V> +p5551 +tp5552 +a(g184 +V +tp5553 +a(g7 +Vwhere +p5554 +tp5555 +a(g184 +V +tp5556 +a(g47 +Vrcs +p5557 +tp5558 +a(g184 +V +tp5559 +a(g342 +V:: +p5560 +tp5561 +a(g184 +V +tp5562 +a(g197 +V[ +tp5563 +a(g197 +V( +tp5564 +a(g363 +VR +tp5565 +a(g197 +V, +tp5566 +a(g363 +VC +tp5567 +a(g197 +V) +tp5568 +a(g197 +V] +tp5569 +a(g184 +V\u000a +tp5570 +a(g42 +V> +p5571 +tp5572 +a(g184 +V +tp5573 +a(g50 +Vrcs +p5574 +tp5575 +a(g184 +V +tp5576 +a(g342 +V= +tp5577 +a(g184 +V +tp5578 +a(g197 +V[ +tp5579 +a(g184 +V +tp5580 +a(g197 +V( +tp5581 +a(g363 +VR +tp5582 +a(g184 +V +tp5583 +a(g47 +Vr +tp5584 +a(g197 +V, +tp5585 +a(g363 +VC +tp5586 +a(g184 +V +tp5587 +a(g47 +Vc +tp5588 +a(g197 +V) +tp5589 +a(g184 +V +tp5590 +a(g338 +V| +tp5591 +a(g184 +V +tp5592 +a(g47 +Vr +tp5593 +a(g184 +V +tp5594 +a(g342 +V<- +p5595 +tp5596 +a(g184 +V +tp5597 +a(g47 +Vrng +p5598 +tp5599 +a(g197 +V, +tp5600 +a(g184 +V +tp5601 +a(g47 +Vc +tp5602 +a(g184 +V +tp5603 +a(g342 +V<- +p5604 +tp5605 +a(g184 +V +tp5606 +a(g47 +Vrng +p5607 +tp5608 +a(g184 +V +tp5609 +a(g197 +V] +tp5610 +a(g184 +V\u000a +tp5611 +a(g42 +V> +p5612 +tp5613 +a(g184 +V +tp5614 +a(g50 +VisUnset +p5615 +tp5616 +a(g184 +V +tp5617 +a(g47 +Vc +tp5618 +a(g184 +V +tp5619 +a(g342 +V= +tp5620 +a(g184 +V +tp5621 +a(g197 +V( +tp5622 +a(g47 +Vc +tp5623 +a(g338 +V== +p5624 +tp5625 +a(g263 +V' +tp5626 +a(g263 +V. +tp5627 +a(g263 +V' +tp5628 +a(g197 +V) +tp5629 +a(g184 +V +tp5630 +a(g338 +V|| +p5631 +tp5632 +a(g184 +V +tp5633 +a(g197 +V( +tp5634 +a(g47 +Vc +tp5635 +a(g338 +V== +p5636 +tp5637 +a(g263 +V' +tp5638 +a(g263 +V +tp5639 +a(g263 +V' +tp5640 +a(g197 +V) +tp5641 +a(g184 +V +tp5642 +a(g338 +V|| +p5643 +tp5644 +a(g184 +V +tp5645 +a(g197 +V( +tp5646 +a(g47 +Vc +tp5647 +a(g338 +V== +p5648 +tp5649 +a(g263 +V' +tp5650 +a(g263 +V0 +tp5651 +a(g263 +V' +tp5652 +a(g197 +V) +tp5653 +a(g184 +V\u000a +tp5654 +a(g42 +V> +p5655 +tp5656 +a(g184 +V +tp5657 +a(g50 +VisHint +p5658 +tp5659 +a(g184 +V +tp5660 +a(g47 +Vc +tp5661 +a(g184 +V +tp5662 +a(g342 +V= +tp5663 +a(g184 +V +tp5664 +a(g197 +V( +tp5665 +a(g263 +V' +tp5666 +a(g263 +V1 +tp5667 +a(g263 +V' +tp5668 +a(g338 +V<= +p5669 +tp5670 +a(g47 +Vc +tp5671 +a(g197 +V) +tp5672 +a(g184 +V +tp5673 +a(g338 +V&& +p5674 +tp5675 +a(g184 +V +tp5676 +a(g197 +V( +tp5677 +a(g47 +Vc +tp5678 +a(g338 +V<= +p5679 +tp5680 +a(g263 +V' +tp5681 +a(g263 +V9 +tp5682 +a(g263 +V' +tp5683 +a(g197 +V) +tp5684 +a(g184 +V\u000a +tp5685 +a(g42 +V> +p5686 +tp5687 +a(g184 +V +tp5688 +a(g50 +Vcs +p5689 +tp5690 +a(g184 +V +tp5691 +a(g342 +V= +tp5692 +a(g184 +V +tp5693 +a(g47 +Vtake +p5694 +tp5695 +a(g184 +V +tp5696 +a(g313 +V81 +p5697 +tp5698 +a(g184 +V +tp5699 +a(g338 +V$ +tp5700 +a(g184 +V +tp5701 +a(g47 +Vfilter +p5702 +tp5703 +a(g184 +V +tp5704 +a(g197 +V( +tp5705 +a(g50 +V\u005c +tp5706 +a(g47 +Vc +tp5707 +a(g184 +V +tp5708 +a(g342 +V-> +p5709 +tp5710 +a(g184 +V +tp5711 +a(g47 +VisUnset +p5712 +tp5713 +a(g184 +V +tp5714 +a(g47 +Vc +tp5715 +a(g184 +V +tp5716 +a(g338 +V|| +p5717 +tp5718 +a(g184 +V +tp5719 +a(g47 +VisHint +p5720 +tp5721 +a(g184 +V +tp5722 +a(g47 +Vc +tp5723 +a(g197 +V) +tp5724 +a(g184 +V +tp5725 +a(g47 +Vs +tp5726 +a(g184 +V\u000a +tp5727 +a(g42 +V> +p5728 +tp5729 +a(g184 +V +tp5730 +a(g50 +Vvs +p5731 +tp5732 +a(g184 +V +tp5733 +a(g342 +V:: +p5734 +tp5735 +a(g184 +V +tp5736 +a(g197 +V[ +tp5737 +a(g363 +VV +tp5738 +a(g197 +V] +tp5739 +a(g184 +V\u000a +tp5740 +a(g42 +V> +p5741 +tp5742 +a(g184 +V +tp5743 +a(g50 +Vvs +p5744 +tp5745 +a(g184 +V +tp5746 +a(g342 +V= +tp5747 +a(g184 +V +tp5748 +a(g47 +Vmap +p5749 +tp5750 +a(g184 +V +tp5751 +a(g197 +V( +tp5752 +a(g50 +V\u005c +tp5753 +a(g47 +Vc +tp5754 +a(g184 +V +tp5755 +a(g342 +V-> +p5756 +tp5757 +a(g184 +V +tp5758 +a(g7 +Vif +p5759 +tp5760 +a(g184 +V +tp5761 +a(g47 +VisUnset +p5762 +tp5763 +a(g184 +V +tp5764 +a(g47 +Vc +tp5765 +a(g184 +V +tp5766 +a(g7 +Vthen +p5767 +tp5768 +a(g184 +V +tp5769 +a(g47 +Vu +tp5770 +a(g184 +V +tp5771 +a(g7 +Velse +p5772 +tp5773 +a(g184 +V +tp5774 +a(g197 +V( +tp5775 +a(g363 +VV +tp5776 +a(g184 +V +tp5777 +a(g338 +V$ +tp5778 +a(g184 +V +tp5779 +a(g47 +VdigitToInt +p5780 +tp5781 +a(g184 +V +tp5782 +a(g47 +Vc +tp5783 +a(g197 +V) +tp5784 +a(g197 +V) +tp5785 +a(g184 +V +tp5786 +a(g47 +Vcs +p5787 +tp5788 +a(g184 +V\u000a +tp5789 +a(g42 +V> +p5790 +tp5791 +a(g184 +V +tp5792 +a(g50 +Vvs'check +p5793 +tp5794 +a(g184 +V +tp5795 +a(g342 +V= +tp5796 +a(g184 +V +tp5797 +a(g7 +Vif +p5798 +tp5799 +a(g184 +V +tp5800 +a(g313 +V81 +p5801 +tp5802 +a(g338 +V== +p5803 +tp5804 +a(g47 +Vlength +p5805 +tp5806 +a(g184 +V +tp5807 +a(g47 +Vvs +p5808 +tp5809 +a(g184 +V +tp5810 +a(g7 +Vthen +p5811 +tp5812 +a(g184 +V +tp5813 +a(g47 +Vvs +p5814 +tp5815 +a(g184 +V +tp5816 +a(g7 +Velse +p5817 +tp5818 +a(g184 +V +tp5819 +a(g54 +Verror +p5820 +tp5821 +a(g184 +V +tp5822 +a(g197 +V( +tp5823 +a(g221 +V" +tp5824 +a(g221 +Vparse of board failed +p5825 +tp5826 +a(g247 +V\u005c +tp5827 +a(g247 +Vn +tp5828 +a(g221 +V" +tp5829 +a(g338 +V++ +p5830 +tp5831 +a(g47 +Vs +tp5832 +a(g197 +V) +tp5833 +a(g184 +V\u000a +tp5834 +a(g184 +V\u000a +tp5835 +a(g184 +V +tp5836 +a(g184 +V This is quite useful as a utility function which partitions the list into groups of n elements.\u000a +p5837 +tp5838 +a(g184 +V +tp5839 +a(g184 +V Used by showSpec.\u000a +p5840 +tp5841 +a(g184 +V +tp5842 +a(g184 +V\u000a +tp5843 +a(g184 +V +tp5844 +a(g42 +V> +p5845 +tp5846 +a(g184 +V +tp5847 +a(g50 +VgroupTake +p5848 +tp5849 +a(g184 +V +tp5850 +a(g342 +V:: +p5851 +tp5852 +a(g184 +V +tp5853 +a(g363 +VInt +p5854 +tp5855 +a(g342 +V-> +p5856 +tp5857 +a(g197 +V[ +tp5858 +a(g47 +Va +tp5859 +a(g197 +V] +tp5860 +a(g342 +V-> +p5861 +tp5862 +a(g197 +V[ +tp5863 +a(g197 +V[ +tp5864 +a(g47 +Va +tp5865 +a(g197 +V] +tp5866 +a(g197 +V] +tp5867 +a(g184 +V\u000a +tp5868 +a(g42 +V> +p5869 +tp5870 +a(g184 +V +tp5871 +a(g50 +VgroupTake +p5872 +tp5873 +a(g184 +V +tp5874 +a(g47 +Vn +tp5875 +a(g184 +V +tp5876 +a(g47 +Vb +tp5877 +a(g184 +V +tp5878 +a(g342 +V= +tp5879 +a(g184 +V +tp5880 +a(g47 +Vunfoldr +p5881 +tp5882 +a(g184 +V +tp5883 +a(g47 +Vfoo +p5884 +tp5885 +a(g184 +V +tp5886 +a(g47 +Vb +tp5887 +a(g184 +V\u000a +tp5888 +a(g42 +V> +p5889 +tp5890 +a(g184 +V +tp5891 +a(g7 +Vwhere +p5892 +tp5893 +a(g184 +V +tp5894 +a(g47 +Vfoo +p5895 +tp5896 +a(g184 +V +tp5897 +a(g363 +V[] +p5898 +tp5899 +a(g184 +V +tp5900 +a(g342 +V= +tp5901 +a(g184 +V +tp5902 +a(g363 +VNothing +p5903 +tp5904 +a(g184 +V\u000a +tp5905 +a(g42 +V> +p5906 +tp5907 +a(g184 +V +tp5908 +a(g50 +Vfoo +p5909 +tp5910 +a(g184 +V +tp5911 +a(g47 +Vb +tp5912 +a(g184 +V +tp5913 +a(g342 +V= +tp5914 +a(g184 +V +tp5915 +a(g363 +VJust +p5916 +tp5917 +a(g184 +V +tp5918 +a(g197 +V( +tp5919 +a(g47 +VsplitAt +p5920 +tp5921 +a(g184 +V +tp5922 +a(g47 +Vn +tp5923 +a(g184 +V +tp5924 +a(g47 +Vb +tp5925 +a(g197 +V) +tp5926 +a(g184 +V\u000a +tp5927 +a(g184 +V \u000a +p5928 +tp5929 +a(g184 +V +tp5930 +a(g184 +V Make a nice 2D ascii board from the Spec (not used at the moment)\u000a +p5931 +tp5932 +a(g184 +V +tp5933 +a(g184 +V\u000a +tp5934 +a(g184 +V +tp5935 +a(g42 +V> +p5936 +tp5937 +a(g184 +V +tp5938 +a(g50 +VshowSpec +p5939 +tp5940 +a(g184 +V +tp5941 +a(g342 +V:: +p5942 +tp5943 +a(g184 +V +tp5944 +a(g363 +VSpec +p5945 +tp5946 +a(g184 +V +tp5947 +a(g342 +V-> +p5948 +tp5949 +a(g184 +V +tp5950 +a(g363 +VString +p5951 +tp5952 +a(g184 +V\u000a +tp5953 +a(g42 +V> +p5954 +tp5955 +a(g184 +V +tp5956 +a(g50 +VshowSpec +p5957 +tp5958 +a(g184 +V +tp5959 +a(g47 +Vspec +p5960 +tp5961 +a(g184 +V +tp5962 +a(g342 +V= +tp5963 +a(g184 +V +tp5964 +a(g7 +Vlet +p5965 +tp5966 +a(g184 +V +tp5967 +a(g47 +Vpa +p5968 +tp5969 +a(g184 +V +tp5970 +a(g342 +V= +tp5971 +a(g184 +V +tp5972 +a(g47 +Vparse +p5973 +tp5974 +a(g184 +V +tp5975 +a(g47 +Vspec +p5976 +tp5977 +a(g184 +V\u000a +tp5978 +a(g42 +V> +p5979 +tp5980 +a(g184 +V +tp5981 +a(g50 +Vg +tp5982 +a(g184 +V +tp5983 +a(g342 +V= +tp5984 +a(g184 +V +tp5985 +a(g47 +VgroupTake +p5986 +tp5987 +a(g184 +V +tp5988 +a(g313 +V9 +tp5989 +a(g184 +V +tp5990 +a(g197 +V( +tp5991 +a(g47 +Vmap +p5992 +tp5993 +a(g184 +V +tp5994 +a(g197 +V( +tp5995 +a(g50 +V\u005c +tp5996 +a(g197 +V( +tp5997 +a(g363 +VV +tp5998 +a(g184 +V +tp5999 +a(g47 +Vv +tp6000 +a(g197 +V) +tp6001 +a(g184 +V +tp6002 +a(g342 +V-> +p6003 +tp6004 +a(g184 +V +tp6005 +a(g7 +Vif +p6006 +tp6007 +a(g184 +V +tp6008 +a(g47 +Vv +tp6009 +a(g184 +V +tp6010 +a(g338 +V== +p6011 +tp6012 +a(g184 +V +tp6013 +a(g313 +V0 +tp6014 +a(g184 +V +tp6015 +a(g7 +Vthen +p6016 +tp6017 +a(g184 +V +tp6018 +a(g263 +V' +tp6019 +a(g263 +V. +tp6020 +a(g263 +V' +tp6021 +a(g184 +V +tp6022 +a(g7 +Velse +p6023 +tp6024 +a(g184 +V +tp6025 +a(g47 +VintToDigit +p6026 +tp6027 +a(g184 +V +tp6028 +a(g47 +Vv +tp6029 +a(g197 +V) +tp6030 +a(g184 +V +tp6031 +a(g338 +V$ +tp6032 +a(g184 +V +tp6033 +a(g47 +Velems +p6034 +tp6035 +a(g184 +V +tp6036 +a(g47 +Vpa +p6037 +tp6038 +a(g197 +V) +tp6039 +a(g184 +V\u000a +tp6040 +a(g42 +V> +p6041 +tp6042 +a(g184 +V +tp6043 +a(g50 +VaddV +p6044 +tp6045 +a(g184 +V +tp6046 +a(g47 +Vline +p6047 +tp6048 +a(g184 +V +tp6049 +a(g342 +V= +tp6050 +a(g184 +V +tp6051 +a(g47 +Vconcat +p6052 +tp6053 +a(g184 +V +tp6054 +a(g338 +V$ +tp6055 +a(g184 +V +tp6056 +a(g47 +Vintersperse +p6057 +tp6058 +a(g184 +V +tp6059 +a(g221 +V" +tp6060 +a(g221 +V| +tp6061 +a(g221 +V" +tp6062 +a(g184 +V +tp6063 +a(g197 +V( +tp6064 +a(g47 +VgroupTake +p6065 +tp6066 +a(g184 +V +tp6067 +a(g313 +V3 +tp6068 +a(g184 +V +tp6069 +a(g47 +Vline +p6070 +tp6071 +a(g197 +V) +tp6072 +a(g184 +V\u000a +tp6073 +a(g42 +V> +p6074 +tp6075 +a(g184 +V +tp6076 +a(g50 +VaddH +p6077 +tp6078 +a(g184 +V +tp6079 +a(g47 +Vlist +p6080 +tp6081 +a(g184 +V +tp6082 +a(g342 +V= +tp6083 +a(g184 +V +tp6084 +a(g47 +Vconcat +p6085 +tp6086 +a(g184 +V +tp6087 +a(g338 +V$ +tp6088 +a(g184 +V +tp6089 +a(g47 +Vintersperse +p6090 +tp6091 +a(g184 +V +tp6092 +a(g197 +V[ +tp6093 +a(g221 +V" +tp6094 +a(g221 +V---+---+--- +p6095 +tp6096 +a(g221 +V" +tp6097 +a(g197 +V] +tp6098 +a(g184 +V +tp6099 +a(g197 +V( +tp6100 +a(g47 +VgroupTake +p6101 +tp6102 +a(g184 +V +tp6103 +a(g313 +V3 +tp6104 +a(g184 +V +tp6105 +a(g47 +Vlist +p6106 +tp6107 +a(g197 +V) +tp6108 +a(g184 +V\u000a +tp6109 +a(g42 +V> +p6110 +tp6111 +a(g184 +V +tp6112 +a(g7 +Vin +p6113 +tp6114 +a(g184 +V +tp6115 +a(g47 +Vunlines +p6116 +tp6117 +a(g184 +V +tp6118 +a(g338 +V$ +tp6119 +a(g184 +V +tp6120 +a(g47 +VaddH +p6121 +tp6122 +a(g184 +V +tp6123 +a(g197 +V( +tp6124 +a(g47 +Vmap +p6125 +tp6126 +a(g184 +V +tp6127 +a(g47 +VaddV +p6128 +tp6129 +a(g184 +V +tp6130 +a(g47 +Vg +tp6131 +a(g197 +V) +tp6132 +a(g184 +V\u000a +tp6133 +a(g184 +V\u000a +tp6134 +a(g184 +V +tp6135 +a(g184 +V One line display\u000a +p6136 +tp6137 +a(g184 +V +tp6138 +a(g184 +V\u000a +tp6139 +a(g184 +V +tp6140 +a(g42 +V> +p6141 +tp6142 +a(g184 +V +tp6143 +a(g50 +VshowCompact +p6144 +tp6145 +a(g184 +V +tp6146 +a(g47 +Vspec +p6147 +tp6148 +a(g184 +V +tp6149 +a(g342 +V= +tp6150 +a(g184 +V +tp6151 +a(g47 +Vmap +p6152 +tp6153 +a(g184 +V +tp6154 +a(g197 +V( +tp6155 +a(g50 +V\u005c +tp6156 +a(g197 +V( +tp6157 +a(g363 +VV +tp6158 +a(g184 +V +tp6159 +a(g47 +Vv +tp6160 +a(g197 +V) +tp6161 +a(g184 +V +tp6162 +a(g342 +V-> +p6163 +tp6164 +a(g184 +V +tp6165 +a(g47 +VintToDigit +p6166 +tp6167 +a(g184 +V +tp6168 +a(g47 +Vv +tp6169 +a(g197 +V) +tp6170 +a(g184 +V +tp6171 +a(g197 +V( +tp6172 +a(g47 +Velems +p6173 +tp6174 +a(g184 +V +tp6175 +a(g197 +V( +tp6176 +a(g47 +Vparse +p6177 +tp6178 +a(g184 +V +tp6179 +a(g47 +Vspec +p6180 +tp6181 +a(g197 +V) +tp6182 +a(g197 +V) +tp6183 +a(g184 +V\u000a +tp6184 +a(g184 +V\u000a +tp6185 +a(g184 +V +tp6186 +a(g184 +V The main routine is designed to handle the input from http://www.csse.uwa.edu.au/~gordon/sudoku17\u000a +p6187 +tp6188 +a(g184 +V +tp6189 +a(g184 +V\u000a +tp6190 +a(g184 +V +tp6191 +a(g42 +V> +p6192 +tp6193 +a(g184 +V +tp6194 +a(g50 +Vmain +p6195 +tp6196 +a(g184 +V +tp6197 +a(g342 +V= +tp6198 +a(g184 +V +tp6199 +a(g7 +Vdo +p6200 +tp6201 +a(g184 +V\u000a +tp6202 +a(g42 +V> +p6203 +tp6204 +a(g184 +V +tp6205 +a(g50 +Vall +p6206 +tp6207 +a(g184 +V +tp6208 +a(g342 +V<- +p6209 +tp6210 +a(g184 +V +tp6211 +a(g47 +VgetContents +p6212 +tp6213 +a(g184 +V\u000a +tp6214 +a(g42 +V> +p6215 +tp6216 +a(g184 +V +tp6217 +a(g7 +Vlet +p6218 +tp6219 +a(g184 +V +tp6220 +a(g47 +Vpuzzles +p6221 +tp6222 +a(g184 +V +tp6223 +a(g342 +V= +tp6224 +a(g184 +V +tp6225 +a(g47 +Vzip +p6226 +tp6227 +a(g184 +V +tp6228 +a(g197 +V[ +tp6229 +a(g313 +V1 +tp6230 +a(g338 +V.. +p6231 +tp6232 +a(g197 +V] +tp6233 +a(g184 +V +tp6234 +a(g197 +V( +tp6235 +a(g47 +Vmap +p6236 +tp6237 +a(g184 +V +tp6238 +a(g47 +VparseBoard +p6239 +tp6240 +a(g184 +V +tp6241 +a(g197 +V( +tp6242 +a(g47 +Vlines +p6243 +tp6244 +a(g184 +V +tp6245 +a(g47 +Vall +p6246 +tp6247 +a(g197 +V) +tp6248 +a(g197 +V) +tp6249 +a(g184 +V\u000a +tp6250 +a(g42 +V> +p6251 +tp6252 +a(g184 +V +tp6253 +a(g50 +Vroot +p6254 +tp6255 +a(g184 +V +tp6256 +a(g342 +V<- +p6257 +tp6258 +a(g184 +V +tp6259 +a(g47 +VstToIO +p6260 +tp6261 +a(g184 +V +tp6262 +a(g47 +VinitHA +p6263 +tp6264 +a(g184 +V\u000a +tp6265 +a(g42 +V> +p6266 +tp6267 +a(g184 +V +tp6268 +a(g7 +Vlet +p6269 +tp6270 +a(g184 +V +tp6271 +a(g47 +Vact +p6272 +tp6273 +a(g184 +V +tp6274 +a(g342 +V:: +p6275 +tp6276 +a(g184 +V +tp6277 +a(g197 +V( +tp6278 +a(g363 +VInt +p6279 +tp6280 +a(g197 +V, +tp6281 +a(g363 +VSpec +p6282 +tp6283 +a(g197 +V) +tp6284 +a(g184 +V +tp6285 +a(g342 +V-> +p6286 +tp6287 +a(g184 +V +tp6288 +a(g363 +VIO +p6289 +tp6290 +a(g184 +V +tp6291 +a(g85 +V() +p6292 +tp6293 +a(g184 +V\u000a +tp6294 +a(g42 +V> +p6295 +tp6296 +a(g184 +V +tp6297 +a(g50 +Vact +p6298 +tp6299 +a(g184 +V +tp6300 +a(g197 +V( +tp6301 +a(g47 +Vi +tp6302 +a(g197 +V, +tp6303 +a(g47 +Vspec +p6304 +tp6305 +a(g197 +V) +tp6306 +a(g184 +V +tp6307 +a(g342 +V= +tp6308 +a(g184 +V +tp6309 +a(g7 +Vdo +p6310 +tp6311 +a(g184 +V\u000a +tp6312 +a(g42 +V> +p6313 +tp6314 +a(g184 +V +tp6315 +a(g50 +Vanswer +p6316 +tp6317 +a(g184 +V +tp6318 +a(g342 +V<- +p6319 +tp6320 +a(g184 +V +tp6321 +a(g47 +VstToIO +p6322 +tp6323 +a(g184 +V +tp6324 +a(g197 +V( +tp6325 +a(g7 +Vdo +p6326 +tp6327 +a(g184 +V +tp6328 +a(g47 +VinitRoot +p6329 +tp6330 +a(g184 +V +tp6331 +a(g47 +Vroot +p6332 +tp6333 +a(g184 +V +tp6334 +a(g47 +Vspec +p6335 +tp6336 +a(g184 +V \u000a +p6337 +tp6338 +a(g42 +V> +p6339 +tp6340 +a(g184 +V +tp6341 +a(g50 +Vanswer +p6342 +tp6343 +a(g184 +V +tp6344 +a(g342 +V<- +p6345 +tp6346 +a(g184 +V +tp6347 +a(g47 +Vgdance +p6348 +tp6349 +a(g184 +V +tp6350 +a(g197 +V( +tp6351 +a(g47 +Vfst +p6352 +tp6353 +a(g184 +V +tp6354 +a(g47 +Vroot +p6355 +tp6356 +a(g197 +V) +tp6357 +a(g184 +V \u000a +p6358 +tp6359 +a(g42 +V> +p6360 +tp6361 +a(g184 +V +tp6362 +a(g50 +VresetRoot +p6363 +tp6364 +a(g184 +V +tp6365 +a(g47 +Vroot +p6366 +tp6367 +a(g184 +V\u000a +tp6368 +a(g42 +V> +p6369 +tp6370 +a(g184 +V +tp6371 +a(g50 +Vreturn +p6372 +tp6373 +a(g184 +V +tp6374 +a(g47 +Vanswer +p6375 +tp6376 +a(g197 +V) +tp6377 +a(g184 +V\u000a +tp6378 +a(g42 +V> +p6379 +tp6380 +a(g184 +V +tp6381 +a(g50 +Vprint +p6382 +tp6383 +a(g184 +V +tp6384 +a(g197 +V( +tp6385 +a(g47 +Vi +tp6386 +a(g197 +V, +tp6387 +a(g47 +VshowCompact +p6388 +tp6389 +a(g184 +V +p6390 +tp6391 +a(g47 +Vanswer +p6392 +tp6393 +a(g197 +V) +tp6394 +a(g184 +V\u000a +tp6395 +a(g42 +V> +p6396 +tp6397 +a(g184 +V +tp6398 +a(g50 +VmapM_ +p6399 +tp6400 +a(g184 +V +tp6401 +a(g47 +Vact +p6402 +tp6403 +a(g184 +V +tp6404 +a(g47 +Vpuzzles +p6405 +tp6406 +a(g184 +V\u000a +tp6407 +a(g184 +V\u000a +tp6408 +a(g184 +V +tp6409 +a(g42 +V> +p6410 +tp6411 +a(g184 +V +tp6412 +a(g50 +Vinits' +p6413 +tp6414 +a(g184 +V +tp6415 +a(g47 +Vxn +p6416 +tp6417 +a(g338 +V@ +tp6418 +a(g197 +V( +tp6419 +a(g7 +V_ +tp6420 +a(g363 +V: +tp6421 +a(g7 +V_ +tp6422 +a(g197 +V) +tp6423 +a(g184 +V +tp6424 +a(g342 +V= +tp6425 +a(g184 +V +tp6426 +a(g47 +VzipWith +p6427 +tp6428 +a(g184 +V +tp6429 +a(g47 +Vtake +p6430 +tp6431 +a(g184 +V +tp6432 +a(g197 +V[ +tp6433 +a(g313 +V0 +tp6434 +a(g338 +V.. +p6435 +tp6436 +a(g197 +V] +tp6437 +a(g184 +V +tp6438 +a(g338 +V$ +tp6439 +a(g184 +V +tp6440 +a(g47 +Vmap +p6441 +tp6442 +a(g184 +V +tp6443 +a(g197 +V( +tp6444 +a(g47 +Vconst +p6445 +tp6446 +a(g184 +V +tp6447 +a(g47 +Vxn +p6448 +tp6449 +a(g197 +V) +tp6450 +a(g184 +V +tp6451 +a(g338 +V$ +tp6452 +a(g184 +V +tp6453 +a(g47 +Vundefined +p6454 +tp6455 +a(g363 +V: +tp6456 +a(g47 +Vxn +p6457 +tp6458 +a(g184 +V\u000a +tp6459 +a(g42 +V> +p6460 +tp6461 +a(g184 +V +tp6462 +a(g50 +Vinits' +p6463 +tp6464 +a(g184 +V +tp6465 +a(g7 +V_ +tp6466 +a(g184 +V +p6467 +tp6468 +a(g342 +V= +tp6469 +a(g184 +V +tp6470 +a(g47 +Vundefined +p6471 +tp6472 +a(g184 +V\u000a +tp6473 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/Errors.scala b/tests/examplefiles/output/Errors.scala new file mode 100644 index 0000000..fe8579a --- /dev/null +++ b/tests/examplefiles/output/Errors.scala @@ -0,0 +1,1674 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsS'Preproc' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag355 +ag358 +ag7 +atRp366 +sg362 +g363 +sbsbV/* +p367 +tp368 +a(g7 +V This file +p369 +tp370 +a(g7 +V/* +p371 +tp372 +a(g7 +V which is totally legal scala +p373 +tp374 +a(g7 +V*/ +p375 +tp376 +a(g7 +V will not be highlighted\u000a correcty by pygments +p377 +tp378 +a(g7 +V*/ +p379 +tp380 +a(g189 +V\u000a +tp381 +a(g189 +V\u000a +tp382 +a(g111 +Vobject +p383 +tp384 +a(g189 +V +tp385 +a(g106 +V\u2318 +tp386 +a(g189 +V +tp387 +a(g344 +V{ +tp388 +a(g189 +V\u000a +tp389 +a(g189 +V +p390 +tp391 +a(g111 +Vval +p392 +tp393 +a(g189 +V +tp394 +a(g18 +V`interface` +p395 +tp396 +a(g189 +V +tp397 +a(g111 +V= +tp398 +a(g189 +V +tp399 +a(g226 +V"""\u000aA\u000a"Multiline"\u000aString\u000a""" +p400 +tp401 +a(g189 +V\u000a +tp402 +a(g189 +V\u000a +tp403 +a(g189 +V +p404 +tp405 +a(g111 +Vval +p406 +tp407 +a(g189 +V +tp408 +a(g18 +Vfoo_+ +p409 +tp410 +a(g189 +V +tp411 +a(g111 +V= +tp412 +a(g189 +V +tp413 +a(g226 +V"foo plus" +p414 +tp415 +a(g189 +V\u000a +tp416 +a(g189 +V +p417 +tp418 +a(g111 +Vval +p419 +tp420 +a(g189 +V +tp421 +a(g18 +Vfoo_\u232c\u232c +p422 +tp423 +a(g189 +V +tp424 +a(g111 +V= +tp425 +a(g189 +V +tp426 +a(g226 +V"double benzene" +p427 +tp428 +a(g189 +V\u000a +tp429 +a(g189 +V\u000a +tp430 +a(g189 +V +p431 +tp432 +a(g111 +Vdef +p433 +tp434 +a(g189 +V +tp435 +a(g18 +Vmain +p436 +tp437 +a(g344 +V( +tp438 +a(g18 +Vargv +p439 +tp440 +a(g111 +V: +tp441 +a(g189 +V +tp442 +a(g139 +VArray +p443 +tp444 +a(g344 +V[ +tp445 +a(g139 +VString +p446 +tp447 +a(g344 +V] +tp448 +a(g344 +V) +tp449 +a(g189 +V +tp450 +a(g344 +V{ +tp451 +a(g189 +V\u000a +tp452 +a(g189 +V +p453 +tp454 +a(g18 +Vprintln +p455 +tp456 +a(g344 +V( +tp457 +a(g344 +V\u2318 +tp458 +a(g344 +V. +tp459 +a(g18 +Vinterface +p460 +tp461 +a(g189 +V +tp462 +a(g344 +V+ +tp463 +a(g189 +V +tp464 +a(g226 +V" " +p465 +tp466 +a(g189 +V +tp467 +a(g344 +V+ +tp468 +a(g189 +V +tp469 +a(g18 +Vfoo_+ +p470 +tp471 +a(g189 +V +tp472 +a(g344 +V+ +tp473 +a(g189 +V +tp474 +a(g226 +V" " +p475 +tp476 +a(g189 +V +tp477 +a(g344 +V+ +tp478 +a(g189 +V +tp479 +a(g18 +Vfoo_\u232c\u232c +p480 +tp481 +a(g189 +V +tp482 +a(g344 +V) +tp483 +a(g189 +V\u000a +tp484 +a(g189 +V +p485 +tp486 +a(g344 +V} +tp487 +a(g189 +V\u000a +tp488 +a(g344 +V} +tp489 +a(g189 +V\u000a +tp490 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/Intro.java b/tests/examplefiles/output/Intro.java new file mode 100644 index 0000000..c583c83 --- /dev/null +++ b/tests/examplefiles/output/Intro.java @@ -0,0 +1,61762 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsS'Preproc' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag355 +ag358 +ag7 +atRp366 +sg362 +g363 +sbsbV/*\u000a * Copyright (c) 2003 Sun Microsystems, Inc. All Rights Reserved.\u000a * \u000a * Redistribution and use in source and binary forms, with or without\u000a * modification, are permitted provided that the following conditions\u000a * are met:\u000a * \u000a * -Redistributions of source code must retain the above copyright\u000a * notice, this list of conditions and the following disclaimer.\u000a * \u000a * -Redistribution in binary form must reproduct the above copyright\u000a * notice, this list of conditions and the following disclaimer in\u000a * the documentation and/or other materials provided with the distribution.\u000a * \u000a * Neither the name of Sun Microsystems, Inc. or the names of contributors\u000a * may be used to endorse or promote products derived from this software\u000a * without specific prior written permission.\u000a * \u000a * This software is provided "AS IS," without a warranty of any kind. ALL\u000a * EXPRESS OR IMPLIED CONDITIONS, REPRESENTATIONS AND WARRANTIES, INCLUDING\u000a * ANY IMPLIED WARRANTY OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE\u000a * OR NON-INFRINGEMENT, ARE HEREBY EXCLUDED. SUN AND ITS LICENSORS SHALL NOT\u000a * BE LIABLE FOR ANY DAMAGES OR LIABILITIES SUFFERED BY LICENSEE AS A RESULT\u000a * OF OR RELATING TO USE, MODIFICATION OR DISTRIBUTION OF THE SOFTWARE OR ITS\u000a * DERIVATIVES. IN NO EVENT WILL SUN OR ITS LICENSORS BE LIABLE FOR ANY LOST\u000a * REVENUE, PROFIT OR DATA, OR FOR DIRECT, INDIRECT, SPECIAL, CONSEQUENTIAL,\u000a * INCIDENTAL OR PUNITIVE DAMAGES, HOWEVER CAUSED AND REGARDLESS OF THE THEORY\u000a * OF LIABILITY, ARISING OUT OF THE USE OF OR INABILITY TO USE SOFTWARE, EVEN\u000a * IF SUN HAS BEEN ADVISED OF THE POSSIBILITY OF SUCH DAMAGES.\u000a * \u000a * You acknowledge that Software is not designed, licensed or intended for\u000a * use in the design, construction, operation or maintenance of any nuclear\u000a * facility.\u000a */ +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g189 +V\u000a +tp370 +a(g189 +V\u000a +tp371 +a(g120 +Vpackage +p372 +tp373 +a(g189 +V +tp374 +a(g18 +Vjava2d +p375 +tp376 +a(g344 +V; +tp377 +a(g189 +V\u000a +tp378 +a(g189 +V\u000a +tp379 +a(g120 +Vimport +p380 +tp381 +a(g189 +V +tp382 +a(g99 +Vjava.awt.* +p383 +tp384 +a(g344 +V; +tp385 +a(g189 +V\u000a +tp386 +a(g120 +Vimport +p387 +tp388 +a(g189 +V +tp389 +a(g99 +Vjava.awt.event.* +p390 +tp391 +a(g344 +V; +tp392 +a(g189 +V\u000a +tp393 +a(g120 +Vimport +p394 +tp395 +a(g189 +V +tp396 +a(g99 +Vjava.awt.geom.* +p397 +tp398 +a(g344 +V; +tp399 +a(g189 +V\u000a +tp400 +a(g120 +Vimport +p401 +tp402 +a(g189 +V +tp403 +a(g99 +Vjava.awt.image.BufferedImage +p404 +tp405 +a(g344 +V; +tp406 +a(g189 +V\u000a +tp407 +a(g120 +Vimport +p408 +tp409 +a(g189 +V +tp410 +a(g99 +Vjava.awt.image.DataBuffer +p411 +tp412 +a(g344 +V; +tp413 +a(g189 +V\u000a +tp414 +a(g120 +Vimport +p415 +tp416 +a(g189 +V +tp417 +a(g99 +Vjava.awt.font.* +p418 +tp419 +a(g344 +V; +tp420 +a(g189 +V\u000a +tp421 +a(g120 +Vimport +p422 +tp423 +a(g189 +V +tp424 +a(g99 +Vjavax.swing.* +p425 +tp426 +a(g344 +V; +tp427 +a(g189 +V\u000a +tp428 +a(g120 +Vimport +p429 +tp430 +a(g189 +V +tp431 +a(g99 +Vjavax.swing.border.* +p432 +tp433 +a(g344 +V; +tp434 +a(g189 +V\u000a +tp435 +a(g120 +Vimport +p436 +tp437 +a(g189 +V +tp438 +a(g99 +Vjavax.swing.table.* +p439 +tp440 +a(g344 +V; +tp441 +a(g189 +V\u000a +tp442 +a(g120 +Vimport +p443 +tp444 +a(g189 +V +tp445 +a(g99 +Vjavax.swing.event.* +p446 +tp447 +a(g344 +V; +tp448 +a(g189 +V\u000a +tp449 +a(g120 +Vimport +p450 +tp451 +a(g189 +V +tp452 +a(g99 +Vjava.util.Vector +p453 +tp454 +a(g344 +V; +tp455 +a(g189 +V\u000a +tp456 +a(g120 +Vimport +p457 +tp458 +a(g189 +V +tp459 +a(g99 +Vjava.util.List +p460 +tp461 +a(g344 +V; +tp462 +a(g189 +V\u000a +tp463 +a(g120 +Vimport +p464 +tp465 +a(g189 +V +tp466 +a(g99 +Vjava.util.Arrays +p467 +tp468 +a(g344 +V; +tp469 +a(g189 +V\u000a +tp470 +a(g189 +V\u000a +tp471 +a(g189 +V\u000a +tp472 +a(g189 +V\u000a +tp473 +a(g7 +V/**\u000a * Introduction to the Java2Demo. \u000a *\u000a * @version @(#)Intro.java 1.19 03/06/26\u000a * @author Brian Lichtenwalter\u000a */ +p474 +tp475 +a(g189 +V\u000a +tp476 +a(g131 +Vpublic +p477 +tp478 +a(g189 +V +tp479 +a(g131 +Vclass +p480 +tp481 +a(g189 +V +tp482 +a(g106 +VIntro +p483 +tp484 +a(g189 +V +tp485 +a(g131 +Vextends +p486 +tp487 +a(g189 +V +tp488 +a(g18 +VJPanel +p489 +tp490 +a(g189 +V +tp491 +a(g344 +V{ +tp492 +a(g189 +V\u000a +tp493 +a(g189 +V\u000a +tp494 +a(g189 +V +p495 +tp496 +a(g131 +Vstatic +p497 +tp498 +a(g189 +V +tp499 +a(g18 +VColor +p500 +tp501 +a(g189 +V +tp502 +a(g18 +Vblack +p503 +tp504 +a(g189 +V +tp505 +a(g344 +V= +tp506 +a(g189 +V +tp507 +a(g111 +Vnew +p508 +tp509 +a(g189 +V +tp510 +a(g18 +VColor +p511 +tp512 +a(g344 +V( +tp513 +a(g319 +V20 +p514 +tp515 +a(g344 +V, +tp516 +a(g189 +V +tp517 +a(g319 +V20 +p518 +tp519 +a(g344 +V, +tp520 +a(g189 +V +tp521 +a(g319 +V20 +p522 +tp523 +a(g344 +V) +tp524 +a(g344 +V; +tp525 +a(g189 +V +tp526 +a(g189 +V\u000a +tp527 +a(g189 +V +p528 +tp529 +a(g131 +Vstatic +p530 +tp531 +a(g189 +V +tp532 +a(g18 +VColor +p533 +tp534 +a(g189 +V +tp535 +a(g18 +Vwhite +p536 +tp537 +a(g189 +V +tp538 +a(g344 +V= +tp539 +a(g189 +V +tp540 +a(g111 +Vnew +p541 +tp542 +a(g189 +V +tp543 +a(g18 +VColor +p544 +tp545 +a(g344 +V( +tp546 +a(g319 +V240 +p547 +tp548 +a(g344 +V, +tp549 +a(g189 +V +tp550 +a(g319 +V240 +p551 +tp552 +a(g344 +V, +tp553 +a(g189 +V +tp554 +a(g319 +V255 +p555 +tp556 +a(g344 +V) +tp557 +a(g344 +V; +tp558 +a(g189 +V +tp559 +a(g189 +V\u000a +tp560 +a(g189 +V +p561 +tp562 +a(g131 +Vstatic +p563 +tp564 +a(g189 +V +tp565 +a(g18 +VColor +p566 +tp567 +a(g189 +V +tp568 +a(g18 +Vred +p569 +tp570 +a(g189 +V +tp571 +a(g344 +V= +tp572 +a(g189 +V +tp573 +a(g111 +Vnew +p574 +tp575 +a(g189 +V +tp576 +a(g18 +VColor +p577 +tp578 +a(g344 +V( +tp579 +a(g319 +V149 +p580 +tp581 +a(g344 +V, +tp582 +a(g189 +V +tp583 +a(g319 +V43 +p584 +tp585 +a(g344 +V, +tp586 +a(g189 +V +tp587 +a(g319 +V42 +p588 +tp589 +a(g344 +V) +tp590 +a(g344 +V; +tp591 +a(g189 +V\u000a +tp592 +a(g189 +V +p593 +tp594 +a(g131 +Vstatic +p595 +tp596 +a(g189 +V +tp597 +a(g18 +VColor +p598 +tp599 +a(g189 +V +tp600 +a(g18 +Vblue +p601 +tp602 +a(g189 +V +tp603 +a(g344 +V= +tp604 +a(g189 +V +tp605 +a(g111 +Vnew +p606 +tp607 +a(g189 +V +tp608 +a(g18 +VColor +p609 +tp610 +a(g344 +V( +tp611 +a(g319 +V94 +p612 +tp613 +a(g344 +V, +tp614 +a(g189 +V +tp615 +a(g319 +V105 +p616 +tp617 +a(g344 +V, +tp618 +a(g189 +V +tp619 +a(g319 +V176 +p620 +tp621 +a(g344 +V) +tp622 +a(g344 +V; +tp623 +a(g189 +V +tp624 +a(g189 +V\u000a +tp625 +a(g189 +V +p626 +tp627 +a(g131 +Vstatic +p628 +tp629 +a(g189 +V +tp630 +a(g18 +VColor +p631 +tp632 +a(g189 +V +tp633 +a(g18 +Vyellow +p634 +tp635 +a(g189 +V +tp636 +a(g344 +V= +tp637 +a(g189 +V +tp638 +a(g111 +Vnew +p639 +tp640 +a(g189 +V +tp641 +a(g18 +VColor +p642 +tp643 +a(g344 +V( +tp644 +a(g319 +V255 +p645 +tp646 +a(g344 +V, +tp647 +a(g189 +V +tp648 +a(g319 +V255 +p649 +tp650 +a(g344 +V, +tp651 +a(g189 +V +tp652 +a(g319 +V140 +p653 +tp654 +a(g344 +V) +tp655 +a(g344 +V; +tp656 +a(g189 +V\u000a +tp657 +a(g189 +V\u000a +tp658 +a(g189 +V +p659 +tp660 +a(g131 +Vstatic +p661 +tp662 +a(g189 +V +tp663 +a(g18 +VSurface +p664 +tp665 +a(g189 +V +tp666 +a(g18 +Vsurface +p667 +tp668 +a(g344 +V; +tp669 +a(g189 +V\u000a +tp670 +a(g189 +V +p671 +tp672 +a(g131 +Vprivate +p673 +tp674 +a(g189 +V +tp675 +a(g18 +VScenesTable +p676 +tp677 +a(g189 +V +tp678 +a(g18 +VscenesTable +p679 +tp680 +a(g344 +V; +tp681 +a(g189 +V\u000a +tp682 +a(g189 +V +p683 +tp684 +a(g131 +Vprivate +p685 +tp686 +a(g189 +V +tp687 +a(g139 +Vboolean +p688 +tp689 +a(g189 +V +tp690 +a(g18 +VdoTable +p691 +tp692 +a(g344 +V; +tp693 +a(g189 +V\u000a +tp694 +a(g189 +V\u000a +tp695 +a(g189 +V\u000a +tp696 +a(g189 +V +p697 +tp698 +a(g131 +Vpublic +p699 +tp700 +a(g189 +V +tp701 +a(g21 +VIntro +p702 +tp703 +a(g344 +V( +tp704 +a(g344 +V) +tp705 +a(g189 +V +tp706 +a(g344 +V{ +tp707 +a(g189 +V\u000a +tp708 +a(g189 +V +p709 +tp710 +a(g18 +VEmptyBorder +p711 +tp712 +a(g189 +V +tp713 +a(g18 +Veb +p714 +tp715 +a(g189 +V +tp716 +a(g344 +V= +tp717 +a(g189 +V +tp718 +a(g111 +Vnew +p719 +tp720 +a(g189 +V +tp721 +a(g18 +VEmptyBorder +p722 +tp723 +a(g344 +V( +tp724 +a(g319 +V80 +p725 +tp726 +a(g344 +V, +tp727 +a(g319 +V110 +p728 +tp729 +a(g344 +V, +tp730 +a(g319 +V80 +p731 +tp732 +a(g344 +V, +tp733 +a(g319 +V110 +p734 +tp735 +a(g344 +V) +tp736 +a(g344 +V; +tp737 +a(g189 +V\u000a +tp738 +a(g189 +V +p739 +tp740 +a(g18 +VBevelBorder +p741 +tp742 +a(g189 +V +tp743 +a(g18 +Vbb +p744 +tp745 +a(g189 +V +tp746 +a(g344 +V= +tp747 +a(g189 +V +tp748 +a(g111 +Vnew +p749 +tp750 +a(g189 +V +tp751 +a(g18 +VBevelBorder +p752 +tp753 +a(g344 +V( +tp754 +a(g18 +VBevelBorder +p755 +tp756 +a(g344 +V. +tp757 +a(g41 +VLOWERED +p758 +tp759 +a(g344 +V) +tp760 +a(g344 +V; +tp761 +a(g189 +V\u000a +tp762 +a(g189 +V +p763 +tp764 +a(g18 +VsetBorder +p765 +tp766 +a(g344 +V( +tp767 +a(g111 +Vnew +p768 +tp769 +a(g189 +V +tp770 +a(g18 +VCompoundBorder +p771 +tp772 +a(g344 +V( +tp773 +a(g18 +Veb +p774 +tp775 +a(g344 +V, +tp776 +a(g18 +Vbb +p777 +tp778 +a(g344 +V) +tp779 +a(g344 +V) +tp780 +a(g344 +V; +tp781 +a(g189 +V\u000a +tp782 +a(g189 +V +p783 +tp784 +a(g18 +VsetLayout +p785 +tp786 +a(g344 +V( +tp787 +a(g111 +Vnew +p788 +tp789 +a(g189 +V +tp790 +a(g18 +VBorderLayout +p791 +tp792 +a(g344 +V( +tp793 +a(g344 +V) +tp794 +a(g344 +V) +tp795 +a(g344 +V; +tp796 +a(g189 +V\u000a +tp797 +a(g189 +V +p798 +tp799 +a(g18 +VsetBackground +p800 +tp801 +a(g344 +V( +tp802 +a(g18 +VColor +p803 +tp804 +a(g344 +V. +tp805 +a(g41 +Vgray +p806 +tp807 +a(g344 +V) +tp808 +a(g344 +V; +tp809 +a(g189 +V\u000a +tp810 +a(g189 +V +p811 +tp812 +a(g18 +VsetToolTipText +p813 +tp814 +a(g344 +V( +tp815 +a(g226 +V"click for scene table" +p816 +tp817 +a(g344 +V) +tp818 +a(g344 +V; +tp819 +a(g189 +V\u000a +tp820 +a(g189 +V +p821 +tp822 +a(g18 +Vadd +p823 +tp824 +a(g344 +V( +tp825 +a(g18 +Vsurface +p826 +tp827 +a(g189 +V +tp828 +a(g344 +V= +tp829 +a(g189 +V +tp830 +a(g111 +Vnew +p831 +tp832 +a(g189 +V +tp833 +a(g18 +VSurface +p834 +tp835 +a(g344 +V( +tp836 +a(g344 +V) +tp837 +a(g344 +V) +tp838 +a(g344 +V; +tp839 +a(g189 +V\u000a +tp840 +a(g189 +V +p841 +tp842 +a(g18 +VaddMouseListener +p843 +tp844 +a(g344 +V( +tp845 +a(g111 +Vnew +p846 +tp847 +a(g189 +V +tp848 +a(g18 +VMouseAdapter +p849 +tp850 +a(g344 +V( +tp851 +a(g344 +V) +tp852 +a(g189 +V +tp853 +a(g344 +V{ +tp854 +a(g189 +V\u000a +tp855 +a(g189 +V +p856 +tp857 +a(g131 +Vpublic +p858 +tp859 +a(g189 +V +tp860 +a(g139 +Vvoid +p861 +tp862 +a(g189 +V +tp863 +a(g21 +VmouseClicked +p864 +tp865 +a(g344 +V( +tp866 +a(g18 +VMouseEvent +p867 +tp868 +a(g189 +V +tp869 +a(g18 +Ve +tp870 +a(g344 +V) +tp871 +a(g189 +V +tp872 +a(g344 +V{ +tp873 +a(g189 +V\u000a +tp874 +a(g189 +V +p875 +tp876 +a(g18 +VremoveAll +p877 +tp878 +a(g344 +V( +tp879 +a(g344 +V) +tp880 +a(g344 +V; +tp881 +a(g189 +V\u000a +tp882 +a(g189 +V +p883 +tp884 +a(g111 +Vif +p885 +tp886 +a(g189 +V +tp887 +a(g344 +V( +tp888 +a(g344 +V( +tp889 +a(g18 +VdoTable +p890 +tp891 +a(g189 +V +tp892 +a(g344 +V= +tp893 +a(g189 +V +tp894 +a(g344 +V! +tp895 +a(g18 +VdoTable +p896 +tp897 +a(g344 +V) +tp898 +a(g344 +V) +tp899 +a(g189 +V +tp900 +a(g344 +V{ +tp901 +a(g189 +V\u000a +tp902 +a(g189 +V +p903 +tp904 +a(g18 +VsetToolTipText +p905 +tp906 +a(g344 +V( +tp907 +a(g226 +V"click for animation" +p908 +tp909 +a(g344 +V) +tp910 +a(g344 +V; +tp911 +a(g189 +V\u000a +tp912 +a(g189 +V +p913 +tp914 +a(g18 +Vsurface +p915 +tp916 +a(g344 +V. +tp917 +a(g41 +Vstop +p918 +tp919 +a(g344 +V( +tp920 +a(g344 +V) +tp921 +a(g344 +V; +tp922 +a(g189 +V\u000a +tp923 +a(g189 +V +p924 +tp925 +a(g111 +Vif +p926 +tp927 +a(g189 +V +tp928 +a(g344 +V( +tp929 +a(g18 +VscenesTable +p930 +tp931 +a(g189 +V +tp932 +a(g344 +V= +tp933 +a(g344 +V= +tp934 +a(g189 +V +tp935 +a(g117 +Vnull +p936 +tp937 +a(g344 +V) +tp938 +a(g189 +V +tp939 +a(g344 +V{ +tp940 +a(g189 +V\u000a +tp941 +a(g189 +V +p942 +tp943 +a(g18 +VscenesTable +p944 +tp945 +a(g189 +V +tp946 +a(g344 +V= +tp947 +a(g189 +V +tp948 +a(g111 +Vnew +p949 +tp950 +a(g189 +V +tp951 +a(g18 +VScenesTable +p952 +tp953 +a(g344 +V( +tp954 +a(g344 +V) +tp955 +a(g344 +V; +tp956 +a(g189 +V\u000a +tp957 +a(g189 +V +p958 +tp959 +a(g344 +V} +tp960 +a(g189 +V\u000a +tp961 +a(g189 +V +p962 +tp963 +a(g18 +Vadd +p964 +tp965 +a(g344 +V( +tp966 +a(g18 +VscenesTable +p967 +tp968 +a(g344 +V) +tp969 +a(g344 +V; +tp970 +a(g189 +V\u000a +tp971 +a(g189 +V +p972 +tp973 +a(g344 +V} +tp974 +a(g189 +V +tp975 +a(g111 +Velse +p976 +tp977 +a(g189 +V +tp978 +a(g344 +V{ +tp979 +a(g189 +V\u000a +tp980 +a(g189 +V +p981 +tp982 +a(g18 +VsetToolTipText +p983 +tp984 +a(g344 +V( +tp985 +a(g226 +V"click for scene table" +p986 +tp987 +a(g344 +V) +tp988 +a(g344 +V; +tp989 +a(g189 +V\u000a +tp990 +a(g189 +V +p991 +tp992 +a(g18 +Vsurface +p993 +tp994 +a(g344 +V. +tp995 +a(g41 +Vstart +p996 +tp997 +a(g344 +V( +tp998 +a(g344 +V) +tp999 +a(g344 +V; +tp1000 +a(g189 +V\u000a +tp1001 +a(g189 +V +p1002 +tp1003 +a(g18 +Vadd +p1004 +tp1005 +a(g344 +V( +tp1006 +a(g18 +Vsurface +p1007 +tp1008 +a(g344 +V) +tp1009 +a(g344 +V; +tp1010 +a(g189 +V\u000a +tp1011 +a(g189 +V +p1012 +tp1013 +a(g344 +V} +tp1014 +a(g189 +V\u000a +tp1015 +a(g189 +V +p1016 +tp1017 +a(g18 +Vrevalidate +p1018 +tp1019 +a(g344 +V( +tp1020 +a(g344 +V) +tp1021 +a(g344 +V; +tp1022 +a(g189 +V\u000a +tp1023 +a(g189 +V +p1024 +tp1025 +a(g18 +Vrepaint +p1026 +tp1027 +a(g344 +V( +tp1028 +a(g344 +V) +tp1029 +a(g344 +V; +tp1030 +a(g189 +V\u000a +tp1031 +a(g189 +V +p1032 +tp1033 +a(g344 +V} +tp1034 +a(g189 +V\u000a +tp1035 +a(g189 +V +p1036 +tp1037 +a(g344 +V} +tp1038 +a(g344 +V) +tp1039 +a(g344 +V; +tp1040 +a(g189 +V\u000a +tp1041 +a(g189 +V +p1042 +tp1043 +a(g344 +V} +tp1044 +a(g189 +V\u000a +tp1045 +a(g189 +V\u000a +tp1046 +a(g189 +V\u000a +tp1047 +a(g189 +V +p1048 +tp1049 +a(g131 +Vpublic +p1050 +tp1051 +a(g189 +V +tp1052 +a(g139 +Vvoid +p1053 +tp1054 +a(g189 +V +tp1055 +a(g21 +Vstart +p1056 +tp1057 +a(g344 +V( +tp1058 +a(g344 +V) +tp1059 +a(g189 +V +tp1060 +a(g344 +V{ +tp1061 +a(g189 +V\u000a +tp1062 +a(g189 +V +p1063 +tp1064 +a(g111 +Vif +p1065 +tp1066 +a(g189 +V +tp1067 +a(g344 +V( +tp1068 +a(g344 +V! +tp1069 +a(g18 +VdoTable +p1070 +tp1071 +a(g344 +V) +tp1072 +a(g189 +V +tp1073 +a(g344 +V{ +tp1074 +a(g189 +V\u000a +tp1075 +a(g189 +V +p1076 +tp1077 +a(g18 +Vsurface +p1078 +tp1079 +a(g344 +V. +tp1080 +a(g41 +Vstart +p1081 +tp1082 +a(g344 +V( +tp1083 +a(g344 +V) +tp1084 +a(g344 +V; +tp1085 +a(g189 +V\u000a +tp1086 +a(g189 +V +p1087 +tp1088 +a(g344 +V} +tp1089 +a(g189 +V\u000a +tp1090 +a(g189 +V +p1091 +tp1092 +a(g344 +V} +tp1093 +a(g189 +V\u000a +tp1094 +a(g189 +V\u000a +tp1095 +a(g189 +V\u000a +tp1096 +a(g189 +V +p1097 +tp1098 +a(g131 +Vpublic +p1099 +tp1100 +a(g189 +V +tp1101 +a(g139 +Vvoid +p1102 +tp1103 +a(g189 +V +tp1104 +a(g21 +Vstop +p1105 +tp1106 +a(g344 +V( +tp1107 +a(g344 +V) +tp1108 +a(g189 +V +tp1109 +a(g344 +V{ +tp1110 +a(g189 +V\u000a +tp1111 +a(g189 +V +p1112 +tp1113 +a(g111 +Vif +p1114 +tp1115 +a(g189 +V +tp1116 +a(g344 +V( +tp1117 +a(g344 +V! +tp1118 +a(g18 +VdoTable +p1119 +tp1120 +a(g344 +V) +tp1121 +a(g189 +V +tp1122 +a(g344 +V{ +tp1123 +a(g189 +V\u000a +tp1124 +a(g189 +V +p1125 +tp1126 +a(g18 +Vsurface +p1127 +tp1128 +a(g344 +V. +tp1129 +a(g41 +Vstop +p1130 +tp1131 +a(g344 +V( +tp1132 +a(g344 +V) +tp1133 +a(g344 +V; +tp1134 +a(g189 +V\u000a +tp1135 +a(g189 +V +p1136 +tp1137 +a(g344 +V} +tp1138 +a(g189 +V\u000a +tp1139 +a(g189 +V +p1140 +tp1141 +a(g344 +V} +tp1142 +a(g189 +V\u000a +tp1143 +a(g189 +V\u000a +tp1144 +a(g189 +V\u000a +tp1145 +a(g189 +V +p1146 +tp1147 +a(g131 +Vpublic +p1148 +tp1149 +a(g189 +V +tp1150 +a(g131 +Vstatic +p1151 +tp1152 +a(g189 +V +tp1153 +a(g139 +Vvoid +p1154 +tp1155 +a(g189 +V +tp1156 +a(g21 +Vmain +p1157 +tp1158 +a(g344 +V( +tp1159 +a(g18 +VString +p1160 +tp1161 +a(g189 +V +tp1162 +a(g18 +Vargv +p1163 +tp1164 +a(g344 +V[ +tp1165 +a(g344 +V] +tp1166 +a(g344 +V) +tp1167 +a(g189 +V +tp1168 +a(g344 +V{ +tp1169 +a(g189 +V\u000a +tp1170 +a(g189 +V +p1171 +tp1172 +a(g131 +Vfinal +p1173 +tp1174 +a(g189 +V +tp1175 +a(g18 +VIntro +p1176 +tp1177 +a(g189 +V +tp1178 +a(g18 +Vintro +p1179 +tp1180 +a(g189 +V +tp1181 +a(g344 +V= +tp1182 +a(g189 +V +tp1183 +a(g111 +Vnew +p1184 +tp1185 +a(g189 +V +tp1186 +a(g18 +VIntro +p1187 +tp1188 +a(g344 +V( +tp1189 +a(g344 +V) +tp1190 +a(g344 +V; +tp1191 +a(g189 +V\u000a +tp1192 +a(g189 +V +p1193 +tp1194 +a(g18 +VWindowListener +p1195 +tp1196 +a(g189 +V +tp1197 +a(g18 +Vl +tp1198 +a(g189 +V +tp1199 +a(g344 +V= +tp1200 +a(g189 +V +tp1201 +a(g111 +Vnew +p1202 +tp1203 +a(g189 +V +tp1204 +a(g18 +VWindowAdapter +p1205 +tp1206 +a(g344 +V( +tp1207 +a(g344 +V) +tp1208 +a(g189 +V +tp1209 +a(g344 +V{ +tp1210 +a(g189 +V\u000a +tp1211 +a(g189 +V +p1212 +tp1213 +a(g131 +Vpublic +p1214 +tp1215 +a(g189 +V +tp1216 +a(g139 +Vvoid +p1217 +tp1218 +a(g189 +V +tp1219 +a(g21 +VwindowClosing +p1220 +tp1221 +a(g344 +V( +tp1222 +a(g18 +VWindowEvent +p1223 +tp1224 +a(g189 +V +tp1225 +a(g18 +Ve +tp1226 +a(g344 +V) +tp1227 +a(g189 +V +tp1228 +a(g344 +V{ +tp1229 +a(g18 +VSystem +p1230 +tp1231 +a(g344 +V. +tp1232 +a(g41 +Vexit +p1233 +tp1234 +a(g344 +V( +tp1235 +a(g319 +V0 +tp1236 +a(g344 +V) +tp1237 +a(g344 +V; +tp1238 +a(g344 +V} +tp1239 +a(g189 +V\u000a +tp1240 +a(g189 +V +p1241 +tp1242 +a(g131 +Vpublic +p1243 +tp1244 +a(g189 +V +tp1245 +a(g139 +Vvoid +p1246 +tp1247 +a(g189 +V +tp1248 +a(g21 +VwindowDeiconified +p1249 +tp1250 +a(g344 +V( +tp1251 +a(g18 +VWindowEvent +p1252 +tp1253 +a(g189 +V +tp1254 +a(g18 +Ve +tp1255 +a(g344 +V) +tp1256 +a(g189 +V +tp1257 +a(g344 +V{ +tp1258 +a(g189 +V +tp1259 +a(g18 +Vintro +p1260 +tp1261 +a(g344 +V. +tp1262 +a(g41 +Vstart +p1263 +tp1264 +a(g344 +V( +tp1265 +a(g344 +V) +tp1266 +a(g344 +V; +tp1267 +a(g189 +V +tp1268 +a(g344 +V} +tp1269 +a(g189 +V\u000a +tp1270 +a(g189 +V +p1271 +tp1272 +a(g131 +Vpublic +p1273 +tp1274 +a(g189 +V +tp1275 +a(g139 +Vvoid +p1276 +tp1277 +a(g189 +V +tp1278 +a(g21 +VwindowIconified +p1279 +tp1280 +a(g344 +V( +tp1281 +a(g18 +VWindowEvent +p1282 +tp1283 +a(g189 +V +tp1284 +a(g18 +Ve +tp1285 +a(g344 +V) +tp1286 +a(g189 +V +tp1287 +a(g344 +V{ +tp1288 +a(g189 +V +tp1289 +a(g18 +Vintro +p1290 +tp1291 +a(g344 +V. +tp1292 +a(g41 +Vstop +p1293 +tp1294 +a(g344 +V( +tp1295 +a(g344 +V) +tp1296 +a(g344 +V; +tp1297 +a(g189 +V +tp1298 +a(g344 +V} +tp1299 +a(g189 +V\u000a +tp1300 +a(g189 +V +p1301 +tp1302 +a(g344 +V} +tp1303 +a(g344 +V; +tp1304 +a(g189 +V\u000a +tp1305 +a(g189 +V +p1306 +tp1307 +a(g18 +VJFrame +p1308 +tp1309 +a(g189 +V +tp1310 +a(g18 +Vf +tp1311 +a(g189 +V +tp1312 +a(g344 +V= +tp1313 +a(g189 +V +tp1314 +a(g111 +Vnew +p1315 +tp1316 +a(g189 +V +tp1317 +a(g18 +VJFrame +p1318 +tp1319 +a(g344 +V( +tp1320 +a(g226 +V"Java2D Demo - Intro" +p1321 +tp1322 +a(g344 +V) +tp1323 +a(g344 +V; +tp1324 +a(g189 +V\u000a +tp1325 +a(g189 +V +p1326 +tp1327 +a(g18 +Vf +tp1328 +a(g344 +V. +tp1329 +a(g41 +VaddWindowListener +p1330 +tp1331 +a(g344 +V( +tp1332 +a(g18 +Vl +tp1333 +a(g344 +V) +tp1334 +a(g344 +V; +tp1335 +a(g189 +V\u000a +tp1336 +a(g189 +V +p1337 +tp1338 +a(g18 +Vf +tp1339 +a(g344 +V. +tp1340 +a(g41 +VgetContentPane +p1341 +tp1342 +a(g344 +V( +tp1343 +a(g344 +V) +tp1344 +a(g344 +V. +tp1345 +a(g41 +Vadd +p1346 +tp1347 +a(g344 +V( +tp1348 +a(g226 +V"Center" +p1349 +tp1350 +a(g344 +V, +tp1351 +a(g189 +V +tp1352 +a(g18 +Vintro +p1353 +tp1354 +a(g344 +V) +tp1355 +a(g344 +V; +tp1356 +a(g189 +V\u000a +tp1357 +a(g189 +V +p1358 +tp1359 +a(g18 +Vf +tp1360 +a(g344 +V. +tp1361 +a(g41 +Vpack +p1362 +tp1363 +a(g344 +V( +tp1364 +a(g344 +V) +tp1365 +a(g344 +V; +tp1366 +a(g189 +V\u000a +tp1367 +a(g189 +V +p1368 +tp1369 +a(g18 +VDimension +p1370 +tp1371 +a(g189 +V +tp1372 +a(g18 +VscreenSize +p1373 +tp1374 +a(g189 +V +tp1375 +a(g344 +V= +tp1376 +a(g189 +V +tp1377 +a(g18 +VToolkit +p1378 +tp1379 +a(g344 +V. +tp1380 +a(g41 +VgetDefaultToolkit +p1381 +tp1382 +a(g344 +V( +tp1383 +a(g344 +V) +tp1384 +a(g344 +V. +tp1385 +a(g41 +VgetScreenSize +p1386 +tp1387 +a(g344 +V( +tp1388 +a(g344 +V) +tp1389 +a(g344 +V; +tp1390 +a(g189 +V\u000a +tp1391 +a(g189 +V +p1392 +tp1393 +a(g139 +Vint +p1394 +tp1395 +a(g189 +V +tp1396 +a(g18 +Vw +tp1397 +a(g189 +V +tp1398 +a(g344 +V= +tp1399 +a(g189 +V +tp1400 +a(g319 +V720 +p1401 +tp1402 +a(g344 +V; +tp1403 +a(g189 +V\u000a +tp1404 +a(g189 +V +p1405 +tp1406 +a(g139 +Vint +p1407 +tp1408 +a(g189 +V +tp1409 +a(g18 +Vh +tp1410 +a(g189 +V +tp1411 +a(g344 +V= +tp1412 +a(g189 +V +tp1413 +a(g319 +V510 +p1414 +tp1415 +a(g344 +V; +tp1416 +a(g189 +V\u000a +tp1417 +a(g189 +V +p1418 +tp1419 +a(g18 +Vf +tp1420 +a(g344 +V. +tp1421 +a(g41 +VsetLocation +p1422 +tp1423 +a(g344 +V( +tp1424 +a(g18 +VscreenSize +p1425 +tp1426 +a(g344 +V. +tp1427 +a(g41 +Vwidth +p1428 +tp1429 +a(g344 +V/ +tp1430 +a(g319 +V2 +tp1431 +a(g189 +V +tp1432 +a(g344 +V- +tp1433 +a(g189 +V +tp1434 +a(g18 +Vw +tp1435 +a(g344 +V/ +tp1436 +a(g319 +V2 +tp1437 +a(g344 +V, +tp1438 +a(g189 +V +tp1439 +a(g18 +VscreenSize +p1440 +tp1441 +a(g344 +V. +tp1442 +a(g41 +Vheight +p1443 +tp1444 +a(g344 +V/ +tp1445 +a(g319 +V2 +tp1446 +a(g189 +V +tp1447 +a(g344 +V- +tp1448 +a(g189 +V +tp1449 +a(g18 +Vh +tp1450 +a(g344 +V/ +tp1451 +a(g319 +V2 +tp1452 +a(g344 +V) +tp1453 +a(g344 +V; +tp1454 +a(g189 +V\u000a +tp1455 +a(g189 +V +p1456 +tp1457 +a(g18 +Vf +tp1458 +a(g344 +V. +tp1459 +a(g41 +VsetSize +p1460 +tp1461 +a(g344 +V( +tp1462 +a(g18 +Vw +tp1463 +a(g344 +V, +tp1464 +a(g189 +V +tp1465 +a(g18 +Vh +tp1466 +a(g344 +V) +tp1467 +a(g344 +V; +tp1468 +a(g189 +V\u000a +tp1469 +a(g189 +V +p1470 +tp1471 +a(g18 +Vf +tp1472 +a(g344 +V. +tp1473 +a(g41 +VsetVisible +p1474 +tp1475 +a(g344 +V( +tp1476 +a(g117 +Vtrue +p1477 +tp1478 +a(g344 +V) +tp1479 +a(g344 +V; +tp1480 +a(g189 +V\u000a +tp1481 +a(g189 +V +p1482 +tp1483 +a(g18 +Vintro +p1484 +tp1485 +a(g344 +V. +tp1486 +a(g41 +Vstart +p1487 +tp1488 +a(g344 +V( +tp1489 +a(g344 +V) +tp1490 +a(g344 +V; +tp1491 +a(g189 +V\u000a +tp1492 +a(g189 +V +p1493 +tp1494 +a(g344 +V} +tp1495 +a(g189 +V\u000a +tp1496 +a(g189 +V\u000a +tp1497 +a(g189 +V\u000a +tp1498 +a(g189 +V +p1499 +tp1500 +a(g7 +V/**\u000a * ScenesTable is the list of scenes known to the Director.\u000a * Scene participation, scene name and scene pause amount columns.\u000a * Global animation delay for scene's steps.\u000a */ +p1501 +tp1502 +a(g189 +V\u000a +tp1503 +a(g189 +V +p1504 +tp1505 +a(g131 +Vstatic +p1506 +tp1507 +a(g189 +V +tp1508 +a(g131 +Vclass +p1509 +tp1510 +a(g189 +V +tp1511 +a(g106 +VScenesTable +p1512 +tp1513 +a(g189 +V +tp1514 +a(g131 +Vextends +p1515 +tp1516 +a(g189 +V +tp1517 +a(g18 +VJPanel +p1518 +tp1519 +a(g189 +V +tp1520 +a(g131 +Vimplements +p1521 +tp1522 +a(g189 +V +tp1523 +a(g18 +VActionListener +p1524 +tp1525 +a(g344 +V, +tp1526 +a(g189 +V +tp1527 +a(g18 +VChangeListener +p1528 +tp1529 +a(g189 +V +tp1530 +a(g344 +V{ +tp1531 +a(g189 +V\u000a +tp1532 +a(g189 +V\u000a +tp1533 +a(g189 +V +p1534 +tp1535 +a(g131 +Vprivate +p1536 +tp1537 +a(g189 +V +tp1538 +a(g18 +VJTable +p1539 +tp1540 +a(g189 +V +tp1541 +a(g18 +Vtable +p1542 +tp1543 +a(g344 +V; +tp1544 +a(g189 +V\u000a +tp1545 +a(g189 +V +p1546 +tp1547 +a(g131 +Vprivate +p1548 +tp1549 +a(g189 +V +tp1550 +a(g18 +VTableModel +p1551 +tp1552 +a(g189 +V +tp1553 +a(g18 +VdataModel +p1554 +tp1555 +a(g344 +V; +tp1556 +a(g189 +V\u000a +tp1557 +a(g189 +V\u000a +tp1558 +a(g189 +V +p1559 +tp1560 +a(g131 +Vpublic +p1561 +tp1562 +a(g189 +V +tp1563 +a(g21 +VScenesTable +p1564 +tp1565 +a(g344 +V( +tp1566 +a(g344 +V) +tp1567 +a(g189 +V +tp1568 +a(g344 +V{ +tp1569 +a(g189 +V\u000a +tp1570 +a(g189 +V +p1571 +tp1572 +a(g18 +VsetBackground +p1573 +tp1574 +a(g344 +V( +tp1575 +a(g18 +VColor +p1576 +tp1577 +a(g344 +V. +tp1578 +a(g41 +Vwhite +p1579 +tp1580 +a(g344 +V) +tp1581 +a(g344 +V; +tp1582 +a(g189 +V\u000a +tp1583 +a(g189 +V +p1584 +tp1585 +a(g18 +VsetLayout +p1586 +tp1587 +a(g344 +V( +tp1588 +a(g111 +Vnew +p1589 +tp1590 +a(g189 +V +tp1591 +a(g18 +VBorderLayout +p1592 +tp1593 +a(g344 +V( +tp1594 +a(g344 +V) +tp1595 +a(g344 +V) +tp1596 +a(g344 +V; +tp1597 +a(g189 +V\u000a +tp1598 +a(g189 +V +p1599 +tp1600 +a(g131 +Vfinal +p1601 +tp1602 +a(g189 +V +tp1603 +a(g18 +VString +p1604 +tp1605 +a(g344 +V[ +tp1606 +a(g344 +V] +tp1607 +a(g189 +V +tp1608 +a(g18 +Vnames +p1609 +tp1610 +a(g189 +V +tp1611 +a(g344 +V= +tp1612 +a(g189 +V +tp1613 +a(g344 +V{ +tp1614 +a(g189 +V +tp1615 +a(g226 +V"" +p1616 +tp1617 +a(g344 +V, +tp1618 +a(g189 +V +tp1619 +a(g226 +V"Scenes" +p1620 +tp1621 +a(g344 +V, +tp1622 +a(g189 +V +tp1623 +a(g226 +V"Pause" +p1624 +tp1625 +a(g189 +V +tp1626 +a(g344 +V} +tp1627 +a(g344 +V; +tp1628 +a(g189 +V\u000a +tp1629 +a(g189 +V +p1630 +tp1631 +a(g189 +V\u000a +tp1632 +a(g189 +V +p1633 +tp1634 +a(g18 +VdataModel +p1635 +tp1636 +a(g189 +V +tp1637 +a(g344 +V= +tp1638 +a(g189 +V +tp1639 +a(g111 +Vnew +p1640 +tp1641 +a(g189 +V +tp1642 +a(g18 +VAbstractTableModel +p1643 +tp1644 +a(g344 +V( +tp1645 +a(g344 +V) +tp1646 +a(g189 +V +tp1647 +a(g344 +V{ +tp1648 +a(g189 +V\u000a +tp1649 +a(g189 +V +p1650 +tp1651 +a(g131 +Vpublic +p1652 +tp1653 +a(g189 +V +tp1654 +a(g139 +Vint +p1655 +tp1656 +a(g189 +V +tp1657 +a(g21 +VgetColumnCount +p1658 +tp1659 +a(g344 +V( +tp1660 +a(g344 +V) +tp1661 +a(g189 +V +tp1662 +a(g344 +V{ +tp1663 +a(g189 +V +tp1664 +a(g111 +Vreturn +p1665 +tp1666 +a(g189 +V +tp1667 +a(g18 +Vnames +p1668 +tp1669 +a(g344 +V. +tp1670 +a(g41 +Vlength +p1671 +tp1672 +a(g344 +V; +tp1673 +a(g189 +V +tp1674 +a(g344 +V} +tp1675 +a(g189 +V\u000a +tp1676 +a(g189 +V +p1677 +tp1678 +a(g131 +Vpublic +p1679 +tp1680 +a(g189 +V +tp1681 +a(g139 +Vint +p1682 +tp1683 +a(g189 +V +tp1684 +a(g21 +VgetRowCount +p1685 +tp1686 +a(g344 +V( +tp1687 +a(g344 +V) +tp1688 +a(g189 +V +tp1689 +a(g344 +V{ +tp1690 +a(g189 +V +tp1691 +a(g111 +Vreturn +p1692 +tp1693 +a(g189 +V +tp1694 +a(g18 +Vsurface +p1695 +tp1696 +a(g344 +V. +tp1697 +a(g41 +Vdirector +p1698 +tp1699 +a(g344 +V. +tp1700 +a(g41 +Vsize +p1701 +tp1702 +a(g344 +V( +tp1703 +a(g344 +V) +tp1704 +a(g344 +V; +tp1705 +a(g344 +V} +tp1706 +a(g189 +V\u000a +tp1707 +a(g189 +V +p1708 +tp1709 +a(g131 +Vpublic +p1710 +tp1711 +a(g189 +V +tp1712 +a(g18 +VObject +p1713 +tp1714 +a(g189 +V +tp1715 +a(g21 +VgetValueAt +p1716 +tp1717 +a(g344 +V( +tp1718 +a(g139 +Vint +p1719 +tp1720 +a(g189 +V +tp1721 +a(g18 +Vrow +p1722 +tp1723 +a(g344 +V, +tp1724 +a(g189 +V +tp1725 +a(g139 +Vint +p1726 +tp1727 +a(g189 +V +tp1728 +a(g18 +Vcol +p1729 +tp1730 +a(g344 +V) +tp1731 +a(g189 +V +tp1732 +a(g344 +V{ +tp1733 +a(g189 +V +tp1734 +a(g189 +V\u000a +tp1735 +a(g189 +V +p1736 +tp1737 +a(g18 +VSurface +p1738 +tp1739 +a(g344 +V. +tp1740 +a(g41 +VScene +p1741 +tp1742 +a(g189 +V +tp1743 +a(g18 +Vscene +p1744 +tp1745 +a(g189 +V +tp1746 +a(g344 +V= +tp1747 +a(g189 +V +tp1748 +a(g344 +V( +tp1749 +a(g18 +VSurface +p1750 +tp1751 +a(g344 +V. +tp1752 +a(g41 +VScene +p1753 +tp1754 +a(g344 +V) +tp1755 +a(g189 +V +tp1756 +a(g18 +Vsurface +p1757 +tp1758 +a(g344 +V. +tp1759 +a(g41 +Vdirector +p1760 +tp1761 +a(g344 +V. +tp1762 +a(g41 +Vget +p1763 +tp1764 +a(g344 +V( +tp1765 +a(g18 +Vrow +p1766 +tp1767 +a(g344 +V) +tp1768 +a(g344 +V; +tp1769 +a(g189 +V +tp1770 +a(g189 +V\u000a +tp1771 +a(g189 +V +p1772 +tp1773 +a(g111 +Vif +p1774 +tp1775 +a(g189 +V +tp1776 +a(g344 +V( +tp1777 +a(g18 +Vcol +p1778 +tp1779 +a(g189 +V +tp1780 +a(g344 +V= +tp1781 +a(g344 +V= +tp1782 +a(g189 +V +tp1783 +a(g319 +V0 +tp1784 +a(g344 +V) +tp1785 +a(g189 +V +tp1786 +a(g344 +V{ +tp1787 +a(g189 +V\u000a +tp1788 +a(g189 +V +p1789 +tp1790 +a(g111 +Vreturn +p1791 +tp1792 +a(g189 +V +tp1793 +a(g18 +Vscene +p1794 +tp1795 +a(g344 +V. +tp1796 +a(g41 +Vparticipate +p1797 +tp1798 +a(g344 +V; +tp1799 +a(g189 +V\u000a +tp1800 +a(g189 +V +p1801 +tp1802 +a(g344 +V} +tp1803 +a(g189 +V +tp1804 +a(g111 +Velse +p1805 +tp1806 +a(g189 +V +tp1807 +a(g111 +Vif +p1808 +tp1809 +a(g189 +V +tp1810 +a(g344 +V( +tp1811 +a(g18 +Vcol +p1812 +tp1813 +a(g189 +V +tp1814 +a(g344 +V= +tp1815 +a(g344 +V= +tp1816 +a(g189 +V +tp1817 +a(g319 +V1 +tp1818 +a(g344 +V) +tp1819 +a(g189 +V +tp1820 +a(g344 +V{ +tp1821 +a(g189 +V\u000a +tp1822 +a(g189 +V +p1823 +tp1824 +a(g111 +Vreturn +p1825 +tp1826 +a(g189 +V +tp1827 +a(g18 +Vscene +p1828 +tp1829 +a(g344 +V. +tp1830 +a(g41 +Vname +p1831 +tp1832 +a(g344 +V; +tp1833 +a(g189 +V\u000a +tp1834 +a(g189 +V +p1835 +tp1836 +a(g344 +V} +tp1837 +a(g189 +V +tp1838 +a(g111 +Velse +p1839 +tp1840 +a(g189 +V +tp1841 +a(g344 +V{ +tp1842 +a(g189 +V +tp1843 +a(g189 +V\u000a +tp1844 +a(g189 +V +p1845 +tp1846 +a(g111 +Vreturn +p1847 +tp1848 +a(g189 +V +tp1849 +a(g18 +Vscene +p1850 +tp1851 +a(g344 +V. +tp1852 +a(g41 +VpauseAmt +p1853 +tp1854 +a(g344 +V; +tp1855 +a(g189 +V\u000a +tp1856 +a(g189 +V +p1857 +tp1858 +a(g344 +V} +tp1859 +a(g189 +V\u000a +tp1860 +a(g189 +V +p1861 +tp1862 +a(g344 +V} +tp1863 +a(g189 +V\u000a +tp1864 +a(g189 +V +p1865 +tp1866 +a(g131 +Vpublic +p1867 +tp1868 +a(g189 +V +tp1869 +a(g18 +VString +p1870 +tp1871 +a(g189 +V +tp1872 +a(g21 +VgetColumnName +p1873 +tp1874 +a(g344 +V( +tp1875 +a(g139 +Vint +p1876 +tp1877 +a(g189 +V +tp1878 +a(g18 +Vcol +p1879 +tp1880 +a(g344 +V) +tp1881 +a(g189 +V +tp1882 +a(g344 +V{ +tp1883 +a(g111 +Vreturn +p1884 +tp1885 +a(g189 +V +tp1886 +a(g18 +Vnames +p1887 +tp1888 +a(g344 +V[ +tp1889 +a(g18 +Vcol +p1890 +tp1891 +a(g344 +V] +tp1892 +a(g344 +V; +tp1893 +a(g189 +V +tp1894 +a(g344 +V} +tp1895 +a(g189 +V\u000a +tp1896 +a(g189 +V +p1897 +tp1898 +a(g131 +Vpublic +p1899 +tp1900 +a(g189 +V +tp1901 +a(g18 +VClass +p1902 +tp1903 +a(g189 +V +tp1904 +a(g21 +VgetColumnClass +p1905 +tp1906 +a(g344 +V( +tp1907 +a(g139 +Vint +p1908 +tp1909 +a(g189 +V +tp1910 +a(g18 +Vc +tp1911 +a(g344 +V) +tp1912 +a(g189 +V +tp1913 +a(g344 +V{ +tp1914 +a(g189 +V\u000a +tp1915 +a(g189 +V +p1916 +tp1917 +a(g111 +Vreturn +p1918 +tp1919 +a(g189 +V +tp1920 +a(g21 +VgetValueAt +p1921 +tp1922 +a(g344 +V( +tp1923 +a(g319 +V0 +tp1924 +a(g344 +V, +tp1925 +a(g189 +V +tp1926 +a(g18 +Vc +tp1927 +a(g344 +V) +tp1928 +a(g344 +V. +tp1929 +a(g41 +VgetClass +p1930 +tp1931 +a(g344 +V( +tp1932 +a(g344 +V) +tp1933 +a(g344 +V; +tp1934 +a(g189 +V\u000a +tp1935 +a(g189 +V +p1936 +tp1937 +a(g344 +V} +tp1938 +a(g189 +V\u000a +tp1939 +a(g189 +V +p1940 +tp1941 +a(g131 +Vpublic +p1942 +tp1943 +a(g189 +V +tp1944 +a(g139 +Vboolean +p1945 +tp1946 +a(g189 +V +tp1947 +a(g21 +VisCellEditable +p1948 +tp1949 +a(g344 +V( +tp1950 +a(g139 +Vint +p1951 +tp1952 +a(g189 +V +tp1953 +a(g18 +Vrow +p1954 +tp1955 +a(g344 +V, +tp1956 +a(g189 +V +tp1957 +a(g139 +Vint +p1958 +tp1959 +a(g189 +V +tp1960 +a(g18 +Vcol +p1961 +tp1962 +a(g344 +V) +tp1963 +a(g189 +V +tp1964 +a(g344 +V{ +tp1965 +a(g189 +V\u000a +tp1966 +a(g189 +V +p1967 +tp1968 +a(g111 +Vreturn +p1969 +tp1970 +a(g189 +V +tp1971 +a(g18 +Vcol +p1972 +tp1973 +a(g189 +V +tp1974 +a(g344 +V! +tp1975 +a(g344 +V= +tp1976 +a(g189 +V +tp1977 +a(g319 +V1 +tp1978 +a(g189 +V +tp1979 +a(g344 +V? +tp1980 +a(g189 +V +tp1981 +a(g117 +Vtrue +p1982 +tp1983 +a(g189 +V +tp1984 +a(g344 +V: +tp1985 +a(g189 +V +tp1986 +a(g117 +Vfalse +p1987 +tp1988 +a(g344 +V; +tp1989 +a(g189 +V\u000a +tp1990 +a(g189 +V +p1991 +tp1992 +a(g344 +V} +tp1993 +a(g189 +V\u000a +tp1994 +a(g189 +V +p1995 +tp1996 +a(g131 +Vpublic +p1997 +tp1998 +a(g189 +V +tp1999 +a(g139 +Vvoid +p2000 +tp2001 +a(g189 +V +tp2002 +a(g21 +VsetValueAt +p2003 +tp2004 +a(g344 +V( +tp2005 +a(g18 +VObject +p2006 +tp2007 +a(g189 +V +tp2008 +a(g18 +VaValue +p2009 +tp2010 +a(g344 +V, +tp2011 +a(g189 +V +tp2012 +a(g139 +Vint +p2013 +tp2014 +a(g189 +V +tp2015 +a(g18 +Vrow +p2016 +tp2017 +a(g344 +V, +tp2018 +a(g189 +V +tp2019 +a(g139 +Vint +p2020 +tp2021 +a(g189 +V +tp2022 +a(g18 +Vcol +p2023 +tp2024 +a(g344 +V) +tp2025 +a(g189 +V +tp2026 +a(g344 +V{ +tp2027 +a(g189 +V\u000a +tp2028 +a(g189 +V +p2029 +tp2030 +a(g18 +VSurface +p2031 +tp2032 +a(g344 +V. +tp2033 +a(g41 +VScene +p2034 +tp2035 +a(g189 +V +tp2036 +a(g18 +Vscene +p2037 +tp2038 +a(g189 +V +tp2039 +a(g344 +V= +tp2040 +a(g189 +V +tp2041 +a(g344 +V( +tp2042 +a(g18 +VSurface +p2043 +tp2044 +a(g344 +V. +tp2045 +a(g41 +VScene +p2046 +tp2047 +a(g344 +V) +tp2048 +a(g189 +V +tp2049 +a(g18 +Vsurface +p2050 +tp2051 +a(g344 +V. +tp2052 +a(g41 +Vdirector +p2053 +tp2054 +a(g344 +V. +tp2055 +a(g41 +Vget +p2056 +tp2057 +a(g344 +V( +tp2058 +a(g18 +Vrow +p2059 +tp2060 +a(g344 +V) +tp2061 +a(g344 +V; +tp2062 +a(g189 +V +tp2063 +a(g189 +V\u000a +tp2064 +a(g189 +V +p2065 +tp2066 +a(g111 +Vif +p2067 +tp2068 +a(g189 +V +tp2069 +a(g344 +V( +tp2070 +a(g18 +Vcol +p2071 +tp2072 +a(g189 +V +tp2073 +a(g344 +V= +tp2074 +a(g344 +V= +tp2075 +a(g189 +V +tp2076 +a(g319 +V0 +tp2077 +a(g344 +V) +tp2078 +a(g189 +V +tp2079 +a(g344 +V{ +tp2080 +a(g189 +V\u000a +tp2081 +a(g189 +V +p2082 +tp2083 +a(g18 +Vscene +p2084 +tp2085 +a(g344 +V. +tp2086 +a(g41 +Vparticipate +p2087 +tp2088 +a(g189 +V +tp2089 +a(g344 +V= +tp2090 +a(g189 +V +tp2091 +a(g18 +VaValue +p2092 +tp2093 +a(g344 +V; +tp2094 +a(g189 +V\u000a +tp2095 +a(g189 +V +p2096 +tp2097 +a(g344 +V} +tp2098 +a(g189 +V +tp2099 +a(g111 +Velse +p2100 +tp2101 +a(g189 +V +tp2102 +a(g111 +Vif +p2103 +tp2104 +a(g189 +V +tp2105 +a(g344 +V( +tp2106 +a(g18 +Vcol +p2107 +tp2108 +a(g189 +V +tp2109 +a(g344 +V= +tp2110 +a(g344 +V= +tp2111 +a(g189 +V +tp2112 +a(g319 +V1 +tp2113 +a(g344 +V) +tp2114 +a(g189 +V +tp2115 +a(g344 +V{ +tp2116 +a(g189 +V\u000a +tp2117 +a(g189 +V +p2118 +tp2119 +a(g18 +Vscene +p2120 +tp2121 +a(g344 +V. +tp2122 +a(g41 +Vname +p2123 +tp2124 +a(g189 +V +tp2125 +a(g344 +V= +tp2126 +a(g189 +V +tp2127 +a(g18 +VaValue +p2128 +tp2129 +a(g344 +V; +tp2130 +a(g189 +V\u000a +tp2131 +a(g189 +V +p2132 +tp2133 +a(g344 +V} +tp2134 +a(g189 +V +tp2135 +a(g111 +Velse +p2136 +tp2137 +a(g189 +V +tp2138 +a(g344 +V{ +tp2139 +a(g189 +V +tp2140 +a(g189 +V\u000a +tp2141 +a(g189 +V +p2142 +tp2143 +a(g18 +Vscene +p2144 +tp2145 +a(g344 +V. +tp2146 +a(g41 +VpauseAmt +p2147 +tp2148 +a(g189 +V +tp2149 +a(g344 +V= +tp2150 +a(g189 +V +tp2151 +a(g18 +VaValue +p2152 +tp2153 +a(g344 +V; +tp2154 +a(g189 +V\u000a +tp2155 +a(g189 +V +p2156 +tp2157 +a(g344 +V} +tp2158 +a(g189 +V\u000a +tp2159 +a(g189 +V +p2160 +tp2161 +a(g344 +V} +tp2162 +a(g189 +V\u000a +tp2163 +a(g189 +V +p2164 +tp2165 +a(g344 +V} +tp2166 +a(g344 +V; +tp2167 +a(g189 +V\u000a +tp2168 +a(g189 +V +p2169 +tp2170 +a(g189 +V\u000a +tp2171 +a(g189 +V +p2172 +tp2173 +a(g18 +Vtable +p2174 +tp2175 +a(g189 +V +tp2176 +a(g344 +V= +tp2177 +a(g189 +V +tp2178 +a(g111 +Vnew +p2179 +tp2180 +a(g189 +V +tp2181 +a(g18 +VJTable +p2182 +tp2183 +a(g344 +V( +tp2184 +a(g18 +VdataModel +p2185 +tp2186 +a(g344 +V) +tp2187 +a(g344 +V; +tp2188 +a(g189 +V\u000a +tp2189 +a(g189 +V +p2190 +tp2191 +a(g18 +VTableColumn +p2192 +tp2193 +a(g189 +V +tp2194 +a(g18 +Vcol +p2195 +tp2196 +a(g189 +V +tp2197 +a(g344 +V= +tp2198 +a(g189 +V +tp2199 +a(g18 +Vtable +p2200 +tp2201 +a(g344 +V. +tp2202 +a(g41 +VgetColumn +p2203 +tp2204 +a(g344 +V( +tp2205 +a(g226 +V"" +p2206 +tp2207 +a(g344 +V) +tp2208 +a(g344 +V; +tp2209 +a(g189 +V\u000a +tp2210 +a(g189 +V +p2211 +tp2212 +a(g18 +Vcol +p2213 +tp2214 +a(g344 +V. +tp2215 +a(g41 +VsetWidth +p2216 +tp2217 +a(g344 +V( +tp2218 +a(g319 +V16 +p2219 +tp2220 +a(g344 +V) +tp2221 +a(g344 +V; +tp2222 +a(g189 +V\u000a +tp2223 +a(g189 +V +p2224 +tp2225 +a(g18 +Vcol +p2226 +tp2227 +a(g344 +V. +tp2228 +a(g41 +VsetMinWidth +p2229 +tp2230 +a(g344 +V( +tp2231 +a(g319 +V16 +p2232 +tp2233 +a(g344 +V) +tp2234 +a(g344 +V; +tp2235 +a(g189 +V\u000a +tp2236 +a(g189 +V +p2237 +tp2238 +a(g18 +Vcol +p2239 +tp2240 +a(g344 +V. +tp2241 +a(g41 +VsetMaxWidth +p2242 +tp2243 +a(g344 +V( +tp2244 +a(g319 +V20 +p2245 +tp2246 +a(g344 +V) +tp2247 +a(g344 +V; +tp2248 +a(g189 +V\u000a +tp2249 +a(g189 +V +p2250 +tp2251 +a(g18 +Vcol +p2252 +tp2253 +a(g189 +V +tp2254 +a(g344 +V= +tp2255 +a(g189 +V +tp2256 +a(g18 +Vtable +p2257 +tp2258 +a(g344 +V. +tp2259 +a(g41 +VgetColumn +p2260 +tp2261 +a(g344 +V( +tp2262 +a(g226 +V"Pause" +p2263 +tp2264 +a(g344 +V) +tp2265 +a(g344 +V; +tp2266 +a(g189 +V\u000a +tp2267 +a(g189 +V +p2268 +tp2269 +a(g18 +Vcol +p2270 +tp2271 +a(g344 +V. +tp2272 +a(g41 +VsetWidth +p2273 +tp2274 +a(g344 +V( +tp2275 +a(g319 +V60 +p2276 +tp2277 +a(g344 +V) +tp2278 +a(g344 +V; +tp2279 +a(g189 +V\u000a +tp2280 +a(g189 +V +p2281 +tp2282 +a(g18 +Vcol +p2283 +tp2284 +a(g344 +V. +tp2285 +a(g41 +VsetMinWidth +p2286 +tp2287 +a(g344 +V( +tp2288 +a(g319 +V60 +p2289 +tp2290 +a(g344 +V) +tp2291 +a(g344 +V; +tp2292 +a(g189 +V\u000a +tp2293 +a(g189 +V +p2294 +tp2295 +a(g18 +Vcol +p2296 +tp2297 +a(g344 +V. +tp2298 +a(g41 +VsetMaxWidth +p2299 +tp2300 +a(g344 +V( +tp2301 +a(g319 +V60 +p2302 +tp2303 +a(g344 +V) +tp2304 +a(g344 +V; +tp2305 +a(g189 +V\u000a +tp2306 +a(g189 +V +p2307 +tp2308 +a(g18 +Vtable +p2309 +tp2310 +a(g344 +V. +tp2311 +a(g41 +VsizeColumnsToFit +p2312 +tp2313 +a(g344 +V( +tp2314 +a(g319 +V0 +tp2315 +a(g344 +V) +tp2316 +a(g344 +V; +tp2317 +a(g189 +V\u000a +tp2318 +a(g189 +V +p2319 +tp2320 +a(g189 +V\u000a +tp2321 +a(g189 +V +p2322 +tp2323 +a(g18 +VJScrollPane +p2324 +tp2325 +a(g189 +V +tp2326 +a(g18 +Vscrollpane +p2327 +tp2328 +a(g189 +V +tp2329 +a(g344 +V= +tp2330 +a(g189 +V +tp2331 +a(g111 +Vnew +p2332 +tp2333 +a(g189 +V +tp2334 +a(g18 +VJScrollPane +p2335 +tp2336 +a(g344 +V( +tp2337 +a(g18 +Vtable +p2338 +tp2339 +a(g344 +V) +tp2340 +a(g344 +V; +tp2341 +a(g189 +V\u000a +tp2342 +a(g189 +V +p2343 +tp2344 +a(g18 +Vadd +p2345 +tp2346 +a(g344 +V( +tp2347 +a(g18 +Vscrollpane +p2348 +tp2349 +a(g344 +V) +tp2350 +a(g344 +V; +tp2351 +a(g189 +V\u000a +tp2352 +a(g189 +V +tp2353 +a(g189 +V\u000a +tp2354 +a(g189 +V +p2355 +tp2356 +a(g18 +VJPanel +p2357 +tp2358 +a(g189 +V +tp2359 +a(g18 +Vpanel +p2360 +tp2361 +a(g189 +V +tp2362 +a(g344 +V= +tp2363 +a(g189 +V +tp2364 +a(g111 +Vnew +p2365 +tp2366 +a(g189 +V +tp2367 +a(g18 +VJPanel +p2368 +tp2369 +a(g344 +V( +tp2370 +a(g111 +Vnew +p2371 +tp2372 +a(g189 +V +tp2373 +a(g18 +VBorderLayout +p2374 +tp2375 +a(g344 +V( +tp2376 +a(g344 +V) +tp2377 +a(g344 +V) +tp2378 +a(g344 +V; +tp2379 +a(g189 +V\u000a +tp2380 +a(g189 +V +p2381 +tp2382 +a(g18 +VJButton +p2383 +tp2384 +a(g189 +V +tp2385 +a(g18 +Vb +tp2386 +a(g189 +V +tp2387 +a(g344 +V= +tp2388 +a(g189 +V +tp2389 +a(g111 +Vnew +p2390 +tp2391 +a(g189 +V +tp2392 +a(g18 +VJButton +p2393 +tp2394 +a(g344 +V( +tp2395 +a(g226 +V"Unselect All" +p2396 +tp2397 +a(g344 +V) +tp2398 +a(g344 +V; +tp2399 +a(g189 +V\u000a +tp2400 +a(g189 +V +p2401 +tp2402 +a(g18 +Vb +tp2403 +a(g344 +V. +tp2404 +a(g41 +VsetHorizontalAlignment +p2405 +tp2406 +a(g344 +V( +tp2407 +a(g18 +VJButton +p2408 +tp2409 +a(g344 +V. +tp2410 +a(g41 +VLEFT +p2411 +tp2412 +a(g344 +V) +tp2413 +a(g344 +V; +tp2414 +a(g189 +V\u000a +tp2415 +a(g189 +V +p2416 +tp2417 +a(g18 +VFont +p2418 +tp2419 +a(g189 +V +tp2420 +a(g18 +Vfont +p2421 +tp2422 +a(g189 +V +tp2423 +a(g344 +V= +tp2424 +a(g189 +V +tp2425 +a(g111 +Vnew +p2426 +tp2427 +a(g189 +V +tp2428 +a(g18 +VFont +p2429 +tp2430 +a(g344 +V( +tp2431 +a(g226 +V"serif" +p2432 +tp2433 +a(g344 +V, +tp2434 +a(g189 +V +tp2435 +a(g18 +VFont +p2436 +tp2437 +a(g344 +V. +tp2438 +a(g41 +VPLAIN +p2439 +tp2440 +a(g344 +V, +tp2441 +a(g189 +V +tp2442 +a(g319 +V10 +p2443 +tp2444 +a(g344 +V) +tp2445 +a(g344 +V; +tp2446 +a(g189 +V\u000a +tp2447 +a(g189 +V +p2448 +tp2449 +a(g18 +Vb +tp2450 +a(g344 +V. +tp2451 +a(g41 +VsetFont +p2452 +tp2453 +a(g344 +V( +tp2454 +a(g18 +Vfont +p2455 +tp2456 +a(g344 +V) +tp2457 +a(g344 +V; +tp2458 +a(g189 +V\u000a +tp2459 +a(g189 +V +p2460 +tp2461 +a(g18 +Vb +tp2462 +a(g344 +V. +tp2463 +a(g41 +VaddActionListener +p2464 +tp2465 +a(g344 +V( +tp2466 +a(g111 +Vthis +p2467 +tp2468 +a(g344 +V) +tp2469 +a(g344 +V; +tp2470 +a(g189 +V\u000a +tp2471 +a(g189 +V +p2472 +tp2473 +a(g18 +Vpanel +p2474 +tp2475 +a(g344 +V. +tp2476 +a(g41 +Vadd +p2477 +tp2478 +a(g344 +V( +tp2479 +a(g226 +V"West" +p2480 +tp2481 +a(g344 +V, +tp2482 +a(g189 +V +tp2483 +a(g18 +Vb +tp2484 +a(g344 +V) +tp2485 +a(g344 +V; +tp2486 +a(g189 +V\u000a +tp2487 +a(g189 +V\u000a +tp2488 +a(g189 +V +p2489 +tp2490 +a(g18 +VJSlider +p2491 +tp2492 +a(g189 +V +tp2493 +a(g18 +Vslider +p2494 +tp2495 +a(g189 +V +tp2496 +a(g344 +V= +tp2497 +a(g189 +V +tp2498 +a(g111 +Vnew +p2499 +tp2500 +a(g189 +V +tp2501 +a(g18 +VJSlider +p2502 +tp2503 +a(g344 +V( +tp2504 +a(g18 +VJSlider +p2505 +tp2506 +a(g344 +V. +tp2507 +a(g41 +VHORIZONTAL +p2508 +tp2509 +a(g344 +V, +tp2510 +a(g189 +V +tp2511 +a(g319 +V0 +tp2512 +a(g344 +V, +tp2513 +a(g189 +V +tp2514 +a(g319 +V200 +p2515 +tp2516 +a(g344 +V, +tp2517 +a(g189 +V +tp2518 +a(g344 +V( +tp2519 +a(g139 +Vint +p2520 +tp2521 +a(g344 +V) +tp2522 +a(g189 +V +tp2523 +a(g18 +Vsurface +p2524 +tp2525 +a(g344 +V. +tp2526 +a(g41 +VsleepAmt +p2527 +tp2528 +a(g344 +V) +tp2529 +a(g344 +V; +tp2530 +a(g189 +V\u000a +tp2531 +a(g189 +V +p2532 +tp2533 +a(g18 +Vslider +p2534 +tp2535 +a(g344 +V. +tp2536 +a(g41 +VaddChangeListener +p2537 +tp2538 +a(g344 +V( +tp2539 +a(g111 +Vthis +p2540 +tp2541 +a(g344 +V) +tp2542 +a(g344 +V; +tp2543 +a(g189 +V\u000a +tp2544 +a(g189 +V +p2545 +tp2546 +a(g18 +VTitledBorder +p2547 +tp2548 +a(g189 +V +tp2549 +a(g18 +Vtb +p2550 +tp2551 +a(g189 +V +tp2552 +a(g344 +V= +tp2553 +a(g189 +V +tp2554 +a(g111 +Vnew +p2555 +tp2556 +a(g189 +V +tp2557 +a(g18 +VTitledBorder +p2558 +tp2559 +a(g344 +V( +tp2560 +a(g111 +Vnew +p2561 +tp2562 +a(g189 +V +tp2563 +a(g18 +VEtchedBorder +p2564 +tp2565 +a(g344 +V( +tp2566 +a(g344 +V) +tp2567 +a(g344 +V) +tp2568 +a(g344 +V; +tp2569 +a(g189 +V\u000a +tp2570 +a(g189 +V +p2571 +tp2572 +a(g18 +Vtb +p2573 +tp2574 +a(g344 +V. +tp2575 +a(g41 +VsetTitleFont +p2576 +tp2577 +a(g344 +V( +tp2578 +a(g18 +Vfont +p2579 +tp2580 +a(g344 +V) +tp2581 +a(g344 +V; +tp2582 +a(g189 +V\u000a +tp2583 +a(g189 +V +p2584 +tp2585 +a(g18 +Vtb +p2586 +tp2587 +a(g344 +V. +tp2588 +a(g41 +VsetTitle +p2589 +tp2590 +a(g344 +V( +tp2591 +a(g226 +V"Anim delay = " +p2592 +tp2593 +a(g189 +V +tp2594 +a(g344 +V+ +tp2595 +a(g189 +V +tp2596 +a(g18 +VString +p2597 +tp2598 +a(g344 +V. +tp2599 +a(g41 +VvalueOf +p2600 +tp2601 +a(g344 +V( +tp2602 +a(g18 +Vsurface +p2603 +tp2604 +a(g344 +V. +tp2605 +a(g41 +VsleepAmt +p2606 +tp2607 +a(g344 +V) +tp2608 +a(g189 +V +tp2609 +a(g344 +V+ +tp2610 +a(g189 +V +tp2611 +a(g226 +V" ms" +p2612 +tp2613 +a(g344 +V) +tp2614 +a(g344 +V; +tp2615 +a(g189 +V\u000a +tp2616 +a(g189 +V +p2617 +tp2618 +a(g18 +Vslider +p2619 +tp2620 +a(g344 +V. +tp2621 +a(g41 +VsetBorder +p2622 +tp2623 +a(g344 +V( +tp2624 +a(g18 +Vtb +p2625 +tp2626 +a(g344 +V) +tp2627 +a(g344 +V; +tp2628 +a(g189 +V\u000a +tp2629 +a(g189 +V +p2630 +tp2631 +a(g18 +Vslider +p2632 +tp2633 +a(g344 +V. +tp2634 +a(g41 +VsetPreferredSize +p2635 +tp2636 +a(g344 +V( +tp2637 +a(g111 +Vnew +p2638 +tp2639 +a(g189 +V +tp2640 +a(g18 +VDimension +p2641 +tp2642 +a(g344 +V( +tp2643 +a(g319 +V140 +p2644 +tp2645 +a(g344 +V, +tp2646 +a(g319 +V40 +p2647 +tp2648 +a(g344 +V) +tp2649 +a(g344 +V) +tp2650 +a(g344 +V; +tp2651 +a(g189 +V\u000a +tp2652 +a(g189 +V +p2653 +tp2654 +a(g18 +Vslider +p2655 +tp2656 +a(g344 +V. +tp2657 +a(g41 +VsetMinimumSize +p2658 +tp2659 +a(g344 +V( +tp2660 +a(g111 +Vnew +p2661 +tp2662 +a(g189 +V +tp2663 +a(g18 +VDimension +p2664 +tp2665 +a(g344 +V( +tp2666 +a(g319 +V100 +p2667 +tp2668 +a(g344 +V, +tp2669 +a(g319 +V40 +p2670 +tp2671 +a(g344 +V) +tp2672 +a(g344 +V) +tp2673 +a(g344 +V; +tp2674 +a(g189 +V\u000a +tp2675 +a(g189 +V +p2676 +tp2677 +a(g18 +Vslider +p2678 +tp2679 +a(g344 +V. +tp2680 +a(g41 +VsetMaximumSize +p2681 +tp2682 +a(g344 +V( +tp2683 +a(g111 +Vnew +p2684 +tp2685 +a(g189 +V +tp2686 +a(g18 +VDimension +p2687 +tp2688 +a(g344 +V( +tp2689 +a(g319 +V180 +p2690 +tp2691 +a(g344 +V, +tp2692 +a(g319 +V40 +p2693 +tp2694 +a(g344 +V) +tp2695 +a(g344 +V) +tp2696 +a(g344 +V; +tp2697 +a(g189 +V\u000a +tp2698 +a(g189 +V +p2699 +tp2700 +a(g18 +Vpanel +p2701 +tp2702 +a(g344 +V. +tp2703 +a(g41 +Vadd +p2704 +tp2705 +a(g344 +V( +tp2706 +a(g226 +V"East" +p2707 +tp2708 +a(g344 +V, +tp2709 +a(g189 +V +tp2710 +a(g18 +Vslider +p2711 +tp2712 +a(g344 +V) +tp2713 +a(g344 +V; +tp2714 +a(g189 +V\u000a +tp2715 +a(g189 +V\u000a +tp2716 +a(g189 +V +p2717 +tp2718 +a(g18 +Vadd +p2719 +tp2720 +a(g344 +V( +tp2721 +a(g226 +V"South" +p2722 +tp2723 +a(g344 +V, +tp2724 +a(g189 +V +tp2725 +a(g18 +Vpanel +p2726 +tp2727 +a(g344 +V) +tp2728 +a(g344 +V; +tp2729 +a(g189 +V\u000a +tp2730 +a(g189 +V +p2731 +tp2732 +a(g344 +V} +tp2733 +a(g189 +V\u000a +tp2734 +a(g189 +V\u000a +tp2735 +a(g189 +V\u000a +tp2736 +a(g189 +V +p2737 +tp2738 +a(g131 +Vpublic +p2739 +tp2740 +a(g189 +V +tp2741 +a(g139 +Vvoid +p2742 +tp2743 +a(g189 +V +tp2744 +a(g21 +VactionPerformed +p2745 +tp2746 +a(g344 +V( +tp2747 +a(g18 +VActionEvent +p2748 +tp2749 +a(g189 +V +tp2750 +a(g18 +Ve +tp2751 +a(g344 +V) +tp2752 +a(g189 +V +tp2753 +a(g344 +V{ +tp2754 +a(g189 +V\u000a +tp2755 +a(g189 +V +p2756 +tp2757 +a(g18 +VJButton +p2758 +tp2759 +a(g189 +V +tp2760 +a(g18 +Vb +tp2761 +a(g189 +V +tp2762 +a(g344 +V= +tp2763 +a(g189 +V +tp2764 +a(g344 +V( +tp2765 +a(g18 +VJButton +p2766 +tp2767 +a(g344 +V) +tp2768 +a(g189 +V +tp2769 +a(g18 +Ve +tp2770 +a(g344 +V. +tp2771 +a(g41 +VgetSource +p2772 +tp2773 +a(g344 +V( +tp2774 +a(g344 +V) +tp2775 +a(g344 +V; +tp2776 +a(g189 +V\u000a +tp2777 +a(g189 +V +p2778 +tp2779 +a(g18 +Vb +tp2780 +a(g344 +V. +tp2781 +a(g41 +VsetSelected +p2782 +tp2783 +a(g344 +V( +tp2784 +a(g344 +V! +tp2785 +a(g18 +Vb +tp2786 +a(g344 +V. +tp2787 +a(g41 +VisSelected +p2788 +tp2789 +a(g344 +V( +tp2790 +a(g344 +V) +tp2791 +a(g344 +V) +tp2792 +a(g344 +V; +tp2793 +a(g189 +V\u000a +tp2794 +a(g189 +V +p2795 +tp2796 +a(g18 +Vb +tp2797 +a(g344 +V. +tp2798 +a(g41 +VsetText +p2799 +tp2800 +a(g344 +V( +tp2801 +a(g18 +Vb +tp2802 +a(g344 +V. +tp2803 +a(g41 +VisSelected +p2804 +tp2805 +a(g344 +V( +tp2806 +a(g344 +V) +tp2807 +a(g189 +V +tp2808 +a(g344 +V? +tp2809 +a(g189 +V +tp2810 +a(g226 +V"Select All" +p2811 +tp2812 +a(g189 +V +tp2813 +a(g344 +V: +tp2814 +a(g189 +V +tp2815 +a(g226 +V"Unselect All" +p2816 +tp2817 +a(g344 +V) +tp2818 +a(g344 +V; +tp2819 +a(g189 +V\u000a +tp2820 +a(g189 +V +p2821 +tp2822 +a(g111 +Vfor +p2823 +tp2824 +a(g189 +V +tp2825 +a(g344 +V( +tp2826 +a(g139 +Vint +p2827 +tp2828 +a(g189 +V +tp2829 +a(g18 +Vi +tp2830 +a(g189 +V +tp2831 +a(g344 +V= +tp2832 +a(g189 +V +tp2833 +a(g319 +V0 +tp2834 +a(g344 +V; +tp2835 +a(g189 +V +tp2836 +a(g18 +Vi +tp2837 +a(g189 +V +tp2838 +a(g344 +V< +tp2839 +a(g189 +V +tp2840 +a(g18 +Vsurface +p2841 +tp2842 +a(g344 +V. +tp2843 +a(g41 +Vdirector +p2844 +tp2845 +a(g344 +V. +tp2846 +a(g41 +Vsize +p2847 +tp2848 +a(g344 +V( +tp2849 +a(g344 +V) +tp2850 +a(g344 +V; +tp2851 +a(g189 +V +tp2852 +a(g18 +Vi +tp2853 +a(g344 +V+ +tp2854 +a(g344 +V+ +tp2855 +a(g344 +V) +tp2856 +a(g189 +V +tp2857 +a(g344 +V{ +tp2858 +a(g189 +V\u000a +tp2859 +a(g189 +V +p2860 +tp2861 +a(g18 +VSurface +p2862 +tp2863 +a(g344 +V. +tp2864 +a(g41 +VScene +p2865 +tp2866 +a(g189 +V +tp2867 +a(g18 +Vscene +p2868 +tp2869 +a(g189 +V +tp2870 +a(g344 +V= +tp2871 +a(g189 +V +tp2872 +a(g344 +V( +tp2873 +a(g18 +VSurface +p2874 +tp2875 +a(g344 +V. +tp2876 +a(g41 +VScene +p2877 +tp2878 +a(g344 +V) +tp2879 +a(g189 +V +tp2880 +a(g18 +Vsurface +p2881 +tp2882 +a(g344 +V. +tp2883 +a(g41 +Vdirector +p2884 +tp2885 +a(g344 +V. +tp2886 +a(g41 +Vget +p2887 +tp2888 +a(g344 +V( +tp2889 +a(g18 +Vi +tp2890 +a(g344 +V) +tp2891 +a(g344 +V; +tp2892 +a(g189 +V +tp2893 +a(g189 +V\u000a +tp2894 +a(g189 +V +p2895 +tp2896 +a(g18 +Vscene +p2897 +tp2898 +a(g344 +V. +tp2899 +a(g41 +Vparticipate +p2900 +tp2901 +a(g189 +V +tp2902 +a(g344 +V= +tp2903 +a(g189 +V +tp2904 +a(g111 +Vnew +p2905 +tp2906 +a(g189 +V +tp2907 +a(g18 +VBoolean +p2908 +tp2909 +a(g344 +V( +tp2910 +a(g344 +V! +tp2911 +a(g18 +Vb +tp2912 +a(g344 +V. +tp2913 +a(g41 +VisSelected +p2914 +tp2915 +a(g344 +V( +tp2916 +a(g344 +V) +tp2917 +a(g344 +V) +tp2918 +a(g344 +V; +tp2919 +a(g189 +V\u000a +tp2920 +a(g189 +V +p2921 +tp2922 +a(g344 +V} +tp2923 +a(g189 +V\u000a +tp2924 +a(g189 +V +p2925 +tp2926 +a(g18 +Vtable +p2927 +tp2928 +a(g344 +V. +tp2929 +a(g41 +VtableChanged +p2930 +tp2931 +a(g344 +V( +tp2932 +a(g111 +Vnew +p2933 +tp2934 +a(g189 +V +tp2935 +a(g18 +VTableModelEvent +p2936 +tp2937 +a(g344 +V( +tp2938 +a(g18 +VdataModel +p2939 +tp2940 +a(g344 +V) +tp2941 +a(g344 +V) +tp2942 +a(g344 +V; +tp2943 +a(g189 +V\u000a +tp2944 +a(g189 +V +p2945 +tp2946 +a(g344 +V} +tp2947 +a(g189 +V\u000a +tp2948 +a(g189 +V\u000a +tp2949 +a(g189 +V\u000a +tp2950 +a(g189 +V +p2951 +tp2952 +a(g131 +Vpublic +p2953 +tp2954 +a(g189 +V +tp2955 +a(g139 +Vvoid +p2956 +tp2957 +a(g189 +V +tp2958 +a(g21 +VstateChanged +p2959 +tp2960 +a(g344 +V( +tp2961 +a(g18 +VChangeEvent +p2962 +tp2963 +a(g189 +V +tp2964 +a(g18 +Ve +tp2965 +a(g344 +V) +tp2966 +a(g189 +V +tp2967 +a(g344 +V{ +tp2968 +a(g189 +V\u000a +tp2969 +a(g189 +V +p2970 +tp2971 +a(g18 +VJSlider +p2972 +tp2973 +a(g189 +V +tp2974 +a(g18 +Vslider +p2975 +tp2976 +a(g189 +V +tp2977 +a(g344 +V= +tp2978 +a(g189 +V +tp2979 +a(g344 +V( +tp2980 +a(g18 +VJSlider +p2981 +tp2982 +a(g344 +V) +tp2983 +a(g189 +V +tp2984 +a(g18 +Ve +tp2985 +a(g344 +V. +tp2986 +a(g41 +VgetSource +p2987 +tp2988 +a(g344 +V( +tp2989 +a(g344 +V) +tp2990 +a(g344 +V; +tp2991 +a(g189 +V\u000a +tp2992 +a(g189 +V +p2993 +tp2994 +a(g139 +Vint +p2995 +tp2996 +a(g189 +V +tp2997 +a(g18 +Vvalue +p2998 +tp2999 +a(g189 +V +tp3000 +a(g344 +V= +tp3001 +a(g189 +V +tp3002 +a(g18 +Vslider +p3003 +tp3004 +a(g344 +V. +tp3005 +a(g41 +VgetValue +p3006 +tp3007 +a(g344 +V( +tp3008 +a(g344 +V) +tp3009 +a(g344 +V; +tp3010 +a(g189 +V\u000a +tp3011 +a(g189 +V +p3012 +tp3013 +a(g18 +VTitledBorder +p3014 +tp3015 +a(g189 +V +tp3016 +a(g18 +Vtb +p3017 +tp3018 +a(g189 +V +tp3019 +a(g344 +V= +tp3020 +a(g189 +V +tp3021 +a(g344 +V( +tp3022 +a(g18 +VTitledBorder +p3023 +tp3024 +a(g344 +V) +tp3025 +a(g189 +V +tp3026 +a(g18 +Vslider +p3027 +tp3028 +a(g344 +V. +tp3029 +a(g41 +VgetBorder +p3030 +tp3031 +a(g344 +V( +tp3032 +a(g344 +V) +tp3033 +a(g344 +V; +tp3034 +a(g189 +V\u000a +tp3035 +a(g189 +V +p3036 +tp3037 +a(g18 +Vtb +p3038 +tp3039 +a(g344 +V. +tp3040 +a(g41 +VsetTitle +p3041 +tp3042 +a(g344 +V( +tp3043 +a(g226 +V"Anim delay = " +p3044 +tp3045 +a(g189 +V +tp3046 +a(g344 +V+ +tp3047 +a(g189 +V +tp3048 +a(g18 +VString +p3049 +tp3050 +a(g344 +V. +tp3051 +a(g41 +VvalueOf +p3052 +tp3053 +a(g344 +V( +tp3054 +a(g18 +Vvalue +p3055 +tp3056 +a(g344 +V) +tp3057 +a(g189 +V +tp3058 +a(g344 +V+ +tp3059 +a(g189 +V +tp3060 +a(g226 +V" ms" +p3061 +tp3062 +a(g344 +V) +tp3063 +a(g344 +V; +tp3064 +a(g189 +V\u000a +tp3065 +a(g189 +V +p3066 +tp3067 +a(g18 +Vsurface +p3068 +tp3069 +a(g344 +V. +tp3070 +a(g41 +VsleepAmt +p3071 +tp3072 +a(g189 +V +tp3073 +a(g344 +V= +tp3074 +a(g189 +V +tp3075 +a(g344 +V( +tp3076 +a(g139 +Vlong +p3077 +tp3078 +a(g344 +V) +tp3079 +a(g189 +V +tp3080 +a(g18 +Vvalue +p3081 +tp3082 +a(g344 +V; +tp3083 +a(g189 +V\u000a +tp3084 +a(g189 +V +p3085 +tp3086 +a(g18 +Vslider +p3087 +tp3088 +a(g344 +V. +tp3089 +a(g41 +Vrepaint +p3090 +tp3091 +a(g344 +V( +tp3092 +a(g344 +V) +tp3093 +a(g344 +V; +tp3094 +a(g189 +V\u000a +tp3095 +a(g189 +V +p3096 +tp3097 +a(g344 +V} +tp3098 +a(g189 +V\u000a +tp3099 +a(g189 +V +p3100 +tp3101 +a(g344 +V} +tp3102 +a(g189 +V +p3103 +tp3104 +a(g358 +V// End ScenesTable class\u000a +p3105 +tp3106 +a(g189 +V\u000a +tp3107 +a(g189 +V\u000a +tp3108 +a(g189 +V\u000a +tp3109 +a(g189 +V +p3110 +tp3111 +a(g7 +V/**\u000a * Surface is the stage where the Director plays its scenes.\u000a */ +p3112 +tp3113 +a(g189 +V\u000a +tp3114 +a(g189 +V +p3115 +tp3116 +a(g131 +Vstatic +p3117 +tp3118 +a(g189 +V +tp3119 +a(g131 +Vclass +p3120 +tp3121 +a(g189 +V +tp3122 +a(g106 +VSurface +p3123 +tp3124 +a(g189 +V +tp3125 +a(g131 +Vextends +p3126 +tp3127 +a(g189 +V +tp3128 +a(g18 +VJPanel +p3129 +tp3130 +a(g189 +V +tp3131 +a(g131 +Vimplements +p3132 +tp3133 +a(g189 +V +tp3134 +a(g18 +VRunnable +p3135 +tp3136 +a(g189 +V +tp3137 +a(g344 +V{ +tp3138 +a(g189 +V\u000a +tp3139 +a(g189 +V\u000a +tp3140 +a(g189 +V +p3141 +tp3142 +a(g131 +Vstatic +p3143 +tp3144 +a(g189 +V +tp3145 +a(g18 +VSurface +p3146 +tp3147 +a(g189 +V +tp3148 +a(g18 +Vsurf +p3149 +tp3150 +a(g344 +V; +tp3151 +a(g189 +V\u000a +tp3152 +a(g189 +V +p3153 +tp3154 +a(g131 +Vstatic +p3155 +tp3156 +a(g189 +V +tp3157 +a(g18 +VImage +p3158 +tp3159 +a(g189 +V +tp3160 +a(g18 +Vcupanim +p3161 +tp3162 +a(g344 +V, +tp3163 +a(g189 +V +tp3164 +a(g18 +Vjava_logo +p3165 +tp3166 +a(g344 +V; +tp3167 +a(g189 +V\u000a +tp3168 +a(g189 +V +p3169 +tp3170 +a(g131 +Vstatic +p3171 +tp3172 +a(g189 +V +tp3173 +a(g18 +VBufferedImage +p3174 +tp3175 +a(g189 +V +tp3176 +a(g18 +Vbimg +p3177 +tp3178 +a(g344 +V; +tp3179 +a(g189 +V\u000a +tp3180 +a(g189 +V +p3181 +tp3182 +a(g131 +Vpublic +p3183 +tp3184 +a(g189 +V +tp3185 +a(g18 +VDirector +p3186 +tp3187 +a(g189 +V +tp3188 +a(g18 +Vdirector +p3189 +tp3190 +a(g344 +V; +tp3191 +a(g189 +V\u000a +tp3192 +a(g189 +V +p3193 +tp3194 +a(g131 +Vpublic +p3195 +tp3196 +a(g189 +V +tp3197 +a(g139 +Vint +p3198 +tp3199 +a(g189 +V +tp3200 +a(g18 +Vindex +p3201 +tp3202 +a(g344 +V; +tp3203 +a(g189 +V\u000a +tp3204 +a(g189 +V +p3205 +tp3206 +a(g131 +Vpublic +p3207 +tp3208 +a(g189 +V +tp3209 +a(g139 +Vlong +p3210 +tp3211 +a(g189 +V +tp3212 +a(g18 +VsleepAmt +p3213 +tp3214 +a(g189 +V +tp3215 +a(g344 +V= +tp3216 +a(g189 +V +tp3217 +a(g319 +V30 +p3218 +tp3219 +a(g344 +V; +tp3220 +a(g189 +V\u000a +tp3221 +a(g189 +V +p3222 +tp3223 +a(g131 +Vprivate +p3224 +tp3225 +a(g189 +V +tp3226 +a(g18 +VThread +p3227 +tp3228 +a(g189 +V +tp3229 +a(g18 +Vthread +p3230 +tp3231 +a(g344 +V; +tp3232 +a(g189 +V\u000a +tp3233 +a(g189 +V\u000a +tp3234 +a(g189 +V\u000a +tp3235 +a(g189 +V +p3236 +tp3237 +a(g131 +Vpublic +p3238 +tp3239 +a(g189 +V +tp3240 +a(g21 +VSurface +p3241 +tp3242 +a(g344 +V( +tp3243 +a(g344 +V) +tp3244 +a(g189 +V +tp3245 +a(g344 +V{ +tp3246 +a(g189 +V\u000a +tp3247 +a(g189 +V +p3248 +tp3249 +a(g18 +Vsurf +p3250 +tp3251 +a(g189 +V +tp3252 +a(g344 +V= +tp3253 +a(g189 +V +tp3254 +a(g111 +Vthis +p3255 +tp3256 +a(g344 +V; +tp3257 +a(g189 +V\u000a +tp3258 +a(g189 +V +p3259 +tp3260 +a(g18 +VsetBackground +p3261 +tp3262 +a(g344 +V( +tp3263 +a(g18 +Vblack +p3264 +tp3265 +a(g344 +V) +tp3266 +a(g344 +V; +tp3267 +a(g189 +V\u000a +tp3268 +a(g189 +V +p3269 +tp3270 +a(g18 +VsetLayout +p3271 +tp3272 +a(g344 +V( +tp3273 +a(g111 +Vnew +p3274 +tp3275 +a(g189 +V +tp3276 +a(g18 +VBorderLayout +p3277 +tp3278 +a(g344 +V( +tp3279 +a(g344 +V) +tp3280 +a(g344 +V) +tp3281 +a(g344 +V; +tp3282 +a(g189 +V\u000a +tp3283 +a(g189 +V +p3284 +tp3285 +a(g18 +VaddMouseListener +p3286 +tp3287 +a(g344 +V( +tp3288 +a(g111 +Vnew +p3289 +tp3290 +a(g189 +V +tp3291 +a(g18 +VMouseAdapter +p3292 +tp3293 +a(g344 +V( +tp3294 +a(g344 +V) +tp3295 +a(g189 +V +tp3296 +a(g344 +V{ +tp3297 +a(g189 +V\u000a +tp3298 +a(g189 +V +p3299 +tp3300 +a(g131 +Vpublic +p3301 +tp3302 +a(g189 +V +tp3303 +a(g139 +Vvoid +p3304 +tp3305 +a(g189 +V +tp3306 +a(g21 +VmouseClicked +p3307 +tp3308 +a(g344 +V( +tp3309 +a(g18 +VMouseEvent +p3310 +tp3311 +a(g189 +V +tp3312 +a(g18 +Ve +tp3313 +a(g344 +V) +tp3314 +a(g189 +V +tp3315 +a(g344 +V{ +tp3316 +a(g189 +V\u000a +tp3317 +a(g189 +V +p3318 +tp3319 +a(g111 +Vif +p3320 +tp3321 +a(g189 +V +tp3322 +a(g344 +V( +tp3323 +a(g18 +Vthread +p3324 +tp3325 +a(g189 +V +tp3326 +a(g344 +V= +tp3327 +a(g344 +V= +tp3328 +a(g189 +V +tp3329 +a(g117 +Vnull +p3330 +tp3331 +a(g344 +V) +tp3332 +a(g189 +V +tp3333 +a(g18 +Vstart +p3334 +tp3335 +a(g344 +V( +tp3336 +a(g344 +V) +tp3337 +a(g344 +V; +tp3338 +a(g189 +V +tp3339 +a(g111 +Velse +p3340 +tp3341 +a(g189 +V +tp3342 +a(g18 +Vstop +p3343 +tp3344 +a(g344 +V( +tp3345 +a(g344 +V) +tp3346 +a(g344 +V; +tp3347 +a(g189 +V\u000a +tp3348 +a(g189 +V +p3349 +tp3350 +a(g344 +V} +tp3351 +a(g189 +V\u000a +tp3352 +a(g189 +V +p3353 +tp3354 +a(g344 +V} +tp3355 +a(g344 +V) +tp3356 +a(g344 +V; +tp3357 +a(g189 +V\u000a +tp3358 +a(g189 +V +p3359 +tp3360 +a(g18 +Vcupanim +p3361 +tp3362 +a(g189 +V +tp3363 +a(g344 +V= +tp3364 +a(g189 +V +tp3365 +a(g18 +VDemoImages +p3366 +tp3367 +a(g344 +V. +tp3368 +a(g41 +VgetImage +p3369 +tp3370 +a(g344 +V( +tp3371 +a(g226 +V"cupanim.gif" +p3372 +tp3373 +a(g344 +V, +tp3374 +a(g189 +V +tp3375 +a(g111 +Vthis +p3376 +tp3377 +a(g344 +V) +tp3378 +a(g344 +V; +tp3379 +a(g189 +V\u000a +tp3380 +a(g189 +V +p3381 +tp3382 +a(g18 +Vjava_logo +p3383 +tp3384 +a(g189 +V +tp3385 +a(g344 +V= +tp3386 +a(g189 +V +tp3387 +a(g18 +VDemoImages +p3388 +tp3389 +a(g344 +V. +tp3390 +a(g41 +VgetImage +p3391 +tp3392 +a(g344 +V( +tp3393 +a(g226 +V"java_logo.png" +p3394 +tp3395 +a(g344 +V, +tp3396 +a(g189 +V +tp3397 +a(g111 +Vthis +p3398 +tp3399 +a(g344 +V) +tp3400 +a(g344 +V; +tp3401 +a(g189 +V\u000a +tp3402 +a(g189 +V +p3403 +tp3404 +a(g18 +Vdirector +p3405 +tp3406 +a(g189 +V +tp3407 +a(g344 +V= +tp3408 +a(g189 +V +tp3409 +a(g111 +Vnew +p3410 +tp3411 +a(g189 +V +tp3412 +a(g18 +VDirector +p3413 +tp3414 +a(g344 +V( +tp3415 +a(g344 +V) +tp3416 +a(g344 +V; +tp3417 +a(g189 +V\u000a +tp3418 +a(g189 +V +p3419 +tp3420 +a(g344 +V} +tp3421 +a(g189 +V\u000a +tp3422 +a(g189 +V\u000a +tp3423 +a(g189 +V\u000a +tp3424 +a(g189 +V +p3425 +tp3426 +a(g131 +Vstatic +p3427 +tp3428 +a(g189 +V +tp3429 +a(g18 +VFontMetrics +p3430 +tp3431 +a(g189 +V +tp3432 +a(g21 +VgetMetrics +p3433 +tp3434 +a(g344 +V( +tp3435 +a(g18 +VFont +p3436 +tp3437 +a(g189 +V +tp3438 +a(g18 +Vfont +p3439 +tp3440 +a(g344 +V) +tp3441 +a(g189 +V +tp3442 +a(g344 +V{ +tp3443 +a(g189 +V\u000a +tp3444 +a(g189 +V +p3445 +tp3446 +a(g111 +Vreturn +p3447 +tp3448 +a(g189 +V +tp3449 +a(g18 +Vsurf +p3450 +tp3451 +a(g344 +V. +tp3452 +a(g41 +VgetFontMetrics +p3453 +tp3454 +a(g344 +V( +tp3455 +a(g18 +Vfont +p3456 +tp3457 +a(g344 +V) +tp3458 +a(g344 +V; +tp3459 +a(g189 +V\u000a +tp3460 +a(g189 +V +p3461 +tp3462 +a(g344 +V} +tp3463 +a(g189 +V\u000a +tp3464 +a(g189 +V\u000a +tp3465 +a(g189 +V\u000a +tp3466 +a(g189 +V +p3467 +tp3468 +a(g131 +Vpublic +p3469 +tp3470 +a(g189 +V +tp3471 +a(g139 +Vvoid +p3472 +tp3473 +a(g189 +V +tp3474 +a(g21 +Vpaint +p3475 +tp3476 +a(g344 +V( +tp3477 +a(g18 +VGraphics +p3478 +tp3479 +a(g189 +V +tp3480 +a(g18 +Vg +tp3481 +a(g344 +V) +tp3482 +a(g189 +V +tp3483 +a(g344 +V{ +tp3484 +a(g189 +V\u000a +tp3485 +a(g189 +V +p3486 +tp3487 +a(g18 +VDimension +p3488 +tp3489 +a(g189 +V +tp3490 +a(g18 +Vd +tp3491 +a(g189 +V +tp3492 +a(g344 +V= +tp3493 +a(g189 +V +tp3494 +a(g18 +VgetSize +p3495 +tp3496 +a(g344 +V( +tp3497 +a(g344 +V) +tp3498 +a(g344 +V; +tp3499 +a(g189 +V\u000a +tp3500 +a(g189 +V +p3501 +tp3502 +a(g111 +Vif +p3503 +tp3504 +a(g189 +V +tp3505 +a(g344 +V( +tp3506 +a(g18 +Vd +tp3507 +a(g344 +V. +tp3508 +a(g41 +Vwidth +p3509 +tp3510 +a(g189 +V +tp3511 +a(g344 +V< +tp3512 +a(g344 +V= +tp3513 +a(g189 +V +tp3514 +a(g319 +V0 +tp3515 +a(g189 +V +tp3516 +a(g344 +V| +tp3517 +a(g344 +V| +tp3518 +a(g189 +V +tp3519 +a(g18 +Vd +tp3520 +a(g344 +V. +tp3521 +a(g41 +Vheight +p3522 +tp3523 +a(g189 +V +tp3524 +a(g344 +V< +tp3525 +a(g344 +V= +tp3526 +a(g189 +V +tp3527 +a(g319 +V0 +tp3528 +a(g344 +V) +tp3529 +a(g189 +V +tp3530 +a(g344 +V{ +tp3531 +a(g189 +V\u000a +tp3532 +a(g189 +V +p3533 +tp3534 +a(g111 +Vreturn +p3535 +tp3536 +a(g344 +V; +tp3537 +a(g189 +V\u000a +tp3538 +a(g189 +V +p3539 +tp3540 +a(g344 +V} +tp3541 +a(g189 +V\u000a +tp3542 +a(g189 +V +p3543 +tp3544 +a(g111 +Vif +p3545 +tp3546 +a(g189 +V +tp3547 +a(g344 +V( +tp3548 +a(g18 +Vbimg +p3549 +tp3550 +a(g189 +V +tp3551 +a(g344 +V= +tp3552 +a(g344 +V= +tp3553 +a(g189 +V +tp3554 +a(g117 +Vnull +p3555 +tp3556 +a(g189 +V +tp3557 +a(g344 +V| +tp3558 +a(g344 +V| +tp3559 +a(g189 +V +tp3560 +a(g18 +Vbimg +p3561 +tp3562 +a(g344 +V. +tp3563 +a(g41 +VgetWidth +p3564 +tp3565 +a(g344 +V( +tp3566 +a(g344 +V) +tp3567 +a(g189 +V +tp3568 +a(g344 +V! +tp3569 +a(g344 +V= +tp3570 +a(g189 +V +tp3571 +a(g18 +Vd +tp3572 +a(g344 +V. +tp3573 +a(g41 +Vwidth +p3574 +tp3575 +a(g189 +V +tp3576 +a(g344 +V| +tp3577 +a(g344 +V| +tp3578 +a(g189 +V +tp3579 +a(g18 +Vbimg +p3580 +tp3581 +a(g344 +V. +tp3582 +a(g41 +VgetHeight +p3583 +tp3584 +a(g344 +V( +tp3585 +a(g344 +V) +tp3586 +a(g189 +V +tp3587 +a(g344 +V! +tp3588 +a(g344 +V= +tp3589 +a(g189 +V +tp3590 +a(g18 +Vd +tp3591 +a(g344 +V. +tp3592 +a(g41 +Vheight +p3593 +tp3594 +a(g344 +V) +tp3595 +a(g189 +V +tp3596 +a(g344 +V{ +tp3597 +a(g189 +V\u000a +tp3598 +a(g189 +V +p3599 +tp3600 +a(g18 +Vbimg +p3601 +tp3602 +a(g189 +V +tp3603 +a(g344 +V= +tp3604 +a(g189 +V +tp3605 +a(g18 +VgetGraphicsConfiguration +p3606 +tp3607 +a(g344 +V( +tp3608 +a(g344 +V) +tp3609 +a(g344 +V. +tp3610 +a(g41 +VcreateCompatibleImage +p3611 +tp3612 +a(g344 +V( +tp3613 +a(g18 +Vd +tp3614 +a(g344 +V. +tp3615 +a(g41 +Vwidth +p3616 +tp3617 +a(g344 +V, +tp3618 +a(g189 +V +tp3619 +a(g18 +Vd +tp3620 +a(g344 +V. +tp3621 +a(g41 +Vheight +p3622 +tp3623 +a(g344 +V) +tp3624 +a(g344 +V; +tp3625 +a(g189 +V +p3626 +tp3627 +a(g189 +V\u000a +tp3628 +a(g189 +V +p3629 +tp3630 +a(g358 +V// reset future scenes\u000a +p3631 +tp3632 +a(g189 +V +p3633 +tp3634 +a(g111 +Vfor +p3635 +tp3636 +a(g189 +V +tp3637 +a(g344 +V( +tp3638 +a(g139 +Vint +p3639 +tp3640 +a(g189 +V +tp3641 +a(g18 +Vi +tp3642 +a(g189 +V +tp3643 +a(g344 +V= +tp3644 +a(g189 +V +tp3645 +a(g18 +Vindex +p3646 +tp3647 +a(g344 +V+ +tp3648 +a(g319 +V1 +tp3649 +a(g344 +V; +tp3650 +a(g189 +V +tp3651 +a(g18 +Vi +tp3652 +a(g189 +V +tp3653 +a(g344 +V< +tp3654 +a(g189 +V +tp3655 +a(g18 +Vdirector +p3656 +tp3657 +a(g344 +V. +tp3658 +a(g41 +Vsize +p3659 +tp3660 +a(g344 +V( +tp3661 +a(g344 +V) +tp3662 +a(g344 +V; +tp3663 +a(g189 +V +tp3664 +a(g18 +Vi +tp3665 +a(g344 +V+ +tp3666 +a(g344 +V+ +tp3667 +a(g344 +V) +tp3668 +a(g189 +V +tp3669 +a(g344 +V{ +tp3670 +a(g189 +V\u000a +tp3671 +a(g189 +V +p3672 +tp3673 +a(g344 +V( +tp3674 +a(g344 +V( +tp3675 +a(g18 +VScene +p3676 +tp3677 +a(g344 +V) +tp3678 +a(g189 +V +tp3679 +a(g18 +Vdirector +p3680 +tp3681 +a(g344 +V. +tp3682 +a(g41 +Vget +p3683 +tp3684 +a(g344 +V( +tp3685 +a(g18 +Vi +tp3686 +a(g344 +V) +tp3687 +a(g344 +V) +tp3688 +a(g344 +V. +tp3689 +a(g41 +Vreset +p3690 +tp3691 +a(g344 +V( +tp3692 +a(g18 +Vd +tp3693 +a(g344 +V. +tp3694 +a(g41 +Vwidth +p3695 +tp3696 +a(g344 +V, +tp3697 +a(g189 +V +tp3698 +a(g18 +Vd +tp3699 +a(g344 +V. +tp3700 +a(g41 +Vheight +p3701 +tp3702 +a(g344 +V) +tp3703 +a(g344 +V; +tp3704 +a(g189 +V\u000a +tp3705 +a(g189 +V +p3706 +tp3707 +a(g344 +V} +tp3708 +a(g189 +V\u000a +tp3709 +a(g189 +V +p3710 +tp3711 +a(g344 +V} +tp3712 +a(g189 +V\u000a +tp3713 +a(g189 +V\u000a +tp3714 +a(g189 +V +p3715 +tp3716 +a(g18 +VScene +p3717 +tp3718 +a(g189 +V +tp3719 +a(g18 +Vscene +p3720 +tp3721 +a(g189 +V +tp3722 +a(g344 +V= +tp3723 +a(g189 +V +tp3724 +a(g344 +V( +tp3725 +a(g18 +VScene +p3726 +tp3727 +a(g344 +V) +tp3728 +a(g189 +V +tp3729 +a(g18 +Vdirector +p3730 +tp3731 +a(g344 +V. +tp3732 +a(g41 +Vget +p3733 +tp3734 +a(g344 +V( +tp3735 +a(g18 +Vindex +p3736 +tp3737 +a(g344 +V) +tp3738 +a(g344 +V; +tp3739 +a(g189 +V\u000a +tp3740 +a(g189 +V +p3741 +tp3742 +a(g111 +Vif +p3743 +tp3744 +a(g189 +V +tp3745 +a(g344 +V( +tp3746 +a(g18 +Vscene +p3747 +tp3748 +a(g344 +V. +tp3749 +a(g41 +Vindex +p3750 +tp3751 +a(g189 +V +tp3752 +a(g344 +V< +tp3753 +a(g344 +V= +tp3754 +a(g189 +V +tp3755 +a(g18 +Vscene +p3756 +tp3757 +a(g344 +V. +tp3758 +a(g41 +Vlength +p3759 +tp3760 +a(g344 +V) +tp3761 +a(g189 +V +tp3762 +a(g344 +V{ +tp3763 +a(g189 +V\u000a +tp3764 +a(g189 +V +p3765 +tp3766 +a(g111 +Vif +p3767 +tp3768 +a(g189 +V +tp3769 +a(g344 +V( +tp3770 +a(g18 +Vthread +p3771 +tp3772 +a(g189 +V +tp3773 +a(g344 +V! +tp3774 +a(g344 +V= +tp3775 +a(g189 +V +tp3776 +a(g117 +Vnull +p3777 +tp3778 +a(g344 +V) +tp3779 +a(g189 +V +tp3780 +a(g344 +V{ +tp3781 +a(g189 +V\u000a +tp3782 +a(g189 +V +p3783 +tp3784 +a(g18 +Vscene +p3785 +tp3786 +a(g344 +V. +tp3787 +a(g41 +Vstep +p3788 +tp3789 +a(g344 +V( +tp3790 +a(g18 +Vd +tp3791 +a(g344 +V. +tp3792 +a(g41 +Vwidth +p3793 +tp3794 +a(g344 +V, +tp3795 +a(g189 +V +tp3796 +a(g18 +Vd +tp3797 +a(g344 +V. +tp3798 +a(g41 +Vheight +p3799 +tp3800 +a(g344 +V) +tp3801 +a(g344 +V; +tp3802 +a(g189 +V\u000a +tp3803 +a(g189 +V +p3804 +tp3805 +a(g344 +V} +tp3806 +a(g189 +V\u000a +tp3807 +a(g189 +V\u000a +tp3808 +a(g189 +V +p3809 +tp3810 +a(g18 +VGraphics2D +p3811 +tp3812 +a(g189 +V +tp3813 +a(g18 +Vg2 +p3814 +tp3815 +a(g189 +V +tp3816 +a(g344 +V= +tp3817 +a(g189 +V +tp3818 +a(g18 +Vbimg +p3819 +tp3820 +a(g344 +V. +tp3821 +a(g41 +VcreateGraphics +p3822 +tp3823 +a(g344 +V( +tp3824 +a(g344 +V) +tp3825 +a(g344 +V; +tp3826 +a(g189 +V\u000a +tp3827 +a(g189 +V +p3828 +tp3829 +a(g18 +Vg2 +p3830 +tp3831 +a(g344 +V. +tp3832 +a(g41 +VsetRenderingHint +p3833 +tp3834 +a(g344 +V( +tp3835 +a(g18 +VRenderingHints +p3836 +tp3837 +a(g344 +V. +tp3838 +a(g41 +VKEY_ANTIALIASING +p3839 +tp3840 +a(g344 +V, +tp3841 +a(g189 +V +tp3842 +a(g189 +V\u000a +tp3843 +a(g189 +V +p3844 +tp3845 +a(g18 +VRenderingHints +p3846 +tp3847 +a(g344 +V. +tp3848 +a(g41 +VVALUE_ANTIALIAS_ON +p3849 +tp3850 +a(g344 +V) +tp3851 +a(g344 +V; +tp3852 +a(g189 +V\u000a +tp3853 +a(g189 +V +p3854 +tp3855 +a(g18 +Vg2 +p3856 +tp3857 +a(g344 +V. +tp3858 +a(g41 +VsetBackground +p3859 +tp3860 +a(g344 +V( +tp3861 +a(g18 +VgetBackground +p3862 +tp3863 +a(g344 +V( +tp3864 +a(g344 +V) +tp3865 +a(g344 +V) +tp3866 +a(g344 +V; +tp3867 +a(g189 +V\u000a +tp3868 +a(g189 +V +p3869 +tp3870 +a(g18 +Vg2 +p3871 +tp3872 +a(g344 +V. +tp3873 +a(g41 +VclearRect +p3874 +tp3875 +a(g344 +V( +tp3876 +a(g319 +V0 +tp3877 +a(g344 +V, +tp3878 +a(g189 +V +tp3879 +a(g319 +V0 +tp3880 +a(g344 +V, +tp3881 +a(g189 +V +tp3882 +a(g18 +Vd +tp3883 +a(g344 +V. +tp3884 +a(g41 +Vwidth +p3885 +tp3886 +a(g344 +V, +tp3887 +a(g189 +V +tp3888 +a(g18 +Vd +tp3889 +a(g344 +V. +tp3890 +a(g41 +Vheight +p3891 +tp3892 +a(g344 +V) +tp3893 +a(g344 +V; +tp3894 +a(g189 +V\u000a +tp3895 +a(g189 +V +p3896 +tp3897 +a(g189 +V\u000a +tp3898 +a(g189 +V +p3899 +tp3900 +a(g18 +Vscene +p3901 +tp3902 +a(g344 +V. +tp3903 +a(g41 +Vrender +p3904 +tp3905 +a(g344 +V( +tp3906 +a(g18 +Vd +tp3907 +a(g344 +V. +tp3908 +a(g41 +Vwidth +p3909 +tp3910 +a(g344 +V, +tp3911 +a(g189 +V +tp3912 +a(g18 +Vd +tp3913 +a(g344 +V. +tp3914 +a(g41 +Vheight +p3915 +tp3916 +a(g344 +V, +tp3917 +a(g189 +V +tp3918 +a(g18 +Vg2 +p3919 +tp3920 +a(g344 +V) +tp3921 +a(g344 +V; +tp3922 +a(g189 +V\u000a +tp3923 +a(g189 +V\u000a +tp3924 +a(g189 +V +p3925 +tp3926 +a(g111 +Vif +p3927 +tp3928 +a(g189 +V +tp3929 +a(g344 +V( +tp3930 +a(g18 +Vthread +p3931 +tp3932 +a(g189 +V +tp3933 +a(g344 +V! +tp3934 +a(g344 +V= +tp3935 +a(g189 +V +tp3936 +a(g117 +Vnull +p3937 +tp3938 +a(g344 +V) +tp3939 +a(g189 +V +tp3940 +a(g344 +V{ +tp3941 +a(g189 +V\u000a +tp3942 +a(g189 +V +p3943 +tp3944 +a(g358 +V// increment scene.index after scene.render\u000a +p3945 +tp3946 +a(g189 +V +p3947 +tp3948 +a(g18 +Vscene +p3949 +tp3950 +a(g344 +V. +tp3951 +a(g41 +Vindex +p3952 +tp3953 +a(g344 +V+ +tp3954 +a(g344 +V+ +tp3955 +a(g344 +V; +tp3956 +a(g189 +V +p3957 +tp3958 +a(g189 +V\u000a +tp3959 +a(g189 +V +p3960 +tp3961 +a(g344 +V} +tp3962 +a(g189 +V\u000a +tp3963 +a(g189 +V +p3964 +tp3965 +a(g18 +Vg2 +p3966 +tp3967 +a(g344 +V. +tp3968 +a(g41 +Vdispose +p3969 +tp3970 +a(g344 +V( +tp3971 +a(g344 +V) +tp3972 +a(g344 +V; +tp3973 +a(g189 +V\u000a +tp3974 +a(g189 +V +p3975 +tp3976 +a(g344 +V} +tp3977 +a(g189 +V\u000a +tp3978 +a(g189 +V +p3979 +tp3980 +a(g18 +Vg +tp3981 +a(g344 +V. +tp3982 +a(g41 +VdrawImage +p3983 +tp3984 +a(g344 +V( +tp3985 +a(g18 +Vbimg +p3986 +tp3987 +a(g344 +V, +tp3988 +a(g189 +V +tp3989 +a(g319 +V0 +tp3990 +a(g344 +V, +tp3991 +a(g189 +V +tp3992 +a(g319 +V0 +tp3993 +a(g344 +V, +tp3994 +a(g189 +V +tp3995 +a(g111 +Vthis +p3996 +tp3997 +a(g344 +V) +tp3998 +a(g344 +V; +tp3999 +a(g189 +V\u000a +tp4000 +a(g189 +V +p4001 +tp4002 +a(g344 +V} +tp4003 +a(g189 +V\u000a +tp4004 +a(g189 +V\u000a +tp4005 +a(g189 +V\u000a +tp4006 +a(g189 +V\u000a +tp4007 +a(g189 +V +p4008 +tp4009 +a(g131 +Vpublic +p4010 +tp4011 +a(g189 +V +tp4012 +a(g139 +Vvoid +p4013 +tp4014 +a(g189 +V +tp4015 +a(g21 +Vstart +p4016 +tp4017 +a(g344 +V( +tp4018 +a(g344 +V) +tp4019 +a(g189 +V +tp4020 +a(g344 +V{ +tp4021 +a(g189 +V\u000a +tp4022 +a(g189 +V +p4023 +tp4024 +a(g111 +Vif +p4025 +tp4026 +a(g189 +V +tp4027 +a(g344 +V( +tp4028 +a(g18 +Vthread +p4029 +tp4030 +a(g189 +V +tp4031 +a(g344 +V= +tp4032 +a(g344 +V= +tp4033 +a(g189 +V +tp4034 +a(g117 +Vnull +p4035 +tp4036 +a(g344 +V) +tp4037 +a(g189 +V +tp4038 +a(g344 +V{ +tp4039 +a(g189 +V\u000a +tp4040 +a(g189 +V +p4041 +tp4042 +a(g18 +Vthread +p4043 +tp4044 +a(g189 +V +tp4045 +a(g344 +V= +tp4046 +a(g189 +V +tp4047 +a(g111 +Vnew +p4048 +tp4049 +a(g189 +V +tp4050 +a(g18 +VThread +p4051 +tp4052 +a(g344 +V( +tp4053 +a(g111 +Vthis +p4054 +tp4055 +a(g344 +V) +tp4056 +a(g344 +V; +tp4057 +a(g189 +V\u000a +tp4058 +a(g189 +V +p4059 +tp4060 +a(g18 +Vthread +p4061 +tp4062 +a(g344 +V. +tp4063 +a(g41 +VsetPriority +p4064 +tp4065 +a(g344 +V( +tp4066 +a(g18 +VThread +p4067 +tp4068 +a(g344 +V. +tp4069 +a(g41 +VMIN_PRIORITY +p4070 +tp4071 +a(g344 +V) +tp4072 +a(g344 +V; +tp4073 +a(g189 +V\u000a +tp4074 +a(g189 +V +p4075 +tp4076 +a(g18 +Vthread +p4077 +tp4078 +a(g344 +V. +tp4079 +a(g41 +VsetName +p4080 +tp4081 +a(g344 +V( +tp4082 +a(g226 +V"Intro" +p4083 +tp4084 +a(g344 +V) +tp4085 +a(g344 +V; +tp4086 +a(g189 +V\u000a +tp4087 +a(g189 +V +p4088 +tp4089 +a(g18 +Vthread +p4090 +tp4091 +a(g344 +V. +tp4092 +a(g41 +Vstart +p4093 +tp4094 +a(g344 +V( +tp4095 +a(g344 +V) +tp4096 +a(g344 +V; +tp4097 +a(g189 +V\u000a +tp4098 +a(g189 +V +p4099 +tp4100 +a(g344 +V} +tp4101 +a(g189 +V\u000a +tp4102 +a(g189 +V +p4103 +tp4104 +a(g344 +V} +tp4105 +a(g189 +V\u000a +tp4106 +a(g189 +V +p4107 +tp4108 +a(g189 +V\u000a +tp4109 +a(g189 +V\u000a +tp4110 +a(g189 +V +p4111 +tp4112 +a(g131 +Vpublic +p4113 +tp4114 +a(g189 +V +tp4115 +a(g131 +Vsynchronized +p4116 +tp4117 +a(g189 +V +tp4118 +a(g139 +Vvoid +p4119 +tp4120 +a(g189 +V +tp4121 +a(g21 +Vstop +p4122 +tp4123 +a(g344 +V( +tp4124 +a(g344 +V) +tp4125 +a(g189 +V +tp4126 +a(g344 +V{ +tp4127 +a(g189 +V\u000a +tp4128 +a(g189 +V +p4129 +tp4130 +a(g111 +Vif +p4131 +tp4132 +a(g189 +V +tp4133 +a(g344 +V( +tp4134 +a(g18 +Vthread +p4135 +tp4136 +a(g189 +V +tp4137 +a(g344 +V! +tp4138 +a(g344 +V= +tp4139 +a(g189 +V +tp4140 +a(g117 +Vnull +p4141 +tp4142 +a(g344 +V) +tp4143 +a(g189 +V +tp4144 +a(g344 +V{ +tp4145 +a(g189 +V\u000a +tp4146 +a(g189 +V +p4147 +tp4148 +a(g18 +Vthread +p4149 +tp4150 +a(g344 +V. +tp4151 +a(g41 +Vinterrupt +p4152 +tp4153 +a(g344 +V( +tp4154 +a(g344 +V) +tp4155 +a(g344 +V; +tp4156 +a(g189 +V\u000a +tp4157 +a(g189 +V +p4158 +tp4159 +a(g344 +V} +tp4160 +a(g189 +V\u000a +tp4161 +a(g189 +V +p4162 +tp4163 +a(g18 +Vthread +p4164 +tp4165 +a(g189 +V +tp4166 +a(g344 +V= +tp4167 +a(g189 +V +tp4168 +a(g117 +Vnull +p4169 +tp4170 +a(g344 +V; +tp4171 +a(g189 +V\u000a +tp4172 +a(g189 +V +p4173 +tp4174 +a(g18 +VnotifyAll +p4175 +tp4176 +a(g344 +V( +tp4177 +a(g344 +V) +tp4178 +a(g344 +V; +tp4179 +a(g189 +V\u000a +tp4180 +a(g189 +V +p4181 +tp4182 +a(g344 +V} +tp4183 +a(g189 +V\u000a +tp4184 +a(g189 +V\u000a +tp4185 +a(g189 +V\u000a +tp4186 +a(g189 +V +p4187 +tp4188 +a(g131 +Vpublic +p4189 +tp4190 +a(g189 +V +tp4191 +a(g139 +Vvoid +p4192 +tp4193 +a(g189 +V +tp4194 +a(g21 +Vreset +p4195 +tp4196 +a(g344 +V( +tp4197 +a(g344 +V) +tp4198 +a(g189 +V +tp4199 +a(g344 +V{ +tp4200 +a(g189 +V\u000a +tp4201 +a(g189 +V +p4202 +tp4203 +a(g18 +Vindex +p4204 +tp4205 +a(g189 +V +tp4206 +a(g344 +V= +tp4207 +a(g189 +V +tp4208 +a(g319 +V0 +tp4209 +a(g344 +V; +tp4210 +a(g189 +V\u000a +tp4211 +a(g189 +V +p4212 +tp4213 +a(g18 +VDimension +p4214 +tp4215 +a(g189 +V +tp4216 +a(g18 +Vd +tp4217 +a(g189 +V +tp4218 +a(g344 +V= +tp4219 +a(g189 +V +tp4220 +a(g18 +VgetSize +p4221 +tp4222 +a(g344 +V( +tp4223 +a(g344 +V) +tp4224 +a(g344 +V; +tp4225 +a(g189 +V\u000a +tp4226 +a(g189 +V +p4227 +tp4228 +a(g111 +Vfor +p4229 +tp4230 +a(g189 +V +tp4231 +a(g344 +V( +tp4232 +a(g139 +Vint +p4233 +tp4234 +a(g189 +V +tp4235 +a(g18 +Vi +tp4236 +a(g189 +V +tp4237 +a(g344 +V= +tp4238 +a(g189 +V +tp4239 +a(g319 +V0 +tp4240 +a(g344 +V; +tp4241 +a(g189 +V +tp4242 +a(g18 +Vi +tp4243 +a(g189 +V +tp4244 +a(g344 +V< +tp4245 +a(g189 +V +tp4246 +a(g18 +Vdirector +p4247 +tp4248 +a(g344 +V. +tp4249 +a(g41 +Vsize +p4250 +tp4251 +a(g344 +V( +tp4252 +a(g344 +V) +tp4253 +a(g344 +V; +tp4254 +a(g189 +V +tp4255 +a(g18 +Vi +tp4256 +a(g344 +V+ +tp4257 +a(g344 +V+ +tp4258 +a(g344 +V) +tp4259 +a(g189 +V +tp4260 +a(g344 +V{ +tp4261 +a(g189 +V\u000a +tp4262 +a(g189 +V +p4263 +tp4264 +a(g344 +V( +tp4265 +a(g344 +V( +tp4266 +a(g18 +VScene +p4267 +tp4268 +a(g344 +V) +tp4269 +a(g189 +V +tp4270 +a(g18 +Vdirector +p4271 +tp4272 +a(g344 +V. +tp4273 +a(g41 +Vget +p4274 +tp4275 +a(g344 +V( +tp4276 +a(g18 +Vi +tp4277 +a(g344 +V) +tp4278 +a(g344 +V) +tp4279 +a(g344 +V. +tp4280 +a(g41 +Vreset +p4281 +tp4282 +a(g344 +V( +tp4283 +a(g18 +Vd +tp4284 +a(g344 +V. +tp4285 +a(g41 +Vwidth +p4286 +tp4287 +a(g344 +V, +tp4288 +a(g189 +V +tp4289 +a(g18 +Vd +tp4290 +a(g344 +V. +tp4291 +a(g41 +Vheight +p4292 +tp4293 +a(g344 +V) +tp4294 +a(g344 +V; +tp4295 +a(g189 +V\u000a +tp4296 +a(g189 +V +p4297 +tp4298 +a(g344 +V} +tp4299 +a(g189 +V\u000a +tp4300 +a(g189 +V +p4301 +tp4302 +a(g344 +V} +tp4303 +a(g189 +V\u000a +tp4304 +a(g189 +V\u000a +tp4305 +a(g189 +V +p4306 +tp4307 +a(g189 +V\u000a +tp4308 +a(g189 +V +p4309 +tp4310 +a(g131 +Vpublic +p4311 +tp4312 +a(g189 +V +tp4313 +a(g139 +Vvoid +p4314 +tp4315 +a(g189 +V +tp4316 +a(g21 +Vrun +p4317 +tp4318 +a(g344 +V( +tp4319 +a(g344 +V) +tp4320 +a(g189 +V +tp4321 +a(g344 +V{ +tp4322 +a(g189 +V\u000a +tp4323 +a(g189 +V\u000a +tp4324 +a(g189 +V +p4325 +tp4326 +a(g18 +VThread +p4327 +tp4328 +a(g189 +V +tp4329 +a(g18 +Vme +p4330 +tp4331 +a(g189 +V +tp4332 +a(g344 +V= +tp4333 +a(g189 +V +tp4334 +a(g18 +VThread +p4335 +tp4336 +a(g344 +V. +tp4337 +a(g41 +VcurrentThread +p4338 +tp4339 +a(g344 +V( +tp4340 +a(g344 +V) +tp4341 +a(g344 +V; +tp4342 +a(g189 +V\u000a +tp4343 +a(g189 +V\u000a +tp4344 +a(g189 +V +p4345 +tp4346 +a(g111 +Vwhile +p4347 +tp4348 +a(g189 +V +tp4349 +a(g344 +V( +tp4350 +a(g18 +Vthread +p4351 +tp4352 +a(g189 +V +tp4353 +a(g344 +V= +tp4354 +a(g344 +V= +tp4355 +a(g189 +V +tp4356 +a(g18 +Vme +p4357 +tp4358 +a(g189 +V +tp4359 +a(g344 +V& +tp4360 +a(g344 +V& +tp4361 +a(g189 +V +tp4362 +a(g344 +V! +tp4363 +a(g18 +VisShowing +p4364 +tp4365 +a(g344 +V( +tp4366 +a(g344 +V) +tp4367 +a(g189 +V +tp4368 +a(g344 +V| +tp4369 +a(g344 +V| +tp4370 +a(g189 +V +tp4371 +a(g18 +VgetSize +p4372 +tp4373 +a(g344 +V( +tp4374 +a(g344 +V) +tp4375 +a(g344 +V. +tp4376 +a(g41 +Vwidth +p4377 +tp4378 +a(g189 +V +tp4379 +a(g344 +V< +tp4380 +a(g344 +V= +tp4381 +a(g189 +V +tp4382 +a(g319 +V0 +tp4383 +a(g344 +V) +tp4384 +a(g189 +V +tp4385 +a(g344 +V{ +tp4386 +a(g189 +V\u000a +tp4387 +a(g189 +V +p4388 +tp4389 +a(g111 +Vtry +p4390 +tp4391 +a(g189 +V +tp4392 +a(g344 +V{ +tp4393 +a(g189 +V\u000a +tp4394 +a(g189 +V +p4395 +tp4396 +a(g18 +Vthread +p4397 +tp4398 +a(g344 +V. +tp4399 +a(g41 +Vsleep +p4400 +tp4401 +a(g344 +V( +tp4402 +a(g319 +V500 +p4403 +tp4404 +a(g344 +V) +tp4405 +a(g344 +V; +tp4406 +a(g189 +V\u000a +tp4407 +a(g189 +V +p4408 +tp4409 +a(g344 +V} +tp4410 +a(g189 +V +tp4411 +a(g111 +Vcatch +p4412 +tp4413 +a(g189 +V +tp4414 +a(g344 +V( +tp4415 +a(g18 +VInterruptedException +p4416 +tp4417 +a(g189 +V +tp4418 +a(g18 +Ve +tp4419 +a(g344 +V) +tp4420 +a(g189 +V +tp4421 +a(g344 +V{ +tp4422 +a(g189 +V +tp4423 +a(g111 +Vreturn +p4424 +tp4425 +a(g344 +V; +tp4426 +a(g189 +V +tp4427 +a(g344 +V} +tp4428 +a(g189 +V\u000a +tp4429 +a(g189 +V +p4430 +tp4431 +a(g344 +V} +tp4432 +a(g189 +V\u000a +tp4433 +a(g189 +V\u000a +tp4434 +a(g189 +V +p4435 +tp4436 +a(g111 +Vif +p4437 +tp4438 +a(g189 +V +tp4439 +a(g344 +V( +tp4440 +a(g18 +Vindex +p4441 +tp4442 +a(g189 +V +tp4443 +a(g344 +V= +tp4444 +a(g344 +V= +tp4445 +a(g189 +V +tp4446 +a(g319 +V0 +tp4447 +a(g344 +V) +tp4448 +a(g189 +V +tp4449 +a(g344 +V{ +tp4450 +a(g189 +V\u000a +tp4451 +a(g189 +V +p4452 +tp4453 +a(g18 +Vreset +p4454 +tp4455 +a(g344 +V( +tp4456 +a(g344 +V) +tp4457 +a(g344 +V; +tp4458 +a(g189 +V\u000a +tp4459 +a(g189 +V +p4460 +tp4461 +a(g344 +V} +tp4462 +a(g189 +V\u000a +tp4463 +a(g189 +V\u000a +tp4464 +a(g189 +V +p4465 +tp4466 +a(g111 +Vwhile +p4467 +tp4468 +a(g189 +V +tp4469 +a(g344 +V( +tp4470 +a(g18 +Vthread +p4471 +tp4472 +a(g189 +V +tp4473 +a(g344 +V= +tp4474 +a(g344 +V= +tp4475 +a(g189 +V +tp4476 +a(g18 +Vme +p4477 +tp4478 +a(g344 +V) +tp4479 +a(g189 +V +tp4480 +a(g344 +V{ +tp4481 +a(g189 +V\u000a +tp4482 +a(g189 +V +p4483 +tp4484 +a(g18 +VScene +p4485 +tp4486 +a(g189 +V +tp4487 +a(g18 +Vscene +p4488 +tp4489 +a(g189 +V +tp4490 +a(g344 +V= +tp4491 +a(g189 +V +tp4492 +a(g344 +V( +tp4493 +a(g18 +VScene +p4494 +tp4495 +a(g344 +V) +tp4496 +a(g189 +V +tp4497 +a(g18 +Vdirector +p4498 +tp4499 +a(g344 +V. +tp4500 +a(g41 +Vget +p4501 +tp4502 +a(g344 +V( +tp4503 +a(g18 +Vindex +p4504 +tp4505 +a(g344 +V) +tp4506 +a(g344 +V; +tp4507 +a(g189 +V\u000a +tp4508 +a(g189 +V +p4509 +tp4510 +a(g111 +Vif +p4511 +tp4512 +a(g189 +V +tp4513 +a(g344 +V( +tp4514 +a(g344 +V( +tp4515 +a(g344 +V( +tp4516 +a(g18 +VBoolean +p4517 +tp4518 +a(g344 +V) +tp4519 +a(g189 +V +tp4520 +a(g18 +Vscene +p4521 +tp4522 +a(g344 +V. +tp4523 +a(g41 +Vparticipate +p4524 +tp4525 +a(g344 +V) +tp4526 +a(g344 +V. +tp4527 +a(g41 +VbooleanValue +p4528 +tp4529 +a(g344 +V( +tp4530 +a(g344 +V) +tp4531 +a(g344 +V) +tp4532 +a(g189 +V +tp4533 +a(g344 +V{ +tp4534 +a(g189 +V\u000a +tp4535 +a(g189 +V +p4536 +tp4537 +a(g18 +Vrepaint +p4538 +tp4539 +a(g344 +V( +tp4540 +a(g344 +V) +tp4541 +a(g344 +V; +tp4542 +a(g189 +V\u000a +tp4543 +a(g189 +V +p4544 +tp4545 +a(g111 +Vtry +p4546 +tp4547 +a(g189 +V +tp4548 +a(g344 +V{ +tp4549 +a(g189 +V\u000a +tp4550 +a(g189 +V +p4551 +tp4552 +a(g18 +Vthread +p4553 +tp4554 +a(g344 +V. +tp4555 +a(g41 +Vsleep +p4556 +tp4557 +a(g344 +V( +tp4558 +a(g18 +VsleepAmt +p4559 +tp4560 +a(g344 +V) +tp4561 +a(g344 +V; +tp4562 +a(g189 +V\u000a +tp4563 +a(g189 +V +p4564 +tp4565 +a(g344 +V} +tp4566 +a(g189 +V +tp4567 +a(g111 +Vcatch +p4568 +tp4569 +a(g189 +V +tp4570 +a(g344 +V( +tp4571 +a(g18 +VInterruptedException +p4572 +tp4573 +a(g189 +V +tp4574 +a(g18 +Ve +tp4575 +a(g344 +V) +tp4576 +a(g189 +V +tp4577 +a(g344 +V{ +tp4578 +a(g189 +V +tp4579 +a(g111 +Vbreak +p4580 +tp4581 +a(g344 +V; +tp4582 +a(g189 +V +tp4583 +a(g344 +V} +tp4584 +a(g189 +V\u000a +tp4585 +a(g189 +V +p4586 +tp4587 +a(g111 +Vif +p4588 +tp4589 +a(g189 +V +tp4590 +a(g344 +V( +tp4591 +a(g18 +Vscene +p4592 +tp4593 +a(g344 +V. +tp4594 +a(g41 +Vindex +p4595 +tp4596 +a(g189 +V +tp4597 +a(g344 +V> +tp4598 +a(g189 +V +tp4599 +a(g18 +Vscene +p4600 +tp4601 +a(g344 +V. +tp4602 +a(g41 +Vlength +p4603 +tp4604 +a(g344 +V) +tp4605 +a(g189 +V +tp4606 +a(g344 +V{ +tp4607 +a(g189 +V\u000a +tp4608 +a(g189 +V +p4609 +tp4610 +a(g18 +Vscene +p4611 +tp4612 +a(g344 +V. +tp4613 +a(g41 +Vpause +p4614 +tp4615 +a(g344 +V( +tp4616 +a(g18 +Vthread +p4617 +tp4618 +a(g344 +V) +tp4619 +a(g344 +V; +tp4620 +a(g189 +V\u000a +tp4621 +a(g189 +V +p4622 +tp4623 +a(g111 +Vif +p4624 +tp4625 +a(g189 +V +tp4626 +a(g344 +V( +tp4627 +a(g344 +V+ +tp4628 +a(g344 +V+ +tp4629 +a(g18 +Vindex +p4630 +tp4631 +a(g189 +V +tp4632 +a(g344 +V> +tp4633 +a(g344 +V= +tp4634 +a(g189 +V +tp4635 +a(g18 +Vdirector +p4636 +tp4637 +a(g344 +V. +tp4638 +a(g41 +Vsize +p4639 +tp4640 +a(g344 +V( +tp4641 +a(g344 +V) +tp4642 +a(g344 +V) +tp4643 +a(g189 +V +tp4644 +a(g344 +V{ +tp4645 +a(g189 +V\u000a +tp4646 +a(g189 +V +p4647 +tp4648 +a(g18 +Vreset +p4649 +tp4650 +a(g344 +V( +tp4651 +a(g344 +V) +tp4652 +a(g344 +V; +tp4653 +a(g189 +V\u000a +tp4654 +a(g189 +V +p4655 +tp4656 +a(g344 +V} +tp4657 +a(g189 +V\u000a +tp4658 +a(g189 +V +p4659 +tp4660 +a(g344 +V} +tp4661 +a(g189 +V\u000a +tp4662 +a(g189 +V +p4663 +tp4664 +a(g344 +V} +tp4665 +a(g189 +V +tp4666 +a(g111 +Velse +p4667 +tp4668 +a(g189 +V +tp4669 +a(g344 +V{ +tp4670 +a(g189 +V\u000a +tp4671 +a(g189 +V +p4672 +tp4673 +a(g111 +Vif +p4674 +tp4675 +a(g189 +V +tp4676 +a(g344 +V( +tp4677 +a(g344 +V+ +tp4678 +a(g344 +V+ +tp4679 +a(g18 +Vindex +p4680 +tp4681 +a(g189 +V +tp4682 +a(g344 +V> +tp4683 +a(g344 +V= +tp4684 +a(g189 +V +tp4685 +a(g18 +Vdirector +p4686 +tp4687 +a(g344 +V. +tp4688 +a(g41 +Vsize +p4689 +tp4690 +a(g344 +V( +tp4691 +a(g344 +V) +tp4692 +a(g344 +V) +tp4693 +a(g189 +V +tp4694 +a(g344 +V{ +tp4695 +a(g189 +V\u000a +tp4696 +a(g189 +V +p4697 +tp4698 +a(g18 +Vreset +p4699 +tp4700 +a(g344 +V( +tp4701 +a(g344 +V) +tp4702 +a(g344 +V; +tp4703 +a(g189 +V\u000a +tp4704 +a(g189 +V +p4705 +tp4706 +a(g344 +V} +tp4707 +a(g189 +V\u000a +tp4708 +a(g189 +V +p4709 +tp4710 +a(g344 +V} +tp4711 +a(g189 +V\u000a +tp4712 +a(g189 +V +p4713 +tp4714 +a(g344 +V} +tp4715 +a(g189 +V\u000a +tp4716 +a(g189 +V +p4717 +tp4718 +a(g18 +Vthread +p4719 +tp4720 +a(g189 +V +tp4721 +a(g344 +V= +tp4722 +a(g189 +V +tp4723 +a(g117 +Vnull +p4724 +tp4725 +a(g344 +V; +tp4726 +a(g189 +V\u000a +tp4727 +a(g189 +V +p4728 +tp4729 +a(g344 +V} +tp4730 +a(g189 +V\u000a +tp4731 +a(g189 +V\u000a +tp4732 +a(g189 +V\u000a +tp4733 +a(g189 +V\u000a +tp4734 +a(g189 +V +p4735 +tp4736 +a(g7 +V/**\u000a * Part is a piece of the scene. Classes must implement Part\u000a * inorder to participate in a scene.\u000a */ +p4737 +tp4738 +a(g189 +V\u000a +tp4739 +a(g189 +V +p4740 +tp4741 +a(g131 +Vinterface +p4742 +tp4743 +a(g189 +V +tp4744 +a(g106 +VPart +p4745 +tp4746 +a(g189 +V +tp4747 +a(g344 +V{ +tp4748 +a(g189 +V\u000a +tp4749 +a(g189 +V +p4750 +tp4751 +a(g131 +Vpublic +p4752 +tp4753 +a(g189 +V +tp4754 +a(g139 +Vvoid +p4755 +tp4756 +a(g189 +V +tp4757 +a(g21 +Vreset +p4758 +tp4759 +a(g344 +V( +tp4760 +a(g139 +Vint +p4761 +tp4762 +a(g189 +V +tp4763 +a(g18 +Vnewwidth +p4764 +tp4765 +a(g344 +V, +tp4766 +a(g189 +V +tp4767 +a(g139 +Vint +p4768 +tp4769 +a(g189 +V +tp4770 +a(g18 +Vnewheight +p4771 +tp4772 +a(g344 +V) +tp4773 +a(g344 +V; +tp4774 +a(g189 +V\u000a +tp4775 +a(g189 +V +p4776 +tp4777 +a(g131 +Vpublic +p4778 +tp4779 +a(g189 +V +tp4780 +a(g139 +Vvoid +p4781 +tp4782 +a(g189 +V +tp4783 +a(g21 +Vstep +p4784 +tp4785 +a(g344 +V( +tp4786 +a(g139 +Vint +p4787 +tp4788 +a(g189 +V +tp4789 +a(g18 +Vw +tp4790 +a(g344 +V, +tp4791 +a(g189 +V +tp4792 +a(g139 +Vint +p4793 +tp4794 +a(g189 +V +tp4795 +a(g18 +Vh +tp4796 +a(g344 +V) +tp4797 +a(g344 +V; +tp4798 +a(g189 +V\u000a +tp4799 +a(g189 +V +p4800 +tp4801 +a(g131 +Vpublic +p4802 +tp4803 +a(g189 +V +tp4804 +a(g139 +Vvoid +p4805 +tp4806 +a(g189 +V +tp4807 +a(g21 +Vrender +p4808 +tp4809 +a(g344 +V( +tp4810 +a(g139 +Vint +p4811 +tp4812 +a(g189 +V +tp4813 +a(g18 +Vw +tp4814 +a(g344 +V, +tp4815 +a(g189 +V +tp4816 +a(g139 +Vint +p4817 +tp4818 +a(g189 +V +tp4819 +a(g18 +Vh +tp4820 +a(g344 +V, +tp4821 +a(g189 +V +tp4822 +a(g18 +VGraphics2D +p4823 +tp4824 +a(g189 +V +tp4825 +a(g18 +Vg2 +p4826 +tp4827 +a(g344 +V) +tp4828 +a(g344 +V; +tp4829 +a(g189 +V\u000a +tp4830 +a(g189 +V +p4831 +tp4832 +a(g131 +Vpublic +p4833 +tp4834 +a(g189 +V +tp4835 +a(g139 +Vint +p4836 +tp4837 +a(g189 +V +tp4838 +a(g21 +VgetBegin +p4839 +tp4840 +a(g344 +V( +tp4841 +a(g344 +V) +tp4842 +a(g344 +V; +tp4843 +a(g189 +V\u000a +tp4844 +a(g189 +V +p4845 +tp4846 +a(g131 +Vpublic +p4847 +tp4848 +a(g189 +V +tp4849 +a(g139 +Vint +p4850 +tp4851 +a(g189 +V +tp4852 +a(g21 +VgetEnd +p4853 +tp4854 +a(g344 +V( +tp4855 +a(g344 +V) +tp4856 +a(g344 +V; +tp4857 +a(g189 +V\u000a +tp4858 +a(g189 +V +p4859 +tp4860 +a(g344 +V} +tp4861 +a(g189 +V\u000a +tp4862 +a(g189 +V\u000a +tp4863 +a(g189 +V\u000a +tp4864 +a(g189 +V\u000a +tp4865 +a(g189 +V +p4866 +tp4867 +a(g7 +V/**\u000a * Director is the holder of the scenes, their names & pause amounts\u000a * between scenes.\u000a */ +p4868 +tp4869 +a(g189 +V\u000a +tp4870 +a(g189 +V +p4871 +tp4872 +a(g131 +Vstatic +p4873 +tp4874 +a(g189 +V +tp4875 +a(g131 +Vclass +p4876 +tp4877 +a(g189 +V +tp4878 +a(g106 +VDirector +p4879 +tp4880 +a(g189 +V +tp4881 +a(g131 +Vextends +p4882 +tp4883 +a(g189 +V +tp4884 +a(g18 +VVector +p4885 +tp4886 +a(g189 +V +tp4887 +a(g344 +V{ +tp4888 +a(g189 +V\u000a +tp4889 +a(g189 +V\u000a +tp4890 +a(g189 +V +p4891 +tp4892 +a(g18 +VGradientPaint +p4893 +tp4894 +a(g189 +V +tp4895 +a(g18 +Vgp +p4896 +tp4897 +a(g189 +V +tp4898 +a(g344 +V= +tp4899 +a(g189 +V +tp4900 +a(g111 +Vnew +p4901 +tp4902 +a(g189 +V +tp4903 +a(g18 +VGradientPaint +p4904 +tp4905 +a(g344 +V( +tp4906 +a(g319 +V0 +tp4907 +a(g344 +V, +tp4908 +a(g319 +V40 +p4909 +tp4910 +a(g344 +V, +tp4911 +a(g18 +Vblue +p4912 +tp4913 +a(g344 +V, +tp4914 +a(g319 +V38 +p4915 +tp4916 +a(g344 +V, +tp4917 +a(g319 +V2 +tp4918 +a(g344 +V, +tp4919 +a(g18 +Vblack +p4920 +tp4921 +a(g344 +V) +tp4922 +a(g344 +V; +tp4923 +a(g189 +V\u000a +tp4924 +a(g189 +V +p4925 +tp4926 +a(g18 +VFont +p4927 +tp4928 +a(g189 +V +tp4929 +a(g18 +Vf1 +p4930 +tp4931 +a(g189 +V +tp4932 +a(g344 +V= +tp4933 +a(g189 +V +tp4934 +a(g111 +Vnew +p4935 +tp4936 +a(g189 +V +tp4937 +a(g18 +VFont +p4938 +tp4939 +a(g344 +V( +tp4940 +a(g226 +V"serif" +p4941 +tp4942 +a(g344 +V, +tp4943 +a(g189 +V +tp4944 +a(g18 +VFont +p4945 +tp4946 +a(g344 +V. +tp4947 +a(g41 +VPLAIN +p4948 +tp4949 +a(g344 +V, +tp4950 +a(g189 +V +tp4951 +a(g319 +V200 +p4952 +tp4953 +a(g344 +V) +tp4954 +a(g344 +V; +tp4955 +a(g189 +V\u000a +tp4956 +a(g189 +V +p4957 +tp4958 +a(g18 +VFont +p4959 +tp4960 +a(g189 +V +tp4961 +a(g18 +Vf2 +p4962 +tp4963 +a(g189 +V +tp4964 +a(g344 +V= +tp4965 +a(g189 +V +tp4966 +a(g111 +Vnew +p4967 +tp4968 +a(g189 +V +tp4969 +a(g18 +VFont +p4970 +tp4971 +a(g344 +V( +tp4972 +a(g226 +V"serif" +p4973 +tp4974 +a(g344 +V, +tp4975 +a(g189 +V +tp4976 +a(g18 +VFont +p4977 +tp4978 +a(g344 +V. +tp4979 +a(g41 +VPLAIN +p4980 +tp4981 +a(g344 +V, +tp4982 +a(g189 +V +tp4983 +a(g319 +V120 +p4984 +tp4985 +a(g344 +V) +tp4986 +a(g344 +V; +tp4987 +a(g189 +V\u000a +tp4988 +a(g189 +V +p4989 +tp4990 +a(g18 +VFont +p4991 +tp4992 +a(g189 +V +tp4993 +a(g18 +Vf3 +p4994 +tp4995 +a(g189 +V +tp4996 +a(g344 +V= +tp4997 +a(g189 +V +tp4998 +a(g111 +Vnew +p4999 +tp5000 +a(g189 +V +tp5001 +a(g18 +VFont +p5002 +tp5003 +a(g344 +V( +tp5004 +a(g226 +V"serif" +p5005 +tp5006 +a(g344 +V, +tp5007 +a(g189 +V +tp5008 +a(g18 +VFont +p5009 +tp5010 +a(g344 +V. +tp5011 +a(g41 +VPLAIN +p5012 +tp5013 +a(g344 +V, +tp5014 +a(g189 +V +tp5015 +a(g319 +V72 +p5016 +tp5017 +a(g344 +V) +tp5018 +a(g344 +V; +tp5019 +a(g189 +V\u000a +tp5020 +a(g189 +V +p5021 +tp5022 +a(g18 +VObject +p5023 +tp5024 +a(g189 +V +tp5025 +a(g18 +Vparts +p5026 +tp5027 +a(g344 +V[ +tp5028 +a(g344 +V] +tp5029 +a(g344 +V[ +tp5030 +a(g344 +V] +tp5031 +a(g344 +V[ +tp5032 +a(g344 +V] +tp5033 +a(g189 +V +tp5034 +a(g344 +V= +tp5035 +a(g189 +V +tp5036 +a(g344 +V{ +tp5037 +a(g189 +V\u000a +tp5038 +a(g189 +V +p5039 +tp5040 +a(g344 +V{ +tp5041 +a(g189 +V +tp5042 +a(g344 +V{ +tp5043 +a(g189 +V +tp5044 +a(g226 +V"J - scale text on gradient" +p5045 +tp5046 +a(g344 +V, +tp5047 +a(g189 +V +tp5048 +a(g226 +V"0" +p5049 +tp5050 +a(g189 +V +tp5051 +a(g344 +V} +tp5052 +a(g344 +V, +tp5053 +a(g189 +V\u000a +tp5054 +a(g189 +V +p5055 +tp5056 +a(g344 +V{ +tp5057 +a(g189 +V +tp5058 +a(g111 +Vnew +p5059 +tp5060 +a(g189 +V +tp5061 +a(g18 +VGpE +p5062 +tp5063 +a(g344 +V( +tp5064 +a(g18 +VGpE +p5065 +tp5066 +a(g344 +V. +tp5067 +a(g41 +VBURI +p5068 +tp5069 +a(g344 +V, +tp5070 +a(g189 +V +tp5071 +a(g18 +Vblack +p5072 +tp5073 +a(g344 +V, +tp5074 +a(g189 +V +tp5075 +a(g18 +Vblue +p5076 +tp5077 +a(g344 +V, +tp5078 +a(g189 +V +tp5079 +a(g319 +V0 +tp5080 +a(g344 +V, +tp5081 +a(g189 +V +tp5082 +a(g319 +V20 +p5083 +tp5084 +a(g344 +V) +tp5085 +a(g344 +V, +tp5086 +a(g189 +V\u000a +tp5087 +a(g189 +V +p5088 +tp5089 +a(g111 +Vnew +p5090 +tp5091 +a(g189 +V +tp5092 +a(g21 +VTxE +p5093 +tp5094 +a(g344 +V( +tp5095 +a(g226 +V"J" +p5096 +tp5097 +a(g344 +V, +tp5098 +a(g189 +V +tp5099 +a(g18 +Vf1 +p5100 +tp5101 +a(g344 +V, +tp5102 +a(g189 +V +tp5103 +a(g18 +VTxE +p5104 +tp5105 +a(g344 +V. +tp5106 +a(g41 +VSCI +p5107 +tp5108 +a(g344 +V, +tp5109 +a(g189 +V +tp5110 +a(g18 +Vyellow +p5111 +tp5112 +a(g344 +V, +tp5113 +a(g189 +V +tp5114 +a(g319 +V2 +tp5115 +a(g344 +V, +tp5116 +a(g189 +V +tp5117 +a(g319 +V20 +p5118 +tp5119 +a(g344 +V) +tp5120 +a(g189 +V +tp5121 +a(g344 +V} +tp5122 +a(g189 +V +tp5123 +a(g344 +V} +tp5124 +a(g344 +V, +tp5125 +a(g189 +V\u000a +tp5126 +a(g189 +V +p5127 +tp5128 +a(g344 +V{ +tp5129 +a(g189 +V +tp5130 +a(g344 +V{ +tp5131 +a(g189 +V +tp5132 +a(g226 +V"2 - scale & rotate text on gradient" +p5133 +tp5134 +a(g189 +V +tp5135 +a(g344 +V, +tp5136 +a(g189 +V +tp5137 +a(g226 +V"0" +p5138 +tp5139 +a(g189 +V +tp5140 +a(g344 +V} +tp5141 +a(g344 +V, +tp5142 +a(g189 +V\u000a +tp5143 +a(g189 +V +p5144 +tp5145 +a(g344 +V{ +tp5146 +a(g189 +V +tp5147 +a(g111 +Vnew +p5148 +tp5149 +a(g189 +V +tp5150 +a(g18 +VGpE +p5151 +tp5152 +a(g344 +V( +tp5153 +a(g18 +VGpE +p5154 +tp5155 +a(g344 +V. +tp5156 +a(g41 +VBURI +p5157 +tp5158 +a(g344 +V, +tp5159 +a(g189 +V +tp5160 +a(g18 +Vblue +p5161 +tp5162 +a(g344 +V, +tp5163 +a(g189 +V +tp5164 +a(g18 +Vblack +p5165 +tp5166 +a(g344 +V, +tp5167 +a(g189 +V +tp5168 +a(g319 +V0 +tp5169 +a(g344 +V, +tp5170 +a(g189 +V +tp5171 +a(g319 +V22 +p5172 +tp5173 +a(g344 +V) +tp5174 +a(g344 +V, +tp5175 +a(g189 +V\u000a +tp5176 +a(g189 +V +p5177 +tp5178 +a(g111 +Vnew +p5179 +tp5180 +a(g189 +V +tp5181 +a(g21 +VTxE +p5182 +tp5183 +a(g344 +V( +tp5184 +a(g226 +V"2" +p5185 +tp5186 +a(g344 +V, +tp5187 +a(g189 +V +tp5188 +a(g18 +Vf1 +p5189 +tp5190 +a(g344 +V, +tp5191 +a(g189 +V +tp5192 +a(g18 +VTxE +p5193 +tp5194 +a(g344 +V. +tp5195 +a(g41 +VRI +p5196 +tp5197 +a(g189 +V +tp5198 +a(g344 +V| +tp5199 +a(g189 +V +tp5200 +a(g18 +VTxE +p5201 +tp5202 +a(g344 +V. +tp5203 +a(g41 +VSCI +p5204 +tp5205 +a(g344 +V, +tp5206 +a(g189 +V +tp5207 +a(g18 +Vyellow +p5208 +tp5209 +a(g344 +V, +tp5210 +a(g189 +V +tp5211 +a(g319 +V2 +tp5212 +a(g344 +V, +tp5213 +a(g189 +V +tp5214 +a(g319 +V22 +p5215 +tp5216 +a(g344 +V) +tp5217 +a(g189 +V +tp5218 +a(g344 +V} +tp5219 +a(g189 +V +tp5220 +a(g344 +V} +tp5221 +a(g344 +V, +tp5222 +a(g189 +V\u000a +tp5223 +a(g189 +V +p5224 +tp5225 +a(g344 +V{ +tp5226 +a(g189 +V +tp5227 +a(g344 +V{ +tp5228 +a(g189 +V +tp5229 +a(g226 +V"D - scale text on gradient" +p5230 +tp5231 +a(g344 +V, +tp5232 +a(g189 +V +tp5233 +a(g226 +V"0" +p5234 +tp5235 +a(g189 +V +tp5236 +a(g344 +V} +tp5237 +a(g344 +V, +tp5238 +a(g189 +V\u000a +tp5239 +a(g189 +V +p5240 +tp5241 +a(g344 +V{ +tp5242 +a(g189 +V +tp5243 +a(g111 +Vnew +p5244 +tp5245 +a(g189 +V +tp5246 +a(g18 +VGpE +p5247 +tp5248 +a(g344 +V( +tp5249 +a(g18 +VGpE +p5250 +tp5251 +a(g344 +V. +tp5252 +a(g41 +VBURI +p5253 +tp5254 +a(g344 +V, +tp5255 +a(g189 +V +tp5256 +a(g18 +Vblack +p5257 +tp5258 +a(g344 +V, +tp5259 +a(g189 +V +tp5260 +a(g18 +Vblue +p5261 +tp5262 +a(g344 +V, +tp5263 +a(g189 +V +tp5264 +a(g319 +V0 +tp5265 +a(g344 +V, +tp5266 +a(g189 +V +tp5267 +a(g319 +V20 +p5268 +tp5269 +a(g344 +V) +tp5270 +a(g344 +V, +tp5271 +a(g189 +V\u000a +tp5272 +a(g189 +V +p5273 +tp5274 +a(g111 +Vnew +p5275 +tp5276 +a(g189 +V +tp5277 +a(g21 +VTxE +p5278 +tp5279 +a(g344 +V( +tp5280 +a(g226 +V"D" +p5281 +tp5282 +a(g344 +V, +tp5283 +a(g189 +V +tp5284 +a(g18 +Vf1 +p5285 +tp5286 +a(g344 +V, +tp5287 +a(g189 +V +tp5288 +a(g18 +VTxE +p5289 +tp5290 +a(g344 +V. +tp5291 +a(g41 +VSCI +p5292 +tp5293 +a(g344 +V, +tp5294 +a(g189 +V +tp5295 +a(g18 +Vyellow +p5296 +tp5297 +a(g344 +V, +tp5298 +a(g189 +V +tp5299 +a(g319 +V2 +tp5300 +a(g344 +V, +tp5301 +a(g189 +V +tp5302 +a(g319 +V20 +p5303 +tp5304 +a(g344 +V) +tp5305 +a(g189 +V +tp5306 +a(g344 +V} +tp5307 +a(g189 +V +tp5308 +a(g344 +V} +tp5309 +a(g344 +V, +tp5310 +a(g189 +V\u000a +tp5311 +a(g189 +V +p5312 +tp5313 +a(g344 +V{ +tp5314 +a(g189 +V +tp5315 +a(g344 +V{ +tp5316 +a(g189 +V +tp5317 +a(g226 +V"Java2D - scale & rotate text on gradient" +p5318 +tp5319 +a(g344 +V, +tp5320 +a(g189 +V +tp5321 +a(g226 +V"1000" +p5322 +tp5323 +a(g189 +V +tp5324 +a(g344 +V} +tp5325 +a(g344 +V, +tp5326 +a(g189 +V\u000a +tp5327 +a(g189 +V +p5328 +tp5329 +a(g344 +V{ +tp5330 +a(g189 +V +tp5331 +a(g111 +Vnew +p5332 +tp5333 +a(g189 +V +tp5334 +a(g18 +VGpE +p5335 +tp5336 +a(g344 +V( +tp5337 +a(g18 +VGpE +p5338 +tp5339 +a(g344 +V. +tp5340 +a(g41 +VSIH +p5341 +tp5342 +a(g344 +V, +tp5343 +a(g189 +V +tp5344 +a(g18 +Vblue +p5345 +tp5346 +a(g344 +V, +tp5347 +a(g189 +V +tp5348 +a(g18 +Vblack +p5349 +tp5350 +a(g344 +V, +tp5351 +a(g189 +V +tp5352 +a(g319 +V0 +tp5353 +a(g344 +V, +tp5354 +a(g189 +V +tp5355 +a(g319 +V40 +p5356 +tp5357 +a(g344 +V) +tp5358 +a(g344 +V, +tp5359 +a(g189 +V\u000a +tp5360 +a(g189 +V +p5361 +tp5362 +a(g111 +Vnew +p5363 +tp5364 +a(g189 +V +tp5365 +a(g21 +VTxE +p5366 +tp5367 +a(g344 +V( +tp5368 +a(g226 +V"Java2D" +p5369 +tp5370 +a(g344 +V, +tp5371 +a(g189 +V +tp5372 +a(g18 +Vf2 +p5373 +tp5374 +a(g344 +V, +tp5375 +a(g189 +V +tp5376 +a(g18 +VTxE +p5377 +tp5378 +a(g344 +V. +tp5379 +a(g41 +VRI +p5380 +tp5381 +a(g189 +V +tp5382 +a(g344 +V| +tp5383 +a(g189 +V +tp5384 +a(g18 +VTxE +p5385 +tp5386 +a(g344 +V. +tp5387 +a(g41 +VSCI +p5388 +tp5389 +a(g344 +V, +tp5390 +a(g189 +V +tp5391 +a(g18 +Vyellow +p5392 +tp5393 +a(g344 +V, +tp5394 +a(g189 +V +tp5395 +a(g319 +V0 +tp5396 +a(g344 +V, +tp5397 +a(g189 +V +tp5398 +a(g319 +V40 +p5399 +tp5400 +a(g344 +V) +tp5401 +a(g189 +V +tp5402 +a(g344 +V} +tp5403 +a(g344 +V} +tp5404 +a(g344 +V, +tp5405 +a(g189 +V\u000a +tp5406 +a(g189 +V +p5407 +tp5408 +a(g344 +V{ +tp5409 +a(g189 +V +tp5410 +a(g344 +V{ +tp5411 +a(g189 +V +tp5412 +a(g226 +V"Previous scene dither dissolve out" +p5413 +tp5414 +a(g344 +V, +tp5415 +a(g189 +V +tp5416 +a(g226 +V"0" +p5417 +tp5418 +a(g344 +V} +tp5419 +a(g344 +V, +tp5420 +a(g189 +V\u000a +tp5421 +a(g189 +V +p5422 +tp5423 +a(g344 +V{ +tp5424 +a(g189 +V +tp5425 +a(g111 +Vnew +p5426 +tp5427 +a(g189 +V +tp5428 +a(g18 +VDdE +p5429 +tp5430 +a(g344 +V( +tp5431 +a(g319 +V0 +tp5432 +a(g344 +V, +tp5433 +a(g189 +V +tp5434 +a(g319 +V20 +p5435 +tp5436 +a(g344 +V, +tp5437 +a(g189 +V +tp5438 +a(g319 +V1 +tp5439 +a(g344 +V) +tp5440 +a(g189 +V +tp5441 +a(g344 +V} +tp5442 +a(g344 +V} +tp5443 +a(g344 +V, +tp5444 +a(g189 +V\u000a +tp5445 +a(g189 +V +p5446 +tp5447 +a(g344 +V{ +tp5448 +a(g189 +V +tp5449 +a(g344 +V{ +tp5450 +a(g189 +V +tp5451 +a(g226 +V"Graphics Features" +p5452 +tp5453 +a(g344 +V, +tp5454 +a(g189 +V +tp5455 +a(g226 +V"999" +p5456 +tp5457 +a(g189 +V +tp5458 +a(g344 +V} +tp5459 +a(g344 +V, +tp5460 +a(g189 +V\u000a +tp5461 +a(g189 +V +p5462 +tp5463 +a(g344 +V{ +tp5464 +a(g189 +V +tp5465 +a(g111 +Vnew +p5466 +tp5467 +a(g189 +V +tp5468 +a(g18 +VTemp +p5469 +tp5470 +a(g344 +V( +tp5471 +a(g18 +VTemp +p5472 +tp5473 +a(g344 +V. +tp5474 +a(g41 +VRECT +p5475 +tp5476 +a(g344 +V, +tp5477 +a(g189 +V +tp5478 +a(g117 +Vnull +p5479 +tp5480 +a(g344 +V, +tp5481 +a(g189 +V +tp5482 +a(g319 +V0 +tp5483 +a(g344 +V, +tp5484 +a(g189 +V +tp5485 +a(g319 +V15 +p5486 +tp5487 +a(g344 +V) +tp5488 +a(g344 +V, +tp5489 +a(g189 +V\u000a +tp5490 +a(g189 +V +p5491 +tp5492 +a(g111 +Vnew +p5493 +tp5494 +a(g189 +V +tp5495 +a(g21 +VTemp +p5496 +tp5497 +a(g344 +V( +tp5498 +a(g18 +VTemp +p5499 +tp5500 +a(g344 +V. +tp5501 +a(g41 +VIMG +p5502 +tp5503 +a(g344 +V, +tp5504 +a(g189 +V +tp5505 +a(g18 +Vjava_logo +p5506 +tp5507 +a(g344 +V, +tp5508 +a(g189 +V +tp5509 +a(g319 +V2 +tp5510 +a(g344 +V, +tp5511 +a(g189 +V +tp5512 +a(g319 +V15 +p5513 +tp5514 +a(g344 +V) +tp5515 +a(g344 +V, +tp5516 +a(g189 +V\u000a +tp5517 +a(g189 +V +p5518 +tp5519 +a(g111 +Vnew +p5520 +tp5521 +a(g189 +V +tp5522 +a(g21 +VTemp +p5523 +tp5524 +a(g344 +V( +tp5525 +a(g18 +VTemp +p5526 +tp5527 +a(g344 +V. +tp5528 +a(g41 +VRNA +p5529 +tp5530 +a(g189 +V +tp5531 +a(g344 +V| +tp5532 +a(g189 +V +tp5533 +a(g18 +VTemp +p5534 +tp5535 +a(g344 +V. +tp5536 +a(g41 +VINA +p5537 +tp5538 +a(g344 +V, +tp5539 +a(g189 +V +tp5540 +a(g18 +Vjava_logo +p5541 +tp5542 +a(g344 +V, +tp5543 +a(g189 +V +tp5544 +a(g319 +V16 +p5545 +tp5546 +a(g344 +V, +tp5547 +a(g189 +V +tp5548 +a(g319 +V130 +p5549 +tp5550 +a(g344 +V) +tp5551 +a(g344 +V, +tp5552 +a(g189 +V\u000a +tp5553 +a(g189 +V +p5554 +tp5555 +a(g111 +Vnew +p5556 +tp5557 +a(g189 +V +tp5558 +a(g21 +VFeatures +p5559 +tp5560 +a(g344 +V( +tp5561 +a(g18 +VFeatures +p5562 +tp5563 +a(g344 +V. +tp5564 +a(g41 +VGRAPHICS +p5565 +tp5566 +a(g344 +V, +tp5567 +a(g189 +V +tp5568 +a(g319 +V16 +p5569 +tp5570 +a(g344 +V, +tp5571 +a(g189 +V +tp5572 +a(g319 +V130 +p5573 +tp5574 +a(g344 +V) +tp5575 +a(g189 +V +tp5576 +a(g344 +V} +tp5577 +a(g344 +V} +tp5578 +a(g344 +V, +tp5579 +a(g189 +V\u000a +tp5580 +a(g189 +V +p5581 +tp5582 +a(g344 +V{ +tp5583 +a(g189 +V +tp5584 +a(g344 +V{ +tp5585 +a(g189 +V +tp5586 +a(g226 +V"Java2D - texture text on gradient" +p5587 +tp5588 +a(g344 +V, +tp5589 +a(g189 +V +tp5590 +a(g226 +V"1000" +p5591 +tp5592 +a(g344 +V} +tp5593 +a(g344 +V, +tp5594 +a(g189 +V\u000a +tp5595 +a(g189 +V +p5596 +tp5597 +a(g344 +V{ +tp5598 +a(g189 +V +tp5599 +a(g111 +Vnew +p5600 +tp5601 +a(g189 +V +tp5602 +a(g18 +VGpE +p5603 +tp5604 +a(g344 +V( +tp5605 +a(g18 +VGpE +p5606 +tp5607 +a(g344 +V. +tp5608 +a(g41 +VWI +p5609 +tp5610 +a(g344 +V, +tp5611 +a(g189 +V +tp5612 +a(g18 +Vblue +p5613 +tp5614 +a(g344 +V, +tp5615 +a(g189 +V +tp5616 +a(g18 +Vblack +p5617 +tp5618 +a(g344 +V, +tp5619 +a(g189 +V +tp5620 +a(g319 +V0 +tp5621 +a(g344 +V, +tp5622 +a(g189 +V +tp5623 +a(g319 +V20 +p5624 +tp5625 +a(g344 +V) +tp5626 +a(g344 +V, +tp5627 +a(g189 +V\u000a +tp5628 +a(g189 +V +p5629 +tp5630 +a(g111 +Vnew +p5631 +tp5632 +a(g189 +V +tp5633 +a(g21 +VGpE +p5634 +tp5635 +a(g344 +V( +tp5636 +a(g18 +VGpE +p5637 +tp5638 +a(g344 +V. +tp5639 +a(g41 +VWD +p5640 +tp5641 +a(g344 +V, +tp5642 +a(g189 +V +tp5643 +a(g18 +Vblue +p5644 +tp5645 +a(g344 +V, +tp5646 +a(g189 +V +tp5647 +a(g18 +Vblack +p5648 +tp5649 +a(g344 +V, +tp5650 +a(g189 +V +tp5651 +a(g319 +V21 +p5652 +tp5653 +a(g344 +V, +tp5654 +a(g189 +V +tp5655 +a(g319 +V40 +p5656 +tp5657 +a(g344 +V) +tp5658 +a(g344 +V, +tp5659 +a(g189 +V\u000a +tp5660 +a(g189 +V +p5661 +tp5662 +a(g111 +Vnew +p5663 +tp5664 +a(g189 +V +tp5665 +a(g21 +VTpE +p5666 +tp5667 +a(g344 +V( +tp5668 +a(g18 +VTpE +p5669 +tp5670 +a(g344 +V. +tp5671 +a(g41 +VOI +p5672 +tp5673 +a(g189 +V +tp5674 +a(g344 +V| +tp5675 +a(g189 +V +tp5676 +a(g18 +VTpE +p5677 +tp5678 +a(g344 +V. +tp5679 +a(g41 +VNF +p5680 +tp5681 +a(g344 +V, +tp5682 +a(g189 +V +tp5683 +a(g18 +Vblack +p5684 +tp5685 +a(g344 +V, +tp5686 +a(g189 +V +tp5687 +a(g18 +Vyellow +p5688 +tp5689 +a(g344 +V, +tp5690 +a(g189 +V +tp5691 +a(g319 +V4 +tp5692 +a(g344 +V, +tp5693 +a(g189 +V +tp5694 +a(g319 +V0 +tp5695 +a(g344 +V, +tp5696 +a(g189 +V +tp5697 +a(g319 +V10 +p5698 +tp5699 +a(g344 +V) +tp5700 +a(g344 +V, +tp5701 +a(g189 +V\u000a +tp5702 +a(g189 +V +p5703 +tp5704 +a(g111 +Vnew +p5705 +tp5706 +a(g189 +V +tp5707 +a(g21 +VTpE +p5708 +tp5709 +a(g344 +V( +tp5710 +a(g18 +VTpE +p5711 +tp5712 +a(g344 +V. +tp5713 +a(g41 +VOD +p5714 +tp5715 +a(g189 +V +tp5716 +a(g344 +V| +tp5717 +a(g189 +V +tp5718 +a(g18 +VTpE +p5719 +tp5720 +a(g344 +V. +tp5721 +a(g41 +VNF +p5722 +tp5723 +a(g344 +V, +tp5724 +a(g189 +V +tp5725 +a(g18 +Vblack +p5726 +tp5727 +a(g344 +V, +tp5728 +a(g189 +V +tp5729 +a(g18 +Vyellow +p5730 +tp5731 +a(g344 +V, +tp5732 +a(g189 +V +tp5733 +a(g319 +V4 +tp5734 +a(g344 +V, +tp5735 +a(g189 +V +tp5736 +a(g319 +V11 +p5737 +tp5738 +a(g344 +V, +tp5739 +a(g189 +V +tp5740 +a(g319 +V20 +p5741 +tp5742 +a(g344 +V) +tp5743 +a(g344 +V, +tp5744 +a(g189 +V\u000a +tp5745 +a(g189 +V +p5746 +tp5747 +a(g111 +Vnew +p5748 +tp5749 +a(g189 +V +tp5750 +a(g21 +VTpE +p5751 +tp5752 +a(g344 +V( +tp5753 +a(g18 +VTpE +p5754 +tp5755 +a(g344 +V. +tp5756 +a(g41 +VOI +p5757 +tp5758 +a(g189 +V +tp5759 +a(g344 +V| +tp5760 +a(g189 +V +tp5761 +a(g18 +VTpE +p5762 +tp5763 +a(g344 +V. +tp5764 +a(g41 +VNF +p5765 +tp5766 +a(g189 +V +tp5767 +a(g344 +V| +tp5768 +a(g189 +V +tp5769 +a(g18 +VTpE +p5770 +tp5771 +a(g344 +V. +tp5772 +a(g41 +VHAF +p5773 +tp5774 +a(g344 +V, +tp5775 +a(g189 +V +tp5776 +a(g18 +Vblack +p5777 +tp5778 +a(g344 +V, +tp5779 +a(g189 +V +tp5780 +a(g18 +Vyellow +p5781 +tp5782 +a(g344 +V, +tp5783 +a(g319 +V5 +tp5784 +a(g344 +V, +tp5785 +a(g319 +V21 +p5786 +tp5787 +a(g344 +V, +tp5788 +a(g319 +V40 +p5789 +tp5790 +a(g344 +V) +tp5791 +a(g344 +V, +tp5792 +a(g189 +V\u000a +tp5793 +a(g189 +V +p5794 +tp5795 +a(g111 +Vnew +p5796 +tp5797 +a(g189 +V +tp5798 +a(g21 +VTxE +p5799 +tp5800 +a(g344 +V( +tp5801 +a(g226 +V"Java2D" +p5802 +tp5803 +a(g344 +V, +tp5804 +a(g189 +V +tp5805 +a(g18 +Vf2 +p5806 +tp5807 +a(g344 +V, +tp5808 +a(g189 +V +tp5809 +a(g319 +V0 +tp5810 +a(g344 +V, +tp5811 +a(g189 +V +tp5812 +a(g117 +Vnull +p5813 +tp5814 +a(g344 +V, +tp5815 +a(g189 +V +tp5816 +a(g319 +V0 +tp5817 +a(g344 +V, +tp5818 +a(g189 +V +tp5819 +a(g319 +V40 +p5820 +tp5821 +a(g344 +V) +tp5822 +a(g189 +V +tp5823 +a(g344 +V} +tp5824 +a(g344 +V} +tp5825 +a(g344 +V, +tp5826 +a(g189 +V\u000a +tp5827 +a(g189 +V +p5828 +tp5829 +a(g344 +V{ +tp5830 +a(g189 +V +tp5831 +a(g344 +V{ +tp5832 +a(g189 +V +tp5833 +a(g226 +V"Previous scene random close out" +p5834 +tp5835 +a(g344 +V, +tp5836 +a(g189 +V +tp5837 +a(g226 +V"0" +p5838 +tp5839 +a(g344 +V} +tp5840 +a(g344 +V, +tp5841 +a(g189 +V\u000a +tp5842 +a(g189 +V +p5843 +tp5844 +a(g344 +V{ +tp5845 +a(g189 +V +tp5846 +a(g111 +Vnew +p5847 +tp5848 +a(g189 +V +tp5849 +a(g18 +VCoE +p5850 +tp5851 +a(g344 +V( +tp5852 +a(g18 +VCoE +p5853 +tp5854 +a(g344 +V. +tp5855 +a(g41 +VRAND +p5856 +tp5857 +a(g344 +V, +tp5858 +a(g189 +V +tp5859 +a(g319 +V0 +tp5860 +a(g344 +V, +tp5861 +a(g189 +V +tp5862 +a(g319 +V20 +p5863 +tp5864 +a(g344 +V) +tp5865 +a(g189 +V +tp5866 +a(g344 +V} +tp5867 +a(g189 +V +tp5868 +a(g344 +V} +tp5869 +a(g344 +V, +tp5870 +a(g189 +V\u000a +tp5871 +a(g189 +V +p5872 +tp5873 +a(g344 +V{ +tp5874 +a(g189 +V +tp5875 +a(g344 +V{ +tp5876 +a(g189 +V +tp5877 +a(g226 +V"Text Features" +p5878 +tp5879 +a(g344 +V, +tp5880 +a(g189 +V +tp5881 +a(g226 +V"999" +p5882 +tp5883 +a(g189 +V +tp5884 +a(g344 +V} +tp5885 +a(g344 +V, +tp5886 +a(g189 +V\u000a +tp5887 +a(g189 +V +p5888 +tp5889 +a(g344 +V{ +tp5890 +a(g189 +V +tp5891 +a(g111 +Vnew +p5892 +tp5893 +a(g189 +V +tp5894 +a(g18 +VTemp +p5895 +tp5896 +a(g344 +V( +tp5897 +a(g18 +VTemp +p5898 +tp5899 +a(g344 +V. +tp5900 +a(g41 +VRECT +p5901 +tp5902 +a(g344 +V, +tp5903 +a(g189 +V +tp5904 +a(g117 +Vnull +p5905 +tp5906 +a(g344 +V, +tp5907 +a(g189 +V +tp5908 +a(g319 +V0 +tp5909 +a(g344 +V, +tp5910 +a(g189 +V +tp5911 +a(g319 +V15 +p5912 +tp5913 +a(g344 +V) +tp5914 +a(g344 +V, +tp5915 +a(g189 +V\u000a +tp5916 +a(g189 +V +p5917 +tp5918 +a(g111 +Vnew +p5919 +tp5920 +a(g189 +V +tp5921 +a(g21 +VTemp +p5922 +tp5923 +a(g344 +V( +tp5924 +a(g18 +VTemp +p5925 +tp5926 +a(g344 +V. +tp5927 +a(g41 +VIMG +p5928 +tp5929 +a(g344 +V, +tp5930 +a(g189 +V +tp5931 +a(g18 +Vjava_logo +p5932 +tp5933 +a(g344 +V, +tp5934 +a(g189 +V +tp5935 +a(g319 +V2 +tp5936 +a(g344 +V, +tp5937 +a(g189 +V +tp5938 +a(g319 +V15 +p5939 +tp5940 +a(g344 +V) +tp5941 +a(g344 +V, +tp5942 +a(g189 +V\u000a +tp5943 +a(g189 +V +p5944 +tp5945 +a(g111 +Vnew +p5946 +tp5947 +a(g189 +V +tp5948 +a(g21 +VTemp +p5949 +tp5950 +a(g344 +V( +tp5951 +a(g18 +VTemp +p5952 +tp5953 +a(g344 +V. +tp5954 +a(g41 +VRNA +p5955 +tp5956 +a(g189 +V +tp5957 +a(g344 +V| +tp5958 +a(g189 +V +tp5959 +a(g18 +VTemp +p5960 +tp5961 +a(g344 +V. +tp5962 +a(g41 +VINA +p5963 +tp5964 +a(g344 +V, +tp5965 +a(g189 +V +tp5966 +a(g18 +Vjava_logo +p5967 +tp5968 +a(g344 +V, +tp5969 +a(g189 +V +tp5970 +a(g319 +V16 +p5971 +tp5972 +a(g344 +V, +tp5973 +a(g189 +V +tp5974 +a(g319 +V130 +p5975 +tp5976 +a(g344 +V) +tp5977 +a(g344 +V, +tp5978 +a(g189 +V\u000a +tp5979 +a(g189 +V +p5980 +tp5981 +a(g111 +Vnew +p5982 +tp5983 +a(g189 +V +tp5984 +a(g21 +VFeatures +p5985 +tp5986 +a(g344 +V( +tp5987 +a(g18 +VFeatures +p5988 +tp5989 +a(g344 +V. +tp5990 +a(g41 +VTEXT +p5991 +tp5992 +a(g344 +V, +tp5993 +a(g189 +V +tp5994 +a(g319 +V16 +p5995 +tp5996 +a(g344 +V, +tp5997 +a(g189 +V +tp5998 +a(g319 +V130 +p5999 +tp6000 +a(g344 +V) +tp6001 +a(g189 +V +tp6002 +a(g344 +V} +tp6003 +a(g344 +V} +tp6004 +a(g344 +V, +tp6005 +a(g189 +V\u000a +tp6006 +a(g189 +V +p6007 +tp6008 +a(g344 +V{ +tp6009 +a(g189 +V +tp6010 +a(g344 +V{ +tp6011 +a(g189 +V +tp6012 +a(g226 +V"Java2D - composite text on texture" +p6013 +tp6014 +a(g344 +V, +tp6015 +a(g189 +V +tp6016 +a(g226 +V"1000" +p6017 +tp6018 +a(g344 +V} +tp6019 +a(g344 +V, +tp6020 +a(g189 +V\u000a +tp6021 +a(g189 +V +p6022 +tp6023 +a(g344 +V{ +tp6024 +a(g189 +V +tp6025 +a(g111 +Vnew +p6026 +tp6027 +a(g189 +V +tp6028 +a(g18 +VTpE +p6029 +tp6030 +a(g344 +V( +tp6031 +a(g18 +VTpE +p6032 +tp6033 +a(g344 +V. +tp6034 +a(g41 +VRI +p6035 +tp6036 +a(g344 +V, +tp6037 +a(g189 +V +tp6038 +a(g18 +Vblack +p6039 +tp6040 +a(g344 +V, +tp6041 +a(g189 +V +tp6042 +a(g18 +Vgp +p6043 +tp6044 +a(g344 +V, +tp6045 +a(g189 +V +tp6046 +a(g319 +V40 +p6047 +tp6048 +a(g344 +V, +tp6049 +a(g189 +V +tp6050 +a(g319 +V0 +tp6051 +a(g344 +V, +tp6052 +a(g189 +V +tp6053 +a(g319 +V20 +p6054 +tp6055 +a(g344 +V) +tp6056 +a(g344 +V, +tp6057 +a(g189 +V\u000a +tp6058 +a(g189 +V +p6059 +tp6060 +a(g111 +Vnew +p6061 +tp6062 +a(g189 +V +tp6063 +a(g21 +VTpE +p6064 +tp6065 +a(g344 +V( +tp6066 +a(g18 +VTpE +p6067 +tp6068 +a(g344 +V. +tp6069 +a(g41 +VRD +p6070 +tp6071 +a(g344 +V, +tp6072 +a(g189 +V +tp6073 +a(g18 +Vblack +p6074 +tp6075 +a(g344 +V, +tp6076 +a(g189 +V +tp6077 +a(g18 +Vgp +p6078 +tp6079 +a(g344 +V, +tp6080 +a(g189 +V +tp6081 +a(g319 +V40 +p6082 +tp6083 +a(g344 +V, +tp6084 +a(g189 +V +tp6085 +a(g319 +V21 +p6086 +tp6087 +a(g344 +V, +tp6088 +a(g189 +V +tp6089 +a(g319 +V40 +p6090 +tp6091 +a(g344 +V) +tp6092 +a(g344 +V, +tp6093 +a(g189 +V\u000a +tp6094 +a(g189 +V +p6095 +tp6096 +a(g111 +Vnew +p6097 +tp6098 +a(g189 +V +tp6099 +a(g21 +VTpE +p6100 +tp6101 +a(g344 +V( +tp6102 +a(g18 +VTpE +p6103 +tp6104 +a(g344 +V. +tp6105 +a(g41 +VRI +p6106 +tp6107 +a(g344 +V, +tp6108 +a(g189 +V +tp6109 +a(g18 +Vblack +p6110 +tp6111 +a(g344 +V, +tp6112 +a(g189 +V +tp6113 +a(g18 +Vgp +p6114 +tp6115 +a(g344 +V, +tp6116 +a(g189 +V +tp6117 +a(g319 +V40 +p6118 +tp6119 +a(g344 +V, +tp6120 +a(g189 +V +tp6121 +a(g319 +V41 +p6122 +tp6123 +a(g344 +V, +tp6124 +a(g189 +V +tp6125 +a(g319 +V60 +p6126 +tp6127 +a(g344 +V) +tp6128 +a(g344 +V, +tp6129 +a(g189 +V\u000a +tp6130 +a(g189 +V +p6131 +tp6132 +a(g111 +Vnew +p6133 +tp6134 +a(g189 +V +tp6135 +a(g21 +VTxE +p6136 +tp6137 +a(g344 +V( +tp6138 +a(g226 +V"Java2D" +p6139 +tp6140 +a(g344 +V, +tp6141 +a(g189 +V +tp6142 +a(g18 +Vf2 +p6143 +tp6144 +a(g344 +V, +tp6145 +a(g189 +V +tp6146 +a(g18 +VTxE +p6147 +tp6148 +a(g344 +V. +tp6149 +a(g41 +VAC +p6150 +tp6151 +a(g344 +V, +tp6152 +a(g189 +V +tp6153 +a(g18 +Vyellow +p6154 +tp6155 +a(g344 +V, +tp6156 +a(g189 +V +tp6157 +a(g319 +V0 +tp6158 +a(g344 +V, +tp6159 +a(g189 +V +tp6160 +a(g319 +V60 +p6161 +tp6162 +a(g344 +V) +tp6163 +a(g189 +V +tp6164 +a(g344 +V} +tp6165 +a(g344 +V} +tp6166 +a(g344 +V, +tp6167 +a(g189 +V\u000a +tp6168 +a(g189 +V +p6169 +tp6170 +a(g344 +V{ +tp6171 +a(g189 +V +tp6172 +a(g344 +V{ +tp6173 +a(g189 +V +tp6174 +a(g226 +V"Previous scene dither dissolve out" +p6175 +tp6176 +a(g344 +V, +tp6177 +a(g189 +V +tp6178 +a(g226 +V"0" +p6179 +tp6180 +a(g344 +V} +tp6181 +a(g344 +V, +tp6182 +a(g189 +V\u000a +tp6183 +a(g189 +V +p6184 +tp6185 +a(g344 +V{ +tp6186 +a(g189 +V +tp6187 +a(g111 +Vnew +p6188 +tp6189 +a(g189 +V +tp6190 +a(g18 +VDdE +p6191 +tp6192 +a(g344 +V( +tp6193 +a(g319 +V0 +tp6194 +a(g344 +V, +tp6195 +a(g189 +V +tp6196 +a(g319 +V20 +p6197 +tp6198 +a(g344 +V, +tp6199 +a(g189 +V +tp6200 +a(g319 +V4 +tp6201 +a(g344 +V) +tp6202 +a(g189 +V +tp6203 +a(g344 +V} +tp6204 +a(g344 +V} +tp6205 +a(g344 +V, +tp6206 +a(g189 +V\u000a +tp6207 +a(g189 +V +p6208 +tp6209 +a(g344 +V{ +tp6210 +a(g189 +V +tp6211 +a(g344 +V{ +tp6212 +a(g189 +V +tp6213 +a(g226 +V"Imaging Features" +p6214 +tp6215 +a(g344 +V, +tp6216 +a(g189 +V +tp6217 +a(g226 +V"999" +p6218 +tp6219 +a(g189 +V +tp6220 +a(g344 +V} +tp6221 +a(g344 +V, +tp6222 +a(g189 +V\u000a +tp6223 +a(g189 +V +p6224 +tp6225 +a(g344 +V{ +tp6226 +a(g189 +V +tp6227 +a(g111 +Vnew +p6228 +tp6229 +a(g189 +V +tp6230 +a(g18 +VTemp +p6231 +tp6232 +a(g344 +V( +tp6233 +a(g18 +VTemp +p6234 +tp6235 +a(g344 +V. +tp6236 +a(g41 +VRECT +p6237 +tp6238 +a(g344 +V, +tp6239 +a(g189 +V +tp6240 +a(g117 +Vnull +p6241 +tp6242 +a(g344 +V, +tp6243 +a(g189 +V +tp6244 +a(g319 +V0 +tp6245 +a(g344 +V, +tp6246 +a(g189 +V +tp6247 +a(g319 +V15 +p6248 +tp6249 +a(g344 +V) +tp6250 +a(g344 +V, +tp6251 +a(g189 +V\u000a +tp6252 +a(g189 +V +p6253 +tp6254 +a(g111 +Vnew +p6255 +tp6256 +a(g189 +V +tp6257 +a(g21 +VTemp +p6258 +tp6259 +a(g344 +V( +tp6260 +a(g18 +VTemp +p6261 +tp6262 +a(g344 +V. +tp6263 +a(g41 +VIMG +p6264 +tp6265 +a(g344 +V, +tp6266 +a(g189 +V +tp6267 +a(g18 +Vjava_logo +p6268 +tp6269 +a(g344 +V, +tp6270 +a(g189 +V +tp6271 +a(g319 +V2 +tp6272 +a(g344 +V, +tp6273 +a(g189 +V +tp6274 +a(g319 +V15 +p6275 +tp6276 +a(g344 +V) +tp6277 +a(g344 +V, +tp6278 +a(g189 +V\u000a +tp6279 +a(g189 +V +p6280 +tp6281 +a(g111 +Vnew +p6282 +tp6283 +a(g189 +V +tp6284 +a(g21 +VTemp +p6285 +tp6286 +a(g344 +V( +tp6287 +a(g18 +VTemp +p6288 +tp6289 +a(g344 +V. +tp6290 +a(g41 +VRNA +p6291 +tp6292 +a(g189 +V +tp6293 +a(g344 +V| +tp6294 +a(g189 +V +tp6295 +a(g18 +VTemp +p6296 +tp6297 +a(g344 +V. +tp6298 +a(g41 +VINA +p6299 +tp6300 +a(g344 +V, +tp6301 +a(g189 +V +tp6302 +a(g18 +Vjava_logo +p6303 +tp6304 +a(g344 +V, +tp6305 +a(g189 +V +tp6306 +a(g319 +V16 +p6307 +tp6308 +a(g344 +V, +tp6309 +a(g189 +V +tp6310 +a(g319 +V130 +p6311 +tp6312 +a(g344 +V) +tp6313 +a(g344 +V, +tp6314 +a(g189 +V\u000a +tp6315 +a(g189 +V +p6316 +tp6317 +a(g111 +Vnew +p6318 +tp6319 +a(g189 +V +tp6320 +a(g21 +VFeatures +p6321 +tp6322 +a(g344 +V( +tp6323 +a(g18 +VFeatures +p6324 +tp6325 +a(g344 +V. +tp6326 +a(g41 +VIMAGES +p6327 +tp6328 +a(g344 +V, +tp6329 +a(g189 +V +tp6330 +a(g319 +V16 +p6331 +tp6332 +a(g344 +V, +tp6333 +a(g189 +V +tp6334 +a(g319 +V130 +p6335 +tp6336 +a(g344 +V) +tp6337 +a(g189 +V +tp6338 +a(g344 +V} +tp6339 +a(g344 +V} +tp6340 +a(g344 +V, +tp6341 +a(g189 +V\u000a +tp6342 +a(g189 +V +p6343 +tp6344 +a(g344 +V{ +tp6345 +a(g189 +V +tp6346 +a(g344 +V{ +tp6347 +a(g189 +V +tp6348 +a(g226 +V"Java2D - text on gradient" +p6349 +tp6350 +a(g344 +V, +tp6351 +a(g189 +V +tp6352 +a(g226 +V"1000" +p6353 +tp6354 +a(g189 +V +tp6355 +a(g344 +V} +tp6356 +a(g344 +V, +tp6357 +a(g189 +V\u000a +tp6358 +a(g189 +V +p6359 +tp6360 +a(g344 +V{ +tp6361 +a(g189 +V +tp6362 +a(g111 +Vnew +p6363 +tp6364 +a(g189 +V +tp6365 +a(g18 +VGpE +p6366 +tp6367 +a(g344 +V( +tp6368 +a(g18 +VGpE +p6369 +tp6370 +a(g344 +V. +tp6371 +a(g41 +VSDH +p6372 +tp6373 +a(g344 +V, +tp6374 +a(g189 +V +tp6375 +a(g18 +Vblue +p6376 +tp6377 +a(g344 +V, +tp6378 +a(g189 +V +tp6379 +a(g18 +Vblack +p6380 +tp6381 +a(g344 +V, +tp6382 +a(g189 +V +tp6383 +a(g319 +V0 +tp6384 +a(g344 +V, +tp6385 +a(g189 +V +tp6386 +a(g319 +V20 +p6387 +tp6388 +a(g344 +V) +tp6389 +a(g344 +V, +tp6390 +a(g189 +V\u000a +tp6391 +a(g189 +V +p6392 +tp6393 +a(g111 +Vnew +p6394 +tp6395 +a(g189 +V +tp6396 +a(g21 +VGpE +p6397 +tp6398 +a(g344 +V( +tp6399 +a(g18 +VGpE +p6400 +tp6401 +a(g344 +V. +tp6402 +a(g41 +VSIH +p6403 +tp6404 +a(g344 +V, +tp6405 +a(g189 +V +tp6406 +a(g18 +Vblue +p6407 +tp6408 +a(g344 +V, +tp6409 +a(g189 +V +tp6410 +a(g18 +Vblack +p6411 +tp6412 +a(g344 +V, +tp6413 +a(g189 +V +tp6414 +a(g319 +V21 +p6415 +tp6416 +a(g344 +V, +tp6417 +a(g189 +V +tp6418 +a(g319 +V40 +p6419 +tp6420 +a(g344 +V) +tp6421 +a(g344 +V, +tp6422 +a(g189 +V\u000a +tp6423 +a(g189 +V +p6424 +tp6425 +a(g111 +Vnew +p6426 +tp6427 +a(g189 +V +tp6428 +a(g21 +VGpE +p6429 +tp6430 +a(g344 +V( +tp6431 +a(g18 +VGpE +p6432 +tp6433 +a(g344 +V. +tp6434 +a(g41 +VSDH +p6435 +tp6436 +a(g344 +V, +tp6437 +a(g189 +V +tp6438 +a(g18 +Vblue +p6439 +tp6440 +a(g344 +V, +tp6441 +a(g189 +V +tp6442 +a(g18 +Vblack +p6443 +tp6444 +a(g344 +V, +tp6445 +a(g189 +V +tp6446 +a(g319 +V41 +p6447 +tp6448 +a(g344 +V, +tp6449 +a(g189 +V +tp6450 +a(g319 +V50 +p6451 +tp6452 +a(g344 +V) +tp6453 +a(g344 +V, +tp6454 +a(g189 +V\u000a +tp6455 +a(g189 +V +p6456 +tp6457 +a(g111 +Vnew +p6458 +tp6459 +a(g189 +V +tp6460 +a(g21 +VGpE +p6461 +tp6462 +a(g344 +V( +tp6463 +a(g18 +VGpE +p6464 +tp6465 +a(g344 +V. +tp6466 +a(g41 +VINC +p6467 +tp6468 +a(g189 +V +tp6469 +a(g344 +V| +tp6470 +a(g189 +V +tp6471 +a(g18 +VGpE +p6472 +tp6473 +a(g344 +V. +tp6474 +a(g41 +VNF +p6475 +tp6476 +a(g344 +V, +tp6477 +a(g189 +V +tp6478 +a(g18 +Vred +p6479 +tp6480 +a(g344 +V, +tp6481 +a(g189 +V +tp6482 +a(g18 +Vyellow +p6483 +tp6484 +a(g344 +V, +tp6485 +a(g189 +V +tp6486 +a(g319 +V0 +tp6487 +a(g344 +V, +tp6488 +a(g189 +V +tp6489 +a(g319 +V50 +p6490 +tp6491 +a(g344 +V) +tp6492 +a(g344 +V, +tp6493 +a(g189 +V\u000a +tp6494 +a(g189 +V +p6495 +tp6496 +a(g111 +Vnew +p6497 +tp6498 +a(g189 +V +tp6499 +a(g21 +VTxE +p6500 +tp6501 +a(g344 +V( +tp6502 +a(g226 +V"Java2D" +p6503 +tp6504 +a(g344 +V, +tp6505 +a(g189 +V +tp6506 +a(g18 +Vf2 +p6507 +tp6508 +a(g344 +V, +tp6509 +a(g189 +V +tp6510 +a(g18 +VTxE +p6511 +tp6512 +a(g344 +V. +tp6513 +a(g41 +VNOP +p6514 +tp6515 +a(g344 +V, +tp6516 +a(g189 +V +tp6517 +a(g117 +Vnull +p6518 +tp6519 +a(g344 +V, +tp6520 +a(g189 +V +tp6521 +a(g319 +V0 +tp6522 +a(g344 +V, +tp6523 +a(g189 +V +tp6524 +a(g319 +V50 +p6525 +tp6526 +a(g344 +V) +tp6527 +a(g189 +V +tp6528 +a(g344 +V} +tp6529 +a(g344 +V} +tp6530 +a(g344 +V, +tp6531 +a(g189 +V\u000a +tp6532 +a(g189 +V +p6533 +tp6534 +a(g344 +V{ +tp6535 +a(g189 +V +tp6536 +a(g344 +V{ +tp6537 +a(g189 +V +tp6538 +a(g226 +V"Previous scene ellipse close out" +p6539 +tp6540 +a(g344 +V, +tp6541 +a(g189 +V +tp6542 +a(g226 +V"0" +p6543 +tp6544 +a(g344 +V} +tp6545 +a(g344 +V, +tp6546 +a(g189 +V\u000a +tp6547 +a(g189 +V +p6548 +tp6549 +a(g344 +V{ +tp6550 +a(g189 +V +tp6551 +a(g111 +Vnew +p6552 +tp6553 +a(g189 +V +tp6554 +a(g18 +VCoE +p6555 +tp6556 +a(g344 +V( +tp6557 +a(g18 +VCoE +p6558 +tp6559 +a(g344 +V. +tp6560 +a(g41 +VOVAL +p6561 +tp6562 +a(g344 +V, +tp6563 +a(g189 +V +tp6564 +a(g319 +V0 +tp6565 +a(g344 +V, +tp6566 +a(g189 +V +tp6567 +a(g319 +V20 +p6568 +tp6569 +a(g344 +V) +tp6570 +a(g189 +V +tp6571 +a(g344 +V} +tp6572 +a(g189 +V +tp6573 +a(g344 +V} +tp6574 +a(g344 +V, +tp6575 +a(g189 +V\u000a +tp6576 +a(g189 +V +p6577 +tp6578 +a(g344 +V{ +tp6579 +a(g189 +V +tp6580 +a(g344 +V{ +tp6581 +a(g189 +V +tp6582 +a(g226 +V"Color Features" +p6583 +tp6584 +a(g344 +V, +tp6585 +a(g189 +V +tp6586 +a(g226 +V"999" +p6587 +tp6588 +a(g189 +V +tp6589 +a(g344 +V} +tp6590 +a(g344 +V, +tp6591 +a(g189 +V\u000a +tp6592 +a(g189 +V +p6593 +tp6594 +a(g344 +V{ +tp6595 +a(g189 +V +tp6596 +a(g111 +Vnew +p6597 +tp6598 +a(g189 +V +tp6599 +a(g18 +VTemp +p6600 +tp6601 +a(g344 +V( +tp6602 +a(g18 +VTemp +p6603 +tp6604 +a(g344 +V. +tp6605 +a(g41 +VRECT +p6606 +tp6607 +a(g344 +V, +tp6608 +a(g189 +V +tp6609 +a(g117 +Vnull +p6610 +tp6611 +a(g344 +V, +tp6612 +a(g189 +V +tp6613 +a(g319 +V0 +tp6614 +a(g344 +V, +tp6615 +a(g189 +V +tp6616 +a(g319 +V15 +p6617 +tp6618 +a(g344 +V) +tp6619 +a(g344 +V, +tp6620 +a(g189 +V\u000a +tp6621 +a(g189 +V +p6622 +tp6623 +a(g111 +Vnew +p6624 +tp6625 +a(g189 +V +tp6626 +a(g21 +VTemp +p6627 +tp6628 +a(g344 +V( +tp6629 +a(g18 +VTemp +p6630 +tp6631 +a(g344 +V. +tp6632 +a(g41 +VIMG +p6633 +tp6634 +a(g344 +V, +tp6635 +a(g189 +V +tp6636 +a(g18 +Vjava_logo +p6637 +tp6638 +a(g344 +V, +tp6639 +a(g189 +V +tp6640 +a(g319 +V2 +tp6641 +a(g344 +V, +tp6642 +a(g189 +V +tp6643 +a(g319 +V15 +p6644 +tp6645 +a(g344 +V) +tp6646 +a(g344 +V, +tp6647 +a(g189 +V\u000a +tp6648 +a(g189 +V +p6649 +tp6650 +a(g111 +Vnew +p6651 +tp6652 +a(g189 +V +tp6653 +a(g21 +VTemp +p6654 +tp6655 +a(g344 +V( +tp6656 +a(g18 +VTemp +p6657 +tp6658 +a(g344 +V. +tp6659 +a(g41 +VRNA +p6660 +tp6661 +a(g189 +V +tp6662 +a(g344 +V| +tp6663 +a(g189 +V +tp6664 +a(g18 +VTemp +p6665 +tp6666 +a(g344 +V. +tp6667 +a(g41 +VINA +p6668 +tp6669 +a(g344 +V, +tp6670 +a(g189 +V +tp6671 +a(g18 +Vjava_logo +p6672 +tp6673 +a(g344 +V, +tp6674 +a(g189 +V +tp6675 +a(g319 +V16 +p6676 +tp6677 +a(g344 +V, +tp6678 +a(g189 +V +tp6679 +a(g319 +V99 +p6680 +tp6681 +a(g344 +V) +tp6682 +a(g344 +V, +tp6683 +a(g189 +V\u000a +tp6684 +a(g189 +V +p6685 +tp6686 +a(g111 +Vnew +p6687 +tp6688 +a(g189 +V +tp6689 +a(g21 +VFeatures +p6690 +tp6691 +a(g344 +V( +tp6692 +a(g18 +VFeatures +p6693 +tp6694 +a(g344 +V. +tp6695 +a(g41 +VCOLOR +p6696 +tp6697 +a(g344 +V, +tp6698 +a(g189 +V +tp6699 +a(g319 +V16 +p6700 +tp6701 +a(g344 +V, +tp6702 +a(g189 +V +tp6703 +a(g319 +V99 +p6704 +tp6705 +a(g344 +V) +tp6706 +a(g189 +V +tp6707 +a(g344 +V} +tp6708 +a(g344 +V} +tp6709 +a(g344 +V, +tp6710 +a(g189 +V\u000a +tp6711 +a(g189 +V +p6712 +tp6713 +a(g344 +V{ +tp6714 +a(g189 +V +tp6715 +a(g344 +V{ +tp6716 +a(g189 +V +tp6717 +a(g226 +V"Java2D - composite and rotate text on paints" +p6718 +tp6719 +a(g344 +V, +tp6720 +a(g189 +V +tp6721 +a(g226 +V"2000" +p6722 +tp6723 +a(g189 +V +tp6724 +a(g344 +V} +tp6725 +a(g344 +V, +tp6726 +a(g189 +V\u000a +tp6727 +a(g189 +V +p6728 +tp6729 +a(g344 +V{ +tp6730 +a(g189 +V +tp6731 +a(g111 +Vnew +p6732 +tp6733 +a(g189 +V +tp6734 +a(g18 +VGpE +p6735 +tp6736 +a(g344 +V( +tp6737 +a(g18 +VGpE +p6738 +tp6739 +a(g344 +V. +tp6740 +a(g41 +VBURI +p6741 +tp6742 +a(g344 +V, +tp6743 +a(g189 +V +tp6744 +a(g18 +Vblack +p6745 +tp6746 +a(g344 +V, +tp6747 +a(g189 +V +tp6748 +a(g18 +Vblue +p6749 +tp6750 +a(g344 +V, +tp6751 +a(g189 +V +tp6752 +a(g319 +V0 +tp6753 +a(g344 +V, +tp6754 +a(g189 +V +tp6755 +a(g319 +V20 +p6756 +tp6757 +a(g344 +V) +tp6758 +a(g344 +V, +tp6759 +a(g189 +V\u000a +tp6760 +a(g189 +V +p6761 +tp6762 +a(g111 +Vnew +p6763 +tp6764 +a(g189 +V +tp6765 +a(g21 +VGpE +p6766 +tp6767 +a(g344 +V( +tp6768 +a(g18 +VGpE +p6769 +tp6770 +a(g344 +V. +tp6771 +a(g41 +VBURD +p6772 +tp6773 +a(g344 +V, +tp6774 +a(g189 +V +tp6775 +a(g18 +Vblack +p6776 +tp6777 +a(g344 +V, +tp6778 +a(g189 +V +tp6779 +a(g18 +Vblue +p6780 +tp6781 +a(g344 +V, +tp6782 +a(g189 +V +tp6783 +a(g319 +V21 +p6784 +tp6785 +a(g344 +V, +tp6786 +a(g189 +V +tp6787 +a(g319 +V30 +p6788 +tp6789 +a(g344 +V) +tp6790 +a(g344 +V, +tp6791 +a(g189 +V\u000a +tp6792 +a(g189 +V +p6793 +tp6794 +a(g111 +Vnew +p6795 +tp6796 +a(g189 +V +tp6797 +a(g21 +VTpE +p6798 +tp6799 +a(g344 +V( +tp6800 +a(g18 +VTpE +p6801 +tp6802 +a(g344 +V. +tp6803 +a(g41 +VOI +p6804 +tp6805 +a(g189 +V +tp6806 +a(g344 +V| +tp6807 +a(g189 +V +tp6808 +a(g18 +VTpE +p6809 +tp6810 +a(g344 +V. +tp6811 +a(g41 +VHAF +p6812 +tp6813 +a(g344 +V, +tp6814 +a(g189 +V +tp6815 +a(g18 +Vblack +p6816 +tp6817 +a(g344 +V, +tp6818 +a(g189 +V +tp6819 +a(g18 +Vblue +p6820 +tp6821 +a(g344 +V, +tp6822 +a(g189 +V +tp6823 +a(g319 +V10 +p6824 +tp6825 +a(g344 +V, +tp6826 +a(g189 +V +tp6827 +a(g319 +V31 +p6828 +tp6829 +a(g344 +V, +tp6830 +a(g189 +V +tp6831 +a(g319 +V40 +p6832 +tp6833 +a(g344 +V) +tp6834 +a(g344 +V, +tp6835 +a(g189 +V\u000a +tp6836 +a(g189 +V +p6837 +tp6838 +a(g111 +Vnew +p6839 +tp6840 +a(g189 +V +tp6841 +a(g21 +VTxE +p6842 +tp6843 +a(g344 +V( +tp6844 +a(g226 +V"Java2D" +p6845 +tp6846 +a(g344 +V, +tp6847 +a(g189 +V +tp6848 +a(g18 +Vf2 +p6849 +tp6850 +a(g344 +V, +tp6851 +a(g189 +V +tp6852 +a(g18 +VTxE +p6853 +tp6854 +a(g344 +V. +tp6855 +a(g41 +VAC +p6856 +tp6857 +a(g189 +V +tp6858 +a(g344 +V| +tp6859 +a(g189 +V +tp6860 +a(g18 +VTxE +p6861 +tp6862 +a(g344 +V. +tp6863 +a(g41 +VRI +p6864 +tp6865 +a(g344 +V, +tp6866 +a(g189 +V +tp6867 +a(g18 +Vyellow +p6868 +tp6869 +a(g344 +V, +tp6870 +a(g189 +V +tp6871 +a(g319 +V0 +tp6872 +a(g344 +V, +tp6873 +a(g189 +V +tp6874 +a(g319 +V40 +p6875 +tp6876 +a(g344 +V) +tp6877 +a(g189 +V +tp6878 +a(g344 +V} +tp6879 +a(g344 +V} +tp6880 +a(g344 +V, +tp6881 +a(g189 +V\u000a +tp6882 +a(g189 +V +p6883 +tp6884 +a(g344 +V{ +tp6885 +a(g189 +V +tp6886 +a(g344 +V{ +tp6887 +a(g189 +V +tp6888 +a(g226 +V"Previous scene subimage transform out" +p6889 +tp6890 +a(g344 +V, +tp6891 +a(g189 +V +tp6892 +a(g226 +V"0" +p6893 +tp6894 +a(g189 +V +tp6895 +a(g344 +V} +tp6896 +a(g344 +V, +tp6897 +a(g189 +V\u000a +tp6898 +a(g189 +V +p6899 +tp6900 +a(g344 +V{ +tp6901 +a(g189 +V +tp6902 +a(g111 +Vnew +p6903 +tp6904 +a(g189 +V +tp6905 +a(g18 +VSiE +p6906 +tp6907 +a(g344 +V( +tp6908 +a(g319 +V60 +p6909 +tp6910 +a(g344 +V, +tp6911 +a(g189 +V +tp6912 +a(g319 +V60 +p6913 +tp6914 +a(g344 +V, +tp6915 +a(g189 +V +tp6916 +a(g319 +V0 +tp6917 +a(g344 +V, +tp6918 +a(g189 +V +tp6919 +a(g319 +V40 +p6920 +tp6921 +a(g344 +V) +tp6922 +a(g189 +V +tp6923 +a(g344 +V} +tp6924 +a(g344 +V} +tp6925 +a(g344 +V, +tp6926 +a(g189 +V\u000a +tp6927 +a(g189 +V +p6928 +tp6929 +a(g344 +V{ +tp6930 +a(g189 +V +tp6931 +a(g344 +V{ +tp6932 +a(g189 +V +tp6933 +a(g226 +V"CREDITS - transform in" +p6934 +tp6935 +a(g344 +V, +tp6936 +a(g189 +V +tp6937 +a(g226 +V"1000" +p6938 +tp6939 +a(g189 +V +tp6940 +a(g344 +V} +tp6941 +a(g344 +V, +tp6942 +a(g189 +V\u000a +tp6943 +a(g189 +V +p6944 +tp6945 +a(g344 +V{ +tp6946 +a(g189 +V +tp6947 +a(g111 +Vnew +p6948 +tp6949 +a(g189 +V +tp6950 +a(g18 +VLnE +p6951 +tp6952 +a(g344 +V( +tp6953 +a(g18 +VLnE +p6954 +tp6955 +a(g344 +V. +tp6956 +a(g41 +VACI +p6957 +tp6958 +a(g189 +V +tp6959 +a(g344 +V| +tp6960 +a(g189 +V +tp6961 +a(g18 +VLnE +p6962 +tp6963 +a(g344 +V. +tp6964 +a(g41 +VZOOMI +p6965 +tp6966 +a(g189 +V +tp6967 +a(g344 +V| +tp6968 +a(g189 +V +tp6969 +a(g18 +VLnE +p6970 +tp6971 +a(g344 +V. +tp6972 +a(g41 +VRI +p6973 +tp6974 +a(g344 +V, +tp6975 +a(g189 +V +tp6976 +a(g319 +V0 +tp6977 +a(g344 +V, +tp6978 +a(g189 +V +tp6979 +a(g319 +V60 +p6980 +tp6981 +a(g344 +V) +tp6982 +a(g344 +V, +tp6983 +a(g189 +V\u000a +tp6984 +a(g189 +V +p6985 +tp6986 +a(g111 +Vnew +p6987 +tp6988 +a(g189 +V +tp6989 +a(g21 +VTxE +p6990 +tp6991 +a(g344 +V( +tp6992 +a(g226 +V"CREDITS" +p6993 +tp6994 +a(g344 +V, +tp6995 +a(g189 +V +tp6996 +a(g18 +Vf3 +p6997 +tp6998 +a(g344 +V, +tp6999 +a(g189 +V +tp7000 +a(g18 +VTxE +p7001 +tp7002 +a(g344 +V. +tp7003 +a(g41 +VAC +p7004 +tp7005 +a(g189 +V +tp7006 +a(g344 +V| +tp7007 +a(g189 +V +tp7008 +a(g18 +VTxE +p7009 +tp7010 +a(g344 +V. +tp7011 +a(g41 +VSCI +p7012 +tp7013 +a(g344 +V, +tp7014 +a(g189 +V +tp7015 +a(g18 +VColor +p7016 +tp7017 +a(g344 +V. +tp7018 +a(g41 +Vred +p7019 +tp7020 +a(g344 +V, +tp7021 +a(g319 +V20 +p7022 +tp7023 +a(g344 +V, +tp7024 +a(g319 +V30 +p7025 +tp7026 +a(g344 +V) +tp7027 +a(g344 +V, +tp7028 +a(g189 +V\u000a +tp7029 +a(g189 +V +p7030 +tp7031 +a(g111 +Vnew +p7032 +tp7033 +a(g189 +V +tp7034 +a(g21 +VTxE +p7035 +tp7036 +a(g344 +V( +tp7037 +a(g226 +V"CREDITS" +p7038 +tp7039 +a(g344 +V, +tp7040 +a(g189 +V +tp7041 +a(g18 +Vf3 +p7042 +tp7043 +a(g344 +V, +tp7044 +a(g189 +V +tp7045 +a(g18 +VTxE +p7046 +tp7047 +a(g344 +V. +tp7048 +a(g41 +VSCXD +p7049 +tp7050 +a(g344 +V, +tp7051 +a(g189 +V +tp7052 +a(g18 +VColor +p7053 +tp7054 +a(g344 +V. +tp7055 +a(g41 +Vred +p7056 +tp7057 +a(g344 +V, +tp7058 +a(g189 +V +tp7059 +a(g319 +V31 +p7060 +tp7061 +a(g344 +V, +tp7062 +a(g189 +V +tp7063 +a(g319 +V38 +p7064 +tp7065 +a(g344 +V) +tp7066 +a(g344 +V, +tp7067 +a(g189 +V\u000a +tp7068 +a(g189 +V +p7069 +tp7070 +a(g111 +Vnew +p7071 +tp7072 +a(g189 +V +tp7073 +a(g21 +VTxE +p7074 +tp7075 +a(g344 +V( +tp7076 +a(g226 +V"CREDITS" +p7077 +tp7078 +a(g344 +V, +tp7079 +a(g189 +V +tp7080 +a(g18 +Vf3 +p7081 +tp7082 +a(g344 +V, +tp7083 +a(g189 +V +tp7084 +a(g18 +VTxE +p7085 +tp7086 +a(g344 +V. +tp7087 +a(g41 +VSCXI +p7088 +tp7089 +a(g344 +V, +tp7090 +a(g189 +V +tp7091 +a(g18 +VColor +p7092 +tp7093 +a(g344 +V. +tp7094 +a(g41 +Vred +p7095 +tp7096 +a(g344 +V, +tp7097 +a(g189 +V +tp7098 +a(g319 +V39 +p7099 +tp7100 +a(g344 +V, +tp7101 +a(g189 +V +tp7102 +a(g319 +V48 +p7103 +tp7104 +a(g344 +V) +tp7105 +a(g344 +V, +tp7106 +a(g189 +V\u000a +tp7107 +a(g189 +V +p7108 +tp7109 +a(g111 +Vnew +p7110 +tp7111 +a(g189 +V +tp7112 +a(g21 +VTxE +p7113 +tp7114 +a(g344 +V( +tp7115 +a(g226 +V"CREDITS" +p7116 +tp7117 +a(g344 +V, +tp7118 +a(g189 +V +tp7119 +a(g18 +Vf3 +p7120 +tp7121 +a(g344 +V, +tp7122 +a(g189 +V +tp7123 +a(g18 +VTxE +p7124 +tp7125 +a(g344 +V. +tp7126 +a(g41 +VSCXD +p7127 +tp7128 +a(g344 +V, +tp7129 +a(g189 +V +tp7130 +a(g18 +VColor +p7131 +tp7132 +a(g344 +V. +tp7133 +a(g41 +Vred +p7134 +tp7135 +a(g344 +V, +tp7136 +a(g189 +V +tp7137 +a(g319 +V49 +p7138 +tp7139 +a(g344 +V, +tp7140 +a(g189 +V +tp7141 +a(g319 +V54 +p7142 +tp7143 +a(g344 +V) +tp7144 +a(g344 +V, +tp7145 +a(g189 +V\u000a +tp7146 +a(g189 +V +p7147 +tp7148 +a(g111 +Vnew +p7149 +tp7150 +a(g189 +V +tp7151 +a(g21 +VTxE +p7152 +tp7153 +a(g344 +V( +tp7154 +a(g226 +V"CREDITS" +p7155 +tp7156 +a(g344 +V, +tp7157 +a(g189 +V +tp7158 +a(g18 +Vf3 +p7159 +tp7160 +a(g344 +V, +tp7161 +a(g189 +V +tp7162 +a(g18 +VTxE +p7163 +tp7164 +a(g344 +V. +tp7165 +a(g41 +VSCXI +p7166 +tp7167 +a(g344 +V, +tp7168 +a(g189 +V +tp7169 +a(g18 +VColor +p7170 +tp7171 +a(g344 +V. +tp7172 +a(g41 +Vred +p7173 +tp7174 +a(g344 +V, +tp7175 +a(g189 +V +tp7176 +a(g319 +V55 +p7177 +tp7178 +a(g344 +V, +tp7179 +a(g189 +V +tp7180 +a(g319 +V60 +p7181 +tp7182 +a(g344 +V) +tp7183 +a(g189 +V +tp7184 +a(g344 +V} +tp7185 +a(g344 +V} +tp7186 +a(g344 +V, +tp7187 +a(g189 +V\u000a +tp7188 +a(g189 +V +p7189 +tp7190 +a(g344 +V{ +tp7191 +a(g189 +V +tp7192 +a(g344 +V{ +tp7193 +a(g189 +V +tp7194 +a(g226 +V"CREDITS - transform out" +p7195 +tp7196 +a(g344 +V, +tp7197 +a(g189 +V +tp7198 +a(g226 +V"0" +p7199 +tp7200 +a(g189 +V +tp7201 +a(g344 +V} +tp7202 +a(g344 +V, +tp7203 +a(g189 +V\u000a +tp7204 +a(g189 +V +p7205 +tp7206 +a(g344 +V{ +tp7207 +a(g189 +V +tp7208 +a(g111 +Vnew +p7209 +tp7210 +a(g189 +V +tp7211 +a(g18 +VLnE +p7212 +tp7213 +a(g344 +V( +tp7214 +a(g18 +VLnE +p7215 +tp7216 +a(g344 +V. +tp7217 +a(g41 +VACD +p7218 +tp7219 +a(g189 +V +tp7220 +a(g344 +V| +tp7221 +a(g189 +V +tp7222 +a(g18 +VLnE +p7223 +tp7224 +a(g344 +V. +tp7225 +a(g41 +VZOOMD +p7226 +tp7227 +a(g189 +V +tp7228 +a(g344 +V| +tp7229 +a(g189 +V +tp7230 +a(g18 +VLnE +p7231 +tp7232 +a(g344 +V. +tp7233 +a(g41 +VRD +p7234 +tp7235 +a(g344 +V, +tp7236 +a(g189 +V +tp7237 +a(g319 +V0 +tp7238 +a(g344 +V, +tp7239 +a(g189 +V +tp7240 +a(g319 +V45 +p7241 +tp7242 +a(g344 +V) +tp7243 +a(g344 +V, +tp7244 +a(g189 +V\u000a +tp7245 +a(g189 +V +p7246 +tp7247 +a(g111 +Vnew +p7248 +tp7249 +a(g189 +V +tp7250 +a(g21 +VTxE +p7251 +tp7252 +a(g344 +V( +tp7253 +a(g226 +V"CREDITS" +p7254 +tp7255 +a(g344 +V, +tp7256 +a(g189 +V +tp7257 +a(g18 +Vf3 +p7258 +tp7259 +a(g344 +V, +tp7260 +a(g189 +V +tp7261 +a(g319 +V0 +tp7262 +a(g344 +V, +tp7263 +a(g189 +V +tp7264 +a(g18 +VColor +p7265 +tp7266 +a(g344 +V. +tp7267 +a(g41 +Vred +p7268 +tp7269 +a(g344 +V, +tp7270 +a(g189 +V +tp7271 +a(g319 +V0 +tp7272 +a(g344 +V, +tp7273 +a(g189 +V +tp7274 +a(g319 +V9 +tp7275 +a(g344 +V) +tp7276 +a(g344 +V, +tp7277 +a(g189 +V\u000a +tp7278 +a(g189 +V +p7279 +tp7280 +a(g111 +Vnew +p7281 +tp7282 +a(g189 +V +tp7283 +a(g21 +VTxE +p7284 +tp7285 +a(g344 +V( +tp7286 +a(g226 +V"CREDITS" +p7287 +tp7288 +a(g344 +V, +tp7289 +a(g189 +V +tp7290 +a(g18 +Vf3 +p7291 +tp7292 +a(g344 +V, +tp7293 +a(g189 +V +tp7294 +a(g18 +VTxE +p7295 +tp7296 +a(g344 +V. +tp7297 +a(g41 +VSCD +p7298 +tp7299 +a(g189 +V +tp7300 +a(g344 +V| +tp7301 +a(g189 +V +tp7302 +a(g18 +VTxE +p7303 +tp7304 +a(g344 +V. +tp7305 +a(g41 +VRD +p7306 +tp7307 +a(g344 +V, +tp7308 +a(g189 +V +tp7309 +a(g18 +VColor +p7310 +tp7311 +a(g344 +V. +tp7312 +a(g41 +Vred +p7313 +tp7314 +a(g344 +V, +tp7315 +a(g319 +V10 +p7316 +tp7317 +a(g344 +V, +tp7318 +a(g319 +V30 +p7319 +tp7320 +a(g344 +V) +tp7321 +a(g344 +V} +tp7322 +a(g344 +V} +tp7323 +a(g344 +V, +tp7324 +a(g189 +V\u000a +tp7325 +a(g189 +V +p7326 +tp7327 +a(g344 +V{ +tp7328 +a(g189 +V +tp7329 +a(g344 +V{ +tp7330 +a(g189 +V +tp7331 +a(g226 +V"Contributors" +p7332 +tp7333 +a(g344 +V, +tp7334 +a(g189 +V +tp7335 +a(g226 +V"1000" +p7336 +tp7337 +a(g189 +V +tp7338 +a(g344 +V} +tp7339 +a(g344 +V, +tp7340 +a(g189 +V\u000a +tp7341 +a(g189 +V +p7342 +tp7343 +a(g344 +V{ +tp7344 +a(g189 +V +tp7345 +a(g111 +Vnew +p7346 +tp7347 +a(g189 +V +tp7348 +a(g18 +VTemp +p7349 +tp7350 +a(g344 +V( +tp7351 +a(g18 +VTemp +p7352 +tp7353 +a(g344 +V. +tp7354 +a(g41 +VRECT +p7355 +tp7356 +a(g344 +V, +tp7357 +a(g189 +V +tp7358 +a(g117 +Vnull +p7359 +tp7360 +a(g344 +V, +tp7361 +a(g189 +V +tp7362 +a(g319 +V0 +tp7363 +a(g344 +V, +tp7364 +a(g189 +V +tp7365 +a(g319 +V30 +p7366 +tp7367 +a(g344 +V) +tp7368 +a(g344 +V, +tp7369 +a(g189 +V\u000a +tp7370 +a(g189 +V +p7371 +tp7372 +a(g111 +Vnew +p7373 +tp7374 +a(g189 +V +tp7375 +a(g21 +VTemp +p7376 +tp7377 +a(g344 +V( +tp7378 +a(g18 +VTemp +p7379 +tp7380 +a(g344 +V. +tp7381 +a(g41 +VIMG +p7382 +tp7383 +a(g344 +V, +tp7384 +a(g189 +V +tp7385 +a(g18 +Vcupanim +p7386 +tp7387 +a(g344 +V, +tp7388 +a(g189 +V +tp7389 +a(g319 +V4 +tp7390 +a(g344 +V, +tp7391 +a(g189 +V +tp7392 +a(g319 +V30 +p7393 +tp7394 +a(g344 +V) +tp7395 +a(g344 +V, +tp7396 +a(g189 +V\u000a +tp7397 +a(g189 +V +p7398 +tp7399 +a(g111 +Vnew +p7400 +tp7401 +a(g189 +V +tp7402 +a(g21 +VTemp +p7403 +tp7404 +a(g344 +V( +tp7405 +a(g18 +VTemp +p7406 +tp7407 +a(g344 +V. +tp7408 +a(g41 +VRNA +p7409 +tp7410 +a(g189 +V +tp7411 +a(g344 +V| +tp7412 +a(g189 +V +tp7413 +a(g18 +VTemp +p7414 +tp7415 +a(g344 +V. +tp7416 +a(g41 +VINA +p7417 +tp7418 +a(g344 +V, +tp7419 +a(g189 +V +tp7420 +a(g18 +Vcupanim +p7421 +tp7422 +a(g344 +V, +tp7423 +a(g189 +V +tp7424 +a(g319 +V31 +p7425 +tp7426 +a(g344 +V, +tp7427 +a(g189 +V +tp7428 +a(g319 +V200 +p7429 +tp7430 +a(g344 +V) +tp7431 +a(g344 +V, +tp7432 +a(g189 +V\u000a +tp7433 +a(g189 +V +p7434 +tp7435 +a(g111 +Vnew +p7436 +tp7437 +a(g189 +V +tp7438 +a(g21 +VContributors +p7439 +tp7440 +a(g344 +V( +tp7441 +a(g319 +V34 +p7442 +tp7443 +a(g344 +V, +tp7444 +a(g189 +V +tp7445 +a(g319 +V200 +p7446 +tp7447 +a(g344 +V) +tp7448 +a(g189 +V +tp7449 +a(g344 +V} +tp7450 +a(g189 +V +tp7451 +a(g344 +V} +tp7452 +a(g344 +V, +tp7453 +a(g189 +V\u000a +tp7454 +a(g189 +V +p7455 +tp7456 +a(g344 +V} +tp7457 +a(g344 +V; +tp7458 +a(g189 +V\u000a +tp7459 +a(g189 +V\u000a +tp7460 +a(g189 +V\u000a +tp7461 +a(g189 +V +p7462 +tp7463 +a(g131 +Vpublic +p7464 +tp7465 +a(g189 +V +tp7466 +a(g21 +VDirector +p7467 +tp7468 +a(g344 +V( +tp7469 +a(g344 +V) +tp7470 +a(g189 +V +tp7471 +a(g344 +V{ +tp7472 +a(g189 +V\u000a +tp7473 +a(g189 +V +p7474 +tp7475 +a(g111 +Vfor +p7476 +tp7477 +a(g189 +V +tp7478 +a(g344 +V( +tp7479 +a(g139 +Vint +p7480 +tp7481 +a(g189 +V +tp7482 +a(g18 +Vi +tp7483 +a(g189 +V +tp7484 +a(g344 +V= +tp7485 +a(g189 +V +tp7486 +a(g319 +V0 +tp7487 +a(g344 +V; +tp7488 +a(g189 +V +tp7489 +a(g18 +Vi +tp7490 +a(g189 +V +tp7491 +a(g344 +V< +tp7492 +a(g189 +V +tp7493 +a(g18 +Vparts +p7494 +tp7495 +a(g344 +V. +tp7496 +a(g41 +Vlength +p7497 +tp7498 +a(g344 +V; +tp7499 +a(g189 +V +tp7500 +a(g18 +Vi +tp7501 +a(g344 +V+ +tp7502 +a(g344 +V+ +tp7503 +a(g344 +V) +tp7504 +a(g189 +V +tp7505 +a(g344 +V{ +tp7506 +a(g189 +V\u000a +tp7507 +a(g189 +V +p7508 +tp7509 +a(g18 +VVector +p7510 +tp7511 +a(g189 +V +tp7512 +a(g18 +Vv +tp7513 +a(g189 +V +tp7514 +a(g344 +V= +tp7515 +a(g189 +V +tp7516 +a(g111 +Vnew +p7517 +tp7518 +a(g189 +V +tp7519 +a(g18 +VVector +p7520 +tp7521 +a(g344 +V( +tp7522 +a(g344 +V) +tp7523 +a(g344 +V; +tp7524 +a(g189 +V\u000a +tp7525 +a(g189 +V +p7526 +tp7527 +a(g111 +Vfor +p7528 +tp7529 +a(g189 +V +tp7530 +a(g344 +V( +tp7531 +a(g139 +Vint +p7532 +tp7533 +a(g189 +V +tp7534 +a(g18 +Vj +tp7535 +a(g189 +V +tp7536 +a(g344 +V= +tp7537 +a(g189 +V +tp7538 +a(g319 +V0 +tp7539 +a(g344 +V; +tp7540 +a(g189 +V +tp7541 +a(g18 +Vj +tp7542 +a(g189 +V +tp7543 +a(g344 +V< +tp7544 +a(g189 +V +tp7545 +a(g18 +Vparts +p7546 +tp7547 +a(g344 +V[ +tp7548 +a(g18 +Vi +tp7549 +a(g344 +V] +tp7550 +a(g344 +V[ +tp7551 +a(g319 +V1 +tp7552 +a(g344 +V] +tp7553 +a(g344 +V. +tp7554 +a(g41 +Vlength +p7555 +tp7556 +a(g344 +V; +tp7557 +a(g189 +V +tp7558 +a(g18 +Vj +tp7559 +a(g344 +V+ +tp7560 +a(g344 +V+ +tp7561 +a(g344 +V) +tp7562 +a(g189 +V +tp7563 +a(g344 +V{ +tp7564 +a(g189 +V\u000a +tp7565 +a(g189 +V +p7566 +tp7567 +a(g18 +Vv +tp7568 +a(g344 +V. +tp7569 +a(g41 +VaddElement +p7570 +tp7571 +a(g344 +V( +tp7572 +a(g18 +Vparts +p7573 +tp7574 +a(g344 +V[ +tp7575 +a(g18 +Vi +tp7576 +a(g344 +V] +tp7577 +a(g344 +V[ +tp7578 +a(g319 +V1 +tp7579 +a(g344 +V] +tp7580 +a(g344 +V[ +tp7581 +a(g18 +Vj +tp7582 +a(g344 +V] +tp7583 +a(g344 +V) +tp7584 +a(g344 +V; +tp7585 +a(g189 +V\u000a +tp7586 +a(g189 +V +p7587 +tp7588 +a(g344 +V} +tp7589 +a(g189 +V\u000a +tp7590 +a(g189 +V +p7591 +tp7592 +a(g18 +VaddElement +p7593 +tp7594 +a(g344 +V( +tp7595 +a(g111 +Vnew +p7596 +tp7597 +a(g189 +V +tp7598 +a(g18 +VScene +p7599 +tp7600 +a(g344 +V( +tp7601 +a(g18 +Vv +tp7602 +a(g344 +V, +tp7603 +a(g189 +V +tp7604 +a(g18 +Vparts +p7605 +tp7606 +a(g344 +V[ +tp7607 +a(g18 +Vi +tp7608 +a(g344 +V] +tp7609 +a(g344 +V[ +tp7610 +a(g319 +V0 +tp7611 +a(g344 +V] +tp7612 +a(g344 +V[ +tp7613 +a(g319 +V0 +tp7614 +a(g344 +V] +tp7615 +a(g344 +V, +tp7616 +a(g189 +V +tp7617 +a(g18 +Vparts +p7618 +tp7619 +a(g344 +V[ +tp7620 +a(g18 +Vi +tp7621 +a(g344 +V] +tp7622 +a(g344 +V[ +tp7623 +a(g319 +V0 +tp7624 +a(g344 +V] +tp7625 +a(g344 +V[ +tp7626 +a(g319 +V1 +tp7627 +a(g344 +V] +tp7628 +a(g344 +V) +tp7629 +a(g344 +V) +tp7630 +a(g344 +V; +tp7631 +a(g189 +V\u000a +tp7632 +a(g189 +V +p7633 +tp7634 +a(g344 +V} +tp7635 +a(g189 +V\u000a +tp7636 +a(g189 +V +p7637 +tp7638 +a(g344 +V} +tp7639 +a(g189 +V\u000a +tp7640 +a(g189 +V +p7641 +tp7642 +a(g344 +V} +tp7643 +a(g189 +V\u000a +tp7644 +a(g189 +V +p7645 +tp7646 +a(g189 +V\u000a +tp7647 +a(g189 +V\u000a +tp7648 +a(g189 +V\u000a +tp7649 +a(g189 +V +p7650 +tp7651 +a(g7 +V/**\u000a * Scene is the manager of the parts.\u000a */ +p7652 +tp7653 +a(g189 +V\u000a +tp7654 +a(g189 +V +p7655 +tp7656 +a(g131 +Vstatic +p7657 +tp7658 +a(g189 +V +tp7659 +a(g131 +Vclass +p7660 +tp7661 +a(g189 +V +tp7662 +a(g106 +VScene +p7663 +tp7664 +a(g189 +V +tp7665 +a(g131 +Vextends +p7666 +tp7667 +a(g189 +V +tp7668 +a(g18 +VObject +p7669 +tp7670 +a(g189 +V +tp7671 +a(g344 +V{ +tp7672 +a(g189 +V\u000a +tp7673 +a(g189 +V +p7674 +tp7675 +a(g131 +Vpublic +p7676 +tp7677 +a(g189 +V +tp7678 +a(g18 +VObject +p7679 +tp7680 +a(g189 +V +tp7681 +a(g18 +Vname +p7682 +tp7683 +a(g344 +V; +tp7684 +a(g189 +V\u000a +tp7685 +a(g189 +V +p7686 +tp7687 +a(g131 +Vpublic +p7688 +tp7689 +a(g189 +V +tp7690 +a(g18 +VObject +p7691 +tp7692 +a(g189 +V +tp7693 +a(g18 +Vparticipate +p7694 +tp7695 +a(g189 +V +tp7696 +a(g344 +V= +tp7697 +a(g189 +V +tp7698 +a(g111 +Vnew +p7699 +tp7700 +a(g189 +V +tp7701 +a(g18 +VBoolean +p7702 +tp7703 +a(g344 +V( +tp7704 +a(g117 +Vtrue +p7705 +tp7706 +a(g344 +V) +tp7707 +a(g344 +V; +tp7708 +a(g189 +V\u000a +tp7709 +a(g189 +V +p7710 +tp7711 +a(g131 +Vpublic +p7712 +tp7713 +a(g189 +V +tp7714 +a(g18 +VObject +p7715 +tp7716 +a(g189 +V +tp7717 +a(g18 +VpauseAmt +p7718 +tp7719 +a(g344 +V; +tp7720 +a(g189 +V\u000a +tp7721 +a(g189 +V +p7722 +tp7723 +a(g131 +Vpublic +p7724 +tp7725 +a(g189 +V +tp7726 +a(g18 +VVector +p7727 +tp7728 +a(g189 +V +tp7729 +a(g18 +Vparts +p7730 +tp7731 +a(g344 +V; +tp7732 +a(g189 +V\u000a +tp7733 +a(g189 +V +p7734 +tp7735 +a(g131 +Vpublic +p7736 +tp7737 +a(g189 +V +tp7738 +a(g139 +Vint +p7739 +tp7740 +a(g189 +V +tp7741 +a(g18 +Vindex +p7742 +tp7743 +a(g344 +V; +tp7744 +a(g189 +V\u000a +tp7745 +a(g189 +V +p7746 +tp7747 +a(g131 +Vpublic +p7748 +tp7749 +a(g189 +V +tp7750 +a(g139 +Vint +p7751 +tp7752 +a(g189 +V +tp7753 +a(g18 +Vlength +p7754 +tp7755 +a(g344 +V; +tp7756 +a(g189 +V\u000a +tp7757 +a(g189 +V\u000a +tp7758 +a(g189 +V +p7759 +tp7760 +a(g131 +Vpublic +p7761 +tp7762 +a(g189 +V +tp7763 +a(g21 +VScene +p7764 +tp7765 +a(g344 +V( +tp7766 +a(g18 +VVector +p7767 +tp7768 +a(g189 +V +tp7769 +a(g18 +Vparts +p7770 +tp7771 +a(g344 +V, +tp7772 +a(g189 +V +tp7773 +a(g18 +VObject +p7774 +tp7775 +a(g189 +V +tp7776 +a(g18 +Vname +p7777 +tp7778 +a(g344 +V, +tp7779 +a(g189 +V +tp7780 +a(g18 +VObject +p7781 +tp7782 +a(g189 +V +tp7783 +a(g18 +VpauseAmt +p7784 +tp7785 +a(g344 +V) +tp7786 +a(g189 +V +tp7787 +a(g344 +V{ +tp7788 +a(g189 +V\u000a +tp7789 +a(g189 +V +p7790 +tp7791 +a(g111 +Vthis +p7792 +tp7793 +a(g344 +V. +tp7794 +a(g41 +Vname +p7795 +tp7796 +a(g189 +V +tp7797 +a(g344 +V= +tp7798 +a(g189 +V +tp7799 +a(g18 +Vname +p7800 +tp7801 +a(g344 +V; +tp7802 +a(g189 +V\u000a +tp7803 +a(g189 +V +p7804 +tp7805 +a(g111 +Vthis +p7806 +tp7807 +a(g344 +V. +tp7808 +a(g41 +Vparts +p7809 +tp7810 +a(g189 +V +tp7811 +a(g344 +V= +tp7812 +a(g189 +V +tp7813 +a(g18 +Vparts +p7814 +tp7815 +a(g344 +V; +tp7816 +a(g189 +V\u000a +tp7817 +a(g189 +V +p7818 +tp7819 +a(g111 +Vthis +p7820 +tp7821 +a(g344 +V. +tp7822 +a(g41 +VpauseAmt +p7823 +tp7824 +a(g189 +V +tp7825 +a(g344 +V= +tp7826 +a(g189 +V +tp7827 +a(g18 +VpauseAmt +p7828 +tp7829 +a(g344 +V; +tp7830 +a(g189 +V\u000a +tp7831 +a(g189 +V +p7832 +tp7833 +a(g111 +Vfor +p7834 +tp7835 +a(g189 +V +tp7836 +a(g344 +V( +tp7837 +a(g139 +Vint +p7838 +tp7839 +a(g189 +V +tp7840 +a(g18 +Vi +tp7841 +a(g189 +V +tp7842 +a(g344 +V= +tp7843 +a(g189 +V +tp7844 +a(g319 +V0 +tp7845 +a(g344 +V; +tp7846 +a(g189 +V +tp7847 +a(g18 +Vi +tp7848 +a(g189 +V +tp7849 +a(g344 +V< +tp7850 +a(g189 +V +tp7851 +a(g18 +Vparts +p7852 +tp7853 +a(g344 +V. +tp7854 +a(g41 +Vsize +p7855 +tp7856 +a(g344 +V( +tp7857 +a(g344 +V) +tp7858 +a(g344 +V; +tp7859 +a(g189 +V +tp7860 +a(g18 +Vi +tp7861 +a(g344 +V+ +tp7862 +a(g344 +V+ +tp7863 +a(g344 +V) +tp7864 +a(g189 +V +tp7865 +a(g344 +V{ +tp7866 +a(g189 +V\u000a +tp7867 +a(g189 +V +p7868 +tp7869 +a(g111 +Vif +p7870 +tp7871 +a(g189 +V +tp7872 +a(g344 +V( +tp7873 +a(g344 +V( +tp7874 +a(g344 +V( +tp7875 +a(g18 +VPart +p7876 +tp7877 +a(g344 +V) +tp7878 +a(g189 +V +tp7879 +a(g18 +Vparts +p7880 +tp7881 +a(g344 +V. +tp7882 +a(g41 +Vget +p7883 +tp7884 +a(g344 +V( +tp7885 +a(g18 +Vi +tp7886 +a(g344 +V) +tp7887 +a(g344 +V) +tp7888 +a(g344 +V. +tp7889 +a(g41 +VgetEnd +p7890 +tp7891 +a(g344 +V( +tp7892 +a(g344 +V) +tp7893 +a(g189 +V +tp7894 +a(g344 +V> +tp7895 +a(g189 +V +tp7896 +a(g18 +Vlength +p7897 +tp7898 +a(g344 +V) +tp7899 +a(g189 +V +tp7900 +a(g344 +V{ +tp7901 +a(g189 +V\u000a +tp7902 +a(g189 +V +p7903 +tp7904 +a(g18 +Vlength +p7905 +tp7906 +a(g189 +V +tp7907 +a(g344 +V= +tp7908 +a(g189 +V +tp7909 +a(g344 +V( +tp7910 +a(g344 +V( +tp7911 +a(g18 +VPart +p7912 +tp7913 +a(g344 +V) +tp7914 +a(g189 +V +tp7915 +a(g18 +Vparts +p7916 +tp7917 +a(g344 +V. +tp7918 +a(g41 +Vget +p7919 +tp7920 +a(g344 +V( +tp7921 +a(g18 +Vi +tp7922 +a(g344 +V) +tp7923 +a(g344 +V) +tp7924 +a(g344 +V. +tp7925 +a(g41 +VgetEnd +p7926 +tp7927 +a(g344 +V( +tp7928 +a(g344 +V) +tp7929 +a(g344 +V; +tp7930 +a(g189 +V\u000a +tp7931 +a(g189 +V +p7932 +tp7933 +a(g344 +V} +tp7934 +a(g189 +V\u000a +tp7935 +a(g189 +V +p7936 +tp7937 +a(g344 +V} +tp7938 +a(g189 +V\u000a +tp7939 +a(g189 +V +p7940 +tp7941 +a(g344 +V} +tp7942 +a(g189 +V\u000a +tp7943 +a(g189 +V\u000a +tp7944 +a(g189 +V +p7945 +tp7946 +a(g131 +Vpublic +p7947 +tp7948 +a(g189 +V +tp7949 +a(g139 +Vvoid +p7950 +tp7951 +a(g189 +V +tp7952 +a(g21 +Vreset +p7953 +tp7954 +a(g344 +V( +tp7955 +a(g139 +Vint +p7956 +tp7957 +a(g189 +V +tp7958 +a(g18 +Vw +tp7959 +a(g344 +V, +tp7960 +a(g189 +V +tp7961 +a(g139 +Vint +p7962 +tp7963 +a(g189 +V +tp7964 +a(g18 +Vh +tp7965 +a(g344 +V) +tp7966 +a(g189 +V +tp7967 +a(g344 +V{ +tp7968 +a(g189 +V\u000a +tp7969 +a(g189 +V +p7970 +tp7971 +a(g18 +Vindex +p7972 +tp7973 +a(g189 +V +tp7974 +a(g344 +V= +tp7975 +a(g189 +V +tp7976 +a(g319 +V0 +tp7977 +a(g344 +V; +tp7978 +a(g189 +V\u000a +tp7979 +a(g189 +V +p7980 +tp7981 +a(g111 +Vfor +p7982 +tp7983 +a(g189 +V +tp7984 +a(g344 +V( +tp7985 +a(g139 +Vint +p7986 +tp7987 +a(g189 +V +tp7988 +a(g18 +Vi +tp7989 +a(g189 +V +tp7990 +a(g344 +V= +tp7991 +a(g189 +V +tp7992 +a(g319 +V0 +tp7993 +a(g344 +V; +tp7994 +a(g189 +V +tp7995 +a(g18 +Vi +tp7996 +a(g189 +V +tp7997 +a(g344 +V< +tp7998 +a(g189 +V +tp7999 +a(g18 +Vparts +p8000 +tp8001 +a(g344 +V. +tp8002 +a(g41 +Vsize +p8003 +tp8004 +a(g344 +V( +tp8005 +a(g344 +V) +tp8006 +a(g344 +V; +tp8007 +a(g189 +V +tp8008 +a(g18 +Vi +tp8009 +a(g344 +V+ +tp8010 +a(g344 +V+ +tp8011 +a(g344 +V) +tp8012 +a(g189 +V +tp8013 +a(g344 +V{ +tp8014 +a(g189 +V\u000a +tp8015 +a(g189 +V +p8016 +tp8017 +a(g344 +V( +tp8018 +a(g344 +V( +tp8019 +a(g18 +VPart +p8020 +tp8021 +a(g344 +V) +tp8022 +a(g189 +V +tp8023 +a(g18 +Vparts +p8024 +tp8025 +a(g344 +V. +tp8026 +a(g41 +Vget +p8027 +tp8028 +a(g344 +V( +tp8029 +a(g18 +Vi +tp8030 +a(g344 +V) +tp8031 +a(g344 +V) +tp8032 +a(g344 +V. +tp8033 +a(g41 +Vreset +p8034 +tp8035 +a(g344 +V( +tp8036 +a(g18 +Vw +tp8037 +a(g344 +V, +tp8038 +a(g189 +V +tp8039 +a(g18 +Vh +tp8040 +a(g344 +V) +tp8041 +a(g344 +V; +tp8042 +a(g189 +V\u000a +tp8043 +a(g189 +V +p8044 +tp8045 +a(g344 +V} +tp8046 +a(g189 +V\u000a +tp8047 +a(g189 +V +p8048 +tp8049 +a(g344 +V} +tp8050 +a(g189 +V\u000a +tp8051 +a(g189 +V\u000a +tp8052 +a(g189 +V +p8053 +tp8054 +a(g131 +Vpublic +p8055 +tp8056 +a(g189 +V +tp8057 +a(g139 +Vvoid +p8058 +tp8059 +a(g189 +V +tp8060 +a(g21 +Vstep +p8061 +tp8062 +a(g344 +V( +tp8063 +a(g139 +Vint +p8064 +tp8065 +a(g189 +V +tp8066 +a(g18 +Vw +tp8067 +a(g344 +V, +tp8068 +a(g189 +V +tp8069 +a(g139 +Vint +p8070 +tp8071 +a(g189 +V +tp8072 +a(g18 +Vh +tp8073 +a(g344 +V) +tp8074 +a(g189 +V +tp8075 +a(g344 +V{ +tp8076 +a(g189 +V\u000a +tp8077 +a(g189 +V +p8078 +tp8079 +a(g111 +Vfor +p8080 +tp8081 +a(g189 +V +tp8082 +a(g344 +V( +tp8083 +a(g139 +Vint +p8084 +tp8085 +a(g189 +V +tp8086 +a(g18 +Vi +tp8087 +a(g189 +V +tp8088 +a(g344 +V= +tp8089 +a(g189 +V +tp8090 +a(g319 +V0 +tp8091 +a(g344 +V; +tp8092 +a(g189 +V +tp8093 +a(g18 +Vi +tp8094 +a(g189 +V +tp8095 +a(g344 +V< +tp8096 +a(g189 +V +tp8097 +a(g18 +Vparts +p8098 +tp8099 +a(g344 +V. +tp8100 +a(g41 +Vsize +p8101 +tp8102 +a(g344 +V( +tp8103 +a(g344 +V) +tp8104 +a(g344 +V; +tp8105 +a(g189 +V +tp8106 +a(g18 +Vi +tp8107 +a(g344 +V+ +tp8108 +a(g344 +V+ +tp8109 +a(g344 +V) +tp8110 +a(g189 +V +tp8111 +a(g344 +V{ +tp8112 +a(g189 +V\u000a +tp8113 +a(g189 +V +p8114 +tp8115 +a(g18 +VPart +p8116 +tp8117 +a(g189 +V +tp8118 +a(g18 +Vpart +p8119 +tp8120 +a(g189 +V +tp8121 +a(g344 +V= +tp8122 +a(g189 +V +tp8123 +a(g344 +V( +tp8124 +a(g18 +VPart +p8125 +tp8126 +a(g344 +V) +tp8127 +a(g189 +V +tp8128 +a(g18 +Vparts +p8129 +tp8130 +a(g344 +V. +tp8131 +a(g41 +Vget +p8132 +tp8133 +a(g344 +V( +tp8134 +a(g18 +Vi +tp8135 +a(g344 +V) +tp8136 +a(g344 +V; +tp8137 +a(g189 +V\u000a +tp8138 +a(g189 +V +p8139 +tp8140 +a(g111 +Vif +p8141 +tp8142 +a(g189 +V +tp8143 +a(g344 +V( +tp8144 +a(g18 +Vindex +p8145 +tp8146 +a(g189 +V +tp8147 +a(g344 +V> +tp8148 +a(g344 +V= +tp8149 +a(g189 +V +tp8150 +a(g18 +Vpart +p8151 +tp8152 +a(g344 +V. +tp8153 +a(g41 +VgetBegin +p8154 +tp8155 +a(g344 +V( +tp8156 +a(g344 +V) +tp8157 +a(g189 +V +tp8158 +a(g344 +V& +tp8159 +a(g344 +V& +tp8160 +a(g189 +V +tp8161 +a(g18 +Vindex +p8162 +tp8163 +a(g189 +V +tp8164 +a(g344 +V< +tp8165 +a(g344 +V= +tp8166 +a(g189 +V +tp8167 +a(g18 +Vpart +p8168 +tp8169 +a(g344 +V. +tp8170 +a(g41 +VgetEnd +p8171 +tp8172 +a(g344 +V( +tp8173 +a(g344 +V) +tp8174 +a(g344 +V) +tp8175 +a(g189 +V +tp8176 +a(g344 +V{ +tp8177 +a(g189 +V\u000a +tp8178 +a(g189 +V +p8179 +tp8180 +a(g18 +Vpart +p8181 +tp8182 +a(g344 +V. +tp8183 +a(g41 +Vstep +p8184 +tp8185 +a(g344 +V( +tp8186 +a(g18 +Vw +tp8187 +a(g344 +V, +tp8188 +a(g189 +V +tp8189 +a(g18 +Vh +tp8190 +a(g344 +V) +tp8191 +a(g344 +V; +tp8192 +a(g189 +V\u000a +tp8193 +a(g189 +V +p8194 +tp8195 +a(g344 +V} +tp8196 +a(g189 +V\u000a +tp8197 +a(g189 +V +p8198 +tp8199 +a(g344 +V} +tp8200 +a(g189 +V\u000a +tp8201 +a(g189 +V +p8202 +tp8203 +a(g344 +V} +tp8204 +a(g189 +V\u000a +tp8205 +a(g189 +V\u000a +tp8206 +a(g189 +V +p8207 +tp8208 +a(g131 +Vpublic +p8209 +tp8210 +a(g189 +V +tp8211 +a(g139 +Vvoid +p8212 +tp8213 +a(g189 +V +tp8214 +a(g21 +Vrender +p8215 +tp8216 +a(g344 +V( +tp8217 +a(g139 +Vint +p8218 +tp8219 +a(g189 +V +tp8220 +a(g18 +Vw +tp8221 +a(g344 +V, +tp8222 +a(g189 +V +tp8223 +a(g139 +Vint +p8224 +tp8225 +a(g189 +V +tp8226 +a(g18 +Vh +tp8227 +a(g344 +V, +tp8228 +a(g189 +V +tp8229 +a(g18 +VGraphics2D +p8230 +tp8231 +a(g189 +V +tp8232 +a(g18 +Vg2 +p8233 +tp8234 +a(g344 +V) +tp8235 +a(g189 +V +tp8236 +a(g344 +V{ +tp8237 +a(g189 +V\u000a +tp8238 +a(g189 +V +p8239 +tp8240 +a(g111 +Vfor +p8241 +tp8242 +a(g189 +V +tp8243 +a(g344 +V( +tp8244 +a(g139 +Vint +p8245 +tp8246 +a(g189 +V +tp8247 +a(g18 +Vi +tp8248 +a(g189 +V +tp8249 +a(g344 +V= +tp8250 +a(g189 +V +tp8251 +a(g319 +V0 +tp8252 +a(g344 +V; +tp8253 +a(g189 +V +tp8254 +a(g18 +Vi +tp8255 +a(g189 +V +tp8256 +a(g344 +V< +tp8257 +a(g189 +V +tp8258 +a(g18 +Vparts +p8259 +tp8260 +a(g344 +V. +tp8261 +a(g41 +Vsize +p8262 +tp8263 +a(g344 +V( +tp8264 +a(g344 +V) +tp8265 +a(g344 +V; +tp8266 +a(g189 +V +tp8267 +a(g18 +Vi +tp8268 +a(g344 +V+ +tp8269 +a(g344 +V+ +tp8270 +a(g344 +V) +tp8271 +a(g189 +V +tp8272 +a(g344 +V{ +tp8273 +a(g189 +V\u000a +tp8274 +a(g189 +V +p8275 +tp8276 +a(g18 +VPart +p8277 +tp8278 +a(g189 +V +tp8279 +a(g18 +Vpart +p8280 +tp8281 +a(g189 +V +tp8282 +a(g344 +V= +tp8283 +a(g189 +V +tp8284 +a(g344 +V( +tp8285 +a(g18 +VPart +p8286 +tp8287 +a(g344 +V) +tp8288 +a(g189 +V +tp8289 +a(g18 +Vparts +p8290 +tp8291 +a(g344 +V. +tp8292 +a(g41 +Vget +p8293 +tp8294 +a(g344 +V( +tp8295 +a(g18 +Vi +tp8296 +a(g344 +V) +tp8297 +a(g344 +V; +tp8298 +a(g189 +V\u000a +tp8299 +a(g189 +V +p8300 +tp8301 +a(g111 +Vif +p8302 +tp8303 +a(g189 +V +tp8304 +a(g344 +V( +tp8305 +a(g18 +Vindex +p8306 +tp8307 +a(g189 +V +tp8308 +a(g344 +V> +tp8309 +a(g344 +V= +tp8310 +a(g189 +V +tp8311 +a(g18 +Vpart +p8312 +tp8313 +a(g344 +V. +tp8314 +a(g41 +VgetBegin +p8315 +tp8316 +a(g344 +V( +tp8317 +a(g344 +V) +tp8318 +a(g189 +V +tp8319 +a(g344 +V& +tp8320 +a(g344 +V& +tp8321 +a(g189 +V +tp8322 +a(g18 +Vindex +p8323 +tp8324 +a(g189 +V +tp8325 +a(g344 +V< +tp8326 +a(g344 +V= +tp8327 +a(g189 +V +tp8328 +a(g18 +Vpart +p8329 +tp8330 +a(g344 +V. +tp8331 +a(g41 +VgetEnd +p8332 +tp8333 +a(g344 +V( +tp8334 +a(g344 +V) +tp8335 +a(g344 +V) +tp8336 +a(g189 +V +tp8337 +a(g344 +V{ +tp8338 +a(g189 +V\u000a +tp8339 +a(g189 +V +p8340 +tp8341 +a(g18 +Vpart +p8342 +tp8343 +a(g344 +V. +tp8344 +a(g41 +Vrender +p8345 +tp8346 +a(g344 +V( +tp8347 +a(g18 +Vw +tp8348 +a(g344 +V, +tp8349 +a(g189 +V +tp8350 +a(g18 +Vh +tp8351 +a(g344 +V, +tp8352 +a(g189 +V +tp8353 +a(g18 +Vg2 +p8354 +tp8355 +a(g344 +V) +tp8356 +a(g344 +V; +tp8357 +a(g189 +V\u000a +tp8358 +a(g189 +V +p8359 +tp8360 +a(g344 +V} +tp8361 +a(g189 +V\u000a +tp8362 +a(g189 +V +p8363 +tp8364 +a(g344 +V} +tp8365 +a(g189 +V\u000a +tp8366 +a(g189 +V +p8367 +tp8368 +a(g344 +V} +tp8369 +a(g189 +V\u000a +tp8370 +a(g189 +V\u000a +tp8371 +a(g189 +V +p8372 +tp8373 +a(g131 +Vpublic +p8374 +tp8375 +a(g189 +V +tp8376 +a(g139 +Vvoid +p8377 +tp8378 +a(g189 +V +tp8379 +a(g21 +Vpause +p8380 +tp8381 +a(g344 +V( +tp8382 +a(g18 +VThread +p8383 +tp8384 +a(g189 +V +tp8385 +a(g18 +Vthread +p8386 +tp8387 +a(g344 +V) +tp8388 +a(g189 +V +tp8389 +a(g344 +V{ +tp8390 +a(g189 +V\u000a +tp8391 +a(g189 +V +p8392 +tp8393 +a(g111 +Vtry +p8394 +tp8395 +a(g189 +V +tp8396 +a(g344 +V{ +tp8397 +a(g189 +V\u000a +tp8398 +a(g189 +V +p8399 +tp8400 +a(g18 +Vthread +p8401 +tp8402 +a(g344 +V. +tp8403 +a(g41 +Vsleep +p8404 +tp8405 +a(g344 +V( +tp8406 +a(g18 +VLong +p8407 +tp8408 +a(g344 +V. +tp8409 +a(g41 +VparseLong +p8410 +tp8411 +a(g344 +V( +tp8412 +a(g344 +V( +tp8413 +a(g18 +VString +p8414 +tp8415 +a(g344 +V) +tp8416 +a(g189 +V +tp8417 +a(g18 +VpauseAmt +p8418 +tp8419 +a(g344 +V) +tp8420 +a(g344 +V) +tp8421 +a(g344 +V; +tp8422 +a(g189 +V\u000a +tp8423 +a(g189 +V +p8424 +tp8425 +a(g344 +V} +tp8426 +a(g189 +V +tp8427 +a(g111 +Vcatch +p8428 +tp8429 +a(g189 +V +tp8430 +a(g344 +V( +tp8431 +a(g18 +VException +p8432 +tp8433 +a(g189 +V +tp8434 +a(g18 +Ve +tp8435 +a(g344 +V) +tp8436 +a(g189 +V +tp8437 +a(g344 +V{ +tp8438 +a(g189 +V +tp8439 +a(g344 +V} +tp8440 +a(g189 +V\u000a +tp8441 +a(g189 +V +p8442 +tp8443 +a(g18 +VSystem +p8444 +tp8445 +a(g344 +V. +tp8446 +a(g41 +Vgc +p8447 +tp8448 +a(g344 +V( +tp8449 +a(g344 +V) +tp8450 +a(g344 +V; +tp8451 +a(g189 +V\u000a +tp8452 +a(g189 +V +p8453 +tp8454 +a(g344 +V} +tp8455 +a(g189 +V\u000a +tp8456 +a(g189 +V +p8457 +tp8458 +a(g344 +V} +tp8459 +a(g189 +V +tp8460 +a(g358 +V// End Scene class\u000a +p8461 +tp8462 +a(g189 +V\u000a +tp8463 +a(g189 +V\u000a +tp8464 +a(g189 +V\u000a +tp8465 +a(g189 +V +p8466 +tp8467 +a(g7 +V/**\u000a * Text Effect. Transformation of characters. Clip or fill.\u000a */ +p8468 +tp8469 +a(g189 +V\u000a +tp8470 +a(g189 +V +p8471 +tp8472 +a(g131 +Vstatic +p8473 +tp8474 +a(g189 +V +tp8475 +a(g131 +Vclass +p8476 +tp8477 +a(g189 +V +tp8478 +a(g106 +VTxE +p8479 +tp8480 +a(g189 +V +tp8481 +a(g131 +Vimplements +p8482 +tp8483 +a(g189 +V +tp8484 +a(g18 +VPart +p8485 +tp8486 +a(g189 +V +tp8487 +a(g344 +V{ +tp8488 +a(g189 +V\u000a +tp8489 +a(g189 +V\u000a +tp8490 +a(g189 +V +p8491 +tp8492 +a(g131 +Vstatic +p8493 +tp8494 +a(g189 +V +tp8495 +a(g131 +Vfinal +p8496 +tp8497 +a(g189 +V +tp8498 +a(g139 +Vint +p8499 +tp8500 +a(g189 +V +tp8501 +a(g18 +VINC +p8502 +tp8503 +a(g189 +V +p8504 +tp8505 +a(g344 +V= +tp8506 +a(g189 +V +tp8507 +a(g319 +V1 +tp8508 +a(g344 +V; +tp8509 +a(g189 +V\u000a +tp8510 +a(g189 +V +p8511 +tp8512 +a(g131 +Vstatic +p8513 +tp8514 +a(g189 +V +tp8515 +a(g131 +Vfinal +p8516 +tp8517 +a(g189 +V +tp8518 +a(g139 +Vint +p8519 +tp8520 +a(g189 +V +tp8521 +a(g18 +VDEC +p8522 +tp8523 +a(g189 +V +p8524 +tp8525 +a(g344 +V= +tp8526 +a(g189 +V +tp8527 +a(g319 +V2 +tp8528 +a(g344 +V; +tp8529 +a(g189 +V\u000a +tp8530 +a(g189 +V +p8531 +tp8532 +a(g131 +Vstatic +p8533 +tp8534 +a(g189 +V +tp8535 +a(g131 +Vfinal +p8536 +tp8537 +a(g189 +V +tp8538 +a(g139 +Vint +p8539 +tp8540 +a(g189 +V +tp8541 +a(g18 +VR +tp8542 +a(g189 +V +p8543 +tp8544 +a(g344 +V= +tp8545 +a(g189 +V +tp8546 +a(g319 +V4 +tp8547 +a(g344 +V; +tp8548 +a(g189 +V +p8549 +tp8550 +a(g358 +V// rotate\u000a +p8551 +tp8552 +a(g189 +V +p8553 +tp8554 +a(g131 +Vstatic +p8555 +tp8556 +a(g189 +V +tp8557 +a(g131 +Vfinal +p8558 +tp8559 +a(g189 +V +tp8560 +a(g139 +Vint +p8561 +tp8562 +a(g189 +V +tp8563 +a(g18 +VRI +p8564 +tp8565 +a(g189 +V +p8566 +tp8567 +a(g344 +V= +tp8568 +a(g189 +V +tp8569 +a(g18 +VR +tp8570 +a(g189 +V +tp8571 +a(g344 +V| +tp8572 +a(g189 +V +tp8573 +a(g18 +VINC +p8574 +tp8575 +a(g344 +V; +tp8576 +a(g189 +V\u000a +tp8577 +a(g189 +V +p8578 +tp8579 +a(g131 +Vstatic +p8580 +tp8581 +a(g189 +V +tp8582 +a(g131 +Vfinal +p8583 +tp8584 +a(g189 +V +tp8585 +a(g139 +Vint +p8586 +tp8587 +a(g189 +V +tp8588 +a(g18 +VRD +p8589 +tp8590 +a(g189 +V +p8591 +tp8592 +a(g344 +V= +tp8593 +a(g189 +V +tp8594 +a(g18 +VR +tp8595 +a(g189 +V +tp8596 +a(g344 +V| +tp8597 +a(g189 +V +tp8598 +a(g18 +VDEC +p8599 +tp8600 +a(g344 +V; +tp8601 +a(g189 +V\u000a +tp8602 +a(g189 +V +p8603 +tp8604 +a(g131 +Vstatic +p8605 +tp8606 +a(g189 +V +tp8607 +a(g131 +Vfinal +p8608 +tp8609 +a(g189 +V +tp8610 +a(g139 +Vint +p8611 +tp8612 +a(g189 +V +tp8613 +a(g18 +VSC +p8614 +tp8615 +a(g189 +V +p8616 +tp8617 +a(g344 +V= +tp8618 +a(g189 +V +tp8619 +a(g319 +V8 +tp8620 +a(g344 +V; +tp8621 +a(g189 +V +p8622 +tp8623 +a(g358 +V// scale\u000a +p8624 +tp8625 +a(g189 +V +p8626 +tp8627 +a(g131 +Vstatic +p8628 +tp8629 +a(g189 +V +tp8630 +a(g131 +Vfinal +p8631 +tp8632 +a(g189 +V +tp8633 +a(g139 +Vint +p8634 +tp8635 +a(g189 +V +tp8636 +a(g18 +VSCI +p8637 +tp8638 +a(g189 +V +p8639 +tp8640 +a(g344 +V= +tp8641 +a(g189 +V +tp8642 +a(g18 +VSC +p8643 +tp8644 +a(g189 +V +tp8645 +a(g344 +V| +tp8646 +a(g189 +V +tp8647 +a(g18 +VINC +p8648 +tp8649 +a(g344 +V; +tp8650 +a(g189 +V\u000a +tp8651 +a(g189 +V +p8652 +tp8653 +a(g131 +Vstatic +p8654 +tp8655 +a(g189 +V +tp8656 +a(g131 +Vfinal +p8657 +tp8658 +a(g189 +V +tp8659 +a(g139 +Vint +p8660 +tp8661 +a(g189 +V +tp8662 +a(g18 +VSCD +p8663 +tp8664 +a(g189 +V +p8665 +tp8666 +a(g344 +V= +tp8667 +a(g189 +V +tp8668 +a(g18 +VSC +p8669 +tp8670 +a(g189 +V +tp8671 +a(g344 +V| +tp8672 +a(g189 +V +tp8673 +a(g18 +VDEC +p8674 +tp8675 +a(g344 +V; +tp8676 +a(g189 +V +p8677 +tp8678 +a(g189 +V\u000a +tp8679 +a(g189 +V +p8680 +tp8681 +a(g131 +Vstatic +p8682 +tp8683 +a(g189 +V +tp8684 +a(g131 +Vfinal +p8685 +tp8686 +a(g189 +V +tp8687 +a(g139 +Vint +p8688 +tp8689 +a(g189 +V +tp8690 +a(g18 +VSCX +p8691 +tp8692 +a(g189 +V +p8693 +tp8694 +a(g344 +V= +tp8695 +a(g189 +V +tp8696 +a(g319 +V16 +p8697 +tp8698 +a(g344 +V; +tp8699 +a(g189 +V +p8700 +tp8701 +a(g358 +V// scale invert x\u000a +p8702 +tp8703 +a(g189 +V +p8704 +tp8705 +a(g131 +Vstatic +p8706 +tp8707 +a(g189 +V +tp8708 +a(g131 +Vfinal +p8709 +tp8710 +a(g189 +V +tp8711 +a(g139 +Vint +p8712 +tp8713 +a(g189 +V +tp8714 +a(g18 +VSCXI +p8715 +tp8716 +a(g189 +V +tp8717 +a(g344 +V= +tp8718 +a(g189 +V +tp8719 +a(g18 +VSCX +p8720 +tp8721 +a(g189 +V +tp8722 +a(g344 +V| +tp8723 +a(g189 +V +tp8724 +a(g18 +VSC +p8725 +tp8726 +a(g189 +V +tp8727 +a(g344 +V| +tp8728 +a(g189 +V +tp8729 +a(g18 +VINC +p8730 +tp8731 +a(g344 +V; +tp8732 +a(g189 +V\u000a +tp8733 +a(g189 +V +p8734 +tp8735 +a(g131 +Vstatic +p8736 +tp8737 +a(g189 +V +tp8738 +a(g131 +Vfinal +p8739 +tp8740 +a(g189 +V +tp8741 +a(g139 +Vint +p8742 +tp8743 +a(g189 +V +tp8744 +a(g18 +VSCXD +p8745 +tp8746 +a(g189 +V +tp8747 +a(g344 +V= +tp8748 +a(g189 +V +tp8749 +a(g18 +VSCX +p8750 +tp8751 +a(g189 +V +tp8752 +a(g344 +V| +tp8753 +a(g189 +V +tp8754 +a(g18 +VSC +p8755 +tp8756 +a(g189 +V +tp8757 +a(g344 +V| +tp8758 +a(g189 +V +tp8759 +a(g18 +VDEC +p8760 +tp8761 +a(g344 +V; +tp8762 +a(g189 +V +tp8763 +a(g189 +V\u000a +tp8764 +a(g189 +V +p8765 +tp8766 +a(g131 +Vstatic +p8767 +tp8768 +a(g189 +V +tp8769 +a(g131 +Vfinal +p8770 +tp8771 +a(g189 +V +tp8772 +a(g139 +Vint +p8773 +tp8774 +a(g189 +V +tp8775 +a(g18 +VSCY +p8776 +tp8777 +a(g189 +V +p8778 +tp8779 +a(g344 +V= +tp8780 +a(g189 +V +tp8781 +a(g319 +V32 +p8782 +tp8783 +a(g344 +V; +tp8784 +a(g189 +V +p8785 +tp8786 +a(g358 +V// scale invert y\u000a +p8787 +tp8788 +a(g189 +V +p8789 +tp8790 +a(g131 +Vstatic +p8791 +tp8792 +a(g189 +V +tp8793 +a(g131 +Vfinal +p8794 +tp8795 +a(g189 +V +tp8796 +a(g139 +Vint +p8797 +tp8798 +a(g189 +V +tp8799 +a(g18 +VSCYI +p8800 +tp8801 +a(g189 +V +tp8802 +a(g344 +V= +tp8803 +a(g189 +V +tp8804 +a(g18 +VSCY +p8805 +tp8806 +a(g189 +V +tp8807 +a(g344 +V| +tp8808 +a(g189 +V +tp8809 +a(g18 +VSC +p8810 +tp8811 +a(g189 +V +tp8812 +a(g344 +V| +tp8813 +a(g189 +V +tp8814 +a(g18 +VINC +p8815 +tp8816 +a(g344 +V; +tp8817 +a(g189 +V\u000a +tp8818 +a(g189 +V +p8819 +tp8820 +a(g131 +Vstatic +p8821 +tp8822 +a(g189 +V +tp8823 +a(g131 +Vfinal +p8824 +tp8825 +a(g189 +V +tp8826 +a(g139 +Vint +p8827 +tp8828 +a(g189 +V +tp8829 +a(g18 +VSCYD +p8830 +tp8831 +a(g189 +V +tp8832 +a(g344 +V= +tp8833 +a(g189 +V +tp8834 +a(g18 +VSCY +p8835 +tp8836 +a(g189 +V +tp8837 +a(g344 +V| +tp8838 +a(g189 +V +tp8839 +a(g18 +VSC +p8840 +tp8841 +a(g189 +V +tp8842 +a(g344 +V| +tp8843 +a(g189 +V +tp8844 +a(g18 +VDEC +p8845 +tp8846 +a(g344 +V; +tp8847 +a(g189 +V +tp8848 +a(g189 +V\u000a +tp8849 +a(g189 +V +p8850 +tp8851 +a(g131 +Vstatic +p8852 +tp8853 +a(g189 +V +tp8854 +a(g131 +Vfinal +p8855 +tp8856 +a(g189 +V +tp8857 +a(g139 +Vint +p8858 +tp8859 +a(g189 +V +tp8860 +a(g18 +VAC +p8861 +tp8862 +a(g189 +V +p8863 +tp8864 +a(g344 +V= +tp8865 +a(g189 +V +tp8866 +a(g319 +V64 +p8867 +tp8868 +a(g344 +V; +tp8869 +a(g189 +V +p8870 +tp8871 +a(g358 +V// AlphaComposite\u000a +p8872 +tp8873 +a(g189 +V +p8874 +tp8875 +a(g131 +Vstatic +p8876 +tp8877 +a(g189 +V +tp8878 +a(g131 +Vfinal +p8879 +tp8880 +a(g189 +V +tp8881 +a(g139 +Vint +p8882 +tp8883 +a(g189 +V +tp8884 +a(g18 +VCLIP +p8885 +tp8886 +a(g189 +V +tp8887 +a(g344 +V= +tp8888 +a(g189 +V +tp8889 +a(g319 +V128 +p8890 +tp8891 +a(g344 +V; +tp8892 +a(g189 +V +p8893 +tp8894 +a(g358 +V// Clipping\u000a +p8895 +tp8896 +a(g189 +V +p8897 +tp8898 +a(g131 +Vstatic +p8899 +tp8900 +a(g189 +V +tp8901 +a(g131 +Vfinal +p8902 +tp8903 +a(g189 +V +tp8904 +a(g139 +Vint +p8905 +tp8906 +a(g189 +V +tp8907 +a(g18 +VNOP +p8908 +tp8909 +a(g189 +V +p8910 +tp8911 +a(g344 +V= +tp8912 +a(g189 +V +tp8913 +a(g319 +V512 +p8914 +tp8915 +a(g344 +V; +tp8916 +a(g189 +V +p8917 +tp8918 +a(g358 +V// No Paint \u000a +p8919 +tp8920 +a(g189 +V +p8921 +tp8922 +a(g131 +Vprivate +p8923 +tp8924 +a(g189 +V +tp8925 +a(g139 +Vint +p8926 +tp8927 +a(g189 +V +tp8928 +a(g18 +Vbeginning +p8929 +tp8930 +a(g344 +V, +tp8931 +a(g189 +V +tp8932 +a(g18 +Vending +p8933 +tp8934 +a(g344 +V; +tp8935 +a(g189 +V\u000a +tp8936 +a(g189 +V +p8937 +tp8938 +a(g131 +Vprivate +p8939 +tp8940 +a(g189 +V +tp8941 +a(g139 +Vint +p8942 +tp8943 +a(g189 +V +tp8944 +a(g18 +Vtype +p8945 +tp8946 +a(g344 +V; +tp8947 +a(g189 +V\u000a +tp8948 +a(g189 +V +p8949 +tp8950 +a(g131 +Vprivate +p8951 +tp8952 +a(g189 +V +tp8953 +a(g139 +Vdouble +p8954 +tp8955 +a(g189 +V +tp8956 +a(g18 +VrIncr +p8957 +tp8958 +a(g344 +V, +tp8959 +a(g189 +V +tp8960 +a(g18 +VsIncr +p8961 +tp8962 +a(g344 +V; +tp8963 +a(g189 +V\u000a +tp8964 +a(g189 +V +p8965 +tp8966 +a(g131 +Vprivate +p8967 +tp8968 +a(g189 +V +tp8969 +a(g139 +Vdouble +p8970 +tp8971 +a(g189 +V +tp8972 +a(g18 +Vsx +p8973 +tp8974 +a(g344 +V, +tp8975 +a(g189 +V +tp8976 +a(g18 +Vsy +p8977 +tp8978 +a(g344 +V, +tp8979 +a(g189 +V +tp8980 +a(g18 +Vrotate +p8981 +tp8982 +a(g344 +V; +tp8983 +a(g189 +V\u000a +tp8984 +a(g189 +V +p8985 +tp8986 +a(g131 +Vprivate +p8987 +tp8988 +a(g189 +V +tp8989 +a(g18 +VShape +p8990 +tp8991 +a(g189 +V +tp8992 +a(g18 +Vshapes +p8993 +tp8994 +a(g344 +V[ +tp8995 +a(g344 +V] +tp8996 +a(g344 +V, +tp8997 +a(g189 +V +tp8998 +a(g18 +VtxShapes +p8999 +tp9000 +a(g344 +V[ +tp9001 +a(g344 +V] +tp9002 +a(g344 +V; +tp9003 +a(g189 +V\u000a +tp9004 +a(g189 +V +p9005 +tp9006 +a(g131 +Vprivate +p9007 +tp9008 +a(g189 +V +tp9009 +a(g139 +Vint +p9010 +tp9011 +a(g189 +V +tp9012 +a(g18 +Vsw +p9013 +tp9014 +a(g344 +V; +tp9015 +a(g189 +V\u000a +tp9016 +a(g189 +V +p9017 +tp9018 +a(g131 +Vprivate +p9019 +tp9020 +a(g189 +V +tp9021 +a(g139 +Vint +p9022 +tp9023 +a(g189 +V +tp9024 +a(g18 +VnumRev +p9025 +tp9026 +a(g344 +V; +tp9027 +a(g189 +V\u000a +tp9028 +a(g189 +V +p9029 +tp9030 +a(g131 +Vprivate +p9031 +tp9032 +a(g189 +V +tp9033 +a(g18 +VPaint +p9034 +tp9035 +a(g189 +V +tp9036 +a(g18 +Vpaint +p9037 +tp9038 +a(g344 +V; +tp9039 +a(g189 +V\u000a +tp9040 +a(g189 +V\u000a +tp9041 +a(g189 +V\u000a +tp9042 +a(g189 +V +p9043 +tp9044 +a(g131 +Vpublic +p9045 +tp9046 +a(g189 +V +tp9047 +a(g21 +VTxE +p9048 +tp9049 +a(g344 +V( +tp9050 +a(g18 +VString +p9051 +tp9052 +a(g189 +V +tp9053 +a(g18 +Vtext +p9054 +tp9055 +a(g344 +V, +tp9056 +a(g189 +V +tp9057 +a(g189 +V\u000a +tp9058 +a(g189 +V +p9059 +tp9060 +a(g18 +VFont +p9061 +tp9062 +a(g189 +V +tp9063 +a(g18 +Vfont +p9064 +tp9065 +a(g344 +V, +tp9066 +a(g189 +V +tp9067 +a(g189 +V\u000a +tp9068 +a(g189 +V +p9069 +tp9070 +a(g139 +Vint +p9071 +tp9072 +a(g189 +V +tp9073 +a(g18 +Vtype +p9074 +tp9075 +a(g344 +V, +tp9076 +a(g189 +V +tp9077 +a(g189 +V\u000a +tp9078 +a(g189 +V +p9079 +tp9080 +a(g18 +VPaint +p9081 +tp9082 +a(g189 +V +tp9083 +a(g18 +Vpaint +p9084 +tp9085 +a(g344 +V, +tp9086 +a(g189 +V\u000a +tp9087 +a(g189 +V +p9088 +tp9089 +a(g139 +Vint +p9090 +tp9091 +a(g189 +V +tp9092 +a(g18 +Vbeg +p9093 +tp9094 +a(g344 +V, +tp9095 +a(g189 +V +tp9096 +a(g189 +V\u000a +tp9097 +a(g189 +V +p9098 +tp9099 +a(g139 +Vint +p9100 +tp9101 +a(g189 +V +tp9102 +a(g18 +Vend +p9103 +tp9104 +a(g344 +V) +tp9105 +a(g189 +V +tp9106 +a(g344 +V{ +tp9107 +a(g189 +V\u000a +tp9108 +a(g189 +V +p9109 +tp9110 +a(g111 +Vthis +p9111 +tp9112 +a(g344 +V. +tp9113 +a(g41 +Vtype +p9114 +tp9115 +a(g189 +V +tp9116 +a(g344 +V= +tp9117 +a(g189 +V +tp9118 +a(g18 +Vtype +p9119 +tp9120 +a(g344 +V; +tp9121 +a(g189 +V\u000a +tp9122 +a(g189 +V +p9123 +tp9124 +a(g111 +Vthis +p9125 +tp9126 +a(g344 +V. +tp9127 +a(g41 +Vpaint +p9128 +tp9129 +a(g189 +V +tp9130 +a(g344 +V= +tp9131 +a(g189 +V +tp9132 +a(g18 +Vpaint +p9133 +tp9134 +a(g344 +V; +tp9135 +a(g189 +V\u000a +tp9136 +a(g189 +V +p9137 +tp9138 +a(g111 +Vthis +p9139 +tp9140 +a(g344 +V. +tp9141 +a(g41 +Vbeginning +p9142 +tp9143 +a(g189 +V +tp9144 +a(g344 +V= +tp9145 +a(g189 +V +tp9146 +a(g18 +Vbeg +p9147 +tp9148 +a(g344 +V; +tp9149 +a(g189 +V\u000a +tp9150 +a(g189 +V +p9151 +tp9152 +a(g111 +Vthis +p9153 +tp9154 +a(g344 +V. +tp9155 +a(g41 +Vending +p9156 +tp9157 +a(g189 +V +tp9158 +a(g344 +V= +tp9159 +a(g189 +V +tp9160 +a(g18 +Vend +p9161 +tp9162 +a(g344 +V; +tp9163 +a(g189 +V\u000a +tp9164 +a(g189 +V\u000a +tp9165 +a(g189 +V +p9166 +tp9167 +a(g18 +VsetIncrements +p9168 +tp9169 +a(g344 +V( +tp9170 +a(g319 +V2 +tp9171 +a(g344 +V) +tp9172 +a(g344 +V; +tp9173 +a(g189 +V\u000a +tp9174 +a(g189 +V +p9175 +tp9176 +a(g189 +V\u000a +tp9177 +a(g189 +V +p9178 +tp9179 +a(g139 +Vchar +p9180 +tp9181 +a(g344 +V[ +tp9182 +a(g344 +V] +tp9183 +a(g189 +V +tp9184 +a(g18 +Vchars +p9185 +tp9186 +a(g189 +V +tp9187 +a(g344 +V= +tp9188 +a(g189 +V +tp9189 +a(g18 +Vtext +p9190 +tp9191 +a(g344 +V. +tp9192 +a(g41 +VtoCharArray +p9193 +tp9194 +a(g344 +V( +tp9195 +a(g344 +V) +tp9196 +a(g344 +V; +tp9197 +a(g189 +V\u000a +tp9198 +a(g189 +V +p9199 +tp9200 +a(g18 +Vshapes +p9201 +tp9202 +a(g189 +V +tp9203 +a(g344 +V= +tp9204 +a(g189 +V +tp9205 +a(g111 +Vnew +p9206 +tp9207 +a(g189 +V +tp9208 +a(g18 +VShape +p9209 +tp9210 +a(g344 +V[ +tp9211 +a(g18 +Vchars +p9212 +tp9213 +a(g344 +V. +tp9214 +a(g41 +Vlength +p9215 +tp9216 +a(g344 +V] +tp9217 +a(g344 +V; +tp9218 +a(g189 +V\u000a +tp9219 +a(g189 +V +p9220 +tp9221 +a(g18 +VtxShapes +p9222 +tp9223 +a(g189 +V +tp9224 +a(g344 +V= +tp9225 +a(g189 +V +tp9226 +a(g111 +Vnew +p9227 +tp9228 +a(g189 +V +tp9229 +a(g18 +VShape +p9230 +tp9231 +a(g344 +V[ +tp9232 +a(g18 +Vchars +p9233 +tp9234 +a(g344 +V. +tp9235 +a(g41 +Vlength +p9236 +tp9237 +a(g344 +V] +tp9238 +a(g344 +V; +tp9239 +a(g189 +V\u000a +tp9240 +a(g189 +V +p9241 +tp9242 +a(g18 +VFontRenderContext +p9243 +tp9244 +a(g189 +V +tp9245 +a(g18 +Vfrc +p9246 +tp9247 +a(g189 +V +tp9248 +a(g344 +V= +tp9249 +a(g189 +V +tp9250 +a(g111 +Vnew +p9251 +tp9252 +a(g189 +V +tp9253 +a(g18 +VFontRenderContext +p9254 +tp9255 +a(g344 +V( +tp9256 +a(g117 +Vnull +p9257 +tp9258 +a(g344 +V, +tp9259 +a(g117 +Vtrue +p9260 +tp9261 +a(g344 +V, +tp9262 +a(g117 +Vtrue +p9263 +tp9264 +a(g344 +V) +tp9265 +a(g344 +V; +tp9266 +a(g189 +V\u000a +tp9267 +a(g189 +V +p9268 +tp9269 +a(g18 +VTextLayout +p9270 +tp9271 +a(g189 +V +tp9272 +a(g18 +Vtl +p9273 +tp9274 +a(g189 +V +tp9275 +a(g344 +V= +tp9276 +a(g189 +V +tp9277 +a(g111 +Vnew +p9278 +tp9279 +a(g189 +V +tp9280 +a(g18 +VTextLayout +p9281 +tp9282 +a(g344 +V( +tp9283 +a(g18 +Vtext +p9284 +tp9285 +a(g344 +V, +tp9286 +a(g189 +V +tp9287 +a(g18 +Vfont +p9288 +tp9289 +a(g344 +V, +tp9290 +a(g189 +V +tp9291 +a(g18 +Vfrc +p9292 +tp9293 +a(g344 +V) +tp9294 +a(g344 +V; +tp9295 +a(g189 +V\u000a +tp9296 +a(g189 +V +p9297 +tp9298 +a(g18 +Vsw +p9299 +tp9300 +a(g189 +V +tp9301 +a(g344 +V= +tp9302 +a(g189 +V +tp9303 +a(g344 +V( +tp9304 +a(g139 +Vint +p9305 +tp9306 +a(g344 +V) +tp9307 +a(g189 +V +tp9308 +a(g18 +Vtl +p9309 +tp9310 +a(g344 +V. +tp9311 +a(g41 +VgetOutline +p9312 +tp9313 +a(g344 +V( +tp9314 +a(g117 +Vnull +p9315 +tp9316 +a(g344 +V) +tp9317 +a(g344 +V. +tp9318 +a(g41 +VgetBounds +p9319 +tp9320 +a(g344 +V( +tp9321 +a(g344 +V) +tp9322 +a(g344 +V. +tp9323 +a(g41 +VgetWidth +p9324 +tp9325 +a(g344 +V( +tp9326 +a(g344 +V) +tp9327 +a(g344 +V; +tp9328 +a(g189 +V\u000a +tp9329 +a(g189 +V +p9330 +tp9331 +a(g111 +Vfor +p9332 +tp9333 +a(g189 +V +tp9334 +a(g344 +V( +tp9335 +a(g139 +Vint +p9336 +tp9337 +a(g189 +V +tp9338 +a(g18 +Vj +tp9339 +a(g189 +V +tp9340 +a(g344 +V= +tp9341 +a(g189 +V +tp9342 +a(g319 +V0 +tp9343 +a(g344 +V; +tp9344 +a(g189 +V +tp9345 +a(g18 +Vj +tp9346 +a(g189 +V +tp9347 +a(g344 +V< +tp9348 +a(g189 +V +tp9349 +a(g18 +Vchars +p9350 +tp9351 +a(g344 +V. +tp9352 +a(g41 +Vlength +p9353 +tp9354 +a(g344 +V; +tp9355 +a(g189 +V +tp9356 +a(g18 +Vj +tp9357 +a(g344 +V+ +tp9358 +a(g344 +V+ +tp9359 +a(g344 +V) +tp9360 +a(g189 +V +tp9361 +a(g344 +V{ +tp9362 +a(g189 +V\u000a +tp9363 +a(g189 +V +p9364 +tp9365 +a(g18 +VString +p9366 +tp9367 +a(g189 +V +tp9368 +a(g18 +Vs +tp9369 +a(g189 +V +tp9370 +a(g344 +V= +tp9371 +a(g189 +V +tp9372 +a(g18 +VString +p9373 +tp9374 +a(g344 +V. +tp9375 +a(g41 +VvalueOf +p9376 +tp9377 +a(g344 +V( +tp9378 +a(g18 +Vchars +p9379 +tp9380 +a(g344 +V[ +tp9381 +a(g18 +Vj +tp9382 +a(g344 +V] +tp9383 +a(g344 +V) +tp9384 +a(g344 +V; +tp9385 +a(g189 +V\u000a +tp9386 +a(g189 +V +p9387 +tp9388 +a(g18 +Vshapes +p9389 +tp9390 +a(g344 +V[ +tp9391 +a(g18 +Vj +tp9392 +a(g344 +V] +tp9393 +a(g189 +V +tp9394 +a(g344 +V= +tp9395 +a(g189 +V +tp9396 +a(g111 +Vnew +p9397 +tp9398 +a(g189 +V +tp9399 +a(g18 +VTextLayout +p9400 +tp9401 +a(g344 +V( +tp9402 +a(g18 +Vs +tp9403 +a(g344 +V, +tp9404 +a(g189 +V +tp9405 +a(g18 +Vfont +p9406 +tp9407 +a(g344 +V, +tp9408 +a(g189 +V +tp9409 +a(g18 +Vfrc +p9410 +tp9411 +a(g344 +V) +tp9412 +a(g344 +V. +tp9413 +a(g41 +VgetOutline +p9414 +tp9415 +a(g344 +V( +tp9416 +a(g117 +Vnull +p9417 +tp9418 +a(g344 +V) +tp9419 +a(g344 +V; +tp9420 +a(g189 +V\u000a +tp9421 +a(g189 +V +p9422 +tp9423 +a(g344 +V} +tp9424 +a(g189 +V\u000a +tp9425 +a(g189 +V +p9426 +tp9427 +a(g344 +V} +tp9428 +a(g189 +V\u000a +tp9429 +a(g189 +V\u000a +tp9430 +a(g189 +V\u000a +tp9431 +a(g189 +V +p9432 +tp9433 +a(g131 +Vpublic +p9434 +tp9435 +a(g189 +V +tp9436 +a(g139 +Vvoid +p9437 +tp9438 +a(g189 +V +tp9439 +a(g21 +VsetIncrements +p9440 +tp9441 +a(g344 +V( +tp9442 +a(g139 +Vdouble +p9443 +tp9444 +a(g189 +V +tp9445 +a(g18 +VnumRevolutions +p9446 +tp9447 +a(g344 +V) +tp9448 +a(g189 +V +tp9449 +a(g344 +V{ +tp9450 +a(g189 +V\u000a +tp9451 +a(g189 +V +p9452 +tp9453 +a(g111 +Vthis +p9454 +tp9455 +a(g344 +V. +tp9456 +a(g41 +VnumRev +p9457 +tp9458 +a(g189 +V +tp9459 +a(g344 +V= +tp9460 +a(g189 +V +tp9461 +a(g344 +V( +tp9462 +a(g139 +Vint +p9463 +tp9464 +a(g344 +V) +tp9465 +a(g189 +V +tp9466 +a(g18 +VnumRevolutions +p9467 +tp9468 +a(g344 +V; +tp9469 +a(g189 +V\u000a +tp9470 +a(g189 +V +p9471 +tp9472 +a(g18 +VrIncr +p9473 +tp9474 +a(g189 +V +tp9475 +a(g344 +V= +tp9476 +a(g189 +V +tp9477 +a(g311 +V360.0 +p9478 +tp9479 +a(g189 +V +tp9480 +a(g344 +V/ +tp9481 +a(g189 +V +tp9482 +a(g344 +V( +tp9483 +a(g344 +V( +tp9484 +a(g18 +Vending +p9485 +tp9486 +a(g189 +V +tp9487 +a(g344 +V- +tp9488 +a(g189 +V +tp9489 +a(g18 +Vbeginning +p9490 +tp9491 +a(g344 +V) +tp9492 +a(g189 +V +tp9493 +a(g344 +V/ +tp9494 +a(g189 +V +tp9495 +a(g18 +VnumRevolutions +p9496 +tp9497 +a(g344 +V) +tp9498 +a(g344 +V; +tp9499 +a(g189 +V\u000a +tp9500 +a(g189 +V +p9501 +tp9502 +a(g18 +VsIncr +p9503 +tp9504 +a(g189 +V +tp9505 +a(g344 +V= +tp9506 +a(g189 +V +tp9507 +a(g311 +V1.0 +p9508 +tp9509 +a(g189 +V +tp9510 +a(g344 +V/ +tp9511 +a(g189 +V +tp9512 +a(g344 +V( +tp9513 +a(g18 +Vending +p9514 +tp9515 +a(g189 +V +tp9516 +a(g344 +V- +tp9517 +a(g189 +V +tp9518 +a(g18 +Vbeginning +p9519 +tp9520 +a(g344 +V) +tp9521 +a(g344 +V; +tp9522 +a(g189 +V\u000a +tp9523 +a(g189 +V +p9524 +tp9525 +a(g111 +Vif +p9526 +tp9527 +a(g189 +V +tp9528 +a(g344 +V( +tp9529 +a(g344 +V( +tp9530 +a(g18 +Vtype +p9531 +tp9532 +a(g189 +V +tp9533 +a(g344 +V& +tp9534 +a(g189 +V +tp9535 +a(g18 +VSCX +p9536 +tp9537 +a(g344 +V) +tp9538 +a(g189 +V +tp9539 +a(g344 +V! +tp9540 +a(g344 +V= +tp9541 +a(g189 +V +tp9542 +a(g319 +V0 +tp9543 +a(g189 +V +tp9544 +a(g344 +V| +tp9545 +a(g344 +V| +tp9546 +a(g189 +V +tp9547 +a(g344 +V( +tp9548 +a(g18 +Vtype +p9549 +tp9550 +a(g189 +V +tp9551 +a(g344 +V& +tp9552 +a(g189 +V +tp9553 +a(g18 +VSCY +p9554 +tp9555 +a(g344 +V) +tp9556 +a(g189 +V +tp9557 +a(g344 +V! +tp9558 +a(g344 +V= +tp9559 +a(g189 +V +tp9560 +a(g319 +V0 +tp9561 +a(g344 +V) +tp9562 +a(g189 +V +tp9563 +a(g344 +V{ +tp9564 +a(g189 +V\u000a +tp9565 +a(g189 +V +p9566 +tp9567 +a(g18 +VsIncr +p9568 +tp9569 +a(g189 +V +tp9570 +a(g344 +V* +tp9571 +a(g344 +V= +tp9572 +a(g189 +V +tp9573 +a(g319 +V2 +tp9574 +a(g344 +V; +tp9575 +a(g189 +V\u000a +tp9576 +a(g189 +V +p9577 +tp9578 +a(g344 +V} +tp9579 +a(g189 +V\u000a +tp9580 +a(g189 +V +p9581 +tp9582 +a(g111 +Vif +p9583 +tp9584 +a(g189 +V +tp9585 +a(g344 +V( +tp9586 +a(g344 +V( +tp9587 +a(g18 +Vtype +p9588 +tp9589 +a(g189 +V +tp9590 +a(g344 +V& +tp9591 +a(g189 +V +tp9592 +a(g18 +VDEC +p9593 +tp9594 +a(g344 +V) +tp9595 +a(g189 +V +tp9596 +a(g344 +V! +tp9597 +a(g344 +V= +tp9598 +a(g189 +V +tp9599 +a(g319 +V0 +tp9600 +a(g344 +V) +tp9601 +a(g189 +V +tp9602 +a(g344 +V{ +tp9603 +a(g189 +V\u000a +tp9604 +a(g189 +V +p9605 +tp9606 +a(g18 +VrIncr +p9607 +tp9608 +a(g189 +V +tp9609 +a(g344 +V= +tp9610 +a(g189 +V +tp9611 +a(g344 +V- +tp9612 +a(g18 +VrIncr +p9613 +tp9614 +a(g344 +V; +tp9615 +a(g189 +V\u000a +tp9616 +a(g189 +V +p9617 +tp9618 +a(g18 +VsIncr +p9619 +tp9620 +a(g189 +V +tp9621 +a(g344 +V= +tp9622 +a(g189 +V +tp9623 +a(g344 +V- +tp9624 +a(g18 +VsIncr +p9625 +tp9626 +a(g344 +V; +tp9627 +a(g189 +V\u000a +tp9628 +a(g189 +V +p9629 +tp9630 +a(g344 +V} +tp9631 +a(g189 +V\u000a +tp9632 +a(g189 +V +p9633 +tp9634 +a(g344 +V} +tp9635 +a(g189 +V\u000a +tp9636 +a(g189 +V\u000a +tp9637 +a(g189 +V\u000a +tp9638 +a(g189 +V +p9639 +tp9640 +a(g131 +Vpublic +p9641 +tp9642 +a(g189 +V +tp9643 +a(g139 +Vvoid +p9644 +tp9645 +a(g189 +V +tp9646 +a(g21 +Vreset +p9647 +tp9648 +a(g344 +V( +tp9649 +a(g139 +Vint +p9650 +tp9651 +a(g189 +V +tp9652 +a(g18 +Vw +tp9653 +a(g344 +V, +tp9654 +a(g189 +V +tp9655 +a(g139 +Vint +p9656 +tp9657 +a(g189 +V +tp9658 +a(g18 +Vh +tp9659 +a(g344 +V) +tp9660 +a(g189 +V +tp9661 +a(g344 +V{ +tp9662 +a(g189 +V\u000a +tp9663 +a(g189 +V +p9664 +tp9665 +a(g111 +Vif +p9666 +tp9667 +a(g189 +V +tp9668 +a(g344 +V( +tp9669 +a(g18 +Vtype +p9670 +tp9671 +a(g189 +V +tp9672 +a(g344 +V= +tp9673 +a(g344 +V= +tp9674 +a(g189 +V +tp9675 +a(g18 +VSCXI +p9676 +tp9677 +a(g344 +V) +tp9678 +a(g189 +V +tp9679 +a(g344 +V{ +tp9680 +a(g189 +V\u000a +tp9681 +a(g189 +V +p9682 +tp9683 +a(g18 +Vsx +p9684 +tp9685 +a(g189 +V +tp9686 +a(g344 +V= +tp9687 +a(g189 +V +tp9688 +a(g344 +V- +tp9689 +a(g311 +V1.0 +p9690 +tp9691 +a(g344 +V; +tp9692 +a(g189 +V +p9693 +tp9694 +a(g18 +Vsy +p9695 +tp9696 +a(g189 +V +tp9697 +a(g344 +V= +tp9698 +a(g189 +V +tp9699 +a(g311 +V1.0 +p9700 +tp9701 +a(g344 +V; +tp9702 +a(g189 +V\u000a +tp9703 +a(g189 +V +p9704 +tp9705 +a(g344 +V} +tp9706 +a(g189 +V +tp9707 +a(g111 +Velse +p9708 +tp9709 +a(g189 +V +tp9710 +a(g111 +Vif +p9711 +tp9712 +a(g189 +V +tp9713 +a(g344 +V( +tp9714 +a(g18 +Vtype +p9715 +tp9716 +a(g189 +V +tp9717 +a(g344 +V= +tp9718 +a(g344 +V= +tp9719 +a(g189 +V +tp9720 +a(g18 +VSCYI +p9721 +tp9722 +a(g344 +V) +tp9723 +a(g189 +V +tp9724 +a(g344 +V{ +tp9725 +a(g189 +V\u000a +tp9726 +a(g189 +V +p9727 +tp9728 +a(g18 +Vsx +p9729 +tp9730 +a(g189 +V +tp9731 +a(g344 +V= +tp9732 +a(g189 +V +tp9733 +a(g311 +V1.0 +p9734 +tp9735 +a(g344 +V; +tp9736 +a(g189 +V +p9737 +tp9738 +a(g18 +Vsy +p9739 +tp9740 +a(g189 +V +tp9741 +a(g344 +V= +tp9742 +a(g189 +V +tp9743 +a(g344 +V- +tp9744 +a(g311 +V1.0 +p9745 +tp9746 +a(g344 +V; +tp9747 +a(g189 +V\u000a +tp9748 +a(g189 +V +p9749 +tp9750 +a(g344 +V} +tp9751 +a(g189 +V +tp9752 +a(g111 +Velse +p9753 +tp9754 +a(g189 +V +tp9755 +a(g344 +V{ +tp9756 +a(g189 +V\u000a +tp9757 +a(g189 +V +p9758 +tp9759 +a(g18 +Vsx +p9760 +tp9761 +a(g189 +V +tp9762 +a(g344 +V= +tp9763 +a(g189 +V +tp9764 +a(g18 +Vsy +p9765 +tp9766 +a(g189 +V +tp9767 +a(g344 +V= +tp9768 +a(g189 +V +tp9769 +a(g344 +V( +tp9770 +a(g18 +Vtype +p9771 +tp9772 +a(g189 +V +tp9773 +a(g344 +V& +tp9774 +a(g189 +V +tp9775 +a(g18 +VDEC +p9776 +tp9777 +a(g344 +V) +tp9778 +a(g189 +V +tp9779 +a(g344 +V! +tp9780 +a(g344 +V= +tp9781 +a(g189 +V +tp9782 +a(g319 +V0 +tp9783 +a(g189 +V +tp9784 +a(g344 +V? +tp9785 +a(g189 +V +tp9786 +a(g311 +V1.0 +p9787 +tp9788 +a(g189 +V +tp9789 +a(g344 +V: +tp9790 +a(g189 +V +tp9791 +a(g311 +V0.0 +p9792 +tp9793 +a(g344 +V; +tp9794 +a(g189 +V +p9795 +tp9796 +a(g189 +V\u000a +tp9797 +a(g189 +V +p9798 +tp9799 +a(g344 +V} +tp9800 +a(g189 +V\u000a +tp9801 +a(g189 +V +p9802 +tp9803 +a(g18 +Vrotate +p9804 +tp9805 +a(g189 +V +tp9806 +a(g344 +V= +tp9807 +a(g189 +V +tp9808 +a(g319 +V0 +tp9809 +a(g344 +V; +tp9810 +a(g189 +V\u000a +tp9811 +a(g189 +V +p9812 +tp9813 +a(g344 +V} +tp9814 +a(g189 +V\u000a +tp9815 +a(g189 +V\u000a +tp9816 +a(g189 +V +p9817 +tp9818 +a(g189 +V\u000a +tp9819 +a(g189 +V +p9820 +tp9821 +a(g131 +Vpublic +p9822 +tp9823 +a(g189 +V +tp9824 +a(g139 +Vvoid +p9825 +tp9826 +a(g189 +V +tp9827 +a(g21 +Vstep +p9828 +tp9829 +a(g344 +V( +tp9830 +a(g139 +Vint +p9831 +tp9832 +a(g189 +V +tp9833 +a(g18 +Vw +tp9834 +a(g344 +V, +tp9835 +a(g189 +V +tp9836 +a(g139 +Vint +p9837 +tp9838 +a(g189 +V +tp9839 +a(g18 +Vh +tp9840 +a(g344 +V) +tp9841 +a(g189 +V +tp9842 +a(g344 +V{ +tp9843 +a(g189 +V\u000a +tp9844 +a(g189 +V +p9845 +tp9846 +a(g189 +V\u000a +tp9847 +a(g189 +V +p9848 +tp9849 +a(g139 +Vfloat +p9850 +tp9851 +a(g189 +V +tp9852 +a(g18 +VcharWidth +p9853 +tp9854 +a(g189 +V +tp9855 +a(g344 +V= +tp9856 +a(g189 +V +tp9857 +a(g18 +Vw +tp9858 +a(g344 +V/ +tp9859 +a(g319 +V2 +tp9860 +a(g344 +V- +tp9861 +a(g18 +Vsw +p9862 +tp9863 +a(g344 +V/ +tp9864 +a(g319 +V2 +tp9865 +a(g344 +V; +tp9866 +a(g189 +V\u000a +tp9867 +a(g189 +V +p9868 +tp9869 +a(g189 +V\u000a +tp9870 +a(g189 +V +p9871 +tp9872 +a(g111 +Vfor +p9873 +tp9874 +a(g189 +V +tp9875 +a(g344 +V( +tp9876 +a(g139 +Vint +p9877 +tp9878 +a(g189 +V +tp9879 +a(g18 +Vi +tp9880 +a(g189 +V +tp9881 +a(g344 +V= +tp9882 +a(g189 +V +tp9883 +a(g319 +V0 +tp9884 +a(g344 +V; +tp9885 +a(g189 +V +tp9886 +a(g18 +Vi +tp9887 +a(g189 +V +tp9888 +a(g344 +V< +tp9889 +a(g189 +V +tp9890 +a(g18 +Vshapes +p9891 +tp9892 +a(g344 +V. +tp9893 +a(g41 +Vlength +p9894 +tp9895 +a(g344 +V; +tp9896 +a(g189 +V +tp9897 +a(g18 +Vi +tp9898 +a(g344 +V+ +tp9899 +a(g344 +V+ +tp9900 +a(g344 +V) +tp9901 +a(g189 +V +tp9902 +a(g344 +V{ +tp9903 +a(g189 +V\u000a +tp9904 +a(g189 +V +p9905 +tp9906 +a(g18 +VAffineTransform +p9907 +tp9908 +a(g189 +V +tp9909 +a(g18 +Vat +p9910 +tp9911 +a(g189 +V +tp9912 +a(g344 +V= +tp9913 +a(g189 +V +tp9914 +a(g111 +Vnew +p9915 +tp9916 +a(g189 +V +tp9917 +a(g18 +VAffineTransform +p9918 +tp9919 +a(g344 +V( +tp9920 +a(g344 +V) +tp9921 +a(g344 +V; +tp9922 +a(g189 +V\u000a +tp9923 +a(g189 +V +p9924 +tp9925 +a(g18 +VRectangle2D +p9926 +tp9927 +a(g189 +V +tp9928 +a(g18 +VmaxBounds +p9929 +tp9930 +a(g189 +V +tp9931 +a(g344 +V= +tp9932 +a(g189 +V +tp9933 +a(g18 +Vshapes +p9934 +tp9935 +a(g344 +V[ +tp9936 +a(g18 +Vi +tp9937 +a(g344 +V] +tp9938 +a(g344 +V. +tp9939 +a(g41 +VgetBounds +p9940 +tp9941 +a(g344 +V( +tp9942 +a(g344 +V) +tp9943 +a(g344 +V; +tp9944 +a(g189 +V\u000a +tp9945 +a(g189 +V +p9946 +tp9947 +a(g18 +Vat +p9948 +tp9949 +a(g344 +V. +tp9950 +a(g41 +Vtranslate +p9951 +tp9952 +a(g344 +V( +tp9953 +a(g18 +VcharWidth +p9954 +tp9955 +a(g344 +V, +tp9956 +a(g189 +V +tp9957 +a(g18 +Vh +tp9958 +a(g344 +V/ +tp9959 +a(g319 +V2 +tp9960 +a(g344 +V+ +tp9961 +a(g18 +VmaxBounds +p9962 +tp9963 +a(g344 +V. +tp9964 +a(g41 +VgetHeight +p9965 +tp9966 +a(g344 +V( +tp9967 +a(g344 +V) +tp9968 +a(g344 +V/ +tp9969 +a(g319 +V2 +tp9970 +a(g344 +V) +tp9971 +a(g344 +V; +tp9972 +a(g189 +V\u000a +tp9973 +a(g189 +V +p9974 +tp9975 +a(g18 +VcharWidth +p9976 +tp9977 +a(g189 +V +tp9978 +a(g344 +V+ +tp9979 +a(g344 +V= +tp9980 +a(g189 +V +tp9981 +a(g344 +V( +tp9982 +a(g139 +Vfloat +p9983 +tp9984 +a(g344 +V) +tp9985 +a(g189 +V +tp9986 +a(g18 +VmaxBounds +p9987 +tp9988 +a(g344 +V. +tp9989 +a(g41 +VgetWidth +p9990 +tp9991 +a(g344 +V( +tp9992 +a(g344 +V) +tp9993 +a(g189 +V +tp9994 +a(g344 +V+ +tp9995 +a(g189 +V +tp9996 +a(g319 +V1 +tp9997 +a(g344 +V; +tp9998 +a(g189 +V\u000a +tp9999 +a(g189 +V +p10000 +tp10001 +a(g18 +VShape +p10002 +tp10003 +a(g189 +V +tp10004 +a(g18 +Vshape +p10005 +tp10006 +a(g189 +V +tp10007 +a(g344 +V= +tp10008 +a(g189 +V +tp10009 +a(g18 +Vat +p10010 +tp10011 +a(g344 +V. +tp10012 +a(g41 +VcreateTransformedShape +p10013 +tp10014 +a(g344 +V( +tp10015 +a(g18 +Vshapes +p10016 +tp10017 +a(g344 +V[ +tp10018 +a(g18 +Vi +tp10019 +a(g344 +V] +tp10020 +a(g344 +V) +tp10021 +a(g344 +V; +tp10022 +a(g189 +V\u000a +tp10023 +a(g189 +V +p10024 +tp10025 +a(g18 +VRectangle2D +p10026 +tp10027 +a(g189 +V +tp10028 +a(g18 +Vb1 +p10029 +tp10030 +a(g189 +V +tp10031 +a(g344 +V= +tp10032 +a(g189 +V +tp10033 +a(g18 +Vshape +p10034 +tp10035 +a(g344 +V. +tp10036 +a(g41 +VgetBounds2D +p10037 +tp10038 +a(g344 +V( +tp10039 +a(g344 +V) +tp10040 +a(g344 +V; +tp10041 +a(g189 +V\u000a +tp10042 +a(g189 +V +p10043 +tp10044 +a(g189 +V\u000a +tp10045 +a(g189 +V +p10046 +tp10047 +a(g111 +Vif +p10048 +tp10049 +a(g189 +V +tp10050 +a(g344 +V( +tp10051 +a(g344 +V( +tp10052 +a(g18 +Vtype +p10053 +tp10054 +a(g189 +V +tp10055 +a(g344 +V& +tp10056 +a(g189 +V +tp10057 +a(g18 +VR +tp10058 +a(g344 +V) +tp10059 +a(g189 +V +tp10060 +a(g344 +V! +tp10061 +a(g344 +V= +tp10062 +a(g189 +V +tp10063 +a(g319 +V0 +tp10064 +a(g344 +V) +tp10065 +a(g189 +V +tp10066 +a(g344 +V{ +tp10067 +a(g189 +V\u000a +tp10068 +a(g189 +V +p10069 +tp10070 +a(g18 +Vat +p10071 +tp10072 +a(g344 +V. +tp10073 +a(g41 +Vrotate +p10074 +tp10075 +a(g344 +V( +tp10076 +a(g18 +VMath +p10077 +tp10078 +a(g344 +V. +tp10079 +a(g41 +VtoRadians +p10080 +tp10081 +a(g344 +V( +tp10082 +a(g18 +Vrotate +p10083 +tp10084 +a(g344 +V) +tp10085 +a(g344 +V) +tp10086 +a(g344 +V; +tp10087 +a(g189 +V +tp10088 +a(g189 +V\u000a +tp10089 +a(g189 +V +p10090 +tp10091 +a(g344 +V} +tp10092 +a(g189 +V\u000a +tp10093 +a(g189 +V +p10094 +tp10095 +a(g111 +Vif +p10096 +tp10097 +a(g189 +V +tp10098 +a(g344 +V( +tp10099 +a(g344 +V( +tp10100 +a(g18 +Vtype +p10101 +tp10102 +a(g189 +V +tp10103 +a(g344 +V& +tp10104 +a(g189 +V +tp10105 +a(g18 +VSC +p10106 +tp10107 +a(g344 +V) +tp10108 +a(g189 +V +tp10109 +a(g344 +V! +tp10110 +a(g344 +V= +tp10111 +a(g189 +V +tp10112 +a(g319 +V0 +tp10113 +a(g344 +V) +tp10114 +a(g189 +V +tp10115 +a(g344 +V{ +tp10116 +a(g189 +V\u000a +tp10117 +a(g189 +V +p10118 +tp10119 +a(g18 +Vat +p10120 +tp10121 +a(g344 +V. +tp10122 +a(g41 +Vscale +p10123 +tp10124 +a(g344 +V( +tp10125 +a(g18 +Vsx +p10126 +tp10127 +a(g344 +V, +tp10128 +a(g189 +V +tp10129 +a(g18 +Vsy +p10130 +tp10131 +a(g344 +V) +tp10132 +a(g344 +V; +tp10133 +a(g189 +V\u000a +tp10134 +a(g189 +V +p10135 +tp10136 +a(g344 +V} +tp10137 +a(g189 +V\u000a +tp10138 +a(g189 +V +p10139 +tp10140 +a(g18 +Vshape +p10141 +tp10142 +a(g189 +V +tp10143 +a(g344 +V= +tp10144 +a(g189 +V +tp10145 +a(g18 +Vat +p10146 +tp10147 +a(g344 +V. +tp10148 +a(g41 +VcreateTransformedShape +p10149 +tp10150 +a(g344 +V( +tp10151 +a(g18 +Vshapes +p10152 +tp10153 +a(g344 +V[ +tp10154 +a(g18 +Vi +tp10155 +a(g344 +V] +tp10156 +a(g344 +V) +tp10157 +a(g344 +V; +tp10158 +a(g189 +V\u000a +tp10159 +a(g189 +V +p10160 +tp10161 +a(g18 +VRectangle2D +p10162 +tp10163 +a(g189 +V +tp10164 +a(g18 +Vb2 +p10165 +tp10166 +a(g189 +V +tp10167 +a(g344 +V= +tp10168 +a(g189 +V +tp10169 +a(g18 +Vshape +p10170 +tp10171 +a(g344 +V. +tp10172 +a(g41 +VgetBounds2D +p10173 +tp10174 +a(g344 +V( +tp10175 +a(g344 +V) +tp10176 +a(g344 +V; +tp10177 +a(g189 +V\u000a +tp10178 +a(g189 +V +p10179 +tp10180 +a(g189 +V\u000a +tp10181 +a(g189 +V +p10182 +tp10183 +a(g139 +Vdouble +p10184 +tp10185 +a(g189 +V +tp10186 +a(g18 +Vxx +p10187 +tp10188 +a(g189 +V +tp10189 +a(g344 +V= +tp10190 +a(g189 +V +tp10191 +a(g344 +V( +tp10192 +a(g18 +Vb1 +p10193 +tp10194 +a(g344 +V. +tp10195 +a(g41 +VgetX +p10196 +tp10197 +a(g344 +V( +tp10198 +a(g344 +V) +tp10199 +a(g344 +V+ +tp10200 +a(g18 +Vb1 +p10201 +tp10202 +a(g344 +V. +tp10203 +a(g41 +VgetWidth +p10204 +tp10205 +a(g344 +V( +tp10206 +a(g344 +V) +tp10207 +a(g344 +V/ +tp10208 +a(g319 +V2 +tp10209 +a(g344 +V) +tp10210 +a(g189 +V\u000a +tp10211 +a(g189 +V +p10212 +tp10213 +a(g344 +V- +tp10214 +a(g189 +V +tp10215 +a(g344 +V( +tp10216 +a(g18 +Vb2 +p10217 +tp10218 +a(g344 +V. +tp10219 +a(g41 +VgetX +p10220 +tp10221 +a(g344 +V( +tp10222 +a(g344 +V) +tp10223 +a(g344 +V+ +tp10224 +a(g18 +Vb2 +p10225 +tp10226 +a(g344 +V. +tp10227 +a(g41 +VgetWidth +p10228 +tp10229 +a(g344 +V( +tp10230 +a(g344 +V) +tp10231 +a(g344 +V/ +tp10232 +a(g319 +V2 +tp10233 +a(g344 +V) +tp10234 +a(g344 +V; +tp10235 +a(g189 +V\u000a +tp10236 +a(g189 +V +p10237 +tp10238 +a(g139 +Vdouble +p10239 +tp10240 +a(g189 +V +tp10241 +a(g18 +Vyy +p10242 +tp10243 +a(g189 +V +tp10244 +a(g344 +V= +tp10245 +a(g189 +V +tp10246 +a(g344 +V( +tp10247 +a(g18 +Vb1 +p10248 +tp10249 +a(g344 +V. +tp10250 +a(g41 +VgetY +p10251 +tp10252 +a(g344 +V( +tp10253 +a(g344 +V) +tp10254 +a(g344 +V+ +tp10255 +a(g18 +Vb1 +p10256 +tp10257 +a(g344 +V. +tp10258 +a(g41 +VgetHeight +p10259 +tp10260 +a(g344 +V( +tp10261 +a(g344 +V) +tp10262 +a(g344 +V/ +tp10263 +a(g319 +V2 +tp10264 +a(g344 +V) +tp10265 +a(g189 +V\u000a +tp10266 +a(g189 +V +p10267 +tp10268 +a(g344 +V- +tp10269 +a(g189 +V +tp10270 +a(g344 +V( +tp10271 +a(g18 +Vb2 +p10272 +tp10273 +a(g344 +V. +tp10274 +a(g41 +VgetY +p10275 +tp10276 +a(g344 +V( +tp10277 +a(g344 +V) +tp10278 +a(g344 +V+ +tp10279 +a(g18 +Vb2 +p10280 +tp10281 +a(g344 +V. +tp10282 +a(g41 +VgetHeight +p10283 +tp10284 +a(g344 +V( +tp10285 +a(g344 +V) +tp10286 +a(g344 +V/ +tp10287 +a(g319 +V2 +tp10288 +a(g344 +V) +tp10289 +a(g344 +V; +tp10290 +a(g189 +V\u000a +tp10291 +a(g189 +V +p10292 +tp10293 +a(g18 +VAffineTransform +p10294 +tp10295 +a(g189 +V +tp10296 +a(g18 +VtoCenterAT +p10297 +tp10298 +a(g189 +V +tp10299 +a(g344 +V= +tp10300 +a(g189 +V +tp10301 +a(g111 +Vnew +p10302 +tp10303 +a(g189 +V +tp10304 +a(g18 +VAffineTransform +p10305 +tp10306 +a(g344 +V( +tp10307 +a(g344 +V) +tp10308 +a(g344 +V; +tp10309 +a(g189 +V\u000a +tp10310 +a(g189 +V +p10311 +tp10312 +a(g18 +VtoCenterAT +p10313 +tp10314 +a(g344 +V. +tp10315 +a(g41 +Vtranslate +p10316 +tp10317 +a(g344 +V( +tp10318 +a(g18 +Vxx +p10319 +tp10320 +a(g344 +V, +tp10321 +a(g189 +V +tp10322 +a(g18 +Vyy +p10323 +tp10324 +a(g344 +V) +tp10325 +a(g344 +V; +tp10326 +a(g189 +V\u000a +tp10327 +a(g189 +V +p10328 +tp10329 +a(g18 +VtoCenterAT +p10330 +tp10331 +a(g344 +V. +tp10332 +a(g41 +Vconcatenate +p10333 +tp10334 +a(g344 +V( +tp10335 +a(g18 +Vat +p10336 +tp10337 +a(g344 +V) +tp10338 +a(g344 +V; +tp10339 +a(g189 +V\u000a +tp10340 +a(g189 +V +p10341 +tp10342 +a(g18 +VtxShapes +p10343 +tp10344 +a(g344 +V[ +tp10345 +a(g18 +Vi +tp10346 +a(g344 +V] +tp10347 +a(g189 +V +tp10348 +a(g344 +V= +tp10349 +a(g189 +V +tp10350 +a(g18 +VtoCenterAT +p10351 +tp10352 +a(g344 +V. +tp10353 +a(g41 +VcreateTransformedShape +p10354 +tp10355 +a(g344 +V( +tp10356 +a(g18 +Vshapes +p10357 +tp10358 +a(g344 +V[ +tp10359 +a(g18 +Vi +tp10360 +a(g344 +V] +tp10361 +a(g344 +V) +tp10362 +a(g344 +V; +tp10363 +a(g189 +V\u000a +tp10364 +a(g189 +V +p10365 +tp10366 +a(g344 +V} +tp10367 +a(g189 +V\u000a +tp10368 +a(g189 +V +p10369 +tp10370 +a(g358 +V// avoid over rotation\u000a +p10371 +tp10372 +a(g189 +V +p10373 +tp10374 +a(g111 +Vif +p10375 +tp10376 +a(g189 +V +tp10377 +a(g344 +V( +tp10378 +a(g18 +VMath +p10379 +tp10380 +a(g344 +V. +tp10381 +a(g41 +Vabs +p10382 +tp10383 +a(g344 +V( +tp10384 +a(g18 +Vrotate +p10385 +tp10386 +a(g344 +V) +tp10387 +a(g189 +V +tp10388 +a(g344 +V< +tp10389 +a(g344 +V= +tp10390 +a(g189 +V +tp10391 +a(g18 +VnumRev +p10392 +tp10393 +a(g189 +V +tp10394 +a(g344 +V* +tp10395 +a(g189 +V +tp10396 +a(g319 +V360 +p10397 +tp10398 +a(g344 +V) +tp10399 +a(g189 +V +tp10400 +a(g344 +V{ +tp10401 +a(g189 +V\u000a +tp10402 +a(g189 +V +p10403 +tp10404 +a(g18 +Vrotate +p10405 +tp10406 +a(g189 +V +tp10407 +a(g344 +V+ +tp10408 +a(g344 +V= +tp10409 +a(g189 +V +tp10410 +a(g18 +VrIncr +p10411 +tp10412 +a(g344 +V; +tp10413 +a(g189 +V\u000a +tp10414 +a(g189 +V +p10415 +tp10416 +a(g111 +Vif +p10417 +tp10418 +a(g189 +V +tp10419 +a(g344 +V( +tp10420 +a(g344 +V( +tp10421 +a(g18 +Vtype +p10422 +tp10423 +a(g189 +V +tp10424 +a(g344 +V& +tp10425 +a(g189 +V +tp10426 +a(g18 +VSCX +p10427 +tp10428 +a(g344 +V) +tp10429 +a(g189 +V +tp10430 +a(g344 +V! +tp10431 +a(g344 +V= +tp10432 +a(g189 +V +tp10433 +a(g319 +V0 +tp10434 +a(g344 +V) +tp10435 +a(g189 +V +tp10436 +a(g344 +V{ +tp10437 +a(g189 +V\u000a +tp10438 +a(g189 +V +p10439 +tp10440 +a(g18 +Vsx +p10441 +tp10442 +a(g189 +V +tp10443 +a(g344 +V+ +tp10444 +a(g344 +V= +tp10445 +a(g189 +V +tp10446 +a(g18 +VsIncr +p10447 +tp10448 +a(g344 +V; +tp10449 +a(g189 +V\u000a +tp10450 +a(g189 +V +p10451 +tp10452 +a(g344 +V} +tp10453 +a(g189 +V +tp10454 +a(g111 +Velse +p10455 +tp10456 +a(g189 +V +tp10457 +a(g111 +Vif +p10458 +tp10459 +a(g189 +V +tp10460 +a(g344 +V( +tp10461 +a(g344 +V( +tp10462 +a(g18 +Vtype +p10463 +tp10464 +a(g189 +V +tp10465 +a(g344 +V& +tp10466 +a(g189 +V +tp10467 +a(g18 +VSCY +p10468 +tp10469 +a(g344 +V) +tp10470 +a(g189 +V +tp10471 +a(g344 +V! +tp10472 +a(g344 +V= +tp10473 +a(g189 +V +tp10474 +a(g319 +V0 +tp10475 +a(g344 +V) +tp10476 +a(g189 +V +tp10477 +a(g344 +V{ +tp10478 +a(g189 +V\u000a +tp10479 +a(g189 +V +p10480 +tp10481 +a(g18 +Vsy +p10482 +tp10483 +a(g189 +V +tp10484 +a(g344 +V+ +tp10485 +a(g344 +V= +tp10486 +a(g189 +V +tp10487 +a(g18 +VsIncr +p10488 +tp10489 +a(g344 +V; +tp10490 +a(g189 +V\u000a +tp10491 +a(g189 +V +p10492 +tp10493 +a(g344 +V} +tp10494 +a(g189 +V +tp10495 +a(g111 +Velse +p10496 +tp10497 +a(g189 +V +tp10498 +a(g344 +V{ +tp10499 +a(g189 +V\u000a +tp10500 +a(g189 +V +p10501 +tp10502 +a(g18 +Vsx +p10503 +tp10504 +a(g189 +V +tp10505 +a(g344 +V+ +tp10506 +a(g344 +V= +tp10507 +a(g189 +V +tp10508 +a(g18 +VsIncr +p10509 +tp10510 +a(g344 +V; +tp10511 +a(g189 +V +tp10512 +a(g18 +Vsy +p10513 +tp10514 +a(g189 +V +tp10515 +a(g344 +V+ +tp10516 +a(g344 +V= +tp10517 +a(g189 +V +tp10518 +a(g18 +VsIncr +p10519 +tp10520 +a(g344 +V; +tp10521 +a(g189 +V\u000a +tp10522 +a(g189 +V +p10523 +tp10524 +a(g344 +V} +tp10525 +a(g189 +V\u000a +tp10526 +a(g189 +V +p10527 +tp10528 +a(g344 +V} +tp10529 +a(g189 +V\u000a +tp10530 +a(g189 +V +p10531 +tp10532 +a(g344 +V} +tp10533 +a(g189 +V\u000a +tp10534 +a(g189 +V\u000a +tp10535 +a(g189 +V\u000a +tp10536 +a(g189 +V +p10537 +tp10538 +a(g131 +Vpublic +p10539 +tp10540 +a(g189 +V +tp10541 +a(g139 +Vvoid +p10542 +tp10543 +a(g189 +V +tp10544 +a(g21 +Vrender +p10545 +tp10546 +a(g344 +V( +tp10547 +a(g139 +Vint +p10548 +tp10549 +a(g189 +V +tp10550 +a(g18 +Vw +tp10551 +a(g344 +V, +tp10552 +a(g189 +V +tp10553 +a(g139 +Vint +p10554 +tp10555 +a(g189 +V +tp10556 +a(g18 +Vh +tp10557 +a(g344 +V, +tp10558 +a(g189 +V +tp10559 +a(g18 +VGraphics2D +p10560 +tp10561 +a(g189 +V +tp10562 +a(g18 +Vg2 +p10563 +tp10564 +a(g344 +V) +tp10565 +a(g189 +V +tp10566 +a(g344 +V{ +tp10567 +a(g189 +V\u000a +tp10568 +a(g189 +V +p10569 +tp10570 +a(g18 +VComposite +p10571 +tp10572 +a(g189 +V +tp10573 +a(g18 +VsaveAC +p10574 +tp10575 +a(g189 +V +tp10576 +a(g344 +V= +tp10577 +a(g189 +V +tp10578 +a(g117 +Vnull +p10579 +tp10580 +a(g344 +V; +tp10581 +a(g189 +V\u000a +tp10582 +a(g189 +V +p10583 +tp10584 +a(g111 +Vif +p10585 +tp10586 +a(g189 +V +tp10587 +a(g344 +V( +tp10588 +a(g344 +V( +tp10589 +a(g18 +Vtype +p10590 +tp10591 +a(g189 +V +tp10592 +a(g344 +V& +tp10593 +a(g189 +V +tp10594 +a(g18 +VAC +p10595 +tp10596 +a(g344 +V) +tp10597 +a(g189 +V +tp10598 +a(g344 +V! +tp10599 +a(g344 +V= +tp10600 +a(g189 +V +tp10601 +a(g319 +V0 +tp10602 +a(g189 +V +tp10603 +a(g344 +V& +tp10604 +a(g344 +V& +tp10605 +a(g189 +V +tp10606 +a(g18 +Vsx +p10607 +tp10608 +a(g189 +V +tp10609 +a(g344 +V> +tp10610 +a(g189 +V +tp10611 +a(g319 +V0 +tp10612 +a(g189 +V +tp10613 +a(g344 +V& +tp10614 +a(g344 +V& +tp10615 +a(g189 +V +tp10616 +a(g18 +Vsx +p10617 +tp10618 +a(g189 +V +tp10619 +a(g344 +V< +tp10620 +a(g189 +V +tp10621 +a(g319 +V1 +tp10622 +a(g344 +V) +tp10623 +a(g189 +V +tp10624 +a(g344 +V{ +tp10625 +a(g189 +V\u000a +tp10626 +a(g189 +V +p10627 +tp10628 +a(g18 +VsaveAC +p10629 +tp10630 +a(g189 +V +tp10631 +a(g344 +V= +tp10632 +a(g189 +V +tp10633 +a(g18 +Vg2 +p10634 +tp10635 +a(g344 +V. +tp10636 +a(g41 +VgetComposite +p10637 +tp10638 +a(g344 +V( +tp10639 +a(g344 +V) +tp10640 +a(g344 +V; +tp10641 +a(g189 +V\u000a +tp10642 +a(g189 +V +p10643 +tp10644 +a(g18 +Vg2 +p10645 +tp10646 +a(g344 +V. +tp10647 +a(g41 +VsetComposite +p10648 +tp10649 +a(g344 +V( +tp10650 +a(g18 +VAlphaComposite +p10651 +tp10652 +a(g344 +V. +tp10653 +a(g41 +VgetInstance +p10654 +tp10655 +a(g344 +V( +tp10656 +a(g18 +VAlphaComposite +p10657 +tp10658 +a(g344 +V. +tp10659 +a(g41 +VSRC_OVER +p10660 +tp10661 +a(g344 +V, +tp10662 +a(g189 +V +tp10663 +a(g344 +V( +tp10664 +a(g139 +Vfloat +p10665 +tp10666 +a(g344 +V) +tp10667 +a(g189 +V +tp10668 +a(g18 +Vsx +p10669 +tp10670 +a(g344 +V) +tp10671 +a(g344 +V) +tp10672 +a(g344 +V; +tp10673 +a(g189 +V\u000a +tp10674 +a(g189 +V +p10675 +tp10676 +a(g344 +V} +tp10677 +a(g189 +V\u000a +tp10678 +a(g189 +V +p10679 +tp10680 +a(g18 +VGeneralPath +p10681 +tp10682 +a(g189 +V +tp10683 +a(g18 +Vpath +p10684 +tp10685 +a(g189 +V +tp10686 +a(g344 +V= +tp10687 +a(g189 +V +tp10688 +a(g117 +Vnull +p10689 +tp10690 +a(g344 +V; +tp10691 +a(g189 +V\u000a +tp10692 +a(g189 +V +p10693 +tp10694 +a(g111 +Vif +p10695 +tp10696 +a(g189 +V +tp10697 +a(g344 +V( +tp10698 +a(g344 +V( +tp10699 +a(g18 +Vtype +p10700 +tp10701 +a(g189 +V +tp10702 +a(g344 +V& +tp10703 +a(g189 +V +tp10704 +a(g18 +VCLIP +p10705 +tp10706 +a(g344 +V) +tp10707 +a(g189 +V +tp10708 +a(g344 +V! +tp10709 +a(g344 +V= +tp10710 +a(g189 +V +tp10711 +a(g319 +V0 +tp10712 +a(g344 +V) +tp10713 +a(g189 +V +tp10714 +a(g344 +V{ +tp10715 +a(g189 +V\u000a +tp10716 +a(g189 +V +p10717 +tp10718 +a(g18 +Vpath +p10719 +tp10720 +a(g189 +V +tp10721 +a(g344 +V= +tp10722 +a(g189 +V +tp10723 +a(g111 +Vnew +p10724 +tp10725 +a(g189 +V +tp10726 +a(g18 +VGeneralPath +p10727 +tp10728 +a(g344 +V( +tp10729 +a(g344 +V) +tp10730 +a(g344 +V; +tp10731 +a(g189 +V\u000a +tp10732 +a(g189 +V +p10733 +tp10734 +a(g344 +V} +tp10735 +a(g189 +V\u000a +tp10736 +a(g189 +V +p10737 +tp10738 +a(g111 +Vif +p10739 +tp10740 +a(g189 +V +tp10741 +a(g344 +V( +tp10742 +a(g18 +Vpaint +p10743 +tp10744 +a(g189 +V +tp10745 +a(g344 +V! +tp10746 +a(g344 +V= +tp10747 +a(g189 +V +tp10748 +a(g117 +Vnull +p10749 +tp10750 +a(g344 +V) +tp10751 +a(g189 +V +tp10752 +a(g344 +V{ +tp10753 +a(g189 +V\u000a +tp10754 +a(g189 +V +p10755 +tp10756 +a(g18 +Vg2 +p10757 +tp10758 +a(g344 +V. +tp10759 +a(g41 +VsetPaint +p10760 +tp10761 +a(g344 +V( +tp10762 +a(g18 +Vpaint +p10763 +tp10764 +a(g344 +V) +tp10765 +a(g344 +V; +tp10766 +a(g189 +V\u000a +tp10767 +a(g189 +V +p10768 +tp10769 +a(g344 +V} +tp10770 +a(g189 +V\u000a +tp10771 +a(g189 +V +p10772 +tp10773 +a(g111 +Vfor +p10774 +tp10775 +a(g189 +V +tp10776 +a(g344 +V( +tp10777 +a(g139 +Vint +p10778 +tp10779 +a(g189 +V +tp10780 +a(g18 +Vi +tp10781 +a(g189 +V +tp10782 +a(g344 +V= +tp10783 +a(g189 +V +tp10784 +a(g319 +V0 +tp10785 +a(g344 +V; +tp10786 +a(g189 +V +tp10787 +a(g18 +Vi +tp10788 +a(g189 +V +tp10789 +a(g344 +V< +tp10790 +a(g189 +V +tp10791 +a(g18 +VtxShapes +p10792 +tp10793 +a(g344 +V. +tp10794 +a(g41 +Vlength +p10795 +tp10796 +a(g344 +V; +tp10797 +a(g189 +V +tp10798 +a(g18 +Vi +tp10799 +a(g344 +V+ +tp10800 +a(g344 +V+ +tp10801 +a(g344 +V) +tp10802 +a(g189 +V +tp10803 +a(g344 +V{ +tp10804 +a(g189 +V\u000a +tp10805 +a(g189 +V +p10806 +tp10807 +a(g111 +Vif +p10808 +tp10809 +a(g189 +V +tp10810 +a(g344 +V( +tp10811 +a(g344 +V( +tp10812 +a(g18 +Vtype +p10813 +tp10814 +a(g189 +V +tp10815 +a(g344 +V& +tp10816 +a(g189 +V +tp10817 +a(g18 +VCLIP +p10818 +tp10819 +a(g344 +V) +tp10820 +a(g189 +V +tp10821 +a(g344 +V! +tp10822 +a(g344 +V= +tp10823 +a(g189 +V +tp10824 +a(g319 +V0 +tp10825 +a(g344 +V) +tp10826 +a(g189 +V +tp10827 +a(g344 +V{ +tp10828 +a(g189 +V\u000a +tp10829 +a(g189 +V +p10830 +tp10831 +a(g18 +Vpath +p10832 +tp10833 +a(g344 +V. +tp10834 +a(g41 +Vappend +p10835 +tp10836 +a(g344 +V( +tp10837 +a(g18 +VtxShapes +p10838 +tp10839 +a(g344 +V[ +tp10840 +a(g18 +Vi +tp10841 +a(g344 +V] +tp10842 +a(g344 +V, +tp10843 +a(g189 +V +tp10844 +a(g117 +Vfalse +p10845 +tp10846 +a(g344 +V) +tp10847 +a(g344 +V; +tp10848 +a(g189 +V\u000a +tp10849 +a(g189 +V +p10850 +tp10851 +a(g344 +V} +tp10852 +a(g189 +V +tp10853 +a(g111 +Velse +p10854 +tp10855 +a(g189 +V +tp10856 +a(g344 +V{ +tp10857 +a(g189 +V\u000a +tp10858 +a(g189 +V +p10859 +tp10860 +a(g18 +Vg2 +p10861 +tp10862 +a(g344 +V. +tp10863 +a(g41 +Vfill +p10864 +tp10865 +a(g344 +V( +tp10866 +a(g18 +VtxShapes +p10867 +tp10868 +a(g344 +V[ +tp10869 +a(g18 +Vi +tp10870 +a(g344 +V] +tp10871 +a(g344 +V) +tp10872 +a(g344 +V; +tp10873 +a(g189 +V\u000a +tp10874 +a(g189 +V +p10875 +tp10876 +a(g344 +V} +tp10877 +a(g189 +V\u000a +tp10878 +a(g189 +V +p10879 +tp10880 +a(g344 +V} +tp10881 +a(g189 +V\u000a +tp10882 +a(g189 +V +p10883 +tp10884 +a(g111 +Vif +p10885 +tp10886 +a(g189 +V +tp10887 +a(g344 +V( +tp10888 +a(g344 +V( +tp10889 +a(g18 +Vtype +p10890 +tp10891 +a(g189 +V +tp10892 +a(g344 +V& +tp10893 +a(g189 +V +tp10894 +a(g18 +VCLIP +p10895 +tp10896 +a(g344 +V) +tp10897 +a(g189 +V +tp10898 +a(g344 +V! +tp10899 +a(g344 +V= +tp10900 +a(g189 +V +tp10901 +a(g319 +V0 +tp10902 +a(g344 +V) +tp10903 +a(g189 +V +tp10904 +a(g344 +V{ +tp10905 +a(g189 +V\u000a +tp10906 +a(g189 +V +p10907 +tp10908 +a(g18 +Vg2 +p10909 +tp10910 +a(g344 +V. +tp10911 +a(g41 +Vclip +p10912 +tp10913 +a(g344 +V( +tp10914 +a(g18 +Vpath +p10915 +tp10916 +a(g344 +V) +tp10917 +a(g344 +V; +tp10918 +a(g189 +V\u000a +tp10919 +a(g189 +V +p10920 +tp10921 +a(g344 +V} +tp10922 +a(g189 +V\u000a +tp10923 +a(g189 +V +p10924 +tp10925 +a(g111 +Vif +p10926 +tp10927 +a(g189 +V +tp10928 +a(g344 +V( +tp10929 +a(g18 +VsaveAC +p10930 +tp10931 +a(g189 +V +tp10932 +a(g344 +V! +tp10933 +a(g344 +V= +tp10934 +a(g189 +V +tp10935 +a(g117 +Vnull +p10936 +tp10937 +a(g344 +V) +tp10938 +a(g189 +V +tp10939 +a(g344 +V{ +tp10940 +a(g189 +V\u000a +tp10941 +a(g189 +V +p10942 +tp10943 +a(g18 +Vg2 +p10944 +tp10945 +a(g344 +V. +tp10946 +a(g41 +VsetComposite +p10947 +tp10948 +a(g344 +V( +tp10949 +a(g18 +VsaveAC +p10950 +tp10951 +a(g344 +V) +tp10952 +a(g344 +V; +tp10953 +a(g189 +V\u000a +tp10954 +a(g189 +V +p10955 +tp10956 +a(g344 +V} +tp10957 +a(g189 +V\u000a +tp10958 +a(g189 +V +p10959 +tp10960 +a(g344 +V} +tp10961 +a(g189 +V\u000a +tp10962 +a(g189 +V\u000a +tp10963 +a(g189 +V\u000a +tp10964 +a(g189 +V +p10965 +tp10966 +a(g131 +Vpublic +p10967 +tp10968 +a(g189 +V +tp10969 +a(g139 +Vint +p10970 +tp10971 +a(g189 +V +tp10972 +a(g21 +VgetBegin +p10973 +tp10974 +a(g344 +V( +tp10975 +a(g344 +V) +tp10976 +a(g189 +V +tp10977 +a(g344 +V{ +tp10978 +a(g189 +V\u000a +tp10979 +a(g189 +V +p10980 +tp10981 +a(g111 +Vreturn +p10982 +tp10983 +a(g189 +V +tp10984 +a(g18 +Vbeginning +p10985 +tp10986 +a(g344 +V; +tp10987 +a(g189 +V\u000a +tp10988 +a(g189 +V +p10989 +tp10990 +a(g344 +V} +tp10991 +a(g189 +V\u000a +tp10992 +a(g189 +V\u000a +tp10993 +a(g189 +V +p10994 +tp10995 +a(g131 +Vpublic +p10996 +tp10997 +a(g189 +V +tp10998 +a(g139 +Vint +p10999 +tp11000 +a(g189 +V +tp11001 +a(g21 +VgetEnd +p11002 +tp11003 +a(g344 +V( +tp11004 +a(g344 +V) +tp11005 +a(g189 +V +tp11006 +a(g344 +V{ +tp11007 +a(g189 +V\u000a +tp11008 +a(g189 +V +p11009 +tp11010 +a(g111 +Vreturn +p11011 +tp11012 +a(g189 +V +tp11013 +a(g18 +Vending +p11014 +tp11015 +a(g344 +V; +tp11016 +a(g189 +V\u000a +tp11017 +a(g189 +V +p11018 +tp11019 +a(g344 +V} +tp11020 +a(g189 +V\u000a +tp11021 +a(g189 +V +p11022 +tp11023 +a(g344 +V} +tp11024 +a(g189 +V +tp11025 +a(g358 +V// End TxE class\u000a +p11026 +tp11027 +a(g189 +V\u000a +tp11028 +a(g189 +V\u000a +tp11029 +a(g189 +V\u000a +tp11030 +a(g189 +V\u000a +tp11031 +a(g189 +V +p11032 +tp11033 +a(g7 +V/**\u000a * GradientPaint Effect. Burst, split, horizontal and \u000a * vertical gradient fill effects.\u000a */ +p11034 +tp11035 +a(g189 +V\u000a +tp11036 +a(g189 +V +p11037 +tp11038 +a(g131 +Vstatic +p11039 +tp11040 +a(g189 +V +tp11041 +a(g131 +Vclass +p11042 +tp11043 +a(g189 +V +tp11044 +a(g106 +VGpE +p11045 +tp11046 +a(g189 +V +tp11047 +a(g131 +Vimplements +p11048 +tp11049 +a(g189 +V +tp11050 +a(g18 +VPart +p11051 +tp11052 +a(g189 +V +tp11053 +a(g344 +V{ +tp11054 +a(g189 +V\u000a +tp11055 +a(g189 +V\u000a +tp11056 +a(g189 +V +p11057 +tp11058 +a(g131 +Vstatic +p11059 +tp11060 +a(g189 +V +tp11061 +a(g131 +Vfinal +p11062 +tp11063 +a(g189 +V +tp11064 +a(g139 +Vint +p11065 +tp11066 +a(g189 +V +tp11067 +a(g18 +VINC +p11068 +tp11069 +a(g189 +V +tp11070 +a(g344 +V= +tp11071 +a(g189 +V +tp11072 +a(g319 +V1 +tp11073 +a(g344 +V; +tp11074 +a(g189 +V +p11075 +tp11076 +a(g358 +V// increasing\u000a +p11077 +tp11078 +a(g189 +V +p11079 +tp11080 +a(g131 +Vstatic +p11081 +tp11082 +a(g189 +V +tp11083 +a(g131 +Vfinal +p11084 +tp11085 +a(g189 +V +tp11086 +a(g139 +Vint +p11087 +tp11088 +a(g189 +V +tp11089 +a(g18 +VDEC +p11090 +tp11091 +a(g189 +V +tp11092 +a(g344 +V= +tp11093 +a(g189 +V +tp11094 +a(g319 +V2 +tp11095 +a(g344 +V; +tp11096 +a(g189 +V +p11097 +tp11098 +a(g358 +V// decreasing\u000a +p11099 +tp11100 +a(g189 +V +p11101 +tp11102 +a(g131 +Vstatic +p11103 +tp11104 +a(g189 +V +tp11105 +a(g131 +Vfinal +p11106 +tp11107 +a(g189 +V +tp11108 +a(g139 +Vint +p11109 +tp11110 +a(g189 +V +tp11111 +a(g18 +VCNT +p11112 +tp11113 +a(g189 +V +tp11114 +a(g344 +V= +tp11115 +a(g189 +V +tp11116 +a(g319 +V4 +tp11117 +a(g344 +V; +tp11118 +a(g189 +V +p11119 +tp11120 +a(g358 +V// center\u000a +p11121 +tp11122 +a(g189 +V +p11123 +tp11124 +a(g131 +Vstatic +p11125 +tp11126 +a(g189 +V +tp11127 +a(g131 +Vfinal +p11128 +tp11129 +a(g189 +V +tp11130 +a(g139 +Vint +p11131 +tp11132 +a(g189 +V +tp11133 +a(g18 +VWID +p11134 +tp11135 +a(g189 +V +tp11136 +a(g344 +V= +tp11137 +a(g189 +V +tp11138 +a(g319 +V8 +tp11139 +a(g344 +V; +tp11140 +a(g189 +V +p11141 +tp11142 +a(g358 +V// width \u000a +p11143 +tp11144 +a(g189 +V +p11145 +tp11146 +a(g131 +Vstatic +p11147 +tp11148 +a(g189 +V +tp11149 +a(g131 +Vfinal +p11150 +tp11151 +a(g189 +V +tp11152 +a(g139 +Vint +p11153 +tp11154 +a(g189 +V +tp11155 +a(g18 +VWI +p11156 +tp11157 +a(g189 +V +p11158 +tp11159 +a(g344 +V= +tp11160 +a(g189 +V +tp11161 +a(g18 +VWID +p11162 +tp11163 +a(g189 +V +tp11164 +a(g344 +V| +tp11165 +a(g189 +V +tp11166 +a(g18 +VINC +p11167 +tp11168 +a(g344 +V; +tp11169 +a(g189 +V +p11170 +tp11171 +a(g189 +V\u000a +tp11172 +a(g189 +V +p11173 +tp11174 +a(g131 +Vstatic +p11175 +tp11176 +a(g189 +V +tp11177 +a(g131 +Vfinal +p11178 +tp11179 +a(g189 +V +tp11180 +a(g139 +Vint +p11181 +tp11182 +a(g189 +V +tp11183 +a(g18 +VWD +p11184 +tp11185 +a(g189 +V +p11186 +tp11187 +a(g344 +V= +tp11188 +a(g189 +V +tp11189 +a(g18 +VWID +p11190 +tp11191 +a(g189 +V +tp11192 +a(g344 +V| +tp11193 +a(g189 +V +tp11194 +a(g18 +VDEC +p11195 +tp11196 +a(g344 +V; +tp11197 +a(g189 +V +p11198 +tp11199 +a(g189 +V\u000a +tp11200 +a(g189 +V +p11201 +tp11202 +a(g131 +Vstatic +p11203 +tp11204 +a(g189 +V +tp11205 +a(g131 +Vfinal +p11206 +tp11207 +a(g189 +V +tp11208 +a(g139 +Vint +p11209 +tp11210 +a(g189 +V +tp11211 +a(g18 +VHEI +p11212 +tp11213 +a(g189 +V +tp11214 +a(g344 +V= +tp11215 +a(g189 +V +tp11216 +a(g319 +V16 +p11217 +tp11218 +a(g344 +V; +tp11219 +a(g189 +V +p11220 +tp11221 +a(g358 +V// height\u000a +p11222 +tp11223 +a(g189 +V +p11224 +tp11225 +a(g131 +Vstatic +p11226 +tp11227 +a(g189 +V +tp11228 +a(g131 +Vfinal +p11229 +tp11230 +a(g189 +V +tp11231 +a(g139 +Vint +p11232 +tp11233 +a(g189 +V +tp11234 +a(g18 +VHI +p11235 +tp11236 +a(g189 +V +p11237 +tp11238 +a(g344 +V= +tp11239 +a(g189 +V +tp11240 +a(g18 +VHEI +p11241 +tp11242 +a(g189 +V +tp11243 +a(g344 +V| +tp11244 +a(g189 +V +tp11245 +a(g18 +VINC +p11246 +tp11247 +a(g344 +V; +tp11248 +a(g189 +V +p11249 +tp11250 +a(g189 +V\u000a +tp11251 +a(g189 +V +p11252 +tp11253 +a(g131 +Vstatic +p11254 +tp11255 +a(g189 +V +tp11256 +a(g131 +Vfinal +p11257 +tp11258 +a(g189 +V +tp11259 +a(g139 +Vint +p11260 +tp11261 +a(g189 +V +tp11262 +a(g18 +VHD +p11263 +tp11264 +a(g189 +V +p11265 +tp11266 +a(g344 +V= +tp11267 +a(g189 +V +tp11268 +a(g18 +VHEI +p11269 +tp11270 +a(g189 +V +tp11271 +a(g344 +V| +tp11272 +a(g189 +V +tp11273 +a(g18 +VDEC +p11274 +tp11275 +a(g344 +V; +tp11276 +a(g189 +V +p11277 +tp11278 +a(g189 +V\u000a +tp11279 +a(g189 +V +p11280 +tp11281 +a(g131 +Vstatic +p11282 +tp11283 +a(g189 +V +tp11284 +a(g131 +Vfinal +p11285 +tp11286 +a(g189 +V +tp11287 +a(g139 +Vint +p11288 +tp11289 +a(g189 +V +tp11290 +a(g18 +VSPL +p11291 +tp11292 +a(g189 +V +tp11293 +a(g344 +V= +tp11294 +a(g189 +V +tp11295 +a(g319 +V32 +p11296 +tp11297 +a(g189 +V +tp11298 +a(g344 +V| +tp11299 +a(g189 +V +tp11300 +a(g18 +VCNT +p11301 +tp11302 +a(g344 +V; +tp11303 +a(g189 +V +p11304 +tp11305 +a(g358 +V// split \u000a +p11306 +tp11307 +a(g189 +V +p11308 +tp11309 +a(g131 +Vstatic +p11310 +tp11311 +a(g189 +V +tp11312 +a(g131 +Vfinal +p11313 +tp11314 +a(g189 +V +tp11315 +a(g139 +Vint +p11316 +tp11317 +a(g189 +V +tp11318 +a(g18 +VSIW +p11319 +tp11320 +a(g189 +V +tp11321 +a(g344 +V= +tp11322 +a(g189 +V +tp11323 +a(g18 +VSPL +p11324 +tp11325 +a(g189 +V +tp11326 +a(g344 +V| +tp11327 +a(g189 +V +tp11328 +a(g18 +VINC +p11329 +tp11330 +a(g189 +V +tp11331 +a(g344 +V| +tp11332 +a(g189 +V +tp11333 +a(g18 +VWID +p11334 +tp11335 +a(g344 +V; +tp11336 +a(g189 +V\u000a +tp11337 +a(g189 +V +p11338 +tp11339 +a(g131 +Vstatic +p11340 +tp11341 +a(g189 +V +tp11342 +a(g131 +Vfinal +p11343 +tp11344 +a(g189 +V +tp11345 +a(g139 +Vint +p11346 +tp11347 +a(g189 +V +tp11348 +a(g18 +VSDW +p11349 +tp11350 +a(g189 +V +tp11351 +a(g344 +V= +tp11352 +a(g189 +V +tp11353 +a(g18 +VSPL +p11354 +tp11355 +a(g189 +V +tp11356 +a(g344 +V| +tp11357 +a(g189 +V +tp11358 +a(g18 +VDEC +p11359 +tp11360 +a(g189 +V +tp11361 +a(g344 +V| +tp11362 +a(g189 +V +tp11363 +a(g18 +VWID +p11364 +tp11365 +a(g344 +V; +tp11366 +a(g189 +V\u000a +tp11367 +a(g189 +V +p11368 +tp11369 +a(g131 +Vstatic +p11370 +tp11371 +a(g189 +V +tp11372 +a(g131 +Vfinal +p11373 +tp11374 +a(g189 +V +tp11375 +a(g139 +Vint +p11376 +tp11377 +a(g189 +V +tp11378 +a(g18 +VSIH +p11379 +tp11380 +a(g189 +V +tp11381 +a(g344 +V= +tp11382 +a(g189 +V +tp11383 +a(g18 +VSPL +p11384 +tp11385 +a(g189 +V +tp11386 +a(g344 +V| +tp11387 +a(g189 +V +tp11388 +a(g18 +VINC +p11389 +tp11390 +a(g189 +V +tp11391 +a(g344 +V| +tp11392 +a(g189 +V +tp11393 +a(g18 +VHEI +p11394 +tp11395 +a(g344 +V; +tp11396 +a(g189 +V\u000a +tp11397 +a(g189 +V +p11398 +tp11399 +a(g131 +Vstatic +p11400 +tp11401 +a(g189 +V +tp11402 +a(g131 +Vfinal +p11403 +tp11404 +a(g189 +V +tp11405 +a(g139 +Vint +p11406 +tp11407 +a(g189 +V +tp11408 +a(g18 +VSDH +p11409 +tp11410 +a(g189 +V +tp11411 +a(g344 +V= +tp11412 +a(g189 +V +tp11413 +a(g18 +VSPL +p11414 +tp11415 +a(g189 +V +tp11416 +a(g344 +V| +tp11417 +a(g189 +V +tp11418 +a(g18 +VDEC +p11419 +tp11420 +a(g189 +V +tp11421 +a(g344 +V| +tp11422 +a(g189 +V +tp11423 +a(g18 +VHEI +p11424 +tp11425 +a(g344 +V; +tp11426 +a(g189 +V\u000a +tp11427 +a(g189 +V +p11428 +tp11429 +a(g131 +Vstatic +p11430 +tp11431 +a(g189 +V +tp11432 +a(g131 +Vfinal +p11433 +tp11434 +a(g189 +V +tp11435 +a(g139 +Vint +p11436 +tp11437 +a(g189 +V +tp11438 +a(g18 +VBUR +p11439 +tp11440 +a(g189 +V +tp11441 +a(g344 +V= +tp11442 +a(g189 +V +tp11443 +a(g319 +V64 +p11444 +tp11445 +a(g189 +V +tp11446 +a(g344 +V| +tp11447 +a(g189 +V +tp11448 +a(g18 +VCNT +p11449 +tp11450 +a(g344 +V; +tp11451 +a(g189 +V +p11452 +tp11453 +a(g358 +V// burst \u000a +p11454 +tp11455 +a(g189 +V +p11456 +tp11457 +a(g131 +Vstatic +p11458 +tp11459 +a(g189 +V +tp11460 +a(g131 +Vfinal +p11461 +tp11462 +a(g189 +V +tp11463 +a(g139 +Vint +p11464 +tp11465 +a(g189 +V +tp11466 +a(g18 +VBURI +p11467 +tp11468 +a(g189 +V +tp11469 +a(g344 +V= +tp11470 +a(g189 +V +tp11471 +a(g18 +VBUR +p11472 +tp11473 +a(g189 +V +tp11474 +a(g344 +V| +tp11475 +a(g189 +V +tp11476 +a(g18 +VINC +p11477 +tp11478 +a(g344 +V; +tp11479 +a(g189 +V +p11480 +tp11481 +a(g189 +V\u000a +tp11482 +a(g189 +V +p11483 +tp11484 +a(g131 +Vstatic +p11485 +tp11486 +a(g189 +V +tp11487 +a(g131 +Vfinal +p11488 +tp11489 +a(g189 +V +tp11490 +a(g139 +Vint +p11491 +tp11492 +a(g189 +V +tp11493 +a(g18 +VBURD +p11494 +tp11495 +a(g189 +V +tp11496 +a(g344 +V= +tp11497 +a(g189 +V +tp11498 +a(g18 +VBUR +p11499 +tp11500 +a(g189 +V +tp11501 +a(g344 +V| +tp11502 +a(g189 +V +tp11503 +a(g18 +VDEC +p11504 +tp11505 +a(g344 +V; +tp11506 +a(g189 +V +p11507 +tp11508 +a(g189 +V\u000a +tp11509 +a(g189 +V +p11510 +tp11511 +a(g131 +Vstatic +p11512 +tp11513 +a(g189 +V +tp11514 +a(g131 +Vfinal +p11515 +tp11516 +a(g189 +V +tp11517 +a(g139 +Vint +p11518 +tp11519 +a(g189 +V +tp11520 +a(g18 +VNF +p11521 +tp11522 +a(g189 +V +tp11523 +a(g344 +V= +tp11524 +a(g189 +V +tp11525 +a(g319 +V128 +p11526 +tp11527 +a(g344 +V; +tp11528 +a(g189 +V +p11529 +tp11530 +a(g358 +V// no fill\u000a +p11531 +tp11532 +a(g189 +V +p11533 +tp11534 +a(g131 +Vprivate +p11535 +tp11536 +a(g189 +V +tp11537 +a(g18 +VColor +p11538 +tp11539 +a(g189 +V +tp11540 +a(g18 +Vc1 +p11541 +tp11542 +a(g344 +V, +tp11543 +a(g189 +V +tp11544 +a(g18 +Vc2 +p11545 +tp11546 +a(g344 +V; +tp11547 +a(g189 +V\u000a +tp11548 +a(g189 +V +p11549 +tp11550 +a(g131 +Vprivate +p11551 +tp11552 +a(g189 +V +tp11553 +a(g139 +Vint +p11554 +tp11555 +a(g189 +V +tp11556 +a(g18 +Vbeginning +p11557 +tp11558 +a(g344 +V, +tp11559 +a(g189 +V +tp11560 +a(g18 +Vending +p11561 +tp11562 +a(g344 +V; +tp11563 +a(g189 +V\u000a +tp11564 +a(g189 +V +p11565 +tp11566 +a(g131 +Vprivate +p11567 +tp11568 +a(g189 +V +tp11569 +a(g139 +Vfloat +p11570 +tp11571 +a(g189 +V +tp11572 +a(g18 +Vincr +p11573 +tp11574 +a(g344 +V, +tp11575 +a(g189 +V +tp11576 +a(g18 +Vindex +p11577 +tp11578 +a(g344 +V; +tp11579 +a(g189 +V\u000a +tp11580 +a(g189 +V +p11581 +tp11582 +a(g131 +Vprivate +p11583 +tp11584 +a(g189 +V +tp11585 +a(g18 +VVector +p11586 +tp11587 +a(g189 +V +tp11588 +a(g18 +Vrect +p11589 +tp11590 +a(g189 +V +tp11591 +a(g344 +V= +tp11592 +a(g189 +V +tp11593 +a(g111 +Vnew +p11594 +tp11595 +a(g189 +V +tp11596 +a(g18 +VVector +p11597 +tp11598 +a(g344 +V( +tp11599 +a(g344 +V) +tp11600 +a(g344 +V; +tp11601 +a(g189 +V\u000a +tp11602 +a(g189 +V +p11603 +tp11604 +a(g131 +Vprivate +p11605 +tp11606 +a(g189 +V +tp11607 +a(g18 +VVector +p11608 +tp11609 +a(g189 +V +tp11610 +a(g18 +Vgrad +p11611 +tp11612 +a(g189 +V +tp11613 +a(g344 +V= +tp11614 +a(g189 +V +tp11615 +a(g111 +Vnew +p11616 +tp11617 +a(g189 +V +tp11618 +a(g18 +VVector +p11619 +tp11620 +a(g344 +V( +tp11621 +a(g344 +V) +tp11622 +a(g344 +V; +tp11623 +a(g189 +V\u000a +tp11624 +a(g189 +V +p11625 +tp11626 +a(g131 +Vprivate +p11627 +tp11628 +a(g189 +V +tp11629 +a(g139 +Vint +p11630 +tp11631 +a(g189 +V +tp11632 +a(g18 +Vtype +p11633 +tp11634 +a(g344 +V; +tp11635 +a(g189 +V\u000a +tp11636 +a(g189 +V\u000a +tp11637 +a(g189 +V\u000a +tp11638 +a(g189 +V +p11639 +tp11640 +a(g131 +Vpublic +p11641 +tp11642 +a(g189 +V +tp11643 +a(g21 +VGpE +p11644 +tp11645 +a(g344 +V( +tp11646 +a(g139 +Vint +p11647 +tp11648 +a(g189 +V +tp11649 +a(g18 +Vtype +p11650 +tp11651 +a(g344 +V, +tp11652 +a(g189 +V +tp11653 +a(g18 +VColor +p11654 +tp11655 +a(g189 +V +tp11656 +a(g18 +Vc1 +p11657 +tp11658 +a(g344 +V, +tp11659 +a(g189 +V +tp11660 +a(g18 +VColor +p11661 +tp11662 +a(g189 +V +tp11663 +a(g18 +Vc2 +p11664 +tp11665 +a(g344 +V, +tp11666 +a(g189 +V +tp11667 +a(g139 +Vint +p11668 +tp11669 +a(g189 +V +tp11670 +a(g18 +Vbeg +p11671 +tp11672 +a(g344 +V, +tp11673 +a(g189 +V +tp11674 +a(g139 +Vint +p11675 +tp11676 +a(g189 +V +tp11677 +a(g18 +Vend +p11678 +tp11679 +a(g344 +V) +tp11680 +a(g189 +V +tp11681 +a(g344 +V{ +tp11682 +a(g189 +V\u000a +tp11683 +a(g189 +V +p11684 +tp11685 +a(g111 +Vthis +p11686 +tp11687 +a(g344 +V. +tp11688 +a(g41 +Vtype +p11689 +tp11690 +a(g189 +V +tp11691 +a(g344 +V= +tp11692 +a(g189 +V +tp11693 +a(g18 +Vtype +p11694 +tp11695 +a(g344 +V; +tp11696 +a(g189 +V\u000a +tp11697 +a(g189 +V +p11698 +tp11699 +a(g111 +Vthis +p11700 +tp11701 +a(g344 +V. +tp11702 +a(g41 +Vc1 +p11703 +tp11704 +a(g189 +V +tp11705 +a(g344 +V= +tp11706 +a(g189 +V +tp11707 +a(g18 +Vc1 +p11708 +tp11709 +a(g344 +V; +tp11710 +a(g189 +V\u000a +tp11711 +a(g189 +V +p11712 +tp11713 +a(g111 +Vthis +p11714 +tp11715 +a(g344 +V. +tp11716 +a(g41 +Vc2 +p11717 +tp11718 +a(g189 +V +tp11719 +a(g344 +V= +tp11720 +a(g189 +V +tp11721 +a(g18 +Vc2 +p11722 +tp11723 +a(g344 +V; +tp11724 +a(g189 +V\u000a +tp11725 +a(g189 +V +p11726 +tp11727 +a(g111 +Vthis +p11728 +tp11729 +a(g344 +V. +tp11730 +a(g41 +Vbeginning +p11731 +tp11732 +a(g189 +V +tp11733 +a(g344 +V= +tp11734 +a(g189 +V +tp11735 +a(g18 +Vbeg +p11736 +tp11737 +a(g344 +V; +tp11738 +a(g189 +V\u000a +tp11739 +a(g189 +V +p11740 +tp11741 +a(g111 +Vthis +p11742 +tp11743 +a(g344 +V. +tp11744 +a(g41 +Vending +p11745 +tp11746 +a(g189 +V +tp11747 +a(g344 +V= +tp11748 +a(g189 +V +tp11749 +a(g18 +Vend +p11750 +tp11751 +a(g344 +V; +tp11752 +a(g189 +V\u000a +tp11753 +a(g189 +V +p11754 +tp11755 +a(g344 +V} +tp11756 +a(g189 +V\u000a +tp11757 +a(g189 +V\u000a +tp11758 +a(g189 +V\u000a +tp11759 +a(g189 +V +p11760 +tp11761 +a(g131 +Vpublic +p11762 +tp11763 +a(g189 +V +tp11764 +a(g139 +Vvoid +p11765 +tp11766 +a(g189 +V +tp11767 +a(g21 +Vreset +p11768 +tp11769 +a(g344 +V( +tp11770 +a(g139 +Vint +p11771 +tp11772 +a(g189 +V +tp11773 +a(g18 +Vw +tp11774 +a(g344 +V, +tp11775 +a(g189 +V +tp11776 +a(g139 +Vint +p11777 +tp11778 +a(g189 +V +tp11779 +a(g18 +Vh +tp11780 +a(g344 +V) +tp11781 +a(g189 +V +tp11782 +a(g344 +V{ +tp11783 +a(g189 +V\u000a +tp11784 +a(g189 +V +p11785 +tp11786 +a(g18 +Vincr +p11787 +tp11788 +a(g189 +V +tp11789 +a(g344 +V= +tp11790 +a(g189 +V +tp11791 +a(g311 +V1.0f +p11792 +tp11793 +a(g189 +V +tp11794 +a(g344 +V/ +tp11795 +a(g189 +V +tp11796 +a(g344 +V( +tp11797 +a(g18 +Vending +p11798 +tp11799 +a(g189 +V +tp11800 +a(g344 +V- +tp11801 +a(g189 +V +tp11802 +a(g18 +Vbeginning +p11803 +tp11804 +a(g344 +V) +tp11805 +a(g344 +V; +tp11806 +a(g189 +V\u000a +tp11807 +a(g189 +V +p11808 +tp11809 +a(g111 +Vif +p11810 +tp11811 +a(g189 +V +tp11812 +a(g344 +V( +tp11813 +a(g344 +V( +tp11814 +a(g18 +Vtype +p11815 +tp11816 +a(g189 +V +tp11817 +a(g344 +V& +tp11818 +a(g189 +V +tp11819 +a(g18 +VCNT +p11820 +tp11821 +a(g344 +V) +tp11822 +a(g189 +V +tp11823 +a(g344 +V! +tp11824 +a(g344 +V= +tp11825 +a(g189 +V +tp11826 +a(g319 +V0 +tp11827 +a(g344 +V) +tp11828 +a(g189 +V +tp11829 +a(g344 +V{ +tp11830 +a(g189 +V\u000a +tp11831 +a(g189 +V +p11832 +tp11833 +a(g18 +Vincr +p11834 +tp11835 +a(g189 +V +tp11836 +a(g344 +V/ +tp11837 +a(g344 +V= +tp11838 +a(g189 +V +tp11839 +a(g311 +V2.3f +p11840 +tp11841 +a(g344 +V; +tp11842 +a(g189 +V\u000a +tp11843 +a(g189 +V +p11844 +tp11845 +a(g344 +V} +tp11846 +a(g189 +V\u000a +tp11847 +a(g189 +V +p11848 +tp11849 +a(g111 +Vif +p11850 +tp11851 +a(g189 +V +tp11852 +a(g344 +V( +tp11853 +a(g344 +V( +tp11854 +a(g18 +Vtype +p11855 +tp11856 +a(g189 +V +tp11857 +a(g344 +V& +tp11858 +a(g189 +V +tp11859 +a(g18 +VCNT +p11860 +tp11861 +a(g344 +V) +tp11862 +a(g189 +V +tp11863 +a(g344 +V! +tp11864 +a(g344 +V= +tp11865 +a(g189 +V +tp11866 +a(g319 +V0 +tp11867 +a(g189 +V +tp11868 +a(g344 +V& +tp11869 +a(g344 +V& +tp11870 +a(g189 +V +tp11871 +a(g344 +V( +tp11872 +a(g18 +Vtype +p11873 +tp11874 +a(g189 +V +tp11875 +a(g344 +V& +tp11876 +a(g189 +V +tp11877 +a(g18 +VINC +p11878 +tp11879 +a(g344 +V) +tp11880 +a(g189 +V +tp11881 +a(g344 +V! +tp11882 +a(g344 +V= +tp11883 +a(g189 +V +tp11884 +a(g319 +V0 +tp11885 +a(g344 +V) +tp11886 +a(g189 +V +tp11887 +a(g344 +V{ +tp11888 +a(g189 +V\u000a +tp11889 +a(g189 +V +p11890 +tp11891 +a(g18 +Vindex +p11892 +tp11893 +a(g189 +V +tp11894 +a(g344 +V= +tp11895 +a(g189 +V +tp11896 +a(g311 +V0.5f +p11897 +tp11898 +a(g344 +V; +tp11899 +a(g189 +V\u000a +tp11900 +a(g189 +V +p11901 +tp11902 +a(g344 +V} +tp11903 +a(g189 +V +tp11904 +a(g111 +Velse +p11905 +tp11906 +a(g189 +V +tp11907 +a(g111 +Vif +p11908 +tp11909 +a(g189 +V +tp11910 +a(g344 +V( +tp11911 +a(g344 +V( +tp11912 +a(g18 +Vtype +p11913 +tp11914 +a(g189 +V +tp11915 +a(g344 +V& +tp11916 +a(g189 +V +tp11917 +a(g18 +VDEC +p11918 +tp11919 +a(g344 +V) +tp11920 +a(g189 +V +tp11921 +a(g344 +V! +tp11922 +a(g344 +V= +tp11923 +a(g189 +V +tp11924 +a(g319 +V0 +tp11925 +a(g344 +V) +tp11926 +a(g189 +V +tp11927 +a(g344 +V{ +tp11928 +a(g189 +V\u000a +tp11929 +a(g189 +V +p11930 +tp11931 +a(g18 +Vindex +p11932 +tp11933 +a(g189 +V +tp11934 +a(g344 +V= +tp11935 +a(g189 +V +tp11936 +a(g311 +V1.0f +p11937 +tp11938 +a(g344 +V; +tp11939 +a(g189 +V\u000a +tp11940 +a(g189 +V +p11941 +tp11942 +a(g18 +Vincr +p11943 +tp11944 +a(g189 +V +tp11945 +a(g344 +V= +tp11946 +a(g189 +V +tp11947 +a(g344 +V- +tp11948 +a(g18 +Vincr +p11949 +tp11950 +a(g344 +V; +tp11951 +a(g189 +V\u000a +tp11952 +a(g189 +V +p11953 +tp11954 +a(g344 +V} +tp11955 +a(g189 +V +tp11956 +a(g111 +Velse +p11957 +tp11958 +a(g189 +V +tp11959 +a(g344 +V{ +tp11960 +a(g189 +V\u000a +tp11961 +a(g189 +V +p11962 +tp11963 +a(g18 +Vindex +p11964 +tp11965 +a(g189 +V +tp11966 +a(g344 +V= +tp11967 +a(g189 +V +tp11968 +a(g311 +V0.0f +p11969 +tp11970 +a(g344 +V; +tp11971 +a(g189 +V\u000a +tp11972 +a(g189 +V +p11973 +tp11974 +a(g344 +V} +tp11975 +a(g189 +V\u000a +tp11976 +a(g189 +V +p11977 +tp11978 +a(g18 +Vindex +p11979 +tp11980 +a(g189 +V +tp11981 +a(g344 +V+ +tp11982 +a(g344 +V= +tp11983 +a(g189 +V +tp11984 +a(g18 +Vincr +p11985 +tp11986 +a(g344 +V; +tp11987 +a(g189 +V\u000a +tp11988 +a(g189 +V +p11989 +tp11990 +a(g344 +V} +tp11991 +a(g189 +V\u000a +tp11992 +a(g189 +V\u000a +tp11993 +a(g189 +V +p11994 +tp11995 +a(g189 +V\u000a +tp11996 +a(g189 +V +p11997 +tp11998 +a(g131 +Vpublic +p11999 +tp12000 +a(g189 +V +tp12001 +a(g139 +Vvoid +p12002 +tp12003 +a(g189 +V +tp12004 +a(g21 +Vstep +p12005 +tp12006 +a(g344 +V( +tp12007 +a(g139 +Vint +p12008 +tp12009 +a(g189 +V +tp12010 +a(g18 +Vw +tp12011 +a(g344 +V, +tp12012 +a(g189 +V +tp12013 +a(g139 +Vint +p12014 +tp12015 +a(g189 +V +tp12016 +a(g18 +Vh +tp12017 +a(g344 +V) +tp12018 +a(g189 +V +tp12019 +a(g344 +V{ +tp12020 +a(g189 +V\u000a +tp12021 +a(g189 +V +p12022 +tp12023 +a(g18 +Vrect +p12024 +tp12025 +a(g344 +V. +tp12026 +a(g41 +Vclear +p12027 +tp12028 +a(g344 +V( +tp12029 +a(g344 +V) +tp12030 +a(g344 +V; +tp12031 +a(g189 +V\u000a +tp12032 +a(g189 +V +p12033 +tp12034 +a(g18 +Vgrad +p12035 +tp12036 +a(g344 +V. +tp12037 +a(g41 +Vclear +p12038 +tp12039 +a(g344 +V( +tp12040 +a(g344 +V) +tp12041 +a(g344 +V; +tp12042 +a(g189 +V\u000a +tp12043 +a(g189 +V\u000a +tp12044 +a(g189 +V +p12045 +tp12046 +a(g111 +Vif +p12047 +tp12048 +a(g189 +V +tp12049 +a(g344 +V( +tp12050 +a(g344 +V( +tp12051 +a(g18 +Vtype +p12052 +tp12053 +a(g189 +V +tp12054 +a(g344 +V& +tp12055 +a(g189 +V +tp12056 +a(g18 +VWID +p12057 +tp12058 +a(g344 +V) +tp12059 +a(g189 +V +tp12060 +a(g344 +V! +tp12061 +a(g344 +V= +tp12062 +a(g189 +V +tp12063 +a(g319 +V0 +tp12064 +a(g344 +V) +tp12065 +a(g189 +V +tp12066 +a(g344 +V{ +tp12067 +a(g189 +V\u000a +tp12068 +a(g189 +V +p12069 +tp12070 +a(g139 +Vfloat +p12071 +tp12072 +a(g189 +V +tp12073 +a(g18 +Vw2 +p12074 +tp12075 +a(g189 +V +tp12076 +a(g344 +V= +tp12077 +a(g189 +V +tp12078 +a(g319 +V0 +tp12079 +a(g344 +V, +tp12080 +a(g189 +V +tp12081 +a(g18 +Vx1 +p12082 +tp12083 +a(g189 +V +tp12084 +a(g344 +V= +tp12085 +a(g189 +V +tp12086 +a(g319 +V0 +tp12087 +a(g344 +V, +tp12088 +a(g189 +V +tp12089 +a(g18 +Vx2 +p12090 +tp12091 +a(g189 +V +tp12092 +a(g344 +V= +tp12093 +a(g189 +V +tp12094 +a(g319 +V0 +tp12095 +a(g344 +V; +tp12096 +a(g189 +V\u000a +tp12097 +a(g189 +V +p12098 +tp12099 +a(g111 +Vif +p12100 +tp12101 +a(g189 +V +tp12102 +a(g344 +V( +tp12103 +a(g344 +V( +tp12104 +a(g18 +Vtype +p12105 +tp12106 +a(g189 +V +tp12107 +a(g344 +V& +tp12108 +a(g189 +V +tp12109 +a(g18 +VSPL +p12110 +tp12111 +a(g344 +V) +tp12112 +a(g189 +V +tp12113 +a(g344 +V! +tp12114 +a(g344 +V= +tp12115 +a(g189 +V +tp12116 +a(g319 +V0 +tp12117 +a(g344 +V) +tp12118 +a(g189 +V +tp12119 +a(g344 +V{ +tp12120 +a(g189 +V\u000a +tp12121 +a(g189 +V +p12122 +tp12123 +a(g18 +Vw2 +p12124 +tp12125 +a(g189 +V +tp12126 +a(g344 +V= +tp12127 +a(g189 +V +tp12128 +a(g18 +Vw +tp12129 +a(g189 +V +tp12130 +a(g344 +V* +tp12131 +a(g189 +V +tp12132 +a(g311 +V0.5f +p12133 +tp12134 +a(g344 +V; +tp12135 +a(g189 +V\u000a +tp12136 +a(g189 +V +p12137 +tp12138 +a(g18 +Vx1 +p12139 +tp12140 +a(g189 +V +tp12141 +a(g344 +V= +tp12142 +a(g189 +V +tp12143 +a(g18 +Vw +tp12144 +a(g189 +V +tp12145 +a(g344 +V* +tp12146 +a(g189 +V +tp12147 +a(g344 +V( +tp12148 +a(g311 +V1.0f +p12149 +tp12150 +a(g189 +V +tp12151 +a(g344 +V- +tp12152 +a(g189 +V +tp12153 +a(g18 +Vindex +p12154 +tp12155 +a(g344 +V) +tp12156 +a(g344 +V; +tp12157 +a(g189 +V\u000a +tp12158 +a(g189 +V +p12159 +tp12160 +a(g18 +Vx2 +p12161 +tp12162 +a(g189 +V +tp12163 +a(g344 +V= +tp12164 +a(g189 +V +tp12165 +a(g18 +Vw +tp12166 +a(g189 +V +tp12167 +a(g344 +V* +tp12168 +a(g189 +V +tp12169 +a(g18 +Vindex +p12170 +tp12171 +a(g344 +V; +tp12172 +a(g189 +V\u000a +tp12173 +a(g189 +V +p12174 +tp12175 +a(g344 +V} +tp12176 +a(g189 +V +tp12177 +a(g111 +Velse +p12178 +tp12179 +a(g189 +V +tp12180 +a(g344 +V{ +tp12181 +a(g189 +V\u000a +tp12182 +a(g189 +V +p12183 +tp12184 +a(g18 +Vw2 +p12185 +tp12186 +a(g189 +V +tp12187 +a(g344 +V= +tp12188 +a(g189 +V +tp12189 +a(g18 +Vw +tp12190 +a(g189 +V +tp12191 +a(g344 +V* +tp12192 +a(g189 +V +tp12193 +a(g18 +Vindex +p12194 +tp12195 +a(g344 +V; +tp12196 +a(g189 +V\u000a +tp12197 +a(g189 +V +p12198 +tp12199 +a(g18 +Vx1 +p12200 +tp12201 +a(g189 +V +tp12202 +a(g344 +V= +tp12203 +a(g189 +V +tp12204 +a(g18 +Vx2 +p12205 +tp12206 +a(g189 +V +tp12207 +a(g344 +V= +tp12208 +a(g189 +V +tp12209 +a(g18 +Vw2 +p12210 +tp12211 +a(g344 +V; +tp12212 +a(g189 +V\u000a +tp12213 +a(g189 +V +p12214 +tp12215 +a(g344 +V} +tp12216 +a(g189 +V\u000a +tp12217 +a(g189 +V +p12218 +tp12219 +a(g18 +Vrect +p12220 +tp12221 +a(g344 +V. +tp12222 +a(g41 +VaddElement +p12223 +tp12224 +a(g344 +V( +tp12225 +a(g111 +Vnew +p12226 +tp12227 +a(g189 +V +tp12228 +a(g18 +VRectangle2D +p12229 +tp12230 +a(g344 +V. +tp12231 +a(g41 +VFloat +p12232 +tp12233 +a(g344 +V( +tp12234 +a(g319 +V0 +tp12235 +a(g344 +V, +tp12236 +a(g189 +V +tp12237 +a(g319 +V0 +tp12238 +a(g344 +V, +tp12239 +a(g189 +V +tp12240 +a(g18 +Vw2 +p12241 +tp12242 +a(g344 +V, +tp12243 +a(g189 +V +tp12244 +a(g18 +Vh +tp12245 +a(g344 +V) +tp12246 +a(g344 +V) +tp12247 +a(g344 +V; +tp12248 +a(g189 +V\u000a +tp12249 +a(g189 +V +p12250 +tp12251 +a(g18 +Vrect +p12252 +tp12253 +a(g344 +V. +tp12254 +a(g41 +VaddElement +p12255 +tp12256 +a(g344 +V( +tp12257 +a(g111 +Vnew +p12258 +tp12259 +a(g189 +V +tp12260 +a(g18 +VRectangle2D +p12261 +tp12262 +a(g344 +V. +tp12263 +a(g41 +VFloat +p12264 +tp12265 +a(g344 +V( +tp12266 +a(g18 +Vw2 +p12267 +tp12268 +a(g344 +V, +tp12269 +a(g189 +V +tp12270 +a(g319 +V0 +tp12271 +a(g344 +V, +tp12272 +a(g189 +V +tp12273 +a(g18 +Vw +tp12274 +a(g344 +V- +tp12275 +a(g18 +Vw2 +p12276 +tp12277 +a(g344 +V, +tp12278 +a(g189 +V +tp12279 +a(g18 +Vh +tp12280 +a(g344 +V) +tp12281 +a(g344 +V) +tp12282 +a(g344 +V; +tp12283 +a(g189 +V\u000a +tp12284 +a(g189 +V +p12285 +tp12286 +a(g18 +Vgrad +p12287 +tp12288 +a(g344 +V. +tp12289 +a(g41 +VaddElement +p12290 +tp12291 +a(g344 +V( +tp12292 +a(g111 +Vnew +p12293 +tp12294 +a(g189 +V +tp12295 +a(g18 +VGradientPaint +p12296 +tp12297 +a(g344 +V( +tp12298 +a(g319 +V0 +tp12299 +a(g344 +V, +tp12300 +a(g319 +V0 +tp12301 +a(g344 +V, +tp12302 +a(g18 +Vc1 +p12303 +tp12304 +a(g344 +V, +tp12305 +a(g18 +Vx1 +p12306 +tp12307 +a(g344 +V, +tp12308 +a(g319 +V0 +tp12309 +a(g344 +V, +tp12310 +a(g18 +Vc2 +p12311 +tp12312 +a(g344 +V) +tp12313 +a(g344 +V) +tp12314 +a(g344 +V; +tp12315 +a(g189 +V\u000a +tp12316 +a(g189 +V +p12317 +tp12318 +a(g18 +Vgrad +p12319 +tp12320 +a(g344 +V. +tp12321 +a(g41 +VaddElement +p12322 +tp12323 +a(g344 +V( +tp12324 +a(g111 +Vnew +p12325 +tp12326 +a(g189 +V +tp12327 +a(g18 +VGradientPaint +p12328 +tp12329 +a(g344 +V( +tp12330 +a(g18 +Vx2 +p12331 +tp12332 +a(g344 +V, +tp12333 +a(g319 +V0 +tp12334 +a(g344 +V, +tp12335 +a(g18 +Vc2 +p12336 +tp12337 +a(g344 +V, +tp12338 +a(g18 +Vw +tp12339 +a(g344 +V, +tp12340 +a(g319 +V0 +tp12341 +a(g344 +V, +tp12342 +a(g18 +Vc1 +p12343 +tp12344 +a(g344 +V) +tp12345 +a(g344 +V) +tp12346 +a(g344 +V; +tp12347 +a(g189 +V\u000a +tp12348 +a(g189 +V +p12349 +tp12350 +a(g344 +V} +tp12351 +a(g189 +V +tp12352 +a(g111 +Velse +p12353 +tp12354 +a(g189 +V +tp12355 +a(g111 +Vif +p12356 +tp12357 +a(g189 +V +tp12358 +a(g344 +V( +tp12359 +a(g344 +V( +tp12360 +a(g18 +Vtype +p12361 +tp12362 +a(g189 +V +tp12363 +a(g344 +V& +tp12364 +a(g189 +V +tp12365 +a(g18 +VHEI +p12366 +tp12367 +a(g344 +V) +tp12368 +a(g189 +V +tp12369 +a(g344 +V! +tp12370 +a(g344 +V= +tp12371 +a(g189 +V +tp12372 +a(g319 +V0 +tp12373 +a(g344 +V) +tp12374 +a(g189 +V +tp12375 +a(g344 +V{ +tp12376 +a(g189 +V\u000a +tp12377 +a(g189 +V +p12378 +tp12379 +a(g139 +Vfloat +p12380 +tp12381 +a(g189 +V +tp12382 +a(g18 +Vh2 +p12383 +tp12384 +a(g189 +V +tp12385 +a(g344 +V= +tp12386 +a(g189 +V +tp12387 +a(g319 +V0 +tp12388 +a(g344 +V, +tp12389 +a(g189 +V +tp12390 +a(g18 +Vy1 +p12391 +tp12392 +a(g189 +V +tp12393 +a(g344 +V= +tp12394 +a(g189 +V +tp12395 +a(g319 +V0 +tp12396 +a(g344 +V, +tp12397 +a(g189 +V +tp12398 +a(g18 +Vy2 +p12399 +tp12400 +a(g189 +V +tp12401 +a(g344 +V= +tp12402 +a(g189 +V +tp12403 +a(g319 +V0 +tp12404 +a(g344 +V; +tp12405 +a(g189 +V\u000a +tp12406 +a(g189 +V +p12407 +tp12408 +a(g111 +Vif +p12409 +tp12410 +a(g189 +V +tp12411 +a(g344 +V( +tp12412 +a(g344 +V( +tp12413 +a(g18 +Vtype +p12414 +tp12415 +a(g189 +V +tp12416 +a(g344 +V& +tp12417 +a(g189 +V +tp12418 +a(g18 +VSPL +p12419 +tp12420 +a(g344 +V) +tp12421 +a(g189 +V +tp12422 +a(g344 +V! +tp12423 +a(g344 +V= +tp12424 +a(g189 +V +tp12425 +a(g319 +V0 +tp12426 +a(g344 +V) +tp12427 +a(g189 +V +tp12428 +a(g344 +V{ +tp12429 +a(g189 +V\u000a +tp12430 +a(g189 +V +p12431 +tp12432 +a(g18 +Vh2 +p12433 +tp12434 +a(g189 +V +tp12435 +a(g344 +V= +tp12436 +a(g189 +V +tp12437 +a(g18 +Vh +tp12438 +a(g189 +V +tp12439 +a(g344 +V* +tp12440 +a(g189 +V +tp12441 +a(g311 +V0.5f +p12442 +tp12443 +a(g344 +V; +tp12444 +a(g189 +V\u000a +tp12445 +a(g189 +V +p12446 +tp12447 +a(g18 +Vy1 +p12448 +tp12449 +a(g189 +V +tp12450 +a(g344 +V= +tp12451 +a(g189 +V +tp12452 +a(g18 +Vh +tp12453 +a(g189 +V +tp12454 +a(g344 +V* +tp12455 +a(g189 +V +tp12456 +a(g344 +V( +tp12457 +a(g311 +V1.0f +p12458 +tp12459 +a(g189 +V +tp12460 +a(g344 +V- +tp12461 +a(g189 +V +tp12462 +a(g18 +Vindex +p12463 +tp12464 +a(g344 +V) +tp12465 +a(g344 +V; +tp12466 +a(g189 +V\u000a +tp12467 +a(g189 +V +p12468 +tp12469 +a(g18 +Vy2 +p12470 +tp12471 +a(g189 +V +tp12472 +a(g344 +V= +tp12473 +a(g189 +V +tp12474 +a(g18 +Vh +tp12475 +a(g189 +V +tp12476 +a(g344 +V* +tp12477 +a(g189 +V +tp12478 +a(g18 +Vindex +p12479 +tp12480 +a(g344 +V; +tp12481 +a(g189 +V\u000a +tp12482 +a(g189 +V +p12483 +tp12484 +a(g344 +V} +tp12485 +a(g189 +V +tp12486 +a(g111 +Velse +p12487 +tp12488 +a(g189 +V +tp12489 +a(g344 +V{ +tp12490 +a(g189 +V\u000a +tp12491 +a(g189 +V +p12492 +tp12493 +a(g18 +Vh2 +p12494 +tp12495 +a(g189 +V +tp12496 +a(g344 +V= +tp12497 +a(g189 +V +tp12498 +a(g18 +Vh +tp12499 +a(g189 +V +tp12500 +a(g344 +V* +tp12501 +a(g189 +V +tp12502 +a(g18 +Vindex +p12503 +tp12504 +a(g344 +V; +tp12505 +a(g189 +V\u000a +tp12506 +a(g189 +V +p12507 +tp12508 +a(g18 +Vy1 +p12509 +tp12510 +a(g189 +V +tp12511 +a(g344 +V= +tp12512 +a(g189 +V +tp12513 +a(g18 +Vy2 +p12514 +tp12515 +a(g189 +V +tp12516 +a(g344 +V= +tp12517 +a(g189 +V +tp12518 +a(g18 +Vh2 +p12519 +tp12520 +a(g344 +V; +tp12521 +a(g189 +V\u000a +tp12522 +a(g189 +V +p12523 +tp12524 +a(g344 +V} +tp12525 +a(g189 +V\u000a +tp12526 +a(g189 +V +p12527 +tp12528 +a(g18 +Vrect +p12529 +tp12530 +a(g344 +V. +tp12531 +a(g41 +VaddElement +p12532 +tp12533 +a(g344 +V( +tp12534 +a(g111 +Vnew +p12535 +tp12536 +a(g189 +V +tp12537 +a(g18 +VRectangle2D +p12538 +tp12539 +a(g344 +V. +tp12540 +a(g41 +VFloat +p12541 +tp12542 +a(g344 +V( +tp12543 +a(g319 +V0 +tp12544 +a(g344 +V, +tp12545 +a(g189 +V +tp12546 +a(g319 +V0 +tp12547 +a(g344 +V, +tp12548 +a(g189 +V +tp12549 +a(g18 +Vw +tp12550 +a(g344 +V, +tp12551 +a(g189 +V +tp12552 +a(g18 +Vh2 +p12553 +tp12554 +a(g344 +V) +tp12555 +a(g344 +V) +tp12556 +a(g344 +V; +tp12557 +a(g189 +V\u000a +tp12558 +a(g189 +V +p12559 +tp12560 +a(g18 +Vrect +p12561 +tp12562 +a(g344 +V. +tp12563 +a(g41 +VaddElement +p12564 +tp12565 +a(g344 +V( +tp12566 +a(g111 +Vnew +p12567 +tp12568 +a(g189 +V +tp12569 +a(g18 +VRectangle2D +p12570 +tp12571 +a(g344 +V. +tp12572 +a(g41 +VFloat +p12573 +tp12574 +a(g344 +V( +tp12575 +a(g319 +V0 +tp12576 +a(g344 +V, +tp12577 +a(g189 +V +tp12578 +a(g18 +Vh2 +p12579 +tp12580 +a(g344 +V, +tp12581 +a(g189 +V +tp12582 +a(g18 +Vw +tp12583 +a(g344 +V, +tp12584 +a(g189 +V +tp12585 +a(g18 +Vh +tp12586 +a(g344 +V- +tp12587 +a(g18 +Vh2 +p12588 +tp12589 +a(g344 +V) +tp12590 +a(g344 +V) +tp12591 +a(g344 +V; +tp12592 +a(g189 +V\u000a +tp12593 +a(g189 +V +p12594 +tp12595 +a(g18 +Vgrad +p12596 +tp12597 +a(g344 +V. +tp12598 +a(g41 +VaddElement +p12599 +tp12600 +a(g344 +V( +tp12601 +a(g111 +Vnew +p12602 +tp12603 +a(g189 +V +tp12604 +a(g18 +VGradientPaint +p12605 +tp12606 +a(g344 +V( +tp12607 +a(g319 +V0 +tp12608 +a(g344 +V, +tp12609 +a(g319 +V0 +tp12610 +a(g344 +V, +tp12611 +a(g18 +Vc1 +p12612 +tp12613 +a(g344 +V, +tp12614 +a(g319 +V0 +tp12615 +a(g344 +V, +tp12616 +a(g18 +Vy1 +p12617 +tp12618 +a(g344 +V, +tp12619 +a(g18 +Vc2 +p12620 +tp12621 +a(g344 +V) +tp12622 +a(g344 +V) +tp12623 +a(g344 +V; +tp12624 +a(g189 +V\u000a +tp12625 +a(g189 +V +p12626 +tp12627 +a(g18 +Vgrad +p12628 +tp12629 +a(g344 +V. +tp12630 +a(g41 +VaddElement +p12631 +tp12632 +a(g344 +V( +tp12633 +a(g111 +Vnew +p12634 +tp12635 +a(g189 +V +tp12636 +a(g18 +VGradientPaint +p12637 +tp12638 +a(g344 +V( +tp12639 +a(g319 +V0 +tp12640 +a(g344 +V, +tp12641 +a(g18 +Vy2 +p12642 +tp12643 +a(g344 +V, +tp12644 +a(g18 +Vc2 +p12645 +tp12646 +a(g344 +V, +tp12647 +a(g319 +V0 +tp12648 +a(g344 +V, +tp12649 +a(g18 +Vh +tp12650 +a(g344 +V, +tp12651 +a(g18 +Vc1 +p12652 +tp12653 +a(g344 +V) +tp12654 +a(g344 +V) +tp12655 +a(g344 +V; +tp12656 +a(g189 +V\u000a +tp12657 +a(g189 +V +p12658 +tp12659 +a(g344 +V} +tp12660 +a(g189 +V +tp12661 +a(g111 +Velse +p12662 +tp12663 +a(g189 +V +tp12664 +a(g111 +Vif +p12665 +tp12666 +a(g189 +V +tp12667 +a(g344 +V( +tp12668 +a(g344 +V( +tp12669 +a(g18 +Vtype +p12670 +tp12671 +a(g189 +V +tp12672 +a(g344 +V& +tp12673 +a(g189 +V +tp12674 +a(g18 +VBUR +p12675 +tp12676 +a(g344 +V) +tp12677 +a(g189 +V +tp12678 +a(g344 +V! +tp12679 +a(g344 +V= +tp12680 +a(g189 +V +tp12681 +a(g319 +V0 +tp12682 +a(g344 +V) +tp12683 +a(g189 +V +tp12684 +a(g344 +V{ +tp12685 +a(g189 +V\u000a +tp12686 +a(g189 +V\u000a +tp12687 +a(g189 +V +p12688 +tp12689 +a(g139 +Vfloat +p12690 +tp12691 +a(g189 +V +tp12692 +a(g18 +Vw2 +p12693 +tp12694 +a(g189 +V +tp12695 +a(g344 +V= +tp12696 +a(g189 +V +tp12697 +a(g18 +Vw +tp12698 +a(g344 +V/ +tp12699 +a(g319 +V2 +tp12700 +a(g344 +V; +tp12701 +a(g189 +V\u000a +tp12702 +a(g189 +V +p12703 +tp12704 +a(g139 +Vfloat +p12705 +tp12706 +a(g189 +V +tp12707 +a(g18 +Vh2 +p12708 +tp12709 +a(g189 +V +tp12710 +a(g344 +V= +tp12711 +a(g189 +V +tp12712 +a(g18 +Vh +tp12713 +a(g344 +V/ +tp12714 +a(g319 +V2 +tp12715 +a(g344 +V; +tp12716 +a(g189 +V\u000a +tp12717 +a(g189 +V\u000a +tp12718 +a(g189 +V +p12719 +tp12720 +a(g18 +Vrect +p12721 +tp12722 +a(g344 +V. +tp12723 +a(g41 +VaddElement +p12724 +tp12725 +a(g344 +V( +tp12726 +a(g111 +Vnew +p12727 +tp12728 +a(g189 +V +tp12729 +a(g18 +VRectangle2D +p12730 +tp12731 +a(g344 +V. +tp12732 +a(g41 +VFloat +p12733 +tp12734 +a(g344 +V( +tp12735 +a(g319 +V0 +tp12736 +a(g344 +V, +tp12737 +a(g189 +V +tp12738 +a(g319 +V0 +tp12739 +a(g344 +V, +tp12740 +a(g189 +V +tp12741 +a(g18 +Vw2 +p12742 +tp12743 +a(g344 +V, +tp12744 +a(g189 +V +tp12745 +a(g18 +Vh2 +p12746 +tp12747 +a(g344 +V) +tp12748 +a(g344 +V) +tp12749 +a(g344 +V; +tp12750 +a(g189 +V\u000a +tp12751 +a(g189 +V +p12752 +tp12753 +a(g18 +Vrect +p12754 +tp12755 +a(g344 +V. +tp12756 +a(g41 +VaddElement +p12757 +tp12758 +a(g344 +V( +tp12759 +a(g111 +Vnew +p12760 +tp12761 +a(g189 +V +tp12762 +a(g18 +VRectangle2D +p12763 +tp12764 +a(g344 +V. +tp12765 +a(g41 +VFloat +p12766 +tp12767 +a(g344 +V( +tp12768 +a(g18 +Vw2 +p12769 +tp12770 +a(g344 +V, +tp12771 +a(g189 +V +tp12772 +a(g319 +V0 +tp12773 +a(g344 +V, +tp12774 +a(g189 +V +tp12775 +a(g18 +Vw2 +p12776 +tp12777 +a(g344 +V, +tp12778 +a(g189 +V +tp12779 +a(g18 +Vh2 +p12780 +tp12781 +a(g344 +V) +tp12782 +a(g344 +V) +tp12783 +a(g344 +V; +tp12784 +a(g189 +V\u000a +tp12785 +a(g189 +V +p12786 +tp12787 +a(g18 +Vrect +p12788 +tp12789 +a(g344 +V. +tp12790 +a(g41 +VaddElement +p12791 +tp12792 +a(g344 +V( +tp12793 +a(g111 +Vnew +p12794 +tp12795 +a(g189 +V +tp12796 +a(g18 +VRectangle2D +p12797 +tp12798 +a(g344 +V. +tp12799 +a(g41 +VFloat +p12800 +tp12801 +a(g344 +V( +tp12802 +a(g319 +V0 +tp12803 +a(g344 +V, +tp12804 +a(g189 +V +tp12805 +a(g18 +Vh2 +p12806 +tp12807 +a(g344 +V, +tp12808 +a(g189 +V +tp12809 +a(g18 +Vw2 +p12810 +tp12811 +a(g344 +V, +tp12812 +a(g189 +V +tp12813 +a(g18 +Vh2 +p12814 +tp12815 +a(g344 +V) +tp12816 +a(g344 +V) +tp12817 +a(g344 +V; +tp12818 +a(g189 +V\u000a +tp12819 +a(g189 +V +p12820 +tp12821 +a(g18 +Vrect +p12822 +tp12823 +a(g344 +V. +tp12824 +a(g41 +VaddElement +p12825 +tp12826 +a(g344 +V( +tp12827 +a(g111 +Vnew +p12828 +tp12829 +a(g189 +V +tp12830 +a(g18 +VRectangle2D +p12831 +tp12832 +a(g344 +V. +tp12833 +a(g41 +VFloat +p12834 +tp12835 +a(g344 +V( +tp12836 +a(g18 +Vw2 +p12837 +tp12838 +a(g344 +V, +tp12839 +a(g189 +V +tp12840 +a(g18 +Vh2 +p12841 +tp12842 +a(g344 +V, +tp12843 +a(g189 +V +tp12844 +a(g18 +Vw2 +p12845 +tp12846 +a(g344 +V, +tp12847 +a(g189 +V +tp12848 +a(g18 +Vh2 +p12849 +tp12850 +a(g344 +V) +tp12851 +a(g344 +V) +tp12852 +a(g344 +V; +tp12853 +a(g189 +V\u000a +tp12854 +a(g189 +V\u000a +tp12855 +a(g189 +V +p12856 +tp12857 +a(g139 +Vfloat +p12858 +tp12859 +a(g189 +V +tp12860 +a(g18 +Vx1 +p12861 +tp12862 +a(g189 +V +tp12863 +a(g344 +V= +tp12864 +a(g189 +V +tp12865 +a(g18 +Vw +tp12866 +a(g189 +V +tp12867 +a(g344 +V* +tp12868 +a(g189 +V +tp12869 +a(g344 +V( +tp12870 +a(g311 +V1.0f +p12871 +tp12872 +a(g189 +V +tp12873 +a(g344 +V- +tp12874 +a(g189 +V +tp12875 +a(g18 +Vindex +p12876 +tp12877 +a(g344 +V) +tp12878 +a(g344 +V; +tp12879 +a(g189 +V\u000a +tp12880 +a(g189 +V +p12881 +tp12882 +a(g139 +Vfloat +p12883 +tp12884 +a(g189 +V +tp12885 +a(g18 +Vx2 +p12886 +tp12887 +a(g189 +V +tp12888 +a(g344 +V= +tp12889 +a(g189 +V +tp12890 +a(g18 +Vw +tp12891 +a(g189 +V +tp12892 +a(g344 +V* +tp12893 +a(g189 +V +tp12894 +a(g18 +Vindex +p12895 +tp12896 +a(g344 +V; +tp12897 +a(g189 +V\u000a +tp12898 +a(g189 +V +p12899 +tp12900 +a(g139 +Vfloat +p12901 +tp12902 +a(g189 +V +tp12903 +a(g18 +Vy1 +p12904 +tp12905 +a(g189 +V +tp12906 +a(g344 +V= +tp12907 +a(g189 +V +tp12908 +a(g18 +Vh +tp12909 +a(g189 +V +tp12910 +a(g344 +V* +tp12911 +a(g189 +V +tp12912 +a(g344 +V( +tp12913 +a(g311 +V1.0f +p12914 +tp12915 +a(g189 +V +tp12916 +a(g344 +V- +tp12917 +a(g189 +V +tp12918 +a(g18 +Vindex +p12919 +tp12920 +a(g344 +V) +tp12921 +a(g344 +V; +tp12922 +a(g189 +V\u000a +tp12923 +a(g189 +V +p12924 +tp12925 +a(g139 +Vfloat +p12926 +tp12927 +a(g189 +V +tp12928 +a(g18 +Vy2 +p12929 +tp12930 +a(g189 +V +tp12931 +a(g344 +V= +tp12932 +a(g189 +V +tp12933 +a(g18 +Vh +tp12934 +a(g189 +V +tp12935 +a(g344 +V* +tp12936 +a(g189 +V +tp12937 +a(g18 +Vindex +p12938 +tp12939 +a(g344 +V; +tp12940 +a(g189 +V\u000a +tp12941 +a(g189 +V\u000a +tp12942 +a(g189 +V +p12943 +tp12944 +a(g18 +Vgrad +p12945 +tp12946 +a(g344 +V. +tp12947 +a(g41 +VaddElement +p12948 +tp12949 +a(g344 +V( +tp12950 +a(g111 +Vnew +p12951 +tp12952 +a(g189 +V +tp12953 +a(g18 +VGradientPaint +p12954 +tp12955 +a(g344 +V( +tp12956 +a(g319 +V0 +tp12957 +a(g344 +V, +tp12958 +a(g319 +V0 +tp12959 +a(g344 +V, +tp12960 +a(g18 +Vc1 +p12961 +tp12962 +a(g344 +V, +tp12963 +a(g18 +Vx1 +p12964 +tp12965 +a(g344 +V, +tp12966 +a(g18 +Vy1 +p12967 +tp12968 +a(g344 +V, +tp12969 +a(g18 +Vc2 +p12970 +tp12971 +a(g344 +V) +tp12972 +a(g344 +V) +tp12973 +a(g344 +V; +tp12974 +a(g189 +V\u000a +tp12975 +a(g189 +V +p12976 +tp12977 +a(g18 +Vgrad +p12978 +tp12979 +a(g344 +V. +tp12980 +a(g41 +VaddElement +p12981 +tp12982 +a(g344 +V( +tp12983 +a(g111 +Vnew +p12984 +tp12985 +a(g189 +V +tp12986 +a(g18 +VGradientPaint +p12987 +tp12988 +a(g344 +V( +tp12989 +a(g18 +Vw +tp12990 +a(g344 +V, +tp12991 +a(g319 +V0 +tp12992 +a(g344 +V, +tp12993 +a(g18 +Vc1 +p12994 +tp12995 +a(g344 +V, +tp12996 +a(g18 +Vx2 +p12997 +tp12998 +a(g344 +V, +tp12999 +a(g18 +Vy1 +p13000 +tp13001 +a(g344 +V, +tp13002 +a(g18 +Vc2 +p13003 +tp13004 +a(g344 +V) +tp13005 +a(g344 +V) +tp13006 +a(g344 +V; +tp13007 +a(g189 +V\u000a +tp13008 +a(g189 +V +p13009 +tp13010 +a(g18 +Vgrad +p13011 +tp13012 +a(g344 +V. +tp13013 +a(g41 +VaddElement +p13014 +tp13015 +a(g344 +V( +tp13016 +a(g111 +Vnew +p13017 +tp13018 +a(g189 +V +tp13019 +a(g18 +VGradientPaint +p13020 +tp13021 +a(g344 +V( +tp13022 +a(g319 +V0 +tp13023 +a(g344 +V, +tp13024 +a(g18 +Vh +tp13025 +a(g344 +V, +tp13026 +a(g18 +Vc1 +p13027 +tp13028 +a(g344 +V, +tp13029 +a(g18 +Vx1 +p13030 +tp13031 +a(g344 +V, +tp13032 +a(g18 +Vy2 +p13033 +tp13034 +a(g344 +V, +tp13035 +a(g18 +Vc2 +p13036 +tp13037 +a(g344 +V) +tp13038 +a(g344 +V) +tp13039 +a(g344 +V; +tp13040 +a(g189 +V\u000a +tp13041 +a(g189 +V +p13042 +tp13043 +a(g18 +Vgrad +p13044 +tp13045 +a(g344 +V. +tp13046 +a(g41 +VaddElement +p13047 +tp13048 +a(g344 +V( +tp13049 +a(g111 +Vnew +p13050 +tp13051 +a(g189 +V +tp13052 +a(g18 +VGradientPaint +p13053 +tp13054 +a(g344 +V( +tp13055 +a(g18 +Vw +tp13056 +a(g344 +V, +tp13057 +a(g18 +Vh +tp13058 +a(g344 +V, +tp13059 +a(g18 +Vc1 +p13060 +tp13061 +a(g344 +V, +tp13062 +a(g18 +Vx2 +p13063 +tp13064 +a(g344 +V, +tp13065 +a(g18 +Vy2 +p13066 +tp13067 +a(g344 +V, +tp13068 +a(g18 +Vc2 +p13069 +tp13070 +a(g344 +V) +tp13071 +a(g344 +V) +tp13072 +a(g344 +V; +tp13073 +a(g189 +V\u000a +tp13074 +a(g189 +V +p13075 +tp13076 +a(g344 +V} +tp13077 +a(g189 +V +tp13078 +a(g111 +Velse +p13079 +tp13080 +a(g189 +V +tp13081 +a(g111 +Vif +p13082 +tp13083 +a(g189 +V +tp13084 +a(g344 +V( +tp13085 +a(g344 +V( +tp13086 +a(g18 +Vtype +p13087 +tp13088 +a(g189 +V +tp13089 +a(g344 +V& +tp13090 +a(g189 +V +tp13091 +a(g18 +VNF +p13092 +tp13093 +a(g344 +V) +tp13094 +a(g189 +V +tp13095 +a(g344 +V! +tp13096 +a(g344 +V= +tp13097 +a(g189 +V +tp13098 +a(g319 +V0 +tp13099 +a(g344 +V) +tp13100 +a(g189 +V +tp13101 +a(g344 +V{ +tp13102 +a(g189 +V\u000a +tp13103 +a(g189 +V +p13104 +tp13105 +a(g139 +Vfloat +p13106 +tp13107 +a(g189 +V +tp13108 +a(g18 +Vx +tp13109 +a(g189 +V +tp13110 +a(g344 +V= +tp13111 +a(g189 +V +tp13112 +a(g18 +Vw +tp13113 +a(g189 +V +tp13114 +a(g344 +V* +tp13115 +a(g189 +V +tp13116 +a(g18 +Vindex +p13117 +tp13118 +a(g344 +V; +tp13119 +a(g189 +V\u000a +tp13120 +a(g189 +V +p13121 +tp13122 +a(g139 +Vfloat +p13123 +tp13124 +a(g189 +V +tp13125 +a(g18 +Vy +tp13126 +a(g189 +V +tp13127 +a(g344 +V= +tp13128 +a(g189 +V +tp13129 +a(g18 +Vh +tp13130 +a(g189 +V +tp13131 +a(g344 +V* +tp13132 +a(g189 +V +tp13133 +a(g18 +Vindex +p13134 +tp13135 +a(g344 +V; +tp13136 +a(g189 +V\u000a +tp13137 +a(g189 +V +p13138 +tp13139 +a(g18 +Vgrad +p13140 +tp13141 +a(g344 +V. +tp13142 +a(g41 +VaddElement +p13143 +tp13144 +a(g344 +V( +tp13145 +a(g111 +Vnew +p13146 +tp13147 +a(g189 +V +tp13148 +a(g18 +VGradientPaint +p13149 +tp13150 +a(g344 +V( +tp13151 +a(g319 +V0 +tp13152 +a(g344 +V, +tp13153 +a(g319 +V0 +tp13154 +a(g344 +V, +tp13155 +a(g18 +Vc1 +p13156 +tp13157 +a(g344 +V, +tp13158 +a(g319 +V0 +tp13159 +a(g344 +V, +tp13160 +a(g18 +Vy +tp13161 +a(g344 +V, +tp13162 +a(g18 +Vc2 +p13163 +tp13164 +a(g344 +V) +tp13165 +a(g344 +V) +tp13166 +a(g344 +V; +tp13167 +a(g189 +V\u000a +tp13168 +a(g189 +V +p13169 +tp13170 +a(g344 +V} +tp13171 +a(g189 +V\u000a +tp13172 +a(g189 +V\u000a +tp13173 +a(g189 +V +p13174 +tp13175 +a(g111 +Vif +p13176 +tp13177 +a(g189 +V +tp13178 +a(g344 +V( +tp13179 +a(g344 +V( +tp13180 +a(g18 +Vtype +p13181 +tp13182 +a(g189 +V +tp13183 +a(g344 +V& +tp13184 +a(g189 +V +tp13185 +a(g18 +VINC +p13186 +tp13187 +a(g344 +V) +tp13188 +a(g189 +V +tp13189 +a(g344 +V! +tp13190 +a(g344 +V= +tp13191 +a(g189 +V +tp13192 +a(g319 +V0 +tp13193 +a(g189 +V +tp13194 +a(g344 +V| +tp13195 +a(g344 +V| +tp13196 +a(g189 +V +tp13197 +a(g344 +V( +tp13198 +a(g18 +Vtype +p13199 +tp13200 +a(g189 +V +tp13201 +a(g344 +V& +tp13202 +a(g189 +V +tp13203 +a(g18 +VDEC +p13204 +tp13205 +a(g344 +V) +tp13206 +a(g189 +V +tp13207 +a(g344 +V! +tp13208 +a(g344 +V= +tp13209 +a(g189 +V +tp13210 +a(g319 +V0 +tp13211 +a(g344 +V) +tp13212 +a(g189 +V +tp13213 +a(g344 +V{ +tp13214 +a(g189 +V\u000a +tp13215 +a(g189 +V +p13216 +tp13217 +a(g18 +Vindex +p13218 +tp13219 +a(g189 +V +tp13220 +a(g344 +V+ +tp13221 +a(g344 +V= +tp13222 +a(g189 +V +tp13223 +a(g18 +Vincr +p13224 +tp13225 +a(g344 +V; +tp13226 +a(g189 +V\u000a +tp13227 +a(g189 +V +p13228 +tp13229 +a(g344 +V} +tp13230 +a(g189 +V\u000a +tp13231 +a(g189 +V +p13232 +tp13233 +a(g344 +V} +tp13234 +a(g189 +V\u000a +tp13235 +a(g189 +V\u000a +tp13236 +a(g189 +V\u000a +tp13237 +a(g189 +V +p13238 +tp13239 +a(g131 +Vpublic +p13240 +tp13241 +a(g189 +V +tp13242 +a(g139 +Vvoid +p13243 +tp13244 +a(g189 +V +tp13245 +a(g21 +Vrender +p13246 +tp13247 +a(g344 +V( +tp13248 +a(g139 +Vint +p13249 +tp13250 +a(g189 +V +tp13251 +a(g18 +Vw +tp13252 +a(g344 +V, +tp13253 +a(g189 +V +tp13254 +a(g139 +Vint +p13255 +tp13256 +a(g189 +V +tp13257 +a(g18 +Vh +tp13258 +a(g344 +V, +tp13259 +a(g189 +V +tp13260 +a(g18 +VGraphics2D +p13261 +tp13262 +a(g189 +V +tp13263 +a(g18 +Vg2 +p13264 +tp13265 +a(g344 +V) +tp13266 +a(g189 +V +tp13267 +a(g344 +V{ +tp13268 +a(g189 +V\u000a +tp13269 +a(g189 +V +p13270 +tp13271 +a(g18 +Vg2 +p13272 +tp13273 +a(g344 +V. +tp13274 +a(g41 +VsetRenderingHint +p13275 +tp13276 +a(g344 +V( +tp13277 +a(g18 +VRenderingHints +p13278 +tp13279 +a(g344 +V. +tp13280 +a(g41 +VKEY_ANTIALIASING +p13281 +tp13282 +a(g344 +V, +tp13283 +a(g189 +V +tp13284 +a(g189 +V\u000a +tp13285 +a(g189 +V +p13286 +tp13287 +a(g18 +VRenderingHints +p13288 +tp13289 +a(g344 +V. +tp13290 +a(g41 +VVALUE_ANTIALIAS_OFF +p13291 +tp13292 +a(g344 +V) +tp13293 +a(g344 +V; +tp13294 +a(g189 +V\u000a +tp13295 +a(g189 +V +p13296 +tp13297 +a(g111 +Vfor +p13298 +tp13299 +a(g189 +V +tp13300 +a(g344 +V( +tp13301 +a(g139 +Vint +p13302 +tp13303 +a(g189 +V +tp13304 +a(g18 +Vi +tp13305 +a(g189 +V +tp13306 +a(g344 +V= +tp13307 +a(g189 +V +tp13308 +a(g319 +V0 +tp13309 +a(g344 +V; +tp13310 +a(g189 +V +tp13311 +a(g18 +Vi +tp13312 +a(g189 +V +tp13313 +a(g344 +V< +tp13314 +a(g189 +V +tp13315 +a(g18 +Vgrad +p13316 +tp13317 +a(g344 +V. +tp13318 +a(g41 +Vsize +p13319 +tp13320 +a(g344 +V( +tp13321 +a(g344 +V) +tp13322 +a(g344 +V; +tp13323 +a(g189 +V +tp13324 +a(g18 +Vi +tp13325 +a(g344 +V+ +tp13326 +a(g344 +V+ +tp13327 +a(g344 +V) +tp13328 +a(g189 +V +tp13329 +a(g344 +V{ +tp13330 +a(g189 +V\u000a +tp13331 +a(g189 +V +p13332 +tp13333 +a(g18 +Vg2 +p13334 +tp13335 +a(g344 +V. +tp13336 +a(g41 +VsetPaint +p13337 +tp13338 +a(g344 +V( +tp13339 +a(g344 +V( +tp13340 +a(g18 +VGradientPaint +p13341 +tp13342 +a(g344 +V) +tp13343 +a(g189 +V +tp13344 +a(g18 +Vgrad +p13345 +tp13346 +a(g344 +V. +tp13347 +a(g41 +Vget +p13348 +tp13349 +a(g344 +V( +tp13350 +a(g18 +Vi +tp13351 +a(g344 +V) +tp13352 +a(g344 +V) +tp13353 +a(g344 +V; +tp13354 +a(g189 +V\u000a +tp13355 +a(g189 +V +p13356 +tp13357 +a(g111 +Vif +p13358 +tp13359 +a(g189 +V +tp13360 +a(g344 +V( +tp13361 +a(g344 +V( +tp13362 +a(g18 +Vtype +p13363 +tp13364 +a(g189 +V +tp13365 +a(g344 +V& +tp13366 +a(g189 +V +tp13367 +a(g18 +VNF +p13368 +tp13369 +a(g344 +V) +tp13370 +a(g189 +V +tp13371 +a(g344 +V= +tp13372 +a(g344 +V= +tp13373 +a(g189 +V +tp13374 +a(g319 +V0 +tp13375 +a(g344 +V) +tp13376 +a(g189 +V +tp13377 +a(g344 +V{ +tp13378 +a(g189 +V\u000a +tp13379 +a(g189 +V +p13380 +tp13381 +a(g18 +Vg2 +p13382 +tp13383 +a(g344 +V. +tp13384 +a(g41 +Vfill +p13385 +tp13386 +a(g344 +V( +tp13387 +a(g344 +V( +tp13388 +a(g18 +VRectangle2D +p13389 +tp13390 +a(g344 +V) +tp13391 +a(g189 +V +tp13392 +a(g18 +Vrect +p13393 +tp13394 +a(g344 +V. +tp13395 +a(g41 +Vget +p13396 +tp13397 +a(g344 +V( +tp13398 +a(g18 +Vi +tp13399 +a(g344 +V) +tp13400 +a(g344 +V) +tp13401 +a(g344 +V; +tp13402 +a(g189 +V\u000a +tp13403 +a(g189 +V +p13404 +tp13405 +a(g344 +V} +tp13406 +a(g189 +V\u000a +tp13407 +a(g189 +V +p13408 +tp13409 +a(g344 +V} +tp13410 +a(g189 +V\u000a +tp13411 +a(g189 +V +p13412 +tp13413 +a(g18 +Vg2 +p13414 +tp13415 +a(g344 +V. +tp13416 +a(g41 +VsetRenderingHint +p13417 +tp13418 +a(g344 +V( +tp13419 +a(g18 +VRenderingHints +p13420 +tp13421 +a(g344 +V. +tp13422 +a(g41 +VKEY_ANTIALIASING +p13423 +tp13424 +a(g344 +V, +tp13425 +a(g189 +V +tp13426 +a(g189 +V\u000a +tp13427 +a(g189 +V +p13428 +tp13429 +a(g18 +VRenderingHints +p13430 +tp13431 +a(g344 +V. +tp13432 +a(g41 +VVALUE_ANTIALIAS_ON +p13433 +tp13434 +a(g344 +V) +tp13435 +a(g344 +V; +tp13436 +a(g189 +V\u000a +tp13437 +a(g189 +V +p13438 +tp13439 +a(g344 +V} +tp13440 +a(g189 +V\u000a +tp13441 +a(g189 +V\u000a +tp13442 +a(g189 +V +p13443 +tp13444 +a(g131 +Vpublic +p13445 +tp13446 +a(g189 +V +tp13447 +a(g139 +Vint +p13448 +tp13449 +a(g189 +V +tp13450 +a(g21 +VgetBegin +p13451 +tp13452 +a(g344 +V( +tp13453 +a(g344 +V) +tp13454 +a(g189 +V +tp13455 +a(g344 +V{ +tp13456 +a(g189 +V\u000a +tp13457 +a(g189 +V +p13458 +tp13459 +a(g111 +Vreturn +p13460 +tp13461 +a(g189 +V +tp13462 +a(g18 +Vbeginning +p13463 +tp13464 +a(g344 +V; +tp13465 +a(g189 +V\u000a +tp13466 +a(g189 +V +p13467 +tp13468 +a(g344 +V} +tp13469 +a(g189 +V\u000a +tp13470 +a(g189 +V\u000a +tp13471 +a(g189 +V +p13472 +tp13473 +a(g131 +Vpublic +p13474 +tp13475 +a(g189 +V +tp13476 +a(g139 +Vint +p13477 +tp13478 +a(g189 +V +tp13479 +a(g21 +VgetEnd +p13480 +tp13481 +a(g344 +V( +tp13482 +a(g344 +V) +tp13483 +a(g189 +V +tp13484 +a(g344 +V{ +tp13485 +a(g189 +V\u000a +tp13486 +a(g189 +V +p13487 +tp13488 +a(g111 +Vreturn +p13489 +tp13490 +a(g189 +V +tp13491 +a(g18 +Vending +p13492 +tp13493 +a(g344 +V; +tp13494 +a(g189 +V\u000a +tp13495 +a(g189 +V +p13496 +tp13497 +a(g344 +V} +tp13498 +a(g189 +V\u000a +tp13499 +a(g189 +V +p13500 +tp13501 +a(g344 +V} +tp13502 +a(g189 +V +tp13503 +a(g358 +V// End GpE class\u000a +p13504 +tp13505 +a(g189 +V\u000a +tp13506 +a(g189 +V\u000a +tp13507 +a(g189 +V\u000a +tp13508 +a(g189 +V +p13509 +tp13510 +a(g7 +V/**\u000a * TexturePaint Effect. Expand and collapse a texture. \u000a */ +p13511 +tp13512 +a(g189 +V\u000a +tp13513 +a(g189 +V +p13514 +tp13515 +a(g131 +Vstatic +p13516 +tp13517 +a(g189 +V +tp13518 +a(g131 +Vclass +p13519 +tp13520 +a(g189 +V +tp13521 +a(g106 +VTpE +p13522 +tp13523 +a(g189 +V +tp13524 +a(g131 +Vimplements +p13525 +tp13526 +a(g189 +V +tp13527 +a(g18 +VPart +p13528 +tp13529 +a(g189 +V +tp13530 +a(g344 +V{ +tp13531 +a(g189 +V\u000a +tp13532 +a(g189 +V\u000a +tp13533 +a(g189 +V +p13534 +tp13535 +a(g131 +Vstatic +p13536 +tp13537 +a(g189 +V +tp13538 +a(g131 +Vfinal +p13539 +tp13540 +a(g189 +V +tp13541 +a(g139 +Vint +p13542 +tp13543 +a(g189 +V +tp13544 +a(g18 +VINC +p13545 +tp13546 +a(g189 +V +tp13547 +a(g344 +V= +tp13548 +a(g189 +V +tp13549 +a(g319 +V1 +tp13550 +a(g344 +V; +tp13551 +a(g189 +V +p13552 +tp13553 +a(g358 +V// increasing\u000a +p13554 +tp13555 +a(g189 +V +p13556 +tp13557 +a(g131 +Vstatic +p13558 +tp13559 +a(g189 +V +tp13560 +a(g131 +Vfinal +p13561 +tp13562 +a(g189 +V +tp13563 +a(g139 +Vint +p13564 +tp13565 +a(g189 +V +tp13566 +a(g18 +VDEC +p13567 +tp13568 +a(g189 +V +tp13569 +a(g344 +V= +tp13570 +a(g189 +V +tp13571 +a(g319 +V2 +tp13572 +a(g344 +V; +tp13573 +a(g189 +V +p13574 +tp13575 +a(g358 +V// decreasing\u000a +p13576 +tp13577 +a(g189 +V +p13578 +tp13579 +a(g131 +Vstatic +p13580 +tp13581 +a(g189 +V +tp13582 +a(g131 +Vfinal +p13583 +tp13584 +a(g189 +V +tp13585 +a(g139 +Vint +p13586 +tp13587 +a(g189 +V +tp13588 +a(g18 +VOVAL +p13589 +tp13590 +a(g189 +V +tp13591 +a(g344 +V= +tp13592 +a(g189 +V +tp13593 +a(g319 +V4 +tp13594 +a(g344 +V; +tp13595 +a(g189 +V +p13596 +tp13597 +a(g358 +V// oval\u000a +p13598 +tp13599 +a(g189 +V +p13600 +tp13601 +a(g131 +Vstatic +p13602 +tp13603 +a(g189 +V +tp13604 +a(g131 +Vfinal +p13605 +tp13606 +a(g189 +V +tp13607 +a(g139 +Vint +p13608 +tp13609 +a(g189 +V +tp13610 +a(g18 +VRECT +p13611 +tp13612 +a(g189 +V +tp13613 +a(g344 +V= +tp13614 +a(g189 +V +tp13615 +a(g319 +V8 +tp13616 +a(g344 +V; +tp13617 +a(g189 +V +p13618 +tp13619 +a(g358 +V// rectangle \u000a +p13620 +tp13621 +a(g189 +V +p13622 +tp13623 +a(g131 +Vstatic +p13624 +tp13625 +a(g189 +V +tp13626 +a(g131 +Vfinal +p13627 +tp13628 +a(g189 +V +tp13629 +a(g139 +Vint +p13630 +tp13631 +a(g189 +V +tp13632 +a(g18 +VHAF +p13633 +tp13634 +a(g189 +V +tp13635 +a(g344 +V= +tp13636 +a(g189 +V +tp13637 +a(g319 +V16 +p13638 +tp13639 +a(g344 +V; +tp13640 +a(g189 +V +p13641 +tp13642 +a(g358 +V// half oval or rect size\u000a +p13643 +tp13644 +a(g189 +V +p13645 +tp13646 +a(g131 +Vstatic +p13647 +tp13648 +a(g189 +V +tp13649 +a(g131 +Vfinal +p13650 +tp13651 +a(g189 +V +tp13652 +a(g139 +Vint +p13653 +tp13654 +a(g189 +V +tp13655 +a(g18 +VOI +p13656 +tp13657 +a(g189 +V +tp13658 +a(g344 +V= +tp13659 +a(g189 +V +tp13660 +a(g18 +VOVAL +p13661 +tp13662 +a(g189 +V +tp13663 +a(g344 +V| +tp13664 +a(g189 +V +tp13665 +a(g18 +VINC +p13666 +tp13667 +a(g344 +V; +tp13668 +a(g189 +V +tp13669 +a(g189 +V\u000a +tp13670 +a(g189 +V +p13671 +tp13672 +a(g131 +Vstatic +p13673 +tp13674 +a(g189 +V +tp13675 +a(g131 +Vfinal +p13676 +tp13677 +a(g189 +V +tp13678 +a(g139 +Vint +p13679 +tp13680 +a(g189 +V +tp13681 +a(g18 +VOD +p13682 +tp13683 +a(g189 +V +tp13684 +a(g344 +V= +tp13685 +a(g189 +V +tp13686 +a(g18 +VOVAL +p13687 +tp13688 +a(g189 +V +tp13689 +a(g344 +V| +tp13690 +a(g189 +V +tp13691 +a(g18 +VDEC +p13692 +tp13693 +a(g344 +V; +tp13694 +a(g189 +V\u000a +tp13695 +a(g189 +V +p13696 +tp13697 +a(g131 +Vstatic +p13698 +tp13699 +a(g189 +V +tp13700 +a(g131 +Vfinal +p13701 +tp13702 +a(g189 +V +tp13703 +a(g139 +Vint +p13704 +tp13705 +a(g189 +V +tp13706 +a(g18 +VRI +p13707 +tp13708 +a(g189 +V +tp13709 +a(g344 +V= +tp13710 +a(g189 +V +tp13711 +a(g18 +VRECT +p13712 +tp13713 +a(g189 +V +tp13714 +a(g344 +V| +tp13715 +a(g189 +V +tp13716 +a(g18 +VINC +p13717 +tp13718 +a(g344 +V; +tp13719 +a(g189 +V\u000a +tp13720 +a(g189 +V +p13721 +tp13722 +a(g131 +Vstatic +p13723 +tp13724 +a(g189 +V +tp13725 +a(g131 +Vfinal +p13726 +tp13727 +a(g189 +V +tp13728 +a(g139 +Vint +p13729 +tp13730 +a(g189 +V +tp13731 +a(g18 +VRD +p13732 +tp13733 +a(g189 +V +tp13734 +a(g344 +V= +tp13735 +a(g189 +V +tp13736 +a(g18 +VRECT +p13737 +tp13738 +a(g189 +V +tp13739 +a(g344 +V| +tp13740 +a(g189 +V +tp13741 +a(g18 +VDEC +p13742 +tp13743 +a(g344 +V; +tp13744 +a(g189 +V\u000a +tp13745 +a(g189 +V +p13746 +tp13747 +a(g131 +Vstatic +p13748 +tp13749 +a(g189 +V +tp13750 +a(g131 +Vfinal +p13751 +tp13752 +a(g189 +V +tp13753 +a(g139 +Vint +p13754 +tp13755 +a(g189 +V +tp13756 +a(g18 +VNF +p13757 +tp13758 +a(g189 +V +tp13759 +a(g344 +V= +tp13760 +a(g189 +V +tp13761 +a(g319 +V32 +p13762 +tp13763 +a(g344 +V; +tp13764 +a(g189 +V +p13765 +tp13766 +a(g358 +V// no fill \u000a +p13767 +tp13768 +a(g189 +V +p13769 +tp13770 +a(g131 +Vprivate +p13771 +tp13772 +a(g189 +V +tp13773 +a(g18 +VPaint +p13774 +tp13775 +a(g189 +V +tp13776 +a(g18 +Vp1 +p13777 +tp13778 +a(g344 +V, +tp13779 +a(g189 +V +tp13780 +a(g18 +Vp2 +p13781 +tp13782 +a(g344 +V; +tp13783 +a(g189 +V\u000a +tp13784 +a(g189 +V +p13785 +tp13786 +a(g131 +Vprivate +p13787 +tp13788 +a(g189 +V +tp13789 +a(g139 +Vint +p13790 +tp13791 +a(g189 +V +tp13792 +a(g18 +Vbeginning +p13793 +tp13794 +a(g344 +V, +tp13795 +a(g189 +V +tp13796 +a(g18 +Vending +p13797 +tp13798 +a(g344 +V; +tp13799 +a(g189 +V\u000a +tp13800 +a(g189 +V +p13801 +tp13802 +a(g131 +Vprivate +p13803 +tp13804 +a(g189 +V +tp13805 +a(g139 +Vfloat +p13806 +tp13807 +a(g189 +V +tp13808 +a(g18 +Vincr +p13809 +tp13810 +a(g344 +V, +tp13811 +a(g189 +V +tp13812 +a(g18 +Vindex +p13813 +tp13814 +a(g344 +V; +tp13815 +a(g189 +V\u000a +tp13816 +a(g189 +V +p13817 +tp13818 +a(g131 +Vprivate +p13819 +tp13820 +a(g189 +V +tp13821 +a(g18 +VTexturePaint +p13822 +tp13823 +a(g189 +V +tp13824 +a(g18 +Vtexture +p13825 +tp13826 +a(g344 +V; +tp13827 +a(g189 +V\u000a +tp13828 +a(g189 +V +p13829 +tp13830 +a(g131 +Vprivate +p13831 +tp13832 +a(g189 +V +tp13833 +a(g139 +Vint +p13834 +tp13835 +a(g189 +V +tp13836 +a(g18 +Vtype +p13837 +tp13838 +a(g344 +V; +tp13839 +a(g189 +V\u000a +tp13840 +a(g189 +V +p13841 +tp13842 +a(g131 +Vprivate +p13843 +tp13844 +a(g189 +V +tp13845 +a(g139 +Vint +p13846 +tp13847 +a(g189 +V +tp13848 +a(g18 +Vsize +p13849 +tp13850 +a(g344 +V; +tp13851 +a(g189 +V\u000a +tp13852 +a(g189 +V +p13853 +tp13854 +a(g131 +Vprivate +p13855 +tp13856 +a(g189 +V +tp13857 +a(g18 +VBufferedImage +p13858 +tp13859 +a(g189 +V +tp13860 +a(g18 +Vbimg +p13861 +tp13862 +a(g344 +V; +tp13863 +a(g189 +V\u000a +tp13864 +a(g189 +V +p13865 +tp13866 +a(g131 +Vprivate +p13867 +tp13868 +a(g189 +V +tp13869 +a(g18 +VRectangle +p13870 +tp13871 +a(g189 +V +tp13872 +a(g18 +Vrect +p13873 +tp13874 +a(g344 +V; +tp13875 +a(g189 +V\u000a +tp13876 +a(g189 +V\u000a +tp13877 +a(g189 +V\u000a +tp13878 +a(g189 +V +p13879 +tp13880 +a(g131 +Vpublic +p13881 +tp13882 +a(g189 +V +tp13883 +a(g21 +VTpE +p13884 +tp13885 +a(g344 +V( +tp13886 +a(g139 +Vint +p13887 +tp13888 +a(g189 +V +tp13889 +a(g18 +Vtype +p13890 +tp13891 +a(g344 +V, +tp13892 +a(g189 +V +tp13893 +a(g18 +VPaint +p13894 +tp13895 +a(g189 +V +tp13896 +a(g18 +Vp1 +p13897 +tp13898 +a(g344 +V, +tp13899 +a(g189 +V +tp13900 +a(g18 +VPaint +p13901 +tp13902 +a(g189 +V +tp13903 +a(g18 +Vp2 +p13904 +tp13905 +a(g344 +V, +tp13906 +a(g189 +V +tp13907 +a(g139 +Vint +p13908 +tp13909 +a(g189 +V +tp13910 +a(g18 +Vsize +p13911 +tp13912 +a(g344 +V, +tp13913 +a(g189 +V\u000a +tp13914 +a(g189 +V +p13915 +tp13916 +a(g139 +Vint +p13917 +tp13918 +a(g189 +V +tp13919 +a(g18 +Vbeg +p13920 +tp13921 +a(g344 +V, +tp13922 +a(g189 +V +tp13923 +a(g139 +Vint +p13924 +tp13925 +a(g189 +V +tp13926 +a(g18 +Vend +p13927 +tp13928 +a(g344 +V) +tp13929 +a(g189 +V +tp13930 +a(g344 +V{ +tp13931 +a(g189 +V\u000a +tp13932 +a(g189 +V +p13933 +tp13934 +a(g111 +Vthis +p13935 +tp13936 +a(g344 +V. +tp13937 +a(g41 +Vtype +p13938 +tp13939 +a(g189 +V +tp13940 +a(g344 +V= +tp13941 +a(g189 +V +tp13942 +a(g18 +Vtype +p13943 +tp13944 +a(g344 +V; +tp13945 +a(g189 +V\u000a +tp13946 +a(g189 +V +p13947 +tp13948 +a(g111 +Vthis +p13949 +tp13950 +a(g344 +V. +tp13951 +a(g41 +Vp1 +p13952 +tp13953 +a(g189 +V +tp13954 +a(g344 +V= +tp13955 +a(g189 +V +tp13956 +a(g18 +Vp1 +p13957 +tp13958 +a(g344 +V; +tp13959 +a(g189 +V\u000a +tp13960 +a(g189 +V +p13961 +tp13962 +a(g111 +Vthis +p13963 +tp13964 +a(g344 +V. +tp13965 +a(g41 +Vp2 +p13966 +tp13967 +a(g189 +V +tp13968 +a(g344 +V= +tp13969 +a(g189 +V +tp13970 +a(g18 +Vp2 +p13971 +tp13972 +a(g344 +V; +tp13973 +a(g189 +V\u000a +tp13974 +a(g189 +V +p13975 +tp13976 +a(g111 +Vthis +p13977 +tp13978 +a(g344 +V. +tp13979 +a(g41 +Vbeginning +p13980 +tp13981 +a(g189 +V +tp13982 +a(g344 +V= +tp13983 +a(g189 +V +tp13984 +a(g18 +Vbeg +p13985 +tp13986 +a(g344 +V; +tp13987 +a(g189 +V\u000a +tp13988 +a(g189 +V +p13989 +tp13990 +a(g111 +Vthis +p13991 +tp13992 +a(g344 +V. +tp13993 +a(g41 +Vending +p13994 +tp13995 +a(g189 +V +tp13996 +a(g344 +V= +tp13997 +a(g189 +V +tp13998 +a(g18 +Vend +p13999 +tp14000 +a(g344 +V; +tp14001 +a(g189 +V\u000a +tp14002 +a(g189 +V +p14003 +tp14004 +a(g18 +VsetTextureSize +p14005 +tp14006 +a(g344 +V( +tp14007 +a(g18 +Vsize +p14008 +tp14009 +a(g344 +V) +tp14010 +a(g344 +V; +tp14011 +a(g189 +V\u000a +tp14012 +a(g189 +V +p14013 +tp14014 +a(g344 +V} +tp14015 +a(g189 +V\u000a +tp14016 +a(g189 +V\u000a +tp14017 +a(g189 +V\u000a +tp14018 +a(g189 +V +p14019 +tp14020 +a(g131 +Vpublic +p14021 +tp14022 +a(g189 +V +tp14023 +a(g139 +Vvoid +p14024 +tp14025 +a(g189 +V +tp14026 +a(g21 +VsetTextureSize +p14027 +tp14028 +a(g344 +V( +tp14029 +a(g139 +Vint +p14030 +tp14031 +a(g189 +V +tp14032 +a(g18 +Vsize +p14033 +tp14034 +a(g344 +V) +tp14035 +a(g189 +V +tp14036 +a(g344 +V{ +tp14037 +a(g189 +V\u000a +tp14038 +a(g189 +V +p14039 +tp14040 +a(g111 +Vthis +p14041 +tp14042 +a(g344 +V. +tp14043 +a(g41 +Vsize +p14044 +tp14045 +a(g189 +V +tp14046 +a(g344 +V= +tp14047 +a(g189 +V +tp14048 +a(g18 +Vsize +p14049 +tp14050 +a(g344 +V; +tp14051 +a(g189 +V\u000a +tp14052 +a(g189 +V +p14053 +tp14054 +a(g18 +Vbimg +p14055 +tp14056 +a(g189 +V +tp14057 +a(g344 +V= +tp14058 +a(g189 +V +tp14059 +a(g111 +Vnew +p14060 +tp14061 +a(g189 +V +tp14062 +a(g18 +VBufferedImage +p14063 +tp14064 +a(g344 +V( +tp14065 +a(g18 +Vsize +p14066 +tp14067 +a(g344 +V, +tp14068 +a(g18 +Vsize +p14069 +tp14070 +a(g344 +V, +tp14071 +a(g18 +VBufferedImage +p14072 +tp14073 +a(g344 +V. +tp14074 +a(g41 +VTYPE_INT_RGB +p14075 +tp14076 +a(g344 +V) +tp14077 +a(g344 +V; +tp14078 +a(g189 +V\u000a +tp14079 +a(g189 +V +p14080 +tp14081 +a(g18 +Vrect +p14082 +tp14083 +a(g189 +V +tp14084 +a(g344 +V= +tp14085 +a(g189 +V +tp14086 +a(g111 +Vnew +p14087 +tp14088 +a(g189 +V +tp14089 +a(g18 +VRectangle +p14090 +tp14091 +a(g344 +V( +tp14092 +a(g319 +V0 +tp14093 +a(g344 +V, +tp14094 +a(g319 +V0 +tp14095 +a(g344 +V, +tp14096 +a(g18 +Vsize +p14097 +tp14098 +a(g344 +V, +tp14099 +a(g18 +Vsize +p14100 +tp14101 +a(g344 +V) +tp14102 +a(g344 +V; +tp14103 +a(g189 +V\u000a +tp14104 +a(g189 +V +p14105 +tp14106 +a(g344 +V} +tp14107 +a(g189 +V\u000a +tp14108 +a(g189 +V\u000a +tp14109 +a(g189 +V\u000a +tp14110 +a(g189 +V +p14111 +tp14112 +a(g131 +Vpublic +p14113 +tp14114 +a(g189 +V +tp14115 +a(g139 +Vvoid +p14116 +tp14117 +a(g189 +V +tp14118 +a(g21 +Vreset +p14119 +tp14120 +a(g344 +V( +tp14121 +a(g139 +Vint +p14122 +tp14123 +a(g189 +V +tp14124 +a(g18 +Vw +tp14125 +a(g344 +V, +tp14126 +a(g189 +V +tp14127 +a(g139 +Vint +p14128 +tp14129 +a(g189 +V +tp14130 +a(g18 +Vh +tp14131 +a(g344 +V) +tp14132 +a(g189 +V +tp14133 +a(g344 +V{ +tp14134 +a(g189 +V\u000a +tp14135 +a(g189 +V +p14136 +tp14137 +a(g18 +Vincr +p14138 +tp14139 +a(g189 +V +tp14140 +a(g344 +V= +tp14141 +a(g189 +V +tp14142 +a(g344 +V( +tp14143 +a(g139 +Vfloat +p14144 +tp14145 +a(g344 +V) +tp14146 +a(g189 +V +tp14147 +a(g344 +V( +tp14148 +a(g18 +Vsize +p14149 +tp14150 +a(g344 +V) +tp14151 +a(g189 +V +tp14152 +a(g344 +V/ +tp14153 +a(g189 +V +tp14154 +a(g344 +V( +tp14155 +a(g139 +Vfloat +p14156 +tp14157 +a(g344 +V) +tp14158 +a(g189 +V +tp14159 +a(g344 +V( +tp14160 +a(g18 +Vending +p14161 +tp14162 +a(g189 +V +tp14163 +a(g344 +V- +tp14164 +a(g189 +V +tp14165 +a(g18 +Vbeginning +p14166 +tp14167 +a(g344 +V) +tp14168 +a(g344 +V; +tp14169 +a(g189 +V\u000a +tp14170 +a(g189 +V +p14171 +tp14172 +a(g111 +Vif +p14173 +tp14174 +a(g189 +V +tp14175 +a(g344 +V( +tp14176 +a(g344 +V( +tp14177 +a(g18 +Vtype +p14178 +tp14179 +a(g189 +V +tp14180 +a(g344 +V& +tp14181 +a(g189 +V +tp14182 +a(g18 +VHAF +p14183 +tp14184 +a(g344 +V) +tp14185 +a(g189 +V +tp14186 +a(g344 +V! +tp14187 +a(g344 +V= +tp14188 +a(g189 +V +tp14189 +a(g319 +V0 +tp14190 +a(g344 +V) +tp14191 +a(g189 +V +tp14192 +a(g344 +V{ +tp14193 +a(g189 +V\u000a +tp14194 +a(g189 +V +p14195 +tp14196 +a(g18 +Vincr +p14197 +tp14198 +a(g189 +V +tp14199 +a(g344 +V/ +tp14200 +a(g344 +V= +tp14201 +a(g189 +V +tp14202 +a(g319 +V2 +tp14203 +a(g344 +V; +tp14204 +a(g189 +V\u000a +tp14205 +a(g189 +V +p14206 +tp14207 +a(g344 +V} +tp14208 +a(g189 +V\u000a +tp14209 +a(g189 +V +p14210 +tp14211 +a(g111 +Vif +p14212 +tp14213 +a(g189 +V +tp14214 +a(g344 +V( +tp14215 +a(g344 +V( +tp14216 +a(g18 +Vtype +p14217 +tp14218 +a(g189 +V +tp14219 +a(g344 +V& +tp14220 +a(g189 +V +tp14221 +a(g18 +VDEC +p14222 +tp14223 +a(g344 +V) +tp14224 +a(g189 +V +tp14225 +a(g344 +V! +tp14226 +a(g344 +V= +tp14227 +a(g189 +V +tp14228 +a(g319 +V0 +tp14229 +a(g344 +V) +tp14230 +a(g189 +V +tp14231 +a(g344 +V{ +tp14232 +a(g189 +V\u000a +tp14233 +a(g189 +V +p14234 +tp14235 +a(g18 +Vindex +p14236 +tp14237 +a(g189 +V +tp14238 +a(g344 +V= +tp14239 +a(g189 +V +tp14240 +a(g18 +Vsize +p14241 +tp14242 +a(g344 +V; +tp14243 +a(g189 +V\u000a +tp14244 +a(g189 +V +p14245 +tp14246 +a(g111 +Vif +p14247 +tp14248 +a(g189 +V +tp14249 +a(g344 +V( +tp14250 +a(g344 +V( +tp14251 +a(g18 +Vtype +p14252 +tp14253 +a(g189 +V +tp14254 +a(g344 +V& +tp14255 +a(g189 +V +tp14256 +a(g18 +VHAF +p14257 +tp14258 +a(g344 +V) +tp14259 +a(g189 +V +tp14260 +a(g344 +V! +tp14261 +a(g344 +V= +tp14262 +a(g189 +V +tp14263 +a(g319 +V0 +tp14264 +a(g344 +V) +tp14265 +a(g189 +V +tp14266 +a(g344 +V{ +tp14267 +a(g189 +V\u000a +tp14268 +a(g189 +V +p14269 +tp14270 +a(g18 +Vindex +p14271 +tp14272 +a(g189 +V +tp14273 +a(g344 +V/ +tp14274 +a(g344 +V= +tp14275 +a(g189 +V +tp14276 +a(g319 +V2 +tp14277 +a(g344 +V; +tp14278 +a(g189 +V\u000a +tp14279 +a(g189 +V +p14280 +tp14281 +a(g344 +V} +tp14282 +a(g189 +V\u000a +tp14283 +a(g189 +V +p14284 +tp14285 +a(g18 +Vincr +p14286 +tp14287 +a(g189 +V +tp14288 +a(g344 +V= +tp14289 +a(g189 +V +tp14290 +a(g344 +V- +tp14291 +a(g18 +Vincr +p14292 +tp14293 +a(g344 +V; +tp14294 +a(g189 +V\u000a +tp14295 +a(g189 +V +p14296 +tp14297 +a(g344 +V} +tp14298 +a(g189 +V +tp14299 +a(g111 +Velse +p14300 +tp14301 +a(g189 +V +tp14302 +a(g344 +V{ +tp14303 +a(g189 +V\u000a +tp14304 +a(g189 +V +p14305 +tp14306 +a(g18 +Vindex +p14307 +tp14308 +a(g189 +V +tp14309 +a(g344 +V= +tp14310 +a(g189 +V +tp14311 +a(g311 +V0.0f +p14312 +tp14313 +a(g344 +V; +tp14314 +a(g189 +V\u000a +tp14315 +a(g189 +V +p14316 +tp14317 +a(g344 +V} +tp14318 +a(g189 +V\u000a +tp14319 +a(g189 +V +p14320 +tp14321 +a(g18 +Vindex +p14322 +tp14323 +a(g189 +V +tp14324 +a(g344 +V+ +tp14325 +a(g344 +V= +tp14326 +a(g189 +V +tp14327 +a(g18 +Vincr +p14328 +tp14329 +a(g344 +V; +tp14330 +a(g189 +V\u000a +tp14331 +a(g189 +V +p14332 +tp14333 +a(g344 +V} +tp14334 +a(g189 +V\u000a +tp14335 +a(g189 +V\u000a +tp14336 +a(g189 +V +p14337 +tp14338 +a(g189 +V\u000a +tp14339 +a(g189 +V +p14340 +tp14341 +a(g131 +Vpublic +p14342 +tp14343 +a(g189 +V +tp14344 +a(g139 +Vvoid +p14345 +tp14346 +a(g189 +V +tp14347 +a(g21 +Vstep +p14348 +tp14349 +a(g344 +V( +tp14350 +a(g139 +Vint +p14351 +tp14352 +a(g189 +V +tp14353 +a(g18 +Vw +tp14354 +a(g344 +V, +tp14355 +a(g189 +V +tp14356 +a(g139 +Vint +p14357 +tp14358 +a(g189 +V +tp14359 +a(g18 +Vh +tp14360 +a(g344 +V) +tp14361 +a(g189 +V +tp14362 +a(g344 +V{ +tp14363 +a(g189 +V\u000a +tp14364 +a(g189 +V +p14365 +tp14366 +a(g18 +VGraphics2D +p14367 +tp14368 +a(g189 +V +tp14369 +a(g18 +Vg2 +p14370 +tp14371 +a(g189 +V +tp14372 +a(g344 +V= +tp14373 +a(g189 +V +tp14374 +a(g18 +Vbimg +p14375 +tp14376 +a(g344 +V. +tp14377 +a(g41 +VcreateGraphics +p14378 +tp14379 +a(g344 +V( +tp14380 +a(g344 +V) +tp14381 +a(g344 +V; +tp14382 +a(g189 +V\u000a +tp14383 +a(g189 +V +p14384 +tp14385 +a(g18 +Vg2 +p14386 +tp14387 +a(g344 +V. +tp14388 +a(g41 +VsetPaint +p14389 +tp14390 +a(g344 +V( +tp14391 +a(g18 +Vp1 +p14392 +tp14393 +a(g344 +V) +tp14394 +a(g344 +V; +tp14395 +a(g189 +V\u000a +tp14396 +a(g189 +V +p14397 +tp14398 +a(g18 +Vg2 +p14399 +tp14400 +a(g344 +V. +tp14401 +a(g41 +VfillRect +p14402 +tp14403 +a(g344 +V( +tp14404 +a(g319 +V0 +tp14405 +a(g344 +V, +tp14406 +a(g319 +V0 +tp14407 +a(g344 +V, +tp14408 +a(g18 +Vsize +p14409 +tp14410 +a(g344 +V, +tp14411 +a(g18 +Vsize +p14412 +tp14413 +a(g344 +V) +tp14414 +a(g344 +V; +tp14415 +a(g189 +V\u000a +tp14416 +a(g189 +V +p14417 +tp14418 +a(g18 +Vg2 +p14419 +tp14420 +a(g344 +V. +tp14421 +a(g41 +VsetPaint +p14422 +tp14423 +a(g344 +V( +tp14424 +a(g18 +Vp2 +p14425 +tp14426 +a(g344 +V) +tp14427 +a(g344 +V; +tp14428 +a(g189 +V\u000a +tp14429 +a(g189 +V +p14430 +tp14431 +a(g111 +Vif +p14432 +tp14433 +a(g189 +V +tp14434 +a(g344 +V( +tp14435 +a(g344 +V( +tp14436 +a(g18 +Vtype +p14437 +tp14438 +a(g189 +V +tp14439 +a(g344 +V& +tp14440 +a(g189 +V +tp14441 +a(g18 +VOVAL +p14442 +tp14443 +a(g344 +V) +tp14444 +a(g189 +V +tp14445 +a(g344 +V! +tp14446 +a(g344 +V= +tp14447 +a(g189 +V +tp14448 +a(g319 +V0 +tp14449 +a(g344 +V) +tp14450 +a(g189 +V +tp14451 +a(g344 +V{ +tp14452 +a(g189 +V\u000a +tp14453 +a(g189 +V +p14454 +tp14455 +a(g18 +Vg2 +p14456 +tp14457 +a(g344 +V. +tp14458 +a(g41 +Vfill +p14459 +tp14460 +a(g344 +V( +tp14461 +a(g111 +Vnew +p14462 +tp14463 +a(g189 +V +tp14464 +a(g18 +VEllipse2D +p14465 +tp14466 +a(g344 +V. +tp14467 +a(g41 +VFloat +p14468 +tp14469 +a(g344 +V( +tp14470 +a(g319 +V0 +tp14471 +a(g344 +V, +tp14472 +a(g319 +V0 +tp14473 +a(g344 +V, +tp14474 +a(g18 +Vindex +p14475 +tp14476 +a(g344 +V, +tp14477 +a(g18 +Vindex +p14478 +tp14479 +a(g344 +V) +tp14480 +a(g344 +V) +tp14481 +a(g344 +V; +tp14482 +a(g189 +V\u000a +tp14483 +a(g189 +V +p14484 +tp14485 +a(g344 +V} +tp14486 +a(g189 +V +tp14487 +a(g111 +Velse +p14488 +tp14489 +a(g189 +V +tp14490 +a(g111 +Vif +p14491 +tp14492 +a(g189 +V +tp14493 +a(g344 +V( +tp14494 +a(g344 +V( +tp14495 +a(g18 +Vtype +p14496 +tp14497 +a(g189 +V +tp14498 +a(g344 +V& +tp14499 +a(g189 +V +tp14500 +a(g18 +VRECT +p14501 +tp14502 +a(g344 +V) +tp14503 +a(g189 +V +tp14504 +a(g344 +V! +tp14505 +a(g344 +V= +tp14506 +a(g189 +V +tp14507 +a(g319 +V0 +tp14508 +a(g344 +V) +tp14509 +a(g189 +V +tp14510 +a(g344 +V{ +tp14511 +a(g189 +V\u000a +tp14512 +a(g189 +V +p14513 +tp14514 +a(g18 +Vg2 +p14515 +tp14516 +a(g344 +V. +tp14517 +a(g41 +Vfill +p14518 +tp14519 +a(g344 +V( +tp14520 +a(g111 +Vnew +p14521 +tp14522 +a(g189 +V +tp14523 +a(g18 +VRectangle2D +p14524 +tp14525 +a(g344 +V. +tp14526 +a(g41 +VFloat +p14527 +tp14528 +a(g344 +V( +tp14529 +a(g319 +V0 +tp14530 +a(g344 +V, +tp14531 +a(g319 +V0 +tp14532 +a(g344 +V, +tp14533 +a(g18 +Vindex +p14534 +tp14535 +a(g344 +V, +tp14536 +a(g18 +Vindex +p14537 +tp14538 +a(g344 +V) +tp14539 +a(g344 +V) +tp14540 +a(g344 +V; +tp14541 +a(g189 +V\u000a +tp14542 +a(g189 +V +p14543 +tp14544 +a(g344 +V} +tp14545 +a(g189 +V\u000a +tp14546 +a(g189 +V +p14547 +tp14548 +a(g18 +Vtexture +p14549 +tp14550 +a(g189 +V +tp14551 +a(g344 +V= +tp14552 +a(g189 +V +tp14553 +a(g111 +Vnew +p14554 +tp14555 +a(g189 +V +tp14556 +a(g18 +VTexturePaint +p14557 +tp14558 +a(g344 +V( +tp14559 +a(g18 +Vbimg +p14560 +tp14561 +a(g344 +V, +tp14562 +a(g189 +V +tp14563 +a(g18 +Vrect +p14564 +tp14565 +a(g344 +V) +tp14566 +a(g344 +V; +tp14567 +a(g189 +V\u000a +tp14568 +a(g189 +V +p14569 +tp14570 +a(g18 +Vg2 +p14571 +tp14572 +a(g344 +V. +tp14573 +a(g41 +Vdispose +p14574 +tp14575 +a(g344 +V( +tp14576 +a(g344 +V) +tp14577 +a(g344 +V; +tp14578 +a(g189 +V\u000a +tp14579 +a(g189 +V +p14580 +tp14581 +a(g18 +Vindex +p14582 +tp14583 +a(g189 +V +tp14584 +a(g344 +V+ +tp14585 +a(g344 +V= +tp14586 +a(g189 +V +tp14587 +a(g18 +Vincr +p14588 +tp14589 +a(g344 +V; +tp14590 +a(g189 +V\u000a +tp14591 +a(g189 +V +p14592 +tp14593 +a(g344 +V} +tp14594 +a(g189 +V\u000a +tp14595 +a(g189 +V\u000a +tp14596 +a(g189 +V\u000a +tp14597 +a(g189 +V +p14598 +tp14599 +a(g131 +Vpublic +p14600 +tp14601 +a(g189 +V +tp14602 +a(g139 +Vvoid +p14603 +tp14604 +a(g189 +V +tp14605 +a(g21 +Vrender +p14606 +tp14607 +a(g344 +V( +tp14608 +a(g139 +Vint +p14609 +tp14610 +a(g189 +V +tp14611 +a(g18 +Vw +tp14612 +a(g344 +V, +tp14613 +a(g189 +V +tp14614 +a(g139 +Vint +p14615 +tp14616 +a(g189 +V +tp14617 +a(g18 +Vh +tp14618 +a(g344 +V, +tp14619 +a(g189 +V +tp14620 +a(g18 +VGraphics2D +p14621 +tp14622 +a(g189 +V +tp14623 +a(g18 +Vg2 +p14624 +tp14625 +a(g344 +V) +tp14626 +a(g189 +V +tp14627 +a(g344 +V{ +tp14628 +a(g189 +V\u000a +tp14629 +a(g189 +V +p14630 +tp14631 +a(g18 +Vg2 +p14632 +tp14633 +a(g344 +V. +tp14634 +a(g41 +VsetPaint +p14635 +tp14636 +a(g344 +V( +tp14637 +a(g18 +Vtexture +p14638 +tp14639 +a(g344 +V) +tp14640 +a(g344 +V; +tp14641 +a(g189 +V\u000a +tp14642 +a(g189 +V +p14643 +tp14644 +a(g111 +Vif +p14645 +tp14646 +a(g189 +V +tp14647 +a(g344 +V( +tp14648 +a(g344 +V( +tp14649 +a(g18 +Vtype +p14650 +tp14651 +a(g189 +V +tp14652 +a(g344 +V& +tp14653 +a(g189 +V +tp14654 +a(g18 +VNF +p14655 +tp14656 +a(g344 +V) +tp14657 +a(g189 +V +tp14658 +a(g344 +V= +tp14659 +a(g344 +V= +tp14660 +a(g189 +V +tp14661 +a(g319 +V0 +tp14662 +a(g344 +V) +tp14663 +a(g189 +V +tp14664 +a(g344 +V{ +tp14665 +a(g189 +V\u000a +tp14666 +a(g189 +V +p14667 +tp14668 +a(g18 +Vg2 +p14669 +tp14670 +a(g344 +V. +tp14671 +a(g41 +VfillRect +p14672 +tp14673 +a(g344 +V( +tp14674 +a(g319 +V0 +tp14675 +a(g344 +V, +tp14676 +a(g189 +V +tp14677 +a(g319 +V0 +tp14678 +a(g344 +V, +tp14679 +a(g189 +V +tp14680 +a(g18 +Vw +tp14681 +a(g344 +V, +tp14682 +a(g189 +V +tp14683 +a(g18 +Vh +tp14684 +a(g344 +V) +tp14685 +a(g344 +V; +tp14686 +a(g189 +V\u000a +tp14687 +a(g189 +V +p14688 +tp14689 +a(g344 +V} +tp14690 +a(g189 +V\u000a +tp14691 +a(g189 +V +p14692 +tp14693 +a(g344 +V} +tp14694 +a(g189 +V\u000a +tp14695 +a(g189 +V\u000a +tp14696 +a(g189 +V +p14697 +tp14698 +a(g131 +Vpublic +p14699 +tp14700 +a(g189 +V +tp14701 +a(g139 +Vint +p14702 +tp14703 +a(g189 +V +tp14704 +a(g21 +VgetBegin +p14705 +tp14706 +a(g344 +V( +tp14707 +a(g344 +V) +tp14708 +a(g189 +V +tp14709 +a(g344 +V{ +tp14710 +a(g189 +V\u000a +tp14711 +a(g189 +V +p14712 +tp14713 +a(g111 +Vreturn +p14714 +tp14715 +a(g189 +V +tp14716 +a(g18 +Vbeginning +p14717 +tp14718 +a(g344 +V; +tp14719 +a(g189 +V\u000a +tp14720 +a(g189 +V +p14721 +tp14722 +a(g344 +V} +tp14723 +a(g189 +V\u000a +tp14724 +a(g189 +V\u000a +tp14725 +a(g189 +V +p14726 +tp14727 +a(g131 +Vpublic +p14728 +tp14729 +a(g189 +V +tp14730 +a(g139 +Vint +p14731 +tp14732 +a(g189 +V +tp14733 +a(g21 +VgetEnd +p14734 +tp14735 +a(g344 +V( +tp14736 +a(g344 +V) +tp14737 +a(g189 +V +tp14738 +a(g344 +V{ +tp14739 +a(g189 +V\u000a +tp14740 +a(g189 +V +p14741 +tp14742 +a(g111 +Vreturn +p14743 +tp14744 +a(g189 +V +tp14745 +a(g18 +Vending +p14746 +tp14747 +a(g344 +V; +tp14748 +a(g189 +V\u000a +tp14749 +a(g189 +V +p14750 +tp14751 +a(g344 +V} +tp14752 +a(g189 +V\u000a +tp14753 +a(g189 +V +p14754 +tp14755 +a(g344 +V} +tp14756 +a(g189 +V +tp14757 +a(g358 +V// End TpE class\u000a +p14758 +tp14759 +a(g189 +V\u000a +tp14760 +a(g189 +V\u000a +tp14761 +a(g189 +V\u000a +tp14762 +a(g189 +V +p14763 +tp14764 +a(g7 +V/**\u000a * Close out effect. Close out the buffered image with different \u000a * geometry shapes.\u000a */ +p14765 +tp14766 +a(g189 +V\u000a +tp14767 +a(g189 +V +p14768 +tp14769 +a(g131 +Vstatic +p14770 +tp14771 +a(g189 +V +tp14772 +a(g131 +Vclass +p14773 +tp14774 +a(g189 +V +tp14775 +a(g106 +VCoE +p14776 +tp14777 +a(g189 +V +tp14778 +a(g131 +Vimplements +p14779 +tp14780 +a(g189 +V +tp14781 +a(g18 +VPart +p14782 +tp14783 +a(g189 +V +tp14784 +a(g344 +V{ +tp14785 +a(g189 +V\u000a +tp14786 +a(g189 +V\u000a +tp14787 +a(g189 +V +p14788 +tp14789 +a(g131 +Vstatic +p14790 +tp14791 +a(g189 +V +tp14792 +a(g131 +Vfinal +p14793 +tp14794 +a(g189 +V +tp14795 +a(g139 +Vint +p14796 +tp14797 +a(g189 +V +tp14798 +a(g18 +VWID +p14799 +tp14800 +a(g189 +V +p14801 +tp14802 +a(g344 +V= +tp14803 +a(g189 +V +tp14804 +a(g319 +V1 +tp14805 +a(g344 +V; +tp14806 +a(g189 +V +p14807 +tp14808 +a(g189 +V\u000a +tp14809 +a(g189 +V +p14810 +tp14811 +a(g131 +Vstatic +p14812 +tp14813 +a(g189 +V +tp14814 +a(g131 +Vfinal +p14815 +tp14816 +a(g189 +V +tp14817 +a(g139 +Vint +p14818 +tp14819 +a(g189 +V +tp14820 +a(g18 +VHEI +p14821 +tp14822 +a(g189 +V +p14823 +tp14824 +a(g344 +V= +tp14825 +a(g189 +V +tp14826 +a(g319 +V2 +tp14827 +a(g344 +V; +tp14828 +a(g189 +V +p14829 +tp14830 +a(g189 +V\u000a +tp14831 +a(g189 +V +p14832 +tp14833 +a(g131 +Vstatic +p14834 +tp14835 +a(g189 +V +tp14836 +a(g131 +Vfinal +p14837 +tp14838 +a(g189 +V +tp14839 +a(g139 +Vint +p14840 +tp14841 +a(g189 +V +tp14842 +a(g18 +VOVAL +p14843 +tp14844 +a(g189 +V +tp14845 +a(g344 +V= +tp14846 +a(g189 +V +tp14847 +a(g319 +V4 +tp14848 +a(g344 +V; +tp14849 +a(g189 +V +p14850 +tp14851 +a(g189 +V\u000a +tp14852 +a(g189 +V +p14853 +tp14854 +a(g131 +Vstatic +p14855 +tp14856 +a(g189 +V +tp14857 +a(g131 +Vfinal +p14858 +tp14859 +a(g189 +V +tp14860 +a(g139 +Vint +p14861 +tp14862 +a(g189 +V +tp14863 +a(g18 +VRECT +p14864 +tp14865 +a(g189 +V +tp14866 +a(g344 +V= +tp14867 +a(g189 +V +tp14868 +a(g319 +V8 +tp14869 +a(g344 +V; +tp14870 +a(g189 +V +p14871 +tp14872 +a(g189 +V\u000a +tp14873 +a(g189 +V +p14874 +tp14875 +a(g131 +Vstatic +p14876 +tp14877 +a(g189 +V +tp14878 +a(g131 +Vfinal +p14879 +tp14880 +a(g189 +V +tp14881 +a(g139 +Vint +p14882 +tp14883 +a(g189 +V +tp14884 +a(g18 +VRAND +p14885 +tp14886 +a(g189 +V +tp14887 +a(g344 +V= +tp14888 +a(g189 +V +tp14889 +a(g319 +V16 +p14890 +tp14891 +a(g344 +V; +tp14892 +a(g189 +V +p14893 +tp14894 +a(g189 +V\u000a +tp14895 +a(g189 +V +p14896 +tp14897 +a(g131 +Vstatic +p14898 +tp14899 +a(g189 +V +tp14900 +a(g131 +Vfinal +p14901 +tp14902 +a(g189 +V +tp14903 +a(g139 +Vint +p14904 +tp14905 +a(g189 +V +tp14906 +a(g18 +VARC +p14907 +tp14908 +a(g189 +V +p14909 +tp14910 +a(g344 +V= +tp14911 +a(g189 +V +tp14912 +a(g319 +V32 +p14913 +tp14914 +a(g344 +V; +tp14915 +a(g189 +V +p14916 +tp14917 +a(g189 +V\u000a +tp14918 +a(g189 +V +p14919 +tp14920 +a(g131 +Vprivate +p14921 +tp14922 +a(g189 +V +tp14923 +a(g139 +Vint +p14924 +tp14925 +a(g189 +V +tp14926 +a(g18 +Vtype +p14927 +tp14928 +a(g344 +V; +tp14929 +a(g189 +V\u000a +tp14930 +a(g189 +V +p14931 +tp14932 +a(g131 +Vprivate +p14933 +tp14934 +a(g189 +V +tp14935 +a(g139 +Vint +p14936 +tp14937 +a(g189 +V +tp14938 +a(g18 +Vbeginning +p14939 +tp14940 +a(g344 +V, +tp14941 +a(g189 +V +tp14942 +a(g18 +Vending +p14943 +tp14944 +a(g344 +V; +tp14945 +a(g189 +V\u000a +tp14946 +a(g189 +V +p14947 +tp14948 +a(g131 +Vprivate +p14949 +tp14950 +a(g189 +V +tp14951 +a(g18 +VBufferedImage +p14952 +tp14953 +a(g189 +V +tp14954 +a(g18 +Vbimg +p14955 +tp14956 +a(g344 +V; +tp14957 +a(g189 +V\u000a +tp14958 +a(g189 +V +p14959 +tp14960 +a(g131 +Vprivate +p14961 +tp14962 +a(g189 +V +tp14963 +a(g18 +VShape +p14964 +tp14965 +a(g189 +V +tp14966 +a(g18 +Vshape +p14967 +tp14968 +a(g344 +V; +tp14969 +a(g189 +V\u000a +tp14970 +a(g189 +V +p14971 +tp14972 +a(g131 +Vprivate +p14973 +tp14974 +a(g189 +V +tp14975 +a(g139 +Vdouble +p14976 +tp14977 +a(g189 +V +tp14978 +a(g18 +Vzoom +p14979 +tp14980 +a(g344 +V, +tp14981 +a(g189 +V +tp14982 +a(g18 +Vextent +p14983 +tp14984 +a(g344 +V; +tp14985 +a(g189 +V\u000a +tp14986 +a(g189 +V +p14987 +tp14988 +a(g131 +Vprivate +p14989 +tp14990 +a(g189 +V +tp14991 +a(g139 +Vdouble +p14992 +tp14993 +a(g189 +V +tp14994 +a(g18 +VzIncr +p14995 +tp14996 +a(g344 +V, +tp14997 +a(g189 +V +tp14998 +a(g18 +VeIncr +p14999 +tp15000 +a(g344 +V; +tp15001 +a(g189 +V\u000a +tp15002 +a(g189 +V +p15003 +tp15004 +a(g131 +Vprivate +p15005 +tp15006 +a(g189 +V +tp15007 +a(g139 +Vboolean +p15008 +tp15009 +a(g189 +V +tp15010 +a(g18 +VdoRandom +p15011 +tp15012 +a(g344 +V; +tp15013 +a(g189 +V\u000a +tp15014 +a(g189 +V\u000a +tp15015 +a(g189 +V\u000a +tp15016 +a(g189 +V +p15017 +tp15018 +a(g131 +Vpublic +p15019 +tp15020 +a(g189 +V +tp15021 +a(g21 +VCoE +p15022 +tp15023 +a(g344 +V( +tp15024 +a(g139 +Vint +p15025 +tp15026 +a(g189 +V +tp15027 +a(g18 +Vtype +p15028 +tp15029 +a(g344 +V, +tp15030 +a(g189 +V +tp15031 +a(g139 +Vint +p15032 +tp15033 +a(g189 +V +tp15034 +a(g18 +Vbeg +p15035 +tp15036 +a(g344 +V, +tp15037 +a(g189 +V +tp15038 +a(g139 +Vint +p15039 +tp15040 +a(g189 +V +tp15041 +a(g18 +Vend +p15042 +tp15043 +a(g344 +V) +tp15044 +a(g189 +V +tp15045 +a(g344 +V{ +tp15046 +a(g189 +V\u000a +tp15047 +a(g189 +V +p15048 +tp15049 +a(g111 +Vthis +p15050 +tp15051 +a(g344 +V. +tp15052 +a(g41 +Vtype +p15053 +tp15054 +a(g189 +V +tp15055 +a(g344 +V= +tp15056 +a(g189 +V +tp15057 +a(g18 +Vtype +p15058 +tp15059 +a(g344 +V; +tp15060 +a(g189 +V\u000a +tp15061 +a(g189 +V +p15062 +tp15063 +a(g111 +Vthis +p15064 +tp15065 +a(g344 +V. +tp15066 +a(g41 +Vbeginning +p15067 +tp15068 +a(g189 +V +tp15069 +a(g344 +V= +tp15070 +a(g189 +V +tp15071 +a(g18 +Vbeg +p15072 +tp15073 +a(g344 +V; +tp15074 +a(g189 +V\u000a +tp15075 +a(g189 +V +p15076 +tp15077 +a(g111 +Vthis +p15078 +tp15079 +a(g344 +V. +tp15080 +a(g41 +Vending +p15081 +tp15082 +a(g189 +V +tp15083 +a(g344 +V= +tp15084 +a(g189 +V +tp15085 +a(g18 +Vend +p15086 +tp15087 +a(g344 +V; +tp15088 +a(g189 +V\u000a +tp15089 +a(g189 +V +p15090 +tp15091 +a(g18 +VzIncr +p15092 +tp15093 +a(g189 +V +tp15094 +a(g344 +V= +tp15095 +a(g189 +V +tp15096 +a(g344 +V- +tp15097 +a(g344 +V( +tp15098 +a(g311 +V2.0 +p15099 +tp15100 +a(g189 +V +tp15101 +a(g344 +V/ +tp15102 +a(g189 +V +tp15103 +a(g344 +V( +tp15104 +a(g18 +Vending +p15105 +tp15106 +a(g189 +V +tp15107 +a(g344 +V- +tp15108 +a(g189 +V +tp15109 +a(g18 +Vbeginning +p15110 +tp15111 +a(g344 +V) +tp15112 +a(g344 +V) +tp15113 +a(g344 +V; +tp15114 +a(g189 +V\u000a +tp15115 +a(g189 +V +p15116 +tp15117 +a(g18 +VeIncr +p15118 +tp15119 +a(g189 +V +tp15120 +a(g344 +V= +tp15121 +a(g189 +V +tp15122 +a(g311 +V360.0 +p15123 +tp15124 +a(g189 +V +tp15125 +a(g344 +V/ +tp15126 +a(g189 +V +tp15127 +a(g344 +V( +tp15128 +a(g18 +Vending +p15129 +tp15130 +a(g189 +V +tp15131 +a(g344 +V- +tp15132 +a(g189 +V +tp15133 +a(g18 +Vbeginning +p15134 +tp15135 +a(g344 +V) +tp15136 +a(g344 +V; +tp15137 +a(g189 +V\u000a +tp15138 +a(g189 +V +p15139 +tp15140 +a(g18 +VdoRandom +p15141 +tp15142 +a(g189 +V +tp15143 +a(g344 +V= +tp15144 +a(g189 +V +tp15145 +a(g344 +V( +tp15146 +a(g18 +Vtype +p15147 +tp15148 +a(g189 +V +tp15149 +a(g344 +V& +tp15150 +a(g189 +V +tp15151 +a(g18 +VRAND +p15152 +tp15153 +a(g344 +V) +tp15154 +a(g189 +V +tp15155 +a(g344 +V! +tp15156 +a(g344 +V= +tp15157 +a(g189 +V +tp15158 +a(g319 +V0 +tp15159 +a(g344 +V; +tp15160 +a(g189 +V\u000a +tp15161 +a(g189 +V +p15162 +tp15163 +a(g344 +V} +tp15164 +a(g189 +V\u000a +tp15165 +a(g189 +V\u000a +tp15166 +a(g189 +V\u000a +tp15167 +a(g189 +V +p15168 +tp15169 +a(g131 +Vpublic +p15170 +tp15171 +a(g189 +V +tp15172 +a(g139 +Vvoid +p15173 +tp15174 +a(g189 +V +tp15175 +a(g21 +Vreset +p15176 +tp15177 +a(g344 +V( +tp15178 +a(g139 +Vint +p15179 +tp15180 +a(g189 +V +tp15181 +a(g18 +Vw +tp15182 +a(g344 +V, +tp15183 +a(g189 +V +tp15184 +a(g139 +Vint +p15185 +tp15186 +a(g189 +V +tp15187 +a(g18 +Vh +tp15188 +a(g344 +V) +tp15189 +a(g189 +V +tp15190 +a(g344 +V{ +tp15191 +a(g189 +V\u000a +tp15192 +a(g189 +V +p15193 +tp15194 +a(g111 +Vif +p15195 +tp15196 +a(g189 +V +tp15197 +a(g344 +V( +tp15198 +a(g18 +VdoRandom +p15199 +tp15200 +a(g344 +V) +tp15201 +a(g189 +V +tp15202 +a(g344 +V{ +tp15203 +a(g189 +V\u000a +tp15204 +a(g189 +V +p15205 +tp15206 +a(g139 +Vint +p15207 +tp15208 +a(g189 +V +tp15209 +a(g18 +Vnum +p15210 +tp15211 +a(g189 +V +tp15212 +a(g344 +V= +tp15213 +a(g189 +V +tp15214 +a(g344 +V( +tp15215 +a(g139 +Vint +p15216 +tp15217 +a(g344 +V) +tp15218 +a(g189 +V +tp15219 +a(g344 +V( +tp15220 +a(g18 +VMath +p15221 +tp15222 +a(g344 +V. +tp15223 +a(g41 +Vrandom +p15224 +tp15225 +a(g344 +V( +tp15226 +a(g344 +V) +tp15227 +a(g189 +V +tp15228 +a(g344 +V* +tp15229 +a(g189 +V +tp15230 +a(g311 +V5.0 +p15231 +tp15232 +a(g344 +V) +tp15233 +a(g344 +V; +tp15234 +a(g189 +V\u000a +tp15235 +a(g189 +V +p15236 +tp15237 +a(g111 +Vswitch +p15238 +tp15239 +a(g189 +V +tp15240 +a(g344 +V( +tp15241 +a(g18 +Vnum +p15242 +tp15243 +a(g344 +V) +tp15244 +a(g189 +V +tp15245 +a(g344 +V{ +tp15246 +a(g189 +V\u000a +tp15247 +a(g189 +V +p15248 +tp15249 +a(g111 +Vcase +p15250 +tp15251 +a(g189 +V +tp15252 +a(g319 +V0 +tp15253 +a(g189 +V +tp15254 +a(g344 +V: +tp15255 +a(g189 +V +tp15256 +a(g18 +Vtype +p15257 +tp15258 +a(g189 +V +tp15259 +a(g344 +V= +tp15260 +a(g189 +V +tp15261 +a(g18 +VOVAL +p15262 +tp15263 +a(g344 +V; +tp15264 +a(g189 +V +tp15265 +a(g111 +Vbreak +p15266 +tp15267 +a(g344 +V; +tp15268 +a(g189 +V\u000a +tp15269 +a(g189 +V +p15270 +tp15271 +a(g111 +Vcase +p15272 +tp15273 +a(g189 +V +tp15274 +a(g319 +V1 +tp15275 +a(g189 +V +tp15276 +a(g344 +V: +tp15277 +a(g189 +V +tp15278 +a(g18 +Vtype +p15279 +tp15280 +a(g189 +V +tp15281 +a(g344 +V= +tp15282 +a(g189 +V +tp15283 +a(g18 +VRECT +p15284 +tp15285 +a(g344 +V; +tp15286 +a(g189 +V +tp15287 +a(g111 +Vbreak +p15288 +tp15289 +a(g344 +V; +tp15290 +a(g189 +V\u000a +tp15291 +a(g189 +V +p15292 +tp15293 +a(g111 +Vcase +p15294 +tp15295 +a(g189 +V +tp15296 +a(g319 +V2 +tp15297 +a(g189 +V +tp15298 +a(g344 +V: +tp15299 +a(g189 +V +tp15300 +a(g18 +Vtype +p15301 +tp15302 +a(g189 +V +tp15303 +a(g344 +V= +tp15304 +a(g189 +V +tp15305 +a(g18 +VRECT +p15306 +tp15307 +a(g189 +V +tp15308 +a(g344 +V| +tp15309 +a(g189 +V +tp15310 +a(g18 +VWID +p15311 +tp15312 +a(g344 +V; +tp15313 +a(g189 +V +tp15314 +a(g111 +Vbreak +p15315 +tp15316 +a(g344 +V; +tp15317 +a(g189 +V\u000a +tp15318 +a(g189 +V +p15319 +tp15320 +a(g111 +Vcase +p15321 +tp15322 +a(g189 +V +tp15323 +a(g319 +V3 +tp15324 +a(g189 +V +tp15325 +a(g344 +V: +tp15326 +a(g189 +V +tp15327 +a(g18 +Vtype +p15328 +tp15329 +a(g189 +V +tp15330 +a(g344 +V= +tp15331 +a(g189 +V +tp15332 +a(g18 +VRECT +p15333 +tp15334 +a(g189 +V +tp15335 +a(g344 +V| +tp15336 +a(g189 +V +tp15337 +a(g18 +VHEI +p15338 +tp15339 +a(g344 +V; +tp15340 +a(g189 +V +tp15341 +a(g111 +Vbreak +p15342 +tp15343 +a(g344 +V; +tp15344 +a(g189 +V\u000a +tp15345 +a(g189 +V +p15346 +tp15347 +a(g111 +Vcase +p15348 +tp15349 +a(g189 +V +tp15350 +a(g319 +V4 +tp15351 +a(g189 +V +tp15352 +a(g344 +V: +tp15353 +a(g189 +V +tp15354 +a(g18 +Vtype +p15355 +tp15356 +a(g189 +V +tp15357 +a(g344 +V= +tp15358 +a(g189 +V +tp15359 +a(g18 +VARC +p15360 +tp15361 +a(g344 +V; +tp15362 +a(g189 +V +tp15363 +a(g111 +Vbreak +p15364 +tp15365 +a(g344 +V; +tp15366 +a(g189 +V\u000a +tp15367 +a(g189 +V +p15368 +tp15369 +a(g111 +Vdefault +p15370 +tp15371 +a(g189 +V +tp15372 +a(g344 +V: +tp15373 +a(g189 +V +tp15374 +a(g18 +Vtype +p15375 +tp15376 +a(g189 +V +tp15377 +a(g344 +V= +tp15378 +a(g189 +V +tp15379 +a(g18 +VOVAL +p15380 +tp15381 +a(g344 +V; +tp15382 +a(g189 +V +tp15383 +a(g189 +V\u000a +tp15384 +a(g189 +V +p15385 +tp15386 +a(g344 +V} +tp15387 +a(g189 +V\u000a +tp15388 +a(g189 +V +p15389 +tp15390 +a(g344 +V} +tp15391 +a(g189 +V\u000a +tp15392 +a(g189 +V +p15393 +tp15394 +a(g18 +Vshape +p15395 +tp15396 +a(g189 +V +tp15397 +a(g344 +V= +tp15398 +a(g189 +V +tp15399 +a(g117 +Vnull +p15400 +tp15401 +a(g344 +V; +tp15402 +a(g189 +V\u000a +tp15403 +a(g189 +V +p15404 +tp15405 +a(g18 +Vbimg +p15406 +tp15407 +a(g189 +V +tp15408 +a(g344 +V= +tp15409 +a(g189 +V +tp15410 +a(g117 +Vnull +p15411 +tp15412 +a(g344 +V; +tp15413 +a(g189 +V\u000a +tp15414 +a(g189 +V +p15415 +tp15416 +a(g18 +Vextent +p15417 +tp15418 +a(g189 +V +tp15419 +a(g344 +V= +tp15420 +a(g189 +V +tp15421 +a(g311 +V360.0 +p15422 +tp15423 +a(g344 +V; +tp15424 +a(g189 +V\u000a +tp15425 +a(g189 +V +p15426 +tp15427 +a(g18 +Vzoom +p15428 +tp15429 +a(g189 +V +tp15430 +a(g344 +V= +tp15431 +a(g189 +V +tp15432 +a(g311 +V2.0 +p15433 +tp15434 +a(g344 +V; +tp15435 +a(g189 +V\u000a +tp15436 +a(g189 +V +p15437 +tp15438 +a(g344 +V} +tp15439 +a(g189 +V\u000a +tp15440 +a(g189 +V\u000a +tp15441 +a(g189 +V\u000a +tp15442 +a(g189 +V +p15443 +tp15444 +a(g131 +Vpublic +p15445 +tp15446 +a(g189 +V +tp15447 +a(g139 +Vvoid +p15448 +tp15449 +a(g189 +V +tp15450 +a(g21 +Vstep +p15451 +tp15452 +a(g344 +V( +tp15453 +a(g139 +Vint +p15454 +tp15455 +a(g189 +V +tp15456 +a(g18 +Vw +tp15457 +a(g344 +V, +tp15458 +a(g189 +V +tp15459 +a(g139 +Vint +p15460 +tp15461 +a(g189 +V +tp15462 +a(g18 +Vh +tp15463 +a(g344 +V) +tp15464 +a(g189 +V +tp15465 +a(g344 +V{ +tp15466 +a(g189 +V\u000a +tp15467 +a(g189 +V +p15468 +tp15469 +a(g111 +Vif +p15470 +tp15471 +a(g189 +V +tp15472 +a(g344 +V( +tp15473 +a(g18 +Vbimg +p15474 +tp15475 +a(g189 +V +tp15476 +a(g344 +V= +tp15477 +a(g344 +V= +tp15478 +a(g189 +V +tp15479 +a(g117 +Vnull +p15480 +tp15481 +a(g344 +V) +tp15482 +a(g189 +V +tp15483 +a(g344 +V{ +tp15484 +a(g189 +V\u000a +tp15485 +a(g189 +V +p15486 +tp15487 +a(g139 +Vint +p15488 +tp15489 +a(g189 +V +tp15490 +a(g18 +Vbiw +p15491 +tp15492 +a(g189 +V +tp15493 +a(g344 +V= +tp15494 +a(g189 +V +tp15495 +a(g18 +VSurface +p15496 +tp15497 +a(g344 +V. +tp15498 +a(g41 +Vbimg +p15499 +tp15500 +a(g344 +V. +tp15501 +a(g41 +VgetWidth +p15502 +tp15503 +a(g344 +V( +tp15504 +a(g344 +V) +tp15505 +a(g344 +V; +tp15506 +a(g189 +V\u000a +tp15507 +a(g189 +V +p15508 +tp15509 +a(g139 +Vint +p15510 +tp15511 +a(g189 +V +tp15512 +a(g18 +Vbih +p15513 +tp15514 +a(g189 +V +tp15515 +a(g344 +V= +tp15516 +a(g189 +V +tp15517 +a(g18 +VSurface +p15518 +tp15519 +a(g344 +V. +tp15520 +a(g41 +Vbimg +p15521 +tp15522 +a(g344 +V. +tp15523 +a(g41 +VgetHeight +p15524 +tp15525 +a(g344 +V( +tp15526 +a(g344 +V) +tp15527 +a(g344 +V; +tp15528 +a(g189 +V\u000a +tp15529 +a(g189 +V +p15530 +tp15531 +a(g18 +Vbimg +p15532 +tp15533 +a(g189 +V +tp15534 +a(g344 +V= +tp15535 +a(g189 +V +tp15536 +a(g111 +Vnew +p15537 +tp15538 +a(g189 +V +tp15539 +a(g18 +VBufferedImage +p15540 +tp15541 +a(g344 +V( +tp15542 +a(g18 +Vbiw +p15543 +tp15544 +a(g344 +V, +tp15545 +a(g189 +V +tp15546 +a(g18 +Vbih +p15547 +tp15548 +a(g344 +V, +tp15549 +a(g189 +V +tp15550 +a(g18 +VBufferedImage +p15551 +tp15552 +a(g344 +V. +tp15553 +a(g41 +VTYPE_INT_RGB +p15554 +tp15555 +a(g344 +V) +tp15556 +a(g344 +V; +tp15557 +a(g189 +V\u000a +tp15558 +a(g189 +V +p15559 +tp15560 +a(g18 +VGraphics2D +p15561 +tp15562 +a(g189 +V +tp15563 +a(g18 +Vbig +p15564 +tp15565 +a(g189 +V +tp15566 +a(g344 +V= +tp15567 +a(g189 +V +tp15568 +a(g18 +Vbimg +p15569 +tp15570 +a(g344 +V. +tp15571 +a(g41 +VcreateGraphics +p15572 +tp15573 +a(g344 +V( +tp15574 +a(g344 +V) +tp15575 +a(g344 +V; +tp15576 +a(g189 +V\u000a +tp15577 +a(g189 +V +p15578 +tp15579 +a(g18 +Vbig +p15580 +tp15581 +a(g344 +V. +tp15582 +a(g41 +VdrawImage +p15583 +tp15584 +a(g344 +V( +tp15585 +a(g18 +VSurface +p15586 +tp15587 +a(g344 +V. +tp15588 +a(g41 +Vbimg +p15589 +tp15590 +a(g344 +V, +tp15591 +a(g189 +V +tp15592 +a(g319 +V0 +tp15593 +a(g344 +V, +tp15594 +a(g189 +V +tp15595 +a(g319 +V0 +tp15596 +a(g344 +V, +tp15597 +a(g189 +V +tp15598 +a(g117 +Vnull +p15599 +tp15600 +a(g344 +V) +tp15601 +a(g344 +V; +tp15602 +a(g189 +V\u000a +tp15603 +a(g189 +V +p15604 +tp15605 +a(g344 +V} +tp15606 +a(g189 +V\u000a +tp15607 +a(g189 +V +p15608 +tp15609 +a(g139 +Vdouble +p15610 +tp15611 +a(g189 +V +tp15612 +a(g18 +Vz +tp15613 +a(g189 +V +tp15614 +a(g344 +V= +tp15615 +a(g189 +V +tp15616 +a(g18 +VMath +p15617 +tp15618 +a(g344 +V. +tp15619 +a(g41 +Vmin +p15620 +tp15621 +a(g344 +V( +tp15622 +a(g18 +Vw +tp15623 +a(g344 +V, +tp15624 +a(g189 +V +tp15625 +a(g18 +Vh +tp15626 +a(g344 +V) +tp15627 +a(g189 +V +tp15628 +a(g344 +V* +tp15629 +a(g189 +V +tp15630 +a(g18 +Vzoom +p15631 +tp15632 +a(g344 +V; +tp15633 +a(g189 +V\u000a +tp15634 +a(g189 +V +p15635 +tp15636 +a(g111 +Vif +p15637 +tp15638 +a(g189 +V +tp15639 +a(g344 +V( +tp15640 +a(g344 +V( +tp15641 +a(g18 +Vtype +p15642 +tp15643 +a(g189 +V +tp15644 +a(g344 +V& +tp15645 +a(g189 +V +tp15646 +a(g18 +VOVAL +p15647 +tp15648 +a(g344 +V) +tp15649 +a(g189 +V +tp15650 +a(g344 +V! +tp15651 +a(g344 +V= +tp15652 +a(g189 +V +tp15653 +a(g319 +V0 +tp15654 +a(g344 +V) +tp15655 +a(g189 +V +tp15656 +a(g344 +V{ +tp15657 +a(g189 +V\u000a +tp15658 +a(g189 +V +p15659 +tp15660 +a(g18 +Vshape +p15661 +tp15662 +a(g189 +V +tp15663 +a(g344 +V= +tp15664 +a(g189 +V +tp15665 +a(g111 +Vnew +p15666 +tp15667 +a(g189 +V +tp15668 +a(g18 +VEllipse2D +p15669 +tp15670 +a(g344 +V. +tp15671 +a(g41 +VDouble +p15672 +tp15673 +a(g344 +V( +tp15674 +a(g18 +Vw +tp15675 +a(g344 +V/ +tp15676 +a(g319 +V2 +tp15677 +a(g344 +V- +tp15678 +a(g18 +Vz +tp15679 +a(g344 +V/ +tp15680 +a(g319 +V2 +tp15681 +a(g344 +V, +tp15682 +a(g18 +Vh +tp15683 +a(g344 +V/ +tp15684 +a(g319 +V2 +tp15685 +a(g344 +V- +tp15686 +a(g18 +Vz +tp15687 +a(g344 +V/ +tp15688 +a(g319 +V2 +tp15689 +a(g344 +V, +tp15690 +a(g18 +Vz +tp15691 +a(g344 +V, +tp15692 +a(g18 +Vz +tp15693 +a(g344 +V) +tp15694 +a(g344 +V; +tp15695 +a(g189 +V\u000a +tp15696 +a(g189 +V +p15697 +tp15698 +a(g344 +V} +tp15699 +a(g189 +V +tp15700 +a(g111 +Velse +p15701 +tp15702 +a(g189 +V +tp15703 +a(g111 +Vif +p15704 +tp15705 +a(g189 +V +tp15706 +a(g344 +V( +tp15707 +a(g344 +V( +tp15708 +a(g18 +Vtype +p15709 +tp15710 +a(g189 +V +tp15711 +a(g344 +V& +tp15712 +a(g189 +V +tp15713 +a(g18 +VARC +p15714 +tp15715 +a(g344 +V) +tp15716 +a(g189 +V +tp15717 +a(g344 +V! +tp15718 +a(g344 +V= +tp15719 +a(g189 +V +tp15720 +a(g319 +V0 +tp15721 +a(g344 +V) +tp15722 +a(g189 +V +tp15723 +a(g344 +V{ +tp15724 +a(g189 +V\u000a +tp15725 +a(g189 +V +p15726 +tp15727 +a(g18 +Vshape +p15728 +tp15729 +a(g189 +V +tp15730 +a(g344 +V= +tp15731 +a(g189 +V +tp15732 +a(g111 +Vnew +p15733 +tp15734 +a(g189 +V +tp15735 +a(g18 +VArc2D +p15736 +tp15737 +a(g344 +V. +tp15738 +a(g41 +VDouble +p15739 +tp15740 +a(g344 +V( +tp15741 +a(g344 +V- +tp15742 +a(g319 +V100 +p15743 +tp15744 +a(g344 +V, +tp15745 +a(g344 +V- +tp15746 +a(g319 +V100 +p15747 +tp15748 +a(g344 +V, +tp15749 +a(g18 +Vw +tp15750 +a(g344 +V+ +tp15751 +a(g319 +V200 +p15752 +tp15753 +a(g344 +V, +tp15754 +a(g18 +Vh +tp15755 +a(g344 +V+ +tp15756 +a(g319 +V200 +p15757 +tp15758 +a(g344 +V, +tp15759 +a(g319 +V90 +p15760 +tp15761 +a(g344 +V, +tp15762 +a(g18 +Vextent +p15763 +tp15764 +a(g344 +V, +tp15765 +a(g18 +VArc2D +p15766 +tp15767 +a(g344 +V. +tp15768 +a(g41 +VPIE +p15769 +tp15770 +a(g344 +V) +tp15771 +a(g344 +V; +tp15772 +a(g189 +V\u000a +tp15773 +a(g189 +V +p15774 +tp15775 +a(g18 +Vextent +p15776 +tp15777 +a(g189 +V +tp15778 +a(g344 +V- +tp15779 +a(g344 +V= +tp15780 +a(g189 +V +tp15781 +a(g18 +VeIncr +p15782 +tp15783 +a(g344 +V; +tp15784 +a(g189 +V\u000a +tp15785 +a(g189 +V +p15786 +tp15787 +a(g344 +V} +tp15788 +a(g189 +V +tp15789 +a(g111 +Velse +p15790 +tp15791 +a(g189 +V +tp15792 +a(g111 +Vif +p15793 +tp15794 +a(g189 +V +tp15795 +a(g344 +V( +tp15796 +a(g344 +V( +tp15797 +a(g18 +Vtype +p15798 +tp15799 +a(g189 +V +tp15800 +a(g344 +V& +tp15801 +a(g189 +V +tp15802 +a(g18 +VRECT +p15803 +tp15804 +a(g344 +V) +tp15805 +a(g189 +V +tp15806 +a(g344 +V! +tp15807 +a(g344 +V= +tp15808 +a(g189 +V +tp15809 +a(g319 +V0 +tp15810 +a(g344 +V) +tp15811 +a(g189 +V +tp15812 +a(g344 +V{ +tp15813 +a(g189 +V\u000a +tp15814 +a(g189 +V +p15815 +tp15816 +a(g111 +Vif +p15817 +tp15818 +a(g189 +V +tp15819 +a(g344 +V( +tp15820 +a(g344 +V( +tp15821 +a(g18 +Vtype +p15822 +tp15823 +a(g189 +V +tp15824 +a(g344 +V& +tp15825 +a(g189 +V +tp15826 +a(g18 +VWID +p15827 +tp15828 +a(g344 +V) +tp15829 +a(g189 +V +tp15830 +a(g344 +V! +tp15831 +a(g344 +V= +tp15832 +a(g189 +V +tp15833 +a(g319 +V0 +tp15834 +a(g344 +V) +tp15835 +a(g189 +V +tp15836 +a(g344 +V{ +tp15837 +a(g189 +V\u000a +tp15838 +a(g189 +V +p15839 +tp15840 +a(g18 +Vshape +p15841 +tp15842 +a(g189 +V +tp15843 +a(g344 +V= +tp15844 +a(g189 +V +tp15845 +a(g111 +Vnew +p15846 +tp15847 +a(g189 +V +tp15848 +a(g18 +VRectangle2D +p15849 +tp15850 +a(g344 +V. +tp15851 +a(g41 +VDouble +p15852 +tp15853 +a(g344 +V( +tp15854 +a(g18 +Vw +tp15855 +a(g344 +V/ +tp15856 +a(g319 +V2 +tp15857 +a(g344 +V- +tp15858 +a(g18 +Vz +tp15859 +a(g344 +V/ +tp15860 +a(g319 +V2 +tp15861 +a(g344 +V, +tp15862 +a(g319 +V0 +tp15863 +a(g344 +V, +tp15864 +a(g18 +Vz +tp15865 +a(g344 +V, +tp15866 +a(g18 +Vh +tp15867 +a(g344 +V) +tp15868 +a(g344 +V; +tp15869 +a(g189 +V\u000a +tp15870 +a(g189 +V +p15871 +tp15872 +a(g344 +V} +tp15873 +a(g189 +V +tp15874 +a(g111 +Velse +p15875 +tp15876 +a(g189 +V +tp15877 +a(g111 +Vif +p15878 +tp15879 +a(g189 +V +tp15880 +a(g344 +V( +tp15881 +a(g344 +V( +tp15882 +a(g18 +Vtype +p15883 +tp15884 +a(g189 +V +tp15885 +a(g344 +V& +tp15886 +a(g189 +V +tp15887 +a(g18 +VHEI +p15888 +tp15889 +a(g344 +V) +tp15890 +a(g189 +V +tp15891 +a(g344 +V! +tp15892 +a(g344 +V= +tp15893 +a(g189 +V +tp15894 +a(g319 +V0 +tp15895 +a(g344 +V) +tp15896 +a(g189 +V +tp15897 +a(g344 +V{ +tp15898 +a(g189 +V\u000a +tp15899 +a(g189 +V +p15900 +tp15901 +a(g18 +Vshape +p15902 +tp15903 +a(g189 +V +tp15904 +a(g344 +V= +tp15905 +a(g189 +V +tp15906 +a(g111 +Vnew +p15907 +tp15908 +a(g189 +V +tp15909 +a(g18 +VRectangle2D +p15910 +tp15911 +a(g344 +V. +tp15912 +a(g41 +VDouble +p15913 +tp15914 +a(g344 +V( +tp15915 +a(g319 +V0 +tp15916 +a(g344 +V, +tp15917 +a(g18 +Vh +tp15918 +a(g344 +V/ +tp15919 +a(g319 +V2 +tp15920 +a(g344 +V- +tp15921 +a(g18 +Vz +tp15922 +a(g344 +V/ +tp15923 +a(g319 +V2 +tp15924 +a(g344 +V, +tp15925 +a(g18 +Vw +tp15926 +a(g344 +V, +tp15927 +a(g18 +Vz +tp15928 +a(g344 +V) +tp15929 +a(g344 +V; +tp15930 +a(g189 +V\u000a +tp15931 +a(g189 +V +p15932 +tp15933 +a(g344 +V} +tp15934 +a(g189 +V +tp15935 +a(g111 +Velse +p15936 +tp15937 +a(g189 +V +tp15938 +a(g344 +V{ +tp15939 +a(g189 +V\u000a +tp15940 +a(g189 +V +p15941 +tp15942 +a(g18 +Vshape +p15943 +tp15944 +a(g189 +V +tp15945 +a(g344 +V= +tp15946 +a(g189 +V +tp15947 +a(g111 +Vnew +p15948 +tp15949 +a(g189 +V +tp15950 +a(g18 +VRectangle2D +p15951 +tp15952 +a(g344 +V. +tp15953 +a(g41 +VDouble +p15954 +tp15955 +a(g344 +V( +tp15956 +a(g18 +Vw +tp15957 +a(g344 +V/ +tp15958 +a(g319 +V2 +tp15959 +a(g344 +V- +tp15960 +a(g18 +Vz +tp15961 +a(g344 +V/ +tp15962 +a(g319 +V2 +tp15963 +a(g344 +V, +tp15964 +a(g18 +Vh +tp15965 +a(g344 +V/ +tp15966 +a(g319 +V2 +tp15967 +a(g344 +V- +tp15968 +a(g18 +Vz +tp15969 +a(g344 +V/ +tp15970 +a(g319 +V2 +tp15971 +a(g344 +V, +tp15972 +a(g18 +Vz +tp15973 +a(g344 +V, +tp15974 +a(g18 +Vz +tp15975 +a(g344 +V) +tp15976 +a(g344 +V; +tp15977 +a(g189 +V\u000a +tp15978 +a(g189 +V +p15979 +tp15980 +a(g344 +V} +tp15981 +a(g189 +V\u000a +tp15982 +a(g189 +V +p15983 +tp15984 +a(g344 +V} +tp15985 +a(g189 +V\u000a +tp15986 +a(g189 +V +p15987 +tp15988 +a(g18 +Vzoom +p15989 +tp15990 +a(g189 +V +tp15991 +a(g344 +V+ +tp15992 +a(g344 +V= +tp15993 +a(g189 +V +tp15994 +a(g18 +VzIncr +p15995 +tp15996 +a(g344 +V; +tp15997 +a(g189 +V\u000a +tp15998 +a(g189 +V +p15999 +tp16000 +a(g344 +V} +tp16001 +a(g189 +V\u000a +tp16002 +a(g189 +V\u000a +tp16003 +a(g189 +V\u000a +tp16004 +a(g189 +V +p16005 +tp16006 +a(g131 +Vpublic +p16007 +tp16008 +a(g189 +V +tp16009 +a(g139 +Vvoid +p16010 +tp16011 +a(g189 +V +tp16012 +a(g21 +Vrender +p16013 +tp16014 +a(g344 +V( +tp16015 +a(g139 +Vint +p16016 +tp16017 +a(g189 +V +tp16018 +a(g18 +Vw +tp16019 +a(g344 +V, +tp16020 +a(g189 +V +tp16021 +a(g139 +Vint +p16022 +tp16023 +a(g189 +V +tp16024 +a(g18 +Vh +tp16025 +a(g344 +V, +tp16026 +a(g189 +V +tp16027 +a(g18 +VGraphics2D +p16028 +tp16029 +a(g189 +V +tp16030 +a(g18 +Vg2 +p16031 +tp16032 +a(g344 +V) +tp16033 +a(g189 +V +tp16034 +a(g344 +V{ +tp16035 +a(g189 +V\u000a +tp16036 +a(g189 +V +p16037 +tp16038 +a(g18 +Vg2 +p16039 +tp16040 +a(g344 +V. +tp16041 +a(g41 +Vclip +p16042 +tp16043 +a(g344 +V( +tp16044 +a(g18 +Vshape +p16045 +tp16046 +a(g344 +V) +tp16047 +a(g344 +V; +tp16048 +a(g189 +V\u000a +tp16049 +a(g189 +V +p16050 +tp16051 +a(g18 +Vg2 +p16052 +tp16053 +a(g344 +V. +tp16054 +a(g41 +VdrawImage +p16055 +tp16056 +a(g344 +V( +tp16057 +a(g18 +Vbimg +p16058 +tp16059 +a(g344 +V, +tp16060 +a(g189 +V +tp16061 +a(g319 +V0 +tp16062 +a(g344 +V, +tp16063 +a(g189 +V +tp16064 +a(g319 +V0 +tp16065 +a(g344 +V, +tp16066 +a(g189 +V +tp16067 +a(g117 +Vnull +p16068 +tp16069 +a(g344 +V) +tp16070 +a(g344 +V; +tp16071 +a(g189 +V\u000a +tp16072 +a(g189 +V +p16073 +tp16074 +a(g344 +V} +tp16075 +a(g189 +V\u000a +tp16076 +a(g189 +V\u000a +tp16077 +a(g189 +V +p16078 +tp16079 +a(g131 +Vpublic +p16080 +tp16081 +a(g189 +V +tp16082 +a(g139 +Vint +p16083 +tp16084 +a(g189 +V +tp16085 +a(g21 +VgetBegin +p16086 +tp16087 +a(g344 +V( +tp16088 +a(g344 +V) +tp16089 +a(g189 +V +tp16090 +a(g344 +V{ +tp16091 +a(g189 +V\u000a +tp16092 +a(g189 +V +p16093 +tp16094 +a(g111 +Vreturn +p16095 +tp16096 +a(g189 +V +tp16097 +a(g18 +Vbeginning +p16098 +tp16099 +a(g344 +V; +tp16100 +a(g189 +V\u000a +tp16101 +a(g189 +V +p16102 +tp16103 +a(g344 +V} +tp16104 +a(g189 +V\u000a +tp16105 +a(g189 +V\u000a +tp16106 +a(g189 +V +p16107 +tp16108 +a(g131 +Vpublic +p16109 +tp16110 +a(g189 +V +tp16111 +a(g139 +Vint +p16112 +tp16113 +a(g189 +V +tp16114 +a(g21 +VgetEnd +p16115 +tp16116 +a(g344 +V( +tp16117 +a(g344 +V) +tp16118 +a(g189 +V +tp16119 +a(g344 +V{ +tp16120 +a(g189 +V\u000a +tp16121 +a(g189 +V +p16122 +tp16123 +a(g111 +Vreturn +p16124 +tp16125 +a(g189 +V +tp16126 +a(g18 +Vending +p16127 +tp16128 +a(g344 +V; +tp16129 +a(g189 +V\u000a +tp16130 +a(g189 +V +p16131 +tp16132 +a(g344 +V} +tp16133 +a(g189 +V\u000a +tp16134 +a(g189 +V +p16135 +tp16136 +a(g344 +V} +tp16137 +a(g189 +V +tp16138 +a(g358 +V// End CoE class\u000a +p16139 +tp16140 +a(g189 +V\u000a +tp16141 +a(g189 +V\u000a +tp16142 +a(g189 +V\u000a +tp16143 +a(g189 +V +p16144 +tp16145 +a(g7 +V/**\u000a * Dither Dissolve Effect. For each successive step in the animation, \u000a * a pseudo-random starting horizontal position is chosen using list, \u000a * and then the corresponding points created from xlist and ylist are\u000a * blacked out for the current "chunk". The x and y chunk starting\u000a * positions are each incremented by the associated chunk size, and \u000a * this process is repeated for the number of "steps" in the \u000a * animation, causing an equal number of pseudo-randomly picked \u000a * "blocks" to be blacked out during each step of the animation.\u000a */ +p16146 +tp16147 +a(g189 +V\u000a +tp16148 +a(g189 +V +p16149 +tp16150 +a(g131 +Vstatic +p16151 +tp16152 +a(g189 +V +tp16153 +a(g131 +Vclass +p16154 +tp16155 +a(g189 +V +tp16156 +a(g106 +VDdE +p16157 +tp16158 +a(g189 +V +tp16159 +a(g131 +Vimplements +p16160 +tp16161 +a(g189 +V +tp16162 +a(g18 +VPart +p16163 +tp16164 +a(g189 +V +tp16165 +a(g344 +V{ +tp16166 +a(g189 +V\u000a +tp16167 +a(g189 +V\u000a +tp16168 +a(g189 +V +p16169 +tp16170 +a(g131 +Vprivate +p16171 +tp16172 +a(g189 +V +tp16173 +a(g139 +Vint +p16174 +tp16175 +a(g189 +V +tp16176 +a(g18 +Vbeginning +p16177 +tp16178 +a(g344 +V, +tp16179 +a(g189 +V +tp16180 +a(g18 +Vending +p16181 +tp16182 +a(g344 +V; +tp16183 +a(g189 +V\u000a +tp16184 +a(g189 +V +p16185 +tp16186 +a(g131 +Vprivate +p16187 +tp16188 +a(g189 +V +tp16189 +a(g18 +VBufferedImage +p16190 +tp16191 +a(g189 +V +tp16192 +a(g18 +Vbimg +p16193 +tp16194 +a(g344 +V; +tp16195 +a(g189 +V\u000a +tp16196 +a(g189 +V +p16197 +tp16198 +a(g131 +Vprivate +p16199 +tp16200 +a(g189 +V +tp16201 +a(g18 +VGraphics2D +p16202 +tp16203 +a(g189 +V +tp16204 +a(g18 +Vbig +p16205 +tp16206 +a(g344 +V; +tp16207 +a(g189 +V\u000a +tp16208 +a(g189 +V +p16209 +tp16210 +a(g131 +Vprivate +p16211 +tp16212 +a(g189 +V +tp16213 +a(g18 +VList +p16214 +tp16215 +a(g189 +V +tp16216 +a(g18 +Vlist +p16217 +tp16218 +a(g344 +V, +tp16219 +a(g189 +V +tp16220 +a(g18 +Vxlist +p16221 +tp16222 +a(g344 +V, +tp16223 +a(g189 +V +tp16224 +a(g18 +Vylist +p16225 +tp16226 +a(g344 +V; +tp16227 +a(g189 +V\u000a +tp16228 +a(g189 +V +p16229 +tp16230 +a(g131 +Vprivate +p16231 +tp16232 +a(g189 +V +tp16233 +a(g139 +Vint +p16234 +tp16235 +a(g189 +V +tp16236 +a(g18 +VxeNum +p16237 +tp16238 +a(g344 +V, +tp16239 +a(g189 +V +tp16240 +a(g18 +VyeNum +p16241 +tp16242 +a(g344 +V; +tp16243 +a(g189 +V +p16244 +tp16245 +a(g358 +V// element number\u000a +p16246 +tp16247 +a(g189 +V +p16248 +tp16249 +a(g131 +Vprivate +p16250 +tp16251 +a(g189 +V +tp16252 +a(g139 +Vint +p16253 +tp16254 +a(g189 +V +tp16255 +a(g18 +VxcSize +p16256 +tp16257 +a(g344 +V, +tp16258 +a(g189 +V +tp16259 +a(g18 +VycSize +p16260 +tp16261 +a(g344 +V; +tp16262 +a(g189 +V +p16263 +tp16264 +a(g358 +V// chunk size\u000a +p16265 +tp16266 +a(g189 +V +p16267 +tp16268 +a(g131 +Vprivate +p16269 +tp16270 +a(g189 +V +tp16271 +a(g139 +Vint +p16272 +tp16273 +a(g189 +V +tp16274 +a(g18 +Vinc +p16275 +tp16276 +a(g344 +V; +tp16277 +a(g189 +V\u000a +tp16278 +a(g189 +V +p16279 +tp16280 +a(g131 +Vprivate +p16281 +tp16282 +a(g189 +V +tp16283 +a(g139 +Vint +p16284 +tp16285 +a(g189 +V +tp16286 +a(g18 +Vblocksize +p16287 +tp16288 +a(g344 +V; +tp16289 +a(g189 +V\u000a +tp16290 +a(g189 +V\u000a +tp16291 +a(g189 +V\u000a +tp16292 +a(g189 +V +p16293 +tp16294 +a(g131 +Vpublic +p16295 +tp16296 +a(g189 +V +tp16297 +a(g21 +VDdE +p16298 +tp16299 +a(g344 +V( +tp16300 +a(g139 +Vint +p16301 +tp16302 +a(g189 +V +tp16303 +a(g18 +Vbeg +p16304 +tp16305 +a(g344 +V, +tp16306 +a(g189 +V +tp16307 +a(g139 +Vint +p16308 +tp16309 +a(g189 +V +tp16310 +a(g18 +Vend +p16311 +tp16312 +a(g344 +V, +tp16313 +a(g189 +V +tp16314 +a(g139 +Vint +p16315 +tp16316 +a(g189 +V +tp16317 +a(g18 +Vblocksize +p16318 +tp16319 +a(g344 +V) +tp16320 +a(g189 +V +tp16321 +a(g344 +V{ +tp16322 +a(g189 +V\u000a +tp16323 +a(g189 +V +p16324 +tp16325 +a(g111 +Vthis +p16326 +tp16327 +a(g344 +V. +tp16328 +a(g41 +Vbeginning +p16329 +tp16330 +a(g189 +V +tp16331 +a(g344 +V= +tp16332 +a(g189 +V +tp16333 +a(g18 +Vbeg +p16334 +tp16335 +a(g344 +V; +tp16336 +a(g189 +V\u000a +tp16337 +a(g189 +V +p16338 +tp16339 +a(g111 +Vthis +p16340 +tp16341 +a(g344 +V. +tp16342 +a(g41 +Vending +p16343 +tp16344 +a(g189 +V +tp16345 +a(g344 +V= +tp16346 +a(g189 +V +tp16347 +a(g18 +Vend +p16348 +tp16349 +a(g344 +V; +tp16350 +a(g189 +V\u000a +tp16351 +a(g189 +V +p16352 +tp16353 +a(g111 +Vthis +p16354 +tp16355 +a(g344 +V. +tp16356 +a(g41 +Vblocksize +p16357 +tp16358 +a(g189 +V +tp16359 +a(g344 +V= +tp16360 +a(g189 +V +tp16361 +a(g18 +Vblocksize +p16362 +tp16363 +a(g344 +V; +tp16364 +a(g189 +V +tp16365 +a(g189 +V\u000a +tp16366 +a(g189 +V +p16367 +tp16368 +a(g344 +V} +tp16369 +a(g189 +V\u000a +tp16370 +a(g189 +V\u000a +tp16371 +a(g189 +V +p16372 +tp16373 +a(g131 +Vprivate +p16374 +tp16375 +a(g189 +V +tp16376 +a(g139 +Vvoid +p16377 +tp16378 +a(g189 +V +tp16379 +a(g21 +VcreateShuffledLists +p16380 +tp16381 +a(g344 +V( +tp16382 +a(g344 +V) +tp16383 +a(g189 +V +tp16384 +a(g344 +V{ +tp16385 +a(g189 +V\u000a +tp16386 +a(g189 +V +p16387 +tp16388 +a(g139 +Vint +p16389 +tp16390 +a(g189 +V +tp16391 +a(g18 +Vwidth +p16392 +tp16393 +a(g189 +V +tp16394 +a(g344 +V= +tp16395 +a(g189 +V +tp16396 +a(g18 +Vbimg +p16397 +tp16398 +a(g344 +V. +tp16399 +a(g41 +VgetWidth +p16400 +tp16401 +a(g344 +V( +tp16402 +a(g344 +V) +tp16403 +a(g344 +V; +tp16404 +a(g189 +V\u000a +tp16405 +a(g189 +V +p16406 +tp16407 +a(g139 +Vint +p16408 +tp16409 +a(g189 +V +tp16410 +a(g18 +Vheight +p16411 +tp16412 +a(g189 +V +tp16413 +a(g344 +V= +tp16414 +a(g189 +V +tp16415 +a(g18 +Vbimg +p16416 +tp16417 +a(g344 +V. +tp16418 +a(g41 +VgetHeight +p16419 +tp16420 +a(g344 +V( +tp16421 +a(g344 +V) +tp16422 +a(g344 +V; +tp16423 +a(g189 +V\u000a +tp16424 +a(g189 +V +p16425 +tp16426 +a(g18 +VInteger +p16427 +tp16428 +a(g189 +V +tp16429 +a(g18 +Vxarray +p16430 +tp16431 +a(g344 +V[ +tp16432 +a(g344 +V] +tp16433 +a(g189 +V +tp16434 +a(g344 +V= +tp16435 +a(g189 +V +tp16436 +a(g111 +Vnew +p16437 +tp16438 +a(g189 +V +tp16439 +a(g18 +VInteger +p16440 +tp16441 +a(g344 +V[ +tp16442 +a(g18 +Vwidth +p16443 +tp16444 +a(g344 +V] +tp16445 +a(g344 +V; +tp16446 +a(g189 +V\u000a +tp16447 +a(g189 +V +p16448 +tp16449 +a(g18 +VInteger +p16450 +tp16451 +a(g189 +V +tp16452 +a(g18 +Vyarray +p16453 +tp16454 +a(g344 +V[ +tp16455 +a(g344 +V] +tp16456 +a(g189 +V +tp16457 +a(g344 +V= +tp16458 +a(g189 +V +tp16459 +a(g111 +Vnew +p16460 +tp16461 +a(g189 +V +tp16462 +a(g18 +VInteger +p16463 +tp16464 +a(g344 +V[ +tp16465 +a(g18 +Vheight +p16466 +tp16467 +a(g344 +V] +tp16468 +a(g344 +V; +tp16469 +a(g189 +V\u000a +tp16470 +a(g189 +V +p16471 +tp16472 +a(g18 +VInteger +p16473 +tp16474 +a(g189 +V +tp16475 +a(g18 +Varray +p16476 +tp16477 +a(g344 +V[ +tp16478 +a(g344 +V] +tp16479 +a(g189 +V +tp16480 +a(g344 +V= +tp16481 +a(g189 +V +tp16482 +a(g111 +Vnew +p16483 +tp16484 +a(g189 +V +tp16485 +a(g18 +VInteger +p16486 +tp16487 +a(g344 +V[ +tp16488 +a(g18 +Vending +p16489 +tp16490 +a(g189 +V +tp16491 +a(g344 +V- +tp16492 +a(g189 +V +tp16493 +a(g18 +Vbeginning +p16494 +tp16495 +a(g189 +V +tp16496 +a(g344 +V+ +tp16497 +a(g189 +V +tp16498 +a(g319 +V1 +tp16499 +a(g344 +V] +tp16500 +a(g344 +V; +tp16501 +a(g189 +V\u000a +tp16502 +a(g189 +V +p16503 +tp16504 +a(g111 +Vfor +p16505 +tp16506 +a(g189 +V +tp16507 +a(g344 +V( +tp16508 +a(g139 +Vint +p16509 +tp16510 +a(g189 +V +tp16511 +a(g18 +Vi +tp16512 +a(g189 +V +tp16513 +a(g344 +V= +tp16514 +a(g189 +V +tp16515 +a(g319 +V0 +tp16516 +a(g344 +V; +tp16517 +a(g189 +V +tp16518 +a(g18 +Vi +tp16519 +a(g189 +V +tp16520 +a(g344 +V< +tp16521 +a(g189 +V +tp16522 +a(g18 +Vxarray +p16523 +tp16524 +a(g344 +V. +tp16525 +a(g41 +Vlength +p16526 +tp16527 +a(g344 +V; +tp16528 +a(g189 +V +tp16529 +a(g18 +Vi +tp16530 +a(g344 +V+ +tp16531 +a(g344 +V+ +tp16532 +a(g344 +V) +tp16533 +a(g189 +V +tp16534 +a(g344 +V{ +tp16535 +a(g189 +V\u000a +tp16536 +a(g189 +V +p16537 +tp16538 +a(g18 +Vxarray +p16539 +tp16540 +a(g344 +V[ +tp16541 +a(g18 +Vi +tp16542 +a(g344 +V] +tp16543 +a(g189 +V +tp16544 +a(g344 +V= +tp16545 +a(g189 +V +tp16546 +a(g111 +Vnew +p16547 +tp16548 +a(g189 +V +tp16549 +a(g18 +VInteger +p16550 +tp16551 +a(g344 +V( +tp16552 +a(g18 +Vi +tp16553 +a(g344 +V) +tp16554 +a(g344 +V; +tp16555 +a(g189 +V\u000a +tp16556 +a(g189 +V +p16557 +tp16558 +a(g344 +V} +tp16559 +a(g189 +V\u000a +tp16560 +a(g189 +V +p16561 +tp16562 +a(g111 +Vfor +p16563 +tp16564 +a(g189 +V +tp16565 +a(g344 +V( +tp16566 +a(g139 +Vint +p16567 +tp16568 +a(g189 +V +tp16569 +a(g18 +Vj +tp16570 +a(g189 +V +tp16571 +a(g344 +V= +tp16572 +a(g189 +V +tp16573 +a(g319 +V0 +tp16574 +a(g344 +V; +tp16575 +a(g189 +V +tp16576 +a(g18 +Vj +tp16577 +a(g189 +V +tp16578 +a(g344 +V< +tp16579 +a(g189 +V +tp16580 +a(g18 +Vyarray +p16581 +tp16582 +a(g344 +V. +tp16583 +a(g41 +Vlength +p16584 +tp16585 +a(g344 +V; +tp16586 +a(g189 +V +tp16587 +a(g18 +Vj +tp16588 +a(g344 +V+ +tp16589 +a(g344 +V+ +tp16590 +a(g344 +V) +tp16591 +a(g189 +V +tp16592 +a(g344 +V{ +tp16593 +a(g189 +V\u000a +tp16594 +a(g189 +V +p16595 +tp16596 +a(g18 +Vyarray +p16597 +tp16598 +a(g344 +V[ +tp16599 +a(g18 +Vj +tp16600 +a(g344 +V] +tp16601 +a(g189 +V +tp16602 +a(g344 +V= +tp16603 +a(g189 +V +tp16604 +a(g111 +Vnew +p16605 +tp16606 +a(g189 +V +tp16607 +a(g18 +VInteger +p16608 +tp16609 +a(g344 +V( +tp16610 +a(g18 +Vj +tp16611 +a(g344 +V) +tp16612 +a(g344 +V; +tp16613 +a(g189 +V\u000a +tp16614 +a(g189 +V +p16615 +tp16616 +a(g344 +V} +tp16617 +a(g189 +V\u000a +tp16618 +a(g189 +V +p16619 +tp16620 +a(g111 +Vfor +p16621 +tp16622 +a(g189 +V +tp16623 +a(g344 +V( +tp16624 +a(g139 +Vint +p16625 +tp16626 +a(g189 +V +tp16627 +a(g18 +Vk +tp16628 +a(g189 +V +tp16629 +a(g344 +V= +tp16630 +a(g189 +V +tp16631 +a(g319 +V0 +tp16632 +a(g344 +V; +tp16633 +a(g189 +V +tp16634 +a(g18 +Vk +tp16635 +a(g189 +V +tp16636 +a(g344 +V< +tp16637 +a(g189 +V +tp16638 +a(g18 +Varray +p16639 +tp16640 +a(g344 +V. +tp16641 +a(g41 +Vlength +p16642 +tp16643 +a(g344 +V; +tp16644 +a(g189 +V +tp16645 +a(g18 +Vk +tp16646 +a(g344 +V+ +tp16647 +a(g344 +V+ +tp16648 +a(g344 +V) +tp16649 +a(g189 +V +tp16650 +a(g344 +V{ +tp16651 +a(g189 +V\u000a +tp16652 +a(g189 +V +p16653 +tp16654 +a(g18 +Varray +p16655 +tp16656 +a(g344 +V[ +tp16657 +a(g18 +Vk +tp16658 +a(g344 +V] +tp16659 +a(g189 +V +tp16660 +a(g344 +V= +tp16661 +a(g189 +V +tp16662 +a(g111 +Vnew +p16663 +tp16664 +a(g189 +V +tp16665 +a(g18 +VInteger +p16666 +tp16667 +a(g344 +V( +tp16668 +a(g18 +Vk +tp16669 +a(g344 +V) +tp16670 +a(g344 +V; +tp16671 +a(g189 +V\u000a +tp16672 +a(g189 +V +p16673 +tp16674 +a(g344 +V} +tp16675 +a(g189 +V +tp16676 +a(g189 +V\u000a +tp16677 +a(g189 +V +p16678 +tp16679 +a(g18 +Vjava +p16680 +tp16681 +a(g344 +V. +tp16682 +a(g41 +Vutil +p16683 +tp16684 +a(g344 +V. +tp16685 +a(g41 +VCollections +p16686 +tp16687 +a(g344 +V. +tp16688 +a(g41 +Vshuffle +p16689 +tp16690 +a(g344 +V( +tp16691 +a(g18 +Vxlist +p16692 +tp16693 +a(g189 +V +tp16694 +a(g344 +V= +tp16695 +a(g189 +V +tp16696 +a(g18 +VArrays +p16697 +tp16698 +a(g344 +V. +tp16699 +a(g41 +VasList +p16700 +tp16701 +a(g344 +V( +tp16702 +a(g18 +Vxarray +p16703 +tp16704 +a(g344 +V) +tp16705 +a(g344 +V) +tp16706 +a(g344 +V; +tp16707 +a(g189 +V\u000a +tp16708 +a(g189 +V +p16709 +tp16710 +a(g18 +Vjava +p16711 +tp16712 +a(g344 +V. +tp16713 +a(g41 +Vutil +p16714 +tp16715 +a(g344 +V. +tp16716 +a(g41 +VCollections +p16717 +tp16718 +a(g344 +V. +tp16719 +a(g41 +Vshuffle +p16720 +tp16721 +a(g344 +V( +tp16722 +a(g18 +Vylist +p16723 +tp16724 +a(g189 +V +tp16725 +a(g344 +V= +tp16726 +a(g189 +V +tp16727 +a(g18 +VArrays +p16728 +tp16729 +a(g344 +V. +tp16730 +a(g41 +VasList +p16731 +tp16732 +a(g344 +V( +tp16733 +a(g18 +Vyarray +p16734 +tp16735 +a(g344 +V) +tp16736 +a(g344 +V) +tp16737 +a(g344 +V; +tp16738 +a(g189 +V\u000a +tp16739 +a(g189 +V +p16740 +tp16741 +a(g18 +Vjava +p16742 +tp16743 +a(g344 +V. +tp16744 +a(g41 +Vutil +p16745 +tp16746 +a(g344 +V. +tp16747 +a(g41 +VCollections +p16748 +tp16749 +a(g344 +V. +tp16750 +a(g41 +Vshuffle +p16751 +tp16752 +a(g344 +V( +tp16753 +a(g18 +Vlist +p16754 +tp16755 +a(g189 +V +tp16756 +a(g344 +V= +tp16757 +a(g189 +V +tp16758 +a(g18 +VArrays +p16759 +tp16760 +a(g344 +V. +tp16761 +a(g41 +VasList +p16762 +tp16763 +a(g344 +V( +tp16764 +a(g18 +Varray +p16765 +tp16766 +a(g344 +V) +tp16767 +a(g344 +V) +tp16768 +a(g344 +V; +tp16769 +a(g189 +V\u000a +tp16770 +a(g189 +V +p16771 +tp16772 +a(g344 +V} +tp16773 +a(g189 +V\u000a +tp16774 +a(g189 +V\u000a +tp16775 +a(g189 +V +p16776 +tp16777 +a(g131 +Vpublic +p16778 +tp16779 +a(g189 +V +tp16780 +a(g139 +Vvoid +p16781 +tp16782 +a(g189 +V +tp16783 +a(g21 +Vreset +p16784 +tp16785 +a(g344 +V( +tp16786 +a(g139 +Vint +p16787 +tp16788 +a(g189 +V +tp16789 +a(g18 +Vw +tp16790 +a(g344 +V, +tp16791 +a(g189 +V +tp16792 +a(g139 +Vint +p16793 +tp16794 +a(g189 +V +tp16795 +a(g18 +Vh +tp16796 +a(g344 +V) +tp16797 +a(g189 +V +tp16798 +a(g344 +V{ +tp16799 +a(g189 +V\u000a +tp16800 +a(g189 +V +p16801 +tp16802 +a(g18 +Vbimg +p16803 +tp16804 +a(g189 +V +tp16805 +a(g344 +V= +tp16806 +a(g189 +V +tp16807 +a(g117 +Vnull +p16808 +tp16809 +a(g344 +V; +tp16810 +a(g189 +V\u000a +tp16811 +a(g189 +V +p16812 +tp16813 +a(g344 +V} +tp16814 +a(g189 +V\u000a +tp16815 +a(g189 +V\u000a +tp16816 +a(g189 +V +p16817 +tp16818 +a(g131 +Vpublic +p16819 +tp16820 +a(g189 +V +tp16821 +a(g139 +Vvoid +p16822 +tp16823 +a(g189 +V +tp16824 +a(g21 +Vstep +p16825 +tp16826 +a(g344 +V( +tp16827 +a(g139 +Vint +p16828 +tp16829 +a(g189 +V +tp16830 +a(g18 +Vw +tp16831 +a(g344 +V, +tp16832 +a(g189 +V +tp16833 +a(g139 +Vint +p16834 +tp16835 +a(g189 +V +tp16836 +a(g18 +Vh +tp16837 +a(g344 +V) +tp16838 +a(g189 +V +tp16839 +a(g344 +V{ +tp16840 +a(g189 +V\u000a +tp16841 +a(g189 +V +p16842 +tp16843 +a(g111 +Vif +p16844 +tp16845 +a(g189 +V +tp16846 +a(g344 +V( +tp16847 +a(g18 +Vbimg +p16848 +tp16849 +a(g189 +V +tp16850 +a(g344 +V= +tp16851 +a(g344 +V= +tp16852 +a(g189 +V +tp16853 +a(g117 +Vnull +p16854 +tp16855 +a(g344 +V) +tp16856 +a(g189 +V +tp16857 +a(g344 +V{ +tp16858 +a(g189 +V\u000a +tp16859 +a(g189 +V +p16860 +tp16861 +a(g139 +Vint +p16862 +tp16863 +a(g189 +V +tp16864 +a(g18 +Vbiw +p16865 +tp16866 +a(g189 +V +tp16867 +a(g344 +V= +tp16868 +a(g189 +V +tp16869 +a(g18 +VSurface +p16870 +tp16871 +a(g344 +V. +tp16872 +a(g41 +Vbimg +p16873 +tp16874 +a(g344 +V. +tp16875 +a(g41 +VgetWidth +p16876 +tp16877 +a(g344 +V( +tp16878 +a(g344 +V) +tp16879 +a(g344 +V; +tp16880 +a(g189 +V\u000a +tp16881 +a(g189 +V +p16882 +tp16883 +a(g139 +Vint +p16884 +tp16885 +a(g189 +V +tp16886 +a(g18 +Vbih +p16887 +tp16888 +a(g189 +V +tp16889 +a(g344 +V= +tp16890 +a(g189 +V +tp16891 +a(g18 +VSurface +p16892 +tp16893 +a(g344 +V. +tp16894 +a(g41 +Vbimg +p16895 +tp16896 +a(g344 +V. +tp16897 +a(g41 +VgetHeight +p16898 +tp16899 +a(g344 +V( +tp16900 +a(g344 +V) +tp16901 +a(g344 +V; +tp16902 +a(g189 +V\u000a +tp16903 +a(g189 +V +p16904 +tp16905 +a(g18 +Vbimg +p16906 +tp16907 +a(g189 +V +tp16908 +a(g344 +V= +tp16909 +a(g189 +V +tp16910 +a(g111 +Vnew +p16911 +tp16912 +a(g189 +V +tp16913 +a(g18 +VBufferedImage +p16914 +tp16915 +a(g344 +V( +tp16916 +a(g18 +Vbiw +p16917 +tp16918 +a(g344 +V, +tp16919 +a(g189 +V +tp16920 +a(g18 +Vbih +p16921 +tp16922 +a(g344 +V, +tp16923 +a(g189 +V +tp16924 +a(g18 +VBufferedImage +p16925 +tp16926 +a(g344 +V. +tp16927 +a(g41 +VTYPE_INT_RGB +p16928 +tp16929 +a(g344 +V) +tp16930 +a(g344 +V; +tp16931 +a(g189 +V\u000a +tp16932 +a(g189 +V +p16933 +tp16934 +a(g18 +VcreateShuffledLists +p16935 +tp16936 +a(g344 +V( +tp16937 +a(g344 +V) +tp16938 +a(g344 +V; +tp16939 +a(g189 +V\u000a +tp16940 +a(g189 +V +p16941 +tp16942 +a(g18 +Vbig +p16943 +tp16944 +a(g189 +V +tp16945 +a(g344 +V= +tp16946 +a(g189 +V +tp16947 +a(g18 +Vbimg +p16948 +tp16949 +a(g344 +V. +tp16950 +a(g41 +VcreateGraphics +p16951 +tp16952 +a(g344 +V( +tp16953 +a(g344 +V) +tp16954 +a(g344 +V; +tp16955 +a(g189 +V\u000a +tp16956 +a(g189 +V +p16957 +tp16958 +a(g18 +Vbig +p16959 +tp16960 +a(g344 +V. +tp16961 +a(g41 +VdrawImage +p16962 +tp16963 +a(g344 +V( +tp16964 +a(g18 +VSurface +p16965 +tp16966 +a(g344 +V. +tp16967 +a(g41 +Vbimg +p16968 +tp16969 +a(g344 +V, +tp16970 +a(g189 +V +tp16971 +a(g319 +V0 +tp16972 +a(g344 +V, +tp16973 +a(g189 +V +tp16974 +a(g319 +V0 +tp16975 +a(g344 +V, +tp16976 +a(g189 +V +tp16977 +a(g117 +Vnull +p16978 +tp16979 +a(g344 +V) +tp16980 +a(g344 +V; +tp16981 +a(g189 +V\u000a +tp16982 +a(g189 +V +p16983 +tp16984 +a(g18 +VxcSize +p16985 +tp16986 +a(g189 +V +tp16987 +a(g344 +V= +tp16988 +a(g189 +V +tp16989 +a(g344 +V( +tp16990 +a(g18 +Vxlist +p16991 +tp16992 +a(g344 +V. +tp16993 +a(g41 +Vsize +p16994 +tp16995 +a(g344 +V( +tp16996 +a(g344 +V) +tp16997 +a(g189 +V +tp16998 +a(g344 +V/ +tp16999 +a(g189 +V +tp17000 +a(g344 +V( +tp17001 +a(g18 +Vending +p17002 +tp17003 +a(g189 +V +tp17004 +a(g344 +V- +tp17005 +a(g189 +V +tp17006 +a(g18 +Vbeginning +p17007 +tp17008 +a(g344 +V) +tp17009 +a(g344 +V) +tp17010 +a(g189 +V +tp17011 +a(g344 +V+ +tp17012 +a(g189 +V +tp17013 +a(g319 +V1 +tp17014 +a(g344 +V; +tp17015 +a(g189 +V\u000a +tp17016 +a(g189 +V +p17017 +tp17018 +a(g18 +VycSize +p17019 +tp17020 +a(g189 +V +tp17021 +a(g344 +V= +tp17022 +a(g189 +V +tp17023 +a(g344 +V( +tp17024 +a(g18 +Vylist +p17025 +tp17026 +a(g344 +V. +tp17027 +a(g41 +Vsize +p17028 +tp17029 +a(g344 +V( +tp17030 +a(g344 +V) +tp17031 +a(g189 +V +tp17032 +a(g344 +V/ +tp17033 +a(g189 +V +tp17034 +a(g344 +V( +tp17035 +a(g18 +Vending +p17036 +tp17037 +a(g189 +V +tp17038 +a(g344 +V- +tp17039 +a(g189 +V +tp17040 +a(g18 +Vbeginning +p17041 +tp17042 +a(g344 +V) +tp17043 +a(g344 +V) +tp17044 +a(g189 +V +tp17045 +a(g344 +V+ +tp17046 +a(g189 +V +tp17047 +a(g319 +V1 +tp17048 +a(g344 +V; +tp17049 +a(g189 +V\u000a +tp17050 +a(g189 +V +p17051 +tp17052 +a(g18 +VxeNum +p17053 +tp17054 +a(g189 +V +tp17055 +a(g344 +V= +tp17056 +a(g189 +V +tp17057 +a(g319 +V0 +tp17058 +a(g344 +V; +tp17059 +a(g189 +V\u000a +tp17060 +a(g189 +V +p17061 +tp17062 +a(g18 +Vinc +p17063 +tp17064 +a(g189 +V +tp17065 +a(g344 +V= +tp17066 +a(g189 +V +tp17067 +a(g319 +V0 +tp17068 +a(g344 +V; +tp17069 +a(g189 +V\u000a +tp17070 +a(g189 +V +p17071 +tp17072 +a(g344 +V} +tp17073 +a(g189 +V\u000a +tp17074 +a(g189 +V +p17075 +tp17076 +a(g18 +VxeNum +p17077 +tp17078 +a(g189 +V +tp17079 +a(g344 +V= +tp17080 +a(g189 +V +tp17081 +a(g18 +VxcSize +p17082 +tp17083 +a(g189 +V +tp17084 +a(g344 +V* +tp17085 +a(g189 +V +tp17086 +a(g344 +V( +tp17087 +a(g344 +V( +tp17088 +a(g18 +VInteger +p17089 +tp17090 +a(g344 +V) +tp17091 +a(g18 +Vlist +p17092 +tp17093 +a(g344 +V. +tp17094 +a(g41 +Vget +p17095 +tp17096 +a(g344 +V( +tp17097 +a(g18 +Vinc +p17098 +tp17099 +a(g344 +V) +tp17100 +a(g344 +V) +tp17101 +a(g344 +V. +tp17102 +a(g41 +VintValue +p17103 +tp17104 +a(g344 +V( +tp17105 +a(g344 +V) +tp17106 +a(g344 +V; +tp17107 +a(g189 +V\u000a +tp17108 +a(g189 +V +p17109 +tp17110 +a(g18 +VyeNum +p17111 +tp17112 +a(g189 +V +tp17113 +a(g344 +V= +tp17114 +a(g189 +V +tp17115 +a(g344 +V- +tp17116 +a(g18 +VycSize +p17117 +tp17118 +a(g344 +V; +tp17119 +a(g189 +V\u000a +tp17120 +a(g189 +V +p17121 +tp17122 +a(g18 +Vinc +p17123 +tp17124 +a(g344 +V+ +tp17125 +a(g344 +V+ +tp17126 +a(g344 +V; +tp17127 +a(g189 +V\u000a +tp17128 +a(g189 +V +p17129 +tp17130 +a(g344 +V} +tp17131 +a(g189 +V\u000a +tp17132 +a(g189 +V\u000a +tp17133 +a(g189 +V\u000a +tp17134 +a(g189 +V +p17135 +tp17136 +a(g131 +Vpublic +p17137 +tp17138 +a(g189 +V +tp17139 +a(g139 +Vvoid +p17140 +tp17141 +a(g189 +V +tp17142 +a(g21 +Vrender +p17143 +tp17144 +a(g344 +V( +tp17145 +a(g139 +Vint +p17146 +tp17147 +a(g189 +V +tp17148 +a(g18 +Vw +tp17149 +a(g344 +V, +tp17150 +a(g189 +V +tp17151 +a(g139 +Vint +p17152 +tp17153 +a(g189 +V +tp17154 +a(g18 +Vh +tp17155 +a(g344 +V, +tp17156 +a(g189 +V +tp17157 +a(g18 +VGraphics2D +p17158 +tp17159 +a(g189 +V +tp17160 +a(g18 +Vg2 +p17161 +tp17162 +a(g344 +V) +tp17163 +a(g189 +V +tp17164 +a(g344 +V{ +tp17165 +a(g189 +V\u000a +tp17166 +a(g189 +V +p17167 +tp17168 +a(g18 +Vbig +p17169 +tp17170 +a(g344 +V. +tp17171 +a(g41 +VsetColor +p17172 +tp17173 +a(g344 +V( +tp17174 +a(g18 +Vblack +p17175 +tp17176 +a(g344 +V) +tp17177 +a(g344 +V; +tp17178 +a(g189 +V +tp17179 +a(g189 +V\u000a +tp17180 +a(g189 +V\u000a +tp17181 +a(g189 +V +p17182 +tp17183 +a(g111 +Vfor +p17184 +tp17185 +a(g189 +V +tp17186 +a(g344 +V( +tp17187 +a(g139 +Vint +p17188 +tp17189 +a(g189 +V +tp17190 +a(g18 +Vk +tp17191 +a(g189 +V +tp17192 +a(g344 +V= +tp17193 +a(g189 +V +tp17194 +a(g319 +V0 +tp17195 +a(g344 +V; +tp17196 +a(g189 +V +tp17197 +a(g18 +Vk +tp17198 +a(g189 +V +tp17199 +a(g344 +V< +tp17200 +a(g344 +V= +tp17201 +a(g189 +V +tp17202 +a(g344 +V( +tp17203 +a(g18 +Vending +p17204 +tp17205 +a(g189 +V +tp17206 +a(g344 +V- +tp17207 +a(g189 +V +tp17208 +a(g18 +Vbeginning +p17209 +tp17210 +a(g344 +V) +tp17211 +a(g344 +V; +tp17212 +a(g189 +V +tp17213 +a(g18 +Vk +tp17214 +a(g344 +V+ +tp17215 +a(g344 +V+ +tp17216 +a(g344 +V) +tp17217 +a(g189 +V +tp17218 +a(g344 +V{ +tp17219 +a(g189 +V\u000a +tp17220 +a(g189 +V +p17221 +tp17222 +a(g111 +Vif +p17223 +tp17224 +a(g189 +V +tp17225 +a(g344 +V( +tp17226 +a(g344 +V( +tp17227 +a(g18 +VxeNum +p17228 +tp17229 +a(g189 +V +tp17230 +a(g344 +V+ +tp17231 +a(g189 +V +tp17232 +a(g18 +VxcSize +p17233 +tp17234 +a(g344 +V) +tp17235 +a(g189 +V +tp17236 +a(g344 +V> +tp17237 +a(g189 +V +tp17238 +a(g18 +Vxlist +p17239 +tp17240 +a(g344 +V. +tp17241 +a(g41 +Vsize +p17242 +tp17243 +a(g344 +V( +tp17244 +a(g344 +V) +tp17245 +a(g344 +V) +tp17246 +a(g189 +V +tp17247 +a(g344 +V{ +tp17248 +a(g189 +V\u000a +tp17249 +a(g189 +V +p17250 +tp17251 +a(g18 +VxeNum +p17252 +tp17253 +a(g189 +V +tp17254 +a(g344 +V= +tp17255 +a(g189 +V +tp17256 +a(g319 +V0 +tp17257 +a(g344 +V; +tp17258 +a(g189 +V\u000a +tp17259 +a(g189 +V +p17260 +tp17261 +a(g344 +V} +tp17262 +a(g189 +V +tp17263 +a(g111 +Velse +p17264 +tp17265 +a(g189 +V +tp17266 +a(g344 +V{ +tp17267 +a(g189 +V\u000a +tp17268 +a(g189 +V +p17269 +tp17270 +a(g18 +VxeNum +p17271 +tp17272 +a(g189 +V +tp17273 +a(g344 +V+ +tp17274 +a(g344 +V= +tp17275 +a(g189 +V +tp17276 +a(g18 +VxcSize +p17277 +tp17278 +a(g344 +V; +tp17279 +a(g189 +V\u000a +tp17280 +a(g189 +V +p17281 +tp17282 +a(g344 +V} +tp17283 +a(g189 +V\u000a +tp17284 +a(g189 +V +p17285 +tp17286 +a(g18 +VyeNum +p17287 +tp17288 +a(g189 +V +tp17289 +a(g344 +V+ +tp17290 +a(g344 +V= +tp17291 +a(g189 +V +tp17292 +a(g18 +VycSize +p17293 +tp17294 +a(g344 +V; +tp17295 +a(g189 +V\u000a +tp17296 +a(g189 +V\u000a +tp17297 +a(g189 +V +p17298 +tp17299 +a(g111 +Vfor +p17300 +tp17301 +a(g189 +V +tp17302 +a(g344 +V( +tp17303 +a(g139 +Vint +p17304 +tp17305 +a(g189 +V +tp17306 +a(g18 +Vi +tp17307 +a(g189 +V +tp17308 +a(g344 +V= +tp17309 +a(g189 +V +tp17310 +a(g18 +VxeNum +p17311 +tp17312 +a(g344 +V; +tp17313 +a(g189 +V +tp17314 +a(g18 +Vi +tp17315 +a(g189 +V +tp17316 +a(g344 +V< +tp17317 +a(g189 +V +tp17318 +a(g18 +VxeNum +p17319 +tp17320 +a(g344 +V+ +tp17321 +a(g18 +VxcSize +p17322 +tp17323 +a(g189 +V +tp17324 +a(g344 +V& +tp17325 +a(g344 +V& +tp17326 +a(g189 +V +tp17327 +a(g18 +Vi +tp17328 +a(g189 +V +tp17329 +a(g344 +V< +tp17330 +a(g189 +V +tp17331 +a(g18 +Vxlist +p17332 +tp17333 +a(g344 +V. +tp17334 +a(g41 +Vsize +p17335 +tp17336 +a(g344 +V( +tp17337 +a(g344 +V) +tp17338 +a(g344 +V; +tp17339 +a(g189 +V +tp17340 +a(g18 +Vi +tp17341 +a(g344 +V+ +tp17342 +a(g344 +V+ +tp17343 +a(g344 +V) +tp17344 +a(g189 +V +tp17345 +a(g344 +V{ +tp17346 +a(g189 +V\u000a +tp17347 +a(g189 +V +p17348 +tp17349 +a(g111 +Vfor +p17350 +tp17351 +a(g189 +V +tp17352 +a(g344 +V( +tp17353 +a(g139 +Vint +p17354 +tp17355 +a(g189 +V +tp17356 +a(g18 +Vj +tp17357 +a(g189 +V +tp17358 +a(g344 +V= +tp17359 +a(g189 +V +tp17360 +a(g18 +VyeNum +p17361 +tp17362 +a(g344 +V; +tp17363 +a(g189 +V +tp17364 +a(g18 +Vj +tp17365 +a(g189 +V +tp17366 +a(g344 +V< +tp17367 +a(g189 +V +tp17368 +a(g18 +VyeNum +p17369 +tp17370 +a(g344 +V+ +tp17371 +a(g18 +VycSize +p17372 +tp17373 +a(g189 +V +tp17374 +a(g344 +V& +tp17375 +a(g344 +V& +tp17376 +a(g189 +V +tp17377 +a(g18 +Vj +tp17378 +a(g189 +V +tp17379 +a(g344 +V< +tp17380 +a(g189 +V +tp17381 +a(g18 +Vylist +p17382 +tp17383 +a(g344 +V. +tp17384 +a(g41 +Vsize +p17385 +tp17386 +a(g344 +V( +tp17387 +a(g344 +V) +tp17388 +a(g344 +V; +tp17389 +a(g189 +V +tp17390 +a(g18 +Vj +tp17391 +a(g344 +V+ +tp17392 +a(g344 +V+ +tp17393 +a(g344 +V) +tp17394 +a(g189 +V +tp17395 +a(g344 +V{ +tp17396 +a(g189 +V +p17397 +tp17398 +a(g189 +V\u000a +tp17399 +a(g189 +V +p17400 +tp17401 +a(g139 +Vint +p17402 +tp17403 +a(g189 +V +tp17404 +a(g18 +Vxval +p17405 +tp17406 +a(g189 +V +tp17407 +a(g344 +V= +tp17408 +a(g189 +V +tp17409 +a(g344 +V( +tp17410 +a(g344 +V( +tp17411 +a(g18 +VInteger +p17412 +tp17413 +a(g344 +V) +tp17414 +a(g18 +Vxlist +p17415 +tp17416 +a(g344 +V. +tp17417 +a(g41 +Vget +p17418 +tp17419 +a(g344 +V( +tp17420 +a(g18 +Vi +tp17421 +a(g344 +V) +tp17422 +a(g344 +V) +tp17423 +a(g344 +V. +tp17424 +a(g41 +VintValue +p17425 +tp17426 +a(g344 +V( +tp17427 +a(g344 +V) +tp17428 +a(g344 +V; +tp17429 +a(g189 +V\u000a +tp17430 +a(g189 +V +p17431 +tp17432 +a(g139 +Vint +p17433 +tp17434 +a(g189 +V +tp17435 +a(g18 +Vyval +p17436 +tp17437 +a(g189 +V +tp17438 +a(g344 +V= +tp17439 +a(g189 +V +tp17440 +a(g344 +V( +tp17441 +a(g344 +V( +tp17442 +a(g18 +VInteger +p17443 +tp17444 +a(g344 +V) +tp17445 +a(g18 +Vylist +p17446 +tp17447 +a(g344 +V. +tp17448 +a(g41 +Vget +p17449 +tp17450 +a(g344 +V( +tp17451 +a(g18 +Vj +tp17452 +a(g344 +V) +tp17453 +a(g344 +V) +tp17454 +a(g344 +V. +tp17455 +a(g41 +VintValue +p17456 +tp17457 +a(g344 +V( +tp17458 +a(g344 +V) +tp17459 +a(g344 +V; +tp17460 +a(g189 +V\u000a +tp17461 +a(g189 +V +p17462 +tp17463 +a(g111 +Vif +p17464 +tp17465 +a(g189 +V +tp17466 +a(g344 +V( +tp17467 +a(g344 +V( +tp17468 +a(g344 +V( +tp17469 +a(g18 +Vxval +p17470 +tp17471 +a(g189 +V +tp17472 +a(g344 +V% +tp17473 +a(g189 +V +tp17474 +a(g18 +Vblocksize +p17475 +tp17476 +a(g344 +V) +tp17477 +a(g189 +V +tp17478 +a(g344 +V= +tp17479 +a(g344 +V= +tp17480 +a(g189 +V +tp17481 +a(g319 +V0 +tp17482 +a(g344 +V) +tp17483 +a(g189 +V +tp17484 +a(g344 +V& +tp17485 +a(g344 +V& +tp17486 +a(g189 +V\u000a +tp17487 +a(g189 +V +p17488 +tp17489 +a(g344 +V( +tp17490 +a(g344 +V( +tp17491 +a(g18 +Vyval +p17492 +tp17493 +a(g189 +V +tp17494 +a(g344 +V% +tp17495 +a(g189 +V +tp17496 +a(g18 +Vblocksize +p17497 +tp17498 +a(g344 +V) +tp17499 +a(g189 +V +tp17500 +a(g344 +V= +tp17501 +a(g344 +V= +tp17502 +a(g189 +V +tp17503 +a(g319 +V0 +tp17504 +a(g344 +V) +tp17505 +a(g344 +V) +tp17506 +a(g189 +V +tp17507 +a(g344 +V{ +tp17508 +a(g189 +V\u000a +tp17509 +a(g189 +V +p17510 +tp17511 +a(g18 +Vbig +p17512 +tp17513 +a(g344 +V. +tp17514 +a(g41 +VfillRect +p17515 +tp17516 +a(g344 +V( +tp17517 +a(g18 +Vxval +p17518 +tp17519 +a(g344 +V, +tp17520 +a(g189 +V +tp17521 +a(g18 +Vyval +p17522 +tp17523 +a(g344 +V, +tp17524 +a(g189 +V +tp17525 +a(g18 +Vblocksize +p17526 +tp17527 +a(g344 +V, +tp17528 +a(g189 +V +tp17529 +a(g18 +Vblocksize +p17530 +tp17531 +a(g344 +V) +tp17532 +a(g344 +V; +tp17533 +a(g189 +V\u000a +tp17534 +a(g189 +V +p17535 +tp17536 +a(g344 +V} +tp17537 +a(g189 +V\u000a +tp17538 +a(g189 +V +p17539 +tp17540 +a(g344 +V} +tp17541 +a(g189 +V\u000a +tp17542 +a(g189 +V +p17543 +tp17544 +a(g344 +V} +tp17545 +a(g189 +V\u000a +tp17546 +a(g189 +V +p17547 +tp17548 +a(g344 +V} +tp17549 +a(g189 +V\u000a +tp17550 +a(g189 +V +p17551 +tp17552 +a(g189 +V\u000a +tp17553 +a(g189 +V +p17554 +tp17555 +a(g18 +Vg2 +p17556 +tp17557 +a(g344 +V. +tp17558 +a(g41 +VdrawImage +p17559 +tp17560 +a(g344 +V( +tp17561 +a(g18 +Vbimg +p17562 +tp17563 +a(g344 +V, +tp17564 +a(g189 +V +tp17565 +a(g319 +V0 +tp17566 +a(g344 +V, +tp17567 +a(g189 +V +tp17568 +a(g319 +V0 +tp17569 +a(g344 +V, +tp17570 +a(g189 +V +tp17571 +a(g117 +Vnull +p17572 +tp17573 +a(g344 +V) +tp17574 +a(g344 +V; +tp17575 +a(g189 +V\u000a +tp17576 +a(g189 +V +p17577 +tp17578 +a(g344 +V} +tp17579 +a(g189 +V\u000a +tp17580 +a(g189 +V\u000a +tp17581 +a(g189 +V +p17582 +tp17583 +a(g131 +Vpublic +p17584 +tp17585 +a(g189 +V +tp17586 +a(g139 +Vint +p17587 +tp17588 +a(g189 +V +tp17589 +a(g21 +VgetBegin +p17590 +tp17591 +a(g344 +V( +tp17592 +a(g344 +V) +tp17593 +a(g189 +V +tp17594 +a(g344 +V{ +tp17595 +a(g189 +V\u000a +tp17596 +a(g189 +V +p17597 +tp17598 +a(g111 +Vreturn +p17599 +tp17600 +a(g189 +V +tp17601 +a(g18 +Vbeginning +p17602 +tp17603 +a(g344 +V; +tp17604 +a(g189 +V\u000a +tp17605 +a(g189 +V +p17606 +tp17607 +a(g344 +V} +tp17608 +a(g189 +V\u000a +tp17609 +a(g189 +V\u000a +tp17610 +a(g189 +V +p17611 +tp17612 +a(g131 +Vpublic +p17613 +tp17614 +a(g189 +V +tp17615 +a(g139 +Vint +p17616 +tp17617 +a(g189 +V +tp17618 +a(g21 +VgetEnd +p17619 +tp17620 +a(g344 +V( +tp17621 +a(g344 +V) +tp17622 +a(g189 +V +tp17623 +a(g344 +V{ +tp17624 +a(g189 +V\u000a +tp17625 +a(g189 +V +p17626 +tp17627 +a(g111 +Vreturn +p17628 +tp17629 +a(g189 +V +tp17630 +a(g18 +Vending +p17631 +tp17632 +a(g344 +V; +tp17633 +a(g189 +V\u000a +tp17634 +a(g189 +V +p17635 +tp17636 +a(g344 +V} +tp17637 +a(g189 +V\u000a +tp17638 +a(g189 +V +p17639 +tp17640 +a(g344 +V} +tp17641 +a(g189 +V +tp17642 +a(g358 +V// End DdE class\u000a +p17643 +tp17644 +a(g189 +V\u000a +tp17645 +a(g189 +V\u000a +tp17646 +a(g189 +V +p17647 +tp17648 +a(g7 +V/**\u000a * Subimage effect. Subimage the scene's buffered\u000a * image then rotate and scale down the subimages.\u000a */ +p17649 +tp17650 +a(g189 +V\u000a +tp17651 +a(g189 +V +p17652 +tp17653 +a(g131 +Vstatic +p17654 +tp17655 +a(g189 +V +tp17656 +a(g131 +Vclass +p17657 +tp17658 +a(g189 +V +tp17659 +a(g106 +VSiE +p17660 +tp17661 +a(g189 +V +tp17662 +a(g131 +Vimplements +p17663 +tp17664 +a(g189 +V +tp17665 +a(g18 +VPart +p17666 +tp17667 +a(g189 +V +tp17668 +a(g344 +V{ +tp17669 +a(g189 +V\u000a +tp17670 +a(g189 +V\u000a +tp17671 +a(g189 +V +p17672 +tp17673 +a(g131 +Vprivate +p17674 +tp17675 +a(g189 +V +tp17676 +a(g139 +Vint +p17677 +tp17678 +a(g189 +V +tp17679 +a(g18 +Vbeginning +p17680 +tp17681 +a(g344 +V, +tp17682 +a(g189 +V +tp17683 +a(g18 +Vending +p17684 +tp17685 +a(g344 +V; +tp17686 +a(g189 +V\u000a +tp17687 +a(g189 +V +p17688 +tp17689 +a(g131 +Vprivate +p17690 +tp17691 +a(g189 +V +tp17692 +a(g18 +VBufferedImage +p17693 +tp17694 +a(g189 +V +tp17695 +a(g18 +Vbimg +p17696 +tp17697 +a(g344 +V; +tp17698 +a(g189 +V\u000a +tp17699 +a(g189 +V +p17700 +tp17701 +a(g131 +Vprivate +p17702 +tp17703 +a(g189 +V +tp17704 +a(g139 +Vdouble +p17705 +tp17706 +a(g189 +V +tp17707 +a(g18 +VrIncr +p17708 +tp17709 +a(g344 +V, +tp17710 +a(g189 +V +tp17711 +a(g18 +VsIncr +p17712 +tp17713 +a(g344 +V; +tp17714 +a(g189 +V\u000a +tp17715 +a(g189 +V +p17716 +tp17717 +a(g131 +Vprivate +p17718 +tp17719 +a(g189 +V +tp17720 +a(g139 +Vdouble +p17721 +tp17722 +a(g189 +V +tp17723 +a(g18 +Vscale +p17724 +tp17725 +a(g344 +V, +tp17726 +a(g189 +V +tp17727 +a(g18 +Vrotate +p17728 +tp17729 +a(g344 +V; +tp17730 +a(g189 +V\u000a +tp17731 +a(g189 +V +p17732 +tp17733 +a(g131 +Vprivate +p17734 +tp17735 +a(g189 +V +tp17736 +a(g139 +Vint +p17737 +tp17738 +a(g189 +V +tp17739 +a(g18 +Vsiw +p17740 +tp17741 +a(g344 +V, +tp17742 +a(g189 +V +tp17743 +a(g18 +Vsih +p17744 +tp17745 +a(g344 +V; +tp17746 +a(g189 +V\u000a +tp17747 +a(g189 +V +p17748 +tp17749 +a(g131 +Vprivate +p17750 +tp17751 +a(g189 +V +tp17752 +a(g18 +VVector +p17753 +tp17754 +a(g189 +V +tp17755 +a(g18 +Vsubs +p17756 +tp17757 +a(g189 +V +tp17758 +a(g344 +V= +tp17759 +a(g189 +V +tp17760 +a(g111 +Vnew +p17761 +tp17762 +a(g189 +V +tp17763 +a(g18 +VVector +p17764 +tp17765 +a(g344 +V( +tp17766 +a(g319 +V20 +p17767 +tp17768 +a(g344 +V) +tp17769 +a(g344 +V; +tp17770 +a(g189 +V\u000a +tp17771 +a(g189 +V +p17772 +tp17773 +a(g131 +Vprivate +p17774 +tp17775 +a(g189 +V +tp17776 +a(g18 +VVector +p17777 +tp17778 +a(g189 +V +tp17779 +a(g18 +Vpts +p17780 +tp17781 +a(g189 +V +tp17782 +a(g344 +V= +tp17783 +a(g189 +V +tp17784 +a(g111 +Vnew +p17785 +tp17786 +a(g189 +V +tp17787 +a(g18 +VVector +p17788 +tp17789 +a(g344 +V( +tp17790 +a(g319 +V20 +p17791 +tp17792 +a(g344 +V) +tp17793 +a(g344 +V; +tp17794 +a(g189 +V\u000a +tp17795 +a(g189 +V\u000a +tp17796 +a(g189 +V\u000a +tp17797 +a(g189 +V +p17798 +tp17799 +a(g131 +Vpublic +p17800 +tp17801 +a(g189 +V +tp17802 +a(g21 +VSiE +p17803 +tp17804 +a(g344 +V( +tp17805 +a(g139 +Vint +p17806 +tp17807 +a(g189 +V +tp17808 +a(g18 +Vsiw +p17809 +tp17810 +a(g344 +V, +tp17811 +a(g189 +V +tp17812 +a(g139 +Vint +p17813 +tp17814 +a(g189 +V +tp17815 +a(g18 +Vsih +p17816 +tp17817 +a(g344 +V, +tp17818 +a(g189 +V +tp17819 +a(g139 +Vint +p17820 +tp17821 +a(g189 +V +tp17822 +a(g18 +Vbeg +p17823 +tp17824 +a(g344 +V, +tp17825 +a(g189 +V +tp17826 +a(g139 +Vint +p17827 +tp17828 +a(g189 +V +tp17829 +a(g18 +Vend +p17830 +tp17831 +a(g344 +V) +tp17832 +a(g189 +V +tp17833 +a(g344 +V{ +tp17834 +a(g189 +V\u000a +tp17835 +a(g189 +V +p17836 +tp17837 +a(g111 +Vthis +p17838 +tp17839 +a(g344 +V. +tp17840 +a(g41 +Vsiw +p17841 +tp17842 +a(g189 +V +tp17843 +a(g344 +V= +tp17844 +a(g189 +V +tp17845 +a(g18 +Vsiw +p17846 +tp17847 +a(g344 +V; +tp17848 +a(g189 +V\u000a +tp17849 +a(g189 +V +p17850 +tp17851 +a(g111 +Vthis +p17852 +tp17853 +a(g344 +V. +tp17854 +a(g41 +Vsih +p17855 +tp17856 +a(g189 +V +tp17857 +a(g344 +V= +tp17858 +a(g189 +V +tp17859 +a(g18 +Vsih +p17860 +tp17861 +a(g344 +V; +tp17862 +a(g189 +V\u000a +tp17863 +a(g189 +V +p17864 +tp17865 +a(g111 +Vthis +p17866 +tp17867 +a(g344 +V. +tp17868 +a(g41 +Vbeginning +p17869 +tp17870 +a(g189 +V +tp17871 +a(g344 +V= +tp17872 +a(g189 +V +tp17873 +a(g18 +Vbeg +p17874 +tp17875 +a(g344 +V; +tp17876 +a(g189 +V\u000a +tp17877 +a(g189 +V +p17878 +tp17879 +a(g111 +Vthis +p17880 +tp17881 +a(g344 +V. +tp17882 +a(g41 +Vending +p17883 +tp17884 +a(g189 +V +tp17885 +a(g344 +V= +tp17886 +a(g189 +V +tp17887 +a(g18 +Vend +p17888 +tp17889 +a(g344 +V; +tp17890 +a(g189 +V\u000a +tp17891 +a(g189 +V +p17892 +tp17893 +a(g18 +VrIncr +p17894 +tp17895 +a(g189 +V +tp17896 +a(g344 +V= +tp17897 +a(g189 +V +tp17898 +a(g311 +V360.0 +p17899 +tp17900 +a(g189 +V +tp17901 +a(g344 +V/ +tp17902 +a(g189 +V +tp17903 +a(g344 +V( +tp17904 +a(g18 +Vending +p17905 +tp17906 +a(g189 +V +tp17907 +a(g344 +V- +tp17908 +a(g189 +V +tp17909 +a(g18 +Vbeginning +p17910 +tp17911 +a(g344 +V) +tp17912 +a(g344 +V; +tp17913 +a(g189 +V\u000a +tp17914 +a(g189 +V +p17915 +tp17916 +a(g18 +VsIncr +p17917 +tp17918 +a(g189 +V +tp17919 +a(g344 +V= +tp17920 +a(g189 +V +tp17921 +a(g311 +V1.0 +p17922 +tp17923 +a(g189 +V +tp17924 +a(g344 +V/ +tp17925 +a(g189 +V +tp17926 +a(g344 +V( +tp17927 +a(g18 +Vending +p17928 +tp17929 +a(g189 +V +tp17930 +a(g344 +V- +tp17931 +a(g189 +V +tp17932 +a(g18 +Vbeginning +p17933 +tp17934 +a(g344 +V) +tp17935 +a(g344 +V; +tp17936 +a(g189 +V\u000a +tp17937 +a(g189 +V +p17938 +tp17939 +a(g344 +V} +tp17940 +a(g189 +V\u000a +tp17941 +a(g189 +V\u000a +tp17942 +a(g189 +V\u000a +tp17943 +a(g189 +V +p17944 +tp17945 +a(g131 +Vpublic +p17946 +tp17947 +a(g189 +V +tp17948 +a(g139 +Vvoid +p17949 +tp17950 +a(g189 +V +tp17951 +a(g21 +Vreset +p17952 +tp17953 +a(g344 +V( +tp17954 +a(g139 +Vint +p17955 +tp17956 +a(g189 +V +tp17957 +a(g18 +Vw +tp17958 +a(g344 +V, +tp17959 +a(g189 +V +tp17960 +a(g139 +Vint +p17961 +tp17962 +a(g189 +V +tp17963 +a(g18 +Vh +tp17964 +a(g344 +V) +tp17965 +a(g189 +V +tp17966 +a(g344 +V{ +tp17967 +a(g189 +V\u000a +tp17968 +a(g189 +V +p17969 +tp17970 +a(g18 +Vscale +p17971 +tp17972 +a(g189 +V +tp17973 +a(g344 +V= +tp17974 +a(g189 +V +tp17975 +a(g311 +V1.0 +p17976 +tp17977 +a(g344 +V; +tp17978 +a(g189 +V +p17979 +tp17980 +a(g189 +V\u000a +tp17981 +a(g189 +V +p17982 +tp17983 +a(g18 +Vrotate +p17984 +tp17985 +a(g189 +V +tp17986 +a(g344 +V= +tp17987 +a(g189 +V +tp17988 +a(g311 +V0.0 +p17989 +tp17990 +a(g344 +V; +tp17991 +a(g189 +V\u000a +tp17992 +a(g189 +V +p17993 +tp17994 +a(g18 +Vbimg +p17995 +tp17996 +a(g189 +V +tp17997 +a(g344 +V= +tp17998 +a(g189 +V +tp17999 +a(g117 +Vnull +p18000 +tp18001 +a(g344 +V; +tp18002 +a(g189 +V\u000a +tp18003 +a(g189 +V +p18004 +tp18005 +a(g18 +Vsubs +p18006 +tp18007 +a(g344 +V. +tp18008 +a(g41 +Vclear +p18009 +tp18010 +a(g344 +V( +tp18011 +a(g344 +V) +tp18012 +a(g344 +V; +tp18013 +a(g189 +V\u000a +tp18014 +a(g189 +V +p18015 +tp18016 +a(g18 +Vpts +p18017 +tp18018 +a(g344 +V. +tp18019 +a(g41 +Vclear +p18020 +tp18021 +a(g344 +V( +tp18022 +a(g344 +V) +tp18023 +a(g344 +V; +tp18024 +a(g189 +V\u000a +tp18025 +a(g189 +V +p18026 +tp18027 +a(g344 +V} +tp18028 +a(g189 +V\u000a +tp18029 +a(g189 +V\u000a +tp18030 +a(g189 +V\u000a +tp18031 +a(g189 +V +p18032 +tp18033 +a(g131 +Vpublic +p18034 +tp18035 +a(g189 +V +tp18036 +a(g139 +Vvoid +p18037 +tp18038 +a(g189 +V +tp18039 +a(g21 +Vstep +p18040 +tp18041 +a(g344 +V( +tp18042 +a(g139 +Vint +p18043 +tp18044 +a(g189 +V +tp18045 +a(g18 +Vw +tp18046 +a(g344 +V, +tp18047 +a(g189 +V +tp18048 +a(g139 +Vint +p18049 +tp18050 +a(g189 +V +tp18051 +a(g18 +Vh +tp18052 +a(g344 +V) +tp18053 +a(g189 +V +tp18054 +a(g344 +V{ +tp18055 +a(g189 +V\u000a +tp18056 +a(g189 +V +p18057 +tp18058 +a(g111 +Vif +p18059 +tp18060 +a(g189 +V +tp18061 +a(g344 +V( +tp18062 +a(g18 +Vbimg +p18063 +tp18064 +a(g189 +V +tp18065 +a(g344 +V= +tp18066 +a(g344 +V= +tp18067 +a(g189 +V +tp18068 +a(g117 +Vnull +p18069 +tp18070 +a(g344 +V) +tp18071 +a(g189 +V +tp18072 +a(g344 +V{ +tp18073 +a(g189 +V\u000a +tp18074 +a(g189 +V +p18075 +tp18076 +a(g139 +Vint +p18077 +tp18078 +a(g189 +V +tp18079 +a(g18 +Vbiw +p18080 +tp18081 +a(g189 +V +tp18082 +a(g344 +V= +tp18083 +a(g189 +V +tp18084 +a(g18 +VSurface +p18085 +tp18086 +a(g344 +V. +tp18087 +a(g41 +Vbimg +p18088 +tp18089 +a(g344 +V. +tp18090 +a(g41 +VgetWidth +p18091 +tp18092 +a(g344 +V( +tp18093 +a(g344 +V) +tp18094 +a(g344 +V; +tp18095 +a(g189 +V\u000a +tp18096 +a(g189 +V +p18097 +tp18098 +a(g139 +Vint +p18099 +tp18100 +a(g189 +V +tp18101 +a(g18 +Vbih +p18102 +tp18103 +a(g189 +V +tp18104 +a(g344 +V= +tp18105 +a(g189 +V +tp18106 +a(g18 +VSurface +p18107 +tp18108 +a(g344 +V. +tp18109 +a(g41 +Vbimg +p18110 +tp18111 +a(g344 +V. +tp18112 +a(g41 +VgetHeight +p18113 +tp18114 +a(g344 +V( +tp18115 +a(g344 +V) +tp18116 +a(g344 +V; +tp18117 +a(g189 +V\u000a +tp18118 +a(g189 +V +p18119 +tp18120 +a(g18 +Vbimg +p18121 +tp18122 +a(g189 +V +tp18123 +a(g344 +V= +tp18124 +a(g189 +V +tp18125 +a(g111 +Vnew +p18126 +tp18127 +a(g189 +V +tp18128 +a(g18 +VBufferedImage +p18129 +tp18130 +a(g344 +V( +tp18131 +a(g18 +Vbiw +p18132 +tp18133 +a(g344 +V, +tp18134 +a(g189 +V +tp18135 +a(g18 +Vbih +p18136 +tp18137 +a(g344 +V, +tp18138 +a(g189 +V +tp18139 +a(g18 +VBufferedImage +p18140 +tp18141 +a(g344 +V. +tp18142 +a(g41 +VTYPE_INT_RGB +p18143 +tp18144 +a(g344 +V) +tp18145 +a(g344 +V; +tp18146 +a(g189 +V\u000a +tp18147 +a(g189 +V +p18148 +tp18149 +a(g18 +VGraphics2D +p18150 +tp18151 +a(g189 +V +tp18152 +a(g18 +Vbig +p18153 +tp18154 +a(g189 +V +tp18155 +a(g344 +V= +tp18156 +a(g189 +V +tp18157 +a(g18 +Vbimg +p18158 +tp18159 +a(g344 +V. +tp18160 +a(g41 +VcreateGraphics +p18161 +tp18162 +a(g344 +V( +tp18163 +a(g344 +V) +tp18164 +a(g344 +V; +tp18165 +a(g189 +V\u000a +tp18166 +a(g189 +V +p18167 +tp18168 +a(g18 +Vbig +p18169 +tp18170 +a(g344 +V. +tp18171 +a(g41 +VdrawImage +p18172 +tp18173 +a(g344 +V( +tp18174 +a(g18 +VSurface +p18175 +tp18176 +a(g344 +V. +tp18177 +a(g41 +Vbimg +p18178 +tp18179 +a(g344 +V, +tp18180 +a(g189 +V +tp18181 +a(g319 +V0 +tp18182 +a(g344 +V, +tp18183 +a(g189 +V +tp18184 +a(g319 +V0 +tp18185 +a(g344 +V, +tp18186 +a(g189 +V +tp18187 +a(g117 +Vnull +p18188 +tp18189 +a(g344 +V) +tp18190 +a(g344 +V; +tp18191 +a(g189 +V\u000a +tp18192 +a(g189 +V +p18193 +tp18194 +a(g111 +Vfor +p18195 +tp18196 +a(g189 +V +tp18197 +a(g344 +V( +tp18198 +a(g139 +Vint +p18199 +tp18200 +a(g189 +V +tp18201 +a(g18 +Vx +tp18202 +a(g189 +V +tp18203 +a(g344 +V= +tp18204 +a(g189 +V +tp18205 +a(g319 +V0 +tp18206 +a(g344 +V; +tp18207 +a(g189 +V +tp18208 +a(g18 +Vx +tp18209 +a(g189 +V +tp18210 +a(g344 +V< +tp18211 +a(g189 +V +tp18212 +a(g18 +Vw +tp18213 +a(g189 +V +tp18214 +a(g344 +V& +tp18215 +a(g344 +V& +tp18216 +a(g189 +V +tp18217 +a(g18 +Vscale +p18218 +tp18219 +a(g189 +V +tp18220 +a(g344 +V> +tp18221 +a(g189 +V +tp18222 +a(g311 +V0.0 +p18223 +tp18224 +a(g344 +V; +tp18225 +a(g189 +V +tp18226 +a(g18 +Vx +tp18227 +a(g344 +V+ +tp18228 +a(g344 +V= +tp18229 +a(g18 +Vsiw +p18230 +tp18231 +a(g344 +V) +tp18232 +a(g189 +V +tp18233 +a(g344 +V{ +tp18234 +a(g189 +V\u000a +tp18235 +a(g189 +V +p18236 +tp18237 +a(g139 +Vint +p18238 +tp18239 +a(g189 +V +tp18240 +a(g18 +Vww +p18241 +tp18242 +a(g189 +V +tp18243 +a(g344 +V= +tp18244 +a(g189 +V +tp18245 +a(g18 +Vx +tp18246 +a(g344 +V+ +tp18247 +a(g18 +Vsiw +p18248 +tp18249 +a(g189 +V +tp18250 +a(g344 +V< +tp18251 +a(g189 +V +tp18252 +a(g18 +Vw +tp18253 +a(g189 +V +tp18254 +a(g344 +V? +tp18255 +a(g189 +V +tp18256 +a(g18 +Vsiw +p18257 +tp18258 +a(g189 +V +tp18259 +a(g344 +V: +tp18260 +a(g189 +V +tp18261 +a(g18 +Vw +tp18262 +a(g344 +V- +tp18263 +a(g18 +Vx +tp18264 +a(g344 +V; +tp18265 +a(g189 +V\u000a +tp18266 +a(g189 +V +p18267 +tp18268 +a(g111 +Vfor +p18269 +tp18270 +a(g189 +V +tp18271 +a(g344 +V( +tp18272 +a(g139 +Vint +p18273 +tp18274 +a(g189 +V +tp18275 +a(g18 +Vy +tp18276 +a(g189 +V +tp18277 +a(g344 +V= +tp18278 +a(g189 +V +tp18279 +a(g319 +V0 +tp18280 +a(g344 +V; +tp18281 +a(g189 +V +tp18282 +a(g18 +Vy +tp18283 +a(g189 +V +tp18284 +a(g344 +V< +tp18285 +a(g189 +V +tp18286 +a(g18 +Vh +tp18287 +a(g344 +V; +tp18288 +a(g189 +V +tp18289 +a(g18 +Vy +tp18290 +a(g344 +V+ +tp18291 +a(g344 +V= +tp18292 +a(g18 +Vsih +p18293 +tp18294 +a(g344 +V) +tp18295 +a(g189 +V +tp18296 +a(g344 +V{ +tp18297 +a(g189 +V\u000a +tp18298 +a(g189 +V +p18299 +tp18300 +a(g139 +Vint +p18301 +tp18302 +a(g189 +V +tp18303 +a(g18 +Vhh +p18304 +tp18305 +a(g189 +V +tp18306 +a(g344 +V= +tp18307 +a(g189 +V +tp18308 +a(g18 +Vy +tp18309 +a(g344 +V+ +tp18310 +a(g18 +Vsih +p18311 +tp18312 +a(g189 +V +tp18313 +a(g344 +V< +tp18314 +a(g189 +V +tp18315 +a(g18 +Vh +tp18316 +a(g189 +V +tp18317 +a(g344 +V? +tp18318 +a(g189 +V +tp18319 +a(g18 +Vsih +p18320 +tp18321 +a(g189 +V +tp18322 +a(g344 +V: +tp18323 +a(g189 +V +tp18324 +a(g18 +Vh +tp18325 +a(g344 +V- +tp18326 +a(g18 +Vy +tp18327 +a(g344 +V; +tp18328 +a(g189 +V\u000a +tp18329 +a(g189 +V +p18330 +tp18331 +a(g18 +Vsubs +p18332 +tp18333 +a(g344 +V. +tp18334 +a(g41 +VaddElement +p18335 +tp18336 +a(g344 +V( +tp18337 +a(g18 +Vbimg +p18338 +tp18339 +a(g344 +V. +tp18340 +a(g41 +VgetSubimage +p18341 +tp18342 +a(g344 +V( +tp18343 +a(g18 +Vx +tp18344 +a(g344 +V, +tp18345 +a(g18 +Vy +tp18346 +a(g344 +V, +tp18347 +a(g18 +Vww +p18348 +tp18349 +a(g344 +V, +tp18350 +a(g18 +Vhh +p18351 +tp18352 +a(g344 +V) +tp18353 +a(g344 +V) +tp18354 +a(g344 +V; +tp18355 +a(g189 +V +p18356 +tp18357 +a(g189 +V\u000a +tp18358 +a(g189 +V +p18359 +tp18360 +a(g18 +Vpts +p18361 +tp18362 +a(g344 +V. +tp18363 +a(g41 +VaddElement +p18364 +tp18365 +a(g344 +V( +tp18366 +a(g111 +Vnew +p18367 +tp18368 +a(g189 +V +tp18369 +a(g18 +VPoint +p18370 +tp18371 +a(g344 +V( +tp18372 +a(g18 +Vx +tp18373 +a(g344 +V, +tp18374 +a(g189 +V +tp18375 +a(g18 +Vy +tp18376 +a(g344 +V) +tp18377 +a(g344 +V) +tp18378 +a(g344 +V; +tp18379 +a(g189 +V\u000a +tp18380 +a(g189 +V +p18381 +tp18382 +a(g344 +V} +tp18383 +a(g189 +V\u000a +tp18384 +a(g189 +V +p18385 +tp18386 +a(g344 +V} +tp18387 +a(g189 +V\u000a +tp18388 +a(g189 +V +p18389 +tp18390 +a(g344 +V} +tp18391 +a(g189 +V\u000a +tp18392 +a(g189 +V +p18393 +tp18394 +a(g189 +V\u000a +tp18395 +a(g189 +V +p18396 +tp18397 +a(g18 +Vrotate +p18398 +tp18399 +a(g189 +V +tp18400 +a(g344 +V+ +tp18401 +a(g344 +V= +tp18402 +a(g189 +V +tp18403 +a(g18 +VrIncr +p18404 +tp18405 +a(g344 +V; +tp18406 +a(g189 +V\u000a +tp18407 +a(g189 +V +p18408 +tp18409 +a(g18 +Vscale +p18410 +tp18411 +a(g189 +V +tp18412 +a(g344 +V- +tp18413 +a(g344 +V= +tp18414 +a(g189 +V +tp18415 +a(g18 +VsIncr +p18416 +tp18417 +a(g344 +V; +tp18418 +a(g189 +V\u000a +tp18419 +a(g189 +V +p18420 +tp18421 +a(g344 +V} +tp18422 +a(g189 +V\u000a +tp18423 +a(g189 +V\u000a +tp18424 +a(g189 +V\u000a +tp18425 +a(g189 +V +p18426 +tp18427 +a(g131 +Vpublic +p18428 +tp18429 +a(g189 +V +tp18430 +a(g139 +Vvoid +p18431 +tp18432 +a(g189 +V +tp18433 +a(g21 +Vrender +p18434 +tp18435 +a(g344 +V( +tp18436 +a(g139 +Vint +p18437 +tp18438 +a(g189 +V +tp18439 +a(g18 +Vw +tp18440 +a(g344 +V, +tp18441 +a(g189 +V +tp18442 +a(g139 +Vint +p18443 +tp18444 +a(g189 +V +tp18445 +a(g18 +Vh +tp18446 +a(g344 +V, +tp18447 +a(g189 +V +tp18448 +a(g18 +VGraphics2D +p18449 +tp18450 +a(g189 +V +tp18451 +a(g18 +Vg2 +p18452 +tp18453 +a(g344 +V) +tp18454 +a(g189 +V +tp18455 +a(g344 +V{ +tp18456 +a(g189 +V\u000a +tp18457 +a(g189 +V +p18458 +tp18459 +a(g18 +VAffineTransform +p18460 +tp18461 +a(g189 +V +tp18462 +a(g18 +VsaveTx +p18463 +tp18464 +a(g189 +V +tp18465 +a(g344 +V= +tp18466 +a(g189 +V +tp18467 +a(g18 +Vg2 +p18468 +tp18469 +a(g344 +V. +tp18470 +a(g41 +VgetTransform +p18471 +tp18472 +a(g344 +V( +tp18473 +a(g344 +V) +tp18474 +a(g344 +V; +tp18475 +a(g189 +V\u000a +tp18476 +a(g189 +V +p18477 +tp18478 +a(g18 +Vg2 +p18479 +tp18480 +a(g344 +V. +tp18481 +a(g41 +VsetColor +p18482 +tp18483 +a(g344 +V( +tp18484 +a(g18 +Vblue +p18485 +tp18486 +a(g344 +V) +tp18487 +a(g344 +V; +tp18488 +a(g189 +V\u000a +tp18489 +a(g189 +V +p18490 +tp18491 +a(g111 +Vfor +p18492 +tp18493 +a(g189 +V +tp18494 +a(g344 +V( +tp18495 +a(g139 +Vint +p18496 +tp18497 +a(g189 +V +tp18498 +a(g18 +Vi +tp18499 +a(g189 +V +tp18500 +a(g344 +V= +tp18501 +a(g189 +V +tp18502 +a(g319 +V0 +tp18503 +a(g344 +V; +tp18504 +a(g189 +V +tp18505 +a(g18 +Vi +tp18506 +a(g189 +V +tp18507 +a(g344 +V< +tp18508 +a(g189 +V +tp18509 +a(g18 +Vsubs +p18510 +tp18511 +a(g344 +V. +tp18512 +a(g41 +Vsize +p18513 +tp18514 +a(g344 +V( +tp18515 +a(g344 +V) +tp18516 +a(g189 +V +tp18517 +a(g344 +V& +tp18518 +a(g344 +V& +tp18519 +a(g189 +V +tp18520 +a(g18 +Vscale +p18521 +tp18522 +a(g189 +V +tp18523 +a(g344 +V> +tp18524 +a(g189 +V +tp18525 +a(g311 +V0.0 +p18526 +tp18527 +a(g344 +V; +tp18528 +a(g189 +V +tp18529 +a(g18 +Vi +tp18530 +a(g344 +V+ +tp18531 +a(g344 +V+ +tp18532 +a(g344 +V) +tp18533 +a(g189 +V +tp18534 +a(g344 +V{ +tp18535 +a(g189 +V\u000a +tp18536 +a(g189 +V +p18537 +tp18538 +a(g18 +VBufferedImage +p18539 +tp18540 +a(g189 +V +tp18541 +a(g18 +Vbi +p18542 +tp18543 +a(g189 +V +tp18544 +a(g344 +V= +tp18545 +a(g189 +V +tp18546 +a(g344 +V( +tp18547 +a(g18 +VBufferedImage +p18548 +tp18549 +a(g344 +V) +tp18550 +a(g189 +V +tp18551 +a(g18 +Vsubs +p18552 +tp18553 +a(g344 +V. +tp18554 +a(g41 +Vget +p18555 +tp18556 +a(g344 +V( +tp18557 +a(g18 +Vi +tp18558 +a(g344 +V) +tp18559 +a(g344 +V; +tp18560 +a(g189 +V\u000a +tp18561 +a(g189 +V +p18562 +tp18563 +a(g18 +VPoint +p18564 +tp18565 +a(g189 +V +tp18566 +a(g18 +Vp +tp18567 +a(g189 +V +tp18568 +a(g344 +V= +tp18569 +a(g189 +V +tp18570 +a(g344 +V( +tp18571 +a(g18 +VPoint +p18572 +tp18573 +a(g344 +V) +tp18574 +a(g189 +V +tp18575 +a(g18 +Vpts +p18576 +tp18577 +a(g344 +V. +tp18578 +a(g41 +Vget +p18579 +tp18580 +a(g344 +V( +tp18581 +a(g18 +Vi +tp18582 +a(g344 +V) +tp18583 +a(g344 +V; +tp18584 +a(g189 +V\u000a +tp18585 +a(g189 +V +p18586 +tp18587 +a(g139 +Vint +p18588 +tp18589 +a(g189 +V +tp18590 +a(g18 +Vww +p18591 +tp18592 +a(g189 +V +tp18593 +a(g344 +V= +tp18594 +a(g189 +V +tp18595 +a(g18 +Vbi +p18596 +tp18597 +a(g344 +V. +tp18598 +a(g41 +VgetWidth +p18599 +tp18600 +a(g344 +V( +tp18601 +a(g344 +V) +tp18602 +a(g344 +V; +tp18603 +a(g189 +V\u000a +tp18604 +a(g189 +V +p18605 +tp18606 +a(g139 +Vint +p18607 +tp18608 +a(g189 +V +tp18609 +a(g18 +Vhh +p18610 +tp18611 +a(g189 +V +tp18612 +a(g344 +V= +tp18613 +a(g189 +V +tp18614 +a(g18 +Vbi +p18615 +tp18616 +a(g344 +V. +tp18617 +a(g41 +VgetHeight +p18618 +tp18619 +a(g344 +V( +tp18620 +a(g344 +V) +tp18621 +a(g344 +V; +tp18622 +a(g189 +V\u000a +tp18623 +a(g189 +V +p18624 +tp18625 +a(g18 +VAffineTransform +p18626 +tp18627 +a(g189 +V +tp18628 +a(g18 +Vat +p18629 +tp18630 +a(g189 +V +tp18631 +a(g344 +V= +tp18632 +a(g189 +V +tp18633 +a(g111 +Vnew +p18634 +tp18635 +a(g189 +V +tp18636 +a(g18 +VAffineTransform +p18637 +tp18638 +a(g344 +V( +tp18639 +a(g344 +V) +tp18640 +a(g344 +V; +tp18641 +a(g189 +V\u000a +tp18642 +a(g189 +V +p18643 +tp18644 +a(g18 +Vat +p18645 +tp18646 +a(g344 +V. +tp18647 +a(g41 +Vrotate +p18648 +tp18649 +a(g344 +V( +tp18650 +a(g18 +VMath +p18651 +tp18652 +a(g344 +V. +tp18653 +a(g41 +VtoRadians +p18654 +tp18655 +a(g344 +V( +tp18656 +a(g18 +Vrotate +p18657 +tp18658 +a(g344 +V) +tp18659 +a(g344 +V, +tp18660 +a(g189 +V +tp18661 +a(g18 +Vp +tp18662 +a(g344 +V. +tp18663 +a(g41 +Vx +tp18664 +a(g344 +V+ +tp18665 +a(g18 +Vww +p18666 +tp18667 +a(g344 +V/ +tp18668 +a(g319 +V2 +tp18669 +a(g344 +V, +tp18670 +a(g189 +V +tp18671 +a(g18 +Vp +tp18672 +a(g344 +V. +tp18673 +a(g41 +Vy +tp18674 +a(g344 +V+ +tp18675 +a(g18 +Vhh +p18676 +tp18677 +a(g344 +V/ +tp18678 +a(g319 +V2 +tp18679 +a(g344 +V) +tp18680 +a(g344 +V; +tp18681 +a(g189 +V +tp18682 +a(g189 +V\u000a +tp18683 +a(g189 +V +p18684 +tp18685 +a(g18 +Vat +p18686 +tp18687 +a(g344 +V. +tp18688 +a(g41 +Vtranslate +p18689 +tp18690 +a(g344 +V( +tp18691 +a(g18 +Vp +tp18692 +a(g344 +V. +tp18693 +a(g41 +Vx +tp18694 +a(g344 +V, +tp18695 +a(g189 +V +tp18696 +a(g18 +Vp +tp18697 +a(g344 +V. +tp18698 +a(g41 +Vy +tp18699 +a(g344 +V) +tp18700 +a(g344 +V; +tp18701 +a(g189 +V\u000a +tp18702 +a(g189 +V +p18703 +tp18704 +a(g18 +Vat +p18705 +tp18706 +a(g344 +V. +tp18707 +a(g41 +Vscale +p18708 +tp18709 +a(g344 +V( +tp18710 +a(g18 +Vscale +p18711 +tp18712 +a(g344 +V, +tp18713 +a(g189 +V +tp18714 +a(g18 +Vscale +p18715 +tp18716 +a(g344 +V) +tp18717 +a(g344 +V; +tp18718 +a(g189 +V\u000a +tp18719 +a(g189 +V\u000a +tp18720 +a(g189 +V +p18721 +tp18722 +a(g18 +VRectangle +p18723 +tp18724 +a(g189 +V +tp18725 +a(g18 +Vb1 +p18726 +tp18727 +a(g189 +V +tp18728 +a(g344 +V= +tp18729 +a(g189 +V +tp18730 +a(g111 +Vnew +p18731 +tp18732 +a(g189 +V +tp18733 +a(g18 +VRectangle +p18734 +tp18735 +a(g344 +V( +tp18736 +a(g319 +V0 +tp18737 +a(g344 +V, +tp18738 +a(g189 +V +tp18739 +a(g319 +V0 +tp18740 +a(g344 +V, +tp18741 +a(g189 +V +tp18742 +a(g18 +Vww +p18743 +tp18744 +a(g344 +V, +tp18745 +a(g189 +V +tp18746 +a(g18 +Vhh +p18747 +tp18748 +a(g344 +V) +tp18749 +a(g344 +V; +tp18750 +a(g189 +V\u000a +tp18751 +a(g189 +V +p18752 +tp18753 +a(g18 +VShape +p18754 +tp18755 +a(g189 +V +tp18756 +a(g18 +Vshape +p18757 +tp18758 +a(g189 +V +tp18759 +a(g344 +V= +tp18760 +a(g189 +V +tp18761 +a(g18 +Vat +p18762 +tp18763 +a(g344 +V. +tp18764 +a(g41 +VcreateTransformedShape +p18765 +tp18766 +a(g344 +V( +tp18767 +a(g18 +Vb1 +p18768 +tp18769 +a(g344 +V) +tp18770 +a(g344 +V; +tp18771 +a(g189 +V\u000a +tp18772 +a(g189 +V +p18773 +tp18774 +a(g18 +VRectangle2D +p18775 +tp18776 +a(g189 +V +tp18777 +a(g18 +Vb2 +p18778 +tp18779 +a(g189 +V +tp18780 +a(g344 +V= +tp18781 +a(g189 +V +tp18782 +a(g18 +Vshape +p18783 +tp18784 +a(g344 +V. +tp18785 +a(g41 +VgetBounds2D +p18786 +tp18787 +a(g344 +V( +tp18788 +a(g344 +V) +tp18789 +a(g344 +V; +tp18790 +a(g189 +V\u000a +tp18791 +a(g189 +V +p18792 +tp18793 +a(g139 +Vdouble +p18794 +tp18795 +a(g189 +V +tp18796 +a(g18 +Vxx +p18797 +tp18798 +a(g189 +V +tp18799 +a(g344 +V= +tp18800 +a(g189 +V +tp18801 +a(g344 +V( +tp18802 +a(g18 +Vp +tp18803 +a(g344 +V. +tp18804 +a(g41 +Vx +tp18805 +a(g344 +V+ +tp18806 +a(g18 +Vww +p18807 +tp18808 +a(g344 +V/ +tp18809 +a(g319 +V2 +tp18810 +a(g344 +V) +tp18811 +a(g189 +V +tp18812 +a(g344 +V- +tp18813 +a(g189 +V +tp18814 +a(g344 +V( +tp18815 +a(g18 +Vb2 +p18816 +tp18817 +a(g344 +V. +tp18818 +a(g41 +VgetX +p18819 +tp18820 +a(g344 +V( +tp18821 +a(g344 +V) +tp18822 +a(g344 +V+ +tp18823 +a(g18 +Vb2 +p18824 +tp18825 +a(g344 +V. +tp18826 +a(g41 +VgetWidth +p18827 +tp18828 +a(g344 +V( +tp18829 +a(g344 +V) +tp18830 +a(g344 +V/ +tp18831 +a(g319 +V2 +tp18832 +a(g344 +V) +tp18833 +a(g344 +V; +tp18834 +a(g189 +V\u000a +tp18835 +a(g189 +V +p18836 +tp18837 +a(g139 +Vdouble +p18838 +tp18839 +a(g189 +V +tp18840 +a(g18 +Vyy +p18841 +tp18842 +a(g189 +V +tp18843 +a(g344 +V= +tp18844 +a(g189 +V +tp18845 +a(g344 +V( +tp18846 +a(g18 +Vp +tp18847 +a(g344 +V. +tp18848 +a(g41 +Vy +tp18849 +a(g344 +V+ +tp18850 +a(g18 +Vhh +p18851 +tp18852 +a(g344 +V/ +tp18853 +a(g319 +V2 +tp18854 +a(g344 +V) +tp18855 +a(g189 +V +tp18856 +a(g344 +V- +tp18857 +a(g189 +V +tp18858 +a(g344 +V( +tp18859 +a(g18 +Vb2 +p18860 +tp18861 +a(g344 +V. +tp18862 +a(g41 +VgetY +p18863 +tp18864 +a(g344 +V( +tp18865 +a(g344 +V) +tp18866 +a(g344 +V+ +tp18867 +a(g18 +Vb2 +p18868 +tp18869 +a(g344 +V. +tp18870 +a(g41 +VgetHeight +p18871 +tp18872 +a(g344 +V( +tp18873 +a(g344 +V) +tp18874 +a(g344 +V/ +tp18875 +a(g319 +V2 +tp18876 +a(g344 +V) +tp18877 +a(g344 +V; +tp18878 +a(g189 +V\u000a +tp18879 +a(g189 +V +p18880 +tp18881 +a(g18 +VAffineTransform +p18882 +tp18883 +a(g189 +V +tp18884 +a(g18 +VtoCenterAT +p18885 +tp18886 +a(g189 +V +tp18887 +a(g344 +V= +tp18888 +a(g189 +V +tp18889 +a(g111 +Vnew +p18890 +tp18891 +a(g189 +V +tp18892 +a(g18 +VAffineTransform +p18893 +tp18894 +a(g344 +V( +tp18895 +a(g344 +V) +tp18896 +a(g344 +V; +tp18897 +a(g189 +V\u000a +tp18898 +a(g189 +V +p18899 +tp18900 +a(g18 +VtoCenterAT +p18901 +tp18902 +a(g344 +V. +tp18903 +a(g41 +Vtranslate +p18904 +tp18905 +a(g344 +V( +tp18906 +a(g18 +Vxx +p18907 +tp18908 +a(g344 +V, +tp18909 +a(g189 +V +tp18910 +a(g18 +Vyy +p18911 +tp18912 +a(g344 +V) +tp18913 +a(g344 +V; +tp18914 +a(g189 +V\u000a +tp18915 +a(g189 +V +p18916 +tp18917 +a(g18 +VtoCenterAT +p18918 +tp18919 +a(g344 +V. +tp18920 +a(g41 +Vconcatenate +p18921 +tp18922 +a(g344 +V( +tp18923 +a(g18 +Vat +p18924 +tp18925 +a(g344 +V) +tp18926 +a(g344 +V; +tp18927 +a(g189 +V\u000a +tp18928 +a(g189 +V\u000a +tp18929 +a(g189 +V +p18930 +tp18931 +a(g18 +Vg2 +p18932 +tp18933 +a(g344 +V. +tp18934 +a(g41 +VsetTransform +p18935 +tp18936 +a(g344 +V( +tp18937 +a(g18 +VtoCenterAT +p18938 +tp18939 +a(g344 +V) +tp18940 +a(g344 +V; +tp18941 +a(g189 +V\u000a +tp18942 +a(g189 +V +p18943 +tp18944 +a(g18 +Vg2 +p18945 +tp18946 +a(g344 +V. +tp18947 +a(g41 +VdrawImage +p18948 +tp18949 +a(g344 +V( +tp18950 +a(g18 +Vbi +p18951 +tp18952 +a(g344 +V, +tp18953 +a(g189 +V +tp18954 +a(g319 +V0 +tp18955 +a(g344 +V, +tp18956 +a(g189 +V +tp18957 +a(g319 +V0 +tp18958 +a(g344 +V, +tp18959 +a(g189 +V +tp18960 +a(g117 +Vnull +p18961 +tp18962 +a(g344 +V) +tp18963 +a(g344 +V; +tp18964 +a(g189 +V\u000a +tp18965 +a(g189 +V +p18966 +tp18967 +a(g18 +Vg2 +p18968 +tp18969 +a(g344 +V. +tp18970 +a(g41 +Vdraw +p18971 +tp18972 +a(g344 +V( +tp18973 +a(g18 +Vb1 +p18974 +tp18975 +a(g344 +V) +tp18976 +a(g344 +V; +tp18977 +a(g189 +V\u000a +tp18978 +a(g189 +V +p18979 +tp18980 +a(g344 +V} +tp18981 +a(g189 +V\u000a +tp18982 +a(g189 +V +p18983 +tp18984 +a(g18 +Vg2 +p18985 +tp18986 +a(g344 +V. +tp18987 +a(g41 +VsetTransform +p18988 +tp18989 +a(g344 +V( +tp18990 +a(g18 +VsaveTx +p18991 +tp18992 +a(g344 +V) +tp18993 +a(g344 +V; +tp18994 +a(g189 +V\u000a +tp18995 +a(g189 +V +p18996 +tp18997 +a(g344 +V} +tp18998 +a(g189 +V\u000a +tp18999 +a(g189 +V\u000a +tp19000 +a(g189 +V +p19001 +tp19002 +a(g131 +Vpublic +p19003 +tp19004 +a(g189 +V +tp19005 +a(g139 +Vint +p19006 +tp19007 +a(g189 +V +tp19008 +a(g21 +VgetBegin +p19009 +tp19010 +a(g344 +V( +tp19011 +a(g344 +V) +tp19012 +a(g189 +V +tp19013 +a(g344 +V{ +tp19014 +a(g189 +V\u000a +tp19015 +a(g189 +V +p19016 +tp19017 +a(g111 +Vreturn +p19018 +tp19019 +a(g189 +V +tp19020 +a(g18 +Vbeginning +p19021 +tp19022 +a(g344 +V; +tp19023 +a(g189 +V\u000a +tp19024 +a(g189 +V +p19025 +tp19026 +a(g344 +V} +tp19027 +a(g189 +V\u000a +tp19028 +a(g189 +V\u000a +tp19029 +a(g189 +V +p19030 +tp19031 +a(g131 +Vpublic +p19032 +tp19033 +a(g189 +V +tp19034 +a(g139 +Vint +p19035 +tp19036 +a(g189 +V +tp19037 +a(g21 +VgetEnd +p19038 +tp19039 +a(g344 +V( +tp19040 +a(g344 +V) +tp19041 +a(g189 +V +tp19042 +a(g344 +V{ +tp19043 +a(g189 +V\u000a +tp19044 +a(g189 +V +p19045 +tp19046 +a(g111 +Vreturn +p19047 +tp19048 +a(g189 +V +tp19049 +a(g18 +Vending +p19050 +tp19051 +a(g344 +V; +tp19052 +a(g189 +V\u000a +tp19053 +a(g189 +V +p19054 +tp19055 +a(g344 +V} +tp19056 +a(g189 +V\u000a +tp19057 +a(g189 +V +p19058 +tp19059 +a(g344 +V} +tp19060 +a(g189 +V +tp19061 +a(g358 +V// End SiE class\u000a +p19062 +tp19063 +a(g189 +V\u000a +tp19064 +a(g189 +V\u000a +tp19065 +a(g189 +V\u000a +tp19066 +a(g189 +V\u000a +tp19067 +a(g189 +V +p19068 +tp19069 +a(g7 +V/**\u000a * Line Effect. Flattened ellipse with lines from the center \u000a * to the edge. Expand or collapse the ellipse. Fade in or out \u000a * the lines.\u000a */ +p19070 +tp19071 +a(g189 +V\u000a +tp19072 +a(g189 +V +p19073 +tp19074 +a(g131 +Vstatic +p19075 +tp19076 +a(g189 +V +tp19077 +a(g131 +Vclass +p19078 +tp19079 +a(g189 +V +tp19080 +a(g106 +VLnE +p19081 +tp19082 +a(g189 +V +tp19083 +a(g131 +Vimplements +p19084 +tp19085 +a(g189 +V +tp19086 +a(g18 +VPart +p19087 +tp19088 +a(g189 +V +tp19089 +a(g344 +V{ +tp19090 +a(g189 +V\u000a +tp19091 +a(g189 +V\u000a +tp19092 +a(g189 +V +p19093 +tp19094 +a(g131 +Vstatic +p19095 +tp19096 +a(g189 +V +tp19097 +a(g131 +Vfinal +p19098 +tp19099 +a(g189 +V +tp19100 +a(g139 +Vint +p19101 +tp19102 +a(g189 +V +tp19103 +a(g18 +VINC +p19104 +tp19105 +a(g189 +V +p19106 +tp19107 +a(g344 +V= +tp19108 +a(g189 +V +tp19109 +a(g319 +V1 +tp19110 +a(g344 +V; +tp19111 +a(g189 +V\u000a +tp19112 +a(g189 +V +p19113 +tp19114 +a(g131 +Vstatic +p19115 +tp19116 +a(g189 +V +tp19117 +a(g131 +Vfinal +p19118 +tp19119 +a(g189 +V +tp19120 +a(g139 +Vint +p19121 +tp19122 +a(g189 +V +tp19123 +a(g18 +VDEC +p19124 +tp19125 +a(g189 +V +p19126 +tp19127 +a(g344 +V= +tp19128 +a(g189 +V +tp19129 +a(g319 +V2 +tp19130 +a(g344 +V; +tp19131 +a(g189 +V\u000a +tp19132 +a(g189 +V +p19133 +tp19134 +a(g131 +Vstatic +p19135 +tp19136 +a(g189 +V +tp19137 +a(g131 +Vfinal +p19138 +tp19139 +a(g189 +V +tp19140 +a(g139 +Vint +p19141 +tp19142 +a(g189 +V +tp19143 +a(g18 +VR +tp19144 +a(g189 +V +p19145 +tp19146 +a(g344 +V= +tp19147 +a(g189 +V +tp19148 +a(g319 +V4 +tp19149 +a(g344 +V; +tp19150 +a(g189 +V +p19151 +tp19152 +a(g358 +V// rotate\u000a +p19153 +tp19154 +a(g189 +V +p19155 +tp19156 +a(g131 +Vstatic +p19157 +tp19158 +a(g189 +V +tp19159 +a(g131 +Vfinal +p19160 +tp19161 +a(g189 +V +tp19162 +a(g139 +Vint +p19163 +tp19164 +a(g189 +V +tp19165 +a(g18 +VRI +p19166 +tp19167 +a(g189 +V +p19168 +tp19169 +a(g344 +V= +tp19170 +a(g189 +V +tp19171 +a(g18 +VR +tp19172 +a(g189 +V +tp19173 +a(g344 +V| +tp19174 +a(g189 +V +tp19175 +a(g18 +VINC +p19176 +tp19177 +a(g344 +V; +tp19178 +a(g189 +V\u000a +tp19179 +a(g189 +V +p19180 +tp19181 +a(g131 +Vstatic +p19182 +tp19183 +a(g189 +V +tp19184 +a(g131 +Vfinal +p19185 +tp19186 +a(g189 +V +tp19187 +a(g139 +Vint +p19188 +tp19189 +a(g189 +V +tp19190 +a(g18 +VRD +p19191 +tp19192 +a(g189 +V +p19193 +tp19194 +a(g344 +V= +tp19195 +a(g189 +V +tp19196 +a(g18 +VR +tp19197 +a(g189 +V +tp19198 +a(g344 +V| +tp19199 +a(g189 +V +tp19200 +a(g18 +VDEC +p19201 +tp19202 +a(g344 +V; +tp19203 +a(g189 +V\u000a +tp19204 +a(g189 +V +p19205 +tp19206 +a(g131 +Vstatic +p19207 +tp19208 +a(g189 +V +tp19209 +a(g131 +Vfinal +p19210 +tp19211 +a(g189 +V +tp19212 +a(g139 +Vint +p19213 +tp19214 +a(g189 +V +tp19215 +a(g18 +VZOOM +p19216 +tp19217 +a(g189 +V +p19218 +tp19219 +a(g344 +V= +tp19220 +a(g189 +V +tp19221 +a(g319 +V8 +tp19222 +a(g344 +V; +tp19223 +a(g189 +V +p19224 +tp19225 +a(g358 +V// zoom\u000a +p19226 +tp19227 +a(g189 +V +p19228 +tp19229 +a(g131 +Vstatic +p19230 +tp19231 +a(g189 +V +tp19232 +a(g131 +Vfinal +p19233 +tp19234 +a(g189 +V +tp19235 +a(g139 +Vint +p19236 +tp19237 +a(g189 +V +tp19238 +a(g18 +VZOOMI +p19239 +tp19240 +a(g189 +V +p19241 +tp19242 +a(g344 +V= +tp19243 +a(g189 +V +tp19244 +a(g18 +VZOOM +p19245 +tp19246 +a(g189 +V +tp19247 +a(g344 +V| +tp19248 +a(g189 +V +tp19249 +a(g18 +VINC +p19250 +tp19251 +a(g344 +V; +tp19252 +a(g189 +V\u000a +tp19253 +a(g189 +V +p19254 +tp19255 +a(g131 +Vstatic +p19256 +tp19257 +a(g189 +V +tp19258 +a(g131 +Vfinal +p19259 +tp19260 +a(g189 +V +tp19261 +a(g139 +Vint +p19262 +tp19263 +a(g189 +V +tp19264 +a(g18 +VZOOMD +p19265 +tp19266 +a(g189 +V +p19267 +tp19268 +a(g344 +V= +tp19269 +a(g189 +V +tp19270 +a(g18 +VZOOM +p19271 +tp19272 +a(g189 +V +tp19273 +a(g344 +V| +tp19274 +a(g189 +V +tp19275 +a(g18 +VDEC +p19276 +tp19277 +a(g344 +V; +tp19278 +a(g189 +V +p19279 +tp19280 +a(g189 +V\u000a +tp19281 +a(g189 +V +p19282 +tp19283 +a(g131 +Vstatic +p19284 +tp19285 +a(g189 +V +tp19286 +a(g131 +Vfinal +p19287 +tp19288 +a(g189 +V +tp19289 +a(g139 +Vint +p19290 +tp19291 +a(g189 +V +tp19292 +a(g18 +VAC +p19293 +tp19294 +a(g189 +V +p19295 +tp19296 +a(g344 +V= +tp19297 +a(g189 +V +tp19298 +a(g319 +V32 +p19299 +tp19300 +a(g344 +V; +tp19301 +a(g189 +V +p19302 +tp19303 +a(g358 +V// AlphaComposite\u000a +p19304 +tp19305 +a(g189 +V +p19306 +tp19307 +a(g131 +Vstatic +p19308 +tp19309 +a(g189 +V +tp19310 +a(g131 +Vfinal +p19311 +tp19312 +a(g189 +V +tp19313 +a(g139 +Vint +p19314 +tp19315 +a(g189 +V +tp19316 +a(g18 +VACI +p19317 +tp19318 +a(g189 +V +p19319 +tp19320 +a(g344 +V= +tp19321 +a(g189 +V +tp19322 +a(g319 +V32 +p19323 +tp19324 +a(g189 +V +tp19325 +a(g344 +V| +tp19326 +a(g189 +V +tp19327 +a(g18 +VINC +p19328 +tp19329 +a(g344 +V; +tp19330 +a(g189 +V\u000a +tp19331 +a(g189 +V +p19332 +tp19333 +a(g131 +Vstatic +p19334 +tp19335 +a(g189 +V +tp19336 +a(g131 +Vfinal +p19337 +tp19338 +a(g189 +V +tp19339 +a(g139 +Vint +p19340 +tp19341 +a(g189 +V +tp19342 +a(g18 +VACD +p19343 +tp19344 +a(g189 +V +p19345 +tp19346 +a(g344 +V= +tp19347 +a(g189 +V +tp19348 +a(g319 +V32 +p19349 +tp19350 +a(g189 +V +tp19351 +a(g344 +V| +tp19352 +a(g189 +V +tp19353 +a(g18 +VDEC +p19354 +tp19355 +a(g344 +V; +tp19356 +a(g189 +V +tp19357 +a(g189 +V\u000a +tp19358 +a(g189 +V +p19359 +tp19360 +a(g131 +Vprivate +p19361 +tp19362 +a(g189 +V +tp19363 +a(g139 +Vint +p19364 +tp19365 +a(g189 +V +tp19366 +a(g18 +Vbeginning +p19367 +tp19368 +a(g344 +V, +tp19369 +a(g189 +V +tp19370 +a(g18 +Vending +p19371 +tp19372 +a(g344 +V; +tp19373 +a(g189 +V\u000a +tp19374 +a(g189 +V +p19375 +tp19376 +a(g131 +Vprivate +p19377 +tp19378 +a(g189 +V +tp19379 +a(g139 +Vdouble +p19380 +tp19381 +a(g189 +V +tp19382 +a(g18 +VrIncr +p19383 +tp19384 +a(g344 +V, +tp19385 +a(g189 +V +tp19386 +a(g18 +Vrotate +p19387 +tp19388 +a(g344 +V; +tp19389 +a(g189 +V\u000a +tp19390 +a(g189 +V +p19391 +tp19392 +a(g131 +Vprivate +p19393 +tp19394 +a(g189 +V +tp19395 +a(g139 +Vdouble +p19396 +tp19397 +a(g189 +V +tp19398 +a(g18 +VzIncr +p19399 +tp19400 +a(g344 +V, +tp19401 +a(g189 +V +tp19402 +a(g18 +Vzoom +p19403 +tp19404 +a(g344 +V; +tp19405 +a(g189 +V\u000a +tp19406 +a(g189 +V +p19407 +tp19408 +a(g131 +Vprivate +p19409 +tp19410 +a(g189 +V +tp19411 +a(g18 +VVector +p19412 +tp19413 +a(g189 +V +tp19414 +a(g18 +Vpts +p19415 +tp19416 +a(g189 +V +tp19417 +a(g344 +V= +tp19418 +a(g189 +V +tp19419 +a(g111 +Vnew +p19420 +tp19421 +a(g189 +V +tp19422 +a(g18 +VVector +p19423 +tp19424 +a(g344 +V( +tp19425 +a(g344 +V) +tp19426 +a(g344 +V; +tp19427 +a(g189 +V\u000a +tp19428 +a(g189 +V +p19429 +tp19430 +a(g131 +Vprivate +p19431 +tp19432 +a(g189 +V +tp19433 +a(g139 +Vfloat +p19434 +tp19435 +a(g189 +V +tp19436 +a(g18 +Valpha +p19437 +tp19438 +a(g344 +V, +tp19439 +a(g189 +V +tp19440 +a(g18 +VaIncr +p19441 +tp19442 +a(g344 +V; +tp19443 +a(g189 +V\u000a +tp19444 +a(g189 +V +p19445 +tp19446 +a(g131 +Vprivate +p19447 +tp19448 +a(g189 +V +tp19449 +a(g139 +Vint +p19450 +tp19451 +a(g189 +V +tp19452 +a(g18 +Vtype +p19453 +tp19454 +a(g344 +V; +tp19455 +a(g189 +V\u000a +tp19456 +a(g189 +V\u000a +tp19457 +a(g189 +V\u000a +tp19458 +a(g189 +V +p19459 +tp19460 +a(g131 +Vpublic +p19461 +tp19462 +a(g189 +V +tp19463 +a(g21 +VLnE +p19464 +tp19465 +a(g344 +V( +tp19466 +a(g139 +Vint +p19467 +tp19468 +a(g189 +V +tp19469 +a(g18 +Vtype +p19470 +tp19471 +a(g344 +V, +tp19472 +a(g189 +V +tp19473 +a(g139 +Vint +p19474 +tp19475 +a(g189 +V +tp19476 +a(g18 +Vbeg +p19477 +tp19478 +a(g344 +V, +tp19479 +a(g189 +V +tp19480 +a(g139 +Vint +p19481 +tp19482 +a(g189 +V +tp19483 +a(g18 +Vend +p19484 +tp19485 +a(g344 +V) +tp19486 +a(g189 +V +tp19487 +a(g344 +V{ +tp19488 +a(g189 +V\u000a +tp19489 +a(g189 +V +p19490 +tp19491 +a(g111 +Vthis +p19492 +tp19493 +a(g344 +V. +tp19494 +a(g41 +Vtype +p19495 +tp19496 +a(g189 +V +tp19497 +a(g344 +V= +tp19498 +a(g189 +V +tp19499 +a(g18 +Vtype +p19500 +tp19501 +a(g344 +V; +tp19502 +a(g189 +V\u000a +tp19503 +a(g189 +V +p19504 +tp19505 +a(g111 +Vthis +p19506 +tp19507 +a(g344 +V. +tp19508 +a(g41 +Vbeginning +p19509 +tp19510 +a(g189 +V +tp19511 +a(g344 +V= +tp19512 +a(g189 +V +tp19513 +a(g18 +Vbeg +p19514 +tp19515 +a(g344 +V; +tp19516 +a(g189 +V\u000a +tp19517 +a(g189 +V +p19518 +tp19519 +a(g111 +Vthis +p19520 +tp19521 +a(g344 +V. +tp19522 +a(g41 +Vending +p19523 +tp19524 +a(g189 +V +tp19525 +a(g344 +V= +tp19526 +a(g189 +V +tp19527 +a(g18 +Vend +p19528 +tp19529 +a(g344 +V; +tp19530 +a(g189 +V\u000a +tp19531 +a(g189 +V +p19532 +tp19533 +a(g18 +VrIncr +p19534 +tp19535 +a(g189 +V +tp19536 +a(g344 +V= +tp19537 +a(g189 +V +tp19538 +a(g311 +V360.0 +p19539 +tp19540 +a(g189 +V +tp19541 +a(g344 +V/ +tp19542 +a(g189 +V +tp19543 +a(g344 +V( +tp19544 +a(g18 +Vending +p19545 +tp19546 +a(g189 +V +tp19547 +a(g344 +V- +tp19548 +a(g189 +V +tp19549 +a(g18 +Vbeginning +p19550 +tp19551 +a(g344 +V) +tp19552 +a(g344 +V; +tp19553 +a(g189 +V\u000a +tp19554 +a(g189 +V +p19555 +tp19556 +a(g18 +VaIncr +p19557 +tp19558 +a(g189 +V +tp19559 +a(g344 +V= +tp19560 +a(g189 +V +tp19561 +a(g311 +V0.9f +p19562 +tp19563 +a(g189 +V +tp19564 +a(g344 +V/ +tp19565 +a(g189 +V +tp19566 +a(g344 +V( +tp19567 +a(g18 +Vending +p19568 +tp19569 +a(g189 +V +tp19570 +a(g344 +V- +tp19571 +a(g189 +V +tp19572 +a(g18 +Vbeginning +p19573 +tp19574 +a(g344 +V) +tp19575 +a(g344 +V; +tp19576 +a(g189 +V\u000a +tp19577 +a(g189 +V +p19578 +tp19579 +a(g18 +VzIncr +p19580 +tp19581 +a(g189 +V +tp19582 +a(g344 +V= +tp19583 +a(g189 +V +tp19584 +a(g311 +V2.0 +p19585 +tp19586 +a(g189 +V +tp19587 +a(g344 +V/ +tp19588 +a(g189 +V +tp19589 +a(g344 +V( +tp19590 +a(g18 +Vending +p19591 +tp19592 +a(g189 +V +tp19593 +a(g344 +V- +tp19594 +a(g189 +V +tp19595 +a(g18 +Vbeginning +p19596 +tp19597 +a(g344 +V) +tp19598 +a(g344 +V; +tp19599 +a(g189 +V\u000a +tp19600 +a(g189 +V +p19601 +tp19602 +a(g111 +Vif +p19603 +tp19604 +a(g189 +V +tp19605 +a(g344 +V( +tp19606 +a(g344 +V( +tp19607 +a(g18 +Vtype +p19608 +tp19609 +a(g189 +V +tp19610 +a(g344 +V& +tp19611 +a(g189 +V +tp19612 +a(g18 +VDEC +p19613 +tp19614 +a(g344 +V) +tp19615 +a(g189 +V +tp19616 +a(g344 +V! +tp19617 +a(g344 +V= +tp19618 +a(g189 +V +tp19619 +a(g319 +V0 +tp19620 +a(g344 +V) +tp19621 +a(g189 +V +tp19622 +a(g344 +V{ +tp19623 +a(g189 +V\u000a +tp19624 +a(g189 +V +p19625 +tp19626 +a(g18 +VrIncr +p19627 +tp19628 +a(g189 +V +tp19629 +a(g344 +V= +tp19630 +a(g189 +V +tp19631 +a(g344 +V- +tp19632 +a(g18 +VrIncr +p19633 +tp19634 +a(g344 +V; +tp19635 +a(g189 +V\u000a +tp19636 +a(g189 +V +p19637 +tp19638 +a(g18 +VaIncr +p19639 +tp19640 +a(g189 +V +tp19641 +a(g344 +V= +tp19642 +a(g189 +V +tp19643 +a(g344 +V- +tp19644 +a(g18 +VaIncr +p19645 +tp19646 +a(g344 +V; +tp19647 +a(g189 +V\u000a +tp19648 +a(g189 +V +p19649 +tp19650 +a(g18 +VzIncr +p19651 +tp19652 +a(g189 +V +tp19653 +a(g344 +V= +tp19654 +a(g189 +V +tp19655 +a(g344 +V- +tp19656 +a(g18 +VzIncr +p19657 +tp19658 +a(g344 +V; +tp19659 +a(g189 +V\u000a +tp19660 +a(g189 +V +p19661 +tp19662 +a(g344 +V} +tp19663 +a(g189 +V\u000a +tp19664 +a(g189 +V +p19665 +tp19666 +a(g344 +V} +tp19667 +a(g189 +V\u000a +tp19668 +a(g189 +V\u000a +tp19669 +a(g189 +V\u000a +tp19670 +a(g189 +V +p19671 +tp19672 +a(g131 +Vpublic +p19673 +tp19674 +a(g189 +V +tp19675 +a(g139 +Vvoid +p19676 +tp19677 +a(g189 +V +tp19678 +a(g21 +VgeneratePts +p19679 +tp19680 +a(g344 +V( +tp19681 +a(g139 +Vint +p19682 +tp19683 +a(g189 +V +tp19684 +a(g18 +Vw +tp19685 +a(g344 +V, +tp19686 +a(g189 +V +tp19687 +a(g139 +Vint +p19688 +tp19689 +a(g189 +V +tp19690 +a(g18 +Vh +tp19691 +a(g344 +V, +tp19692 +a(g189 +V +tp19693 +a(g139 +Vdouble +p19694 +tp19695 +a(g189 +V +tp19696 +a(g18 +VsizeF +p19697 +tp19698 +a(g344 +V) +tp19699 +a(g189 +V +tp19700 +a(g344 +V{ +tp19701 +a(g189 +V\u000a +tp19702 +a(g189 +V +p19703 +tp19704 +a(g18 +Vpts +p19705 +tp19706 +a(g344 +V. +tp19707 +a(g41 +Vclear +p19708 +tp19709 +a(g344 +V( +tp19710 +a(g344 +V) +tp19711 +a(g344 +V; +tp19712 +a(g189 +V\u000a +tp19713 +a(g189 +V +p19714 +tp19715 +a(g139 +Vdouble +p19716 +tp19717 +a(g189 +V +tp19718 +a(g18 +Vsize +p19719 +tp19720 +a(g189 +V +tp19721 +a(g344 +V= +tp19722 +a(g189 +V +tp19723 +a(g18 +VMath +p19724 +tp19725 +a(g344 +V. +tp19726 +a(g41 +Vmin +p19727 +tp19728 +a(g344 +V( +tp19729 +a(g18 +Vw +tp19730 +a(g344 +V, +tp19731 +a(g189 +V +tp19732 +a(g18 +Vh +tp19733 +a(g344 +V) +tp19734 +a(g189 +V +tp19735 +a(g344 +V* +tp19736 +a(g189 +V +tp19737 +a(g18 +VsizeF +p19738 +tp19739 +a(g344 +V; +tp19740 +a(g189 +V\u000a +tp19741 +a(g189 +V +p19742 +tp19743 +a(g18 +VEllipse2D +p19744 +tp19745 +a(g189 +V +tp19746 +a(g18 +Vellipse +p19747 +tp19748 +a(g189 +V +tp19749 +a(g344 +V= +tp19750 +a(g189 +V +tp19751 +a(g111 +Vnew +p19752 +tp19753 +a(g189 +V +tp19754 +a(g18 +VEllipse2D +p19755 +tp19756 +a(g344 +V. +tp19757 +a(g41 +VDouble +p19758 +tp19759 +a(g344 +V( +tp19760 +a(g18 +Vw +tp19761 +a(g344 +V/ +tp19762 +a(g319 +V2 +tp19763 +a(g344 +V- +tp19764 +a(g18 +Vsize +p19765 +tp19766 +a(g344 +V/ +tp19767 +a(g319 +V2 +tp19768 +a(g344 +V, +tp19769 +a(g18 +Vh +tp19770 +a(g344 +V/ +tp19771 +a(g319 +V2 +tp19772 +a(g344 +V- +tp19773 +a(g18 +Vsize +p19774 +tp19775 +a(g344 +V/ +tp19776 +a(g319 +V2 +tp19777 +a(g344 +V, +tp19778 +a(g18 +Vsize +p19779 +tp19780 +a(g344 +V, +tp19781 +a(g18 +Vsize +p19782 +tp19783 +a(g344 +V) +tp19784 +a(g344 +V; +tp19785 +a(g189 +V\u000a +tp19786 +a(g189 +V +p19787 +tp19788 +a(g18 +VPathIterator +p19789 +tp19790 +a(g189 +V +tp19791 +a(g18 +Vpi +p19792 +tp19793 +a(g189 +V +tp19794 +a(g344 +V= +tp19795 +a(g189 +V +tp19796 +a(g18 +Vellipse +p19797 +tp19798 +a(g344 +V. +tp19799 +a(g41 +VgetPathIterator +p19800 +tp19801 +a(g344 +V( +tp19802 +a(g117 +Vnull +p19803 +tp19804 +a(g344 +V, +tp19805 +a(g189 +V +tp19806 +a(g311 +V0.8 +p19807 +tp19808 +a(g344 +V) +tp19809 +a(g344 +V; +tp19810 +a(g189 +V\u000a +tp19811 +a(g189 +V +p19812 +tp19813 +a(g111 +Vwhile +p19814 +tp19815 +a(g189 +V +tp19816 +a(g344 +V( +tp19817 +a(g189 +V +tp19818 +a(g344 +V! +tp19819 +a(g18 +Vpi +p19820 +tp19821 +a(g344 +V. +tp19822 +a(g41 +VisDone +p19823 +tp19824 +a(g344 +V( +tp19825 +a(g344 +V) +tp19826 +a(g189 +V +tp19827 +a(g344 +V) +tp19828 +a(g189 +V +tp19829 +a(g344 +V{ +tp19830 +a(g189 +V\u000a +tp19831 +a(g189 +V +p19832 +tp19833 +a(g139 +Vdouble +p19834 +tp19835 +a(g344 +V[ +tp19836 +a(g344 +V] +tp19837 +a(g189 +V +tp19838 +a(g18 +Vpt +p19839 +tp19840 +a(g189 +V +tp19841 +a(g344 +V= +tp19842 +a(g189 +V +tp19843 +a(g111 +Vnew +p19844 +tp19845 +a(g189 +V +tp19846 +a(g139 +Vdouble +p19847 +tp19848 +a(g344 +V[ +tp19849 +a(g319 +V6 +tp19850 +a(g344 +V] +tp19851 +a(g344 +V; +tp19852 +a(g189 +V\u000a +tp19853 +a(g189 +V +p19854 +tp19855 +a(g111 +Vswitch +p19856 +tp19857 +a(g189 +V +tp19858 +a(g344 +V( +tp19859 +a(g189 +V +tp19860 +a(g18 +Vpi +p19861 +tp19862 +a(g344 +V. +tp19863 +a(g41 +VcurrentSegment +p19864 +tp19865 +a(g344 +V( +tp19866 +a(g18 +Vpt +p19867 +tp19868 +a(g344 +V) +tp19869 +a(g189 +V +tp19870 +a(g344 +V) +tp19871 +a(g189 +V +tp19872 +a(g344 +V{ +tp19873 +a(g189 +V\u000a +tp19874 +a(g189 +V +p19875 +tp19876 +a(g111 +Vcase +p19877 +tp19878 +a(g189 +V +tp19879 +a(g18 +VFlatteningPathIterator +p19880 +tp19881 +a(g344 +V. +tp19882 +a(g41 +VSEG_MOVETO +p19883 +tp19884 +a(g344 +V: +tp19885 +a(g189 +V\u000a +tp19886 +a(g189 +V +p19887 +tp19888 +a(g111 +Vcase +p19889 +tp19890 +a(g189 +V +tp19891 +a(g18 +VFlatteningPathIterator +p19892 +tp19893 +a(g344 +V. +tp19894 +a(g41 +VSEG_LINETO +p19895 +tp19896 +a(g344 +V: +tp19897 +a(g189 +V\u000a +tp19898 +a(g189 +V +p19899 +tp19900 +a(g18 +Vpts +p19901 +tp19902 +a(g344 +V. +tp19903 +a(g41 +VaddElement +p19904 +tp19905 +a(g344 +V( +tp19906 +a(g111 +Vnew +p19907 +tp19908 +a(g189 +V +tp19909 +a(g18 +VPoint2D +p19910 +tp19911 +a(g344 +V. +tp19912 +a(g41 +VDouble +p19913 +tp19914 +a(g344 +V( +tp19915 +a(g18 +Vpt +p19916 +tp19917 +a(g344 +V[ +tp19918 +a(g319 +V0 +tp19919 +a(g344 +V] +tp19920 +a(g344 +V, +tp19921 +a(g189 +V +tp19922 +a(g18 +Vpt +p19923 +tp19924 +a(g344 +V[ +tp19925 +a(g319 +V1 +tp19926 +a(g344 +V] +tp19927 +a(g344 +V) +tp19928 +a(g344 +V) +tp19929 +a(g344 +V; +tp19930 +a(g189 +V\u000a +tp19931 +a(g189 +V +p19932 +tp19933 +a(g344 +V} +tp19934 +a(g189 +V\u000a +tp19935 +a(g189 +V +p19936 +tp19937 +a(g18 +Vpi +p19938 +tp19939 +a(g344 +V. +tp19940 +a(g41 +Vnext +p19941 +tp19942 +a(g344 +V( +tp19943 +a(g344 +V) +tp19944 +a(g344 +V; +tp19945 +a(g189 +V\u000a +tp19946 +a(g189 +V +p19947 +tp19948 +a(g344 +V} +tp19949 +a(g189 +V\u000a +tp19950 +a(g189 +V +p19951 +tp19952 +a(g344 +V} +tp19953 +a(g189 +V\u000a +tp19954 +a(g189 +V\u000a +tp19955 +a(g189 +V\u000a +tp19956 +a(g189 +V +p19957 +tp19958 +a(g131 +Vpublic +p19959 +tp19960 +a(g189 +V +tp19961 +a(g139 +Vvoid +p19962 +tp19963 +a(g189 +V +tp19964 +a(g21 +Vreset +p19965 +tp19966 +a(g344 +V( +tp19967 +a(g139 +Vint +p19968 +tp19969 +a(g189 +V +tp19970 +a(g18 +Vw +tp19971 +a(g344 +V, +tp19972 +a(g189 +V +tp19973 +a(g139 +Vint +p19974 +tp19975 +a(g189 +V +tp19976 +a(g18 +Vh +tp19977 +a(g344 +V) +tp19978 +a(g189 +V +tp19979 +a(g344 +V{ +tp19980 +a(g189 +V\u000a +tp19981 +a(g189 +V +p19982 +tp19983 +a(g111 +Vif +p19984 +tp19985 +a(g189 +V +tp19986 +a(g344 +V( +tp19987 +a(g344 +V( +tp19988 +a(g18 +Vtype +p19989 +tp19990 +a(g189 +V +tp19991 +a(g344 +V& +tp19992 +a(g189 +V +tp19993 +a(g18 +VDEC +p19994 +tp19995 +a(g344 +V) +tp19996 +a(g189 +V +tp19997 +a(g344 +V! +tp19998 +a(g344 +V= +tp19999 +a(g189 +V +tp20000 +a(g319 +V0 +tp20001 +a(g344 +V) +tp20002 +a(g189 +V +tp20003 +a(g344 +V{ +tp20004 +a(g189 +V\u000a +tp20005 +a(g189 +V +p20006 +tp20007 +a(g18 +Vrotate +p20008 +tp20009 +a(g189 +V +tp20010 +a(g344 +V= +tp20011 +a(g189 +V +tp20012 +a(g319 +V360 +p20013 +tp20014 +a(g344 +V; +tp20015 +a(g189 +V\u000a +tp20016 +a(g189 +V +p20017 +tp20018 +a(g18 +Valpha +p20019 +tp20020 +a(g189 +V +tp20021 +a(g344 +V= +tp20022 +a(g189 +V +tp20023 +a(g311 +V1.0f +p20024 +tp20025 +a(g344 +V; +tp20026 +a(g189 +V\u000a +tp20027 +a(g189 +V +p20028 +tp20029 +a(g18 +Vzoom +p20030 +tp20031 +a(g189 +V +tp20032 +a(g344 +V= +tp20033 +a(g189 +V +tp20034 +a(g311 +V2.0 +p20035 +tp20036 +a(g344 +V; +tp20037 +a(g189 +V\u000a +tp20038 +a(g189 +V +p20039 +tp20040 +a(g344 +V} +tp20041 +a(g189 +V +tp20042 +a(g111 +Velse +p20043 +tp20044 +a(g189 +V +tp20045 +a(g344 +V{ +tp20046 +a(g189 +V\u000a +tp20047 +a(g189 +V +p20048 +tp20049 +a(g18 +Vrotate +p20050 +tp20051 +a(g189 +V +tp20052 +a(g344 +V= +tp20053 +a(g189 +V +tp20054 +a(g18 +Valpha +p20055 +tp20056 +a(g189 +V +tp20057 +a(g344 +V= +tp20058 +a(g189 +V +tp20059 +a(g319 +V0 +tp20060 +a(g344 +V; +tp20061 +a(g189 +V\u000a +tp20062 +a(g189 +V +p20063 +tp20064 +a(g18 +Vzoom +p20065 +tp20066 +a(g189 +V +tp20067 +a(g344 +V= +tp20068 +a(g189 +V +tp20069 +a(g319 +V0 +tp20070 +a(g344 +V; +tp20071 +a(g189 +V\u000a +tp20072 +a(g189 +V +p20073 +tp20074 +a(g344 +V} +tp20075 +a(g189 +V\u000a +tp20076 +a(g189 +V +p20077 +tp20078 +a(g111 +Vif +p20079 +tp20080 +a(g189 +V +tp20081 +a(g344 +V( +tp20082 +a(g344 +V( +tp20083 +a(g18 +Vtype +p20084 +tp20085 +a(g189 +V +tp20086 +a(g344 +V& +tp20087 +a(g189 +V +tp20088 +a(g18 +VZOOM +p20089 +tp20090 +a(g344 +V) +tp20091 +a(g189 +V +tp20092 +a(g344 +V= +tp20093 +a(g344 +V= +tp20094 +a(g189 +V +tp20095 +a(g319 +V0 +tp20096 +a(g344 +V) +tp20097 +a(g189 +V +tp20098 +a(g344 +V{ +tp20099 +a(g189 +V\u000a +tp20100 +a(g189 +V +p20101 +tp20102 +a(g18 +VgeneratePts +p20103 +tp20104 +a(g344 +V( +tp20105 +a(g18 +Vw +tp20106 +a(g344 +V, +tp20107 +a(g189 +V +tp20108 +a(g18 +Vh +tp20109 +a(g344 +V, +tp20110 +a(g189 +V +tp20111 +a(g311 +V0.5 +p20112 +tp20113 +a(g344 +V) +tp20114 +a(g344 +V; +tp20115 +a(g189 +V\u000a +tp20116 +a(g189 +V +p20117 +tp20118 +a(g344 +V} +tp20119 +a(g189 +V\u000a +tp20120 +a(g189 +V +p20121 +tp20122 +a(g344 +V} +tp20123 +a(g189 +V\u000a +tp20124 +a(g189 +V\u000a +tp20125 +a(g189 +V\u000a +tp20126 +a(g189 +V +p20127 +tp20128 +a(g131 +Vpublic +p20129 +tp20130 +a(g189 +V +tp20131 +a(g139 +Vvoid +p20132 +tp20133 +a(g189 +V +tp20134 +a(g21 +Vstep +p20135 +tp20136 +a(g344 +V( +tp20137 +a(g139 +Vint +p20138 +tp20139 +a(g189 +V +tp20140 +a(g18 +Vw +tp20141 +a(g344 +V, +tp20142 +a(g189 +V +tp20143 +a(g139 +Vint +p20144 +tp20145 +a(g189 +V +tp20146 +a(g18 +Vh +tp20147 +a(g344 +V) +tp20148 +a(g189 +V +tp20149 +a(g344 +V{ +tp20150 +a(g189 +V\u000a +tp20151 +a(g189 +V +p20152 +tp20153 +a(g111 +Vif +p20154 +tp20155 +a(g189 +V +tp20156 +a(g344 +V( +tp20157 +a(g344 +V( +tp20158 +a(g18 +Vtype +p20159 +tp20160 +a(g189 +V +tp20161 +a(g344 +V& +tp20162 +a(g189 +V +tp20163 +a(g18 +VZOOM +p20164 +tp20165 +a(g344 +V) +tp20166 +a(g189 +V +tp20167 +a(g344 +V! +tp20168 +a(g344 +V= +tp20169 +a(g189 +V +tp20170 +a(g319 +V0 +tp20171 +a(g344 +V) +tp20172 +a(g189 +V +tp20173 +a(g344 +V{ +tp20174 +a(g189 +V\u000a +tp20175 +a(g189 +V +p20176 +tp20177 +a(g18 +VgeneratePts +p20178 +tp20179 +a(g344 +V( +tp20180 +a(g18 +Vw +tp20181 +a(g344 +V, +tp20182 +a(g189 +V +tp20183 +a(g18 +Vh +tp20184 +a(g344 +V, +tp20185 +a(g189 +V +tp20186 +a(g18 +Vzoom +p20187 +tp20188 +a(g189 +V +tp20189 +a(g344 +V+ +tp20190 +a(g344 +V= +tp20191 +a(g189 +V +tp20192 +a(g18 +VzIncr +p20193 +tp20194 +a(g344 +V) +tp20195 +a(g344 +V; +tp20196 +a(g189 +V\u000a +tp20197 +a(g189 +V +p20198 +tp20199 +a(g344 +V} +tp20200 +a(g189 +V\u000a +tp20201 +a(g189 +V +p20202 +tp20203 +a(g111 +Vif +p20204 +tp20205 +a(g189 +V +tp20206 +a(g344 +V( +tp20207 +a(g344 +V( +tp20208 +a(g18 +Vtype +p20209 +tp20210 +a(g189 +V +tp20211 +a(g344 +V& +tp20212 +a(g189 +V +tp20213 +a(g18 +VRI +p20214 +tp20215 +a(g344 +V) +tp20216 +a(g189 +V +tp20217 +a(g344 +V! +tp20218 +a(g344 +V= +tp20219 +a(g189 +V +tp20220 +a(g319 +V0 +tp20221 +a(g189 +V +tp20222 +a(g344 +V| +tp20223 +a(g344 +V| +tp20224 +a(g189 +V +tp20225 +a(g344 +V( +tp20226 +a(g18 +Vtype +p20227 +tp20228 +a(g189 +V +tp20229 +a(g344 +V& +tp20230 +a(g189 +V +tp20231 +a(g18 +VRI +p20232 +tp20233 +a(g344 +V) +tp20234 +a(g189 +V +tp20235 +a(g344 +V! +tp20236 +a(g344 +V= +tp20237 +a(g189 +V +tp20238 +a(g319 +V0 +tp20239 +a(g344 +V) +tp20240 +a(g189 +V +tp20241 +a(g344 +V{ +tp20242 +a(g189 +V\u000a +tp20243 +a(g189 +V +p20244 +tp20245 +a(g18 +Vrotate +p20246 +tp20247 +a(g189 +V +tp20248 +a(g344 +V+ +tp20249 +a(g344 +V= +tp20250 +a(g189 +V +tp20251 +a(g18 +VrIncr +p20252 +tp20253 +a(g344 +V; +tp20254 +a(g189 +V\u000a +tp20255 +a(g189 +V +p20256 +tp20257 +a(g344 +V} +tp20258 +a(g189 +V\u000a +tp20259 +a(g189 +V +p20260 +tp20261 +a(g111 +Vif +p20262 +tp20263 +a(g189 +V +tp20264 +a(g344 +V( +tp20265 +a(g344 +V( +tp20266 +a(g18 +Vtype +p20267 +tp20268 +a(g189 +V +tp20269 +a(g344 +V& +tp20270 +a(g189 +V +tp20271 +a(g18 +VACI +p20272 +tp20273 +a(g344 +V) +tp20274 +a(g189 +V +tp20275 +a(g344 +V! +tp20276 +a(g344 +V= +tp20277 +a(g189 +V +tp20278 +a(g319 +V0 +tp20279 +a(g189 +V +tp20280 +a(g344 +V| +tp20281 +a(g344 +V| +tp20282 +a(g189 +V +tp20283 +a(g344 +V( +tp20284 +a(g18 +Vtype +p20285 +tp20286 +a(g189 +V +tp20287 +a(g344 +V& +tp20288 +a(g189 +V +tp20289 +a(g18 +VACD +p20290 +tp20291 +a(g344 +V) +tp20292 +a(g189 +V +tp20293 +a(g344 +V! +tp20294 +a(g344 +V= +tp20295 +a(g189 +V +tp20296 +a(g319 +V0 +tp20297 +a(g344 +V) +tp20298 +a(g189 +V +tp20299 +a(g344 +V{ +tp20300 +a(g189 +V\u000a +tp20301 +a(g189 +V +p20302 +tp20303 +a(g18 +Valpha +p20304 +tp20305 +a(g189 +V +tp20306 +a(g344 +V+ +tp20307 +a(g344 +V= +tp20308 +a(g189 +V +tp20309 +a(g18 +VaIncr +p20310 +tp20311 +a(g344 +V; +tp20312 +a(g189 +V\u000a +tp20313 +a(g189 +V +p20314 +tp20315 +a(g344 +V} +tp20316 +a(g189 +V\u000a +tp20317 +a(g189 +V +p20318 +tp20319 +a(g344 +V} +tp20320 +a(g189 +V\u000a +tp20321 +a(g189 +V\u000a +tp20322 +a(g189 +V\u000a +tp20323 +a(g189 +V +p20324 +tp20325 +a(g131 +Vpublic +p20326 +tp20327 +a(g189 +V +tp20328 +a(g139 +Vvoid +p20329 +tp20330 +a(g189 +V +tp20331 +a(g21 +Vrender +p20332 +tp20333 +a(g344 +V( +tp20334 +a(g139 +Vint +p20335 +tp20336 +a(g189 +V +tp20337 +a(g18 +Vw +tp20338 +a(g344 +V, +tp20339 +a(g189 +V +tp20340 +a(g139 +Vint +p20341 +tp20342 +a(g189 +V +tp20343 +a(g18 +Vh +tp20344 +a(g344 +V, +tp20345 +a(g189 +V +tp20346 +a(g18 +VGraphics2D +p20347 +tp20348 +a(g189 +V +tp20349 +a(g18 +Vg2 +p20350 +tp20351 +a(g344 +V) +tp20352 +a(g189 +V +tp20353 +a(g344 +V{ +tp20354 +a(g189 +V\u000a +tp20355 +a(g189 +V +p20356 +tp20357 +a(g18 +VComposite +p20358 +tp20359 +a(g189 +V +tp20360 +a(g18 +VsaveAC +p20361 +tp20362 +a(g189 +V +tp20363 +a(g344 +V= +tp20364 +a(g189 +V +tp20365 +a(g117 +Vnull +p20366 +tp20367 +a(g344 +V; +tp20368 +a(g189 +V\u000a +tp20369 +a(g189 +V +p20370 +tp20371 +a(g111 +Vif +p20372 +tp20373 +a(g189 +V +tp20374 +a(g344 +V( +tp20375 +a(g344 +V( +tp20376 +a(g18 +Vtype +p20377 +tp20378 +a(g189 +V +tp20379 +a(g344 +V& +tp20380 +a(g189 +V +tp20381 +a(g18 +VAC +p20382 +tp20383 +a(g344 +V) +tp20384 +a(g189 +V +tp20385 +a(g344 +V! +tp20386 +a(g344 +V= +tp20387 +a(g189 +V +tp20388 +a(g319 +V0 +tp20389 +a(g189 +V +tp20390 +a(g344 +V& +tp20391 +a(g344 +V& +tp20392 +a(g189 +V +tp20393 +a(g18 +Valpha +p20394 +tp20395 +a(g189 +V +tp20396 +a(g344 +V> +tp20397 +a(g344 +V= +tp20398 +a(g189 +V +tp20399 +a(g319 +V0 +tp20400 +a(g189 +V +tp20401 +a(g344 +V& +tp20402 +a(g344 +V& +tp20403 +a(g189 +V +tp20404 +a(g18 +Valpha +p20405 +tp20406 +a(g189 +V +tp20407 +a(g344 +V< +tp20408 +a(g344 +V= +tp20409 +a(g189 +V +tp20410 +a(g319 +V1 +tp20411 +a(g344 +V) +tp20412 +a(g189 +V +tp20413 +a(g344 +V{ +tp20414 +a(g189 +V\u000a +tp20415 +a(g189 +V +p20416 +tp20417 +a(g18 +VsaveAC +p20418 +tp20419 +a(g189 +V +tp20420 +a(g344 +V= +tp20421 +a(g189 +V +tp20422 +a(g18 +Vg2 +p20423 +tp20424 +a(g344 +V. +tp20425 +a(g41 +VgetComposite +p20426 +tp20427 +a(g344 +V( +tp20428 +a(g344 +V) +tp20429 +a(g344 +V; +tp20430 +a(g189 +V\u000a +tp20431 +a(g189 +V +p20432 +tp20433 +a(g18 +Vg2 +p20434 +tp20435 +a(g344 +V. +tp20436 +a(g41 +VsetComposite +p20437 +tp20438 +a(g344 +V( +tp20439 +a(g18 +VAlphaComposite +p20440 +tp20441 +a(g344 +V. +tp20442 +a(g41 +VgetInstance +p20443 +tp20444 +a(g344 +V( +tp20445 +a(g18 +VAlphaComposite +p20446 +tp20447 +a(g344 +V. +tp20448 +a(g41 +VSRC_OVER +p20449 +tp20450 +a(g344 +V, +tp20451 +a(g189 +V +tp20452 +a(g18 +Valpha +p20453 +tp20454 +a(g344 +V) +tp20455 +a(g344 +V) +tp20456 +a(g344 +V; +tp20457 +a(g189 +V\u000a +tp20458 +a(g189 +V +p20459 +tp20460 +a(g344 +V} +tp20461 +a(g189 +V\u000a +tp20462 +a(g189 +V +p20463 +tp20464 +a(g18 +VAffineTransform +p20465 +tp20466 +a(g189 +V +tp20467 +a(g18 +VsaveTx +p20468 +tp20469 +a(g189 +V +tp20470 +a(g344 +V= +tp20471 +a(g189 +V +tp20472 +a(g117 +Vnull +p20473 +tp20474 +a(g344 +V; +tp20475 +a(g189 +V\u000a +tp20476 +a(g189 +V +p20477 +tp20478 +a(g111 +Vif +p20479 +tp20480 +a(g189 +V +tp20481 +a(g344 +V( +tp20482 +a(g344 +V( +tp20483 +a(g18 +Vtype +p20484 +tp20485 +a(g189 +V +tp20486 +a(g344 +V& +tp20487 +a(g189 +V +tp20488 +a(g18 +VR +tp20489 +a(g344 +V) +tp20490 +a(g189 +V +tp20491 +a(g344 +V! +tp20492 +a(g344 +V= +tp20493 +a(g189 +V +tp20494 +a(g319 +V0 +tp20495 +a(g344 +V) +tp20496 +a(g189 +V +tp20497 +a(g344 +V{ +tp20498 +a(g189 +V\u000a +tp20499 +a(g189 +V +p20500 +tp20501 +a(g18 +VsaveTx +p20502 +tp20503 +a(g189 +V +tp20504 +a(g344 +V= +tp20505 +a(g189 +V +tp20506 +a(g18 +Vg2 +p20507 +tp20508 +a(g344 +V. +tp20509 +a(g41 +VgetTransform +p20510 +tp20511 +a(g344 +V( +tp20512 +a(g344 +V) +tp20513 +a(g344 +V; +tp20514 +a(g189 +V\u000a +tp20515 +a(g189 +V +p20516 +tp20517 +a(g18 +VAffineTransform +p20518 +tp20519 +a(g189 +V +tp20520 +a(g18 +Vat +p20521 +tp20522 +a(g189 +V +tp20523 +a(g344 +V= +tp20524 +a(g189 +V +tp20525 +a(g111 +Vnew +p20526 +tp20527 +a(g189 +V +tp20528 +a(g18 +VAffineTransform +p20529 +tp20530 +a(g344 +V( +tp20531 +a(g344 +V) +tp20532 +a(g344 +V; +tp20533 +a(g189 +V\u000a +tp20534 +a(g189 +V +p20535 +tp20536 +a(g18 +Vat +p20537 +tp20538 +a(g344 +V. +tp20539 +a(g41 +Vrotate +p20540 +tp20541 +a(g344 +V( +tp20542 +a(g18 +VMath +p20543 +tp20544 +a(g344 +V. +tp20545 +a(g41 +VtoRadians +p20546 +tp20547 +a(g344 +V( +tp20548 +a(g18 +Vrotate +p20549 +tp20550 +a(g344 +V) +tp20551 +a(g344 +V, +tp20552 +a(g189 +V +tp20553 +a(g18 +Vw +tp20554 +a(g344 +V/ +tp20555 +a(g319 +V2 +tp20556 +a(g344 +V, +tp20557 +a(g189 +V +tp20558 +a(g18 +Vh +tp20559 +a(g344 +V/ +tp20560 +a(g319 +V2 +tp20561 +a(g344 +V) +tp20562 +a(g344 +V; +tp20563 +a(g189 +V +tp20564 +a(g189 +V\u000a +tp20565 +a(g189 +V +p20566 +tp20567 +a(g18 +Vg2 +p20568 +tp20569 +a(g344 +V. +tp20570 +a(g41 +VsetTransform +p20571 +tp20572 +a(g344 +V( +tp20573 +a(g18 +Vat +p20574 +tp20575 +a(g344 +V) +tp20576 +a(g344 +V; +tp20577 +a(g189 +V\u000a +tp20578 +a(g189 +V +p20579 +tp20580 +a(g344 +V} +tp20581 +a(g189 +V\u000a +tp20582 +a(g189 +V +p20583 +tp20584 +a(g18 +VPoint2D +p20585 +tp20586 +a(g189 +V +tp20587 +a(g18 +Vp1 +p20588 +tp20589 +a(g189 +V +tp20590 +a(g344 +V= +tp20591 +a(g189 +V +tp20592 +a(g111 +Vnew +p20593 +tp20594 +a(g189 +V +tp20595 +a(g18 +VPoint2D +p20596 +tp20597 +a(g344 +V. +tp20598 +a(g41 +VDouble +p20599 +tp20600 +a(g344 +V( +tp20601 +a(g18 +Vw +tp20602 +a(g344 +V/ +tp20603 +a(g319 +V2 +tp20604 +a(g344 +V, +tp20605 +a(g189 +V +tp20606 +a(g18 +Vh +tp20607 +a(g344 +V/ +tp20608 +a(g319 +V2 +tp20609 +a(g344 +V) +tp20610 +a(g344 +V; +tp20611 +a(g189 +V\u000a +tp20612 +a(g189 +V +p20613 +tp20614 +a(g18 +Vg2 +p20615 +tp20616 +a(g344 +V. +tp20617 +a(g41 +VsetColor +p20618 +tp20619 +a(g344 +V( +tp20620 +a(g18 +VColor +p20621 +tp20622 +a(g344 +V. +tp20623 +a(g41 +Vyellow +p20624 +tp20625 +a(g344 +V) +tp20626 +a(g344 +V; +tp20627 +a(g189 +V\u000a +tp20628 +a(g189 +V +p20629 +tp20630 +a(g111 +Vfor +p20631 +tp20632 +a(g189 +V +tp20633 +a(g344 +V( +tp20634 +a(g139 +Vint +p20635 +tp20636 +a(g189 +V +tp20637 +a(g18 +Vi +tp20638 +a(g189 +V +tp20639 +a(g344 +V= +tp20640 +a(g189 +V +tp20641 +a(g319 +V0 +tp20642 +a(g344 +V; +tp20643 +a(g189 +V +tp20644 +a(g18 +Vi +tp20645 +a(g189 +V +tp20646 +a(g344 +V< +tp20647 +a(g189 +V +tp20648 +a(g18 +Vpts +p20649 +tp20650 +a(g344 +V. +tp20651 +a(g41 +Vsize +p20652 +tp20653 +a(g344 +V( +tp20654 +a(g344 +V) +tp20655 +a(g344 +V- +tp20656 +a(g319 +V1 +tp20657 +a(g344 +V; +tp20658 +a(g189 +V +tp20659 +a(g18 +Vi +tp20660 +a(g344 +V+ +tp20661 +a(g344 +V+ +tp20662 +a(g344 +V) +tp20663 +a(g189 +V +tp20664 +a(g344 +V{ +tp20665 +a(g189 +V\u000a +tp20666 +a(g189 +V +p20667 +tp20668 +a(g18 +Vg2 +p20669 +tp20670 +a(g344 +V. +tp20671 +a(g41 +Vdraw +p20672 +tp20673 +a(g344 +V( +tp20674 +a(g111 +Vnew +p20675 +tp20676 +a(g189 +V +tp20677 +a(g18 +VLine2D +p20678 +tp20679 +a(g344 +V. +tp20680 +a(g41 +VFloat +p20681 +tp20682 +a(g344 +V( +tp20683 +a(g18 +Vp1 +p20684 +tp20685 +a(g344 +V, +tp20686 +a(g189 +V +tp20687 +a(g344 +V( +tp20688 +a(g18 +VPoint2D +p20689 +tp20690 +a(g344 +V) +tp20691 +a(g189 +V +tp20692 +a(g18 +Vpts +p20693 +tp20694 +a(g344 +V. +tp20695 +a(g41 +Vget +p20696 +tp20697 +a(g344 +V( +tp20698 +a(g18 +Vi +tp20699 +a(g344 +V) +tp20700 +a(g344 +V) +tp20701 +a(g344 +V) +tp20702 +a(g344 +V; +tp20703 +a(g189 +V\u000a +tp20704 +a(g189 +V +p20705 +tp20706 +a(g344 +V} +tp20707 +a(g189 +V\u000a +tp20708 +a(g189 +V +p20709 +tp20710 +a(g111 +Vif +p20711 +tp20712 +a(g189 +V +tp20713 +a(g344 +V( +tp20714 +a(g18 +VsaveTx +p20715 +tp20716 +a(g189 +V +tp20717 +a(g344 +V! +tp20718 +a(g344 +V= +tp20719 +a(g189 +V +tp20720 +a(g117 +Vnull +p20721 +tp20722 +a(g344 +V) +tp20723 +a(g189 +V +tp20724 +a(g344 +V{ +tp20725 +a(g189 +V\u000a +tp20726 +a(g189 +V +p20727 +tp20728 +a(g18 +Vg2 +p20729 +tp20730 +a(g344 +V. +tp20731 +a(g41 +VsetTransform +p20732 +tp20733 +a(g344 +V( +tp20734 +a(g18 +VsaveTx +p20735 +tp20736 +a(g344 +V) +tp20737 +a(g344 +V; +tp20738 +a(g189 +V\u000a +tp20739 +a(g189 +V +p20740 +tp20741 +a(g344 +V} +tp20742 +a(g189 +V\u000a +tp20743 +a(g189 +V +p20744 +tp20745 +a(g111 +Vif +p20746 +tp20747 +a(g189 +V +tp20748 +a(g344 +V( +tp20749 +a(g18 +VsaveAC +p20750 +tp20751 +a(g189 +V +tp20752 +a(g344 +V! +tp20753 +a(g344 +V= +tp20754 +a(g189 +V +tp20755 +a(g117 +Vnull +p20756 +tp20757 +a(g344 +V) +tp20758 +a(g189 +V +tp20759 +a(g344 +V{ +tp20760 +a(g189 +V\u000a +tp20761 +a(g189 +V +p20762 +tp20763 +a(g18 +Vg2 +p20764 +tp20765 +a(g344 +V. +tp20766 +a(g41 +VsetComposite +p20767 +tp20768 +a(g344 +V( +tp20769 +a(g18 +VsaveAC +p20770 +tp20771 +a(g344 +V) +tp20772 +a(g344 +V; +tp20773 +a(g189 +V\u000a +tp20774 +a(g189 +V +p20775 +tp20776 +a(g344 +V} +tp20777 +a(g189 +V\u000a +tp20778 +a(g189 +V +p20779 +tp20780 +a(g344 +V} +tp20781 +a(g189 +V\u000a +tp20782 +a(g189 +V\u000a +tp20783 +a(g189 +V +p20784 +tp20785 +a(g131 +Vpublic +p20786 +tp20787 +a(g189 +V +tp20788 +a(g139 +Vint +p20789 +tp20790 +a(g189 +V +tp20791 +a(g21 +VgetBegin +p20792 +tp20793 +a(g344 +V( +tp20794 +a(g344 +V) +tp20795 +a(g189 +V +tp20796 +a(g344 +V{ +tp20797 +a(g189 +V\u000a +tp20798 +a(g189 +V +p20799 +tp20800 +a(g111 +Vreturn +p20801 +tp20802 +a(g189 +V +tp20803 +a(g18 +Vbeginning +p20804 +tp20805 +a(g344 +V; +tp20806 +a(g189 +V\u000a +tp20807 +a(g189 +V +p20808 +tp20809 +a(g344 +V} +tp20810 +a(g189 +V\u000a +tp20811 +a(g189 +V\u000a +tp20812 +a(g189 +V +p20813 +tp20814 +a(g131 +Vpublic +p20815 +tp20816 +a(g189 +V +tp20817 +a(g139 +Vint +p20818 +tp20819 +a(g189 +V +tp20820 +a(g21 +VgetEnd +p20821 +tp20822 +a(g344 +V( +tp20823 +a(g344 +V) +tp20824 +a(g189 +V +tp20825 +a(g344 +V{ +tp20826 +a(g189 +V\u000a +tp20827 +a(g189 +V +p20828 +tp20829 +a(g111 +Vreturn +p20830 +tp20831 +a(g189 +V +tp20832 +a(g18 +Vending +p20833 +tp20834 +a(g344 +V; +tp20835 +a(g189 +V\u000a +tp20836 +a(g189 +V +p20837 +tp20838 +a(g344 +V} +tp20839 +a(g189 +V\u000a +tp20840 +a(g189 +V +p20841 +tp20842 +a(g344 +V} +tp20843 +a(g189 +V +tp20844 +a(g358 +V// End LnE class\u000a +p20845 +tp20846 +a(g189 +V\u000a +tp20847 +a(g189 +V\u000a +tp20848 +a(g189 +V\u000a +tp20849 +a(g189 +V +p20850 +tp20851 +a(g7 +V/**\u000a * Template for Features & Contributors consisting of translating\u000a * blue and red rectangles and an image going from transparent to\u000a * opaque.\u000a */ +p20852 +tp20853 +a(g189 +V\u000a +tp20854 +a(g189 +V +p20855 +tp20856 +a(g131 +Vstatic +p20857 +tp20858 +a(g189 +V +tp20859 +a(g131 +Vclass +p20860 +tp20861 +a(g189 +V +tp20862 +a(g106 +VTemp +p20863 +tp20864 +a(g189 +V +tp20865 +a(g131 +Vimplements +p20866 +tp20867 +a(g189 +V +tp20868 +a(g18 +VPart +p20869 +tp20870 +a(g189 +V +tp20871 +a(g344 +V{ +tp20872 +a(g189 +V\u000a +tp20873 +a(g189 +V +p20874 +tp20875 +a(g131 +Vstatic +p20876 +tp20877 +a(g189 +V +tp20878 +a(g131 +Vfinal +p20879 +tp20880 +a(g189 +V +tp20881 +a(g139 +Vint +p20882 +tp20883 +a(g189 +V +tp20884 +a(g18 +VNOANIM +p20885 +tp20886 +a(g189 +V +tp20887 +a(g344 +V= +tp20888 +a(g189 +V +tp20889 +a(g319 +V1 +tp20890 +a(g344 +V; +tp20891 +a(g189 +V\u000a +tp20892 +a(g189 +V +p20893 +tp20894 +a(g131 +Vstatic +p20895 +tp20896 +a(g189 +V +tp20897 +a(g131 +Vfinal +p20898 +tp20899 +a(g189 +V +tp20900 +a(g139 +Vint +p20901 +tp20902 +a(g189 +V +tp20903 +a(g18 +VRECT +p20904 +tp20905 +a(g189 +V +p20906 +tp20907 +a(g344 +V= +tp20908 +a(g189 +V +tp20909 +a(g319 +V2 +tp20910 +a(g344 +V; +tp20911 +a(g189 +V\u000a +tp20912 +a(g189 +V +p20913 +tp20914 +a(g131 +Vstatic +p20915 +tp20916 +a(g189 +V +tp20917 +a(g131 +Vfinal +p20918 +tp20919 +a(g189 +V +tp20920 +a(g139 +Vint +p20921 +tp20922 +a(g189 +V +tp20923 +a(g18 +VRNA +p20924 +tp20925 +a(g189 +V +p20926 +tp20927 +a(g344 +V= +tp20928 +a(g189 +V +tp20929 +a(g18 +VRECT +p20930 +tp20931 +a(g189 +V +tp20932 +a(g344 +V| +tp20933 +a(g189 +V +tp20934 +a(g18 +VNOANIM +p20935 +tp20936 +a(g344 +V; +tp20937 +a(g189 +V\u000a +tp20938 +a(g189 +V +p20939 +tp20940 +a(g131 +Vstatic +p20941 +tp20942 +a(g189 +V +tp20943 +a(g131 +Vfinal +p20944 +tp20945 +a(g189 +V +tp20946 +a(g139 +Vint +p20947 +tp20948 +a(g189 +V +tp20949 +a(g18 +VIMG +p20950 +tp20951 +a(g189 +V +p20952 +tp20953 +a(g344 +V= +tp20954 +a(g189 +V +tp20955 +a(g319 +V4 +tp20956 +a(g344 +V; +tp20957 +a(g189 +V\u000a +tp20958 +a(g189 +V +p20959 +tp20960 +a(g131 +Vstatic +p20961 +tp20962 +a(g189 +V +tp20963 +a(g131 +Vfinal +p20964 +tp20965 +a(g189 +V +tp20966 +a(g139 +Vint +p20967 +tp20968 +a(g189 +V +tp20969 +a(g18 +VINA +p20970 +tp20971 +a(g189 +V +p20972 +tp20973 +a(g344 +V= +tp20974 +a(g189 +V +tp20975 +a(g18 +VIMG +p20976 +tp20977 +a(g189 +V +tp20978 +a(g344 +V| +tp20979 +a(g189 +V +tp20980 +a(g18 +VNOANIM +p20981 +tp20982 +a(g344 +V; +tp20983 +a(g189 +V\u000a +tp20984 +a(g189 +V +p20985 +tp20986 +a(g131 +Vprivate +p20987 +tp20988 +a(g189 +V +tp20989 +a(g139 +Vint +p20990 +tp20991 +a(g189 +V +tp20992 +a(g18 +Vbeginning +p20993 +tp20994 +a(g344 +V, +tp20995 +a(g189 +V +tp20996 +a(g18 +Vending +p20997 +tp20998 +a(g344 +V; +tp20999 +a(g189 +V\u000a +tp21000 +a(g189 +V +p21001 +tp21002 +a(g131 +Vprivate +p21003 +tp21004 +a(g189 +V +tp21005 +a(g139 +Vfloat +p21006 +tp21007 +a(g189 +V +tp21008 +a(g18 +Valpha +p21009 +tp21010 +a(g344 +V, +tp21011 +a(g189 +V +tp21012 +a(g18 +VaIncr +p21013 +tp21014 +a(g344 +V; +tp21015 +a(g189 +V\u000a +tp21016 +a(g189 +V +p21017 +tp21018 +a(g131 +Vprivate +p21019 +tp21020 +a(g189 +V +tp21021 +a(g139 +Vint +p21022 +tp21023 +a(g189 +V +tp21024 +a(g18 +Vtype +p21025 +tp21026 +a(g344 +V; +tp21027 +a(g189 +V\u000a +tp21028 +a(g189 +V +p21029 +tp21030 +a(g131 +Vprivate +p21031 +tp21032 +a(g189 +V +tp21033 +a(g18 +VRectangle +p21034 +tp21035 +a(g189 +V +tp21036 +a(g18 +Vrect1 +p21037 +tp21038 +a(g344 +V, +tp21039 +a(g189 +V +tp21040 +a(g18 +Vrect2 +p21041 +tp21042 +a(g344 +V; +tp21043 +a(g189 +V\u000a +tp21044 +a(g189 +V +p21045 +tp21046 +a(g131 +Vprivate +p21047 +tp21048 +a(g189 +V +tp21049 +a(g139 +Vint +p21050 +tp21051 +a(g189 +V +tp21052 +a(g18 +Vx +tp21053 +a(g344 +V, +tp21054 +a(g189 +V +tp21055 +a(g18 +Vy +tp21056 +a(g344 +V, +tp21057 +a(g189 +V +tp21058 +a(g18 +VxIncr +p21059 +tp21060 +a(g344 +V, +tp21061 +a(g189 +V +tp21062 +a(g18 +VyIncr +p21063 +tp21064 +a(g344 +V; +tp21065 +a(g189 +V\u000a +tp21066 +a(g189 +V +p21067 +tp21068 +a(g131 +Vprivate +p21069 +tp21070 +a(g189 +V +tp21071 +a(g18 +VImage +p21072 +tp21073 +a(g189 +V +tp21074 +a(g18 +Vimg +p21075 +tp21076 +a(g344 +V; +tp21077 +a(g189 +V\u000a +tp21078 +a(g189 +V\u000a +tp21079 +a(g189 +V\u000a +tp21080 +a(g189 +V +p21081 +tp21082 +a(g131 +Vpublic +p21083 +tp21084 +a(g189 +V +tp21085 +a(g21 +VTemp +p21086 +tp21087 +a(g344 +V( +tp21088 +a(g139 +Vint +p21089 +tp21090 +a(g189 +V +tp21091 +a(g18 +Vtype +p21092 +tp21093 +a(g344 +V, +tp21094 +a(g189 +V +tp21095 +a(g18 +VImage +p21096 +tp21097 +a(g189 +V +tp21098 +a(g18 +Vimg +p21099 +tp21100 +a(g344 +V, +tp21101 +a(g189 +V +tp21102 +a(g139 +Vint +p21103 +tp21104 +a(g189 +V +tp21105 +a(g18 +Vbeg +p21106 +tp21107 +a(g344 +V, +tp21108 +a(g189 +V +tp21109 +a(g139 +Vint +p21110 +tp21111 +a(g189 +V +tp21112 +a(g18 +Vend +p21113 +tp21114 +a(g344 +V) +tp21115 +a(g189 +V +tp21116 +a(g344 +V{ +tp21117 +a(g189 +V\u000a +tp21118 +a(g189 +V +p21119 +tp21120 +a(g111 +Vthis +p21121 +tp21122 +a(g344 +V. +tp21123 +a(g41 +Vtype +p21124 +tp21125 +a(g189 +V +tp21126 +a(g344 +V= +tp21127 +a(g189 +V +tp21128 +a(g18 +Vtype +p21129 +tp21130 +a(g344 +V; +tp21131 +a(g189 +V\u000a +tp21132 +a(g189 +V +p21133 +tp21134 +a(g111 +Vthis +p21135 +tp21136 +a(g344 +V. +tp21137 +a(g41 +Vimg +p21138 +tp21139 +a(g189 +V +tp21140 +a(g344 +V= +tp21141 +a(g189 +V +tp21142 +a(g18 +Vimg +p21143 +tp21144 +a(g344 +V; +tp21145 +a(g189 +V\u000a +tp21146 +a(g189 +V +p21147 +tp21148 +a(g111 +Vthis +p21149 +tp21150 +a(g344 +V. +tp21151 +a(g41 +Vbeginning +p21152 +tp21153 +a(g189 +V +tp21154 +a(g344 +V= +tp21155 +a(g189 +V +tp21156 +a(g18 +Vbeg +p21157 +tp21158 +a(g344 +V; +tp21159 +a(g189 +V\u000a +tp21160 +a(g189 +V +p21161 +tp21162 +a(g111 +Vthis +p21163 +tp21164 +a(g344 +V. +tp21165 +a(g41 +Vending +p21166 +tp21167 +a(g189 +V +tp21168 +a(g344 +V= +tp21169 +a(g189 +V +tp21170 +a(g18 +Vend +p21171 +tp21172 +a(g344 +V; +tp21173 +a(g189 +V\u000a +tp21174 +a(g189 +V +p21175 +tp21176 +a(g18 +VaIncr +p21177 +tp21178 +a(g189 +V +tp21179 +a(g344 +V= +tp21180 +a(g189 +V +tp21181 +a(g311 +V0.9f +p21182 +tp21183 +a(g189 +V +tp21184 +a(g344 +V/ +tp21185 +a(g189 +V +tp21186 +a(g344 +V( +tp21187 +a(g18 +Vending +p21188 +tp21189 +a(g189 +V +tp21190 +a(g344 +V- +tp21191 +a(g189 +V +tp21192 +a(g18 +Vbeginning +p21193 +tp21194 +a(g344 +V) +tp21195 +a(g344 +V; +tp21196 +a(g189 +V\u000a +tp21197 +a(g189 +V +p21198 +tp21199 +a(g111 +Vif +p21200 +tp21201 +a(g189 +V +tp21202 +a(g344 +V( +tp21203 +a(g344 +V( +tp21204 +a(g18 +Vtype +p21205 +tp21206 +a(g189 +V +tp21207 +a(g344 +V& +tp21208 +a(g189 +V +tp21209 +a(g18 +VNOANIM +p21210 +tp21211 +a(g344 +V) +tp21212 +a(g189 +V +tp21213 +a(g344 +V! +tp21214 +a(g344 +V= +tp21215 +a(g189 +V +tp21216 +a(g319 +V0 +tp21217 +a(g344 +V) +tp21218 +a(g189 +V +tp21219 +a(g344 +V{ +tp21220 +a(g189 +V\u000a +tp21221 +a(g189 +V +p21222 +tp21223 +a(g18 +Valpha +p21224 +tp21225 +a(g189 +V +tp21226 +a(g344 +V= +tp21227 +a(g189 +V +tp21228 +a(g311 +V1.0f +p21229 +tp21230 +a(g344 +V; +tp21231 +a(g189 +V\u000a +tp21232 +a(g189 +V +p21233 +tp21234 +a(g344 +V} +tp21235 +a(g189 +V +tp21236 +a(g189 +V\u000a +tp21237 +a(g189 +V +p21238 +tp21239 +a(g344 +V} +tp21240 +a(g189 +V\u000a +tp21241 +a(g189 +V\u000a +tp21242 +a(g189 +V\u000a +tp21243 +a(g189 +V\u000a +tp21244 +a(g189 +V +p21245 +tp21246 +a(g131 +Vpublic +p21247 +tp21248 +a(g189 +V +tp21249 +a(g139 +Vvoid +p21250 +tp21251 +a(g189 +V +tp21252 +a(g21 +Vreset +p21253 +tp21254 +a(g344 +V( +tp21255 +a(g139 +Vint +p21256 +tp21257 +a(g189 +V +tp21258 +a(g18 +Vw +tp21259 +a(g344 +V, +tp21260 +a(g189 +V +tp21261 +a(g139 +Vint +p21262 +tp21263 +a(g189 +V +tp21264 +a(g18 +Vh +tp21265 +a(g344 +V) +tp21266 +a(g189 +V +tp21267 +a(g344 +V{ +tp21268 +a(g189 +V\u000a +tp21269 +a(g189 +V +p21270 +tp21271 +a(g18 +Vrect1 +p21272 +tp21273 +a(g189 +V +tp21274 +a(g344 +V= +tp21275 +a(g189 +V +tp21276 +a(g111 +Vnew +p21277 +tp21278 +a(g189 +V +tp21279 +a(g18 +VRectangle +p21280 +tp21281 +a(g344 +V( +tp21282 +a(g319 +V8 +tp21283 +a(g344 +V, +tp21284 +a(g189 +V +tp21285 +a(g319 +V20 +p21286 +tp21287 +a(g344 +V, +tp21288 +a(g189 +V +tp21289 +a(g18 +Vw +tp21290 +a(g344 +V- +tp21291 +a(g319 +V20 +p21292 +tp21293 +a(g344 +V, +tp21294 +a(g189 +V +tp21295 +a(g319 +V30 +p21296 +tp21297 +a(g344 +V) +tp21298 +a(g344 +V; +tp21299 +a(g189 +V\u000a +tp21300 +a(g189 +V +p21301 +tp21302 +a(g18 +Vrect2 +p21303 +tp21304 +a(g189 +V +tp21305 +a(g344 +V= +tp21306 +a(g189 +V +tp21307 +a(g111 +Vnew +p21308 +tp21309 +a(g189 +V +tp21310 +a(g18 +VRectangle +p21311 +tp21312 +a(g344 +V( +tp21313 +a(g319 +V20 +p21314 +tp21315 +a(g344 +V, +tp21316 +a(g189 +V +tp21317 +a(g319 +V8 +tp21318 +a(g344 +V, +tp21319 +a(g189 +V +tp21320 +a(g319 +V30 +p21321 +tp21322 +a(g344 +V, +tp21323 +a(g189 +V +tp21324 +a(g18 +Vh +tp21325 +a(g344 +V- +tp21326 +a(g319 +V20 +p21327 +tp21328 +a(g344 +V) +tp21329 +a(g344 +V; +tp21330 +a(g189 +V\u000a +tp21331 +a(g189 +V +p21332 +tp21333 +a(g111 +Vif +p21334 +tp21335 +a(g189 +V +tp21336 +a(g344 +V( +tp21337 +a(g344 +V( +tp21338 +a(g18 +Vtype +p21339 +tp21340 +a(g189 +V +tp21341 +a(g344 +V& +tp21342 +a(g189 +V +tp21343 +a(g18 +VNOANIM +p21344 +tp21345 +a(g344 +V) +tp21346 +a(g189 +V +tp21347 +a(g344 +V= +tp21348 +a(g344 +V= +tp21349 +a(g189 +V +tp21350 +a(g319 +V0 +tp21351 +a(g344 +V) +tp21352 +a(g189 +V +tp21353 +a(g344 +V{ +tp21354 +a(g189 +V\u000a +tp21355 +a(g189 +V +p21356 +tp21357 +a(g18 +Valpha +p21358 +tp21359 +a(g189 +V +tp21360 +a(g344 +V= +tp21361 +a(g189 +V +tp21362 +a(g311 +V0.0f +p21363 +tp21364 +a(g344 +V; +tp21365 +a(g189 +V\u000a +tp21366 +a(g189 +V +p21367 +tp21368 +a(g18 +VxIncr +p21369 +tp21370 +a(g189 +V +tp21371 +a(g344 +V= +tp21372 +a(g189 +V +tp21373 +a(g18 +Vw +tp21374 +a(g189 +V +tp21375 +a(g344 +V/ +tp21376 +a(g189 +V +tp21377 +a(g344 +V( +tp21378 +a(g18 +Vending +p21379 +tp21380 +a(g189 +V +tp21381 +a(g344 +V- +tp21382 +a(g189 +V +tp21383 +a(g18 +Vbeginning +p21384 +tp21385 +a(g344 +V) +tp21386 +a(g344 +V; +tp21387 +a(g189 +V\u000a +tp21388 +a(g189 +V +p21389 +tp21390 +a(g18 +VyIncr +p21391 +tp21392 +a(g189 +V +tp21393 +a(g344 +V= +tp21394 +a(g189 +V +tp21395 +a(g18 +Vh +tp21396 +a(g189 +V +tp21397 +a(g344 +V/ +tp21398 +a(g189 +V +tp21399 +a(g344 +V( +tp21400 +a(g18 +Vending +p21401 +tp21402 +a(g189 +V +tp21403 +a(g344 +V- +tp21404 +a(g189 +V +tp21405 +a(g18 +Vbeginning +p21406 +tp21407 +a(g344 +V) +tp21408 +a(g344 +V; +tp21409 +a(g189 +V\u000a +tp21410 +a(g189 +V +p21411 +tp21412 +a(g18 +Vx +tp21413 +a(g189 +V +tp21414 +a(g344 +V= +tp21415 +a(g189 +V +tp21416 +a(g18 +Vw +tp21417 +a(g344 +V+ +tp21418 +a(g344 +V( +tp21419 +a(g139 +Vint +p21420 +tp21421 +a(g344 +V) +tp21422 +a(g344 +V( +tp21423 +a(g18 +VxIncr +p21424 +tp21425 +a(g344 +V* +tp21426 +a(g311 +V1.4 +p21427 +tp21428 +a(g344 +V) +tp21429 +a(g344 +V; +tp21430 +a(g189 +V\u000a +tp21431 +a(g189 +V +p21432 +tp21433 +a(g18 +Vy +tp21434 +a(g189 +V +tp21435 +a(g344 +V= +tp21436 +a(g189 +V +tp21437 +a(g18 +Vh +tp21438 +a(g344 +V+ +tp21439 +a(g344 +V( +tp21440 +a(g139 +Vint +p21441 +tp21442 +a(g344 +V) +tp21443 +a(g344 +V( +tp21444 +a(g18 +VyIncr +p21445 +tp21446 +a(g344 +V* +tp21447 +a(g311 +V1.4 +p21448 +tp21449 +a(g344 +V) +tp21450 +a(g344 +V; +tp21451 +a(g189 +V\u000a +tp21452 +a(g189 +V +p21453 +tp21454 +a(g344 +V} +tp21455 +a(g189 +V\u000a +tp21456 +a(g189 +V +p21457 +tp21458 +a(g344 +V} +tp21459 +a(g189 +V\u000a +tp21460 +a(g189 +V\u000a +tp21461 +a(g189 +V\u000a +tp21462 +a(g189 +V +p21463 +tp21464 +a(g131 +Vpublic +p21465 +tp21466 +a(g189 +V +tp21467 +a(g139 +Vvoid +p21468 +tp21469 +a(g189 +V +tp21470 +a(g21 +Vstep +p21471 +tp21472 +a(g344 +V( +tp21473 +a(g139 +Vint +p21474 +tp21475 +a(g189 +V +tp21476 +a(g18 +Vw +tp21477 +a(g344 +V, +tp21478 +a(g189 +V +tp21479 +a(g139 +Vint +p21480 +tp21481 +a(g189 +V +tp21482 +a(g18 +Vh +tp21483 +a(g344 +V) +tp21484 +a(g189 +V +tp21485 +a(g344 +V{ +tp21486 +a(g189 +V\u000a +tp21487 +a(g189 +V +p21488 +tp21489 +a(g111 +Vif +p21490 +tp21491 +a(g189 +V +tp21492 +a(g344 +V( +tp21493 +a(g344 +V( +tp21494 +a(g18 +Vtype +p21495 +tp21496 +a(g189 +V +tp21497 +a(g344 +V& +tp21498 +a(g189 +V +tp21499 +a(g18 +VNOANIM +p21500 +tp21501 +a(g344 +V) +tp21502 +a(g189 +V +tp21503 +a(g344 +V! +tp21504 +a(g344 +V= +tp21505 +a(g189 +V +tp21506 +a(g319 +V0 +tp21507 +a(g344 +V) +tp21508 +a(g189 +V +tp21509 +a(g344 +V{ +tp21510 +a(g189 +V\u000a +tp21511 +a(g189 +V +p21512 +tp21513 +a(g111 +Vreturn +p21514 +tp21515 +a(g344 +V; +tp21516 +a(g189 +V\u000a +tp21517 +a(g189 +V +p21518 +tp21519 +a(g344 +V} +tp21520 +a(g189 +V\u000a +tp21521 +a(g189 +V +p21522 +tp21523 +a(g111 +Vif +p21524 +tp21525 +a(g189 +V +tp21526 +a(g344 +V( +tp21527 +a(g344 +V( +tp21528 +a(g18 +Vtype +p21529 +tp21530 +a(g189 +V +tp21531 +a(g344 +V& +tp21532 +a(g189 +V +tp21533 +a(g18 +VRECT +p21534 +tp21535 +a(g344 +V) +tp21536 +a(g189 +V +tp21537 +a(g344 +V! +tp21538 +a(g344 +V= +tp21539 +a(g189 +V +tp21540 +a(g319 +V0 +tp21541 +a(g344 +V) +tp21542 +a(g189 +V +tp21543 +a(g344 +V{ +tp21544 +a(g189 +V\u000a +tp21545 +a(g189 +V +p21546 +tp21547 +a(g18 +Vrect1 +p21548 +tp21549 +a(g344 +V. +tp21550 +a(g41 +VsetLocation +p21551 +tp21552 +a(g344 +V( +tp21553 +a(g18 +Vx +tp21554 +a(g344 +V- +tp21555 +a(g344 +V= +tp21556 +a(g18 +VxIncr +p21557 +tp21558 +a(g344 +V, +tp21559 +a(g189 +V +tp21560 +a(g319 +V20 +p21561 +tp21562 +a(g344 +V) +tp21563 +a(g344 +V; +tp21564 +a(g189 +V\u000a +tp21565 +a(g189 +V +p21566 +tp21567 +a(g18 +Vrect2 +p21568 +tp21569 +a(g344 +V. +tp21570 +a(g41 +VsetLocation +p21571 +tp21572 +a(g344 +V( +tp21573 +a(g319 +V20 +p21574 +tp21575 +a(g344 +V, +tp21576 +a(g189 +V +tp21577 +a(g18 +Vy +tp21578 +a(g344 +V- +tp21579 +a(g344 +V= +tp21580 +a(g18 +VyIncr +p21581 +tp21582 +a(g344 +V) +tp21583 +a(g344 +V; +tp21584 +a(g189 +V\u000a +tp21585 +a(g189 +V +p21586 +tp21587 +a(g344 +V} +tp21588 +a(g189 +V\u000a +tp21589 +a(g189 +V +p21590 +tp21591 +a(g111 +Vif +p21592 +tp21593 +a(g189 +V +tp21594 +a(g344 +V( +tp21595 +a(g344 +V( +tp21596 +a(g18 +Vtype +p21597 +tp21598 +a(g189 +V +tp21599 +a(g344 +V& +tp21600 +a(g189 +V +tp21601 +a(g18 +VIMG +p21602 +tp21603 +a(g344 +V) +tp21604 +a(g189 +V +tp21605 +a(g344 +V! +tp21606 +a(g344 +V= +tp21607 +a(g189 +V +tp21608 +a(g319 +V0 +tp21609 +a(g344 +V) +tp21610 +a(g189 +V +tp21611 +a(g344 +V{ +tp21612 +a(g189 +V\u000a +tp21613 +a(g189 +V +p21614 +tp21615 +a(g18 +Valpha +p21616 +tp21617 +a(g189 +V +tp21618 +a(g344 +V+ +tp21619 +a(g344 +V= +tp21620 +a(g189 +V +tp21621 +a(g18 +VaIncr +p21622 +tp21623 +a(g344 +V; +tp21624 +a(g189 +V\u000a +tp21625 +a(g189 +V +p21626 +tp21627 +a(g344 +V} +tp21628 +a(g189 +V\u000a +tp21629 +a(g189 +V +p21630 +tp21631 +a(g344 +V} +tp21632 +a(g189 +V\u000a +tp21633 +a(g189 +V\u000a +tp21634 +a(g189 +V\u000a +tp21635 +a(g189 +V +p21636 +tp21637 +a(g131 +Vpublic +p21638 +tp21639 +a(g189 +V +tp21640 +a(g139 +Vvoid +p21641 +tp21642 +a(g189 +V +tp21643 +a(g21 +Vrender +p21644 +tp21645 +a(g344 +V( +tp21646 +a(g139 +Vint +p21647 +tp21648 +a(g189 +V +tp21649 +a(g18 +Vw +tp21650 +a(g344 +V, +tp21651 +a(g189 +V +tp21652 +a(g139 +Vint +p21653 +tp21654 +a(g189 +V +tp21655 +a(g18 +Vh +tp21656 +a(g344 +V, +tp21657 +a(g189 +V +tp21658 +a(g18 +VGraphics2D +p21659 +tp21660 +a(g189 +V +tp21661 +a(g18 +Vg2 +p21662 +tp21663 +a(g344 +V) +tp21664 +a(g189 +V +tp21665 +a(g344 +V{ +tp21666 +a(g189 +V\u000a +tp21667 +a(g189 +V +p21668 +tp21669 +a(g111 +Vif +p21670 +tp21671 +a(g189 +V +tp21672 +a(g344 +V( +tp21673 +a(g344 +V( +tp21674 +a(g18 +Vtype +p21675 +tp21676 +a(g189 +V +tp21677 +a(g344 +V& +tp21678 +a(g189 +V +tp21679 +a(g18 +VRECT +p21680 +tp21681 +a(g344 +V) +tp21682 +a(g189 +V +tp21683 +a(g344 +V! +tp21684 +a(g344 +V= +tp21685 +a(g189 +V +tp21686 +a(g319 +V0 +tp21687 +a(g344 +V) +tp21688 +a(g189 +V +tp21689 +a(g344 +V{ +tp21690 +a(g189 +V\u000a +tp21691 +a(g189 +V +p21692 +tp21693 +a(g18 +Vg2 +p21694 +tp21695 +a(g344 +V. +tp21696 +a(g41 +VsetColor +p21697 +tp21698 +a(g344 +V( +tp21699 +a(g18 +Vblue +p21700 +tp21701 +a(g344 +V) +tp21702 +a(g344 +V; +tp21703 +a(g189 +V\u000a +tp21704 +a(g189 +V +p21705 +tp21706 +a(g18 +Vg2 +p21707 +tp21708 +a(g344 +V. +tp21709 +a(g41 +Vfill +p21710 +tp21711 +a(g344 +V( +tp21712 +a(g18 +Vrect1 +p21713 +tp21714 +a(g344 +V) +tp21715 +a(g344 +V; +tp21716 +a(g189 +V\u000a +tp21717 +a(g189 +V +p21718 +tp21719 +a(g18 +Vg2 +p21720 +tp21721 +a(g344 +V. +tp21722 +a(g41 +VsetColor +p21723 +tp21724 +a(g344 +V( +tp21725 +a(g18 +Vred +p21726 +tp21727 +a(g344 +V) +tp21728 +a(g344 +V; +tp21729 +a(g189 +V\u000a +tp21730 +a(g189 +V +p21731 +tp21732 +a(g18 +Vg2 +p21733 +tp21734 +a(g344 +V. +tp21735 +a(g41 +Vfill +p21736 +tp21737 +a(g344 +V( +tp21738 +a(g18 +Vrect2 +p21739 +tp21740 +a(g344 +V) +tp21741 +a(g344 +V; +tp21742 +a(g189 +V\u000a +tp21743 +a(g189 +V +p21744 +tp21745 +a(g344 +V} +tp21746 +a(g189 +V\u000a +tp21747 +a(g189 +V +p21748 +tp21749 +a(g111 +Vif +p21750 +tp21751 +a(g189 +V +tp21752 +a(g344 +V( +tp21753 +a(g344 +V( +tp21754 +a(g18 +Vtype +p21755 +tp21756 +a(g189 +V +tp21757 +a(g344 +V& +tp21758 +a(g189 +V +tp21759 +a(g18 +VIMG +p21760 +tp21761 +a(g344 +V) +tp21762 +a(g189 +V +tp21763 +a(g344 +V! +tp21764 +a(g344 +V= +tp21765 +a(g189 +V +tp21766 +a(g319 +V0 +tp21767 +a(g344 +V) +tp21768 +a(g189 +V +tp21769 +a(g344 +V{ +tp21770 +a(g189 +V\u000a +tp21771 +a(g189 +V +p21772 +tp21773 +a(g18 +VComposite +p21774 +tp21775 +a(g189 +V +tp21776 +a(g18 +VsaveAC +p21777 +tp21778 +a(g189 +V +tp21779 +a(g344 +V= +tp21780 +a(g189 +V +tp21781 +a(g18 +Vg2 +p21782 +tp21783 +a(g344 +V. +tp21784 +a(g41 +VgetComposite +p21785 +tp21786 +a(g344 +V( +tp21787 +a(g344 +V) +tp21788 +a(g344 +V; +tp21789 +a(g189 +V\u000a +tp21790 +a(g189 +V +p21791 +tp21792 +a(g111 +Vif +p21793 +tp21794 +a(g189 +V +tp21795 +a(g344 +V( +tp21796 +a(g18 +Valpha +p21797 +tp21798 +a(g189 +V +tp21799 +a(g344 +V> +tp21800 +a(g344 +V= +tp21801 +a(g189 +V +tp21802 +a(g319 +V0 +tp21803 +a(g189 +V +tp21804 +a(g344 +V& +tp21805 +a(g344 +V& +tp21806 +a(g189 +V +tp21807 +a(g18 +Valpha +p21808 +tp21809 +a(g189 +V +tp21810 +a(g344 +V< +tp21811 +a(g344 +V= +tp21812 +a(g189 +V +tp21813 +a(g319 +V1 +tp21814 +a(g344 +V) +tp21815 +a(g189 +V +tp21816 +a(g344 +V{ +tp21817 +a(g189 +V\u000a +tp21818 +a(g189 +V +p21819 +tp21820 +a(g18 +Vg2 +p21821 +tp21822 +a(g344 +V. +tp21823 +a(g41 +VsetComposite +p21824 +tp21825 +a(g344 +V( +tp21826 +a(g18 +VAlphaComposite +p21827 +tp21828 +a(g344 +V. +tp21829 +a(g41 +VgetInstance +p21830 +tp21831 +a(g344 +V( +tp21832 +a(g18 +VAlphaComposite +p21833 +tp21834 +a(g344 +V. +tp21835 +a(g41 +VSRC_OVER +p21836 +tp21837 +a(g344 +V, +tp21838 +a(g189 +V +tp21839 +a(g18 +Valpha +p21840 +tp21841 +a(g344 +V) +tp21842 +a(g344 +V) +tp21843 +a(g344 +V; +tp21844 +a(g189 +V\u000a +tp21845 +a(g189 +V +p21846 +tp21847 +a(g344 +V} +tp21848 +a(g189 +V\u000a +tp21849 +a(g189 +V +p21850 +tp21851 +a(g18 +Vg2 +p21852 +tp21853 +a(g344 +V. +tp21854 +a(g41 +VdrawImage +p21855 +tp21856 +a(g344 +V( +tp21857 +a(g18 +Vimg +p21858 +tp21859 +a(g344 +V, +tp21860 +a(g189 +V +tp21861 +a(g319 +V30 +p21862 +tp21863 +a(g344 +V, +tp21864 +a(g189 +V +tp21865 +a(g319 +V30 +p21866 +tp21867 +a(g344 +V, +tp21868 +a(g189 +V +tp21869 +a(g117 +Vnull +p21870 +tp21871 +a(g344 +V) +tp21872 +a(g344 +V; +tp21873 +a(g189 +V\u000a +tp21874 +a(g189 +V +p21875 +tp21876 +a(g18 +Vg2 +p21877 +tp21878 +a(g344 +V. +tp21879 +a(g41 +VsetComposite +p21880 +tp21881 +a(g344 +V( +tp21882 +a(g18 +VsaveAC +p21883 +tp21884 +a(g344 +V) +tp21885 +a(g344 +V; +tp21886 +a(g189 +V\u000a +tp21887 +a(g189 +V +p21888 +tp21889 +a(g344 +V} +tp21890 +a(g189 +V\u000a +tp21891 +a(g189 +V +p21892 +tp21893 +a(g344 +V} +tp21894 +a(g189 +V\u000a +tp21895 +a(g189 +V\u000a +tp21896 +a(g189 +V +p21897 +tp21898 +a(g131 +Vpublic +p21899 +tp21900 +a(g189 +V +tp21901 +a(g139 +Vint +p21902 +tp21903 +a(g189 +V +tp21904 +a(g21 +VgetBegin +p21905 +tp21906 +a(g344 +V( +tp21907 +a(g344 +V) +tp21908 +a(g189 +V +tp21909 +a(g344 +V{ +tp21910 +a(g189 +V\u000a +tp21911 +a(g189 +V +p21912 +tp21913 +a(g111 +Vreturn +p21914 +tp21915 +a(g189 +V +tp21916 +a(g18 +Vbeginning +p21917 +tp21918 +a(g344 +V; +tp21919 +a(g189 +V\u000a +tp21920 +a(g189 +V +p21921 +tp21922 +a(g344 +V} +tp21923 +a(g189 +V\u000a +tp21924 +a(g189 +V\u000a +tp21925 +a(g189 +V +p21926 +tp21927 +a(g131 +Vpublic +p21928 +tp21929 +a(g189 +V +tp21930 +a(g139 +Vint +p21931 +tp21932 +a(g189 +V +tp21933 +a(g21 +VgetEnd +p21934 +tp21935 +a(g344 +V( +tp21936 +a(g344 +V) +tp21937 +a(g189 +V +tp21938 +a(g344 +V{ +tp21939 +a(g189 +V\u000a +tp21940 +a(g189 +V +p21941 +tp21942 +a(g111 +Vreturn +p21943 +tp21944 +a(g189 +V +tp21945 +a(g18 +Vending +p21946 +tp21947 +a(g344 +V; +tp21948 +a(g189 +V\u000a +tp21949 +a(g189 +V +p21950 +tp21951 +a(g344 +V} +tp21952 +a(g189 +V\u000a +tp21953 +a(g189 +V +p21954 +tp21955 +a(g344 +V} +tp21956 +a(g189 +V +tp21957 +a(g358 +V// End Temp class\u000a +p21958 +tp21959 +a(g189 +V\u000a +tp21960 +a(g189 +V\u000a +tp21961 +a(g189 +V\u000a +tp21962 +a(g189 +V +p21963 +tp21964 +a(g7 +V/**\u000a * Features of Java2D. Single character advancement effect.\u000a */ +p21965 +tp21966 +a(g189 +V\u000a +tp21967 +a(g189 +V +p21968 +tp21969 +a(g131 +Vstatic +p21970 +tp21971 +a(g189 +V +tp21972 +a(g131 +Vclass +p21973 +tp21974 +a(g189 +V +tp21975 +a(g106 +VFeatures +p21976 +tp21977 +a(g189 +V +tp21978 +a(g131 +Vimplements +p21979 +tp21980 +a(g189 +V +tp21981 +a(g18 +VPart +p21982 +tp21983 +a(g189 +V +tp21984 +a(g344 +V{ +tp21985 +a(g189 +V\u000a +tp21986 +a(g189 +V\u000a +tp21987 +a(g189 +V +p21988 +tp21989 +a(g131 +Vstatic +p21990 +tp21991 +a(g189 +V +tp21992 +a(g131 +Vfinal +p21993 +tp21994 +a(g189 +V +tp21995 +a(g139 +Vint +p21996 +tp21997 +a(g189 +V +tp21998 +a(g18 +VGRAPHICS +p21999 +tp22000 +a(g189 +V +tp22001 +a(g344 +V= +tp22002 +a(g189 +V +tp22003 +a(g319 +V0 +tp22004 +a(g344 +V; +tp22005 +a(g189 +V\u000a +tp22006 +a(g189 +V +p22007 +tp22008 +a(g131 +Vstatic +p22009 +tp22010 +a(g189 +V +tp22011 +a(g131 +Vfinal +p22012 +tp22013 +a(g189 +V +tp22014 +a(g139 +Vint +p22015 +tp22016 +a(g189 +V +tp22017 +a(g18 +VTEXT +p22018 +tp22019 +a(g189 +V +tp22020 +a(g344 +V= +tp22021 +a(g189 +V +tp22022 +a(g319 +V1 +tp22023 +a(g344 +V; +tp22024 +a(g189 +V\u000a +tp22025 +a(g189 +V +p22026 +tp22027 +a(g131 +Vstatic +p22028 +tp22029 +a(g189 +V +tp22030 +a(g131 +Vfinal +p22031 +tp22032 +a(g189 +V +tp22033 +a(g139 +Vint +p22034 +tp22035 +a(g189 +V +tp22036 +a(g18 +VIMAGES +p22037 +tp22038 +a(g189 +V +tp22039 +a(g344 +V= +tp22040 +a(g189 +V +tp22041 +a(g319 +V2 +tp22042 +a(g344 +V; +tp22043 +a(g189 +V\u000a +tp22044 +a(g189 +V +p22045 +tp22046 +a(g131 +Vstatic +p22047 +tp22048 +a(g189 +V +tp22049 +a(g131 +Vfinal +p22050 +tp22051 +a(g189 +V +tp22052 +a(g139 +Vint +p22053 +tp22054 +a(g189 +V +tp22055 +a(g18 +VCOLOR +p22056 +tp22057 +a(g189 +V +tp22058 +a(g344 +V= +tp22059 +a(g189 +V +tp22060 +a(g319 +V3 +tp22061 +a(g344 +V; +tp22062 +a(g189 +V\u000a +tp22063 +a(g189 +V +p22064 +tp22065 +a(g131 +Vstatic +p22066 +tp22067 +a(g189 +V +tp22068 +a(g18 +VFont +p22069 +tp22070 +a(g189 +V +tp22071 +a(g18 +Vfont1 +p22072 +tp22073 +a(g189 +V +tp22074 +a(g344 +V= +tp22075 +a(g189 +V +tp22076 +a(g111 +Vnew +p22077 +tp22078 +a(g189 +V +tp22079 +a(g18 +VFont +p22080 +tp22081 +a(g344 +V( +tp22082 +a(g226 +V"serif" +p22083 +tp22084 +a(g344 +V, +tp22085 +a(g189 +V +tp22086 +a(g18 +VFont +p22087 +tp22088 +a(g344 +V. +tp22089 +a(g41 +VBOLD +p22090 +tp22091 +a(g344 +V, +tp22092 +a(g189 +V +tp22093 +a(g319 +V38 +p22094 +tp22095 +a(g344 +V) +tp22096 +a(g344 +V; +tp22097 +a(g189 +V\u000a +tp22098 +a(g189 +V +p22099 +tp22100 +a(g131 +Vstatic +p22101 +tp22102 +a(g189 +V +tp22103 +a(g18 +VFont +p22104 +tp22105 +a(g189 +V +tp22106 +a(g18 +Vfont2 +p22107 +tp22108 +a(g189 +V +tp22109 +a(g344 +V= +tp22110 +a(g189 +V +tp22111 +a(g111 +Vnew +p22112 +tp22113 +a(g189 +V +tp22114 +a(g18 +VFont +p22115 +tp22116 +a(g344 +V( +tp22117 +a(g226 +V"serif" +p22118 +tp22119 +a(g344 +V, +tp22120 +a(g189 +V +tp22121 +a(g18 +VFont +p22122 +tp22123 +a(g344 +V. +tp22124 +a(g41 +VPLAIN +p22125 +tp22126 +a(g344 +V, +tp22127 +a(g189 +V +tp22128 +a(g319 +V24 +p22129 +tp22130 +a(g344 +V) +tp22131 +a(g344 +V; +tp22132 +a(g189 +V\u000a +tp22133 +a(g189 +V +p22134 +tp22135 +a(g131 +Vstatic +p22136 +tp22137 +a(g189 +V +tp22138 +a(g18 +VFontMetrics +p22139 +tp22140 +a(g189 +V +tp22141 +a(g18 +Vfm1 +p22142 +tp22143 +a(g189 +V +tp22144 +a(g344 +V= +tp22145 +a(g189 +V +tp22146 +a(g18 +VSurface +p22147 +tp22148 +a(g344 +V. +tp22149 +a(g41 +VgetMetrics +p22150 +tp22151 +a(g344 +V( +tp22152 +a(g18 +Vfont1 +p22153 +tp22154 +a(g344 +V) +tp22155 +a(g344 +V; +tp22156 +a(g189 +V\u000a +tp22157 +a(g189 +V +p22158 +tp22159 +a(g131 +Vstatic +p22160 +tp22161 +a(g189 +V +tp22162 +a(g18 +VFontMetrics +p22163 +tp22164 +a(g189 +V +tp22165 +a(g18 +Vfm2 +p22166 +tp22167 +a(g189 +V +tp22168 +a(g344 +V= +tp22169 +a(g189 +V +tp22170 +a(g18 +VSurface +p22171 +tp22172 +a(g344 +V. +tp22173 +a(g41 +VgetMetrics +p22174 +tp22175 +a(g344 +V( +tp22176 +a(g18 +Vfont2 +p22177 +tp22178 +a(g344 +V) +tp22179 +a(g344 +V; +tp22180 +a(g189 +V\u000a +tp22181 +a(g189 +V +p22182 +tp22183 +a(g131 +Vstatic +p22184 +tp22185 +a(g189 +V +tp22186 +a(g18 +VString +p22187 +tp22188 +a(g189 +V +tp22189 +a(g18 +Vtable +p22190 +tp22191 +a(g344 +V[ +tp22192 +a(g344 +V] +tp22193 +a(g344 +V[ +tp22194 +a(g344 +V] +tp22195 +a(g189 +V +tp22196 +a(g344 +V= +tp22197 +a(g189 +V +tp22198 +a(g189 +V\u000a +tp22199 +a(g189 +V +p22200 +tp22201 +a(g344 +V{ +tp22202 +a(g344 +V{ +tp22203 +a(g189 +V +tp22204 +a(g226 +V"Graphics" +p22205 +tp22206 +a(g344 +V, +tp22207 +a(g189 +V +tp22208 +a(g226 +V"Antialiased rendering" +p22209 +tp22210 +a(g344 +V, +tp22211 +a(g189 +V +tp22212 +a(g226 +V"Bezier paths" +p22213 +tp22214 +a(g344 +V, +tp22215 +a(g189 +V +tp22216 +a(g189 +V\u000a +tp22217 +a(g189 +V +p22218 +tp22219 +a(g226 +V"Transforms" +p22220 +tp22221 +a(g344 +V, +tp22222 +a(g189 +V +tp22223 +a(g226 +V"Compositing" +p22224 +tp22225 +a(g344 +V, +tp22226 +a(g189 +V +tp22227 +a(g226 +V"Stroking parameters" +p22228 +tp22229 +a(g189 +V +tp22230 +a(g344 +V} +tp22231 +a(g344 +V, +tp22232 +a(g189 +V\u000a +tp22233 +a(g189 +V +p22234 +tp22235 +a(g344 +V{ +tp22236 +a(g189 +V +tp22237 +a(g226 +V"Text" +p22238 +tp22239 +a(g344 +V, +tp22240 +a(g189 +V +tp22241 +a(g226 +V"Extended font support" +p22242 +tp22243 +a(g344 +V, +tp22244 +a(g189 +V +tp22245 +a(g189 +V\u000a +tp22246 +a(g189 +V +p22247 +tp22248 +a(g226 +V"Advanced text layout" +p22249 +tp22250 +a(g344 +V, +tp22251 +a(g189 +V +p22252 +tp22253 +a(g226 +V"Dynamic font loading" +p22254 +tp22255 +a(g344 +V, +tp22256 +a(g189 +V\u000a +tp22257 +a(g189 +V +p22258 +tp22259 +a(g226 +V"AttributeSets for font customization" +p22260 +tp22261 +a(g189 +V +tp22262 +a(g344 +V} +tp22263 +a(g344 +V, +tp22264 +a(g189 +V\u000a +tp22265 +a(g189 +V +p22266 +tp22267 +a(g344 +V{ +tp22268 +a(g189 +V +tp22269 +a(g226 +V"Images" +p22270 +tp22271 +a(g344 +V, +tp22272 +a(g189 +V +tp22273 +a(g226 +V"Flexible image layouts" +p22274 +tp22275 +a(g344 +V, +tp22276 +a(g189 +V\u000a +tp22277 +a(g189 +V +p22278 +tp22279 +a(g226 +V"Extended imaging operations" +p22280 +tp22281 +a(g344 +V, +tp22282 +a(g189 +V +tp22283 +a(g189 +V\u000a +tp22284 +a(g189 +V +p22285 +tp22286 +a(g226 +V" Convolutions, Lookup Tables" +p22287 +tp22288 +a(g344 +V, +tp22289 +a(g189 +V\u000a +tp22290 +a(g189 +V +p22291 +tp22292 +a(g226 +V"RenderableImage interface" +p22293 +tp22294 +a(g344 +V} +tp22295 +a(g344 +V, +tp22296 +a(g189 +V\u000a +tp22297 +a(g189 +V +p22298 +tp22299 +a(g344 +V{ +tp22300 +a(g189 +V +tp22301 +a(g226 +V"Color" +p22302 +tp22303 +a(g344 +V, +tp22304 +a(g189 +V +tp22305 +a(g226 +V"ICC profile support" +p22306 +tp22307 +a(g344 +V, +tp22308 +a(g189 +V +tp22309 +a(g226 +V"Color conversion" +p22310 +tp22311 +a(g344 +V, +tp22312 +a(g189 +V +tp22313 +a(g189 +V\u000a +tp22314 +a(g189 +V +p22315 +tp22316 +a(g226 +V"Arbitrary color spaces" +p22317 +tp22318 +a(g344 +V} +tp22319 +a(g189 +V +tp22320 +a(g344 +V} +tp22321 +a(g344 +V; +tp22322 +a(g189 +V\u000a +tp22323 +a(g189 +V +p22324 +tp22325 +a(g131 +Vprivate +p22326 +tp22327 +a(g189 +V +tp22328 +a(g18 +VString +p22329 +tp22330 +a(g189 +V +tp22331 +a(g18 +Vlist +p22332 +tp22333 +a(g344 +V[ +tp22334 +a(g344 +V] +tp22335 +a(g344 +V; +tp22336 +a(g189 +V\u000a +tp22337 +a(g189 +V +p22338 +tp22339 +a(g131 +Vprivate +p22340 +tp22341 +a(g189 +V +tp22342 +a(g139 +Vint +p22343 +tp22344 +a(g189 +V +tp22345 +a(g18 +Vbeginning +p22346 +tp22347 +a(g344 +V, +tp22348 +a(g189 +V +tp22349 +a(g18 +Vending +p22350 +tp22351 +a(g344 +V; +tp22352 +a(g189 +V\u000a +tp22353 +a(g189 +V +p22354 +tp22355 +a(g131 +Vprivate +p22356 +tp22357 +a(g189 +V +tp22358 +a(g139 +Vint +p22359 +tp22360 +a(g189 +V +tp22361 +a(g18 +VstrH +p22362 +tp22363 +a(g344 +V; +tp22364 +a(g189 +V\u000a +tp22365 +a(g189 +V +p22366 +tp22367 +a(g131 +Vprivate +p22368 +tp22369 +a(g189 +V +tp22370 +a(g139 +Vint +p22371 +tp22372 +a(g189 +V +tp22373 +a(g18 +VendIndex +p22374 +tp22375 +a(g344 +V, +tp22376 +a(g189 +V +tp22377 +a(g18 +VlistIndex +p22378 +tp22379 +a(g344 +V; +tp22380 +a(g189 +V\u000a +tp22381 +a(g189 +V +p22382 +tp22383 +a(g131 +Vprivate +p22384 +tp22385 +a(g189 +V +tp22386 +a(g18 +VVector +p22387 +tp22388 +a(g189 +V +tp22389 +a(g18 +Vv +tp22390 +a(g189 +V +tp22391 +a(g344 +V= +tp22392 +a(g189 +V +tp22393 +a(g111 +Vnew +p22394 +tp22395 +a(g189 +V +tp22396 +a(g18 +VVector +p22397 +tp22398 +a(g344 +V( +tp22399 +a(g344 +V) +tp22400 +a(g344 +V; +tp22401 +a(g189 +V\u000a +tp22402 +a(g189 +V +p22403 +tp22404 +a(g189 +V\u000a +tp22405 +a(g189 +V\u000a +tp22406 +a(g189 +V +p22407 +tp22408 +a(g131 +Vpublic +p22409 +tp22410 +a(g189 +V +tp22411 +a(g21 +VFeatures +p22412 +tp22413 +a(g344 +V( +tp22414 +a(g139 +Vint +p22415 +tp22416 +a(g189 +V +tp22417 +a(g18 +Vtype +p22418 +tp22419 +a(g344 +V, +tp22420 +a(g189 +V +tp22421 +a(g139 +Vint +p22422 +tp22423 +a(g189 +V +tp22424 +a(g18 +Vbeg +p22425 +tp22426 +a(g344 +V, +tp22427 +a(g189 +V +tp22428 +a(g139 +Vint +p22429 +tp22430 +a(g189 +V +tp22431 +a(g18 +Vend +p22432 +tp22433 +a(g344 +V) +tp22434 +a(g189 +V +tp22435 +a(g344 +V{ +tp22436 +a(g189 +V\u000a +tp22437 +a(g189 +V +p22438 +tp22439 +a(g18 +Vlist +p22440 +tp22441 +a(g189 +V +tp22442 +a(g344 +V= +tp22443 +a(g189 +V +tp22444 +a(g18 +Vtable +p22445 +tp22446 +a(g344 +V[ +tp22447 +a(g18 +Vtype +p22448 +tp22449 +a(g344 +V] +tp22450 +a(g344 +V; +tp22451 +a(g189 +V\u000a +tp22452 +a(g189 +V +p22453 +tp22454 +a(g111 +Vthis +p22455 +tp22456 +a(g344 +V. +tp22457 +a(g41 +Vbeginning +p22458 +tp22459 +a(g189 +V +tp22460 +a(g344 +V= +tp22461 +a(g189 +V +tp22462 +a(g18 +Vbeg +p22463 +tp22464 +a(g344 +V; +tp22465 +a(g189 +V\u000a +tp22466 +a(g189 +V +p22467 +tp22468 +a(g111 +Vthis +p22469 +tp22470 +a(g344 +V. +tp22471 +a(g41 +Vending +p22472 +tp22473 +a(g189 +V +tp22474 +a(g344 +V= +tp22475 +a(g189 +V +tp22476 +a(g18 +Vend +p22477 +tp22478 +a(g344 +V; +tp22479 +a(g189 +V\u000a +tp22480 +a(g189 +V +p22481 +tp22482 +a(g344 +V} +tp22483 +a(g189 +V\u000a +tp22484 +a(g189 +V\u000a +tp22485 +a(g189 +V\u000a +tp22486 +a(g189 +V +p22487 +tp22488 +a(g131 +Vpublic +p22489 +tp22490 +a(g189 +V +tp22491 +a(g139 +Vvoid +p22492 +tp22493 +a(g189 +V +tp22494 +a(g21 +Vreset +p22495 +tp22496 +a(g344 +V( +tp22497 +a(g139 +Vint +p22498 +tp22499 +a(g189 +V +tp22500 +a(g18 +Vw +tp22501 +a(g344 +V, +tp22502 +a(g189 +V +tp22503 +a(g139 +Vint +p22504 +tp22505 +a(g189 +V +tp22506 +a(g18 +Vh +tp22507 +a(g344 +V) +tp22508 +a(g189 +V +tp22509 +a(g344 +V{ +tp22510 +a(g189 +V\u000a +tp22511 +a(g189 +V +p22512 +tp22513 +a(g18 +VstrH +p22514 +tp22515 +a(g189 +V +tp22516 +a(g344 +V= +tp22517 +a(g189 +V +tp22518 +a(g344 +V( +tp22519 +a(g139 +Vint +p22520 +tp22521 +a(g344 +V) +tp22522 +a(g189 +V +tp22523 +a(g344 +V( +tp22524 +a(g18 +Vfm2 +p22525 +tp22526 +a(g344 +V. +tp22527 +a(g41 +VgetAscent +p22528 +tp22529 +a(g344 +V( +tp22530 +a(g344 +V) +tp22531 +a(g344 +V+ +tp22532 +a(g18 +Vfm2 +p22533 +tp22534 +a(g344 +V. +tp22535 +a(g41 +VgetDescent +p22536 +tp22537 +a(g344 +V( +tp22538 +a(g344 +V) +tp22539 +a(g344 +V) +tp22540 +a(g344 +V; +tp22541 +a(g189 +V\u000a +tp22542 +a(g189 +V +p22543 +tp22544 +a(g18 +VendIndex +p22545 +tp22546 +a(g189 +V +tp22547 +a(g344 +V= +tp22548 +a(g189 +V +tp22549 +a(g319 +V1 +tp22550 +a(g344 +V; +tp22551 +a(g189 +V\u000a +tp22552 +a(g189 +V +p22553 +tp22554 +a(g18 +VlistIndex +p22555 +tp22556 +a(g189 +V +tp22557 +a(g344 +V= +tp22558 +a(g189 +V +tp22559 +a(g319 +V0 +tp22560 +a(g344 +V; +tp22561 +a(g189 +V\u000a +tp22562 +a(g189 +V +p22563 +tp22564 +a(g18 +Vv +tp22565 +a(g344 +V. +tp22566 +a(g41 +Vclear +p22567 +tp22568 +a(g344 +V( +tp22569 +a(g344 +V) +tp22570 +a(g344 +V; +tp22571 +a(g189 +V\u000a +tp22572 +a(g189 +V +p22573 +tp22574 +a(g18 +Vv +tp22575 +a(g344 +V. +tp22576 +a(g41 +VaddElement +p22577 +tp22578 +a(g344 +V( +tp22579 +a(g18 +Vlist +p22580 +tp22581 +a(g344 +V[ +tp22582 +a(g18 +VlistIndex +p22583 +tp22584 +a(g344 +V] +tp22585 +a(g344 +V. +tp22586 +a(g41 +Vsubstring +p22587 +tp22588 +a(g344 +V( +tp22589 +a(g319 +V0 +tp22590 +a(g344 +V, +tp22591 +a(g18 +VendIndex +p22592 +tp22593 +a(g344 +V) +tp22594 +a(g344 +V) +tp22595 +a(g344 +V; +tp22596 +a(g189 +V\u000a +tp22597 +a(g189 +V +p22598 +tp22599 +a(g344 +V} +tp22600 +a(g189 +V\u000a +tp22601 +a(g189 +V\u000a +tp22602 +a(g189 +V\u000a +tp22603 +a(g189 +V +p22604 +tp22605 +a(g131 +Vpublic +p22606 +tp22607 +a(g189 +V +tp22608 +a(g139 +Vvoid +p22609 +tp22610 +a(g189 +V +tp22611 +a(g21 +Vstep +p22612 +tp22613 +a(g344 +V( +tp22614 +a(g139 +Vint +p22615 +tp22616 +a(g189 +V +tp22617 +a(g18 +Vw +tp22618 +a(g344 +V, +tp22619 +a(g189 +V +tp22620 +a(g139 +Vint +p22621 +tp22622 +a(g189 +V +tp22623 +a(g18 +Vh +tp22624 +a(g344 +V) +tp22625 +a(g189 +V +tp22626 +a(g344 +V{ +tp22627 +a(g189 +V\u000a +tp22628 +a(g189 +V +p22629 +tp22630 +a(g111 +Vif +p22631 +tp22632 +a(g189 +V +tp22633 +a(g344 +V( +tp22634 +a(g18 +VlistIndex +p22635 +tp22636 +a(g189 +V +tp22637 +a(g344 +V< +tp22638 +a(g189 +V +tp22639 +a(g18 +Vlist +p22640 +tp22641 +a(g344 +V. +tp22642 +a(g41 +Vlength +p22643 +tp22644 +a(g344 +V) +tp22645 +a(g189 +V +tp22646 +a(g344 +V{ +tp22647 +a(g189 +V\u000a +tp22648 +a(g189 +V +p22649 +tp22650 +a(g111 +Vif +p22651 +tp22652 +a(g189 +V +tp22653 +a(g344 +V( +tp22654 +a(g344 +V+ +tp22655 +a(g344 +V+ +tp22656 +a(g18 +VendIndex +p22657 +tp22658 +a(g189 +V +tp22659 +a(g344 +V> +tp22660 +a(g189 +V +tp22661 +a(g18 +Vlist +p22662 +tp22663 +a(g344 +V[ +tp22664 +a(g18 +VlistIndex +p22665 +tp22666 +a(g344 +V] +tp22667 +a(g344 +V. +tp22668 +a(g41 +Vlength +p22669 +tp22670 +a(g344 +V( +tp22671 +a(g344 +V) +tp22672 +a(g344 +V) +tp22673 +a(g189 +V +tp22674 +a(g344 +V{ +tp22675 +a(g189 +V\u000a +tp22676 +a(g189 +V +p22677 +tp22678 +a(g111 +Vif +p22679 +tp22680 +a(g189 +V +tp22681 +a(g344 +V( +tp22682 +a(g344 +V+ +tp22683 +a(g344 +V+ +tp22684 +a(g18 +VlistIndex +p22685 +tp22686 +a(g189 +V +tp22687 +a(g344 +V< +tp22688 +a(g189 +V +tp22689 +a(g18 +Vlist +p22690 +tp22691 +a(g344 +V. +tp22692 +a(g41 +Vlength +p22693 +tp22694 +a(g344 +V) +tp22695 +a(g189 +V +tp22696 +a(g344 +V{ +tp22697 +a(g189 +V\u000a +tp22698 +a(g189 +V +p22699 +tp22700 +a(g18 +VendIndex +p22701 +tp22702 +a(g189 +V +tp22703 +a(g344 +V= +tp22704 +a(g189 +V +tp22705 +a(g319 +V1 +tp22706 +a(g344 +V; +tp22707 +a(g189 +V\u000a +tp22708 +a(g189 +V +p22709 +tp22710 +a(g18 +Vv +tp22711 +a(g344 +V. +tp22712 +a(g41 +VaddElement +p22713 +tp22714 +a(g344 +V( +tp22715 +a(g18 +Vlist +p22716 +tp22717 +a(g344 +V[ +tp22718 +a(g18 +VlistIndex +p22719 +tp22720 +a(g344 +V] +tp22721 +a(g344 +V. +tp22722 +a(g41 +Vsubstring +p22723 +tp22724 +a(g344 +V( +tp22725 +a(g319 +V0 +tp22726 +a(g344 +V, +tp22727 +a(g18 +VendIndex +p22728 +tp22729 +a(g344 +V) +tp22730 +a(g344 +V) +tp22731 +a(g344 +V; +tp22732 +a(g189 +V\u000a +tp22733 +a(g189 +V +p22734 +tp22735 +a(g344 +V} +tp22736 +a(g189 +V\u000a +tp22737 +a(g189 +V +p22738 +tp22739 +a(g344 +V} +tp22740 +a(g189 +V +tp22741 +a(g111 +Velse +p22742 +tp22743 +a(g189 +V +tp22744 +a(g344 +V{ +tp22745 +a(g189 +V\u000a +tp22746 +a(g189 +V +p22747 +tp22748 +a(g18 +Vv +tp22749 +a(g344 +V. +tp22750 +a(g41 +Vset +p22751 +tp22752 +a(g344 +V( +tp22753 +a(g18 +VlistIndex +p22754 +tp22755 +a(g344 +V, +tp22756 +a(g189 +V +tp22757 +a(g18 +Vlist +p22758 +tp22759 +a(g344 +V[ +tp22760 +a(g18 +VlistIndex +p22761 +tp22762 +a(g344 +V] +tp22763 +a(g344 +V. +tp22764 +a(g41 +Vsubstring +p22765 +tp22766 +a(g344 +V( +tp22767 +a(g319 +V0 +tp22768 +a(g344 +V, +tp22769 +a(g18 +VendIndex +p22770 +tp22771 +a(g344 +V) +tp22772 +a(g344 +V) +tp22773 +a(g344 +V; +tp22774 +a(g189 +V\u000a +tp22775 +a(g189 +V +p22776 +tp22777 +a(g344 +V} +tp22778 +a(g189 +V\u000a +tp22779 +a(g189 +V +p22780 +tp22781 +a(g344 +V} +tp22782 +a(g189 +V\u000a +tp22783 +a(g189 +V +p22784 +tp22785 +a(g344 +V} +tp22786 +a(g189 +V\u000a +tp22787 +a(g189 +V\u000a +tp22788 +a(g189 +V\u000a +tp22789 +a(g189 +V +p22790 +tp22791 +a(g131 +Vpublic +p22792 +tp22793 +a(g189 +V +tp22794 +a(g139 +Vvoid +p22795 +tp22796 +a(g189 +V +tp22797 +a(g21 +Vrender +p22798 +tp22799 +a(g344 +V( +tp22800 +a(g139 +Vint +p22801 +tp22802 +a(g189 +V +tp22803 +a(g18 +Vw +tp22804 +a(g344 +V, +tp22805 +a(g189 +V +tp22806 +a(g139 +Vint +p22807 +tp22808 +a(g189 +V +tp22809 +a(g18 +Vh +tp22810 +a(g344 +V, +tp22811 +a(g189 +V +tp22812 +a(g18 +VGraphics2D +p22813 +tp22814 +a(g189 +V +tp22815 +a(g18 +Vg2 +p22816 +tp22817 +a(g344 +V) +tp22818 +a(g189 +V +tp22819 +a(g344 +V{ +tp22820 +a(g189 +V\u000a +tp22821 +a(g189 +V +p22822 +tp22823 +a(g18 +Vg2 +p22824 +tp22825 +a(g344 +V. +tp22826 +a(g41 +VsetColor +p22827 +tp22828 +a(g344 +V( +tp22829 +a(g18 +Vwhite +p22830 +tp22831 +a(g344 +V) +tp22832 +a(g344 +V; +tp22833 +a(g189 +V\u000a +tp22834 +a(g189 +V +p22835 +tp22836 +a(g18 +Vg2 +p22837 +tp22838 +a(g344 +V. +tp22839 +a(g41 +VsetFont +p22840 +tp22841 +a(g344 +V( +tp22842 +a(g18 +Vfont1 +p22843 +tp22844 +a(g344 +V) +tp22845 +a(g344 +V; +tp22846 +a(g189 +V\u000a +tp22847 +a(g189 +V +p22848 +tp22849 +a(g18 +Vg2 +p22850 +tp22851 +a(g344 +V. +tp22852 +a(g41 +VdrawString +p22853 +tp22854 +a(g344 +V( +tp22855 +a(g344 +V( +tp22856 +a(g18 +VString +p22857 +tp22858 +a(g344 +V) +tp22859 +a(g189 +V +tp22860 +a(g18 +Vv +tp22861 +a(g344 +V. +tp22862 +a(g41 +Vget +p22863 +tp22864 +a(g344 +V( +tp22865 +a(g319 +V0 +tp22866 +a(g344 +V) +tp22867 +a(g344 +V, +tp22868 +a(g189 +V +tp22869 +a(g319 +V90 +p22870 +tp22871 +a(g344 +V, +tp22872 +a(g189 +V +tp22873 +a(g319 +V85 +p22874 +tp22875 +a(g344 +V) +tp22876 +a(g344 +V; +tp22877 +a(g189 +V\u000a +tp22878 +a(g189 +V +p22879 +tp22880 +a(g18 +Vg2 +p22881 +tp22882 +a(g344 +V. +tp22883 +a(g41 +VsetFont +p22884 +tp22885 +a(g344 +V( +tp22886 +a(g18 +Vfont2 +p22887 +tp22888 +a(g344 +V) +tp22889 +a(g344 +V; +tp22890 +a(g189 +V\u000a +tp22891 +a(g189 +V +p22892 +tp22893 +a(g111 +Vfor +p22894 +tp22895 +a(g189 +V +tp22896 +a(g344 +V( +tp22897 +a(g139 +Vint +p22898 +tp22899 +a(g189 +V +tp22900 +a(g18 +Vi +tp22901 +a(g189 +V +tp22902 +a(g344 +V= +tp22903 +a(g189 +V +tp22904 +a(g319 +V1 +tp22905 +a(g344 +V, +tp22906 +a(g189 +V +tp22907 +a(g18 +Vy +tp22908 +a(g189 +V +tp22909 +a(g344 +V= +tp22910 +a(g189 +V +tp22911 +a(g319 +V90 +p22912 +tp22913 +a(g344 +V; +tp22914 +a(g189 +V +tp22915 +a(g18 +Vi +tp22916 +a(g189 +V +tp22917 +a(g344 +V< +tp22918 +a(g189 +V +tp22919 +a(g18 +Vv +tp22920 +a(g344 +V. +tp22921 +a(g41 +Vsize +p22922 +tp22923 +a(g344 +V( +tp22924 +a(g344 +V) +tp22925 +a(g344 +V; +tp22926 +a(g189 +V +tp22927 +a(g18 +Vi +tp22928 +a(g344 +V+ +tp22929 +a(g344 +V+ +tp22930 +a(g344 +V) +tp22931 +a(g189 +V +tp22932 +a(g344 +V{ +tp22933 +a(g189 +V\u000a +tp22934 +a(g189 +V +p22935 +tp22936 +a(g18 +Vg2 +p22937 +tp22938 +a(g344 +V. +tp22939 +a(g41 +VdrawString +p22940 +tp22941 +a(g344 +V( +tp22942 +a(g344 +V( +tp22943 +a(g18 +VString +p22944 +tp22945 +a(g344 +V) +tp22946 +a(g189 +V +tp22947 +a(g18 +Vv +tp22948 +a(g344 +V. +tp22949 +a(g41 +Vget +p22950 +tp22951 +a(g344 +V( +tp22952 +a(g18 +Vi +tp22953 +a(g344 +V) +tp22954 +a(g344 +V, +tp22955 +a(g189 +V +tp22956 +a(g319 +V120 +p22957 +tp22958 +a(g344 +V, +tp22959 +a(g189 +V +tp22960 +a(g18 +Vy +tp22961 +a(g189 +V +tp22962 +a(g344 +V+ +tp22963 +a(g344 +V= +tp22964 +a(g189 +V +tp22965 +a(g18 +VstrH +p22966 +tp22967 +a(g344 +V) +tp22968 +a(g344 +V; +tp22969 +a(g189 +V\u000a +tp22970 +a(g189 +V +p22971 +tp22972 +a(g344 +V} +tp22973 +a(g189 +V\u000a +tp22974 +a(g189 +V +p22975 +tp22976 +a(g344 +V} +tp22977 +a(g189 +V\u000a +tp22978 +a(g189 +V\u000a +tp22979 +a(g189 +V +p22980 +tp22981 +a(g131 +Vpublic +p22982 +tp22983 +a(g189 +V +tp22984 +a(g139 +Vint +p22985 +tp22986 +a(g189 +V +tp22987 +a(g21 +VgetBegin +p22988 +tp22989 +a(g344 +V( +tp22990 +a(g344 +V) +tp22991 +a(g189 +V +tp22992 +a(g344 +V{ +tp22993 +a(g189 +V\u000a +tp22994 +a(g189 +V +p22995 +tp22996 +a(g111 +Vreturn +p22997 +tp22998 +a(g189 +V +tp22999 +a(g18 +Vbeginning +p23000 +tp23001 +a(g344 +V; +tp23002 +a(g189 +V\u000a +tp23003 +a(g189 +V +p23004 +tp23005 +a(g344 +V} +tp23006 +a(g189 +V\u000a +tp23007 +a(g189 +V\u000a +tp23008 +a(g189 +V +p23009 +tp23010 +a(g131 +Vpublic +p23011 +tp23012 +a(g189 +V +tp23013 +a(g139 +Vint +p23014 +tp23015 +a(g189 +V +tp23016 +a(g21 +VgetEnd +p23017 +tp23018 +a(g344 +V( +tp23019 +a(g344 +V) +tp23020 +a(g189 +V +tp23021 +a(g344 +V{ +tp23022 +a(g189 +V\u000a +tp23023 +a(g189 +V +p23024 +tp23025 +a(g111 +Vreturn +p23026 +tp23027 +a(g189 +V +tp23028 +a(g18 +Vending +p23029 +tp23030 +a(g344 +V; +tp23031 +a(g189 +V\u000a +tp23032 +a(g189 +V +p23033 +tp23034 +a(g344 +V} +tp23035 +a(g189 +V\u000a +tp23036 +a(g189 +V +p23037 +tp23038 +a(g344 +V} +tp23039 +a(g189 +V +tp23040 +a(g358 +V// End Features class\u000a +p23041 +tp23042 +a(g189 +V\u000a +tp23043 +a(g189 +V\u000a +tp23044 +a(g189 +V\u000a +tp23045 +a(g189 +V +p23046 +tp23047 +a(g7 +V/**\u000a * Scrolling text of Java2D contributors.\u000a */ +p23048 +tp23049 +a(g189 +V\u000a +tp23050 +a(g189 +V +p23051 +tp23052 +a(g131 +Vstatic +p23053 +tp23054 +a(g189 +V +tp23055 +a(g131 +Vclass +p23056 +tp23057 +a(g189 +V +tp23058 +a(g106 +VContributors +p23059 +tp23060 +a(g189 +V +tp23061 +a(g131 +Vimplements +p23062 +tp23063 +a(g189 +V +tp23064 +a(g18 +VPart +p23065 +tp23066 +a(g189 +V +tp23067 +a(g344 +V{ +tp23068 +a(g189 +V\u000a +tp23069 +a(g189 +V\u000a +tp23070 +a(g189 +V +p23071 +tp23072 +a(g131 +Vstatic +p23073 +tp23074 +a(g189 +V +tp23075 +a(g18 +VString +p23076 +tp23077 +a(g189 +V +tp23078 +a(g18 +Vmembers +p23079 +tp23080 +a(g344 +V[ +tp23081 +a(g344 +V] +tp23082 +a(g189 +V +tp23083 +a(g344 +V= +tp23084 +a(g189 +V +tp23085 +a(g189 +V\u000a +tp23086 +a(g189 +V +p23087 +tp23088 +a(g344 +V{ +tp23089 +a(g189 +V +tp23090 +a(g189 +V\u000a +tp23091 +a(g189 +V +p23092 +tp23093 +a(g226 +V"Brian Lichtenwalter" +p23094 +tp23095 +a(g344 +V, +tp23096 +a(g189 +V +tp23097 +a(g226 +V"Jeannette Hung" +p23098 +tp23099 +a(g344 +V, +tp23100 +a(g189 +V +tp23101 +a(g189 +V\u000a +tp23102 +a(g189 +V +p23103 +tp23104 +a(g226 +V"Thanh Nguyen" +p23105 +tp23106 +a(g344 +V, +tp23107 +a(g189 +V +tp23108 +a(g226 +V"Jim Graham" +p23109 +tp23110 +a(g344 +V, +tp23111 +a(g189 +V +tp23112 +a(g226 +V"Jerry Evans" +p23113 +tp23114 +a(g344 +V, +tp23115 +a(g189 +V +tp23116 +a(g189 +V\u000a +tp23117 +a(g189 +V +p23118 +tp23119 +a(g226 +V"John Raley" +p23120 +tp23121 +a(g344 +V, +tp23122 +a(g189 +V +tp23123 +a(g226 +V"Michael Peirce" +p23124 +tp23125 +a(g344 +V, +tp23126 +a(g189 +V +tp23127 +a(g226 +V"Robert Kim" +p23128 +tp23129 +a(g344 +V, +tp23130 +a(g189 +V +tp23131 +a(g189 +V\u000a +tp23132 +a(g189 +V +p23133 +tp23134 +a(g226 +V"Jennifer Ball" +p23135 +tp23136 +a(g344 +V, +tp23137 +a(g189 +V +tp23138 +a(g226 +V"Deborah Adair" +p23139 +tp23140 +a(g344 +V, +tp23141 +a(g189 +V +tp23142 +a(g226 +V"Paul Charlton" +p23143 +tp23144 +a(g344 +V, +tp23145 +a(g189 +V +tp23146 +a(g189 +V\u000a +tp23147 +a(g189 +V +p23148 +tp23149 +a(g226 +V"Dmitry Feld" +p23150 +tp23151 +a(g344 +V, +tp23152 +a(g189 +V +tp23153 +a(g226 +V"Gregory Stone" +p23154 +tp23155 +a(g344 +V, +tp23156 +a(g189 +V +tp23157 +a(g226 +V"Richard Blanchard" +p23158 +tp23159 +a(g344 +V, +tp23160 +a(g189 +V +tp23161 +a(g189 +V\u000a +tp23162 +a(g189 +V +p23163 +tp23164 +a(g226 +V"Link Perry" +p23165 +tp23166 +a(g344 +V, +tp23167 +a(g189 +V +tp23168 +a(g226 +V"Phil Race" +p23169 +tp23170 +a(g344 +V, +tp23171 +a(g189 +V +tp23172 +a(g226 +V"Vincent Hardy" +p23173 +tp23174 +a(g344 +V, +tp23175 +a(g189 +V +tp23176 +a(g189 +V\u000a +tp23177 +a(g189 +V +p23178 +tp23179 +a(g226 +V"Parry Kejriwal" +p23180 +tp23181 +a(g344 +V, +tp23182 +a(g189 +V +tp23183 +a(g226 +V"Doug Felt" +p23184 +tp23185 +a(g344 +V, +tp23186 +a(g189 +V +tp23187 +a(g226 +V"Rekha Rangarajan" +p23188 +tp23189 +a(g344 +V, +tp23190 +a(g189 +V +tp23191 +a(g189 +V\u000a +tp23192 +a(g189 +V +p23193 +tp23194 +a(g226 +V"Paula Patel" +p23195 +tp23196 +a(g344 +V, +tp23197 +a(g189 +V +tp23198 +a(g226 +V"Michael Bundschuh" +p23199 +tp23200 +a(g344 +V, +tp23201 +a(g189 +V +tp23202 +a(g226 +V"Joe Warzecha" +p23203 +tp23204 +a(g344 +V, +tp23205 +a(g189 +V +tp23206 +a(g189 +V\u000a +tp23207 +a(g189 +V +p23208 +tp23209 +a(g226 +V"Joey Beheler" +p23210 +tp23211 +a(g344 +V, +tp23212 +a(g189 +V +tp23213 +a(g226 +V"Aastha Bhardwaj" +p23214 +tp23215 +a(g344 +V, +tp23216 +a(g189 +V +tp23217 +a(g226 +V"Daniel Rice" +p23218 +tp23219 +a(g344 +V, +tp23220 +a(g189 +V\u000a +tp23221 +a(g189 +V +p23222 +tp23223 +a(g226 +V"Chris Campbell" +p23224 +tp23225 +a(g344 +V, +tp23226 +a(g189 +V +tp23227 +a(g226 +V"Shinsuke Fukuda" +p23228 +tp23229 +a(g344 +V, +tp23230 +a(g189 +V +tp23231 +a(g226 +V"Dmitri Trembovetski" +p23232 +tp23233 +a(g344 +V, +tp23234 +a(g189 +V\u000a +tp23235 +a(g189 +V +p23236 +tp23237 +a(g226 +V"Chet Haase" +p23238 +tp23239 +a(g344 +V, +tp23240 +a(g189 +V +tp23241 +a(g226 +V"Jennifer Godinez" +p23242 +tp23243 +a(g344 +V, +tp23244 +a(g189 +V +tp23245 +a(g226 +V"Nicholas Talian" +p23246 +tp23247 +a(g344 +V, +tp23248 +a(g189 +V\u000a +tp23249 +a(g189 +V +p23250 +tp23251 +a(g226 +V"Raul Vera" +p23252 +tp23253 +a(g344 +V, +tp23254 +a(g189 +V +tp23255 +a(g226 +V"Ankit Patel" +p23256 +tp23257 +a(g344 +V, +tp23258 +a(g189 +V +tp23259 +a(g226 +V"Ilya Bagrak" +p23260 +tp23261 +a(g189 +V\u000a +tp23262 +a(g189 +V +p23263 +tp23264 +a(g344 +V} +tp23265 +a(g344 +V; +tp23266 +a(g189 +V\u000a +tp23267 +a(g189 +V +p23268 +tp23269 +a(g131 +Vstatic +p23270 +tp23271 +a(g189 +V +tp23272 +a(g18 +VFont +p23273 +tp23274 +a(g189 +V +tp23275 +a(g18 +Vfont +p23276 +tp23277 +a(g189 +V +tp23278 +a(g344 +V= +tp23279 +a(g189 +V +tp23280 +a(g111 +Vnew +p23281 +tp23282 +a(g189 +V +tp23283 +a(g18 +VFont +p23284 +tp23285 +a(g344 +V( +tp23286 +a(g226 +V"serif" +p23287 +tp23288 +a(g344 +V, +tp23289 +a(g189 +V +tp23290 +a(g18 +VFont +p23291 +tp23292 +a(g344 +V. +tp23293 +a(g41 +VPLAIN +p23294 +tp23295 +a(g344 +V, +tp23296 +a(g189 +V +tp23297 +a(g319 +V26 +p23298 +tp23299 +a(g344 +V) +tp23300 +a(g344 +V; +tp23301 +a(g189 +V\u000a +tp23302 +a(g189 +V +p23303 +tp23304 +a(g131 +Vstatic +p23305 +tp23306 +a(g189 +V +tp23307 +a(g18 +VFontMetrics +p23308 +tp23309 +a(g189 +V +tp23310 +a(g18 +Vfm +p23311 +tp23312 +a(g189 +V +tp23313 +a(g344 +V= +tp23314 +a(g189 +V +tp23315 +a(g18 +VSurface +p23316 +tp23317 +a(g344 +V. +tp23318 +a(g41 +VgetMetrics +p23319 +tp23320 +a(g344 +V( +tp23321 +a(g18 +Vfont +p23322 +tp23323 +a(g344 +V) +tp23324 +a(g344 +V; +tp23325 +a(g189 +V\u000a +tp23326 +a(g189 +V +p23327 +tp23328 +a(g131 +Vprivate +p23329 +tp23330 +a(g189 +V +tp23331 +a(g139 +Vint +p23332 +tp23333 +a(g189 +V +tp23334 +a(g18 +Vbeginning +p23335 +tp23336 +a(g344 +V, +tp23337 +a(g189 +V +tp23338 +a(g18 +Vending +p23339 +tp23340 +a(g344 +V; +tp23341 +a(g189 +V\u000a +tp23342 +a(g189 +V +p23343 +tp23344 +a(g131 +Vprivate +p23345 +tp23346 +a(g189 +V +tp23347 +a(g139 +Vint +p23348 +tp23349 +a(g189 +V +tp23350 +a(g18 +VnStrs +p23351 +tp23352 +a(g344 +V, +tp23353 +a(g189 +V +tp23354 +a(g18 +VstrH +p23355 +tp23356 +a(g344 +V, +tp23357 +a(g189 +V +tp23358 +a(g18 +Vindex +p23359 +tp23360 +a(g344 +V, +tp23361 +a(g189 +V +tp23362 +a(g18 +Vyh +p23363 +tp23364 +a(g344 +V, +tp23365 +a(g189 +V +tp23366 +a(g18 +Vheight +p23367 +tp23368 +a(g344 +V; +tp23369 +a(g189 +V\u000a +tp23370 +a(g189 +V +p23371 +tp23372 +a(g131 +Vprivate +p23373 +tp23374 +a(g189 +V +tp23375 +a(g18 +VVector +p23376 +tp23377 +a(g189 +V +tp23378 +a(g18 +Vv +tp23379 +a(g189 +V +tp23380 +a(g344 +V= +tp23381 +a(g189 +V +tp23382 +a(g111 +Vnew +p23383 +tp23384 +a(g189 +V +tp23385 +a(g18 +VVector +p23386 +tp23387 +a(g344 +V( +tp23388 +a(g344 +V) +tp23389 +a(g344 +V; +tp23390 +a(g189 +V\u000a +tp23391 +a(g189 +V +p23392 +tp23393 +a(g131 +Vprivate +p23394 +tp23395 +a(g189 +V +tp23396 +a(g18 +VVector +p23397 +tp23398 +a(g189 +V +tp23399 +a(g18 +Vcast +p23400 +tp23401 +a(g189 +V +tp23402 +a(g344 +V= +tp23403 +a(g189 +V +tp23404 +a(g111 +Vnew +p23405 +tp23406 +a(g189 +V +tp23407 +a(g18 +VVector +p23408 +tp23409 +a(g344 +V( +tp23410 +a(g18 +Vmembers +p23411 +tp23412 +a(g344 +V. +tp23413 +a(g41 +Vlength +p23414 +tp23415 +a(g344 +V+ +tp23416 +a(g319 +V3 +tp23417 +a(g344 +V) +tp23418 +a(g344 +V; +tp23419 +a(g189 +V\u000a +tp23420 +a(g189 +V +p23421 +tp23422 +a(g131 +Vprivate +p23423 +tp23424 +a(g189 +V +tp23425 +a(g139 +Vint +p23426 +tp23427 +a(g189 +V +tp23428 +a(g18 +Vcounter +p23429 +tp23430 +a(g344 +V, +tp23431 +a(g189 +V +tp23432 +a(g18 +VcntMod +p23433 +tp23434 +a(g344 +V; +tp23435 +a(g189 +V\u000a +tp23436 +a(g189 +V +p23437 +tp23438 +a(g131 +Vprivate +p23439 +tp23440 +a(g189 +V +tp23441 +a(g18 +VGradientPaint +p23442 +tp23443 +a(g189 +V +tp23444 +a(g18 +Vgp +p23445 +tp23446 +a(g344 +V; +tp23447 +a(g189 +V\u000a +tp23448 +a(g189 +V\u000a +tp23449 +a(g189 +V\u000a +tp23450 +a(g189 +V +p23451 +tp23452 +a(g131 +Vpublic +p23453 +tp23454 +a(g189 +V +tp23455 +a(g21 +VContributors +p23456 +tp23457 +a(g344 +V( +tp23458 +a(g139 +Vint +p23459 +tp23460 +a(g189 +V +tp23461 +a(g18 +Vbeg +p23462 +tp23463 +a(g344 +V, +tp23464 +a(g189 +V +tp23465 +a(g139 +Vint +p23466 +tp23467 +a(g189 +V +tp23468 +a(g18 +Vend +p23469 +tp23470 +a(g344 +V) +tp23471 +a(g189 +V +tp23472 +a(g344 +V{ +tp23473 +a(g189 +V\u000a +tp23474 +a(g189 +V +p23475 +tp23476 +a(g111 +Vthis +p23477 +tp23478 +a(g344 +V. +tp23479 +a(g41 +Vbeginning +p23480 +tp23481 +a(g189 +V +tp23482 +a(g344 +V= +tp23483 +a(g189 +V +tp23484 +a(g18 +Vbeg +p23485 +tp23486 +a(g344 +V; +tp23487 +a(g189 +V\u000a +tp23488 +a(g189 +V +p23489 +tp23490 +a(g111 +Vthis +p23491 +tp23492 +a(g344 +V. +tp23493 +a(g41 +Vending +p23494 +tp23495 +a(g189 +V +tp23496 +a(g344 +V= +tp23497 +a(g189 +V +tp23498 +a(g18 +Vend +p23499 +tp23500 +a(g344 +V; +tp23501 +a(g189 +V\u000a +tp23502 +a(g189 +V +p23503 +tp23504 +a(g18 +Vjava +p23505 +tp23506 +a(g344 +V. +tp23507 +a(g41 +Vutil +p23508 +tp23509 +a(g344 +V. +tp23510 +a(g41 +VArrays +p23511 +tp23512 +a(g344 +V. +tp23513 +a(g41 +Vsort +p23514 +tp23515 +a(g344 +V( +tp23516 +a(g18 +Vmembers +p23517 +tp23518 +a(g344 +V) +tp23519 +a(g344 +V; +tp23520 +a(g189 +V\u000a +tp23521 +a(g189 +V +p23522 +tp23523 +a(g18 +Vcast +p23524 +tp23525 +a(g344 +V. +tp23526 +a(g41 +VaddElement +p23527 +tp23528 +a(g344 +V( +tp23529 +a(g226 +V"CONTRIBUTORS" +p23530 +tp23531 +a(g344 +V) +tp23532 +a(g344 +V; +tp23533 +a(g189 +V\u000a +tp23534 +a(g189 +V +p23535 +tp23536 +a(g18 +Vcast +p23537 +tp23538 +a(g344 +V. +tp23539 +a(g41 +VaddElement +p23540 +tp23541 +a(g344 +V( +tp23542 +a(g226 +V" " +p23543 +tp23544 +a(g344 +V) +tp23545 +a(g344 +V; +tp23546 +a(g189 +V\u000a +tp23547 +a(g189 +V +p23548 +tp23549 +a(g111 +Vfor +p23550 +tp23551 +a(g189 +V +tp23552 +a(g344 +V( +tp23553 +a(g139 +Vint +p23554 +tp23555 +a(g189 +V +tp23556 +a(g18 +Vi +tp23557 +a(g189 +V +tp23558 +a(g344 +V= +tp23559 +a(g189 +V +tp23560 +a(g319 +V0 +tp23561 +a(g344 +V; +tp23562 +a(g189 +V +tp23563 +a(g18 +Vi +tp23564 +a(g189 +V +tp23565 +a(g344 +V< +tp23566 +a(g189 +V +tp23567 +a(g18 +Vmembers +p23568 +tp23569 +a(g344 +V. +tp23570 +a(g41 +Vlength +p23571 +tp23572 +a(g344 +V; +tp23573 +a(g189 +V +tp23574 +a(g18 +Vi +tp23575 +a(g344 +V+ +tp23576 +a(g344 +V+ +tp23577 +a(g344 +V) +tp23578 +a(g189 +V +tp23579 +a(g344 +V{ +tp23580 +a(g189 +V\u000a +tp23581 +a(g189 +V +p23582 +tp23583 +a(g18 +Vcast +p23584 +tp23585 +a(g344 +V. +tp23586 +a(g41 +VaddElement +p23587 +tp23588 +a(g344 +V( +tp23589 +a(g18 +Vmembers +p23590 +tp23591 +a(g344 +V[ +tp23592 +a(g18 +Vi +tp23593 +a(g344 +V] +tp23594 +a(g344 +V) +tp23595 +a(g344 +V; +tp23596 +a(g189 +V\u000a +tp23597 +a(g189 +V +p23598 +tp23599 +a(g344 +V} +tp23600 +a(g189 +V\u000a +tp23601 +a(g189 +V +p23602 +tp23603 +a(g18 +Vcast +p23604 +tp23605 +a(g344 +V. +tp23606 +a(g41 +VaddElement +p23607 +tp23608 +a(g344 +V( +tp23609 +a(g226 +V" " +p23610 +tp23611 +a(g344 +V) +tp23612 +a(g344 +V; +tp23613 +a(g189 +V +tp23614 +a(g18 +Vcast +p23615 +tp23616 +a(g344 +V. +tp23617 +a(g41 +VaddElement +p23618 +tp23619 +a(g344 +V( +tp23620 +a(g226 +V" " +p23621 +tp23622 +a(g344 +V) +tp23623 +a(g344 +V; +tp23624 +a(g189 +V\u000a +tp23625 +a(g189 +V +p23626 +tp23627 +a(g18 +VcntMod +p23628 +tp23629 +a(g189 +V +tp23630 +a(g344 +V= +tp23631 +a(g189 +V +tp23632 +a(g344 +V( +tp23633 +a(g18 +Vending +p23634 +tp23635 +a(g189 +V +tp23636 +a(g344 +V- +tp23637 +a(g189 +V +tp23638 +a(g18 +Vbeginning +p23639 +tp23640 +a(g344 +V) +tp23641 +a(g189 +V +tp23642 +a(g344 +V/ +tp23643 +a(g189 +V +tp23644 +a(g18 +Vcast +p23645 +tp23646 +a(g344 +V. +tp23647 +a(g41 +Vsize +p23648 +tp23649 +a(g344 +V( +tp23650 +a(g344 +V) +tp23651 +a(g189 +V +tp23652 +a(g344 +V- +tp23653 +a(g189 +V +tp23654 +a(g319 +V1 +tp23655 +a(g344 +V; +tp23656 +a(g189 +V\u000a +tp23657 +a(g189 +V +p23658 +tp23659 +a(g344 +V} +tp23660 +a(g189 +V\u000a +tp23661 +a(g189 +V\u000a +tp23662 +a(g189 +V\u000a +tp23663 +a(g189 +V +p23664 +tp23665 +a(g131 +Vpublic +p23666 +tp23667 +a(g189 +V +tp23668 +a(g139 +Vvoid +p23669 +tp23670 +a(g189 +V +tp23671 +a(g21 +Vreset +p23672 +tp23673 +a(g344 +V( +tp23674 +a(g139 +Vint +p23675 +tp23676 +a(g189 +V +tp23677 +a(g18 +Vw +tp23678 +a(g344 +V, +tp23679 +a(g189 +V +tp23680 +a(g139 +Vint +p23681 +tp23682 +a(g189 +V +tp23683 +a(g18 +Vh +tp23684 +a(g344 +V) +tp23685 +a(g189 +V +tp23686 +a(g344 +V{ +tp23687 +a(g189 +V\u000a +tp23688 +a(g189 +V +p23689 +tp23690 +a(g18 +Vv +tp23691 +a(g344 +V. +tp23692 +a(g41 +Vclear +p23693 +tp23694 +a(g344 +V( +tp23695 +a(g344 +V) +tp23696 +a(g344 +V; +tp23697 +a(g189 +V\u000a +tp23698 +a(g189 +V +p23699 +tp23700 +a(g18 +VstrH +p23701 +tp23702 +a(g189 +V +tp23703 +a(g344 +V= +tp23704 +a(g189 +V +tp23705 +a(g344 +V( +tp23706 +a(g139 +Vint +p23707 +tp23708 +a(g344 +V) +tp23709 +a(g189 +V +tp23710 +a(g344 +V( +tp23711 +a(g18 +Vfm +p23712 +tp23713 +a(g344 +V. +tp23714 +a(g41 +VgetAscent +p23715 +tp23716 +a(g344 +V( +tp23717 +a(g344 +V) +tp23718 +a(g344 +V+ +tp23719 +a(g18 +Vfm +p23720 +tp23721 +a(g344 +V. +tp23722 +a(g41 +VgetDescent +p23723 +tp23724 +a(g344 +V( +tp23725 +a(g344 +V) +tp23726 +a(g344 +V) +tp23727 +a(g344 +V; +tp23728 +a(g189 +V\u000a +tp23729 +a(g189 +V +p23730 +tp23731 +a(g18 +VnStrs +p23732 +tp23733 +a(g189 +V +tp23734 +a(g344 +V= +tp23735 +a(g189 +V +tp23736 +a(g344 +V( +tp23737 +a(g18 +Vh +tp23738 +a(g344 +V- +tp23739 +a(g319 +V40 +p23740 +tp23741 +a(g344 +V) +tp23742 +a(g344 +V/ +tp23743 +a(g18 +VstrH +p23744 +tp23745 +a(g189 +V +tp23746 +a(g344 +V+ +tp23747 +a(g189 +V +tp23748 +a(g319 +V1 +tp23749 +a(g344 +V; +tp23750 +a(g189 +V\u000a +tp23751 +a(g189 +V +p23752 +tp23753 +a(g18 +Vheight +p23754 +tp23755 +a(g189 +V +tp23756 +a(g344 +V= +tp23757 +a(g189 +V +tp23758 +a(g18 +VstrH +p23759 +tp23760 +a(g189 +V +tp23761 +a(g344 +V* +tp23762 +a(g189 +V +tp23763 +a(g344 +V( +tp23764 +a(g18 +VnStrs +p23765 +tp23766 +a(g344 +V- +tp23767 +a(g319 +V1 +tp23768 +a(g344 +V) +tp23769 +a(g189 +V +tp23770 +a(g344 +V+ +tp23771 +a(g189 +V +tp23772 +a(g319 +V48 +p23773 +tp23774 +a(g344 +V; +tp23775 +a(g189 +V\u000a +tp23776 +a(g189 +V +p23777 +tp23778 +a(g18 +Vindex +p23779 +tp23780 +a(g189 +V +tp23781 +a(g344 +V= +tp23782 +a(g189 +V +tp23783 +a(g319 +V0 +tp23784 +a(g344 +V; +tp23785 +a(g189 +V\u000a +tp23786 +a(g189 +V +p23787 +tp23788 +a(g18 +Vgp +p23789 +tp23790 +a(g189 +V +tp23791 +a(g344 +V= +tp23792 +a(g189 +V +tp23793 +a(g111 +Vnew +p23794 +tp23795 +a(g189 +V +tp23796 +a(g18 +VGradientPaint +p23797 +tp23798 +a(g344 +V( +tp23799 +a(g319 +V0 +tp23800 +a(g344 +V, +tp23801 +a(g18 +Vh +tp23802 +a(g344 +V/ +tp23803 +a(g319 +V2 +tp23804 +a(g344 +V, +tp23805 +a(g18 +VColor +p23806 +tp23807 +a(g344 +V. +tp23808 +a(g41 +Vwhite +p23809 +tp23810 +a(g344 +V, +tp23811 +a(g319 +V0 +tp23812 +a(g344 +V, +tp23813 +a(g18 +Vh +tp23814 +a(g344 +V+ +tp23815 +a(g319 +V20 +p23816 +tp23817 +a(g344 +V, +tp23818 +a(g18 +VColor +p23819 +tp23820 +a(g344 +V. +tp23821 +a(g41 +Vblack +p23822 +tp23823 +a(g344 +V) +tp23824 +a(g344 +V; +tp23825 +a(g189 +V\u000a +tp23826 +a(g189 +V +p23827 +tp23828 +a(g18 +Vcounter +p23829 +tp23830 +a(g189 +V +tp23831 +a(g344 +V= +tp23832 +a(g189 +V +tp23833 +a(g319 +V0 +tp23834 +a(g344 +V; +tp23835 +a(g189 +V\u000a +tp23836 +a(g189 +V +p23837 +tp23838 +a(g344 +V} +tp23839 +a(g189 +V\u000a +tp23840 +a(g189 +V\u000a +tp23841 +a(g189 +V\u000a +tp23842 +a(g189 +V +p23843 +tp23844 +a(g131 +Vpublic +p23845 +tp23846 +a(g189 +V +tp23847 +a(g139 +Vvoid +p23848 +tp23849 +a(g189 +V +tp23850 +a(g21 +Vstep +p23851 +tp23852 +a(g344 +V( +tp23853 +a(g139 +Vint +p23854 +tp23855 +a(g189 +V +tp23856 +a(g18 +Vw +tp23857 +a(g344 +V, +tp23858 +a(g189 +V +tp23859 +a(g139 +Vint +p23860 +tp23861 +a(g189 +V +tp23862 +a(g18 +Vh +tp23863 +a(g344 +V) +tp23864 +a(g189 +V +tp23865 +a(g344 +V{ +tp23866 +a(g189 +V\u000a +tp23867 +a(g189 +V +p23868 +tp23869 +a(g111 +Vif +p23870 +tp23871 +a(g189 +V +tp23872 +a(g344 +V( +tp23873 +a(g18 +Vcounter +p23874 +tp23875 +a(g344 +V+ +tp23876 +a(g344 +V+ +tp23877 +a(g344 +V% +tp23878 +a(g18 +VcntMod +p23879 +tp23880 +a(g189 +V +tp23881 +a(g344 +V= +tp23882 +a(g344 +V= +tp23883 +a(g189 +V +tp23884 +a(g319 +V0 +tp23885 +a(g344 +V) +tp23886 +a(g189 +V +tp23887 +a(g344 +V{ +tp23888 +a(g189 +V\u000a +tp23889 +a(g189 +V +p23890 +tp23891 +a(g111 +Vif +p23892 +tp23893 +a(g189 +V +tp23894 +a(g344 +V( +tp23895 +a(g18 +Vindex +p23896 +tp23897 +a(g189 +V +tp23898 +a(g344 +V< +tp23899 +a(g189 +V +tp23900 +a(g18 +Vcast +p23901 +tp23902 +a(g344 +V. +tp23903 +a(g41 +Vsize +p23904 +tp23905 +a(g344 +V( +tp23906 +a(g344 +V) +tp23907 +a(g344 +V) +tp23908 +a(g189 +V +tp23909 +a(g344 +V{ +tp23910 +a(g189 +V\u000a +tp23911 +a(g189 +V +p23912 +tp23913 +a(g18 +Vv +tp23914 +a(g344 +V. +tp23915 +a(g41 +VaddElement +p23916 +tp23917 +a(g344 +V( +tp23918 +a(g18 +Vcast +p23919 +tp23920 +a(g344 +V. +tp23921 +a(g41 +Vget +p23922 +tp23923 +a(g344 +V( +tp23924 +a(g18 +Vindex +p23925 +tp23926 +a(g344 +V) +tp23927 +a(g344 +V) +tp23928 +a(g344 +V; +tp23929 +a(g189 +V\u000a +tp23930 +a(g189 +V +p23931 +tp23932 +a(g344 +V} +tp23933 +a(g189 +V\u000a +tp23934 +a(g189 +V +p23935 +tp23936 +a(g111 +Vif +p23937 +tp23938 +a(g189 +V +tp23939 +a(g344 +V( +tp23940 +a(g344 +V( +tp23941 +a(g18 +Vv +tp23942 +a(g344 +V. +tp23943 +a(g41 +Vsize +p23944 +tp23945 +a(g344 +V( +tp23946 +a(g344 +V) +tp23947 +a(g189 +V +tp23948 +a(g344 +V= +tp23949 +a(g344 +V= +tp23950 +a(g189 +V +tp23951 +a(g18 +VnStrs +p23952 +tp23953 +a(g189 +V +tp23954 +a(g344 +V| +tp23955 +a(g344 +V| +tp23956 +a(g189 +V +tp23957 +a(g18 +Vindex +p23958 +tp23959 +a(g189 +V +tp23960 +a(g344 +V> +tp23961 +a(g344 +V= +tp23962 +a(g189 +V +tp23963 +a(g18 +Vcast +p23964 +tp23965 +a(g344 +V. +tp23966 +a(g41 +Vsize +p23967 +tp23968 +a(g344 +V( +tp23969 +a(g344 +V) +tp23970 +a(g344 +V) +tp23971 +a(g189 +V +tp23972 +a(g344 +V& +tp23973 +a(g344 +V& +tp23974 +a(g189 +V +tp23975 +a(g18 +Vv +tp23976 +a(g344 +V. +tp23977 +a(g41 +Vsize +p23978 +tp23979 +a(g344 +V( +tp23980 +a(g344 +V) +tp23981 +a(g189 +V +tp23982 +a(g344 +V! +tp23983 +a(g344 +V= +tp23984 +a(g189 +V +tp23985 +a(g319 +V0 +tp23986 +a(g344 +V) +tp23987 +a(g189 +V +tp23988 +a(g344 +V{ +tp23989 +a(g189 +V\u000a +tp23990 +a(g189 +V +p23991 +tp23992 +a(g18 +Vv +tp23993 +a(g344 +V. +tp23994 +a(g41 +VremoveElementAt +p23995 +tp23996 +a(g344 +V( +tp23997 +a(g319 +V0 +tp23998 +a(g344 +V) +tp23999 +a(g344 +V; +tp24000 +a(g189 +V\u000a +tp24001 +a(g189 +V +p24002 +tp24003 +a(g344 +V} +tp24004 +a(g189 +V\u000a +tp24005 +a(g189 +V +p24006 +tp24007 +a(g344 +V+ +tp24008 +a(g344 +V+ +tp24009 +a(g18 +Vindex +p24010 +tp24011 +a(g344 +V; +tp24012 +a(g189 +V\u000a +tp24013 +a(g189 +V +p24014 +tp24015 +a(g344 +V} +tp24016 +a(g189 +V\u000a +tp24017 +a(g189 +V +p24018 +tp24019 +a(g344 +V} +tp24020 +a(g189 +V\u000a +tp24021 +a(g189 +V\u000a +tp24022 +a(g189 +V\u000a +tp24023 +a(g189 +V +p24024 +tp24025 +a(g131 +Vpublic +p24026 +tp24027 +a(g189 +V +tp24028 +a(g139 +Vvoid +p24029 +tp24030 +a(g189 +V +tp24031 +a(g21 +Vrender +p24032 +tp24033 +a(g344 +V( +tp24034 +a(g139 +Vint +p24035 +tp24036 +a(g189 +V +tp24037 +a(g18 +Vw +tp24038 +a(g344 +V, +tp24039 +a(g189 +V +tp24040 +a(g139 +Vint +p24041 +tp24042 +a(g189 +V +tp24043 +a(g18 +Vh +tp24044 +a(g344 +V, +tp24045 +a(g189 +V +tp24046 +a(g18 +VGraphics2D +p24047 +tp24048 +a(g189 +V +tp24049 +a(g18 +Vg2 +p24050 +tp24051 +a(g344 +V) +tp24052 +a(g189 +V +tp24053 +a(g344 +V{ +tp24054 +a(g189 +V\u000a +tp24055 +a(g189 +V +p24056 +tp24057 +a(g18 +Vg2 +p24058 +tp24059 +a(g344 +V. +tp24060 +a(g41 +VsetPaint +p24061 +tp24062 +a(g344 +V( +tp24063 +a(g18 +Vgp +p24064 +tp24065 +a(g344 +V) +tp24066 +a(g344 +V; +tp24067 +a(g189 +V\u000a +tp24068 +a(g189 +V +p24069 +tp24070 +a(g18 +Vg2 +p24071 +tp24072 +a(g344 +V. +tp24073 +a(g41 +VsetFont +p24074 +tp24075 +a(g344 +V( +tp24076 +a(g18 +Vfont +p24077 +tp24078 +a(g344 +V) +tp24079 +a(g344 +V; +tp24080 +a(g189 +V\u000a +tp24081 +a(g189 +V +p24082 +tp24083 +a(g139 +Vdouble +p24084 +tp24085 +a(g189 +V +tp24086 +a(g18 +Vremainder +p24087 +tp24088 +a(g189 +V +tp24089 +a(g344 +V= +tp24090 +a(g189 +V +tp24091 +a(g18 +Vcounter +p24092 +tp24093 +a(g344 +V% +tp24094 +a(g18 +VcntMod +p24095 +tp24096 +a(g344 +V; +tp24097 +a(g189 +V\u000a +tp24098 +a(g189 +V +p24099 +tp24100 +a(g139 +Vdouble +p24101 +tp24102 +a(g189 +V +tp24103 +a(g18 +Vincr +p24104 +tp24105 +a(g189 +V +tp24106 +a(g344 +V= +tp24107 +a(g189 +V +tp24108 +a(g311 +V1.0 +p24109 +tp24110 +a(g344 +V- +tp24111 +a(g18 +Vremainder +p24112 +tp24113 +a(g344 +V/ +tp24114 +a(g18 +VcntMod +p24115 +tp24116 +a(g344 +V; +tp24117 +a(g189 +V\u000a +tp24118 +a(g189 +V +p24119 +tp24120 +a(g18 +Vincr +p24121 +tp24122 +a(g189 +V +tp24123 +a(g344 +V= +tp24124 +a(g189 +V +tp24125 +a(g18 +Vincr +p24126 +tp24127 +a(g189 +V +tp24128 +a(g344 +V= +tp24129 +a(g344 +V= +tp24130 +a(g189 +V +tp24131 +a(g311 +V1.0 +p24132 +tp24133 +a(g189 +V +tp24134 +a(g344 +V? +tp24135 +a(g189 +V +tp24136 +a(g319 +V0 +tp24137 +a(g189 +V +tp24138 +a(g344 +V: +tp24139 +a(g189 +V +tp24140 +a(g18 +Vincr +p24141 +tp24142 +a(g344 +V; +tp24143 +a(g189 +V\u000a +tp24144 +a(g189 +V +p24145 +tp24146 +a(g139 +Vint +p24147 +tp24148 +a(g189 +V +tp24149 +a(g18 +Vy +tp24150 +a(g189 +V +tp24151 +a(g344 +V= +tp24152 +a(g189 +V +tp24153 +a(g344 +V( +tp24154 +a(g139 +Vint +p24155 +tp24156 +a(g344 +V) +tp24157 +a(g189 +V +tp24158 +a(g344 +V( +tp24159 +a(g18 +Vincr +p24160 +tp24161 +a(g189 +V +tp24162 +a(g344 +V* +tp24163 +a(g189 +V +tp24164 +a(g18 +VstrH +p24165 +tp24166 +a(g344 +V) +tp24167 +a(g344 +V; +tp24168 +a(g189 +V\u000a +tp24169 +a(g189 +V\u000a +tp24170 +a(g189 +V +p24171 +tp24172 +a(g111 +Vif +p24173 +tp24174 +a(g189 +V +tp24175 +a(g344 +V( +tp24176 +a(g18 +Vindex +p24177 +tp24178 +a(g189 +V +tp24179 +a(g344 +V> +tp24180 +a(g344 +V= +tp24181 +a(g189 +V +tp24182 +a(g18 +Vcast +p24183 +tp24184 +a(g344 +V. +tp24185 +a(g41 +Vsize +p24186 +tp24187 +a(g344 +V( +tp24188 +a(g344 +V) +tp24189 +a(g344 +V) +tp24190 +a(g189 +V +tp24191 +a(g344 +V{ +tp24192 +a(g189 +V\u000a +tp24193 +a(g189 +V +p24194 +tp24195 +a(g18 +Vy +tp24196 +a(g189 +V +tp24197 +a(g344 +V= +tp24198 +a(g189 +V +tp24199 +a(g18 +Vyh +p24200 +tp24201 +a(g189 +V +tp24202 +a(g344 +V+ +tp24203 +a(g189 +V +tp24204 +a(g18 +Vy +tp24205 +a(g344 +V; +tp24206 +a(g189 +V +tp24207 +a(g189 +V\u000a +tp24208 +a(g189 +V +p24209 +tp24210 +a(g344 +V} +tp24211 +a(g189 +V +tp24212 +a(g111 +Velse +p24213 +tp24214 +a(g189 +V +tp24215 +a(g344 +V{ +tp24216 +a(g189 +V\u000a +tp24217 +a(g189 +V +p24218 +tp24219 +a(g18 +Vy +tp24220 +a(g189 +V +tp24221 +a(g344 +V= +tp24222 +a(g189 +V +tp24223 +a(g18 +Vyh +p24224 +tp24225 +a(g189 +V +tp24226 +a(g344 +V= +tp24227 +a(g189 +V +tp24228 +a(g18 +Vheight +p24229 +tp24230 +a(g189 +V +tp24231 +a(g344 +V- +tp24232 +a(g189 +V +tp24233 +a(g18 +Vv +tp24234 +a(g344 +V. +tp24235 +a(g41 +Vsize +p24236 +tp24237 +a(g344 +V( +tp24238 +a(g344 +V) +tp24239 +a(g189 +V +tp24240 +a(g344 +V* +tp24241 +a(g189 +V +tp24242 +a(g18 +VstrH +p24243 +tp24244 +a(g189 +V +tp24245 +a(g344 +V+ +tp24246 +a(g189 +V +tp24247 +a(g18 +Vy +tp24248 +a(g344 +V; +tp24249 +a(g189 +V\u000a +tp24250 +a(g189 +V +p24251 +tp24252 +a(g344 +V} +tp24253 +a(g189 +V\u000a +tp24254 +a(g189 +V +p24255 +tp24256 +a(g111 +Vfor +p24257 +tp24258 +a(g189 +V +tp24259 +a(g344 +V( +tp24260 +a(g139 +Vint +p24261 +tp24262 +a(g189 +V +tp24263 +a(g18 +Vi +tp24264 +a(g189 +V +tp24265 +a(g344 +V= +tp24266 +a(g189 +V +tp24267 +a(g319 +V0 +tp24268 +a(g344 +V; +tp24269 +a(g189 +V +tp24270 +a(g18 +Vi +tp24271 +a(g189 +V +tp24272 +a(g344 +V< +tp24273 +a(g189 +V +tp24274 +a(g18 +Vv +tp24275 +a(g344 +V. +tp24276 +a(g41 +Vsize +p24277 +tp24278 +a(g344 +V( +tp24279 +a(g344 +V) +tp24280 +a(g344 +V; +tp24281 +a(g189 +V +tp24282 +a(g18 +Vi +tp24283 +a(g344 +V+ +tp24284 +a(g344 +V+ +tp24285 +a(g344 +V) +tp24286 +a(g189 +V +tp24287 +a(g344 +V{ +tp24288 +a(g189 +V\u000a +tp24289 +a(g189 +V +p24290 +tp24291 +a(g18 +VString +p24292 +tp24293 +a(g189 +V +tp24294 +a(g18 +Vs +tp24295 +a(g189 +V +tp24296 +a(g344 +V= +tp24297 +a(g189 +V +tp24298 +a(g344 +V( +tp24299 +a(g18 +VString +p24300 +tp24301 +a(g344 +V) +tp24302 +a(g189 +V +tp24303 +a(g18 +Vv +tp24304 +a(g344 +V. +tp24305 +a(g41 +Vget +p24306 +tp24307 +a(g344 +V( +tp24308 +a(g18 +Vi +tp24309 +a(g344 +V) +tp24310 +a(g344 +V; +tp24311 +a(g189 +V\u000a +tp24312 +a(g189 +V +p24313 +tp24314 +a(g18 +Vg2 +p24315 +tp24316 +a(g344 +V. +tp24317 +a(g41 +VdrawString +p24318 +tp24319 +a(g344 +V( +tp24320 +a(g18 +Vs +tp24321 +a(g344 +V, +tp24322 +a(g189 +V +tp24323 +a(g18 +Vw +tp24324 +a(g344 +V/ +tp24325 +a(g319 +V2 +tp24326 +a(g344 +V- +tp24327 +a(g18 +Vfm +p24328 +tp24329 +a(g344 +V. +tp24330 +a(g41 +VstringWidth +p24331 +tp24332 +a(g344 +V( +tp24333 +a(g18 +Vs +tp24334 +a(g344 +V) +tp24335 +a(g344 +V/ +tp24336 +a(g319 +V2 +tp24337 +a(g344 +V, +tp24338 +a(g189 +V +tp24339 +a(g18 +Vy +tp24340 +a(g189 +V +tp24341 +a(g344 +V+ +tp24342 +a(g344 +V= +tp24343 +a(g189 +V +tp24344 +a(g18 +VstrH +p24345 +tp24346 +a(g344 +V) +tp24347 +a(g344 +V; +tp24348 +a(g189 +V\u000a +tp24349 +a(g189 +V +p24350 +tp24351 +a(g344 +V} +tp24352 +a(g189 +V\u000a +tp24353 +a(g189 +V +p24354 +tp24355 +a(g344 +V} +tp24356 +a(g189 +V\u000a +tp24357 +a(g189 +V\u000a +tp24358 +a(g189 +V +p24359 +tp24360 +a(g131 +Vpublic +p24361 +tp24362 +a(g189 +V +tp24363 +a(g139 +Vint +p24364 +tp24365 +a(g189 +V +tp24366 +a(g21 +VgetBegin +p24367 +tp24368 +a(g344 +V( +tp24369 +a(g344 +V) +tp24370 +a(g189 +V +tp24371 +a(g344 +V{ +tp24372 +a(g189 +V\u000a +tp24373 +a(g189 +V +p24374 +tp24375 +a(g111 +Vreturn +p24376 +tp24377 +a(g189 +V +tp24378 +a(g18 +Vbeginning +p24379 +tp24380 +a(g344 +V; +tp24381 +a(g189 +V\u000a +tp24382 +a(g189 +V +p24383 +tp24384 +a(g344 +V} +tp24385 +a(g189 +V\u000a +tp24386 +a(g189 +V\u000a +tp24387 +a(g189 +V +p24388 +tp24389 +a(g131 +Vpublic +p24390 +tp24391 +a(g189 +V +tp24392 +a(g139 +Vint +p24393 +tp24394 +a(g189 +V +tp24395 +a(g21 +VgetEnd +p24396 +tp24397 +a(g344 +V( +tp24398 +a(g344 +V) +tp24399 +a(g189 +V +tp24400 +a(g344 +V{ +tp24401 +a(g189 +V\u000a +tp24402 +a(g189 +V +p24403 +tp24404 +a(g111 +Vreturn +p24405 +tp24406 +a(g189 +V +tp24407 +a(g18 +Vending +p24408 +tp24409 +a(g344 +V; +tp24410 +a(g189 +V\u000a +tp24411 +a(g189 +V +p24412 +tp24413 +a(g344 +V} +tp24414 +a(g189 +V\u000a +tp24415 +a(g189 +V +p24416 +tp24417 +a(g344 +V} +tp24418 +a(g189 +V +tp24419 +a(g358 +V// End Contributors class\u000a +p24420 +tp24421 +a(g189 +V\u000a +tp24422 +a(g189 +V +p24423 +tp24424 +a(g344 +V} +tp24425 +a(g189 +V +tp24426 +a(g358 +V// End Surface class\u000a +p24427 +tp24428 +a(g344 +V} +tp24429 +a(g189 +V +tp24430 +a(g358 +V// End Intro class\u000a +p24431 +tp24432 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/Makefile b/tests/examplefiles/output/Makefile new file mode 100644 index 0000000..53cad79 --- /dev/null +++ b/tests/examplefiles/output/Makefile @@ -0,0 +1,29190 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +g59 +g2 +(g3 +g4 +(g137 +g59 +ttRp140 +(dp141 +g8 +g9 +((ltRp142 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp143 +(dp144 +g8 +g9 +((ltRp145 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g138 +sbsS'Reserved' +p149 +g2 +(g3 +g4 +(g137 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Declaration' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp157 +(dp158 +g8 +g9 +((ltRp159 +sg15 +g138 +sbsg8 +g9 +((lp160 +g140 +ag150 +ag2 +(g3 +g4 +(g137 +S'Type' +p161 +ttRp162 +(dp163 +g8 +g9 +((ltRp164 +sg15 +g138 +sbag154 +ag157 +ag143 +ag146 +atRp165 +sg161 +g162 +sbsS'Generic' +p166 +g2 +(g3 +g4 +(g166 +ttRp167 +(dp168 +S'Prompt' +p169 +g2 +(g3 +g4 +(g166 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g167 +sbsg15 +g21 +sS'Deleted' +p173 +g2 +(g3 +g4 +(g166 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g167 +sbsS'Traceback' +p177 +g2 +(g3 +g4 +(g166 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g167 +sbsS'Emph' +p181 +g2 +(g3 +g4 +(g166 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g167 +sbsS'Output' +p185 +g2 +(g3 +g4 +(g166 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g167 +sbsS'Subheading' +p189 +g2 +(g3 +g4 +(g166 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g167 +sbsS'Error' +p193 +g2 +(g3 +g4 +(g166 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g167 +sbsg8 +g9 +((lp197 +g186 +ag182 +ag194 +ag190 +ag178 +ag174 +ag2 +(g3 +g4 +(g166 +S'Heading' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Inserted' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Strong' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g167 +sbag170 +atRp210 +sg206 +g207 +sg202 +g203 +sg198 +g199 +sbsg5 +g6 +sS'Punctuation' +p211 +g2 +(g3 +g4 +(g211 +ttRp212 +(dp213 +g8 +g9 +((lp214 +g2 +(g3 +g4 +(g211 +S'Indicator' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g212 +sbatRp219 +sg215 +g216 +sg15 +g21 +sbsS'Token' +p220 +g21 +sS'Number' +p221 +g2 +(g3 +g4 +(S'Literal' +p222 +g221 +ttRp223 +(dp224 +S'Bin' +p225 +g2 +(g3 +g4 +(g222 +g221 +g225 +ttRp226 +(dp227 +g8 +g9 +((ltRp228 +sg15 +g223 +sbsS'Binary' +p229 +g2 +(g3 +g4 +(g222 +g221 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g223 +sbsg15 +g2 +(g3 +g4 +(g222 +ttRp233 +(dp234 +S'String' +p235 +g2 +(g3 +g4 +(g222 +g235 +ttRp236 +(dp237 +S'Regex' +p238 +g2 +(g3 +g4 +(g222 +g235 +g238 +ttRp239 +(dp240 +g8 +g9 +((ltRp241 +sg15 +g236 +sbsS'Interpol' +p242 +g2 +(g3 +g4 +(g222 +g235 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g236 +sbsS'Regexp' +p246 +g2 +(g3 +g4 +(g222 +g235 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g236 +sbsg15 +g233 +sS'Heredoc' +p250 +g2 +(g3 +g4 +(g222 +g235 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g236 +sbsS'Double' +p254 +g2 +(g3 +g4 +(g222 +g235 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g236 +sbsg11 +g2 +(g3 +g4 +(g222 +g235 +g11 +ttRp258 +(dp259 +g8 +g9 +((ltRp260 +sg15 +g236 +sbsS'Escape' +p261 +g2 +(g3 +g4 +(g222 +g235 +g261 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g236 +sbsS'Character' +p265 +g2 +(g3 +g4 +(g222 +g235 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g236 +sbsS'Interp' +p269 +g2 +(g3 +g4 +(g222 +g235 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g236 +sbsS'Backtick' +p273 +g2 +(g3 +g4 +(g222 +g235 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g236 +sbsS'Char' +p277 +g2 +(g3 +g4 +(g222 +g235 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g236 +sbsg30 +g2 +(g3 +g4 +(g222 +g235 +g30 +ttRp281 +(dp282 +g8 +g9 +((ltRp283 +sg15 +g236 +sbsg91 +g2 +(g3 +g4 +(g222 +g235 +g91 +ttRp284 +(dp285 +g8 +g9 +((ltRp286 +sg15 +g236 +sbsS'Doc' +p287 +g2 +(g3 +g4 +(g222 +g235 +g287 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g236 +sbsg8 +g9 +((lp291 +g284 +ag2 +(g3 +g4 +(g222 +g235 +S'Atom' +p292 +ttRp293 +(dp294 +g8 +g9 +((ltRp295 +sg15 +g236 +sbag255 +ag278 +ag270 +ag288 +ag251 +ag274 +ag243 +ag258 +ag247 +ag239 +ag281 +ag266 +ag262 +atRp296 +sg292 +g293 +sbsg15 +g21 +sg221 +g223 +sS'Scalar' +p297 +g2 +(g3 +g4 +(g222 +g297 +ttRp298 +(dp299 +g8 +g9 +((lp300 +g2 +(g3 +g4 +(g222 +g297 +S'Plain' +p301 +ttRp302 +(dp303 +g8 +g9 +((ltRp304 +sg15 +g298 +sbatRp305 +sg15 +g233 +sg301 +g302 +sbsg91 +g2 +(g3 +g4 +(g222 +g91 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g233 +sbsS'Date' +p309 +g2 +(g3 +g4 +(g222 +g309 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g233 +sbsg8 +g9 +((lp313 +g310 +ag236 +ag306 +ag223 +ag298 +atRp314 +sbsS'Decimal' +p315 +g2 +(g3 +g4 +(g222 +g221 +g315 +ttRp316 +(dp317 +g8 +g9 +((ltRp318 +sg15 +g223 +sbsS'Float' +p319 +g2 +(g3 +g4 +(g222 +g221 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g223 +sbsS'Hex' +p323 +g2 +(g3 +g4 +(g222 +g221 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g223 +sbsS'Integer' +p327 +g2 +(g3 +g4 +(g222 +g221 +g327 +ttRp328 +(dp329 +g8 +g9 +((lp330 +g2 +(g3 +g4 +(g222 +g221 +g327 +S'Long' +p331 +ttRp332 +(dp333 +g8 +g9 +((ltRp334 +sg15 +g328 +sbatRp335 +sg331 +g332 +sg15 +g223 +sbsS'Octal' +p336 +g2 +(g3 +g4 +(g222 +g221 +g336 +ttRp337 +(dp338 +g8 +g9 +((ltRp339 +sg15 +g223 +sbsg8 +g9 +((lp340 +g226 +ag230 +ag337 +ag316 +ag2 +(g3 +g4 +(g222 +g221 +S'Oct' +p341 +ttRp342 +(dp343 +g8 +g9 +((ltRp344 +sg15 +g223 +sbag328 +ag320 +ag324 +atRp345 +sg341 +g342 +sbsg222 +g233 +sg91 +g2 +(g3 +g4 +(g91 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g21 +sbsg193 +g2 +(g3 +g4 +(g193 +ttRp349 +(dp350 +g8 +g9 +((ltRp351 +sg15 +g21 +sbsS'Operator' +p352 +g2 +(g3 +g4 +(g352 +ttRp353 +(dp354 +g8 +g9 +((lp355 +g2 +(g3 +g4 +(g352 +S'Word' +p356 +ttRp357 +(dp358 +g8 +g9 +((ltRp359 +sg15 +g353 +sbatRp360 +sg356 +g357 +sg15 +g21 +sbsg8 +g9 +((lp361 +g24 +ag349 +ag167 +ag6 +ag45 +ag212 +ag138 +ag233 +ag353 +ag346 +atRp362 +sg235 +g236 +sbsbV +tp363 +a(g24 +V# Generated automatically from Makefile.pre by makesetup.\u000a +p364 +tp365 +a(g6 +V +tp366 +a(g24 +V# Top-level Makefile for Python\u000a +p367 +tp368 +a(g6 +V +tp369 +a(g24 +V#\u000a +p370 +tp371 +a(g6 +V +tp372 +a(g24 +V# As distributed, this file is called Makefile.pre.in; it is processed\u000a +p373 +tp374 +a(g6 +V +tp375 +a(g24 +V# into the real Makefile by running the script ./configure, which\u000a +p376 +tp377 +a(g6 +V +tp378 +a(g24 +V# replaces things like @spam@ with values appropriate for your system.\u000a +p379 +tp380 +a(g6 +V +tp381 +a(g24 +V# This means that if you edit Makefile, your changes get lost the next\u000a +p382 +tp383 +a(g6 +V +tp384 +a(g24 +V# time you run the configure script. Ideally, you can do:\u000a +p385 +tp386 +a(g6 +V +tp387 +a(g24 +V#\u000a +p388 +tp389 +a(g6 +V +tp390 +a(g24 +V# ./configure\u000a +p391 +tp392 +a(g6 +V +tp393 +a(g24 +V# make\u000a +p394 +tp395 +a(g6 +V +tp396 +a(g24 +V# make test\u000a +p397 +tp398 +a(g6 +V +tp399 +a(g24 +V# make install\u000a +p400 +tp401 +a(g6 +V +tp402 +a(g24 +V#\u000a +p403 +tp404 +a(g6 +V +tp405 +a(g24 +V# If you have a previous version of Python installed that you don't\u000a +p406 +tp407 +a(g6 +V +tp408 +a(g24 +V# want to overwrite, you can use "make altinstall" instead of "make\u000a +p409 +tp410 +a(g6 +V +tp411 +a(g24 +V# install". Refer to the "Installing" section in the README file for\u000a +p412 +tp413 +a(g6 +V +tp414 +a(g24 +V# additional details.\u000a +p415 +tp416 +a(g6 +V +tp417 +a(g24 +V#\u000a +p418 +tp419 +a(g6 +V +tp420 +a(g24 +V# See also the section "Build instructions" in the README file.\u000a +p421 +tp422 +a(g6 +V\u000a +tp423 +a(g24 +V# === Variables set by makesetup ===\u000a +p424 +tp425 +a(g6 +V\u000a +tp426 +a(g100 +VMODOBJS +p427 +tp428 +a(g353 +V= +tp429 +a(g6 +V +p430 +tp431 +a(g6 +VModules/threadmodule.o +p432 +tp433 +a(g6 +V +p434 +tp435 +a(g6 +VModules/signalmodule.o +p436 +tp437 +a(g6 +V +p438 +tp439 +a(g6 +VModules/posixmodule.o +p440 +tp441 +a(g6 +V +p442 +tp443 +a(g6 +VModules/errnomodule.o +p444 +tp445 +a(g6 +V +p446 +tp447 +a(g6 +VModules/pwdmodule.o +p448 +tp449 +a(g6 +V +p450 +tp451 +a(g6 +VModules/_sre.o +p452 +tp453 +a(g6 +V +p454 +tp455 +a(g6 +VModules/_codecsmodule.o +p456 +tp457 +a(g6 +V +p458 +tp459 +a(g6 +VModules/zipimport.o +p460 +tp461 +a(g6 +V +p462 +tp463 +a(g6 +VModules/symtablemodule.o +p464 +tp465 +a(g6 +V +p466 +tp467 +a(g6 +VModules/xxsubtype.o +p468 +tp469 +a(g6 +V\u000a +tp470 +a(g100 +VMODLIBS +p471 +tp472 +a(g353 +V= +tp473 +a(g6 +V +p474 +tp475 +a(g138 +V$( +p476 +tp477 +a(g6 +VLOCALMODLIBS +p478 +tp479 +a(g138 +V) +tp480 +a(g6 +V +tp481 +a(g138 +V$( +p482 +tp483 +a(g6 +VBASEMODLIBS +p484 +tp485 +a(g138 +V) +tp486 +a(g6 +V\u000a\u000a +p487 +tp488 +a(g24 +V# === Variables set by configure\u000a +p489 +tp490 +a(g6 +V +tp491 +a(g100 +VVERSION +p492 +tp493 +a(g353 +V= +tp494 +a(g6 +V +tp495 +a(g6 +V2.6 +p496 +tp497 +a(g6 +V\u000a +tp498 +a(g100 +Vsrcdir +p499 +tp500 +a(g353 +V= +tp501 +a(g6 +V +p502 +tp503 +a(g6 +V. +tp504 +a(g6 +V\u000a\u000a\u000a +p505 +tp506 +a(g100 +VCC +p507 +tp508 +a(g353 +V= +tp509 +a(g6 +V +p510 +tp511 +a(g6 +Vgcc +p512 +tp513 +a(g6 +V +tp514 +a(g6 +V-pthread +p515 +tp516 +a(g6 +V\u000a +tp517 +a(g100 +VCXX +p518 +tp519 +a(g353 +V= +tp520 +a(g6 +V +p521 +tp522 +a(g6 +Vg++ +p523 +tp524 +a(g6 +V +tp525 +a(g6 +V-pthread +p526 +tp527 +a(g6 +V\u000a +tp528 +a(g100 +VMAINCC +p529 +tp530 +a(g353 +V= +tp531 +a(g6 +V +p532 +tp533 +a(g138 +V$( +p534 +tp535 +a(g6 +VCC +p536 +tp537 +a(g138 +V) +tp538 +a(g6 +V\u000a +tp539 +a(g100 +VLINKCC +p540 +tp541 +a(g353 +V= +tp542 +a(g6 +V +p543 +tp544 +a(g138 +V$( +p545 +tp546 +a(g6 +VPURIFY +p547 +tp548 +a(g138 +V) +tp549 +a(g6 +V +tp550 +a(g138 +V$( +p551 +tp552 +a(g6 +VMAINCC +p553 +tp554 +a(g138 +V) +tp555 +a(g6 +V\u000a +tp556 +a(g100 +VAR +p557 +tp558 +a(g353 +V= +tp559 +a(g6 +V +p560 +tp561 +a(g6 +Var +p562 +tp563 +a(g6 +V\u000a +tp564 +a(g100 +VRANLIB +p565 +tp566 +a(g353 +V= +tp567 +a(g6 +V +p568 +tp569 +a(g6 +Vranlib +p570 +tp571 +a(g6 +V\u000a +tp572 +a(g100 +VSVNVERSION +p573 +tp574 +a(g353 +V= +tp575 +a(g6 +V +tp576 +a(g6 +Vsvnversion +p577 +tp578 +a(g6 +V +tp579 +a(g138 +V$( +p580 +tp581 +a(g6 +Vsrcdir +p582 +tp583 +a(g138 +V) +tp584 +a(g6 +V\u000a\u000a +p585 +tp586 +a(g24 +V# Shell used by make (some versions default to the login shell, which is bad)\u000a +p587 +tp588 +a(g6 +V +tp589 +a(g100 +VSHELL +p590 +tp591 +a(g353 +V= +tp592 +a(g6 +V +p593 +tp594 +a(g6 +V/bin/sh +p595 +tp596 +a(g6 +V\u000a\u000a +p597 +tp598 +a(g24 +V# Use this to make a link between python$(VERSION) and python in $(BINDIR)\u000a +p599 +tp600 +a(g6 +V +tp601 +a(g100 +VLN +p602 +tp603 +a(g353 +V= +tp604 +a(g6 +V +p605 +tp606 +a(g6 +Vln +p607 +tp608 +a(g6 +V\u000a\u000a +p609 +tp610 +a(g24 +V# Portable install script (configure doesn't always guess right)\u000a +p611 +tp612 +a(g6 +V +tp613 +a(g100 +VINSTALL +p614 +tp615 +a(g353 +V= +tp616 +a(g6 +V +tp617 +a(g6 +V/usr/bin/install +p618 +tp619 +a(g6 +V +tp620 +a(g6 +V-c +p621 +tp622 +a(g6 +V\u000a +tp623 +a(g100 +VINSTALL_PROGRAM +p624 +tp625 +a(g353 +V= +tp626 +a(g138 +V${ +p627 +tp628 +a(g100 +VINSTALL +p629 +tp630 +a(g138 +V} +tp631 +a(g6 +V\u000a +tp632 +a(g100 +VINSTALL_SCRIPT +p633 +tp634 +a(g353 +V= +tp635 +a(g6 +V +tp636 +a(g138 +V${ +p637 +tp638 +a(g100 +VINSTALL +p639 +tp640 +a(g138 +V} +tp641 +a(g6 +V\u000a +tp642 +a(g100 +VINSTALL_DATA +p643 +tp644 +a(g353 +V= +tp645 +a(g6 +V +tp646 +a(g138 +V${ +p647 +tp648 +a(g100 +VINSTALL +p649 +tp650 +a(g138 +V} +tp651 +a(g6 +V +tp652 +a(g6 +V-m +p653 +tp654 +a(g6 +V +tp655 +a(g6 +V644 +p656 +tp657 +a(g6 +V\u000a +tp658 +a(g24 +V# Shared libraries must be installed with executable mode on some systems;\u000a +p659 +tp660 +a(g6 +V +tp661 +a(g24 +V# rather than figuring out exactly which, we always give them executable mode.\u000a +p662 +tp663 +a(g6 +V +tp664 +a(g24 +V# Also, making them read-only seems to be a good idea...\u000a +p665 +tp666 +a(g6 +V +tp667 +a(g100 +VINSTALL_SHARED +p668 +tp669 +a(g353 +V= +tp670 +a(g6 +V +tp671 +a(g138 +V${ +p672 +tp673 +a(g100 +VINSTALL +p674 +tp675 +a(g138 +V} +tp676 +a(g6 +V +tp677 +a(g6 +V-m +p678 +tp679 +a(g6 +V +tp680 +a(g6 +V555 +p681 +tp682 +a(g6 +V\u000a\u000a +p683 +tp684 +a(g100 +VMAKESETUP +p685 +tp686 +a(g353 +V= +tp687 +a(g6 +V +p688 +tp689 +a(g138 +V$( +p690 +tp691 +a(g6 +Vsrcdir +p692 +tp693 +a(g138 +V) +tp694 +a(g6 +V/Modules/makesetup +p695 +tp696 +a(g6 +V\u000a\u000a +p697 +tp698 +a(g24 +V# Compiler options\u000a +p699 +tp700 +a(g6 +V +tp701 +a(g100 +VOPT +p702 +tp703 +a(g353 +V= +tp704 +a(g6 +V +p705 +tp706 +a(g6 +V-g +p707 +tp708 +a(g6 +V +tp709 +a(g6 +V-Wall +p710 +tp711 +a(g6 +V +tp712 +a(g6 +V-Wstrict-prototypes +p713 +tp714 +a(g6 +V\u000a +tp715 +a(g100 +VBASECFLAGS +p716 +tp717 +a(g353 +V= +tp718 +a(g6 +V +p719 +tp720 +a(g6 +V-fno-strict-aliasing +p721 +tp722 +a(g6 +V\u000a +tp723 +a(g100 +VCFLAGS +p724 +tp725 +a(g353 +V= +tp726 +a(g6 +V +p727 +tp728 +a(g138 +V$( +p729 +tp730 +a(g6 +VBASECFLAGS +p731 +tp732 +a(g138 +V) +tp733 +a(g6 +V +tp734 +a(g138 +V$( +p735 +tp736 +a(g6 +VOPT +p737 +tp738 +a(g138 +V) +tp739 +a(g6 +V +tp740 +a(g138 +V$( +p741 +tp742 +a(g6 +VEXTRA_CFLAGS +p743 +tp744 +a(g138 +V) +tp745 +a(g6 +V\u000a +tp746 +a(g24 +V# Both CPPFLAGS and LDFLAGS need to contain the shell's value for setup.py to\u000a +p747 +tp748 +a(g6 +V +tp749 +a(g24 +V# be able to build extension modules using the directories specified in the\u000a +p750 +tp751 +a(g6 +V +tp752 +a(g24 +V# environment variables\u000a +p753 +tp754 +a(g6 +V +tp755 +a(g100 +VCPPFLAGS +p756 +tp757 +a(g353 +V= +tp758 +a(g6 +V +tp759 +a(g6 +V-I. +p760 +tp761 +a(g6 +V +tp762 +a(g6 +V-I +p763 +tp764 +a(g138 +V$( +p765 +tp766 +a(g6 +Vsrcdir +p767 +tp768 +a(g138 +V) +tp769 +a(g6 +V/Include +p770 +tp771 +a(g6 +V \u000a +p772 +tp773 +a(g100 +VLDFLAGS +p774 +tp775 +a(g353 +V= +tp776 +a(g6 +V \u000a +p777 +tp778 +a(g100 +VLDLAST +p779 +tp780 +a(g353 +V= +tp781 +a(g6 +V \u000a +p782 +tp783 +a(g100 +VSGI_ABI +p784 +tp785 +a(g353 +V= +tp786 +a(g6 +V \u000a +p787 +tp788 +a(g100 +VCCSHARED +p789 +tp790 +a(g353 +V= +tp791 +a(g6 +V +tp792 +a(g6 +V-fPIC +p793 +tp794 +a(g6 +V\u000a +tp795 +a(g100 +VLINKFORSHARED +p796 +tp797 +a(g353 +V= +tp798 +a(g6 +V +tp799 +a(g6 +V-Xlinker +p800 +tp801 +a(g6 +V +tp802 +a(g6 +V-export-dynamic +p803 +tp804 +a(g6 +V\u000a +tp805 +a(g24 +V# Extra C flags added for building the interpreter object files.\u000a +p806 +tp807 +a(g6 +V +tp808 +a(g100 +VCFLAGSFORSHARED +p809 +tp810 +a(g353 +V= +tp811 +a(g6 +V\u000a +tp812 +a(g24 +V# C flags used for building the interpreter object files\u000a +p813 +tp814 +a(g6 +V +tp815 +a(g100 +VPY_CFLAGS +p816 +tp817 +a(g353 +V= +tp818 +a(g6 +V +tp819 +a(g138 +V$( +p820 +tp821 +a(g6 +VCFLAGS +p822 +tp823 +a(g138 +V) +tp824 +a(g6 +V +tp825 +a(g138 +V$( +p826 +tp827 +a(g6 +VCPPFLAGS +p828 +tp829 +a(g138 +V) +tp830 +a(g6 +V +tp831 +a(g138 +V$( +p832 +tp833 +a(g6 +VCFLAGSFORSHARED +p834 +tp835 +a(g138 +V) +tp836 +a(g6 +V +tp837 +a(g6 +V-DPy_BUILD_CORE +p838 +tp839 +a(g6 +V\u000a\u000a\u000a +p840 +tp841 +a(g24 +V# Machine-dependent subdirectories\u000a +p842 +tp843 +a(g6 +V +tp844 +a(g100 +VMACHDEP +p845 +tp846 +a(g353 +V= +tp847 +a(g6 +V +tp848 +a(g6 +Vlinux2 +p849 +tp850 +a(g6 +V\u000a\u000a +p851 +tp852 +a(g24 +V# Install prefix for architecture-independent files\u000a +p853 +tp854 +a(g6 +V +tp855 +a(g100 +Vprefix +p856 +tp857 +a(g353 +V= +tp858 +a(g6 +V +p859 +tp860 +a(g6 +V/usr/local +p861 +tp862 +a(g6 +V\u000a\u000a +p863 +tp864 +a(g24 +V# Install prefix for architecture-dependent files\u000a +p865 +tp866 +a(g6 +V +tp867 +a(g100 +Vexec_prefix +p868 +tp869 +a(g353 +V= +tp870 +a(g6 +V +tp871 +a(g138 +V${ +p872 +tp873 +a(g100 +Vprefix +p874 +tp875 +a(g138 +V} +tp876 +a(g6 +V\u000a\u000a +p877 +tp878 +a(g24 +V# Expanded directories\u000a +p879 +tp880 +a(g6 +V +tp881 +a(g100 +VBINDIR +p882 +tp883 +a(g353 +V= +tp884 +a(g6 +V +p885 +tp886 +a(g138 +V$( +p887 +tp888 +a(g6 +Vexec_prefix +p889 +tp890 +a(g138 +V) +tp891 +a(g6 +V/bin +p892 +tp893 +a(g6 +V\u000a +tp894 +a(g100 +VLIBDIR +p895 +tp896 +a(g353 +V= +tp897 +a(g6 +V +p898 +tp899 +a(g138 +V$( +p900 +tp901 +a(g6 +Vexec_prefix +p902 +tp903 +a(g138 +V) +tp904 +a(g6 +V/lib +p905 +tp906 +a(g6 +V\u000a +tp907 +a(g100 +VMANDIR +p908 +tp909 +a(g353 +V= +tp910 +a(g6 +V +p911 +tp912 +a(g138 +V${ +p913 +tp914 +a(g100 +Vprefix +p915 +tp916 +a(g138 +V} +tp917 +a(g6 +V/man +p918 +tp919 +a(g6 +V\u000a +tp920 +a(g100 +VINCLUDEDIR +p921 +tp922 +a(g353 +V= +tp923 +a(g6 +V +tp924 +a(g138 +V${ +p925 +tp926 +a(g100 +Vprefix +p927 +tp928 +a(g138 +V} +tp929 +a(g6 +V/include +p930 +tp931 +a(g6 +V\u000a +tp932 +a(g100 +VCONFINCLUDEDIR +p933 +tp934 +a(g353 +V= +tp935 +a(g6 +V +tp936 +a(g138 +V$( +p937 +tp938 +a(g6 +Vexec_prefix +p939 +tp940 +a(g138 +V) +tp941 +a(g6 +V/include +p942 +tp943 +a(g6 +V\u000a +tp944 +a(g100 +VSCRIPTDIR +p945 +tp946 +a(g353 +V= +tp947 +a(g6 +V +tp948 +a(g138 +V$( +p949 +tp950 +a(g6 +Vprefix +p951 +tp952 +a(g138 +V) +tp953 +a(g6 +V/lib +p954 +tp955 +a(g6 +V\u000a\u000a +p956 +tp957 +a(g24 +V# Detailed destination directories\u000a +p958 +tp959 +a(g6 +V +tp960 +a(g100 +VBINLIBDEST +p961 +tp962 +a(g353 +V= +tp963 +a(g6 +V +tp964 +a(g138 +V$( +p965 +tp966 +a(g6 +VLIBDIR +p967 +tp968 +a(g138 +V) +tp969 +a(g6 +V/python +p970 +tp971 +a(g138 +V$( +p972 +tp973 +a(g6 +VVERSION +p974 +tp975 +a(g138 +V) +tp976 +a(g6 +V\u000a +tp977 +a(g100 +VLIBDEST +p978 +tp979 +a(g353 +V= +tp980 +a(g6 +V +tp981 +a(g138 +V$( +p982 +tp983 +a(g6 +VSCRIPTDIR +p984 +tp985 +a(g138 +V) +tp986 +a(g6 +V/python +p987 +tp988 +a(g138 +V$( +p989 +tp990 +a(g6 +VVERSION +p991 +tp992 +a(g138 +V) +tp993 +a(g6 +V\u000a +tp994 +a(g100 +VINCLUDEPY +p995 +tp996 +a(g353 +V= +tp997 +a(g6 +V +tp998 +a(g138 +V$( +p999 +tp1000 +a(g6 +VINCLUDEDIR +p1001 +tp1002 +a(g138 +V) +tp1003 +a(g6 +V/python +p1004 +tp1005 +a(g138 +V$( +p1006 +tp1007 +a(g6 +VVERSION +p1008 +tp1009 +a(g138 +V) +tp1010 +a(g6 +V\u000a +tp1011 +a(g100 +VCONFINCLUDEPY +p1012 +tp1013 +a(g353 +V= +tp1014 +a(g6 +V +tp1015 +a(g138 +V$( +p1016 +tp1017 +a(g6 +VCONFINCLUDEDIR +p1018 +tp1019 +a(g138 +V) +tp1020 +a(g6 +V/python +p1021 +tp1022 +a(g138 +V$( +p1023 +tp1024 +a(g6 +VVERSION +p1025 +tp1026 +a(g138 +V) +tp1027 +a(g6 +V\u000a +tp1028 +a(g100 +VLIBP +p1029 +tp1030 +a(g353 +V= +tp1031 +a(g6 +V +p1032 +tp1033 +a(g138 +V$( +p1034 +tp1035 +a(g6 +VLIBDIR +p1036 +tp1037 +a(g138 +V) +tp1038 +a(g6 +V/python +p1039 +tp1040 +a(g138 +V$( +p1041 +tp1042 +a(g6 +VVERSION +p1043 +tp1044 +a(g138 +V) +tp1045 +a(g6 +V\u000a\u000a +p1046 +tp1047 +a(g24 +V# Symbols used for using shared libraries\u000a +p1048 +tp1049 +a(g6 +V +tp1050 +a(g100 +VSO +p1051 +tp1052 +a(g353 +V= +tp1053 +a(g6 +V +p1054 +tp1055 +a(g6 +V.so +p1056 +tp1057 +a(g6 +V\u000a +tp1058 +a(g100 +VLDSHARED +p1059 +tp1060 +a(g353 +V= +tp1061 +a(g6 +V +tp1062 +a(g138 +V$( +p1063 +tp1064 +a(g6 +VCC +p1065 +tp1066 +a(g138 +V) +tp1067 +a(g6 +V +tp1068 +a(g6 +V-shared +p1069 +tp1070 +a(g6 +V\u000a +tp1071 +a(g100 +VBLDSHARED +p1072 +tp1073 +a(g353 +V= +tp1074 +a(g6 +V +tp1075 +a(g138 +V$( +p1076 +tp1077 +a(g6 +VCC +p1078 +tp1079 +a(g138 +V) +tp1080 +a(g6 +V +tp1081 +a(g6 +V-shared +p1082 +tp1083 +a(g6 +V\u000a +tp1084 +a(g100 +VDESTSHARED +p1085 +tp1086 +a(g353 +V= +tp1087 +a(g6 +V +tp1088 +a(g138 +V$( +p1089 +tp1090 +a(g6 +VBINLIBDEST +p1091 +tp1092 +a(g138 +V) +tp1093 +a(g6 +V/lib-dynload +p1094 +tp1095 +a(g6 +V\u000a\u000a +p1096 +tp1097 +a(g24 +V# Executable suffix (.exe on Windows and Mac OS X)\u000a +p1098 +tp1099 +a(g6 +V +tp1100 +a(g100 +VEXE +p1101 +tp1102 +a(g353 +V= +tp1103 +a(g6 +V \u000a +p1104 +tp1105 +a(g100 +VBUILDEXE +p1106 +tp1107 +a(g353 +V= +tp1108 +a(g6 +V \u000a\u000a +p1109 +tp1110 +a(g24 +V# Short name and location for Mac OS X Python framework\u000a +p1111 +tp1112 +a(g6 +V +tp1113 +a(g100 +VUNIVERSALSDK +p1114 +tp1115 +a(g353 +V= +tp1116 +a(g6 +V\u000a +tp1117 +a(g100 +VPYTHONFRAMEWORK +p1118 +tp1119 +a(g353 +V= +tp1120 +a(g6 +V \u000a +p1121 +tp1122 +a(g100 +VPYTHONFRAMEWORKDIR +p1123 +tp1124 +a(g353 +V= +tp1125 +a(g6 +V +tp1126 +a(g6 +Vno-framework +p1127 +tp1128 +a(g6 +V\u000a +tp1129 +a(g100 +VPYTHONFRAMEWORKPREFIX +p1130 +tp1131 +a(g353 +V= +tp1132 +a(g6 +V \u000a +p1133 +tp1134 +a(g100 +VPYTHONFRAMEWORKINSTALLDIR +p1135 +tp1136 +a(g353 +V= +tp1137 +a(g6 +V \u000a +p1138 +tp1139 +a(g24 +V# Deployment target selected during configure, to be checked\u000a +p1140 +tp1141 +a(g6 +V +tp1142 +a(g24 +V# by distutils. The export statement is needed to ensure that the\u000a +p1143 +tp1144 +a(g6 +V +tp1145 +a(g24 +V# deployment target is active during build.\u000a +p1146 +tp1147 +a(g6 +V +tp1148 +a(g100 +VMACOSX_DEPLOYMENT_TARGET +p1149 +tp1150 +a(g353 +V= +tp1151 +a(g6 +V\u000a +tp1152 +a(g24 +V#export MACOSX_DEPLOYMENT_TARGET\u000a +p1153 +tp1154 +a(g6 +V\u000a +tp1155 +a(g24 +V# Options to enable prebinding (for fast startup prior to Mac OS X 10.3)\u000a +p1156 +tp1157 +a(g6 +V +tp1158 +a(g100 +VOTHER_LIBTOOL_OPT +p1159 +tp1160 +a(g353 +V= +tp1161 +a(g6 +V\u000a\u000a +p1162 +tp1163 +a(g24 +V# Environment to run shared python without installed libraries\u000a +p1164 +tp1165 +a(g6 +V +tp1166 +a(g100 +VRUNSHARED +p1167 +tp1168 +a(g353 +V= +tp1169 +a(g6 +V \u000a\u000a +p1170 +tp1171 +a(g24 +V# Modes for directories, executables and data files created by the\u000a +p1172 +tp1173 +a(g6 +V +tp1174 +a(g24 +V# install process. Default to user-only-writable for all file types.\u000a +p1175 +tp1176 +a(g6 +V +tp1177 +a(g100 +VDIRMODE +p1178 +tp1179 +a(g353 +V= +tp1180 +a(g6 +V +tp1181 +a(g6 +V755 +p1182 +tp1183 +a(g6 +V\u000a +tp1184 +a(g100 +VEXEMODE +p1185 +tp1186 +a(g353 +V= +tp1187 +a(g6 +V +tp1188 +a(g6 +V755 +p1189 +tp1190 +a(g6 +V\u000a +tp1191 +a(g100 +VFILEMODE +p1192 +tp1193 +a(g353 +V= +tp1194 +a(g6 +V +tp1195 +a(g6 +V644 +p1196 +tp1197 +a(g6 +V\u000a\u000a +p1198 +tp1199 +a(g24 +V# configure script arguments\u000a +p1200 +tp1201 +a(g6 +V +tp1202 +a(g100 +VCONFIG_ARGS +p1203 +tp1204 +a(g353 +V= +tp1205 +a(g6 +V +tp1206 +a(g281 +V'--with-pydebug' +p1207 +tp1208 +a(g6 +V\u000a\u000a\u000a +p1209 +tp1210 +a(g24 +V# Subdirectories with code\u000a +p1211 +tp1212 +a(g6 +V +tp1213 +a(g100 +VSRCDIRS +p1214 +tp1215 +a(g353 +V= +tp1216 +a(g6 +V +p1217 +tp1218 +a(g6 +VParser +p1219 +tp1220 +a(g6 +V +tp1221 +a(g6 +VGrammar +p1222 +tp1223 +a(g6 +V +tp1224 +a(g6 +VObjects +p1225 +tp1226 +a(g6 +V +tp1227 +a(g6 +VPython +p1228 +tp1229 +a(g6 +V +tp1230 +a(g6 +VModules +p1231 +tp1232 +a(g6 +V +tp1233 +a(g6 +VMac +p1234 +tp1235 +a(g6 +V\u000a\u000a +p1236 +tp1237 +a(g24 +V# Other subdirectories\u000a +p1238 +tp1239 +a(g6 +V +tp1240 +a(g100 +VSUBDIRSTOO +p1241 +tp1242 +a(g353 +V= +tp1243 +a(g6 +V +tp1244 +a(g6 +VInclude +p1245 +tp1246 +a(g6 +V +tp1247 +a(g6 +VLib +p1248 +tp1249 +a(g6 +V +tp1250 +a(g6 +VMisc +p1251 +tp1252 +a(g6 +V +tp1253 +a(g6 +VDemo +p1254 +tp1255 +a(g6 +V\u000a\u000a +p1256 +tp1257 +a(g24 +V# Files and directories to be distributed\u000a +p1258 +tp1259 +a(g6 +V +tp1260 +a(g100 +VCONFIGFILES +p1261 +tp1262 +a(g353 +V= +tp1263 +a(g6 +V +tp1264 +a(g6 +Vconfigure +p1265 +tp1266 +a(g6 +V +tp1267 +a(g6 +Vconfigure.in +p1268 +tp1269 +a(g6 +V +tp1270 +a(g6 +Vacconfig.h +p1271 +tp1272 +a(g6 +V +tp1273 +a(g6 +Vpyconfig.h.in +p1274 +tp1275 +a(g6 +V +tp1276 +a(g6 +VMakefile.pre.in +p1277 +tp1278 +a(g6 +V\u000a +tp1279 +a(g100 +VDISTFILES +p1280 +tp1281 +a(g353 +V= +tp1282 +a(g6 +V +tp1283 +a(g6 +VREADME +p1284 +tp1285 +a(g6 +V +tp1286 +a(g6 +VChangeLog +p1287 +tp1288 +a(g6 +V +tp1289 +a(g138 +V$( +p1290 +tp1291 +a(g6 +VCONFIGFILES +p1292 +tp1293 +a(g138 +V) +tp1294 +a(g6 +V\u000a +tp1295 +a(g100 +VDISTDIRS +p1296 +tp1297 +a(g353 +V= +tp1298 +a(g6 +V +tp1299 +a(g138 +V$( +p1300 +tp1301 +a(g6 +VSUBDIRS +p1302 +tp1303 +a(g138 +V) +tp1304 +a(g6 +V +tp1305 +a(g138 +V$( +p1306 +tp1307 +a(g6 +VSUBDIRSTOO +p1308 +tp1309 +a(g138 +V) +tp1310 +a(g6 +V +tp1311 +a(g6 +VExt-dummy +p1312 +tp1313 +a(g6 +V\u000a +tp1314 +a(g100 +VDIST +p1315 +tp1316 +a(g353 +V= +tp1317 +a(g6 +V +p1318 +tp1319 +a(g138 +V$( +p1320 +tp1321 +a(g6 +VDISTFILES +p1322 +tp1323 +a(g138 +V) +tp1324 +a(g6 +V +tp1325 +a(g138 +V$( +p1326 +tp1327 +a(g6 +VDISTDIRS +p1328 +tp1329 +a(g138 +V) +tp1330 +a(g6 +V\u000a\u000a\u000a +p1331 +tp1332 +a(g100 +VLIBRARY +p1333 +tp1334 +a(g353 +V= +tp1335 +a(g6 +V +tp1336 +a(g6 +Vlibpython +p1337 +tp1338 +a(g138 +V$( +p1339 +tp1340 +a(g6 +VVERSION +p1341 +tp1342 +a(g138 +V) +tp1343 +a(g6 +V.a +p1344 +tp1345 +a(g6 +V\u000a +tp1346 +a(g100 +VLDLIBRARY +p1347 +tp1348 +a(g353 +V= +tp1349 +a(g6 +V +p1350 +tp1351 +a(g6 +Vlibpython +p1352 +tp1353 +a(g138 +V$( +p1354 +tp1355 +a(g6 +VVERSION +p1356 +tp1357 +a(g138 +V) +tp1358 +a(g6 +V.a +p1359 +tp1360 +a(g6 +V\u000a +tp1361 +a(g100 +VBLDLIBRARY +p1362 +tp1363 +a(g353 +V= +tp1364 +a(g6 +V +p1365 +tp1366 +a(g138 +V$( +p1367 +tp1368 +a(g6 +VLDLIBRARY +p1369 +tp1370 +a(g138 +V) +tp1371 +a(g6 +V\u000a +tp1372 +a(g100 +VDLLLIBRARY +p1373 +tp1374 +a(g353 +V= +tp1375 +a(g6 +V \u000a +p1376 +tp1377 +a(g100 +VLDLIBRARYDIR +p1378 +tp1379 +a(g353 +V= +tp1380 +a(g6 +V \u000a +p1381 +tp1382 +a(g100 +VINSTSONAME +p1383 +tp1384 +a(g353 +V= +tp1385 +a(g6 +V +tp1386 +a(g138 +V$( +p1387 +tp1388 +a(g6 +VLDLIBRARY +p1389 +tp1390 +a(g138 +V) +tp1391 +a(g6 +V\u000a\u000a\u000a +p1392 +tp1393 +a(g100 +VLIBS +p1394 +tp1395 +a(g353 +V= +tp1396 +a(g6 +V +p1397 +tp1398 +a(g6 +V-lpthread +p1399 +tp1400 +a(g6 +V +tp1401 +a(g6 +V-ldl +p1402 +tp1403 +a(g6 +V +p1404 +tp1405 +a(g6 +V-lutil +p1406 +tp1407 +a(g6 +V\u000a +tp1408 +a(g100 +VLIBM +p1409 +tp1410 +a(g353 +V= +tp1411 +a(g6 +V +p1412 +tp1413 +a(g6 +V-lm +p1414 +tp1415 +a(g6 +V\u000a +tp1416 +a(g100 +VLIBC +p1417 +tp1418 +a(g353 +V= +tp1419 +a(g6 +V \u000a +p1420 +tp1421 +a(g100 +VSYSLIBS +p1422 +tp1423 +a(g353 +V= +tp1424 +a(g6 +V +tp1425 +a(g138 +V$( +p1426 +tp1427 +a(g6 +VLIBM +p1428 +tp1429 +a(g138 +V) +tp1430 +a(g6 +V +tp1431 +a(g138 +V$( +p1432 +tp1433 +a(g6 +VLIBC +p1434 +tp1435 +a(g138 +V) +tp1436 +a(g6 +V\u000a +tp1437 +a(g100 +VSHLIBS +p1438 +tp1439 +a(g353 +V= +tp1440 +a(g6 +V +p1441 +tp1442 +a(g138 +V$( +p1443 +tp1444 +a(g6 +VLIBS +p1445 +tp1446 +a(g138 +V) +tp1447 +a(g6 +V\u000a\u000a +p1448 +tp1449 +a(g100 +VTHREADOBJ +p1450 +tp1451 +a(g353 +V= +tp1452 +a(g6 +V +tp1453 +a(g6 +VPython/thread.o +p1454 +tp1455 +a(g6 +V\u000a +tp1456 +a(g100 +VDLINCLDIR +p1457 +tp1458 +a(g353 +V= +tp1459 +a(g6 +V +tp1460 +a(g6 +V. +tp1461 +a(g6 +V\u000a +tp1462 +a(g100 +VDYNLOADFILE +p1463 +tp1464 +a(g353 +V= +tp1465 +a(g6 +V +tp1466 +a(g6 +Vdynload_shlib.o +p1467 +tp1468 +a(g6 +V\u000a +tp1469 +a(g100 +VMACHDEP_OBJS +p1470 +tp1471 +a(g353 +V= +tp1472 +a(g6 +V \u000a +p1473 +tp1474 +a(g100 +VUNICODE_OBJS +p1475 +tp1476 +a(g353 +V= +tp1477 +a(g6 +V +p1478 +tp1479 +a(g6 +VObjects/unicodeobject.o +p1480 +tp1481 +a(g6 +V +tp1482 +a(g6 +VObjects/unicodectype.o +p1483 +tp1484 +a(g6 +V\u000a\u000a +p1485 +tp1486 +a(g100 +VPYTHON +p1487 +tp1488 +a(g353 +V= +tp1489 +a(g6 +V +p1490 +tp1491 +a(g6 +Vpython +p1492 +tp1493 +a(g138 +V$( +p1494 +tp1495 +a(g6 +VEXE +p1496 +tp1497 +a(g138 +V) +tp1498 +a(g6 +V\u000a +tp1499 +a(g100 +VBUILDPYTHON +p1500 +tp1501 +a(g353 +V= +tp1502 +a(g6 +V +tp1503 +a(g6 +Vpython +p1504 +tp1505 +a(g138 +V$( +p1506 +tp1507 +a(g6 +VBUILDEXE +p1508 +tp1509 +a(g138 +V) +tp1510 +a(g6 +V\u000a\u000a +p1511 +tp1512 +a(g24 +V# === Definitions added by makesetup ===\u000a +p1513 +tp1514 +a(g6 +V\u000a +tp1515 +a(g100 +VLOCALMODLIBS +p1516 +tp1517 +a(g353 +V= +tp1518 +a(g6 +V \u000a +p1519 +tp1520 +a(g100 +VBASEMODLIBS +p1521 +tp1522 +a(g353 +V= +tp1523 +a(g6 +V\u000a +tp1524 +a(g100 +VGLHACK +p1525 +tp1526 +a(g353 +V= +tp1527 +a(g6 +V-Dclear +p1528 +tp1529 +a(g353 +V= +tp1530 +a(g6 +V__GLclear +p1531 +tp1532 +a(g6 +V\u000a +tp1533 +a(g100 +VPYTHONPATH +p1534 +tp1535 +a(g353 +V= +tp1536 +a(g138 +V$( +p1537 +tp1538 +a(g6 +VCOREPYTHONPATH +p1539 +tp1540 +a(g138 +V) +tp1541 +a(g6 +V\u000a +tp1542 +a(g100 +VCOREPYTHONPATH +p1543 +tp1544 +a(g353 +V= +tp1545 +a(g138 +V$( +p1546 +tp1547 +a(g6 +VDESTPATH +p1548 +tp1549 +a(g138 +V) +tp1550 +a(g138 +V$( +p1551 +tp1552 +a(g6 +VSITEPATH +p1553 +tp1554 +a(g138 +V) +tp1555 +a(g138 +V$( +p1556 +tp1557 +a(g6 +VTESTPATH +p1558 +tp1559 +a(g138 +V) +tp1560 +a(g138 +V$( +p1561 +tp1562 +a(g6 +VMACHDEPPATH +p1563 +tp1564 +a(g138 +V) +tp1565 +a(g138 +V$( +p1566 +tp1567 +a(g6 +VEXTRAMACHDEPPATH +p1568 +tp1569 +a(g138 +V) +tp1570 +a(g138 +V$( +p1571 +tp1572 +a(g6 +VTKPATH +p1573 +tp1574 +a(g138 +V) +tp1575 +a(g6 +V\u000a +tp1576 +a(g100 +VTKPATH +p1577 +tp1578 +a(g353 +V= +tp1579 +a(g6 +V:lib-tk +p1580 +tp1581 +a(g6 +V\u000a +tp1582 +a(g100 +VEXTRAMACHDEPPATH +p1583 +tp1584 +a(g353 +V= +tp1585 +a(g6 +V\u000a +tp1586 +a(g100 +VMACHDEPPATH +p1587 +tp1588 +a(g353 +V= +tp1589 +a(g6 +V:plat- +p1590 +tp1591 +a(g138 +V$( +p1592 +tp1593 +a(g6 +VMACHDEP +p1594 +tp1595 +a(g138 +V) +tp1596 +a(g6 +V\u000a +tp1597 +a(g100 +VTESTPATH +p1598 +tp1599 +a(g353 +V= +tp1600 +a(g6 +V\u000a +tp1601 +a(g100 +VSITEPATH +p1602 +tp1603 +a(g353 +V= +tp1604 +a(g6 +V\u000a +tp1605 +a(g100 +VDESTPATH +p1606 +tp1607 +a(g353 +V= +tp1608 +a(g6 +V\u000a +tp1609 +a(g100 +VMACHDESTLIB +p1610 +tp1611 +a(g353 +V= +tp1612 +a(g138 +V$( +p1613 +tp1614 +a(g6 +VBINLIBDEST +p1615 +tp1616 +a(g138 +V) +tp1617 +a(g6 +V\u000a +tp1618 +a(g100 +VDESTLIB +p1619 +tp1620 +a(g353 +V= +tp1621 +a(g138 +V$( +p1622 +tp1623 +a(g6 +VLIBDEST +p1624 +tp1625 +a(g138 +V) +tp1626 +a(g6 +V\u000a\u000a\u000a\u000a +p1627 +tp1628 +a(g24 +V##########################################################################\u000a +p1629 +tp1630 +a(g6 +V +tp1631 +a(g24 +V# Modules\u000a +p1632 +tp1633 +a(g6 +V +tp1634 +a(g100 +VMODULE_OBJS +p1635 +tp1636 +a(g353 +V= +tp1637 +a(g6 +V +tp1638 +a(g262 +V\u005c\u000a +p1639 +tp1640 +a(g6 +V +p1641 +tp1642 +a(g6 +VModules/config.o +p1643 +tp1644 +a(g6 +V +tp1645 +a(g262 +V\u005c\u000a +p1646 +tp1647 +a(g6 +V +p1648 +tp1649 +a(g6 +VModules/getpath.o +p1650 +tp1651 +a(g6 +V +tp1652 +a(g262 +V\u005c\u000a +p1653 +tp1654 +a(g6 +V +p1655 +tp1656 +a(g6 +VModules/main.o +p1657 +tp1658 +a(g6 +V +tp1659 +a(g262 +V\u005c\u000a +p1660 +tp1661 +a(g6 +V +p1662 +tp1663 +a(g6 +VModules/gcmodule.o +p1664 +tp1665 +a(g6 +V\u000a\u000a +p1666 +tp1667 +a(g24 +V# Used of signalmodule.o is not available\u000a +p1668 +tp1669 +a(g6 +V +tp1670 +a(g100 +VSIGNAL_OBJS +p1671 +tp1672 +a(g353 +V= +tp1673 +a(g6 +V \u000a\u000a\u000a +p1674 +tp1675 +a(g24 +V##########################################################################\u000a +p1676 +tp1677 +a(g6 +V +tp1678 +a(g24 +V# Grammar\u000a +p1679 +tp1680 +a(g6 +V +tp1681 +a(g100 +VGRAMMAR_H +p1682 +tp1683 +a(g353 +V= +tp1684 +a(g6 +V +tp1685 +a(g138 +V$( +p1686 +tp1687 +a(g6 +Vsrcdir +p1688 +tp1689 +a(g138 +V) +tp1690 +a(g6 +V/Include/graminit.h +p1691 +tp1692 +a(g6 +V\u000a +tp1693 +a(g100 +VGRAMMAR_C +p1694 +tp1695 +a(g353 +V= +tp1696 +a(g6 +V +tp1697 +a(g138 +V$( +p1698 +tp1699 +a(g6 +Vsrcdir +p1700 +tp1701 +a(g138 +V) +tp1702 +a(g6 +V/Python/graminit.c +p1703 +tp1704 +a(g6 +V\u000a +tp1705 +a(g100 +VGRAMMAR_INPUT +p1706 +tp1707 +a(g353 +V= +tp1708 +a(g6 +V +tp1709 +a(g138 +V$( +p1710 +tp1711 +a(g6 +Vsrcdir +p1712 +tp1713 +a(g138 +V) +tp1714 +a(g6 +V/Grammar/Grammar +p1715 +tp1716 +a(g6 +V\u000a\u000a\u000a +p1717 +tp1718 +a(g24 +V##########################################################################\u000a +p1719 +tp1720 +a(g6 +V +tp1721 +a(g24 +V# Parser\u000a +p1722 +tp1723 +a(g6 +V +tp1724 +a(g100 +VPGEN +p1725 +tp1726 +a(g353 +V= +tp1727 +a(g6 +V +p1728 +tp1729 +a(g6 +VParser/pgen +p1730 +tp1731 +a(g138 +V$( +p1732 +tp1733 +a(g6 +VEXE +p1734 +tp1735 +a(g138 +V) +tp1736 +a(g6 +V\u000a\u000a +p1737 +tp1738 +a(g100 +VPOBJS +p1739 +tp1740 +a(g353 +V= +tp1741 +a(g6 +V +p1742 +tp1743 +a(g262 +V\u005c\u000a +p1744 +tp1745 +a(g6 +V +p1746 +tp1747 +a(g6 +VParser/acceler.o +p1748 +tp1749 +a(g6 +V +tp1750 +a(g262 +V\u005c\u000a +p1751 +tp1752 +a(g6 +V +p1753 +tp1754 +a(g6 +VParser/grammar1.o +p1755 +tp1756 +a(g6 +V +tp1757 +a(g262 +V\u005c\u000a +p1758 +tp1759 +a(g6 +V +p1760 +tp1761 +a(g6 +VParser/listnode.o +p1762 +tp1763 +a(g6 +V +tp1764 +a(g262 +V\u005c\u000a +p1765 +tp1766 +a(g6 +V +p1767 +tp1768 +a(g6 +VParser/node.o +p1769 +tp1770 +a(g6 +V +tp1771 +a(g262 +V\u005c\u000a +p1772 +tp1773 +a(g6 +V +p1774 +tp1775 +a(g6 +VParser/parser.o +p1776 +tp1777 +a(g6 +V +tp1778 +a(g262 +V\u005c\u000a +p1779 +tp1780 +a(g6 +V +p1781 +tp1782 +a(g6 +VParser/parsetok.o +p1783 +tp1784 +a(g6 +V +tp1785 +a(g262 +V\u005c\u000a +p1786 +tp1787 +a(g6 +V +p1788 +tp1789 +a(g6 +VParser/bitset.o +p1790 +tp1791 +a(g6 +V +tp1792 +a(g262 +V\u005c\u000a +p1793 +tp1794 +a(g6 +V +p1795 +tp1796 +a(g6 +VParser/metagrammar.o +p1797 +tp1798 +a(g6 +V +tp1799 +a(g262 +V\u005c\u000a +p1800 +tp1801 +a(g6 +V +p1802 +tp1803 +a(g6 +VParser/firstsets.o +p1804 +tp1805 +a(g6 +V +tp1806 +a(g262 +V\u005c\u000a +p1807 +tp1808 +a(g6 +V +p1809 +tp1810 +a(g6 +VParser/grammar.o +p1811 +tp1812 +a(g6 +V +tp1813 +a(g262 +V\u005c\u000a +p1814 +tp1815 +a(g6 +V +p1816 +tp1817 +a(g6 +VParser/pgen.o +p1818 +tp1819 +a(g6 +V\u000a\u000a +p1820 +tp1821 +a(g100 +VPARSER_OBJS +p1822 +tp1823 +a(g353 +V= +tp1824 +a(g6 +V +tp1825 +a(g138 +V$( +p1826 +tp1827 +a(g6 +VPOBJS +p1828 +tp1829 +a(g138 +V) +tp1830 +a(g6 +V +tp1831 +a(g6 +VParser/myreadline.o +p1832 +tp1833 +a(g6 +V +tp1834 +a(g6 +VParser/tokenizer.o +p1835 +tp1836 +a(g6 +V\u000a\u000a +p1837 +tp1838 +a(g100 +VPGOBJS +p1839 +tp1840 +a(g353 +V= +tp1841 +a(g6 +V +p1842 +tp1843 +a(g262 +V\u005c\u000a +p1844 +tp1845 +a(g6 +V +p1846 +tp1847 +a(g6 +VObjects/obmalloc.o +p1848 +tp1849 +a(g6 +V +tp1850 +a(g262 +V\u005c\u000a +p1851 +tp1852 +a(g6 +V +p1853 +tp1854 +a(g6 +VPython/mysnprintf.o +p1855 +tp1856 +a(g6 +V +tp1857 +a(g262 +V\u005c\u000a +p1858 +tp1859 +a(g6 +V +p1860 +tp1861 +a(g6 +VParser/tokenizer_pgen.o +p1862 +tp1863 +a(g6 +V +tp1864 +a(g262 +V\u005c\u000a +p1865 +tp1866 +a(g6 +V +p1867 +tp1868 +a(g6 +VParser/printgrammar.o +p1869 +tp1870 +a(g6 +V +tp1871 +a(g262 +V\u005c\u000a +p1872 +tp1873 +a(g6 +V +p1874 +tp1875 +a(g6 +VParser/pgenmain.o +p1876 +tp1877 +a(g6 +V\u000a\u000a +p1878 +tp1879 +a(g100 +VPGENOBJS +p1880 +tp1881 +a(g353 +V= +tp1882 +a(g6 +V +tp1883 +a(g138 +V$( +p1884 +tp1885 +a(g6 +VPGENMAIN +p1886 +tp1887 +a(g138 +V) +tp1888 +a(g6 +V +tp1889 +a(g138 +V$( +p1890 +tp1891 +a(g6 +VPOBJS +p1892 +tp1893 +a(g138 +V) +tp1894 +a(g6 +V +tp1895 +a(g138 +V$( +p1896 +tp1897 +a(g6 +VPGOBJS +p1898 +tp1899 +a(g138 +V) +tp1900 +a(g6 +V\u000a\u000a +p1901 +tp1902 +a(g24 +V##########################################################################\u000a +p1903 +tp1904 +a(g6 +V +tp1905 +a(g24 +V# AST\u000a +p1906 +tp1907 +a(g6 +V +tp1908 +a(g100 +VAST_H_DIR +p1909 +tp1910 +a(g353 +V= +tp1911 +a(g6 +V +tp1912 +a(g138 +V$( +p1913 +tp1914 +a(g6 +Vsrcdir +p1915 +tp1916 +a(g138 +V) +tp1917 +a(g6 +V/Include +p1918 +tp1919 +a(g6 +V\u000a +tp1920 +a(g100 +VAST_H +p1921 +tp1922 +a(g353 +V= +tp1923 +a(g6 +V +p1924 +tp1925 +a(g138 +V$( +p1926 +tp1927 +a(g6 +VAST_H_DIR +p1928 +tp1929 +a(g138 +V) +tp1930 +a(g6 +V/Python-ast.h +p1931 +tp1932 +a(g6 +V\u000a +tp1933 +a(g100 +VAST_C_DIR +p1934 +tp1935 +a(g353 +V= +tp1936 +a(g6 +V +tp1937 +a(g138 +V$( +p1938 +tp1939 +a(g6 +Vsrcdir +p1940 +tp1941 +a(g138 +V) +tp1942 +a(g6 +V/Python +p1943 +tp1944 +a(g6 +V\u000a +tp1945 +a(g100 +VAST_C +p1946 +tp1947 +a(g353 +V= +tp1948 +a(g6 +V +p1949 +tp1950 +a(g138 +V$( +p1951 +tp1952 +a(g6 +VAST_C_DIR +p1953 +tp1954 +a(g138 +V) +tp1955 +a(g6 +V/Python-ast.c +p1956 +tp1957 +a(g6 +V\u000a +tp1958 +a(g100 +VAST_ASDL +p1959 +tp1960 +a(g353 +V= +tp1961 +a(g6 +V +tp1962 +a(g138 +V$( +p1963 +tp1964 +a(g6 +Vsrcdir +p1965 +tp1966 +a(g138 +V) +tp1967 +a(g6 +V/Parser/Python.asdl +p1968 +tp1969 +a(g6 +V\u000a\u000a +p1970 +tp1971 +a(g100 +VASDLGEN_FILES +p1972 +tp1973 +a(g353 +V= +tp1974 +a(g6 +V +tp1975 +a(g138 +V$( +p1976 +tp1977 +a(g6 +Vsrcdir +p1978 +tp1979 +a(g138 +V) +tp1980 +a(g6 +V/Parser/asdl.py +p1981 +tp1982 +a(g6 +V +tp1983 +a(g138 +V$( +p1984 +tp1985 +a(g6 +Vsrcdir +p1986 +tp1987 +a(g138 +V) +tp1988 +a(g6 +V/Parser/asdl_c.py +p1989 +tp1990 +a(g6 +V\u000a +tp1991 +a(g24 +V# XXX Note that a build now requires Python exist before the build starts\u000a +p1992 +tp1993 +a(g6 +V +tp1994 +a(g100 +VASDLGEN +p1995 +tp1996 +a(g353 +V= +tp1997 +a(g6 +V +tp1998 +a(g138 +V$( +p1999 +tp2000 +a(g6 +Vsrcdir +p2001 +tp2002 +a(g138 +V) +tp2003 +a(g6 +V/Parser/asdl_c.py +p2004 +tp2005 +a(g6 +V\u000a\u000a +p2006 +tp2007 +a(g24 +V##########################################################################\u000a +p2008 +tp2009 +a(g6 +V +tp2010 +a(g24 +V# Python\u000a +p2011 +tp2012 +a(g6 +V +tp2013 +a(g100 +VPYTHON_OBJS +p2014 +tp2015 +a(g353 +V= +tp2016 +a(g6 +V +tp2017 +a(g262 +V\u005c\u000a +p2018 +tp2019 +a(g6 +V +p2020 +tp2021 +a(g6 +VPython/Python-ast.o +p2022 +tp2023 +a(g6 +V +tp2024 +a(g262 +V\u005c\u000a +p2025 +tp2026 +a(g6 +V +p2027 +tp2028 +a(g6 +VPython/asdl.o +p2029 +tp2030 +a(g6 +V +tp2031 +a(g262 +V\u005c\u000a +p2032 +tp2033 +a(g6 +V +p2034 +tp2035 +a(g6 +VPython/ast.o +p2036 +tp2037 +a(g6 +V +tp2038 +a(g262 +V\u005c\u000a +p2039 +tp2040 +a(g6 +V +p2041 +tp2042 +a(g6 +VPython/bltinmodule.o +p2043 +tp2044 +a(g6 +V +tp2045 +a(g262 +V\u005c\u000a +p2046 +tp2047 +a(g6 +V +p2048 +tp2049 +a(g6 +VPython/ceval.o +p2050 +tp2051 +a(g6 +V +tp2052 +a(g262 +V\u005c\u000a +p2053 +tp2054 +a(g6 +V +p2055 +tp2056 +a(g6 +VPython/compile.o +p2057 +tp2058 +a(g6 +V +tp2059 +a(g262 +V\u005c\u000a +p2060 +tp2061 +a(g6 +V +p2062 +tp2063 +a(g6 +VPython/codecs.o +p2064 +tp2065 +a(g6 +V +tp2066 +a(g262 +V\u005c\u000a +p2067 +tp2068 +a(g6 +V +p2069 +tp2070 +a(g6 +VPython/errors.o +p2071 +tp2072 +a(g6 +V +tp2073 +a(g262 +V\u005c\u000a +p2074 +tp2075 +a(g6 +V +p2076 +tp2077 +a(g6 +VPython/frozen.o +p2078 +tp2079 +a(g6 +V +tp2080 +a(g262 +V\u005c\u000a +p2081 +tp2082 +a(g6 +V +p2083 +tp2084 +a(g6 +VPython/frozenmain.o +p2085 +tp2086 +a(g6 +V +tp2087 +a(g262 +V\u005c\u000a +p2088 +tp2089 +a(g6 +V +p2090 +tp2091 +a(g6 +VPython/future.o +p2092 +tp2093 +a(g6 +V +tp2094 +a(g262 +V\u005c\u000a +p2095 +tp2096 +a(g6 +V +p2097 +tp2098 +a(g6 +VPython/getargs.o +p2099 +tp2100 +a(g6 +V +tp2101 +a(g262 +V\u005c\u000a +p2102 +tp2103 +a(g6 +V +p2104 +tp2105 +a(g6 +VPython/getcompiler.o +p2106 +tp2107 +a(g6 +V +tp2108 +a(g262 +V\u005c\u000a +p2109 +tp2110 +a(g6 +V +p2111 +tp2112 +a(g6 +VPython/getcopyright.o +p2113 +tp2114 +a(g6 +V +tp2115 +a(g262 +V\u005c\u000a +p2116 +tp2117 +a(g6 +V +p2118 +tp2119 +a(g6 +VPython/getmtime.o +p2120 +tp2121 +a(g6 +V +tp2122 +a(g262 +V\u005c\u000a +p2123 +tp2124 +a(g6 +V +p2125 +tp2126 +a(g6 +VPython/getplatform.o +p2127 +tp2128 +a(g6 +V +tp2129 +a(g262 +V\u005c\u000a +p2130 +tp2131 +a(g6 +V +p2132 +tp2133 +a(g6 +VPython/getversion.o +p2134 +tp2135 +a(g6 +V +tp2136 +a(g262 +V\u005c\u000a +p2137 +tp2138 +a(g6 +V +p2139 +tp2140 +a(g6 +VPython/graminit.o +p2141 +tp2142 +a(g6 +V +tp2143 +a(g262 +V\u005c\u000a +p2144 +tp2145 +a(g6 +V +p2146 +tp2147 +a(g6 +VPython/import.o +p2148 +tp2149 +a(g6 +V +tp2150 +a(g262 +V\u005c\u000a +p2151 +tp2152 +a(g6 +V +p2153 +tp2154 +a(g6 +VPython/importdl.o +p2155 +tp2156 +a(g6 +V +tp2157 +a(g262 +V\u005c\u000a +p2158 +tp2159 +a(g6 +V +p2160 +tp2161 +a(g6 +VPython/marshal.o +p2162 +tp2163 +a(g6 +V +tp2164 +a(g262 +V\u005c\u000a +p2165 +tp2166 +a(g6 +V +p2167 +tp2168 +a(g6 +VPython/modsupport.o +p2169 +tp2170 +a(g6 +V +tp2171 +a(g262 +V\u005c\u000a +p2172 +tp2173 +a(g6 +V +p2174 +tp2175 +a(g6 +VPython/mystrtoul.o +p2176 +tp2177 +a(g6 +V +tp2178 +a(g262 +V\u005c\u000a +p2179 +tp2180 +a(g6 +V +p2181 +tp2182 +a(g6 +VPython/mysnprintf.o +p2183 +tp2184 +a(g6 +V +tp2185 +a(g262 +V\u005c\u000a +p2186 +tp2187 +a(g6 +V +p2188 +tp2189 +a(g6 +VPython/peephole.o +p2190 +tp2191 +a(g6 +V +tp2192 +a(g262 +V\u005c\u000a +p2193 +tp2194 +a(g6 +V +p2195 +tp2196 +a(g6 +VPython/pyarena.o +p2197 +tp2198 +a(g6 +V +tp2199 +a(g262 +V\u005c\u000a +p2200 +tp2201 +a(g6 +V +p2202 +tp2203 +a(g6 +VPython/pyfpe.o +p2204 +tp2205 +a(g6 +V +tp2206 +a(g262 +V\u005c\u000a +p2207 +tp2208 +a(g6 +V +p2209 +tp2210 +a(g6 +VPython/pystate.o +p2211 +tp2212 +a(g6 +V +tp2213 +a(g262 +V\u005c\u000a +p2214 +tp2215 +a(g6 +V +p2216 +tp2217 +a(g6 +VPython/pythonrun.o +p2218 +tp2219 +a(g6 +V +tp2220 +a(g262 +V\u005c\u000a +p2221 +tp2222 +a(g6 +V +p2223 +tp2224 +a(g6 +VPython/structmember.o +p2225 +tp2226 +a(g6 +V +tp2227 +a(g262 +V\u005c\u000a +p2228 +tp2229 +a(g6 +V +p2230 +tp2231 +a(g6 +VPython/symtable.o +p2232 +tp2233 +a(g6 +V +tp2234 +a(g262 +V\u005c\u000a +p2235 +tp2236 +a(g6 +V +p2237 +tp2238 +a(g6 +VPython/sysmodule.o +p2239 +tp2240 +a(g6 +V +tp2241 +a(g262 +V\u005c\u000a +p2242 +tp2243 +a(g6 +V +p2244 +tp2245 +a(g6 +VPython/traceback.o +p2246 +tp2247 +a(g6 +V +tp2248 +a(g262 +V\u005c\u000a +p2249 +tp2250 +a(g6 +V +p2251 +tp2252 +a(g6 +VPython/getopt.o +p2253 +tp2254 +a(g6 +V +tp2255 +a(g262 +V\u005c\u000a +p2256 +tp2257 +a(g6 +V +p2258 +tp2259 +a(g6 +VPython/pystrtod.o +p2260 +tp2261 +a(g6 +V +tp2262 +a(g262 +V\u005c\u000a +p2263 +tp2264 +a(g6 +V +p2265 +tp2266 +a(g6 +VPython/ +p2267 +tp2268 +a(g138 +V$( +p2269 +tp2270 +a(g6 +VDYNLOADFILE +p2271 +tp2272 +a(g138 +V) +tp2273 +a(g6 +V +tp2274 +a(g262 +V\u005c\u000a +p2275 +tp2276 +a(g6 +V +p2277 +tp2278 +a(g138 +V$( +p2279 +tp2280 +a(g6 +VMACHDEP_OBJS +p2281 +tp2282 +a(g138 +V) +tp2283 +a(g6 +V +tp2284 +a(g262 +V\u005c\u000a +p2285 +tp2286 +a(g6 +V +p2287 +tp2288 +a(g138 +V$( +p2289 +tp2290 +a(g6 +VTHREADOBJ +p2291 +tp2292 +a(g138 +V) +tp2293 +a(g6 +V\u000a\u000a\u000a +p2294 +tp2295 +a(g24 +V##########################################################################\u000a +p2296 +tp2297 +a(g6 +V +tp2298 +a(g24 +V# Objects\u000a +p2299 +tp2300 +a(g6 +V +tp2301 +a(g100 +VOBJECT_OBJS +p2302 +tp2303 +a(g353 +V= +tp2304 +a(g6 +V +tp2305 +a(g262 +V\u005c\u000a +p2306 +tp2307 +a(g6 +V +p2308 +tp2309 +a(g6 +VObjects/abstract.o +p2310 +tp2311 +a(g6 +V +tp2312 +a(g262 +V\u005c\u000a +p2313 +tp2314 +a(g6 +V +p2315 +tp2316 +a(g6 +VObjects/boolobject.o +p2317 +tp2318 +a(g6 +V +tp2319 +a(g262 +V\u005c\u000a +p2320 +tp2321 +a(g6 +V +p2322 +tp2323 +a(g6 +VObjects/bufferobject.o +p2324 +tp2325 +a(g6 +V +tp2326 +a(g262 +V\u005c\u000a +p2327 +tp2328 +a(g6 +V +p2329 +tp2330 +a(g6 +VObjects/cellobject.o +p2331 +tp2332 +a(g6 +V +tp2333 +a(g262 +V\u005c\u000a +p2334 +tp2335 +a(g6 +V +p2336 +tp2337 +a(g6 +VObjects/classobject.o +p2338 +tp2339 +a(g6 +V +tp2340 +a(g262 +V\u005c\u000a +p2341 +tp2342 +a(g6 +V +p2343 +tp2344 +a(g6 +VObjects/cobject.o +p2345 +tp2346 +a(g6 +V +tp2347 +a(g262 +V\u005c\u000a +p2348 +tp2349 +a(g6 +V +p2350 +tp2351 +a(g6 +VObjects/codeobject.o +p2352 +tp2353 +a(g6 +V +tp2354 +a(g262 +V\u005c\u000a +p2355 +tp2356 +a(g6 +V +p2357 +tp2358 +a(g6 +VObjects/complexobject.o +p2359 +tp2360 +a(g6 +V +tp2361 +a(g262 +V\u005c\u000a +p2362 +tp2363 +a(g6 +V +p2364 +tp2365 +a(g6 +VObjects/descrobject.o +p2366 +tp2367 +a(g6 +V +tp2368 +a(g262 +V\u005c\u000a +p2369 +tp2370 +a(g6 +V +p2371 +tp2372 +a(g6 +VObjects/enumobject.o +p2373 +tp2374 +a(g6 +V +tp2375 +a(g262 +V\u005c\u000a +p2376 +tp2377 +a(g6 +V +p2378 +tp2379 +a(g6 +VObjects/exceptions.o +p2380 +tp2381 +a(g6 +V +tp2382 +a(g262 +V\u005c\u000a +p2383 +tp2384 +a(g6 +V +p2385 +tp2386 +a(g6 +VObjects/genobject.o +p2387 +tp2388 +a(g6 +V +tp2389 +a(g262 +V\u005c\u000a +p2390 +tp2391 +a(g6 +V +p2392 +tp2393 +a(g6 +VObjects/fileobject.o +p2394 +tp2395 +a(g6 +V +tp2396 +a(g262 +V\u005c\u000a +p2397 +tp2398 +a(g6 +V +p2399 +tp2400 +a(g6 +VObjects/floatobject.o +p2401 +tp2402 +a(g6 +V +tp2403 +a(g262 +V\u005c\u000a +p2404 +tp2405 +a(g6 +V +p2406 +tp2407 +a(g6 +VObjects/frameobject.o +p2408 +tp2409 +a(g6 +V +tp2410 +a(g262 +V\u005c\u000a +p2411 +tp2412 +a(g6 +V +p2413 +tp2414 +a(g6 +VObjects/funcobject.o +p2415 +tp2416 +a(g6 +V +tp2417 +a(g262 +V\u005c\u000a +p2418 +tp2419 +a(g6 +V +p2420 +tp2421 +a(g6 +VObjects/intobject.o +p2422 +tp2423 +a(g6 +V +tp2424 +a(g262 +V\u005c\u000a +p2425 +tp2426 +a(g6 +V +p2427 +tp2428 +a(g6 +VObjects/iterobject.o +p2429 +tp2430 +a(g6 +V +tp2431 +a(g262 +V\u005c\u000a +p2432 +tp2433 +a(g6 +V +p2434 +tp2435 +a(g6 +VObjects/listobject.o +p2436 +tp2437 +a(g6 +V +tp2438 +a(g262 +V\u005c\u000a +p2439 +tp2440 +a(g6 +V +p2441 +tp2442 +a(g6 +VObjects/longobject.o +p2443 +tp2444 +a(g6 +V +tp2445 +a(g262 +V\u005c\u000a +p2446 +tp2447 +a(g6 +V +p2448 +tp2449 +a(g6 +VObjects/dictobject.o +p2450 +tp2451 +a(g6 +V +tp2452 +a(g262 +V\u005c\u000a +p2453 +tp2454 +a(g6 +V +p2455 +tp2456 +a(g6 +VObjects/methodobject.o +p2457 +tp2458 +a(g6 +V +tp2459 +a(g262 +V\u005c\u000a +p2460 +tp2461 +a(g6 +V +p2462 +tp2463 +a(g6 +VObjects/moduleobject.o +p2464 +tp2465 +a(g6 +V +tp2466 +a(g262 +V\u005c\u000a +p2467 +tp2468 +a(g6 +V +p2469 +tp2470 +a(g6 +VObjects/object.o +p2471 +tp2472 +a(g6 +V +tp2473 +a(g262 +V\u005c\u000a +p2474 +tp2475 +a(g6 +V +p2476 +tp2477 +a(g6 +VObjects/obmalloc.o +p2478 +tp2479 +a(g6 +V +tp2480 +a(g262 +V\u005c\u000a +p2481 +tp2482 +a(g6 +V +p2483 +tp2484 +a(g6 +VObjects/rangeobject.o +p2485 +tp2486 +a(g6 +V +tp2487 +a(g262 +V\u005c\u000a +p2488 +tp2489 +a(g6 +V +p2490 +tp2491 +a(g6 +VObjects/setobject.o +p2492 +tp2493 +a(g6 +V +tp2494 +a(g262 +V\u005c\u000a +p2495 +tp2496 +a(g6 +V +p2497 +tp2498 +a(g6 +VObjects/sliceobject.o +p2499 +tp2500 +a(g6 +V +tp2501 +a(g262 +V\u005c\u000a +p2502 +tp2503 +a(g6 +V +p2504 +tp2505 +a(g6 +VObjects/stringobject.o +p2506 +tp2507 +a(g6 +V +tp2508 +a(g262 +V\u005c\u000a +p2509 +tp2510 +a(g6 +V +p2511 +tp2512 +a(g6 +VObjects/structseq.o +p2513 +tp2514 +a(g6 +V +tp2515 +a(g262 +V\u005c\u000a +p2516 +tp2517 +a(g6 +V +p2518 +tp2519 +a(g6 +VObjects/tupleobject.o +p2520 +tp2521 +a(g6 +V +tp2522 +a(g262 +V\u005c\u000a +p2523 +tp2524 +a(g6 +V +p2525 +tp2526 +a(g6 +VObjects/typeobject.o +p2527 +tp2528 +a(g6 +V +tp2529 +a(g262 +V\u005c\u000a +p2530 +tp2531 +a(g6 +V +p2532 +tp2533 +a(g6 +VObjects/weakrefobject.o +p2534 +tp2535 +a(g6 +V +tp2536 +a(g262 +V\u005c\u000a +p2537 +tp2538 +a(g6 +V +p2539 +tp2540 +a(g138 +V$( +p2541 +tp2542 +a(g6 +VUNICODE_OBJS +p2543 +tp2544 +a(g138 +V) +tp2545 +a(g6 +V\u000a\u000a\u000a +p2546 +tp2547 +a(g24 +V##########################################################################\u000a +p2548 +tp2549 +a(g6 +V +tp2550 +a(g24 +V# objects that get linked into the Python library\u000a +p2551 +tp2552 +a(g6 +V +tp2553 +a(g100 +VLIBRARY_OBJS +p2554 +tp2555 +a(g353 +V= +tp2556 +a(g6 +V +tp2557 +a(g262 +V\u005c\u000a +p2558 +tp2559 +a(g6 +V +p2560 +tp2561 +a(g6 +VModules/_typesmodule.o +p2562 +tp2563 +a(g6 +V +tp2564 +a(g262 +V\u005c\u000a +p2565 +tp2566 +a(g6 +V +p2567 +tp2568 +a(g6 +VModules/getbuildinfo.o +p2569 +tp2570 +a(g6 +V +tp2571 +a(g262 +V\u005c\u000a +p2572 +tp2573 +a(g6 +V +p2574 +tp2575 +a(g138 +V$( +p2576 +tp2577 +a(g6 +VPARSER_OBJS +p2578 +tp2579 +a(g138 +V) +tp2580 +a(g6 +V +tp2581 +a(g262 +V\u005c\u000a +p2582 +tp2583 +a(g6 +V +p2584 +tp2585 +a(g138 +V$( +p2586 +tp2587 +a(g6 +VOBJECT_OBJS +p2588 +tp2589 +a(g138 +V) +tp2590 +a(g6 +V +tp2591 +a(g262 +V\u005c\u000a +p2592 +tp2593 +a(g6 +V +p2594 +tp2595 +a(g138 +V$( +p2596 +tp2597 +a(g6 +VPYTHON_OBJS +p2598 +tp2599 +a(g138 +V) +tp2600 +a(g6 +V +tp2601 +a(g262 +V\u005c\u000a +p2602 +tp2603 +a(g6 +V +p2604 +tp2605 +a(g138 +V$( +p2606 +tp2607 +a(g6 +VMODULE_OBJS +p2608 +tp2609 +a(g138 +V) +tp2610 +a(g6 +V +tp2611 +a(g262 +V\u005c\u000a +p2612 +tp2613 +a(g6 +V +p2614 +tp2615 +a(g138 +V$( +p2616 +tp2617 +a(g6 +VSIGNAL_OBJS +p2618 +tp2619 +a(g138 +V) +tp2620 +a(g6 +V +tp2621 +a(g262 +V\u005c\u000a +p2622 +tp2623 +a(g6 +V +p2624 +tp2625 +a(g138 +V$( +p2626 +tp2627 +a(g6 +VMODOBJS +p2628 +tp2629 +a(g138 +V) +tp2630 +a(g6 +V\u000a\u000a +p2631 +tp2632 +a(g24 +V#########################################################################\u000a +p2633 +tp2634 +a(g6 +V +tp2635 +a(g24 +V# Rules\u000a +p2636 +tp2637 +a(g6 +V\u000a +tp2638 +a(g24 +V# Default target\u000a +p2639 +tp2640 +a(g6 +V +tp2641 +a(g6 +Vall: +p2642 +tp2643 +a(g6 +V +p2644 +tp2645 +a(g138 +V$( +p2646 +tp2647 +a(g6 +VBUILDPYTHON +p2648 +tp2649 +a(g138 +V) +tp2650 +a(g6 +V +tp2651 +a(g6 +Voldsharedmods +p2652 +tp2653 +a(g6 +V +tp2654 +a(g6 +Vsharedmods +p2655 +tp2656 +a(g6 +V\u000a\u000a +p2657 +tp2658 +a(g24 +V# Build the interpreter\u000a +p2659 +tp2660 +a(g6 +V +tp2661 +a(g138 +V$( +p2662 +tp2663 +a(g6 +VBUILDPYTHON +p2664 +tp2665 +a(g138 +V) +tp2666 +a(g6 +V: +tp2667 +a(g6 +V +tp2668 +a(g6 +VModules/python.o +p2669 +tp2670 +a(g6 +V +tp2671 +a(g138 +V$( +p2672 +tp2673 +a(g6 +VLIBRARY +p2674 +tp2675 +a(g138 +V) +tp2676 +a(g6 +V +tp2677 +a(g138 +V$( +p2678 +tp2679 +a(g6 +VLDLIBRARY +p2680 +tp2681 +a(g138 +V) +tp2682 +a(g6 +V\u000a +p2683 +tp2684 +a(g138 +V$( +p2685 +tp2686 +a(g6 +VLINKCC +p2687 +tp2688 +a(g138 +V) +tp2689 +a(g6 +V +tp2690 +a(g138 +V$( +p2691 +tp2692 +a(g6 +VLDFLAGS +p2693 +tp2694 +a(g138 +V) +tp2695 +a(g6 +V +tp2696 +a(g138 +V$( +p2697 +tp2698 +a(g6 +VLINKFORSHARED +p2699 +tp2700 +a(g138 +V) +tp2701 +a(g6 +V +tp2702 +a(g6 +V-o +p2703 +tp2704 +a(g6 +V +tp2705 +a(g100 +V$@ +p2706 +tp2707 +a(g6 +V +tp2708 +a(g262 +V\u005c\u000a +p2709 +tp2710 +a(g6 +V +p2711 +tp2712 +a(g6 +VModules/python.o +p2713 +tp2714 +a(g6 +V +tp2715 +a(g262 +V\u005c\u000a +p2716 +tp2717 +a(g6 +V +p2718 +tp2719 +a(g138 +V$( +p2720 +tp2721 +a(g6 +VBLDLIBRARY +p2722 +tp2723 +a(g138 +V) +tp2724 +a(g6 +V +tp2725 +a(g138 +V$( +p2726 +tp2727 +a(g6 +VLIBS +p2728 +tp2729 +a(g138 +V) +tp2730 +a(g6 +V +tp2731 +a(g138 +V$( +p2732 +tp2733 +a(g6 +VMODLIBS +p2734 +tp2735 +a(g138 +V) +tp2736 +a(g6 +V +tp2737 +a(g138 +V$( +p2738 +tp2739 +a(g6 +VSYSLIBS +p2740 +tp2741 +a(g138 +V) +tp2742 +a(g6 +V +tp2743 +a(g138 +V$( +p2744 +tp2745 +a(g6 +VLDLAST +p2746 +tp2747 +a(g138 +V) +tp2748 +a(g6 +V\u000a\u000a +p2749 +tp2750 +a(g6 +Vplatform: +p2751 +tp2752 +a(g6 +V +tp2753 +a(g138 +V$( +p2754 +tp2755 +a(g6 +VBUILDPYTHON +p2756 +tp2757 +a(g138 +V) +tp2758 +a(g6 +V\u000a +p2759 +tp2760 +a(g138 +V$( +p2761 +tp2762 +a(g6 +VRUNSHARED +p2763 +tp2764 +a(g138 +V) +tp2765 +a(g6 +V +tp2766 +a(g6 +V./ +p2767 +tp2768 +a(g138 +V$( +p2769 +tp2770 +a(g6 +VBUILDPYTHON +p2771 +tp2772 +a(g138 +V) +tp2773 +a(g6 +V +tp2774 +a(g6 +V-E +p2775 +tp2776 +a(g6 +V +tp2777 +a(g6 +V-c +p2778 +tp2779 +a(g6 +V +tp2780 +a(g281 +V'import sys ; from distutils.util import get_platform ; print get_platform()+"-"+sys.version[0:3]' +p2781 +tp2782 +a(g6 +V +tp2783 +a(g6 +V>platform +p2784 +tp2785 +a(g6 +V\u000a\u000a\u000a +p2786 +tp2787 +a(g24 +V# Build the shared modules\u000a +p2788 +tp2789 +a(g6 +V +tp2790 +a(g6 +Vsharedmods: +p2791 +tp2792 +a(g6 +V +tp2793 +a(g138 +V$( +p2794 +tp2795 +a(g6 +VBUILDPYTHON +p2796 +tp2797 +a(g138 +V) +tp2798 +a(g6 +V\u000a +p2799 +tp2800 +a(g6 +V@case +p2801 +tp2802 +a(g6 +V +tp2803 +a(g100 +V$$ +p2804 +tp2805 +a(g6 +VMAKEFLAGS +p2806 +tp2807 +a(g6 +V +tp2808 +a(g6 +Vin +p2809 +tp2810 +a(g6 +V +tp2811 +a(g262 +V\u005c\u000a +p2812 +tp2813 +a(g6 +V +tp2814 +a(g6 +V*-s* +p2815 +tp2816 +a(g353 +V) +tp2817 +a(g6 +V +tp2818 +a(g138 +V$( +p2819 +tp2820 +a(g6 +VRUNSHARED +p2821 +tp2822 +a(g138 +V) +tp2823 +a(g6 +V +tp2824 +a(g100 +VCC +p2825 +tp2826 +a(g353 +V= +tp2827 +a(g281 +V'$(CC)' +p2828 +tp2829 +a(g6 +V +tp2830 +a(g100 +VLDSHARED +p2831 +tp2832 +a(g353 +V= +tp2833 +a(g281 +V'$(BLDSHARED)' +p2834 +tp2835 +a(g6 +V +tp2836 +a(g100 +VOPT +p2837 +tp2838 +a(g353 +V= +tp2839 +a(g281 +V'$(OPT)' +p2840 +tp2841 +a(g6 +V +tp2842 +a(g6 +V./ +p2843 +tp2844 +a(g138 +V$( +p2845 +tp2846 +a(g6 +VBUILDPYTHON +p2847 +tp2848 +a(g138 +V) +tp2849 +a(g6 +V +tp2850 +a(g6 +V-E +p2851 +tp2852 +a(g6 +V +tp2853 +a(g138 +V$( +p2854 +tp2855 +a(g6 +Vsrcdir +p2856 +tp2857 +a(g138 +V) +tp2858 +a(g6 +V/setup.py +p2859 +tp2860 +a(g6 +V +tp2861 +a(g6 +V-q +p2862 +tp2863 +a(g6 +V +tp2864 +a(g6 +Vbuild;; +p2865 +tp2866 +a(g6 +V +tp2867 +a(g262 +V\u005c\u000a +p2868 +tp2869 +a(g6 +V +tp2870 +a(g6 +V* +tp2871 +a(g353 +V) +tp2872 +a(g6 +V +tp2873 +a(g138 +V$( +p2874 +tp2875 +a(g6 +VRUNSHARED +p2876 +tp2877 +a(g138 +V) +tp2878 +a(g6 +V +tp2879 +a(g100 +VCC +p2880 +tp2881 +a(g353 +V= +tp2882 +a(g281 +V'$(CC)' +p2883 +tp2884 +a(g6 +V +tp2885 +a(g100 +VLDSHARED +p2886 +tp2887 +a(g353 +V= +tp2888 +a(g281 +V'$(BLDSHARED)' +p2889 +tp2890 +a(g6 +V +tp2891 +a(g100 +VOPT +p2892 +tp2893 +a(g353 +V= +tp2894 +a(g281 +V'$(OPT)' +p2895 +tp2896 +a(g6 +V +tp2897 +a(g6 +V./ +p2898 +tp2899 +a(g138 +V$( +p2900 +tp2901 +a(g6 +VBUILDPYTHON +p2902 +tp2903 +a(g138 +V) +tp2904 +a(g6 +V +tp2905 +a(g6 +V-E +p2906 +tp2907 +a(g6 +V +tp2908 +a(g138 +V$( +p2909 +tp2910 +a(g6 +Vsrcdir +p2911 +tp2912 +a(g138 +V) +tp2913 +a(g6 +V/setup.py +p2914 +tp2915 +a(g6 +V +tp2916 +a(g6 +Vbuild;; +p2917 +tp2918 +a(g6 +V +tp2919 +a(g262 +V\u005c\u000a +p2920 +tp2921 +a(g6 +V +tp2922 +a(g138 +Vesac +p2923 +tp2924 +a(g6 +V\u000a\u000a +p2925 +tp2926 +a(g24 +V# Build static library\u000a +p2927 +tp2928 +a(g6 +V +tp2929 +a(g24 +V# avoid long command lines, same as LIBRARY_OBJS\u000a +p2930 +tp2931 +a(g6 +V +tp2932 +a(g138 +V$( +p2933 +tp2934 +a(g6 +VLIBRARY +p2935 +tp2936 +a(g138 +V) +tp2937 +a(g6 +V: +tp2938 +a(g6 +V +tp2939 +a(g138 +V$( +p2940 +tp2941 +a(g6 +VLIBRARY_OBJS +p2942 +tp2943 +a(g138 +V) +tp2944 +a(g6 +V\u000a +p2945 +tp2946 +a(g6 +V-rm +p2947 +tp2948 +a(g6 +V +tp2949 +a(g6 +V-f +p2950 +tp2951 +a(g6 +V +tp2952 +a(g100 +V$@ +p2953 +tp2954 +a(g6 +V\u000a +p2955 +tp2956 +a(g138 +V$( +p2957 +tp2958 +a(g6 +VAR +p2959 +tp2960 +a(g138 +V) +tp2961 +a(g6 +V +tp2962 +a(g6 +Vcr +p2963 +tp2964 +a(g6 +V +tp2965 +a(g100 +V$@ +p2966 +tp2967 +a(g6 +V +tp2968 +a(g6 +VModules/getbuildinfo.o +p2969 +tp2970 +a(g6 +V\u000a +p2971 +tp2972 +a(g138 +V$( +p2973 +tp2974 +a(g6 +VAR +p2975 +tp2976 +a(g138 +V) +tp2977 +a(g6 +V +tp2978 +a(g6 +Vcr +p2979 +tp2980 +a(g6 +V +tp2981 +a(g100 +V$@ +p2982 +tp2983 +a(g6 +V +tp2984 +a(g6 +VModules/_typesmodule.o +p2985 +tp2986 +a(g6 +V\u000a +p2987 +tp2988 +a(g138 +V$( +p2989 +tp2990 +a(g6 +VAR +p2991 +tp2992 +a(g138 +V) +tp2993 +a(g6 +V +tp2994 +a(g6 +Vcr +p2995 +tp2996 +a(g6 +V +tp2997 +a(g100 +V$@ +p2998 +tp2999 +a(g6 +V +tp3000 +a(g138 +V$( +p3001 +tp3002 +a(g6 +VPARSER_OBJS +p3003 +tp3004 +a(g138 +V) +tp3005 +a(g6 +V\u000a +p3006 +tp3007 +a(g138 +V$( +p3008 +tp3009 +a(g6 +VAR +p3010 +tp3011 +a(g138 +V) +tp3012 +a(g6 +V +tp3013 +a(g6 +Vcr +p3014 +tp3015 +a(g6 +V +tp3016 +a(g100 +V$@ +p3017 +tp3018 +a(g6 +V +tp3019 +a(g138 +V$( +p3020 +tp3021 +a(g6 +VOBJECT_OBJS +p3022 +tp3023 +a(g138 +V) +tp3024 +a(g6 +V\u000a +p3025 +tp3026 +a(g138 +V$( +p3027 +tp3028 +a(g6 +VAR +p3029 +tp3030 +a(g138 +V) +tp3031 +a(g6 +V +tp3032 +a(g6 +Vcr +p3033 +tp3034 +a(g6 +V +tp3035 +a(g100 +V$@ +p3036 +tp3037 +a(g6 +V +tp3038 +a(g138 +V$( +p3039 +tp3040 +a(g6 +VPYTHON_OBJS +p3041 +tp3042 +a(g138 +V) +tp3043 +a(g6 +V\u000a +p3044 +tp3045 +a(g138 +V$( +p3046 +tp3047 +a(g6 +VAR +p3048 +tp3049 +a(g138 +V) +tp3050 +a(g6 +V +tp3051 +a(g6 +Vcr +p3052 +tp3053 +a(g6 +V +tp3054 +a(g100 +V$@ +p3055 +tp3056 +a(g6 +V +tp3057 +a(g138 +V$( +p3058 +tp3059 +a(g6 +VMODULE_OBJS +p3060 +tp3061 +a(g138 +V) +tp3062 +a(g6 +V +tp3063 +a(g138 +V$( +p3064 +tp3065 +a(g6 +VSIGNAL_OBJS +p3066 +tp3067 +a(g138 +V) +tp3068 +a(g6 +V\u000a +p3069 +tp3070 +a(g138 +V$( +p3071 +tp3072 +a(g6 +VAR +p3073 +tp3074 +a(g138 +V) +tp3075 +a(g6 +V +tp3076 +a(g6 +Vcr +p3077 +tp3078 +a(g6 +V +tp3079 +a(g100 +V$@ +p3080 +tp3081 +a(g6 +V +tp3082 +a(g138 +V$( +p3083 +tp3084 +a(g6 +VMODOBJS +p3085 +tp3086 +a(g138 +V) +tp3087 +a(g6 +V\u000a +p3088 +tp3089 +a(g138 +V$( +p3090 +tp3091 +a(g6 +VRANLIB +p3092 +tp3093 +a(g138 +V) +tp3094 +a(g6 +V +tp3095 +a(g100 +V$@ +p3096 +tp3097 +a(g6 +V\u000a\u000a +p3098 +tp3099 +a(g6 +Vlibpython +p3100 +tp3101 +a(g138 +V$( +p3102 +tp3103 +a(g6 +VVERSION +p3104 +tp3105 +a(g138 +V) +tp3106 +a(g6 +V.so: +p3107 +tp3108 +a(g6 +V +tp3109 +a(g138 +V$( +p3110 +tp3111 +a(g6 +VLIBRARY_OBJS +p3112 +tp3113 +a(g138 +V) +tp3114 +a(g6 +V\u000a +p3115 +tp3116 +a(g138 +Vif +p3117 +tp3118 +a(g84 +Vtest +p3119 +tp3120 +a(g6 +V +tp3121 +a(g138 +V$( +p3122 +tp3123 +a(g6 +VINSTSONAME +p3124 +tp3125 +a(g138 +V) +tp3126 +a(g6 +V +tp3127 +a(g6 +V! +tp3128 +a(g353 +V= +tp3129 +a(g6 +V +tp3130 +a(g138 +V$( +p3131 +tp3132 +a(g6 +VLDLIBRARY +p3133 +tp3134 +a(g138 +V) +tp3135 +a(g6 +V; +tp3136 +a(g6 +V +tp3137 +a(g138 +Vthen +p3138 +tp3139 +a(g6 +V +tp3140 +a(g262 +V\u005c\u000a +p3141 +tp3142 +a(g6 +V +p3143 +tp3144 +a(g138 +V$( +p3145 +tp3146 +a(g6 +VLDSHARED +p3147 +tp3148 +a(g138 +V) +tp3149 +a(g6 +V +tp3150 +a(g6 +V-Wl,-h +p3151 +tp3152 +a(g138 +V$( +p3153 +tp3154 +a(g6 +VINSTSONAME +p3155 +tp3156 +a(g138 +V) +tp3157 +a(g6 +V +tp3158 +a(g6 +V-o +p3159 +tp3160 +a(g6 +V +tp3161 +a(g138 +V$( +p3162 +tp3163 +a(g6 +VINSTSONAME +p3164 +tp3165 +a(g138 +V) +tp3166 +a(g6 +V +tp3167 +a(g138 +V$( +p3168 +tp3169 +a(g6 +VLIBRARY_OBJS +p3170 +tp3171 +a(g138 +V) +tp3172 +a(g6 +V +tp3173 +a(g138 +V$( +p3174 +tp3175 +a(g6 +VSHLIBS +p3176 +tp3177 +a(g138 +V) +tp3178 +a(g6 +V +tp3179 +a(g138 +V$( +p3180 +tp3181 +a(g6 +VLIBC +p3182 +tp3183 +a(g138 +V) +tp3184 +a(g6 +V +tp3185 +a(g138 +V$( +p3186 +tp3187 +a(g6 +VLIBM +p3188 +tp3189 +a(g138 +V) +tp3190 +a(g6 +V; +tp3191 +a(g6 +V +tp3192 +a(g262 +V\u005c\u000a +p3193 +tp3194 +a(g6 +V +p3195 +tp3196 +a(g138 +V$( +p3197 +tp3198 +a(g6 +VLN +p3199 +tp3200 +a(g138 +V) +tp3201 +a(g6 +V +tp3202 +a(g6 +V-f +p3203 +tp3204 +a(g6 +V +tp3205 +a(g138 +V$( +p3206 +tp3207 +a(g6 +VINSTSONAME +p3208 +tp3209 +a(g138 +V) +tp3210 +a(g6 +V +tp3211 +a(g100 +V$@ +p3212 +tp3213 +a(g6 +V; +tp3214 +a(g6 +V +tp3215 +a(g262 +V\u005c\u000a +p3216 +tp3217 +a(g6 +V +tp3218 +a(g138 +Velse +p3219 +tp3220 +a(g262 +V\u005c\u000a +p3221 +tp3222 +a(g6 +V +p3223 +tp3224 +a(g138 +V$( +p3225 +tp3226 +a(g6 +VLDSHARED +p3227 +tp3228 +a(g138 +V) +tp3229 +a(g6 +V +tp3230 +a(g6 +V-o +p3231 +tp3232 +a(g6 +V +tp3233 +a(g100 +V$@ +p3234 +tp3235 +a(g6 +V +tp3236 +a(g138 +V$( +p3237 +tp3238 +a(g6 +VLIBRARY_OBJS +p3239 +tp3240 +a(g138 +V) +tp3241 +a(g6 +V +tp3242 +a(g138 +V$( +p3243 +tp3244 +a(g6 +VSHLIBS +p3245 +tp3246 +a(g138 +V) +tp3247 +a(g6 +V +tp3248 +a(g138 +V$( +p3249 +tp3250 +a(g6 +VLIBC +p3251 +tp3252 +a(g138 +V) +tp3253 +a(g6 +V +tp3254 +a(g138 +V$( +p3255 +tp3256 +a(g6 +VLIBM +p3257 +tp3258 +a(g138 +V) +tp3259 +a(g6 +V; +tp3260 +a(g6 +V +tp3261 +a(g262 +V\u005c\u000a +p3262 +tp3263 +a(g6 +V +tp3264 +a(g138 +Vfi\u000a\u000a +p3265 +tp3266 +a(g6 +Vlibpython +p3267 +tp3268 +a(g138 +V$( +p3269 +tp3270 +a(g6 +VVERSION +p3271 +tp3272 +a(g138 +V) +tp3273 +a(g6 +V.sl: +p3274 +tp3275 +a(g6 +V +tp3276 +a(g138 +V$( +p3277 +tp3278 +a(g6 +VLIBRARY_OBJS +p3279 +tp3280 +a(g138 +V) +tp3281 +a(g6 +V\u000a +p3282 +tp3283 +a(g138 +V$( +p3284 +tp3285 +a(g6 +VLDSHARED +p3286 +tp3287 +a(g138 +V) +tp3288 +a(g6 +V +tp3289 +a(g6 +V-o +p3290 +tp3291 +a(g6 +V +tp3292 +a(g100 +V$@ +p3293 +tp3294 +a(g6 +V +tp3295 +a(g138 +V$( +p3296 +tp3297 +a(g6 +VLIBRARY_OBJS +p3298 +tp3299 +a(g138 +V) +tp3300 +a(g6 +V +tp3301 +a(g138 +V$( +p3302 +tp3303 +a(g6 +VSHLIBS +p3304 +tp3305 +a(g138 +V) +tp3306 +a(g6 +V +tp3307 +a(g138 +V$( +p3308 +tp3309 +a(g6 +VLIBC +p3310 +tp3311 +a(g138 +V) +tp3312 +a(g6 +V +tp3313 +a(g138 +V$( +p3314 +tp3315 +a(g6 +VLIBM +p3316 +tp3317 +a(g138 +V) +tp3318 +a(g6 +V\u000a\u000a +p3319 +tp3320 +a(g24 +V# This rule is here for OPENSTEP/Rhapsody/MacOSX. It builds a temporary\u000a +p3321 +tp3322 +a(g6 +V +tp3323 +a(g24 +V# minimal framework (not including the Lib directory and such) in the current\u000a +p3324 +tp3325 +a(g6 +V +tp3326 +a(g24 +V# directory.\u000a +p3327 +tp3328 +a(g6 +V +tp3329 +a(g100 +VRESSRCDIR +p3330 +tp3331 +a(g353 +V= +tp3332 +a(g138 +V$( +p3333 +tp3334 +a(g6 +Vsrcdir +p3335 +tp3336 +a(g138 +V) +tp3337 +a(g6 +V/Mac/Resources/framework +p3338 +tp3339 +a(g6 +V\u000a +tp3340 +a(g138 +V$( +p3341 +tp3342 +a(g6 +VPYTHONFRAMEWORKDIR +p3343 +tp3344 +a(g138 +V) +tp3345 +a(g6 +V/Versions/ +p3346 +tp3347 +a(g138 +V$( +p3348 +tp3349 +a(g6 +VVERSION +p3350 +tp3351 +a(g138 +V) +tp3352 +a(g6 +V/ +tp3353 +a(g138 +V$( +p3354 +tp3355 +a(g6 +VPYTHONFRAMEWORK +p3356 +tp3357 +a(g138 +V) +tp3358 +a(g6 +V: +tp3359 +a(g6 +V +tp3360 +a(g262 +V\u005c\u000a +p3361 +tp3362 +a(g6 +V +p3363 +tp3364 +a(g138 +V$( +p3365 +tp3366 +a(g6 +VLIBRARY +p3367 +tp3368 +a(g138 +V) +tp3369 +a(g6 +V +tp3370 +a(g262 +V\u005c\u000a +p3371 +tp3372 +a(g6 +V +p3373 +tp3374 +a(g138 +V$( +p3375 +tp3376 +a(g6 +VRESSRCDIR +p3377 +tp3378 +a(g138 +V) +tp3379 +a(g6 +V/Info.plist +p3380 +tp3381 +a(g6 +V +tp3382 +a(g262 +V\u005c\u000a +p3383 +tp3384 +a(g6 +V +p3385 +tp3386 +a(g138 +V$( +p3387 +tp3388 +a(g6 +VRESSRCDIR +p3389 +tp3390 +a(g138 +V) +tp3391 +a(g6 +V/version.plist +p3392 +tp3393 +a(g6 +V +tp3394 +a(g262 +V\u005c\u000a +p3395 +tp3396 +a(g6 +V +p3397 +tp3398 +a(g138 +V$( +p3399 +tp3400 +a(g6 +VRESSRCDIR +p3401 +tp3402 +a(g138 +V) +tp3403 +a(g6 +V/English.lproj/InfoPlist.strings +p3404 +tp3405 +a(g6 +V\u000a +p3406 +tp3407 +a(g138 +V$( +p3408 +tp3409 +a(g6 +VINSTALL +p3410 +tp3411 +a(g138 +V) +tp3412 +a(g6 +V +tp3413 +a(g6 +V-d +p3414 +tp3415 +a(g6 +V +tp3416 +a(g6 +V-m +p3417 +tp3418 +a(g6 +V +tp3419 +a(g138 +V$( +p3420 +tp3421 +a(g6 +VDIRMODE +p3422 +tp3423 +a(g138 +V) +tp3424 +a(g6 +V +tp3425 +a(g138 +V$( +p3426 +tp3427 +a(g6 +VPYTHONFRAMEWORKDIR +p3428 +tp3429 +a(g138 +V) +tp3430 +a(g6 +V/Versions/ +p3431 +tp3432 +a(g138 +V$( +p3433 +tp3434 +a(g6 +VVERSION +p3435 +tp3436 +a(g138 +V) +tp3437 +a(g6 +V\u000a +p3438 +tp3439 +a(g138 +Vif +p3440 +tp3441 +a(g84 +Vtest +p3442 +tp3443 +a(g6 +V +tp3444 +a(g255 +V"${UNIVERSALSDK}" +p3445 +tp3446 +a(g6 +V; +tp3447 +a(g6 +V +tp3448 +a(g138 +Vthen +p3449 +tp3450 +a(g6 +V +tp3451 +a(g262 +V\u005c\u000a +p3452 +tp3453 +a(g6 +V +p3454 +tp3455 +a(g138 +V$( +p3456 +tp3457 +a(g6 +VCC +p3458 +tp3459 +a(g138 +V) +tp3460 +a(g6 +V +tp3461 +a(g6 +V-o +p3462 +tp3463 +a(g6 +V +tp3464 +a(g138 +V$( +p3465 +tp3466 +a(g6 +VLDLIBRARY +p3467 +tp3468 +a(g138 +V) +tp3469 +a(g6 +V +tp3470 +a(g6 +V-arch +p3471 +tp3472 +a(g6 +V +tp3473 +a(g6 +Vi386 +p3474 +tp3475 +a(g6 +V +tp3476 +a(g6 +V-arch +p3477 +tp3478 +a(g6 +V +tp3479 +a(g6 +Vppc +p3480 +tp3481 +a(g6 +V +tp3482 +a(g6 +V-dynamiclib +p3483 +tp3484 +a(g6 +V +tp3485 +a(g262 +V\u005c\u000a +p3486 +tp3487 +a(g6 +V +p3488 +tp3489 +a(g6 +V-isysroot +p3490 +tp3491 +a(g6 +V +tp3492 +a(g255 +V"${UNIVERSALSDK}" +p3493 +tp3494 +a(g6 +V +tp3495 +a(g262 +V\u005c\u000a +p3496 +tp3497 +a(g6 +V +p3498 +tp3499 +a(g6 +V-all_load +p3500 +tp3501 +a(g6 +V +tp3502 +a(g138 +V$( +p3503 +tp3504 +a(g6 +VLIBRARY +p3505 +tp3506 +a(g138 +V) +tp3507 +a(g6 +V +tp3508 +a(g6 +V-Wl,-single_module +p3509 +tp3510 +a(g6 +V +tp3511 +a(g262 +V\u005c\u000a +p3512 +tp3513 +a(g6 +V +p3514 +tp3515 +a(g6 +V-install_name +p3516 +tp3517 +a(g6 +V +tp3518 +a(g138 +V$( +p3519 +tp3520 +a(g6 +VDESTDIR +p3521 +tp3522 +a(g138 +V) +tp3523 +a(g138 +V$( +p3524 +tp3525 +a(g6 +VPYTHONFRAMEWORKINSTALLDIR +p3526 +tp3527 +a(g138 +V) +tp3528 +a(g6 +V/Versions/ +p3529 +tp3530 +a(g138 +V$( +p3531 +tp3532 +a(g6 +VVERSION +p3533 +tp3534 +a(g138 +V) +tp3535 +a(g6 +V/Python +p3536 +tp3537 +a(g6 +V +tp3538 +a(g262 +V\u005c\u000a +p3539 +tp3540 +a(g6 +V +p3541 +tp3542 +a(g6 +V-compatibility_version +p3543 +tp3544 +a(g6 +V +tp3545 +a(g138 +V$( +p3546 +tp3547 +a(g6 +VVERSION +p3548 +tp3549 +a(g138 +V) +tp3550 +a(g6 +V +tp3551 +a(g262 +V\u005c\u000a +p3552 +tp3553 +a(g6 +V +p3554 +tp3555 +a(g6 +V-current_version +p3556 +tp3557 +a(g6 +V +tp3558 +a(g138 +V$( +p3559 +tp3560 +a(g6 +VVERSION +p3561 +tp3562 +a(g138 +V) +tp3563 +a(g6 +V; +tp3564 +a(g6 +V +tp3565 +a(g262 +V\u005c\u000a +p3566 +tp3567 +a(g27 +V else \u005c\u000a +p3568 +tp3569 +a(g262 +V +tp3570 +a(g27 +V libtool -o $(LDLIBRARY) -dynamic $(OTHER_LIBTOOL_OPT) $(LIBRARY) \u005c\u000a +p3571 +tp3572 +a(g262 +V +tp3573 +a(g27 +V ;\u005c\u000a +p3574 +tp3575 +a(g262 +V +tp3576 +a(g27 +V fi\u000a +p3577 +tp3578 +a(g262 +V +tp3579 +a(g6 +V +tp3580 +a(g138 +V$( +p3581 +tp3582 +a(g6 +VINSTALL +p3583 +tp3584 +a(g138 +V) +tp3585 +a(g6 +V +tp3586 +a(g6 +V-d +p3587 +tp3588 +a(g6 +V +tp3589 +a(g6 +V-m +p3590 +tp3591 +a(g6 +V +tp3592 +a(g138 +V$( +p3593 +tp3594 +a(g6 +VDIRMODE +p3595 +tp3596 +a(g138 +V) +tp3597 +a(g6 +V +p3598 +tp3599 +a(g262 +V\u005c\u000a +p3600 +tp3601 +a(g6 +V +p3602 +tp3603 +a(g138 +V$( +p3604 +tp3605 +a(g6 +VPYTHONFRAMEWORKDIR +p3606 +tp3607 +a(g138 +V) +tp3608 +a(g6 +V/Versions/ +p3609 +tp3610 +a(g138 +V$( +p3611 +tp3612 +a(g6 +VVERSION +p3613 +tp3614 +a(g138 +V) +tp3615 +a(g6 +V/Resources/English.lproj +p3616 +tp3617 +a(g6 +V\u000a +p3618 +tp3619 +a(g138 +V$( +p3620 +tp3621 +a(g6 +VINSTALL_DATA +p3622 +tp3623 +a(g138 +V) +tp3624 +a(g6 +V +tp3625 +a(g138 +V$( +p3626 +tp3627 +a(g6 +VRESSRCDIR +p3628 +tp3629 +a(g138 +V) +tp3630 +a(g6 +V/Info.plist +p3631 +tp3632 +a(g6 +V +tp3633 +a(g262 +V\u005c\u000a +p3634 +tp3635 +a(g6 +V +p3636 +tp3637 +a(g138 +V$( +p3638 +tp3639 +a(g6 +VPYTHONFRAMEWORKDIR +p3640 +tp3641 +a(g138 +V) +tp3642 +a(g6 +V/Versions/ +p3643 +tp3644 +a(g138 +V$( +p3645 +tp3646 +a(g6 +VVERSION +p3647 +tp3648 +a(g138 +V) +tp3649 +a(g6 +V/Resources/Info.plist +p3650 +tp3651 +a(g6 +V\u000a +p3652 +tp3653 +a(g138 +V$( +p3654 +tp3655 +a(g6 +VINSTALL_DATA +p3656 +tp3657 +a(g138 +V) +tp3658 +a(g6 +V +tp3659 +a(g138 +V$( +p3660 +tp3661 +a(g6 +VRESSRCDIR +p3662 +tp3663 +a(g138 +V) +tp3664 +a(g6 +V/version.plist +p3665 +tp3666 +a(g6 +V +tp3667 +a(g262 +V\u005c\u000a +p3668 +tp3669 +a(g6 +V +p3670 +tp3671 +a(g138 +V$( +p3672 +tp3673 +a(g6 +VPYTHONFRAMEWORKDIR +p3674 +tp3675 +a(g138 +V) +tp3676 +a(g6 +V/Versions/ +p3677 +tp3678 +a(g138 +V$( +p3679 +tp3680 +a(g6 +VVERSION +p3681 +tp3682 +a(g138 +V) +tp3683 +a(g6 +V/Resources/version.plist +p3684 +tp3685 +a(g6 +V\u000a +p3686 +tp3687 +a(g138 +V$( +p3688 +tp3689 +a(g6 +VINSTALL_DATA +p3690 +tp3691 +a(g138 +V) +tp3692 +a(g6 +V +tp3693 +a(g138 +V$( +p3694 +tp3695 +a(g6 +VRESSRCDIR +p3696 +tp3697 +a(g138 +V) +tp3698 +a(g6 +V/English.lproj/InfoPlist.strings +p3699 +tp3700 +a(g6 +V +tp3701 +a(g262 +V\u005c\u000a +p3702 +tp3703 +a(g6 +V +p3704 +tp3705 +a(g138 +V$( +p3706 +tp3707 +a(g6 +VPYTHONFRAMEWORKDIR +p3708 +tp3709 +a(g138 +V) +tp3710 +a(g6 +V/Versions/ +p3711 +tp3712 +a(g138 +V$( +p3713 +tp3714 +a(g6 +VVERSION +p3715 +tp3716 +a(g138 +V) +tp3717 +a(g6 +V/Resources/English.lproj/InfoPlist.strings +p3718 +tp3719 +a(g6 +V\u000a +p3720 +tp3721 +a(g138 +V$( +p3722 +tp3723 +a(g6 +VLN +p3724 +tp3725 +a(g138 +V) +tp3726 +a(g6 +V +tp3727 +a(g6 +V-fsn +p3728 +tp3729 +a(g6 +V +tp3730 +a(g138 +V$( +p3731 +tp3732 +a(g6 +VVERSION +p3733 +tp3734 +a(g138 +V) +tp3735 +a(g6 +V +tp3736 +a(g138 +V$( +p3737 +tp3738 +a(g6 +VPYTHONFRAMEWORKDIR +p3739 +tp3740 +a(g138 +V) +tp3741 +a(g6 +V/Versions/Current +p3742 +tp3743 +a(g6 +V\u000a +p3744 +tp3745 +a(g138 +V$( +p3746 +tp3747 +a(g6 +VLN +p3748 +tp3749 +a(g138 +V) +tp3750 +a(g6 +V +tp3751 +a(g6 +V-fsn +p3752 +tp3753 +a(g6 +V +tp3754 +a(g6 +VVersions/Current/ +p3755 +tp3756 +a(g138 +V$( +p3757 +tp3758 +a(g6 +VPYTHONFRAMEWORK +p3759 +tp3760 +a(g138 +V) +tp3761 +a(g6 +V +tp3762 +a(g138 +V$( +p3763 +tp3764 +a(g6 +VPYTHONFRAMEWORKDIR +p3765 +tp3766 +a(g138 +V) +tp3767 +a(g6 +V/ +tp3768 +a(g138 +V$( +p3769 +tp3770 +a(g6 +VPYTHONFRAMEWORK +p3771 +tp3772 +a(g138 +V) +tp3773 +a(g6 +V\u000a +p3774 +tp3775 +a(g138 +V$( +p3776 +tp3777 +a(g6 +VLN +p3778 +tp3779 +a(g138 +V) +tp3780 +a(g6 +V +tp3781 +a(g6 +V-fsn +p3782 +tp3783 +a(g6 +V +tp3784 +a(g6 +VVersions/Current/Headers +p3785 +tp3786 +a(g6 +V +tp3787 +a(g138 +V$( +p3788 +tp3789 +a(g6 +VPYTHONFRAMEWORKDIR +p3790 +tp3791 +a(g138 +V) +tp3792 +a(g6 +V/Headers +p3793 +tp3794 +a(g6 +V\u000a +p3795 +tp3796 +a(g138 +V$( +p3797 +tp3798 +a(g6 +VLN +p3799 +tp3800 +a(g138 +V) +tp3801 +a(g6 +V +tp3802 +a(g6 +V-fsn +p3803 +tp3804 +a(g6 +V +tp3805 +a(g6 +VVersions/Current/Resources +p3806 +tp3807 +a(g6 +V +tp3808 +a(g138 +V$( +p3809 +tp3810 +a(g6 +VPYTHONFRAMEWORKDIR +p3811 +tp3812 +a(g138 +V) +tp3813 +a(g6 +V/Resources +p3814 +tp3815 +a(g6 +V\u000a\u000a +p3816 +tp3817 +a(g24 +V# This rule builds the Cygwin Python DLL and import library if configured\u000a +p3818 +tp3819 +a(g6 +V +tp3820 +a(g24 +V# for a shared core library; otherwise, this rule is a noop.\u000a +p3821 +tp3822 +a(g6 +V +tp3823 +a(g138 +V$( +p3824 +tp3825 +a(g6 +VDLLLIBRARY +p3826 +tp3827 +a(g138 +V) +tp3828 +a(g6 +V +tp3829 +a(g6 +Vlibpython +p3830 +tp3831 +a(g138 +V$( +p3832 +tp3833 +a(g6 +VVERSION +p3834 +tp3835 +a(g138 +V) +tp3836 +a(g6 +V.dll.a: +p3837 +tp3838 +a(g6 +V +tp3839 +a(g138 +V$( +p3840 +tp3841 +a(g6 +VLIBRARY_OBJS +p3842 +tp3843 +a(g138 +V) +tp3844 +a(g6 +V\u000a +p3845 +tp3846 +a(g138 +Vif +p3847 +tp3848 +a(g84 +Vtest +p3849 +tp3850 +a(g6 +V +tp3851 +a(g6 +V-n +p3852 +tp3853 +a(g6 +V +tp3854 +a(g255 +V"$(DLLLIBRARY)" +p3855 +tp3856 +a(g6 +V; +tp3857 +a(g6 +V +tp3858 +a(g138 +Vthen +p3859 +tp3860 +a(g6 +V +tp3861 +a(g262 +V\u005c\u000a +p3862 +tp3863 +a(g6 +V +p3864 +tp3865 +a(g138 +V$( +p3866 +tp3867 +a(g6 +VLDSHARED +p3868 +tp3869 +a(g138 +V) +tp3870 +a(g6 +V +tp3871 +a(g6 +V-Wl,--out-implib +p3872 +tp3873 +a(g353 +V= +tp3874 +a(g100 +V$@ +p3875 +tp3876 +a(g6 +V +tp3877 +a(g6 +V-o +p3878 +tp3879 +a(g6 +V +tp3880 +a(g138 +V$( +p3881 +tp3882 +a(g6 +VDLLLIBRARY +p3883 +tp3884 +a(g138 +V) +tp3885 +a(g6 +V +tp3886 +a(g100 +V$^ +p3887 +tp3888 +a(g6 +V +tp3889 +a(g262 +V\u005c\u000a +p3890 +tp3891 +a(g6 +V +p3892 +tp3893 +a(g138 +V$( +p3894 +tp3895 +a(g6 +VLIBS +p3896 +tp3897 +a(g138 +V) +tp3898 +a(g6 +V +tp3899 +a(g138 +V$( +p3900 +tp3901 +a(g6 +VMODLIBS +p3902 +tp3903 +a(g138 +V) +tp3904 +a(g6 +V +tp3905 +a(g138 +V$( +p3906 +tp3907 +a(g6 +VSYSLIBS +p3908 +tp3909 +a(g138 +V) +tp3910 +a(g6 +V; +tp3911 +a(g6 +V +tp3912 +a(g262 +V\u005c\u000a +p3913 +tp3914 +a(g27 +V else true; \u005c\u000a +p3915 +tp3916 +a(g262 +V +tp3917 +a(g27 +V fi\u000a +p3918 +tp3919 +a(g262 +V +tp3920 +a(g6 +V\u000a\u000a +p3921 +tp3922 +a(g6 +Voldsharedmods: +p3923 +tp3924 +a(g6 +V +tp3925 +a(g138 +V$( +p3926 +tp3927 +a(g6 +VSHAREDMODS +p3928 +tp3929 +a(g138 +V) +tp3930 +a(g6 +V\u000a\u000a\u000a +p3931 +tp3932 +a(g6 +VMakefile +p3933 +tp3934 +a(g6 +V +tp3935 +a(g6 +VModules/config.c: +p3936 +tp3937 +a(g6 +V +tp3938 +a(g6 +VMakefile.pre +p3939 +tp3940 +a(g6 +V +tp3941 +a(g262 +V\u005c\u000a +p3942 +tp3943 +a(g6 +V +p3944 +tp3945 +a(g138 +V$( +p3946 +tp3947 +a(g6 +Vsrcdir +p3948 +tp3949 +a(g138 +V) +tp3950 +a(g6 +V/Modules/config.c.in +p3951 +tp3952 +a(g6 +V +tp3953 +a(g262 +V\u005c\u000a +p3954 +tp3955 +a(g6 +V +p3956 +tp3957 +a(g138 +V$( +p3958 +tp3959 +a(g6 +VMAKESETUP +p3960 +tp3961 +a(g138 +V) +tp3962 +a(g6 +V +tp3963 +a(g262 +V\u005c\u000a +p3964 +tp3965 +a(g6 +V +p3966 +tp3967 +a(g6 +VModules/Setup.config +p3968 +tp3969 +a(g6 +V +tp3970 +a(g262 +V\u005c\u000a +p3971 +tp3972 +a(g6 +V +p3973 +tp3974 +a(g6 +VModules/Setup +p3975 +tp3976 +a(g6 +V +tp3977 +a(g262 +V\u005c\u000a +p3978 +tp3979 +a(g6 +V +p3980 +tp3981 +a(g6 +VModules/Setup.local +p3982 +tp3983 +a(g6 +V\u000a +p3984 +tp3985 +a(g138 +V$( +p3986 +tp3987 +a(g6 +VSHELL +p3988 +tp3989 +a(g138 +V) +tp3990 +a(g6 +V +tp3991 +a(g138 +V$( +p3992 +tp3993 +a(g6 +VMAKESETUP +p3994 +tp3995 +a(g138 +V) +tp3996 +a(g6 +V +tp3997 +a(g6 +V-c +p3998 +tp3999 +a(g6 +V +tp4000 +a(g138 +V$( +p4001 +tp4002 +a(g6 +Vsrcdir +p4003 +tp4004 +a(g138 +V) +tp4005 +a(g6 +V/Modules/config.c.in +p4006 +tp4007 +a(g6 +V +tp4008 +a(g262 +V\u005c\u000a +p4009 +tp4010 +a(g6 +V +p4011 +tp4012 +a(g6 +V-s +p4013 +tp4014 +a(g6 +V +tp4015 +a(g6 +VModules +p4016 +tp4017 +a(g6 +V +tp4018 +a(g262 +V\u005c\u000a +p4019 +tp4020 +a(g6 +V +p4021 +tp4022 +a(g6 +VModules/Setup.config +p4023 +tp4024 +a(g6 +V +tp4025 +a(g262 +V\u005c\u000a +p4026 +tp4027 +a(g6 +V +p4028 +tp4029 +a(g6 +VModules/Setup.local +p4030 +tp4031 +a(g6 +V +tp4032 +a(g262 +V\u005c\u000a +p4033 +tp4034 +a(g6 +V +p4035 +tp4036 +a(g6 +VModules/Setup +p4037 +tp4038 +a(g6 +V\u000a +p4039 +tp4040 +a(g6 +V@mv +p4041 +tp4042 +a(g6 +V +tp4043 +a(g6 +Vconfig.c +p4044 +tp4045 +a(g6 +V +tp4046 +a(g6 +VModules +p4047 +tp4048 +a(g6 +V\u000a +p4049 +tp4050 +a(g6 +V@echo +p4051 +tp4052 +a(g6 +V +tp4053 +a(g255 +V"The Makefile was updated, you may need to re-run make." +p4054 +tp4055 +a(g6 +V\u000a\u000a\u000a +p4056 +tp4057 +a(g6 +VModules/Setup: +p4058 +tp4059 +a(g6 +V +tp4060 +a(g138 +V$( +p4061 +tp4062 +a(g6 +Vsrcdir +p4063 +tp4064 +a(g138 +V) +tp4065 +a(g6 +V/Modules/Setup.dist +p4066 +tp4067 +a(g6 +V\u000a +p4068 +tp4069 +a(g6 +V@if +p4070 +tp4071 +a(g6 +V +tp4072 +a(g84 +Vtest +p4073 +tp4074 +a(g6 +V +tp4075 +a(g6 +V-f +p4076 +tp4077 +a(g6 +V +tp4078 +a(g6 +VModules/Setup; +p4079 +tp4080 +a(g6 +V +tp4081 +a(g138 +Vthen +p4082 +tp4083 +a(g6 +V +tp4084 +a(g262 +V\u005c\u000a +p4085 +tp4086 +a(g6 +V +p4087 +tp4088 +a(g84 +Vecho +p4089 +tp4090 +a(g6 +V +tp4091 +a(g255 +V"-----------------------------------------------" +p4092 +tp4093 +a(g6 +V; +tp4094 +a(g6 +V +tp4095 +a(g262 +V\u005c\u000a +p4096 +tp4097 +a(g6 +V +p4098 +tp4099 +a(g84 +Vecho +p4100 +tp4101 +a(g6 +V +tp4102 +a(g255 +V"Modules/Setup.dist is newer than Modules/Setup;" +p4103 +tp4104 +a(g6 +V; +tp4105 +a(g6 +V +tp4106 +a(g262 +V\u005c\u000a +p4107 +tp4108 +a(g6 +V +p4109 +tp4110 +a(g84 +Vecho +p4111 +tp4112 +a(g6 +V +tp4113 +a(g255 +V"check to make sure you have all the updates you" +p4114 +tp4115 +a(g6 +V; +tp4116 +a(g6 +V +tp4117 +a(g262 +V\u005c\u000a +p4118 +tp4119 +a(g6 +V +p4120 +tp4121 +a(g84 +Vecho +p4122 +tp4123 +a(g6 +V +tp4124 +a(g255 +V"need in your Modules/Setup file." +p4125 +tp4126 +a(g6 +V; +tp4127 +a(g6 +V +tp4128 +a(g262 +V\u005c\u000a +p4129 +tp4130 +a(g6 +V +p4131 +tp4132 +a(g84 +Vecho +p4133 +tp4134 +a(g6 +V +tp4135 +a(g255 +V"Usually, copying Setup.dist to Setup will work." +p4136 +tp4137 +a(g6 +V; +tp4138 +a(g6 +V +tp4139 +a(g262 +V\u005c\u000a +p4140 +tp4141 +a(g6 +V +p4142 +tp4143 +a(g84 +Vecho +p4144 +tp4145 +a(g6 +V +tp4146 +a(g255 +V"-----------------------------------------------" +p4147 +tp4148 +a(g6 +V; +tp4149 +a(g6 +V +tp4150 +a(g262 +V\u005c\u000a +p4151 +tp4152 +a(g6 +V +tp4153 +a(g138 +Vfi\u000a\u000a +p4154 +tp4155 +a(g24 +V############################################################################\u000a +p4156 +tp4157 +a(g138 +V +tp4158 +a(g24 +V# Special rules for object files\u000a +p4159 +tp4160 +a(g138 +V\u000a +tp4161 +a(g6 +VModules/getbuildinfo.o: +p4162 +tp4163 +a(g6 +V +tp4164 +a(g138 +V$( +p4165 +tp4166 +a(g6 +VPARSER_OBJS +p4167 +tp4168 +a(g138 +V) +tp4169 +a(g6 +V +tp4170 +a(g262 +V\u005c\u000a +p4171 +tp4172 +a(g6 +V +p4173 +tp4174 +a(g138 +V$( +p4175 +tp4176 +a(g6 +VOBJECT_OBJS +p4177 +tp4178 +a(g138 +V) +tp4179 +a(g6 +V +tp4180 +a(g262 +V\u005c\u000a +p4181 +tp4182 +a(g6 +V +p4183 +tp4184 +a(g138 +V$( +p4185 +tp4186 +a(g6 +VPYTHON_OBJS +p4187 +tp4188 +a(g138 +V) +tp4189 +a(g6 +V +tp4190 +a(g262 +V\u005c\u000a +p4191 +tp4192 +a(g6 +V +p4193 +tp4194 +a(g138 +V$( +p4195 +tp4196 +a(g6 +VMODULE_OBJS +p4197 +tp4198 +a(g138 +V) +tp4199 +a(g6 +V +tp4200 +a(g262 +V\u005c\u000a +p4201 +tp4202 +a(g6 +V +p4203 +tp4204 +a(g138 +V$( +p4205 +tp4206 +a(g6 +VSIGNAL_OBJS +p4207 +tp4208 +a(g138 +V) +tp4209 +a(g6 +V +tp4210 +a(g262 +V\u005c\u000a +p4211 +tp4212 +a(g6 +V +p4213 +tp4214 +a(g138 +V$( +p4215 +tp4216 +a(g6 +VMODOBJS +p4217 +tp4218 +a(g138 +V) +tp4219 +a(g6 +V +tp4220 +a(g262 +V\u005c\u000a +p4221 +tp4222 +a(g6 +V +p4223 +tp4224 +a(g138 +V$( +p4225 +tp4226 +a(g6 +Vsrcdir +p4227 +tp4228 +a(g138 +V) +tp4229 +a(g6 +V/Modules/getbuildinfo.c +p4230 +tp4231 +a(g6 +V\u000a +p4232 +tp4233 +a(g138 +V$( +p4234 +tp4235 +a(g6 +VCC +p4236 +tp4237 +a(g138 +V) +tp4238 +a(g6 +V +tp4239 +a(g6 +V-c +p4240 +tp4241 +a(g6 +V +tp4242 +a(g138 +V$( +p4243 +tp4244 +a(g6 +VPY_CFLAGS +p4245 +tp4246 +a(g138 +V) +tp4247 +a(g6 +V +tp4248 +a(g6 +V-DSVNVERSION +p4249 +tp4250 +a(g353 +V= +tp4251 +a(g262 +V\u005c" +p4252 +tp4253 +a(g274 +V` +tp4254 +a(g100 +VLC_ALL +p4255 +tp4256 +a(g353 +V= +tp4257 +a(g6 +VC +tp4258 +a(g6 +V +tp4259 +a(g138 +V$( +p4260 +tp4261 +a(g6 +VSVNVERSION +p4262 +tp4263 +a(g138 +V) +tp4264 +a(g274 +V` +tp4265 +a(g262 +V\u005c" +p4266 +tp4267 +a(g6 +V +tp4268 +a(g6 +V-o +p4269 +tp4270 +a(g6 +V +tp4271 +a(g100 +V$@ +p4272 +tp4273 +a(g6 +V +tp4274 +a(g138 +V$( +p4275 +tp4276 +a(g6 +Vsrcdir +p4277 +tp4278 +a(g138 +V) +tp4279 +a(g6 +V/Modules/getbuildinfo.c +p4280 +tp4281 +a(g6 +V\u000a\u000a +p4282 +tp4283 +a(g6 +VModules/getpath.o: +p4284 +tp4285 +a(g6 +V +tp4286 +a(g138 +V$( +p4287 +tp4288 +a(g6 +Vsrcdir +p4289 +tp4290 +a(g138 +V) +tp4291 +a(g6 +V/Modules/getpath.c +p4292 +tp4293 +a(g6 +V +tp4294 +a(g6 +VMakefile +p4295 +tp4296 +a(g6 +V\u000a +p4297 +tp4298 +a(g138 +V$( +p4299 +tp4300 +a(g6 +VCC +p4301 +tp4302 +a(g138 +V) +tp4303 +a(g6 +V +tp4304 +a(g6 +V-c +p4305 +tp4306 +a(g6 +V +tp4307 +a(g138 +V$( +p4308 +tp4309 +a(g6 +VPY_CFLAGS +p4310 +tp4311 +a(g138 +V) +tp4312 +a(g6 +V +tp4313 +a(g6 +V-DPYTHONPATH +p4314 +tp4315 +a(g353 +V= +tp4316 +a(g281 +V'"$(PYTHONPATH)"' +p4317 +tp4318 +a(g6 +V +tp4319 +a(g262 +V\u005c\u000a +p4320 +tp4321 +a(g6 +V +p4322 +tp4323 +a(g6 +V-DPREFIX +p4324 +tp4325 +a(g353 +V= +tp4326 +a(g281 +V'"$(prefix)"' +p4327 +tp4328 +a(g6 +V +tp4329 +a(g262 +V\u005c\u000a +p4330 +tp4331 +a(g6 +V +p4332 +tp4333 +a(g6 +V-DEXEC_PREFIX +p4334 +tp4335 +a(g353 +V= +tp4336 +a(g281 +V'"$(exec_prefix)"' +p4337 +tp4338 +a(g6 +V +tp4339 +a(g262 +V\u005c\u000a +p4340 +tp4341 +a(g6 +V +p4342 +tp4343 +a(g6 +V-DVERSION +p4344 +tp4345 +a(g353 +V= +tp4346 +a(g281 +V'"$(VERSION)"' +p4347 +tp4348 +a(g6 +V +tp4349 +a(g262 +V\u005c\u000a +p4350 +tp4351 +a(g6 +V +p4352 +tp4353 +a(g6 +V-DVPATH +p4354 +tp4355 +a(g353 +V= +tp4356 +a(g281 +V'"$(VPATH)"' +p4357 +tp4358 +a(g6 +V +tp4359 +a(g262 +V\u005c\u000a +p4360 +tp4361 +a(g6 +V +p4362 +tp4363 +a(g6 +V-o +p4364 +tp4365 +a(g6 +V +tp4366 +a(g100 +V$@ +p4367 +tp4368 +a(g6 +V +tp4369 +a(g138 +V$( +p4370 +tp4371 +a(g6 +Vsrcdir +p4372 +tp4373 +a(g138 +V) +tp4374 +a(g6 +V/Modules/getpath.c +p4375 +tp4376 +a(g6 +V\u000a\u000a +p4377 +tp4378 +a(g6 +VModules/python.o: +p4379 +tp4380 +a(g6 +V +tp4381 +a(g138 +V$( +p4382 +tp4383 +a(g6 +Vsrcdir +p4384 +tp4385 +a(g138 +V) +tp4386 +a(g6 +V/Modules/python.c +p4387 +tp4388 +a(g6 +V\u000a +p4389 +tp4390 +a(g138 +V$( +p4391 +tp4392 +a(g6 +VMAINCC +p4393 +tp4394 +a(g138 +V) +tp4395 +a(g6 +V +tp4396 +a(g6 +V-c +p4397 +tp4398 +a(g6 +V +tp4399 +a(g138 +V$( +p4400 +tp4401 +a(g6 +VPY_CFLAGS +p4402 +tp4403 +a(g138 +V) +tp4404 +a(g6 +V +tp4405 +a(g6 +V-o +p4406 +tp4407 +a(g6 +V +tp4408 +a(g100 +V$@ +p4409 +tp4410 +a(g6 +V +tp4411 +a(g138 +V$( +p4412 +tp4413 +a(g6 +Vsrcdir +p4414 +tp4415 +a(g138 +V) +tp4416 +a(g6 +V/Modules/python.c +p4417 +tp4418 +a(g6 +V\u000a\u000a\u000a +p4419 +tp4420 +a(g138 +V$( +p4421 +tp4422 +a(g6 +VGRAMMAR_H +p4423 +tp4424 +a(g138 +V) +tp4425 +a(g6 +V +tp4426 +a(g138 +V$( +p4427 +tp4428 +a(g6 +VGRAMMAR_C +p4429 +tp4430 +a(g138 +V) +tp4431 +a(g6 +V: +tp4432 +a(g6 +V +tp4433 +a(g138 +V$( +p4434 +tp4435 +a(g6 +VPGEN +p4436 +tp4437 +a(g138 +V) +tp4438 +a(g6 +V +tp4439 +a(g138 +V$( +p4440 +tp4441 +a(g6 +VGRAMMAR_INPUT +p4442 +tp4443 +a(g138 +V) +tp4444 +a(g6 +V\u000a +p4445 +tp4446 +a(g6 +V- +tp4447 +a(g138 +V$( +p4448 +tp4449 +a(g6 +VPGEN +p4450 +tp4451 +a(g138 +V) +tp4452 +a(g6 +V +tp4453 +a(g138 +V$( +p4454 +tp4455 +a(g6 +VGRAMMAR_INPUT +p4456 +tp4457 +a(g138 +V) +tp4458 +a(g6 +V +tp4459 +a(g138 +V$( +p4460 +tp4461 +a(g6 +VGRAMMAR_H +p4462 +tp4463 +a(g138 +V) +tp4464 +a(g6 +V +tp4465 +a(g138 +V$( +p4466 +tp4467 +a(g6 +VGRAMMAR_C +p4468 +tp4469 +a(g138 +V) +tp4470 +a(g6 +V\u000a\u000a +p4471 +tp4472 +a(g138 +V$( +p4473 +tp4474 +a(g6 +VPGEN +p4475 +tp4476 +a(g138 +V) +tp4477 +a(g6 +V: +tp4478 +a(g6 +V +tp4479 +a(g138 +V$( +p4480 +tp4481 +a(g6 +VPGENOBJS +p4482 +tp4483 +a(g138 +V) +tp4484 +a(g6 +V\u000a +p4485 +tp4486 +a(g138 +V$( +p4487 +tp4488 +a(g6 +VCC +p4489 +tp4490 +a(g138 +V) +tp4491 +a(g6 +V +tp4492 +a(g138 +V$( +p4493 +tp4494 +a(g6 +VOPT +p4495 +tp4496 +a(g138 +V) +tp4497 +a(g6 +V +tp4498 +a(g138 +V$( +p4499 +tp4500 +a(g6 +VLDFLAGS +p4501 +tp4502 +a(g138 +V) +tp4503 +a(g6 +V +tp4504 +a(g138 +V$( +p4505 +tp4506 +a(g6 +VPGENOBJS +p4507 +tp4508 +a(g138 +V) +tp4509 +a(g6 +V +tp4510 +a(g138 +V$( +p4511 +tp4512 +a(g6 +VLIBS +p4513 +tp4514 +a(g138 +V) +tp4515 +a(g6 +V +tp4516 +a(g6 +V-o +p4517 +tp4518 +a(g6 +V +tp4519 +a(g138 +V$( +p4520 +tp4521 +a(g6 +VPGEN +p4522 +tp4523 +a(g138 +V) +tp4524 +a(g6 +V\u000a\u000a +p4525 +tp4526 +a(g6 +VParser/grammar.o: +p4527 +tp4528 +a(g6 +V +tp4529 +a(g138 +V$( +p4530 +tp4531 +a(g6 +Vsrcdir +p4532 +tp4533 +a(g138 +V) +tp4534 +a(g6 +V/Parser/grammar.c +p4535 +tp4536 +a(g6 +V +tp4537 +a(g262 +V\u005c\u000a +p4538 +tp4539 +a(g6 +V +p4540 +tp4541 +a(g138 +V$( +p4542 +tp4543 +a(g6 +Vsrcdir +p4544 +tp4545 +a(g138 +V) +tp4546 +a(g6 +V/Include/token.h +p4547 +tp4548 +a(g6 +V +tp4549 +a(g262 +V\u005c\u000a +p4550 +tp4551 +a(g6 +V +p4552 +tp4553 +a(g138 +V$( +p4554 +tp4555 +a(g6 +Vsrcdir +p4556 +tp4557 +a(g138 +V) +tp4558 +a(g6 +V/Include/grammar.h +p4559 +tp4560 +a(g6 +V\u000a +tp4561 +a(g6 +VParser/metagrammar.o: +p4562 +tp4563 +a(g6 +V +tp4564 +a(g138 +V$( +p4565 +tp4566 +a(g6 +Vsrcdir +p4567 +tp4568 +a(g138 +V) +tp4569 +a(g6 +V/Parser/metagrammar.c +p4570 +tp4571 +a(g6 +V\u000a\u000a +p4572 +tp4573 +a(g6 +VParser/tokenizer_pgen.o: +p4574 +tp4575 +a(g6 +V +tp4576 +a(g138 +V$( +p4577 +tp4578 +a(g6 +Vsrcdir +p4579 +tp4580 +a(g138 +V) +tp4581 +a(g6 +V/Parser/tokenizer.c +p4582 +tp4583 +a(g6 +V\u000a\u000a +p4584 +tp4585 +a(g6 +VParser/pgenmain.o: +p4586 +tp4587 +a(g6 +V +tp4588 +a(g138 +V$( +p4589 +tp4590 +a(g6 +Vsrcdir +p4591 +tp4592 +a(g138 +V) +tp4593 +a(g6 +V/Include/parsetok.h +p4594 +tp4595 +a(g6 +V\u000a\u000a +p4596 +tp4597 +a(g138 +V$( +p4598 +tp4599 +a(g6 +VAST_H +p4600 +tp4601 +a(g138 +V) +tp4602 +a(g6 +V: +tp4603 +a(g6 +V +tp4604 +a(g138 +V$( +p4605 +tp4606 +a(g6 +VAST_ASDL +p4607 +tp4608 +a(g138 +V) +tp4609 +a(g6 +V +tp4610 +a(g138 +V$( +p4611 +tp4612 +a(g6 +VASDLGEN_FILES +p4613 +tp4614 +a(g138 +V) +tp4615 +a(g6 +V\u000a +p4616 +tp4617 +a(g138 +V$( +p4618 +tp4619 +a(g6 +VASDLGEN +p4620 +tp4621 +a(g138 +V) +tp4622 +a(g6 +V +tp4623 +a(g6 +V-h +p4624 +tp4625 +a(g6 +V +tp4626 +a(g138 +V$( +p4627 +tp4628 +a(g6 +VAST_H_DIR +p4629 +tp4630 +a(g138 +V) +tp4631 +a(g6 +V +tp4632 +a(g138 +V$( +p4633 +tp4634 +a(g6 +VAST_ASDL +p4635 +tp4636 +a(g138 +V) +tp4637 +a(g6 +V\u000a\u000a +p4638 +tp4639 +a(g138 +V$( +p4640 +tp4641 +a(g6 +VAST_C +p4642 +tp4643 +a(g138 +V) +tp4644 +a(g6 +V: +tp4645 +a(g6 +V +tp4646 +a(g138 +V$( +p4647 +tp4648 +a(g6 +VAST_ASDL +p4649 +tp4650 +a(g138 +V) +tp4651 +a(g6 +V +tp4652 +a(g138 +V$( +p4653 +tp4654 +a(g6 +VASDLGEN_FILES +p4655 +tp4656 +a(g138 +V) +tp4657 +a(g6 +V\u000a +p4658 +tp4659 +a(g138 +V$( +p4660 +tp4661 +a(g6 +VASDLGEN +p4662 +tp4663 +a(g138 +V) +tp4664 +a(g6 +V +tp4665 +a(g6 +V-c +p4666 +tp4667 +a(g6 +V +tp4668 +a(g138 +V$( +p4669 +tp4670 +a(g6 +VAST_C_DIR +p4671 +tp4672 +a(g138 +V) +tp4673 +a(g6 +V +tp4674 +a(g138 +V$( +p4675 +tp4676 +a(g6 +VAST_ASDL +p4677 +tp4678 +a(g138 +V) +tp4679 +a(g6 +V\u000a\u000a +p4680 +tp4681 +a(g6 +VPython/compile.o +p4682 +tp4683 +a(g6 +V +tp4684 +a(g6 +VPython/symtable.o: +p4685 +tp4686 +a(g6 +V +tp4687 +a(g138 +V$( +p4688 +tp4689 +a(g6 +VGRAMMAR_H +p4690 +tp4691 +a(g138 +V) +tp4692 +a(g6 +V +tp4693 +a(g138 +V$( +p4694 +tp4695 +a(g6 +VAST_H +p4696 +tp4697 +a(g138 +V) +tp4698 +a(g6 +V\u000a\u000a +p4699 +tp4700 +a(g6 +VPython/getplatform.o: +p4701 +tp4702 +a(g6 +V +tp4703 +a(g138 +V$( +p4704 +tp4705 +a(g6 +Vsrcdir +p4706 +tp4707 +a(g138 +V) +tp4708 +a(g6 +V/Python/getplatform.c +p4709 +tp4710 +a(g6 +V\u000a +p4711 +tp4712 +a(g138 +V$( +p4713 +tp4714 +a(g6 +VCC +p4715 +tp4716 +a(g138 +V) +tp4717 +a(g6 +V +tp4718 +a(g6 +V-c +p4719 +tp4720 +a(g6 +V +tp4721 +a(g138 +V$( +p4722 +tp4723 +a(g6 +VPY_CFLAGS +p4724 +tp4725 +a(g138 +V) +tp4726 +a(g6 +V +tp4727 +a(g6 +V-DPLATFORM +p4728 +tp4729 +a(g353 +V= +tp4730 +a(g281 +V'"$(MACHDEP)"' +p4731 +tp4732 +a(g6 +V +tp4733 +a(g6 +V-o +p4734 +tp4735 +a(g6 +V +tp4736 +a(g100 +V$@ +p4737 +tp4738 +a(g6 +V +tp4739 +a(g138 +V$( +p4740 +tp4741 +a(g6 +Vsrcdir +p4742 +tp4743 +a(g138 +V) +tp4744 +a(g6 +V/Python/getplatform.c +p4745 +tp4746 +a(g6 +V\u000a\u000a +p4747 +tp4748 +a(g6 +VPython/importdl.o: +p4749 +tp4750 +a(g6 +V +tp4751 +a(g138 +V$( +p4752 +tp4753 +a(g6 +Vsrcdir +p4754 +tp4755 +a(g138 +V) +tp4756 +a(g6 +V/Python/importdl.c +p4757 +tp4758 +a(g6 +V\u000a +p4759 +tp4760 +a(g138 +V$( +p4761 +tp4762 +a(g6 +VCC +p4763 +tp4764 +a(g138 +V) +tp4765 +a(g6 +V +tp4766 +a(g6 +V-c +p4767 +tp4768 +a(g6 +V +tp4769 +a(g138 +V$( +p4770 +tp4771 +a(g6 +VPY_CFLAGS +p4772 +tp4773 +a(g138 +V) +tp4774 +a(g6 +V +tp4775 +a(g6 +V-I +p4776 +tp4777 +a(g138 +V$( +p4778 +tp4779 +a(g6 +VDLINCLDIR +p4780 +tp4781 +a(g138 +V) +tp4782 +a(g6 +V +tp4783 +a(g6 +V-o +p4784 +tp4785 +a(g6 +V +tp4786 +a(g100 +V$@ +p4787 +tp4788 +a(g6 +V +tp4789 +a(g138 +V$( +p4790 +tp4791 +a(g6 +Vsrcdir +p4792 +tp4793 +a(g138 +V) +tp4794 +a(g6 +V/Python/importdl.c +p4795 +tp4796 +a(g6 +V\u000a\u000a +p4797 +tp4798 +a(g6 +VObjects/unicodectype.o: +p4799 +tp4800 +a(g6 +V +tp4801 +a(g138 +V$( +p4802 +tp4803 +a(g6 +Vsrcdir +p4804 +tp4805 +a(g138 +V) +tp4806 +a(g6 +V/Objects/unicodectype.c +p4807 +tp4808 +a(g6 +V +tp4809 +a(g262 +V\u005c\u000a +p4810 +tp4811 +a(g6 +V +p4812 +tp4813 +a(g138 +V$( +p4814 +tp4815 +a(g6 +Vsrcdir +p4816 +tp4817 +a(g138 +V) +tp4818 +a(g6 +V/Objects/unicodetype_db.h +p4819 +tp4820 +a(g6 +V\u000a\u000a +p4821 +tp4822 +a(g24 +V############################################################################\u000a +p4823 +tp4824 +a(g6 +V +tp4825 +a(g24 +V# Header files\u000a +p4826 +tp4827 +a(g6 +V\u000a +tp4828 +a(g100 +VPYTHON_HEADERS +p4829 +tp4830 +a(g353 +V= +tp4831 +a(g6 +V +tp4832 +a(g262 +V\u005c\u000a +p4833 +tp4834 +a(g6 +V +p4835 +tp4836 +a(g6 +VInclude/Python.h +p4837 +tp4838 +a(g6 +V +tp4839 +a(g262 +V\u005c\u000a +p4840 +tp4841 +a(g6 +V +p4842 +tp4843 +a(g6 +VInclude/Python-ast.h +p4844 +tp4845 +a(g6 +V +tp4846 +a(g262 +V\u005c\u000a +p4847 +tp4848 +a(g6 +V +p4849 +tp4850 +a(g6 +VInclude/asdl.h +p4851 +tp4852 +a(g6 +V +tp4853 +a(g262 +V\u005c\u000a +p4854 +tp4855 +a(g6 +V +p4856 +tp4857 +a(g6 +VInclude/abstract.h +p4858 +tp4859 +a(g6 +V +tp4860 +a(g262 +V\u005c\u000a +p4861 +tp4862 +a(g6 +V +p4863 +tp4864 +a(g6 +VInclude/boolobject.h +p4865 +tp4866 +a(g6 +V +tp4867 +a(g262 +V\u005c\u000a +p4868 +tp4869 +a(g6 +V +p4870 +tp4871 +a(g6 +VInclude/bufferobject.h +p4872 +tp4873 +a(g6 +V +tp4874 +a(g262 +V\u005c\u000a +p4875 +tp4876 +a(g6 +V +p4877 +tp4878 +a(g6 +VInclude/ceval.h +p4879 +tp4880 +a(g6 +V +tp4881 +a(g262 +V\u005c\u000a +p4882 +tp4883 +a(g6 +V +p4884 +tp4885 +a(g6 +VInclude/classobject.h +p4886 +tp4887 +a(g6 +V +tp4888 +a(g262 +V\u005c\u000a +p4889 +tp4890 +a(g6 +V +p4891 +tp4892 +a(g6 +VInclude/cobject.h +p4893 +tp4894 +a(g6 +V +tp4895 +a(g262 +V\u005c\u000a +p4896 +tp4897 +a(g6 +V +p4898 +tp4899 +a(g6 +VInclude/code.h +p4900 +tp4901 +a(g6 +V +tp4902 +a(g262 +V\u005c\u000a +p4903 +tp4904 +a(g6 +V +p4905 +tp4906 +a(g6 +VInclude/codecs.h +p4907 +tp4908 +a(g6 +V +tp4909 +a(g262 +V\u005c\u000a +p4910 +tp4911 +a(g6 +V +p4912 +tp4913 +a(g6 +VInclude/compile.h +p4914 +tp4915 +a(g6 +V +tp4916 +a(g262 +V\u005c\u000a +p4917 +tp4918 +a(g6 +V +p4919 +tp4920 +a(g6 +VInclude/complexobject.h +p4921 +tp4922 +a(g6 +V +tp4923 +a(g262 +V\u005c\u000a +p4924 +tp4925 +a(g6 +V +p4926 +tp4927 +a(g6 +VInclude/descrobject.h +p4928 +tp4929 +a(g6 +V +tp4930 +a(g262 +V\u005c\u000a +p4931 +tp4932 +a(g6 +V +p4933 +tp4934 +a(g6 +VInclude/dictobject.h +p4935 +tp4936 +a(g6 +V +tp4937 +a(g262 +V\u005c\u000a +p4938 +tp4939 +a(g6 +V +p4940 +tp4941 +a(g6 +VInclude/enumobject.h +p4942 +tp4943 +a(g6 +V +tp4944 +a(g262 +V\u005c\u000a +p4945 +tp4946 +a(g6 +V +p4947 +tp4948 +a(g6 +VInclude/genobject.h +p4949 +tp4950 +a(g6 +V +tp4951 +a(g262 +V\u005c\u000a +p4952 +tp4953 +a(g6 +V +p4954 +tp4955 +a(g6 +VInclude/fileobject.h +p4956 +tp4957 +a(g6 +V +tp4958 +a(g262 +V\u005c\u000a +p4959 +tp4960 +a(g6 +V +p4961 +tp4962 +a(g6 +VInclude/floatobject.h +p4963 +tp4964 +a(g6 +V +tp4965 +a(g262 +V\u005c\u000a +p4966 +tp4967 +a(g6 +V +p4968 +tp4969 +a(g6 +VInclude/funcobject.h +p4970 +tp4971 +a(g6 +V +tp4972 +a(g262 +V\u005c\u000a +p4973 +tp4974 +a(g6 +V +p4975 +tp4976 +a(g6 +VInclude/import.h +p4977 +tp4978 +a(g6 +V +tp4979 +a(g262 +V\u005c\u000a +p4980 +tp4981 +a(g6 +V +p4982 +tp4983 +a(g6 +VInclude/intobject.h +p4984 +tp4985 +a(g6 +V +tp4986 +a(g262 +V\u005c\u000a +p4987 +tp4988 +a(g6 +V +p4989 +tp4990 +a(g6 +VInclude/intrcheck.h +p4991 +tp4992 +a(g6 +V +tp4993 +a(g262 +V\u005c\u000a +p4994 +tp4995 +a(g6 +V +p4996 +tp4997 +a(g6 +VInclude/iterobject.h +p4998 +tp4999 +a(g6 +V +tp5000 +a(g262 +V\u005c\u000a +p5001 +tp5002 +a(g6 +V +p5003 +tp5004 +a(g6 +VInclude/listobject.h +p5005 +tp5006 +a(g6 +V +tp5007 +a(g262 +V\u005c\u000a +p5008 +tp5009 +a(g6 +V +p5010 +tp5011 +a(g6 +VInclude/longobject.h +p5012 +tp5013 +a(g6 +V +tp5014 +a(g262 +V\u005c\u000a +p5015 +tp5016 +a(g6 +V +p5017 +tp5018 +a(g6 +VInclude/methodobject.h +p5019 +tp5020 +a(g6 +V +tp5021 +a(g262 +V\u005c\u000a +p5022 +tp5023 +a(g6 +V +p5024 +tp5025 +a(g6 +VInclude/modsupport.h +p5026 +tp5027 +a(g6 +V +tp5028 +a(g262 +V\u005c\u000a +p5029 +tp5030 +a(g6 +V +p5031 +tp5032 +a(g6 +VInclude/moduleobject.h +p5033 +tp5034 +a(g6 +V +tp5035 +a(g262 +V\u005c\u000a +p5036 +tp5037 +a(g6 +V +p5038 +tp5039 +a(g6 +VInclude/object.h +p5040 +tp5041 +a(g6 +V +tp5042 +a(g262 +V\u005c\u000a +p5043 +tp5044 +a(g6 +V +p5045 +tp5046 +a(g6 +VInclude/objimpl.h +p5047 +tp5048 +a(g6 +V +tp5049 +a(g262 +V\u005c\u000a +p5050 +tp5051 +a(g6 +V +p5052 +tp5053 +a(g6 +VInclude/parsetok.h +p5054 +tp5055 +a(g6 +V +tp5056 +a(g262 +V\u005c\u000a +p5057 +tp5058 +a(g6 +V +p5059 +tp5060 +a(g6 +VInclude/patchlevel.h +p5061 +tp5062 +a(g6 +V +tp5063 +a(g262 +V\u005c\u000a +p5064 +tp5065 +a(g6 +V +p5066 +tp5067 +a(g6 +VInclude/pyarena.h +p5068 +tp5069 +a(g6 +V +tp5070 +a(g262 +V\u005c\u000a +p5071 +tp5072 +a(g6 +V +p5073 +tp5074 +a(g6 +VInclude/pydebug.h +p5075 +tp5076 +a(g6 +V +tp5077 +a(g262 +V\u005c\u000a +p5078 +tp5079 +a(g6 +V +p5080 +tp5081 +a(g6 +VInclude/pyerrors.h +p5082 +tp5083 +a(g6 +V +tp5084 +a(g262 +V\u005c\u000a +p5085 +tp5086 +a(g6 +V +p5087 +tp5088 +a(g6 +VInclude/pyfpe.h +p5089 +tp5090 +a(g6 +V +tp5091 +a(g262 +V\u005c\u000a +p5092 +tp5093 +a(g6 +V +p5094 +tp5095 +a(g6 +VInclude/pymem.h +p5096 +tp5097 +a(g6 +V +tp5098 +a(g262 +V\u005c\u000a +p5099 +tp5100 +a(g6 +V +p5101 +tp5102 +a(g6 +VInclude/pyport.h +p5103 +tp5104 +a(g6 +V +tp5105 +a(g262 +V\u005c\u000a +p5106 +tp5107 +a(g6 +V +p5108 +tp5109 +a(g6 +VInclude/pystate.h +p5110 +tp5111 +a(g6 +V +tp5112 +a(g262 +V\u005c\u000a +p5113 +tp5114 +a(g6 +V +p5115 +tp5116 +a(g6 +VInclude/pythonrun.h +p5117 +tp5118 +a(g6 +V +tp5119 +a(g262 +V\u005c\u000a +p5120 +tp5121 +a(g6 +V +p5122 +tp5123 +a(g6 +VInclude/rangeobject.h +p5124 +tp5125 +a(g6 +V +tp5126 +a(g262 +V\u005c\u000a +p5127 +tp5128 +a(g6 +V +p5129 +tp5130 +a(g6 +VInclude/setobject.h +p5131 +tp5132 +a(g6 +V +tp5133 +a(g262 +V\u005c\u000a +p5134 +tp5135 +a(g6 +V +p5136 +tp5137 +a(g6 +VInclude/sliceobject.h +p5138 +tp5139 +a(g6 +V +tp5140 +a(g262 +V\u005c\u000a +p5141 +tp5142 +a(g6 +V +p5143 +tp5144 +a(g6 +VInclude/stringobject.h +p5145 +tp5146 +a(g6 +V +tp5147 +a(g262 +V\u005c\u000a +p5148 +tp5149 +a(g6 +V +p5150 +tp5151 +a(g6 +VInclude/structseq.h +p5152 +tp5153 +a(g6 +V +tp5154 +a(g262 +V\u005c\u000a +p5155 +tp5156 +a(g6 +V +p5157 +tp5158 +a(g6 +VInclude/structmember.h +p5159 +tp5160 +a(g6 +V +tp5161 +a(g262 +V\u005c\u000a +p5162 +tp5163 +a(g6 +V +p5164 +tp5165 +a(g6 +VInclude/symtable.h +p5166 +tp5167 +a(g6 +V +tp5168 +a(g262 +V\u005c\u000a +p5169 +tp5170 +a(g6 +V +p5171 +tp5172 +a(g6 +VInclude/sysmodule.h +p5173 +tp5174 +a(g6 +V +tp5175 +a(g262 +V\u005c\u000a +p5176 +tp5177 +a(g6 +V +p5178 +tp5179 +a(g6 +VInclude/traceback.h +p5180 +tp5181 +a(g6 +V +tp5182 +a(g262 +V\u005c\u000a +p5183 +tp5184 +a(g6 +V +p5185 +tp5186 +a(g6 +VInclude/tupleobject.h +p5187 +tp5188 +a(g6 +V +tp5189 +a(g262 +V\u005c\u000a +p5190 +tp5191 +a(g6 +V +p5192 +tp5193 +a(g6 +VInclude/unicodeobject.h +p5194 +tp5195 +a(g6 +V +tp5196 +a(g262 +V\u005c\u000a +p5197 +tp5198 +a(g6 +V +p5199 +tp5200 +a(g6 +VInclude/weakrefobject.h +p5201 +tp5202 +a(g6 +V +tp5203 +a(g262 +V\u005c\u000a +p5204 +tp5205 +a(g6 +V +p5206 +tp5207 +a(g6 +Vpyconfig.h +p5208 +tp5209 +a(g6 +V\u000a\u000a +p5210 +tp5211 +a(g138 +V$( +p5212 +tp5213 +a(g6 +VLIBRARY_OBJS +p5214 +tp5215 +a(g138 +V) +tp5216 +a(g6 +V +tp5217 +a(g138 +V$( +p5218 +tp5219 +a(g6 +VMODOBJS +p5220 +tp5221 +a(g138 +V) +tp5222 +a(g6 +V +tp5223 +a(g6 +VModules/python.o: +p5224 +tp5225 +a(g6 +V +tp5226 +a(g138 +V$( +p5227 +tp5228 +a(g6 +VPYTHON_HEADERS +p5229 +tp5230 +a(g138 +V) +tp5231 +a(g6 +V\u000a\u000a\u000a +p5232 +tp5233 +a(g24 +V######################################################################\u000a +p5234 +tp5235 +a(g6 +V\u000a +tp5236 +a(g24 +V# Test the interpreter (twice, once without .pyc files, once with)\u000a +p5237 +tp5238 +a(g6 +V +tp5239 +a(g24 +V# In the past, we've had problems where bugs in the marshalling or\u000a +p5240 +tp5241 +a(g6 +V +tp5242 +a(g24 +V# elsewhere caused bytecode read from .pyc files to behave differently\u000a +p5243 +tp5244 +a(g6 +V +tp5245 +a(g24 +V# than bytecode generated directly from a .py source file. Sometimes\u000a +p5246 +tp5247 +a(g6 +V +tp5248 +a(g24 +V# the bytecode read from a .pyc file had the bug, somtimes the directly\u000a +p5249 +tp5250 +a(g6 +V +tp5251 +a(g24 +V# generated bytecode. This is sometimes a very shy bug needing a lot of\u000a +p5252 +tp5253 +a(g6 +V +tp5254 +a(g24 +V# sample data.\u000a +p5255 +tp5256 +a(g6 +V\u000a +tp5257 +a(g100 +VTESTOPTS +p5258 +tp5259 +a(g353 +V= +tp5260 +a(g6 +V +tp5261 +a(g6 +V-l +p5262 +tp5263 +a(g6 +V +tp5264 +a(g138 +V$( +p5265 +tp5266 +a(g6 +VEXTRATESTOPTS +p5267 +tp5268 +a(g138 +V) +tp5269 +a(g6 +V\u000a +tp5270 +a(g100 +VTESTPROG +p5271 +tp5272 +a(g353 +V= +tp5273 +a(g6 +V +tp5274 +a(g138 +V$( +p5275 +tp5276 +a(g6 +Vsrcdir +p5277 +tp5278 +a(g138 +V) +tp5279 +a(g6 +V/Lib/test/regrtest.py +p5280 +tp5281 +a(g6 +V\u000a +tp5282 +a(g100 +VTESTPYTHON +p5283 +tp5284 +a(g353 +V= +tp5285 +a(g6 +V +tp5286 +a(g138 +V$( +p5287 +tp5288 +a(g6 +VRUNSHARED +p5289 +tp5290 +a(g138 +V) +tp5291 +a(g6 +V +tp5292 +a(g6 +V./ +p5293 +tp5294 +a(g138 +V$( +p5295 +tp5296 +a(g6 +VBUILDPYTHON +p5297 +tp5298 +a(g138 +V) +tp5299 +a(g6 +V +tp5300 +a(g6 +V-E +p5301 +tp5302 +a(g6 +V +tp5303 +a(g6 +V-tt +p5304 +tp5305 +a(g6 +V\u000a +tp5306 +a(g84 +Vtest +p5307 +tp5308 +a(g6 +V: +tp5309 +a(g6 +V +p5310 +tp5311 +a(g6 +Vall +p5312 +tp5313 +a(g6 +V +tp5314 +a(g6 +Vplatform +p5315 +tp5316 +a(g6 +V\u000a +p5317 +tp5318 +a(g6 +V-find +p5319 +tp5320 +a(g6 +V +tp5321 +a(g138 +V$( +p5322 +tp5323 +a(g6 +Vsrcdir +p5324 +tp5325 +a(g138 +V) +tp5326 +a(g6 +V/Lib +p5327 +tp5328 +a(g6 +V +tp5329 +a(g6 +V-name +p5330 +tp5331 +a(g6 +V +tp5332 +a(g281 +V'*.py[co]' +p5333 +tp5334 +a(g6 +V +tp5335 +a(g6 +V-print +p5336 +tp5337 +a(g6 +V +tp5338 +a(g6 +V| +tp5339 +a(g6 +V +tp5340 +a(g6 +Vxargs +p5341 +tp5342 +a(g6 +V +tp5343 +a(g6 +Vrm +p5344 +tp5345 +a(g6 +V +tp5346 +a(g6 +V-f +p5347 +tp5348 +a(g6 +V\u000a +p5349 +tp5350 +a(g6 +V- +tp5351 +a(g138 +V$( +p5352 +tp5353 +a(g6 +VTESTPYTHON +p5354 +tp5355 +a(g138 +V) +tp5356 +a(g6 +V +tp5357 +a(g138 +V$( +p5358 +tp5359 +a(g6 +VTESTPROG +p5360 +tp5361 +a(g138 +V) +tp5362 +a(g6 +V +tp5363 +a(g138 +V$( +p5364 +tp5365 +a(g6 +VTESTOPTS +p5366 +tp5367 +a(g138 +V) +tp5368 +a(g6 +V\u000a +p5369 +tp5370 +a(g138 +V$( +p5371 +tp5372 +a(g6 +VTESTPYTHON +p5373 +tp5374 +a(g138 +V) +tp5375 +a(g6 +V +tp5376 +a(g138 +V$( +p5377 +tp5378 +a(g6 +VTESTPROG +p5379 +tp5380 +a(g138 +V) +tp5381 +a(g6 +V +tp5382 +a(g138 +V$( +p5383 +tp5384 +a(g6 +VTESTOPTS +p5385 +tp5386 +a(g138 +V) +tp5387 +a(g6 +V\u000a\u000a +p5388 +tp5389 +a(g6 +Vtestall: +p5390 +tp5391 +a(g6 +V +tp5392 +a(g6 +Vall +p5393 +tp5394 +a(g6 +V +tp5395 +a(g6 +Vplatform +p5396 +tp5397 +a(g6 +V\u000a +p5398 +tp5399 +a(g6 +V-find +p5400 +tp5401 +a(g6 +V +tp5402 +a(g138 +V$( +p5403 +tp5404 +a(g6 +Vsrcdir +p5405 +tp5406 +a(g138 +V) +tp5407 +a(g6 +V/Lib +p5408 +tp5409 +a(g6 +V +tp5410 +a(g6 +V-name +p5411 +tp5412 +a(g6 +V +tp5413 +a(g281 +V'*.py[co]' +p5414 +tp5415 +a(g6 +V +tp5416 +a(g6 +V-print +p5417 +tp5418 +a(g6 +V +tp5419 +a(g6 +V| +tp5420 +a(g6 +V +tp5421 +a(g6 +Vxargs +p5422 +tp5423 +a(g6 +V +tp5424 +a(g6 +Vrm +p5425 +tp5426 +a(g6 +V +tp5427 +a(g6 +V-f +p5428 +tp5429 +a(g6 +V\u000a +p5430 +tp5431 +a(g6 +V- +tp5432 +a(g138 +V$( +p5433 +tp5434 +a(g6 +VTESTPYTHON +p5435 +tp5436 +a(g138 +V) +tp5437 +a(g6 +V +tp5438 +a(g138 +V$( +p5439 +tp5440 +a(g6 +VTESTPROG +p5441 +tp5442 +a(g138 +V) +tp5443 +a(g6 +V +tp5444 +a(g138 +V$( +p5445 +tp5446 +a(g6 +VTESTOPTS +p5447 +tp5448 +a(g138 +V) +tp5449 +a(g6 +V +tp5450 +a(g6 +V-uall +p5451 +tp5452 +a(g6 +V\u000a +p5453 +tp5454 +a(g138 +V$( +p5455 +tp5456 +a(g6 +VTESTPYTHON +p5457 +tp5458 +a(g138 +V) +tp5459 +a(g6 +V +tp5460 +a(g138 +V$( +p5461 +tp5462 +a(g6 +VTESTPROG +p5463 +tp5464 +a(g138 +V) +tp5465 +a(g6 +V +tp5466 +a(g138 +V$( +p5467 +tp5468 +a(g6 +VTESTOPTS +p5469 +tp5470 +a(g138 +V) +tp5471 +a(g6 +V +tp5472 +a(g6 +V-uall +p5473 +tp5474 +a(g6 +V\u000a\u000a +p5475 +tp5476 +a(g24 +V# Run the unitests for both architectures in a Universal build on OSX\u000a +p5477 +tp5478 +a(g6 +V +tp5479 +a(g24 +V# Must be run on an Intel box.\u000a +p5480 +tp5481 +a(g6 +V +tp5482 +a(g6 +Vtestuniversal: +p5483 +tp5484 +a(g6 +V +tp5485 +a(g6 +Vall +p5486 +tp5487 +a(g6 +V +tp5488 +a(g6 +Vplatform +p5489 +tp5490 +a(g6 +V\u000a +p5491 +tp5492 +a(g138 +Vif +p5493 +tp5494 +a(g6 +V +tp5495 +a(g353 +V[ +tp5496 +a(g6 +V +tp5497 +a(g274 +V` +tp5498 +a(g6 +Varch +p5499 +tp5500 +a(g274 +V` +tp5501 +a(g6 +V +tp5502 +a(g6 +V! +tp5503 +a(g353 +V= +tp5504 +a(g6 +V +tp5505 +a(g281 +V'i386' +p5506 +tp5507 +a(g6 +V +tp5508 +a(g353 +V] +tp5509 +a(g6 +V; +tp5510 +a(g138 +Vthen +p5511 +tp5512 +a(g6 +V +tp5513 +a(g262 +V\u005c\u000a +p5514 +tp5515 +a(g6 +V +p5516 +tp5517 +a(g84 +Vecho +p5518 +tp5519 +a(g6 +V +tp5520 +a(g255 +V"This can only be used on OSX/i386" +p5521 +tp5522 +a(g6 +V +tp5523 +a(g6 +V; +tp5524 +a(g262 +V\u005c\u000a +p5525 +tp5526 +a(g6 +V +p5527 +tp5528 +a(g84 +Vexit +p5529 +tp5530 +a(g6 +V1 +tp5531 +a(g6 +V +tp5532 +a(g6 +V; +tp5533 +a(g262 +V\u005c\u000a +p5534 +tp5535 +a(g6 +V +p5536 +tp5537 +a(g138 +Vfi +p5538 +tp5539 +a(g6 +V\u000a +p5540 +tp5541 +a(g6 +V-find +p5542 +tp5543 +a(g6 +V +tp5544 +a(g138 +V$( +p5545 +tp5546 +a(g6 +Vsrcdir +p5547 +tp5548 +a(g138 +V) +tp5549 +a(g6 +V/Lib +p5550 +tp5551 +a(g6 +V +tp5552 +a(g6 +V-name +p5553 +tp5554 +a(g6 +V +tp5555 +a(g281 +V'*.py[co]' +p5556 +tp5557 +a(g6 +V +tp5558 +a(g6 +V-print +p5559 +tp5560 +a(g6 +V +tp5561 +a(g6 +V| +tp5562 +a(g6 +V +tp5563 +a(g6 +Vxargs +p5564 +tp5565 +a(g6 +V +tp5566 +a(g6 +Vrm +p5567 +tp5568 +a(g6 +V +tp5569 +a(g6 +V-f +p5570 +tp5571 +a(g6 +V\u000a +p5572 +tp5573 +a(g6 +V- +tp5574 +a(g138 +V$( +p5575 +tp5576 +a(g6 +VTESTPYTHON +p5577 +tp5578 +a(g138 +V) +tp5579 +a(g6 +V +tp5580 +a(g138 +V$( +p5581 +tp5582 +a(g6 +VTESTPROG +p5583 +tp5584 +a(g138 +V) +tp5585 +a(g6 +V +tp5586 +a(g138 +V$( +p5587 +tp5588 +a(g6 +VTESTOPTS +p5589 +tp5590 +a(g138 +V) +tp5591 +a(g6 +V +tp5592 +a(g6 +V-uall +p5593 +tp5594 +a(g6 +V\u000a +p5595 +tp5596 +a(g138 +V$( +p5597 +tp5598 +a(g6 +VTESTPYTHON +p5599 +tp5600 +a(g138 +V) +tp5601 +a(g6 +V +tp5602 +a(g138 +V$( +p5603 +tp5604 +a(g6 +VTESTPROG +p5605 +tp5606 +a(g138 +V) +tp5607 +a(g6 +V +tp5608 +a(g138 +V$( +p5609 +tp5610 +a(g6 +VTESTOPTS +p5611 +tp5612 +a(g138 +V) +tp5613 +a(g6 +V +tp5614 +a(g6 +V-uall +p5615 +tp5616 +a(g6 +V\u000a +p5617 +tp5618 +a(g138 +V$( +p5619 +tp5620 +a(g6 +VRUNSHARED +p5621 +tp5622 +a(g138 +V) +tp5623 +a(g6 +V +tp5624 +a(g6 +V/usr/libexec/oah/translate +p5625 +tp5626 +a(g6 +V +tp5627 +a(g6 +V./ +p5628 +tp5629 +a(g138 +V$( +p5630 +tp5631 +a(g6 +VBUILDPYTHON +p5632 +tp5633 +a(g138 +V) +tp5634 +a(g6 +V +tp5635 +a(g6 +V-E +p5636 +tp5637 +a(g6 +V +tp5638 +a(g6 +V-tt +p5639 +tp5640 +a(g6 +V +tp5641 +a(g138 +V$( +p5642 +tp5643 +a(g6 +VTESTPROG +p5644 +tp5645 +a(g138 +V) +tp5646 +a(g6 +V +tp5647 +a(g138 +V$( +p5648 +tp5649 +a(g6 +VTESTOPTS +p5650 +tp5651 +a(g138 +V) +tp5652 +a(g6 +V +tp5653 +a(g6 +V-uall +p5654 +tp5655 +a(g6 +V\u000a\u000a\u000a +p5656 +tp5657 +a(g24 +V# Like testall, but with a single pass only\u000a +p5658 +tp5659 +a(g6 +V +tp5660 +a(g6 +Vbuildbottest: +p5661 +tp5662 +a(g6 +V +tp5663 +a(g6 +Vall +p5664 +tp5665 +a(g6 +V +tp5666 +a(g6 +Vplatform +p5667 +tp5668 +a(g6 +V\u000a +p5669 +tp5670 +a(g138 +V$( +p5671 +tp5672 +a(g6 +VTESTPYTHON +p5673 +tp5674 +a(g138 +V) +tp5675 +a(g6 +V +tp5676 +a(g138 +V$( +p5677 +tp5678 +a(g6 +VTESTPROG +p5679 +tp5680 +a(g138 +V) +tp5681 +a(g6 +V +tp5682 +a(g138 +V$( +p5683 +tp5684 +a(g6 +VTESTOPTS +p5685 +tp5686 +a(g138 +V) +tp5687 +a(g6 +V +tp5688 +a(g6 +V-uall +p5689 +tp5690 +a(g6 +V +tp5691 +a(g6 +V-rw +p5692 +tp5693 +a(g6 +V\u000a\u000a +p5694 +tp5695 +a(g100 +VQUICKTESTOPTS +p5696 +tp5697 +a(g353 +V= +tp5698 +a(g6 +V +tp5699 +a(g138 +V$( +p5700 +tp5701 +a(g6 +VTESTOPTS +p5702 +tp5703 +a(g138 +V) +tp5704 +a(g6 +V +tp5705 +a(g6 +V-x +p5706 +tp5707 +a(g6 +V +tp5708 +a(g6 +Vtest_thread +p5709 +tp5710 +a(g6 +V +tp5711 +a(g6 +Vtest_signal +p5712 +tp5713 +a(g6 +V +tp5714 +a(g6 +Vtest_strftime +p5715 +tp5716 +a(g6 +V +tp5717 +a(g262 +V\u005c\u000a +p5718 +tp5719 +a(g6 +V +p5720 +tp5721 +a(g6 +Vtest_unicodedata +p5722 +tp5723 +a(g6 +V +tp5724 +a(g6 +Vtest_re +p5725 +tp5726 +a(g6 +V +tp5727 +a(g6 +Vtest_sre +p5728 +tp5729 +a(g6 +V +tp5730 +a(g6 +Vtest_select +p5731 +tp5732 +a(g6 +V +tp5733 +a(g6 +Vtest_poll +p5734 +tp5735 +a(g6 +V +tp5736 +a(g262 +V\u005c\u000a +p5737 +tp5738 +a(g6 +V +p5739 +tp5740 +a(g6 +Vtest_linuxaudiodev +p5741 +tp5742 +a(g6 +V +tp5743 +a(g6 +Vtest_struct +p5744 +tp5745 +a(g6 +V +tp5746 +a(g6 +Vtest_sunaudiodev +p5747 +tp5748 +a(g6 +V +tp5749 +a(g6 +Vtest_zlib +p5750 +tp5751 +a(g6 +V\u000a +tp5752 +a(g6 +Vquicktest: +p5753 +tp5754 +a(g6 +V +tp5755 +a(g6 +Vall +p5756 +tp5757 +a(g6 +V +tp5758 +a(g6 +Vplatform +p5759 +tp5760 +a(g6 +V\u000a +p5761 +tp5762 +a(g6 +V-find +p5763 +tp5764 +a(g6 +V +tp5765 +a(g138 +V$( +p5766 +tp5767 +a(g6 +Vsrcdir +p5768 +tp5769 +a(g138 +V) +tp5770 +a(g6 +V/Lib +p5771 +tp5772 +a(g6 +V +tp5773 +a(g6 +V-name +p5774 +tp5775 +a(g6 +V +tp5776 +a(g281 +V'*.py[co]' +p5777 +tp5778 +a(g6 +V +tp5779 +a(g6 +V-print +p5780 +tp5781 +a(g6 +V +tp5782 +a(g6 +V| +tp5783 +a(g6 +V +tp5784 +a(g6 +Vxargs +p5785 +tp5786 +a(g6 +V +tp5787 +a(g6 +Vrm +p5788 +tp5789 +a(g6 +V +tp5790 +a(g6 +V-f +p5791 +tp5792 +a(g6 +V\u000a +p5793 +tp5794 +a(g6 +V- +tp5795 +a(g138 +V$( +p5796 +tp5797 +a(g6 +VTESTPYTHON +p5798 +tp5799 +a(g138 +V) +tp5800 +a(g6 +V +tp5801 +a(g138 +V$( +p5802 +tp5803 +a(g6 +VTESTPROG +p5804 +tp5805 +a(g138 +V) +tp5806 +a(g6 +V +tp5807 +a(g138 +V$( +p5808 +tp5809 +a(g6 +VQUICKTESTOPTS +p5810 +tp5811 +a(g138 +V) +tp5812 +a(g6 +V\u000a +p5813 +tp5814 +a(g138 +V$( +p5815 +tp5816 +a(g6 +VTESTPYTHON +p5817 +tp5818 +a(g138 +V) +tp5819 +a(g6 +V +tp5820 +a(g138 +V$( +p5821 +tp5822 +a(g6 +VTESTPROG +p5823 +tp5824 +a(g138 +V) +tp5825 +a(g6 +V +tp5826 +a(g138 +V$( +p5827 +tp5828 +a(g6 +VQUICKTESTOPTS +p5829 +tp5830 +a(g138 +V) +tp5831 +a(g6 +V\u000a\u000a +p5832 +tp5833 +a(g100 +VMEMTESTOPTS +p5834 +tp5835 +a(g353 +V= +tp5836 +a(g6 +V +p5837 +tp5838 +a(g138 +V$( +p5839 +tp5840 +a(g6 +VQUICKTESTOPTS +p5841 +tp5842 +a(g138 +V) +tp5843 +a(g6 +V +tp5844 +a(g6 +V-x +p5845 +tp5846 +a(g6 +V +tp5847 +a(g6 +Vtest_dl +p5848 +tp5849 +a(g6 +V +tp5850 +a(g6 +Vtest___all__ +p5851 +tp5852 +a(g6 +V +tp5853 +a(g6 +Vtest_fork1 +p5854 +tp5855 +a(g6 +V +tp5856 +a(g262 +V\u005c\u000a +p5857 +tp5858 +a(g6 +V +p5859 +tp5860 +a(g6 +Vtest_longexp +p5861 +tp5862 +a(g6 +V\u000a +tp5863 +a(g6 +Vmemtest: +p5864 +tp5865 +a(g6 +V +tp5866 +a(g6 +Vall +p5867 +tp5868 +a(g6 +V +tp5869 +a(g6 +Vplatform +p5870 +tp5871 +a(g6 +V\u000a +p5872 +tp5873 +a(g6 +V-rm +p5874 +tp5875 +a(g6 +V +tp5876 +a(g6 +V-f +p5877 +tp5878 +a(g6 +V +tp5879 +a(g138 +V$( +p5880 +tp5881 +a(g6 +Vsrcdir +p5882 +tp5883 +a(g138 +V) +tp5884 +a(g6 +V/Lib/test/*.py +p5885 +tp5886 +a(g353 +V[ +tp5887 +a(g6 +Vco +p5888 +tp5889 +a(g353 +V] +tp5890 +a(g6 +V\u000a +p5891 +tp5892 +a(g6 +V- +tp5893 +a(g138 +V$( +p5894 +tp5895 +a(g6 +VTESTPYTHON +p5896 +tp5897 +a(g138 +V) +tp5898 +a(g6 +V +tp5899 +a(g138 +V$( +p5900 +tp5901 +a(g6 +VTESTPROG +p5902 +tp5903 +a(g138 +V) +tp5904 +a(g6 +V +tp5905 +a(g138 +V$( +p5906 +tp5907 +a(g6 +VMEMTESTOPTS +p5908 +tp5909 +a(g138 +V) +tp5910 +a(g6 +V\u000a +p5911 +tp5912 +a(g138 +V$( +p5913 +tp5914 +a(g6 +VTESTPYTHON +p5915 +tp5916 +a(g138 +V) +tp5917 +a(g6 +V +tp5918 +a(g138 +V$( +p5919 +tp5920 +a(g6 +VTESTPROG +p5921 +tp5922 +a(g138 +V) +tp5923 +a(g6 +V +tp5924 +a(g138 +V$( +p5925 +tp5926 +a(g6 +VMEMTESTOPTS +p5927 +tp5928 +a(g138 +V) +tp5929 +a(g6 +V\u000a\u000a +p5930 +tp5931 +a(g24 +V# Install everything\u000a +p5932 +tp5933 +a(g6 +V +tp5934 +a(g6 +Vinstall: +p5935 +tp5936 +a(g6 +V +p5937 +tp5938 +a(g6 +Valtinstall +p5939 +tp5940 +a(g6 +V +tp5941 +a(g6 +Vbininstall +p5942 +tp5943 +a(g6 +V +tp5944 +a(g6 +Vmaninstall +p5945 +tp5946 +a(g6 +V \u000a\u000a +p5947 +tp5948 +a(g24 +V# Install almost everything without disturbing previous versions\u000a +p5949 +tp5950 +a(g6 +V +tp5951 +a(g6 +Valtinstall: +p5952 +tp5953 +a(g6 +V +p5954 +tp5955 +a(g6 +Valtbininstall +p5956 +tp5957 +a(g6 +V +tp5958 +a(g6 +Vlibinstall +p5959 +tp5960 +a(g6 +V +tp5961 +a(g6 +Vinclinstall +p5962 +tp5963 +a(g6 +V +tp5964 +a(g6 +Vlibainstall +p5965 +tp5966 +a(g6 +V +tp5967 +a(g262 +V\u005c\u000a +p5968 +tp5969 +a(g6 +V +p5970 +tp5971 +a(g6 +Vsharedinstall +p5972 +tp5973 +a(g6 +V +tp5974 +a(g6 +Voldsharedinstall +p5975 +tp5976 +a(g6 +V \u000a\u000a +p5977 +tp5978 +a(g24 +V# Install shared libraries enabled by Setup\u000a +p5979 +tp5980 +a(g6 +V +tp5981 +a(g100 +VDESTDIRS +p5982 +tp5983 +a(g353 +V= +tp5984 +a(g6 +V +tp5985 +a(g138 +V$( +p5986 +tp5987 +a(g6 +Vexec_prefix +p5988 +tp5989 +a(g138 +V) +tp5990 +a(g6 +V +tp5991 +a(g138 +V$( +p5992 +tp5993 +a(g6 +VLIBDIR +p5994 +tp5995 +a(g138 +V) +tp5996 +a(g6 +V +tp5997 +a(g138 +V$( +p5998 +tp5999 +a(g6 +VBINLIBDEST +p6000 +tp6001 +a(g138 +V) +tp6002 +a(g6 +V +tp6003 +a(g138 +V$( +p6004 +tp6005 +a(g6 +VDESTSHARED +p6006 +tp6007 +a(g138 +V) +tp6008 +a(g6 +V\u000a\u000a +p6009 +tp6010 +a(g6 +Voldsharedinstall: +p6011 +tp6012 +a(g6 +V +tp6013 +a(g138 +V$( +p6014 +tp6015 +a(g6 +VDESTSHARED +p6016 +tp6017 +a(g138 +V) +tp6018 +a(g6 +V +tp6019 +a(g138 +V$( +p6020 +tp6021 +a(g6 +VSHAREDMODS +p6022 +tp6023 +a(g138 +V) +tp6024 +a(g6 +V\u000a +p6025 +tp6026 +a(g6 +V@for +p6027 +tp6028 +a(g6 +V +tp6029 +a(g6 +Vi +tp6030 +a(g6 +V +tp6031 +a(g6 +Vin +p6032 +tp6033 +a(g6 +V +tp6034 +a(g6 +VX +tp6035 +a(g6 +V +tp6036 +a(g138 +V$( +p6037 +tp6038 +a(g6 +VSHAREDMODS +p6039 +tp6040 +a(g138 +V) +tp6041 +a(g6 +V; +tp6042 +a(g6 +V +tp6043 +a(g138 +Vdo +p6044 +tp6045 +a(g6 +V +tp6046 +a(g262 +V\u005c\u000a +p6047 +tp6048 +a(g6 +V +p6049 +tp6050 +a(g138 +Vif +p6051 +tp6052 +a(g84 +Vtest +p6053 +tp6054 +a(g6 +V +tp6055 +a(g100 +V$$ +p6056 +tp6057 +a(g6 +Vi +tp6058 +a(g6 +V +tp6059 +a(g6 +V! +tp6060 +a(g353 +V= +tp6061 +a(g6 +V +tp6062 +a(g6 +VX; +p6063 +tp6064 +a(g6 +V +tp6065 +a(g138 +Vthen +p6066 +tp6067 +a(g6 +V +tp6068 +a(g262 +V\u005c\u000a +p6069 +tp6070 +a(g6 +V +p6071 +tp6072 +a(g84 +Vecho +p6073 +tp6074 +a(g6 +V +tp6075 +a(g138 +V$( +p6076 +tp6077 +a(g6 +VINSTALL_SHARED +p6078 +tp6079 +a(g138 +V) +tp6080 +a(g6 +V +tp6081 +a(g100 +V$$ +p6082 +tp6083 +a(g6 +Vi +tp6084 +a(g6 +V +tp6085 +a(g138 +V$( +p6086 +tp6087 +a(g6 +VDESTSHARED +p6088 +tp6089 +a(g138 +V) +tp6090 +a(g6 +V/ +tp6091 +a(g274 +V` +tp6092 +a(g6 +Vbasename +p6093 +tp6094 +a(g6 +V +tp6095 +a(g100 +V$$ +p6096 +tp6097 +a(g6 +Vi +tp6098 +a(g274 +V` +tp6099 +a(g6 +V; +tp6100 +a(g6 +V +tp6101 +a(g262 +V\u005c\u000a +p6102 +tp6103 +a(g6 +V +p6104 +tp6105 +a(g138 +V$( +p6106 +tp6107 +a(g6 +VINSTALL_SHARED +p6108 +tp6109 +a(g138 +V) +tp6110 +a(g6 +V +tp6111 +a(g100 +V$$ +p6112 +tp6113 +a(g6 +Vi +tp6114 +a(g6 +V +tp6115 +a(g138 +V$( +p6116 +tp6117 +a(g6 +VDESTDIR +p6118 +tp6119 +a(g138 +V) +tp6120 +a(g138 +V$( +p6121 +tp6122 +a(g6 +VDESTSHARED +p6123 +tp6124 +a(g138 +V) +tp6125 +a(g6 +V/ +tp6126 +a(g274 +V` +tp6127 +a(g6 +Vbasename +p6128 +tp6129 +a(g6 +V +tp6130 +a(g100 +V$$ +p6131 +tp6132 +a(g6 +Vi +tp6133 +a(g274 +V` +tp6134 +a(g6 +V; +tp6135 +a(g6 +V +tp6136 +a(g262 +V\u005c\u000a +p6137 +tp6138 +a(g6 +V +p6139 +tp6140 +a(g138 +Vfi +p6141 +tp6142 +a(g6 +V; +tp6143 +a(g6 +V +tp6144 +a(g262 +V\u005c\u000a +p6145 +tp6146 +a(g6 +V +p6147 +tp6148 +a(g138 +Vdone +p6149 +tp6150 +a(g6 +V\u000a\u000a +p6151 +tp6152 +a(g138 +V$( +p6153 +tp6154 +a(g6 +VDESTSHARED +p6155 +tp6156 +a(g138 +V) +tp6157 +a(g6 +V: +tp6158 +a(g6 +V\u000a +p6159 +tp6160 +a(g6 +V@for +p6161 +tp6162 +a(g6 +V +tp6163 +a(g6 +Vi +tp6164 +a(g6 +V +tp6165 +a(g6 +Vin +p6166 +tp6167 +a(g6 +V +tp6168 +a(g138 +V$( +p6169 +tp6170 +a(g6 +VDESTDIRS +p6171 +tp6172 +a(g138 +V) +tp6173 +a(g6 +V; +tp6174 +a(g6 +V +tp6175 +a(g262 +V\u005c\u000a +p6176 +tp6177 +a(g6 +V +p6178 +tp6179 +a(g138 +Vdo +p6180 +tp6181 +a(g6 +V +tp6182 +a(g262 +V\u005c\u000a +p6183 +tp6184 +a(g6 +V +p6185 +tp6186 +a(g138 +Vif +p6187 +tp6188 +a(g84 +Vtest +p6189 +tp6190 +a(g6 +V +tp6191 +a(g6 +V! +tp6192 +a(g6 +V +tp6193 +a(g6 +V-d +p6194 +tp6195 +a(g6 +V +tp6196 +a(g138 +V$( +p6197 +tp6198 +a(g6 +VDESTDIR +p6199 +tp6200 +a(g138 +V) +tp6201 +a(g100 +V$$ +p6202 +tp6203 +a(g6 +Vi; +p6204 +tp6205 +a(g6 +V +tp6206 +a(g138 +Vthen +p6207 +tp6208 +a(g6 +V +tp6209 +a(g262 +V\u005c\u000a +p6210 +tp6211 +a(g6 +V +p6212 +tp6213 +a(g84 +Vecho +p6214 +tp6215 +a(g6 +V +tp6216 +a(g255 +V"Creating directory $$i" +p6217 +tp6218 +a(g6 +V; +tp6219 +a(g6 +V +tp6220 +a(g262 +V\u005c\u000a +p6221 +tp6222 +a(g6 +V +p6223 +tp6224 +a(g138 +V$( +p6225 +tp6226 +a(g6 +VINSTALL +p6227 +tp6228 +a(g138 +V) +tp6229 +a(g6 +V +tp6230 +a(g6 +V-d +p6231 +tp6232 +a(g6 +V +tp6233 +a(g6 +V-m +p6234 +tp6235 +a(g6 +V +tp6236 +a(g138 +V$( +p6237 +tp6238 +a(g6 +VDIRMODE +p6239 +tp6240 +a(g138 +V) +tp6241 +a(g6 +V +tp6242 +a(g138 +V$( +p6243 +tp6244 +a(g6 +VDESTDIR +p6245 +tp6246 +a(g138 +V) +tp6247 +a(g100 +V$$ +p6248 +tp6249 +a(g6 +Vi; +p6250 +tp6251 +a(g6 +V +tp6252 +a(g262 +V\u005c\u000a +p6253 +tp6254 +a(g27 +V else true; \u005c\u000a +p6255 +tp6256 +a(g262 +V +tp6257 +a(g27 +V fi; \u005c\u000a +p6258 +tp6259 +a(g262 +V +tp6260 +a(g27 +V done\u000a +p6261 +tp6262 +a(g262 +V +tp6263 +a(g6 +V\u000a\u000a +p6264 +tp6265 +a(g24 +V# Install the interpreter (by creating a hard link to python$(VERSION))\u000a +p6266 +tp6267 +a(g6 +V +tp6268 +a(g6 +Vbininstall: +p6269 +tp6270 +a(g6 +V +tp6271 +a(g6 +Valtbininstall +p6272 +tp6273 +a(g6 +V\u000a +p6274 +tp6275 +a(g6 +V-if +p6276 +tp6277 +a(g6 +V +tp6278 +a(g84 +Vtest +p6279 +tp6280 +a(g6 +V +tp6281 +a(g6 +V-f +p6282 +tp6283 +a(g6 +V +tp6284 +a(g138 +V$( +p6285 +tp6286 +a(g6 +VDESTDIR +p6287 +tp6288 +a(g138 +V) +tp6289 +a(g138 +V$( +p6290 +tp6291 +a(g6 +VBINDIR +p6292 +tp6293 +a(g138 +V) +tp6294 +a(g6 +V/ +tp6295 +a(g138 +V$( +p6296 +tp6297 +a(g6 +VPYTHON +p6298 +tp6299 +a(g138 +V) +tp6300 +a(g6 +V +tp6301 +a(g6 +V-o +p6302 +tp6303 +a(g6 +V +tp6304 +a(g6 +V-h +p6305 +tp6306 +a(g6 +V +tp6307 +a(g138 +V$( +p6308 +tp6309 +a(g6 +VDESTDIR +p6310 +tp6311 +a(g138 +V) +tp6312 +a(g138 +V$( +p6313 +tp6314 +a(g6 +VBINDIR +p6315 +tp6316 +a(g138 +V) +tp6317 +a(g6 +V/ +tp6318 +a(g138 +V$( +p6319 +tp6320 +a(g6 +VPYTHON +p6321 +tp6322 +a(g138 +V) +tp6323 +a(g6 +V; +tp6324 +a(g6 +V +tp6325 +a(g262 +V\u005c\u000a +p6326 +tp6327 +a(g6 +V +tp6328 +a(g138 +Vthen +p6329 +tp6330 +a(g6 +Vrm +p6331 +tp6332 +a(g6 +V +tp6333 +a(g6 +V-f +p6334 +tp6335 +a(g6 +V +tp6336 +a(g138 +V$( +p6337 +tp6338 +a(g6 +VDESTDIR +p6339 +tp6340 +a(g138 +V) +tp6341 +a(g138 +V$( +p6342 +tp6343 +a(g6 +VBINDIR +p6344 +tp6345 +a(g138 +V) +tp6346 +a(g6 +V/ +tp6347 +a(g138 +V$( +p6348 +tp6349 +a(g6 +VPYTHON +p6350 +tp6351 +a(g138 +V) +tp6352 +a(g6 +V; +tp6353 +a(g6 +V +tp6354 +a(g262 +V\u005c\u000a +p6355 +tp6356 +a(g27 +V else true; \u005c\u000a +p6357 +tp6358 +a(g262 +V +tp6359 +a(g27 +V fi\u000a +p6360 +tp6361 +a(g262 +V +tp6362 +a(g6 +V +tp6363 +a(g353 +V( +tp6364 +a(g84 +Vcd +p6365 +tp6366 +a(g6 +V +tp6367 +a(g138 +V$( +p6368 +tp6369 +a(g6 +VDESTDIR +p6370 +tp6371 +a(g138 +V) +tp6372 +a(g138 +V$( +p6373 +tp6374 +a(g6 +VBINDIR +p6375 +tp6376 +a(g138 +V) +tp6377 +a(g6 +V; +tp6378 +a(g6 +V +tp6379 +a(g138 +V$( +p6380 +tp6381 +a(g6 +VLN +p6382 +tp6383 +a(g138 +V) +tp6384 +a(g6 +V +tp6385 +a(g6 +Vpython +p6386 +tp6387 +a(g138 +V$( +p6388 +tp6389 +a(g6 +VVERSION +p6390 +tp6391 +a(g138 +V) +tp6392 +a(g138 +V$( +p6393 +tp6394 +a(g6 +VEXE +p6395 +tp6396 +a(g138 +V) +tp6397 +a(g6 +V +tp6398 +a(g138 +V$( +p6399 +tp6400 +a(g6 +VPYTHON +p6401 +tp6402 +a(g138 +V) +tp6403 +a(g353 +V) +tp6404 +a(g6 +V\u000a +p6405 +tp6406 +a(g353 +V( +tp6407 +a(g84 +Vcd +p6408 +tp6409 +a(g6 +V +tp6410 +a(g138 +V$( +p6411 +tp6412 +a(g6 +VDESTDIR +p6413 +tp6414 +a(g138 +V) +tp6415 +a(g138 +V$( +p6416 +tp6417 +a(g6 +VBINDIR +p6418 +tp6419 +a(g138 +V) +tp6420 +a(g6 +V; +tp6421 +a(g6 +V +tp6422 +a(g138 +V$( +p6423 +tp6424 +a(g6 +VLN +p6425 +tp6426 +a(g138 +V) +tp6427 +a(g6 +V +tp6428 +a(g6 +V-sf +p6429 +tp6430 +a(g6 +V +tp6431 +a(g6 +Vpython +p6432 +tp6433 +a(g138 +V$( +p6434 +tp6435 +a(g6 +VVERSION +p6436 +tp6437 +a(g138 +V) +tp6438 +a(g6 +V-config +p6439 +tp6440 +a(g6 +V +tp6441 +a(g6 +Vpython-config +p6442 +tp6443 +a(g353 +V) +tp6444 +a(g6 +V\u000a\u000a +p6445 +tp6446 +a(g24 +V# Install the interpreter with $(VERSION) affixed\u000a +p6447 +tp6448 +a(g6 +V +tp6449 +a(g24 +V# This goes into $(exec_prefix)\u000a +p6450 +tp6451 +a(g6 +V +tp6452 +a(g6 +Valtbininstall: +p6453 +tp6454 +a(g6 +V +tp6455 +a(g138 +V$( +p6456 +tp6457 +a(g6 +VBUILDPYTHON +p6458 +tp6459 +a(g138 +V) +tp6460 +a(g6 +V\u000a +p6461 +tp6462 +a(g6 +V@for +p6463 +tp6464 +a(g6 +V +tp6465 +a(g6 +Vi +tp6466 +a(g6 +V +tp6467 +a(g6 +Vin +p6468 +tp6469 +a(g6 +V +tp6470 +a(g138 +V$( +p6471 +tp6472 +a(g6 +VBINDIR +p6473 +tp6474 +a(g138 +V) +tp6475 +a(g6 +V +tp6476 +a(g138 +V$( +p6477 +tp6478 +a(g6 +VLIBDIR +p6479 +tp6480 +a(g138 +V) +tp6481 +a(g6 +V; +tp6482 +a(g6 +V +tp6483 +a(g262 +V\u005c\u000a +p6484 +tp6485 +a(g6 +V +tp6486 +a(g138 +Vdo +p6487 +tp6488 +a(g6 +V +tp6489 +a(g262 +V\u005c\u000a +p6490 +tp6491 +a(g6 +V +p6492 +tp6493 +a(g138 +Vif +p6494 +tp6495 +a(g84 +Vtest +p6496 +tp6497 +a(g6 +V +tp6498 +a(g6 +V! +tp6499 +a(g6 +V +tp6500 +a(g6 +V-d +p6501 +tp6502 +a(g6 +V +tp6503 +a(g138 +V$( +p6504 +tp6505 +a(g6 +VDESTDIR +p6506 +tp6507 +a(g138 +V) +tp6508 +a(g100 +V$$ +p6509 +tp6510 +a(g6 +Vi; +p6511 +tp6512 +a(g6 +V +tp6513 +a(g138 +Vthen +p6514 +tp6515 +a(g6 +V +tp6516 +a(g262 +V\u005c\u000a +p6517 +tp6518 +a(g6 +V +p6519 +tp6520 +a(g84 +Vecho +p6521 +tp6522 +a(g6 +V +tp6523 +a(g255 +V"Creating directory $$i" +p6524 +tp6525 +a(g6 +V; +tp6526 +a(g6 +V +tp6527 +a(g262 +V\u005c\u000a +p6528 +tp6529 +a(g6 +V +p6530 +tp6531 +a(g138 +V$( +p6532 +tp6533 +a(g6 +VINSTALL +p6534 +tp6535 +a(g138 +V) +tp6536 +a(g6 +V +tp6537 +a(g6 +V-d +p6538 +tp6539 +a(g6 +V +tp6540 +a(g6 +V-m +p6541 +tp6542 +a(g6 +V +tp6543 +a(g138 +V$( +p6544 +tp6545 +a(g6 +VDIRMODE +p6546 +tp6547 +a(g138 +V) +tp6548 +a(g6 +V +tp6549 +a(g138 +V$( +p6550 +tp6551 +a(g6 +VDESTDIR +p6552 +tp6553 +a(g138 +V) +tp6554 +a(g100 +V$$ +p6555 +tp6556 +a(g6 +Vi; +p6557 +tp6558 +a(g6 +V +tp6559 +a(g262 +V\u005c\u000a +p6560 +tp6561 +a(g27 +V else true; \u005c\u000a +p6562 +tp6563 +a(g262 +V +tp6564 +a(g27 +V fi; \u005c\u000a +p6565 +tp6566 +a(g262 +V +tp6567 +a(g27 +V done\u000a +p6568 +tp6569 +a(g262 +V +tp6570 +a(g6 +V +tp6571 +a(g138 +V$( +p6572 +tp6573 +a(g6 +VINSTALL_PROGRAM +p6574 +tp6575 +a(g138 +V) +tp6576 +a(g6 +V +tp6577 +a(g138 +V$( +p6578 +tp6579 +a(g6 +VBUILDPYTHON +p6580 +tp6581 +a(g138 +V) +tp6582 +a(g6 +V +tp6583 +a(g138 +V$( +p6584 +tp6585 +a(g6 +VDESTDIR +p6586 +tp6587 +a(g138 +V) +tp6588 +a(g138 +V$( +p6589 +tp6590 +a(g6 +VBINDIR +p6591 +tp6592 +a(g138 +V) +tp6593 +a(g6 +V/python +p6594 +tp6595 +a(g138 +V$( +p6596 +tp6597 +a(g6 +VVERSION +p6598 +tp6599 +a(g138 +V) +tp6600 +a(g138 +V$( +p6601 +tp6602 +a(g6 +VEXE +p6603 +tp6604 +a(g138 +V) +tp6605 +a(g6 +V\u000a +p6606 +tp6607 +a(g138 +Vif +p6608 +tp6609 +a(g84 +Vtest +p6610 +tp6611 +a(g6 +V +tp6612 +a(g6 +V-f +p6613 +tp6614 +a(g6 +V +tp6615 +a(g6 +Vlibpython +p6616 +tp6617 +a(g138 +V$( +p6618 +tp6619 +a(g6 +VVERSION +p6620 +tp6621 +a(g138 +V) +tp6622 +a(g138 +V$( +p6623 +tp6624 +a(g6 +VSO +p6625 +tp6626 +a(g138 +V) +tp6627 +a(g6 +V; +tp6628 +a(g6 +V +tp6629 +a(g138 +Vthen +p6630 +tp6631 +a(g6 +V +tp6632 +a(g262 +V\u005c\u000a +p6633 +tp6634 +a(g6 +V +p6635 +tp6636 +a(g138 +Vif +p6637 +tp6638 +a(g84 +Vtest +p6639 +tp6640 +a(g6 +V +tp6641 +a(g255 +V"$(SO)" +p6642 +tp6643 +a(g6 +V +tp6644 +a(g353 +V= +tp6645 +a(g6 +V +tp6646 +a(g6 +V.dll; +p6647 +tp6648 +a(g6 +V +tp6649 +a(g138 +Vthen +p6650 +tp6651 +a(g6 +V +tp6652 +a(g262 +V\u005c\u000a +p6653 +tp6654 +a(g6 +V +p6655 +tp6656 +a(g138 +V$( +p6657 +tp6658 +a(g6 +VINSTALL_SHARED +p6659 +tp6660 +a(g138 +V) +tp6661 +a(g6 +V +tp6662 +a(g6 +Vlibpython +p6663 +tp6664 +a(g138 +V$( +p6665 +tp6666 +a(g6 +VVERSION +p6667 +tp6668 +a(g138 +V) +tp6669 +a(g138 +V$( +p6670 +tp6671 +a(g6 +VSO +p6672 +tp6673 +a(g138 +V) +tp6674 +a(g6 +V +tp6675 +a(g138 +V$( +p6676 +tp6677 +a(g6 +VDESTDIR +p6678 +tp6679 +a(g138 +V) +tp6680 +a(g138 +V$( +p6681 +tp6682 +a(g6 +VBINDIR +p6683 +tp6684 +a(g138 +V) +tp6685 +a(g6 +V; +tp6686 +a(g6 +V +tp6687 +a(g262 +V\u005c\u000a +p6688 +tp6689 +a(g27 +V else \u005c\u000a +p6690 +tp6691 +a(g262 +V +tp6692 +a(g27 +V $(INSTALL_SHARED) libpython$(VERSION)$(SO) $(DESTDIR)$(LIBDIR)/$(INSTSONAME); \u005c\u000a +p6693 +tp6694 +a(g262 +V +tp6695 +a(g27 +V if test libpython$(VERSION)$(SO) != $(INSTSONAME); then \u005c\u000a +p6696 +tp6697 +a(g262 +V +tp6698 +a(g27 +V (cd $(DESTDIR)$(LIBDIR); $(LN) -sf $(INSTSONAME) libpython$(VERSION)$(SO)); \u005c\u000a +p6699 +tp6700 +a(g262 +V +tp6701 +a(g27 +V fi \u005c\u000a +p6702 +tp6703 +a(g262 +V +tp6704 +a(g27 +V fi; \u005c\u000a +p6705 +tp6706 +a(g262 +V +tp6707 +a(g27 +V else true; \u005c\u000a +p6708 +tp6709 +a(g262 +V +tp6710 +a(g27 +V fi\u000a +p6711 +tp6712 +a(g262 +V +tp6713 +a(g6 +V\u000a +tp6714 +a(g24 +V# Install the manual page\u000a +p6715 +tp6716 +a(g6 +V +tp6717 +a(g6 +Vmaninstall: +p6718 +tp6719 +a(g6 +V\u000a +p6720 +tp6721 +a(g6 +V@for +p6722 +tp6723 +a(g6 +V +tp6724 +a(g6 +Vi +tp6725 +a(g6 +V +tp6726 +a(g6 +Vin +p6727 +tp6728 +a(g6 +V +tp6729 +a(g138 +V$( +p6730 +tp6731 +a(g6 +VMANDIR +p6732 +tp6733 +a(g138 +V) +tp6734 +a(g6 +V +tp6735 +a(g138 +V$( +p6736 +tp6737 +a(g6 +VMANDIR +p6738 +tp6739 +a(g138 +V) +tp6740 +a(g6 +V/man1; +p6741 +tp6742 +a(g6 +V +tp6743 +a(g262 +V\u005c\u000a +p6744 +tp6745 +a(g6 +V +tp6746 +a(g138 +Vdo +p6747 +tp6748 +a(g6 +V +tp6749 +a(g262 +V\u005c\u000a +p6750 +tp6751 +a(g6 +V +p6752 +tp6753 +a(g138 +Vif +p6754 +tp6755 +a(g84 +Vtest +p6756 +tp6757 +a(g6 +V +tp6758 +a(g6 +V! +tp6759 +a(g6 +V +tp6760 +a(g6 +V-d +p6761 +tp6762 +a(g6 +V +tp6763 +a(g138 +V$( +p6764 +tp6765 +a(g6 +VDESTDIR +p6766 +tp6767 +a(g138 +V) +tp6768 +a(g100 +V$$ +p6769 +tp6770 +a(g6 +Vi; +p6771 +tp6772 +a(g6 +V +tp6773 +a(g138 +Vthen +p6774 +tp6775 +a(g6 +V +tp6776 +a(g262 +V\u005c\u000a +p6777 +tp6778 +a(g6 +V +p6779 +tp6780 +a(g84 +Vecho +p6781 +tp6782 +a(g6 +V +tp6783 +a(g255 +V"Creating directory $$i" +p6784 +tp6785 +a(g6 +V; +tp6786 +a(g6 +V +tp6787 +a(g262 +V\u005c\u000a +p6788 +tp6789 +a(g6 +V +p6790 +tp6791 +a(g138 +V$( +p6792 +tp6793 +a(g6 +VINSTALL +p6794 +tp6795 +a(g138 +V) +tp6796 +a(g6 +V +tp6797 +a(g6 +V-d +p6798 +tp6799 +a(g6 +V +tp6800 +a(g6 +V-m +p6801 +tp6802 +a(g6 +V +tp6803 +a(g138 +V$( +p6804 +tp6805 +a(g6 +VDIRMODE +p6806 +tp6807 +a(g138 +V) +tp6808 +a(g6 +V +tp6809 +a(g138 +V$( +p6810 +tp6811 +a(g6 +VDESTDIR +p6812 +tp6813 +a(g138 +V) +tp6814 +a(g100 +V$$ +p6815 +tp6816 +a(g6 +Vi; +p6817 +tp6818 +a(g6 +V +tp6819 +a(g262 +V\u005c\u000a +p6820 +tp6821 +a(g27 +V else true; \u005c\u000a +p6822 +tp6823 +a(g262 +V +tp6824 +a(g27 +V fi; \u005c\u000a +p6825 +tp6826 +a(g262 +V +tp6827 +a(g27 +V done\u000a +p6828 +tp6829 +a(g262 +V +tp6830 +a(g6 +V +tp6831 +a(g138 +V$( +p6832 +tp6833 +a(g6 +VINSTALL_DATA +p6834 +tp6835 +a(g138 +V) +tp6836 +a(g6 +V +tp6837 +a(g138 +V$( +p6838 +tp6839 +a(g6 +Vsrcdir +p6840 +tp6841 +a(g138 +V) +tp6842 +a(g6 +V/Misc/python.man +p6843 +tp6844 +a(g6 +V +tp6845 +a(g262 +V\u005c\u000a +p6846 +tp6847 +a(g6 +V +p6848 +tp6849 +a(g138 +V$( +p6850 +tp6851 +a(g6 +VDESTDIR +p6852 +tp6853 +a(g138 +V) +tp6854 +a(g138 +V$( +p6855 +tp6856 +a(g6 +VMANDIR +p6857 +tp6858 +a(g138 +V) +tp6859 +a(g6 +V/man1/python.1 +p6860 +tp6861 +a(g6 +V\u000a\u000a +p6862 +tp6863 +a(g24 +V# Install the library\u000a +p6864 +tp6865 +a(g6 +V +tp6866 +a(g100 +VPLATDIR +p6867 +tp6868 +a(g353 +V= +tp6869 +a(g6 +V +tp6870 +a(g6 +Vplat- +p6871 +tp6872 +a(g138 +V$( +p6873 +tp6874 +a(g6 +VMACHDEP +p6875 +tp6876 +a(g138 +V) +tp6877 +a(g6 +V\u000a +tp6878 +a(g100 +VEXTRAPLATDIR +p6879 +tp6880 +a(g353 +V= +tp6881 +a(g6 +V \u000a +p6882 +tp6883 +a(g100 +VEXTRAMACHDEPPATH +p6884 +tp6885 +a(g353 +V= +tp6886 +a(g6 +V\u000a +tp6887 +a(g100 +VMACHDEPS +p6888 +tp6889 +a(g353 +V= +tp6890 +a(g6 +V +tp6891 +a(g138 +V$( +p6892 +tp6893 +a(g6 +VPLATDIR +p6894 +tp6895 +a(g138 +V) +tp6896 +a(g6 +V +tp6897 +a(g138 +V$( +p6898 +tp6899 +a(g6 +VEXTRAPLATDIR +p6900 +tp6901 +a(g138 +V) +tp6902 +a(g6 +V\u000a +tp6903 +a(g100 +VXMLLIBSUBDIRS +p6904 +tp6905 +a(g353 +V= +tp6906 +a(g6 +V +p6907 +tp6908 +a(g6 +Vxml +p6909 +tp6910 +a(g6 +V +tp6911 +a(g6 +Vxml/dom +p6912 +tp6913 +a(g6 +V +tp6914 +a(g6 +Vxml/etree +p6915 +tp6916 +a(g6 +V +tp6917 +a(g6 +Vxml/parsers +p6918 +tp6919 +a(g6 +V +tp6920 +a(g6 +Vxml/sax +p6921 +tp6922 +a(g6 +V\u000a +tp6923 +a(g100 +VPLATMACDIRS +p6924 +tp6925 +a(g353 +V= +tp6926 +a(g6 +V +tp6927 +a(g6 +Vplat-mac +p6928 +tp6929 +a(g6 +V +tp6930 +a(g6 +Vplat-mac/Carbon +p6931 +tp6932 +a(g6 +V +tp6933 +a(g6 +Vplat-mac/lib-scriptpackages +p6934 +tp6935 +a(g6 +V +tp6936 +a(g262 +V\u005c\u000a +p6937 +tp6938 +a(g6 +V +tp6939 +a(g6 +Vplat-mac/lib-scriptpackages/_builtinSuites +p6940 +tp6941 +a(g6 +V +tp6942 +a(g262 +V\u005c\u000a +p6943 +tp6944 +a(g6 +V +tp6945 +a(g6 +Vplat-mac/lib-scriptpackages/CodeWarrior +p6946 +tp6947 +a(g6 +V +tp6948 +a(g262 +V\u005c\u000a +p6949 +tp6950 +a(g6 +V +tp6951 +a(g6 +Vplat-mac/lib-scriptpackages/Explorer +p6952 +tp6953 +a(g6 +V +tp6954 +a(g262 +V\u005c\u000a +p6955 +tp6956 +a(g6 +V +tp6957 +a(g6 +Vplat-mac/lib-scriptpackages/Finder +p6958 +tp6959 +a(g6 +V +tp6960 +a(g262 +V\u005c\u000a +p6961 +tp6962 +a(g6 +V +tp6963 +a(g6 +Vplat-mac/lib-scriptpackages/Netscape +p6964 +tp6965 +a(g6 +V +tp6966 +a(g262 +V\u005c\u000a +p6967 +tp6968 +a(g6 +V +tp6969 +a(g6 +Vplat-mac/lib-scriptpackages/StdSuites +p6970 +tp6971 +a(g6 +V +tp6972 +a(g262 +V\u005c\u000a +p6973 +tp6974 +a(g6 +V +tp6975 +a(g6 +Vplat-mac/lib-scriptpackages/SystemEvents +p6976 +tp6977 +a(g6 +V +tp6978 +a(g262 +V\u005c\u000a +p6979 +tp6980 +a(g6 +V +tp6981 +a(g6 +Vplat-mac/lib-scriptpackages/Terminal +p6982 +tp6983 +a(g6 +V \u000a +p6984 +tp6985 +a(g100 +VPLATMACPATH +p6986 +tp6987 +a(g353 +V= +tp6988 +a(g6 +V:plat-mac:plat-mac/lib-scriptpackages +p6989 +tp6990 +a(g6 +V\u000a +tp6991 +a(g100 +VLIBSUBDIRS +p6992 +tp6993 +a(g353 +V= +tp6994 +a(g6 +V +tp6995 +a(g6 +Vlib-tk +p6996 +tp6997 +a(g6 +V +tp6998 +a(g6 +Vsite-packages +p6999 +tp7000 +a(g6 +V +tp7001 +a(g84 +Vtest +p7002 +tp7003 +a(g84 +Vtest +p7004 +tp7005 +a(g6 +V/output +p7006 +tp7007 +a(g6 +V +tp7008 +a(g84 +Vtest +p7009 +tp7010 +a(g6 +V/data +p7011 +tp7012 +a(g6 +V +tp7013 +a(g262 +V\u005c\u000a +p7014 +tp7015 +a(g6 +V +p7016 +tp7017 +a(g84 +Vtest +p7018 +tp7019 +a(g6 +V/decimaltestdata +p7020 +tp7021 +a(g6 +V +tp7022 +a(g262 +V\u005c\u000a +p7023 +tp7024 +a(g6 +V +p7025 +tp7026 +a(g6 +Vencodings +p7027 +tp7028 +a(g6 +V +tp7029 +a(g6 +Vcompiler +p7030 +tp7031 +a(g6 +V +tp7032 +a(g6 +Vhotshot +p7033 +tp7034 +a(g6 +V +tp7035 +a(g262 +V\u005c\u000a +p7036 +tp7037 +a(g6 +V +p7038 +tp7039 +a(g6 +Vemail +p7040 +tp7041 +a(g6 +V +tp7042 +a(g6 +Vemail/mime +p7043 +tp7044 +a(g6 +V +tp7045 +a(g6 +Vemail/test +p7046 +tp7047 +a(g6 +V +tp7048 +a(g6 +Vemail/test/data +p7049 +tp7050 +a(g6 +V +tp7051 +a(g262 +V\u005c\u000a +p7052 +tp7053 +a(g6 +V +p7054 +tp7055 +a(g6 +Vsqlite3 +p7056 +tp7057 +a(g6 +V +tp7058 +a(g6 +Vsqlite3/test +p7059 +tp7060 +a(g6 +V +tp7061 +a(g262 +V\u005c\u000a +p7062 +tp7063 +a(g6 +V +p7064 +tp7065 +a(g6 +Vlogging +p7066 +tp7067 +a(g6 +V +tp7068 +a(g6 +Vbsddb +p7069 +tp7070 +a(g6 +V +tp7071 +a(g6 +Vbsddb/test +p7072 +tp7073 +a(g6 +V +tp7074 +a(g6 +Vcsv +p7075 +tp7076 +a(g6 +V +tp7077 +a(g6 +Vwsgiref +p7078 +tp7079 +a(g6 +V +tp7080 +a(g262 +V\u005c\u000a +p7081 +tp7082 +a(g6 +V +p7083 +tp7084 +a(g6 +Vctypes +p7085 +tp7086 +a(g6 +V +tp7087 +a(g6 +Vctypes/test +p7088 +tp7089 +a(g6 +V +tp7090 +a(g6 +Vctypes/macholib +p7091 +tp7092 +a(g6 +V +tp7093 +a(g6 +Vidlelib +p7094 +tp7095 +a(g6 +V +tp7096 +a(g6 +Vidlelib/Icons +p7097 +tp7098 +a(g6 +V +tp7099 +a(g262 +V\u005c\u000a +p7100 +tp7101 +a(g6 +V +p7102 +tp7103 +a(g6 +Vdistutils +p7104 +tp7105 +a(g6 +V +tp7106 +a(g6 +Vdistutils/command +p7107 +tp7108 +a(g6 +V +tp7109 +a(g6 +Vdistutils/tests +p7110 +tp7111 +a(g6 +V +tp7112 +a(g138 +V$( +p7113 +tp7114 +a(g6 +VXMLLIBSUBDIRS +p7115 +tp7116 +a(g138 +V) +tp7117 +a(g6 +V +tp7118 +a(g262 +V\u005c\u000a +p7119 +tp7120 +a(g6 +V +p7121 +tp7122 +a(g6 +Vsetuptools +p7123 +tp7124 +a(g6 +V +tp7125 +a(g6 +Vsetuptools/command +p7126 +tp7127 +a(g6 +V +tp7128 +a(g6 +Vsetuptools/tests +p7129 +tp7130 +a(g6 +V +tp7131 +a(g6 +Vsetuptools.egg-info +p7132 +tp7133 +a(g6 +V +tp7134 +a(g262 +V\u005c\u000a +p7135 +tp7136 +a(g6 +V +p7137 +tp7138 +a(g6 +Vcurses +p7139 +tp7140 +a(g6 +V +tp7141 +a(g138 +V$( +p7142 +tp7143 +a(g6 +VMACHDEPS +p7144 +tp7145 +a(g138 +V) +tp7146 +a(g6 +V\u000a +tp7147 +a(g6 +Vlibinstall: +p7148 +tp7149 +a(g6 +V +tp7150 +a(g138 +V$( +p7151 +tp7152 +a(g6 +VBUILDPYTHON +p7153 +tp7154 +a(g138 +V) +tp7155 +a(g6 +V +tp7156 +a(g138 +V$( +p7157 +tp7158 +a(g6 +Vsrcdir +p7159 +tp7160 +a(g138 +V) +tp7161 +a(g6 +V/Lib/ +p7162 +tp7163 +a(g138 +V$( +p7164 +tp7165 +a(g6 +VPLATDIR +p7166 +tp7167 +a(g138 +V) +tp7168 +a(g6 +V\u000a +p7169 +tp7170 +a(g6 +V@for +p7171 +tp7172 +a(g6 +V +tp7173 +a(g6 +Vi +tp7174 +a(g6 +V +tp7175 +a(g6 +Vin +p7176 +tp7177 +a(g6 +V +tp7178 +a(g138 +V$( +p7179 +tp7180 +a(g6 +VSCRIPTDIR +p7181 +tp7182 +a(g138 +V) +tp7183 +a(g6 +V +tp7184 +a(g138 +V$( +p7185 +tp7186 +a(g6 +VLIBDEST +p7187 +tp7188 +a(g138 +V) +tp7189 +a(g6 +V; +tp7190 +a(g6 +V +tp7191 +a(g262 +V\u005c\u000a +p7192 +tp7193 +a(g6 +V +tp7194 +a(g138 +Vdo +p7195 +tp7196 +a(g6 +V +tp7197 +a(g262 +V\u005c\u000a +p7198 +tp7199 +a(g6 +V +p7200 +tp7201 +a(g138 +Vif +p7202 +tp7203 +a(g84 +Vtest +p7204 +tp7205 +a(g6 +V +tp7206 +a(g6 +V! +tp7207 +a(g6 +V +tp7208 +a(g6 +V-d +p7209 +tp7210 +a(g6 +V +tp7211 +a(g138 +V$( +p7212 +tp7213 +a(g6 +VDESTDIR +p7214 +tp7215 +a(g138 +V) +tp7216 +a(g100 +V$$ +p7217 +tp7218 +a(g6 +Vi; +p7219 +tp7220 +a(g6 +V +tp7221 +a(g138 +Vthen +p7222 +tp7223 +a(g6 +V +tp7224 +a(g262 +V\u005c\u000a +p7225 +tp7226 +a(g6 +V +p7227 +tp7228 +a(g84 +Vecho +p7229 +tp7230 +a(g6 +V +tp7231 +a(g255 +V"Creating directory $$i" +p7232 +tp7233 +a(g6 +V; +tp7234 +a(g6 +V +tp7235 +a(g262 +V\u005c\u000a +p7236 +tp7237 +a(g6 +V +p7238 +tp7239 +a(g138 +V$( +p7240 +tp7241 +a(g6 +VINSTALL +p7242 +tp7243 +a(g138 +V) +tp7244 +a(g6 +V +tp7245 +a(g6 +V-d +p7246 +tp7247 +a(g6 +V +tp7248 +a(g6 +V-m +p7249 +tp7250 +a(g6 +V +tp7251 +a(g138 +V$( +p7252 +tp7253 +a(g6 +VDIRMODE +p7254 +tp7255 +a(g138 +V) +tp7256 +a(g6 +V +tp7257 +a(g138 +V$( +p7258 +tp7259 +a(g6 +VDESTDIR +p7260 +tp7261 +a(g138 +V) +tp7262 +a(g100 +V$$ +p7263 +tp7264 +a(g6 +Vi; +p7265 +tp7266 +a(g6 +V +tp7267 +a(g262 +V\u005c\u000a +p7268 +tp7269 +a(g27 +V else true; \u005c\u000a +p7270 +tp7271 +a(g262 +V +tp7272 +a(g27 +V fi; \u005c\u000a +p7273 +tp7274 +a(g262 +V +tp7275 +a(g27 +V done\u000a +p7276 +tp7277 +a(g262 +V +tp7278 +a(g6 +V +tp7279 +a(g6 +V@for +p7280 +tp7281 +a(g6 +V +tp7282 +a(g6 +Vd +tp7283 +a(g6 +V +tp7284 +a(g6 +Vin +p7285 +tp7286 +a(g6 +V +tp7287 +a(g138 +V$( +p7288 +tp7289 +a(g6 +VLIBSUBDIRS +p7290 +tp7291 +a(g138 +V) +tp7292 +a(g6 +V; +tp7293 +a(g6 +V +tp7294 +a(g262 +V\u005c\u000a +p7295 +tp7296 +a(g6 +V +tp7297 +a(g138 +Vdo +p7298 +tp7299 +a(g6 +V +tp7300 +a(g262 +V\u005c\u000a +p7301 +tp7302 +a(g6 +V +p7303 +tp7304 +a(g100 +Va +tp7305 +a(g353 +V= +tp7306 +a(g138 +V$( +p7307 +tp7308 +a(g6 +Vsrcdir +p7309 +tp7310 +a(g138 +V) +tp7311 +a(g6 +V/Lib/ +p7312 +tp7313 +a(g100 +V$$ +p7314 +tp7315 +a(g6 +Vd; +p7316 +tp7317 +a(g6 +V +tp7318 +a(g262 +V\u005c\u000a +p7319 +tp7320 +a(g6 +V +p7321 +tp7322 +a(g138 +Vif +p7323 +tp7324 +a(g84 +Vtest +p7325 +tp7326 +a(g6 +V +tp7327 +a(g6 +V! +tp7328 +a(g6 +V +tp7329 +a(g6 +V-d +p7330 +tp7331 +a(g6 +V +tp7332 +a(g100 +V$$ +p7333 +tp7334 +a(g6 +Va; +p7335 +tp7336 +a(g6 +V +tp7337 +a(g138 +Vthen +p7338 +tp7339 +a(g138 +Vcontinue +p7340 +tp7341 +a(g6 +V; +tp7342 +a(g6 +V +tp7343 +a(g138 +Velse +p7344 +tp7345 +a(g84 +Vtrue +p7346 +tp7347 +a(g6 +V; +tp7348 +a(g6 +V +tp7349 +a(g138 +Vfi +p7350 +tp7351 +a(g6 +V; +tp7352 +a(g6 +V +tp7353 +a(g262 +V\u005c\u000a +p7354 +tp7355 +a(g6 +V +p7356 +tp7357 +a(g100 +Vb +tp7358 +a(g353 +V= +tp7359 +a(g138 +V$( +p7360 +tp7361 +a(g6 +VLIBDEST +p7362 +tp7363 +a(g138 +V) +tp7364 +a(g6 +V/ +tp7365 +a(g100 +V$$ +p7366 +tp7367 +a(g6 +Vd; +p7368 +tp7369 +a(g6 +V +tp7370 +a(g262 +V\u005c\u000a +p7371 +tp7372 +a(g6 +V +p7373 +tp7374 +a(g138 +Vif +p7375 +tp7376 +a(g84 +Vtest +p7377 +tp7378 +a(g6 +V +tp7379 +a(g6 +V! +tp7380 +a(g6 +V +tp7381 +a(g6 +V-d +p7382 +tp7383 +a(g6 +V +tp7384 +a(g138 +V$( +p7385 +tp7386 +a(g6 +VDESTDIR +p7387 +tp7388 +a(g138 +V) +tp7389 +a(g100 +V$$ +p7390 +tp7391 +a(g6 +Vb; +p7392 +tp7393 +a(g6 +V +tp7394 +a(g138 +Vthen +p7395 +tp7396 +a(g6 +V +tp7397 +a(g262 +V\u005c\u000a +p7398 +tp7399 +a(g6 +V +p7400 +tp7401 +a(g84 +Vecho +p7402 +tp7403 +a(g6 +V +tp7404 +a(g255 +V"Creating directory $$b" +p7405 +tp7406 +a(g6 +V; +tp7407 +a(g6 +V +tp7408 +a(g262 +V\u005c\u000a +p7409 +tp7410 +a(g6 +V +p7411 +tp7412 +a(g138 +V$( +p7413 +tp7414 +a(g6 +VINSTALL +p7415 +tp7416 +a(g138 +V) +tp7417 +a(g6 +V +tp7418 +a(g6 +V-d +p7419 +tp7420 +a(g6 +V +tp7421 +a(g6 +V-m +p7422 +tp7423 +a(g6 +V +tp7424 +a(g138 +V$( +p7425 +tp7426 +a(g6 +VDIRMODE +p7427 +tp7428 +a(g138 +V) +tp7429 +a(g6 +V +tp7430 +a(g138 +V$( +p7431 +tp7432 +a(g6 +VDESTDIR +p7433 +tp7434 +a(g138 +V) +tp7435 +a(g100 +V$$ +p7436 +tp7437 +a(g6 +Vb; +p7438 +tp7439 +a(g6 +V +tp7440 +a(g262 +V\u005c\u000a +p7441 +tp7442 +a(g27 +V else true; \u005c\u000a +p7443 +tp7444 +a(g262 +V +tp7445 +a(g27 +V fi; \u005c\u000a +p7446 +tp7447 +a(g262 +V +tp7448 +a(g27 +V done\u000a +p7449 +tp7450 +a(g262 +V +tp7451 +a(g6 +V +tp7452 +a(g6 +V@for +p7453 +tp7454 +a(g6 +V +tp7455 +a(g6 +Vi +tp7456 +a(g6 +V +tp7457 +a(g6 +Vin +p7458 +tp7459 +a(g6 +V +tp7460 +a(g138 +V$( +p7461 +tp7462 +a(g6 +Vsrcdir +p7463 +tp7464 +a(g138 +V) +tp7465 +a(g6 +V/Lib/*.py +p7466 +tp7467 +a(g6 +V +tp7468 +a(g138 +V$( +p7469 +tp7470 +a(g6 +Vsrcdir +p7471 +tp7472 +a(g138 +V) +tp7473 +a(g6 +V/Lib/*.doc +p7474 +tp7475 +a(g6 +V +tp7476 +a(g138 +V$( +p7477 +tp7478 +a(g6 +Vsrcdir +p7479 +tp7480 +a(g138 +V) +tp7481 +a(g6 +V/Lib/*.egg-info +p7482 +tp7483 +a(g6 +V +tp7484 +a(g6 +V; +tp7485 +a(g6 +V +tp7486 +a(g262 +V\u005c\u000a +p7487 +tp7488 +a(g6 +V +tp7489 +a(g138 +Vdo +p7490 +tp7491 +a(g6 +V +tp7492 +a(g262 +V\u005c\u000a +p7493 +tp7494 +a(g6 +V +p7495 +tp7496 +a(g138 +Vif +p7497 +tp7498 +a(g84 +Vtest +p7499 +tp7500 +a(g6 +V +tp7501 +a(g6 +V-x +p7502 +tp7503 +a(g6 +V +tp7504 +a(g100 +V$$ +p7505 +tp7506 +a(g6 +Vi; +p7507 +tp7508 +a(g6 +V +tp7509 +a(g138 +Vthen +p7510 +tp7511 +a(g6 +V +tp7512 +a(g262 +V\u005c\u000a +p7513 +tp7514 +a(g6 +V +p7515 +tp7516 +a(g138 +V$( +p7517 +tp7518 +a(g6 +VINSTALL_SCRIPT +p7519 +tp7520 +a(g138 +V) +tp7521 +a(g6 +V +tp7522 +a(g100 +V$$ +p7523 +tp7524 +a(g6 +Vi +tp7525 +a(g6 +V +tp7526 +a(g138 +V$( +p7527 +tp7528 +a(g6 +VDESTDIR +p7529 +tp7530 +a(g138 +V) +tp7531 +a(g138 +V$( +p7532 +tp7533 +a(g6 +VLIBDEST +p7534 +tp7535 +a(g138 +V) +tp7536 +a(g6 +V; +tp7537 +a(g6 +V +tp7538 +a(g262 +V\u005c\u000a +p7539 +tp7540 +a(g6 +V +p7541 +tp7542 +a(g84 +Vecho +p7543 +tp7544 +a(g6 +V +tp7545 +a(g138 +V$( +p7546 +tp7547 +a(g6 +VINSTALL_SCRIPT +p7548 +tp7549 +a(g138 +V) +tp7550 +a(g6 +V +tp7551 +a(g100 +V$$ +p7552 +tp7553 +a(g6 +Vi +tp7554 +a(g6 +V +tp7555 +a(g138 +V$( +p7556 +tp7557 +a(g6 +VLIBDEST +p7558 +tp7559 +a(g138 +V) +tp7560 +a(g6 +V; +tp7561 +a(g6 +V +tp7562 +a(g262 +V\u005c\u000a +p7563 +tp7564 +a(g27 +V else \u005c\u000a +p7565 +tp7566 +a(g262 +V +tp7567 +a(g27 +V $(INSTALL_DATA) $$i $(DESTDIR)$(LIBDEST); \u005c\u000a +p7568 +tp7569 +a(g262 +V +tp7570 +a(g27 +V echo $(INSTALL_DATA) $$i $(LIBDEST); \u005c\u000a +p7571 +tp7572 +a(g262 +V +tp7573 +a(g27 +V fi; \u005c\u000a +p7574 +tp7575 +a(g262 +V +tp7576 +a(g27 +V done\u000a +p7577 +tp7578 +a(g262 +V +tp7579 +a(g6 +V +tp7580 +a(g6 +V@for +p7581 +tp7582 +a(g6 +V +tp7583 +a(g6 +Vd +tp7584 +a(g6 +V +tp7585 +a(g6 +Vin +p7586 +tp7587 +a(g6 +V +tp7588 +a(g138 +V$( +p7589 +tp7590 +a(g6 +VLIBSUBDIRS +p7591 +tp7592 +a(g138 +V) +tp7593 +a(g6 +V; +tp7594 +a(g6 +V +tp7595 +a(g262 +V\u005c\u000a +p7596 +tp7597 +a(g6 +V +tp7598 +a(g138 +Vdo +p7599 +tp7600 +a(g6 +V +tp7601 +a(g262 +V\u005c\u000a +p7602 +tp7603 +a(g6 +V +p7604 +tp7605 +a(g100 +Va +tp7606 +a(g353 +V= +tp7607 +a(g138 +V$( +p7608 +tp7609 +a(g6 +Vsrcdir +p7610 +tp7611 +a(g138 +V) +tp7612 +a(g6 +V/Lib/ +p7613 +tp7614 +a(g100 +V$$ +p7615 +tp7616 +a(g6 +Vd; +p7617 +tp7618 +a(g6 +V +tp7619 +a(g262 +V\u005c\u000a +p7620 +tp7621 +a(g6 +V +p7622 +tp7623 +a(g138 +Vif +p7624 +tp7625 +a(g84 +Vtest +p7626 +tp7627 +a(g6 +V +tp7628 +a(g6 +V! +tp7629 +a(g6 +V +tp7630 +a(g6 +V-d +p7631 +tp7632 +a(g6 +V +tp7633 +a(g100 +V$$ +p7634 +tp7635 +a(g6 +Va; +p7636 +tp7637 +a(g6 +V +tp7638 +a(g138 +Vthen +p7639 +tp7640 +a(g138 +Vcontinue +p7641 +tp7642 +a(g6 +V; +tp7643 +a(g6 +V +tp7644 +a(g138 +Velse +p7645 +tp7646 +a(g84 +Vtrue +p7647 +tp7648 +a(g6 +V; +tp7649 +a(g6 +V +tp7650 +a(g138 +Vfi +p7651 +tp7652 +a(g6 +V; +tp7653 +a(g6 +V +tp7654 +a(g262 +V\u005c\u000a +p7655 +tp7656 +a(g6 +V +p7657 +tp7658 +a(g138 +Vif +p7659 +tp7660 +a(g84 +Vtest +p7661 +tp7662 +a(g6 +V +tp7663 +a(g274 +V` +tp7664 +a(g6 +Vls +p7665 +tp7666 +a(g6 +V +tp7667 +a(g100 +V$$ +p7668 +tp7669 +a(g6 +Va +tp7670 +a(g6 +V +tp7671 +a(g6 +V| +tp7672 +a(g6 +V +tp7673 +a(g6 +Vwc +p7674 +tp7675 +a(g6 +V +tp7676 +a(g6 +V-l +p7677 +tp7678 +a(g274 +V` +tp7679 +a(g6 +V +tp7680 +a(g6 +V-lt +p7681 +tp7682 +a(g6 +V +tp7683 +a(g6 +V1; +p7684 +tp7685 +a(g6 +V +tp7686 +a(g138 +Vthen +p7687 +tp7688 +a(g138 +Vcontinue +p7689 +tp7690 +a(g6 +V; +tp7691 +a(g6 +V +tp7692 +a(g138 +Vfi +p7693 +tp7694 +a(g6 +V; +tp7695 +a(g6 +V +tp7696 +a(g262 +V\u005c\u000a +p7697 +tp7698 +a(g6 +V +p7699 +tp7700 +a(g100 +Vb +tp7701 +a(g353 +V= +tp7702 +a(g138 +V$( +p7703 +tp7704 +a(g6 +VLIBDEST +p7705 +tp7706 +a(g138 +V) +tp7707 +a(g6 +V/ +tp7708 +a(g100 +V$$ +p7709 +tp7710 +a(g6 +Vd; +p7711 +tp7712 +a(g6 +V +tp7713 +a(g262 +V\u005c\u000a +p7714 +tp7715 +a(g6 +V +p7716 +tp7717 +a(g138 +Vfor +p7718 +tp7719 +a(g6 +Vi +tp7720 +a(g6 +V +tp7721 +a(g6 +Vin +p7722 +tp7723 +a(g6 +V +tp7724 +a(g100 +V$$ +p7725 +tp7726 +a(g6 +Va/*; +p7727 +tp7728 +a(g6 +V +tp7729 +a(g262 +V\u005c\u000a +p7730 +tp7731 +a(g6 +V +p7732 +tp7733 +a(g138 +Vdo +p7734 +tp7735 +a(g6 +V +tp7736 +a(g262 +V\u005c\u000a +p7737 +tp7738 +a(g6 +V +p7739 +tp7740 +a(g138 +Vcase +p7741 +tp7742 +a(g6 +V +tp7743 +a(g100 +V$$ +p7744 +tp7745 +a(g6 +Vi +tp7746 +a(g6 +V +tp7747 +a(g6 +Vin +p7748 +tp7749 +a(g6 +V +tp7750 +a(g262 +V\u005c\u000a +p7751 +tp7752 +a(g6 +V +p7753 +tp7754 +a(g6 +V*CVS +p7755 +tp7756 +a(g353 +V) +tp7757 +a(g6 +V +tp7758 +a(g6 +V; +tp7759 +a(g6 +V; +tp7760 +a(g6 +V +tp7761 +a(g262 +V\u005c\u000a +p7762 +tp7763 +a(g6 +V +p7764 +tp7765 +a(g6 +V*.py +p7766 +tp7767 +a(g353 +V[ +tp7768 +a(g6 +Vco +p7769 +tp7770 +a(g353 +V] +tp7771 +a(g353 +V) +tp7772 +a(g6 +V +tp7773 +a(g6 +V; +tp7774 +a(g6 +V; +tp7775 +a(g6 +V +tp7776 +a(g262 +V\u005c\u000a +p7777 +tp7778 +a(g6 +V +p7779 +tp7780 +a(g6 +V*.orig +p7781 +tp7782 +a(g353 +V) +tp7783 +a(g6 +V +tp7784 +a(g6 +V; +tp7785 +a(g6 +V; +tp7786 +a(g6 +V +tp7787 +a(g262 +V\u005c\u000a +p7788 +tp7789 +a(g6 +V +p7790 +tp7791 +a(g6 +V*~ +p7792 +tp7793 +a(g353 +V) +tp7794 +a(g6 +V +tp7795 +a(g6 +V; +tp7796 +a(g6 +V; +tp7797 +a(g6 +V +tp7798 +a(g262 +V\u005c\u000a +p7799 +tp7800 +a(g6 +V +p7801 +tp7802 +a(g6 +V* +tp7803 +a(g353 +V) +tp7804 +a(g6 +V +tp7805 +a(g262 +V\u005c\u000a +p7806 +tp7807 +a(g6 +V +p7808 +tp7809 +a(g138 +Vif +p7810 +tp7811 +a(g84 +Vtest +p7812 +tp7813 +a(g6 +V +tp7814 +a(g6 +V-d +p7815 +tp7816 +a(g6 +V +tp7817 +a(g100 +V$$ +p7818 +tp7819 +a(g6 +Vi; +p7820 +tp7821 +a(g6 +V +tp7822 +a(g138 +Vthen +p7823 +tp7824 +a(g138 +Vcontinue +p7825 +tp7826 +a(g6 +V; +tp7827 +a(g6 +V +tp7828 +a(g138 +Vfi +p7829 +tp7830 +a(g6 +V; +tp7831 +a(g6 +V +tp7832 +a(g262 +V\u005c\u000a +p7833 +tp7834 +a(g6 +V +p7835 +tp7836 +a(g138 +Vif +p7837 +tp7838 +a(g84 +Vtest +p7839 +tp7840 +a(g6 +V +tp7841 +a(g6 +V-x +p7842 +tp7843 +a(g6 +V +tp7844 +a(g100 +V$$ +p7845 +tp7846 +a(g6 +Vi; +p7847 +tp7848 +a(g6 +V +tp7849 +a(g138 +Vthen +p7850 +tp7851 +a(g6 +V +tp7852 +a(g262 +V\u005c\u000a +p7853 +tp7854 +a(g6 +V +p7855 +tp7856 +a(g84 +Vecho +p7857 +tp7858 +a(g6 +V +tp7859 +a(g138 +V$( +p7860 +tp7861 +a(g6 +VINSTALL_SCRIPT +p7862 +tp7863 +a(g138 +V) +tp7864 +a(g6 +V +tp7865 +a(g100 +V$$ +p7866 +tp7867 +a(g6 +Vi +tp7868 +a(g6 +V +tp7869 +a(g100 +V$$ +p7870 +tp7871 +a(g6 +Vb; +p7872 +tp7873 +a(g6 +V +tp7874 +a(g262 +V\u005c\u000a +p7875 +tp7876 +a(g6 +V +p7877 +tp7878 +a(g138 +V$( +p7879 +tp7880 +a(g6 +VINSTALL_SCRIPT +p7881 +tp7882 +a(g138 +V) +tp7883 +a(g6 +V +tp7884 +a(g100 +V$$ +p7885 +tp7886 +a(g6 +Vi +tp7887 +a(g6 +V +tp7888 +a(g138 +V$( +p7889 +tp7890 +a(g6 +VDESTDIR +p7891 +tp7892 +a(g138 +V) +tp7893 +a(g100 +V$$ +p7894 +tp7895 +a(g6 +Vb; +p7896 +tp7897 +a(g6 +V +tp7898 +a(g262 +V\u005c\u000a +p7899 +tp7900 +a(g27 +V else \u005c\u000a +p7901 +tp7902 +a(g262 +V +tp7903 +a(g27 +V echo $(INSTALL_DATA) $$i $$b; \u005c\u000a +p7904 +tp7905 +a(g262 +V +tp7906 +a(g27 +V $(INSTALL_DATA) $$i $(DESTDIR)$$b; \u005c\u000a +p7907 +tp7908 +a(g262 +V +tp7909 +a(g27 +V fi;; \u005c\u000a +p7910 +tp7911 +a(g262 +V +tp7912 +a(g27 +V esac; \u005c\u000a +p7913 +tp7914 +a(g262 +V +tp7915 +a(g27 +V done; \u005c\u000a +p7916 +tp7917 +a(g262 +V +tp7918 +a(g27 +V done\u000a +p7919 +tp7920 +a(g262 +V +tp7921 +a(g6 +V +tp7922 +a(g138 +V$( +p7923 +tp7924 +a(g6 +VINSTALL_DATA +p7925 +tp7926 +a(g138 +V) +tp7927 +a(g6 +V +tp7928 +a(g138 +V$( +p7929 +tp7930 +a(g6 +Vsrcdir +p7931 +tp7932 +a(g138 +V) +tp7933 +a(g6 +V/LICENSE +p7934 +tp7935 +a(g6 +V +tp7936 +a(g138 +V$( +p7937 +tp7938 +a(g6 +VDESTDIR +p7939 +tp7940 +a(g138 +V) +tp7941 +a(g138 +V$( +p7942 +tp7943 +a(g6 +VLIBDEST +p7944 +tp7945 +a(g138 +V) +tp7946 +a(g6 +V/LICENSE.txt +p7947 +tp7948 +a(g6 +V\u000a +p7949 +tp7950 +a(g100 +VPYTHONPATH +p7951 +tp7952 +a(g353 +V= +tp7953 +a(g138 +V$( +p7954 +tp7955 +a(g6 +VDESTDIR +p7956 +tp7957 +a(g138 +V) +tp7958 +a(g138 +V$( +p7959 +tp7960 +a(g6 +VLIBDEST +p7961 +tp7962 +a(g138 +V) +tp7963 +a(g6 +V +p7964 +tp7965 +a(g138 +V$( +p7966 +tp7967 +a(g6 +VRUNSHARED +p7968 +tp7969 +a(g138 +V) +tp7970 +a(g6 +V +tp7971 +a(g262 +V\u005c\u000a +p7972 +tp7973 +a(g6 +V +p7974 +tp7975 +a(g6 +V./ +p7976 +tp7977 +a(g138 +V$( +p7978 +tp7979 +a(g6 +VBUILDPYTHON +p7980 +tp7981 +a(g138 +V) +tp7982 +a(g6 +V +tp7983 +a(g6 +V-Wi +p7984 +tp7985 +a(g6 +V +tp7986 +a(g6 +V-tt +p7987 +tp7988 +a(g6 +V +tp7989 +a(g138 +V$( +p7990 +tp7991 +a(g6 +VDESTDIR +p7992 +tp7993 +a(g138 +V) +tp7994 +a(g138 +V$( +p7995 +tp7996 +a(g6 +VLIBDEST +p7997 +tp7998 +a(g138 +V) +tp7999 +a(g6 +V/compileall.py +p8000 +tp8001 +a(g6 +V +tp8002 +a(g262 +V\u005c\u000a +p8003 +tp8004 +a(g6 +V +p8005 +tp8006 +a(g6 +V-d +p8007 +tp8008 +a(g6 +V +tp8009 +a(g138 +V$( +p8010 +tp8011 +a(g6 +VLIBDEST +p8012 +tp8013 +a(g138 +V) +tp8014 +a(g6 +V +tp8015 +a(g6 +V-f +p8016 +tp8017 +a(g6 +V +tp8018 +a(g262 +V\u005c\u000a +p8019 +tp8020 +a(g6 +V +p8021 +tp8022 +a(g6 +V-x +p8023 +tp8024 +a(g6 +V +tp8025 +a(g281 +V'bad_coding|badsyntax|site-packages' +p8026 +tp8027 +a(g6 +V +tp8028 +a(g138 +V$( +p8029 +tp8030 +a(g6 +VDESTDIR +p8031 +tp8032 +a(g138 +V) +tp8033 +a(g138 +V$( +p8034 +tp8035 +a(g6 +VLIBDEST +p8036 +tp8037 +a(g138 +V) +tp8038 +a(g6 +V\u000a +p8039 +tp8040 +a(g100 +VPYTHONPATH +p8041 +tp8042 +a(g353 +V= +tp8043 +a(g138 +V$( +p8044 +tp8045 +a(g6 +VDESTDIR +p8046 +tp8047 +a(g138 +V) +tp8048 +a(g138 +V$( +p8049 +tp8050 +a(g6 +VLIBDEST +p8051 +tp8052 +a(g138 +V) +tp8053 +a(g6 +V +tp8054 +a(g138 +V$( +p8055 +tp8056 +a(g6 +VRUNSHARED +p8057 +tp8058 +a(g138 +V) +tp8059 +a(g6 +V +tp8060 +a(g262 +V\u005c\u000a +p8061 +tp8062 +a(g6 +V +p8063 +tp8064 +a(g6 +V./ +p8065 +tp8066 +a(g138 +V$( +p8067 +tp8068 +a(g6 +VBUILDPYTHON +p8069 +tp8070 +a(g138 +V) +tp8071 +a(g6 +V +tp8072 +a(g6 +V-Wi +p8073 +tp8074 +a(g6 +V +tp8075 +a(g6 +V-tt +p8076 +tp8077 +a(g6 +V +tp8078 +a(g6 +V-O +p8079 +tp8080 +a(g6 +V +tp8081 +a(g138 +V$( +p8082 +tp8083 +a(g6 +VDESTDIR +p8084 +tp8085 +a(g138 +V) +tp8086 +a(g138 +V$( +p8087 +tp8088 +a(g6 +VLIBDEST +p8089 +tp8090 +a(g138 +V) +tp8091 +a(g6 +V/compileall.py +p8092 +tp8093 +a(g6 +V +tp8094 +a(g262 +V\u005c\u000a +p8095 +tp8096 +a(g6 +V +p8097 +tp8098 +a(g6 +V-d +p8099 +tp8100 +a(g6 +V +tp8101 +a(g138 +V$( +p8102 +tp8103 +a(g6 +VLIBDEST +p8104 +tp8105 +a(g138 +V) +tp8106 +a(g6 +V +tp8107 +a(g6 +V-f +p8108 +tp8109 +a(g6 +V +tp8110 +a(g262 +V\u005c\u000a +p8111 +tp8112 +a(g6 +V +p8113 +tp8114 +a(g6 +V-x +p8115 +tp8116 +a(g6 +V +tp8117 +a(g281 +V'bad_coding|badsyntax|site-packages' +p8118 +tp8119 +a(g6 +V +tp8120 +a(g138 +V$( +p8121 +tp8122 +a(g6 +VDESTDIR +p8123 +tp8124 +a(g138 +V) +tp8125 +a(g138 +V$( +p8126 +tp8127 +a(g6 +VLIBDEST +p8128 +tp8129 +a(g138 +V) +tp8130 +a(g6 +V\u000a +p8131 +tp8132 +a(g6 +V-PYTHONPATH +p8133 +tp8134 +a(g353 +V= +tp8135 +a(g138 +V$( +p8136 +tp8137 +a(g6 +VDESTDIR +p8138 +tp8139 +a(g138 +V) +tp8140 +a(g138 +V$( +p8141 +tp8142 +a(g6 +VLIBDEST +p8143 +tp8144 +a(g138 +V) +tp8145 +a(g6 +V +p8146 +tp8147 +a(g138 +V$( +p8148 +tp8149 +a(g6 +VRUNSHARED +p8150 +tp8151 +a(g138 +V) +tp8152 +a(g6 +V +tp8153 +a(g262 +V\u005c\u000a +p8154 +tp8155 +a(g6 +V +p8156 +tp8157 +a(g6 +V./ +p8158 +tp8159 +a(g138 +V$( +p8160 +tp8161 +a(g6 +VBUILDPYTHON +p8162 +tp8163 +a(g138 +V) +tp8164 +a(g6 +V +tp8165 +a(g6 +V-Wi +p8166 +tp8167 +a(g6 +V +tp8168 +a(g6 +V-t +p8169 +tp8170 +a(g6 +V +tp8171 +a(g138 +V$( +p8172 +tp8173 +a(g6 +VDESTDIR +p8174 +tp8175 +a(g138 +V) +tp8176 +a(g138 +V$( +p8177 +tp8178 +a(g6 +VLIBDEST +p8179 +tp8180 +a(g138 +V) +tp8181 +a(g6 +V/compileall.py +p8182 +tp8183 +a(g6 +V +tp8184 +a(g262 +V\u005c\u000a +p8185 +tp8186 +a(g6 +V +p8187 +tp8188 +a(g6 +V-d +p8189 +tp8190 +a(g6 +V +tp8191 +a(g138 +V$( +p8192 +tp8193 +a(g6 +VLIBDEST +p8194 +tp8195 +a(g138 +V) +tp8196 +a(g6 +V/site-packages +p8197 +tp8198 +a(g6 +V +tp8199 +a(g6 +V-f +p8200 +tp8201 +a(g6 +V +tp8202 +a(g262 +V\u005c\u000a +p8203 +tp8204 +a(g6 +V +p8205 +tp8206 +a(g6 +V-x +p8207 +tp8208 +a(g6 +V +tp8209 +a(g6 +Vbadsyntax +p8210 +tp8211 +a(g6 +V +tp8212 +a(g138 +V$( +p8213 +tp8214 +a(g6 +VDESTDIR +p8215 +tp8216 +a(g138 +V) +tp8217 +a(g138 +V$( +p8218 +tp8219 +a(g6 +VLIBDEST +p8220 +tp8221 +a(g138 +V) +tp8222 +a(g6 +V/site-packages +p8223 +tp8224 +a(g6 +V\u000a +p8225 +tp8226 +a(g6 +V-PYTHONPATH +p8227 +tp8228 +a(g353 +V= +tp8229 +a(g138 +V$( +p8230 +tp8231 +a(g6 +VDESTDIR +p8232 +tp8233 +a(g138 +V) +tp8234 +a(g138 +V$( +p8235 +tp8236 +a(g6 +VLIBDEST +p8237 +tp8238 +a(g138 +V) +tp8239 +a(g6 +V +tp8240 +a(g138 +V$( +p8241 +tp8242 +a(g6 +VRUNSHARED +p8243 +tp8244 +a(g138 +V) +tp8245 +a(g6 +V +tp8246 +a(g262 +V\u005c\u000a +p8247 +tp8248 +a(g6 +V +p8249 +tp8250 +a(g6 +V./ +p8251 +tp8252 +a(g138 +V$( +p8253 +tp8254 +a(g6 +VBUILDPYTHON +p8255 +tp8256 +a(g138 +V) +tp8257 +a(g6 +V +tp8258 +a(g6 +V-Wi +p8259 +tp8260 +a(g6 +V +tp8261 +a(g6 +V-t +p8262 +tp8263 +a(g6 +V +tp8264 +a(g6 +V-O +p8265 +tp8266 +a(g6 +V +tp8267 +a(g138 +V$( +p8268 +tp8269 +a(g6 +VDESTDIR +p8270 +tp8271 +a(g138 +V) +tp8272 +a(g138 +V$( +p8273 +tp8274 +a(g6 +VLIBDEST +p8275 +tp8276 +a(g138 +V) +tp8277 +a(g6 +V/compileall.py +p8278 +tp8279 +a(g6 +V +tp8280 +a(g262 +V\u005c\u000a +p8281 +tp8282 +a(g6 +V +p8283 +tp8284 +a(g6 +V-d +p8285 +tp8286 +a(g6 +V +tp8287 +a(g138 +V$( +p8288 +tp8289 +a(g6 +VLIBDEST +p8290 +tp8291 +a(g138 +V) +tp8292 +a(g6 +V/site-packages +p8293 +tp8294 +a(g6 +V +tp8295 +a(g6 +V-f +p8296 +tp8297 +a(g6 +V +tp8298 +a(g262 +V\u005c\u000a +p8299 +tp8300 +a(g6 +V +p8301 +tp8302 +a(g6 +V-x +p8303 +tp8304 +a(g6 +V +tp8305 +a(g6 +Vbadsyntax +p8306 +tp8307 +a(g6 +V +tp8308 +a(g138 +V$( +p8309 +tp8310 +a(g6 +VDESTDIR +p8311 +tp8312 +a(g138 +V) +tp8313 +a(g138 +V$( +p8314 +tp8315 +a(g6 +VLIBDEST +p8316 +tp8317 +a(g138 +V) +tp8318 +a(g6 +V/site-packages +p8319 +tp8320 +a(g6 +V\u000a\u000a +p8321 +tp8322 +a(g24 +V# Create the PLATDIR source directory, if one wasn't distributed..\u000a +p8323 +tp8324 +a(g6 +V +tp8325 +a(g138 +V$( +p8326 +tp8327 +a(g6 +Vsrcdir +p8328 +tp8329 +a(g138 +V) +tp8330 +a(g6 +V/Lib/ +p8331 +tp8332 +a(g138 +V$( +p8333 +tp8334 +a(g6 +VPLATDIR +p8335 +tp8336 +a(g138 +V) +tp8337 +a(g6 +V: +tp8338 +a(g6 +V\u000a +p8339 +tp8340 +a(g6 +Vmkdir +p8341 +tp8342 +a(g6 +V +tp8343 +a(g138 +V$( +p8344 +tp8345 +a(g6 +Vsrcdir +p8346 +tp8347 +a(g138 +V) +tp8348 +a(g6 +V/Lib/ +p8349 +tp8350 +a(g138 +V$( +p8351 +tp8352 +a(g6 +VPLATDIR +p8353 +tp8354 +a(g138 +V) +tp8355 +a(g6 +V\u000a +p8356 +tp8357 +a(g6 +Vcp +p8358 +tp8359 +a(g6 +V +tp8360 +a(g138 +V$( +p8361 +tp8362 +a(g6 +Vsrcdir +p8363 +tp8364 +a(g138 +V) +tp8365 +a(g6 +V/Lib/plat-generic/regen +p8366 +tp8367 +a(g6 +V +tp8368 +a(g138 +V$( +p8369 +tp8370 +a(g6 +Vsrcdir +p8371 +tp8372 +a(g138 +V) +tp8373 +a(g6 +V/Lib/ +p8374 +tp8375 +a(g138 +V$( +p8376 +tp8377 +a(g6 +VPLATDIR +p8378 +tp8379 +a(g138 +V) +tp8380 +a(g6 +V/regen +p8381 +tp8382 +a(g6 +V\u000a +p8383 +tp8384 +a(g84 +Vexport +p8385 +tp8386 +a(g6 +VPATH; +p8387 +tp8388 +a(g6 +V +tp8389 +a(g100 +VPATH +p8390 +tp8391 +a(g353 +V= +tp8392 +a(g255 +V"`pwd`:$$PATH" +p8393 +tp8394 +a(g6 +V; +tp8395 +a(g6 +V +tp8396 +a(g262 +V\u005c\u000a +p8397 +tp8398 +a(g6 +V +tp8399 +a(g84 +Vexport +p8400 +tp8401 +a(g6 +VPYTHONPATH; +p8402 +tp8403 +a(g6 +V +tp8404 +a(g100 +VPYTHONPATH +p8405 +tp8406 +a(g353 +V= +tp8407 +a(g255 +V"`pwd`/Lib" +p8408 +tp8409 +a(g6 +V; +tp8410 +a(g6 +V +tp8411 +a(g262 +V\u005c\u000a +p8412 +tp8413 +a(g6 +V +tp8414 +a(g84 +Vexport +p8415 +tp8416 +a(g6 +VDYLD_FRAMEWORK_PATH; +p8417 +tp8418 +a(g6 +V +tp8419 +a(g100 +VDYLD_FRAMEWORK_PATH +p8420 +tp8421 +a(g353 +V= +tp8422 +a(g255 +V"`pwd`" +p8423 +tp8424 +a(g6 +V; +tp8425 +a(g6 +V +tp8426 +a(g262 +V\u005c\u000a +p8427 +tp8428 +a(g6 +V +tp8429 +a(g84 +Vexport +p8430 +tp8431 +a(g6 +VEXE; +p8432 +tp8433 +a(g6 +V +tp8434 +a(g100 +VEXE +p8435 +tp8436 +a(g353 +V= +tp8437 +a(g255 +V"$(BUILDEXE)" +p8438 +tp8439 +a(g6 +V; +tp8440 +a(g6 +V +tp8441 +a(g262 +V\u005c\u000a +p8442 +tp8443 +a(g6 +V +tp8444 +a(g84 +Vcd +p8445 +tp8446 +a(g6 +V +tp8447 +a(g138 +V$( +p8448 +tp8449 +a(g6 +Vsrcdir +p8450 +tp8451 +a(g138 +V) +tp8452 +a(g6 +V/Lib/ +p8453 +tp8454 +a(g138 +V$( +p8455 +tp8456 +a(g6 +VPLATDIR +p8457 +tp8458 +a(g138 +V) +tp8459 +a(g6 +V; +tp8460 +a(g6 +V +tp8461 +a(g6 +V./regen +p8462 +tp8463 +a(g6 +V\u000a\u000a +p8464 +tp8465 +a(g24 +V# Install the include files\u000a +p8466 +tp8467 +a(g6 +V +tp8468 +a(g100 +VINCLDIRSTOMAKE +p8469 +tp8470 +a(g353 +V= +tp8471 +a(g138 +V$( +p8472 +tp8473 +a(g6 +VINCLUDEDIR +p8474 +tp8475 +a(g138 +V) +tp8476 +a(g6 +V +tp8477 +a(g138 +V$( +p8478 +tp8479 +a(g6 +VCONFINCLUDEDIR +p8480 +tp8481 +a(g138 +V) +tp8482 +a(g6 +V +tp8483 +a(g138 +V$( +p8484 +tp8485 +a(g6 +VINCLUDEPY +p8486 +tp8487 +a(g138 +V) +tp8488 +a(g6 +V +tp8489 +a(g138 +V$( +p8490 +tp8491 +a(g6 +VCONFINCLUDEPY +p8492 +tp8493 +a(g138 +V) +tp8494 +a(g6 +V\u000a +tp8495 +a(g6 +Vinclinstall: +p8496 +tp8497 +a(g6 +V\u000a +p8498 +tp8499 +a(g6 +V@for +p8500 +tp8501 +a(g6 +V +tp8502 +a(g6 +Vi +tp8503 +a(g6 +V +tp8504 +a(g6 +Vin +p8505 +tp8506 +a(g6 +V +tp8507 +a(g138 +V$( +p8508 +tp8509 +a(g6 +VINCLDIRSTOMAKE +p8510 +tp8511 +a(g138 +V) +tp8512 +a(g6 +V; +tp8513 +a(g6 +V +tp8514 +a(g262 +V\u005c\u000a +p8515 +tp8516 +a(g6 +V +tp8517 +a(g138 +Vdo +p8518 +tp8519 +a(g6 +V +tp8520 +a(g262 +V\u005c\u000a +p8521 +tp8522 +a(g6 +V +p8523 +tp8524 +a(g138 +Vif +p8525 +tp8526 +a(g84 +Vtest +p8527 +tp8528 +a(g6 +V +tp8529 +a(g6 +V! +tp8530 +a(g6 +V +tp8531 +a(g6 +V-d +p8532 +tp8533 +a(g6 +V +tp8534 +a(g138 +V$( +p8535 +tp8536 +a(g6 +VDESTDIR +p8537 +tp8538 +a(g138 +V) +tp8539 +a(g100 +V$$ +p8540 +tp8541 +a(g6 +Vi; +p8542 +tp8543 +a(g6 +V +tp8544 +a(g138 +Vthen +p8545 +tp8546 +a(g6 +V +tp8547 +a(g262 +V\u005c\u000a +p8548 +tp8549 +a(g6 +V +p8550 +tp8551 +a(g84 +Vecho +p8552 +tp8553 +a(g6 +V +tp8554 +a(g255 +V"Creating directory $$i" +p8555 +tp8556 +a(g6 +V; +tp8557 +a(g6 +V +tp8558 +a(g262 +V\u005c\u000a +p8559 +tp8560 +a(g6 +V +p8561 +tp8562 +a(g138 +V$( +p8563 +tp8564 +a(g6 +VINSTALL +p8565 +tp8566 +a(g138 +V) +tp8567 +a(g6 +V +tp8568 +a(g6 +V-d +p8569 +tp8570 +a(g6 +V +tp8571 +a(g6 +V-m +p8572 +tp8573 +a(g6 +V +tp8574 +a(g138 +V$( +p8575 +tp8576 +a(g6 +VDIRMODE +p8577 +tp8578 +a(g138 +V) +tp8579 +a(g6 +V +tp8580 +a(g138 +V$( +p8581 +tp8582 +a(g6 +VDESTDIR +p8583 +tp8584 +a(g138 +V) +tp8585 +a(g100 +V$$ +p8586 +tp8587 +a(g6 +Vi; +p8588 +tp8589 +a(g6 +V +tp8590 +a(g262 +V\u005c\u000a +p8591 +tp8592 +a(g27 +V else true; \u005c\u000a +p8593 +tp8594 +a(g262 +V +tp8595 +a(g27 +V fi; \u005c\u000a +p8596 +tp8597 +a(g262 +V +tp8598 +a(g27 +V done\u000a +p8599 +tp8600 +a(g262 +V +tp8601 +a(g6 +V +tp8602 +a(g6 +V@for +p8603 +tp8604 +a(g6 +V +tp8605 +a(g6 +Vi +tp8606 +a(g6 +V +tp8607 +a(g6 +Vin +p8608 +tp8609 +a(g6 +V +tp8610 +a(g138 +V$( +p8611 +tp8612 +a(g6 +Vsrcdir +p8613 +tp8614 +a(g138 +V) +tp8615 +a(g6 +V/Include/*.h; +p8616 +tp8617 +a(g6 +V +tp8618 +a(g262 +V\u005c\u000a +p8619 +tp8620 +a(g6 +V +tp8621 +a(g138 +Vdo +p8622 +tp8623 +a(g6 +V +tp8624 +a(g262 +V\u005c\u000a +p8625 +tp8626 +a(g6 +V +p8627 +tp8628 +a(g84 +Vecho +p8629 +tp8630 +a(g6 +V +tp8631 +a(g138 +V$( +p8632 +tp8633 +a(g6 +VINSTALL_DATA +p8634 +tp8635 +a(g138 +V) +tp8636 +a(g6 +V +tp8637 +a(g100 +V$$ +p8638 +tp8639 +a(g6 +Vi +tp8640 +a(g6 +V +tp8641 +a(g138 +V$( +p8642 +tp8643 +a(g6 +VINCLUDEPY +p8644 +tp8645 +a(g138 +V) +tp8646 +a(g6 +V; +tp8647 +a(g6 +V +tp8648 +a(g262 +V\u005c\u000a +p8649 +tp8650 +a(g6 +V +p8651 +tp8652 +a(g138 +V$( +p8653 +tp8654 +a(g6 +VINSTALL_DATA +p8655 +tp8656 +a(g138 +V) +tp8657 +a(g6 +V +tp8658 +a(g100 +V$$ +p8659 +tp8660 +a(g6 +Vi +tp8661 +a(g6 +V +tp8662 +a(g138 +V$( +p8663 +tp8664 +a(g6 +VDESTDIR +p8665 +tp8666 +a(g138 +V) +tp8667 +a(g138 +V$( +p8668 +tp8669 +a(g6 +VINCLUDEPY +p8670 +tp8671 +a(g138 +V) +tp8672 +a(g6 +V; +tp8673 +a(g6 +V +tp8674 +a(g262 +V\u005c\u000a +p8675 +tp8676 +a(g6 +V +tp8677 +a(g138 +Vdone +p8678 +tp8679 +a(g6 +V\u000a +p8680 +tp8681 +a(g138 +V$( +p8682 +tp8683 +a(g6 +VINSTALL_DATA +p8684 +tp8685 +a(g138 +V) +tp8686 +a(g6 +V +tp8687 +a(g6 +Vpyconfig.h +p8688 +tp8689 +a(g6 +V +tp8690 +a(g138 +V$( +p8691 +tp8692 +a(g6 +VDESTDIR +p8693 +tp8694 +a(g138 +V) +tp8695 +a(g138 +V$( +p8696 +tp8697 +a(g6 +VCONFINCLUDEPY +p8698 +tp8699 +a(g138 +V) +tp8700 +a(g6 +V/pyconfig.h +p8701 +tp8702 +a(g6 +V\u000a\u000a +p8703 +tp8704 +a(g24 +V# Install the library and miscellaneous stuff needed for extending/embedding\u000a +p8705 +tp8706 +a(g6 +V +tp8707 +a(g24 +V# This goes into $(exec_prefix)\u000a +p8708 +tp8709 +a(g6 +V +tp8710 +a(g100 +VLIBPL +p8711 +tp8712 +a(g353 +V= +tp8713 +a(g6 +V +p8714 +tp8715 +a(g138 +V$( +p8716 +tp8717 +a(g6 +VLIBP +p8718 +tp8719 +a(g138 +V) +tp8720 +a(g6 +V/config +p8721 +tp8722 +a(g6 +V\u000a +tp8723 +a(g6 +Vlibainstall: +p8724 +tp8725 +a(g6 +V +tp8726 +a(g6 +Vall +p8727 +tp8728 +a(g6 +V\u000a +p8729 +tp8730 +a(g6 +V@for +p8731 +tp8732 +a(g6 +V +tp8733 +a(g6 +Vi +tp8734 +a(g6 +V +tp8735 +a(g6 +Vin +p8736 +tp8737 +a(g6 +V +tp8738 +a(g138 +V$( +p8739 +tp8740 +a(g6 +VLIBDIR +p8741 +tp8742 +a(g138 +V) +tp8743 +a(g6 +V +tp8744 +a(g138 +V$( +p8745 +tp8746 +a(g6 +VLIBP +p8747 +tp8748 +a(g138 +V) +tp8749 +a(g6 +V +tp8750 +a(g138 +V$( +p8751 +tp8752 +a(g6 +VLIBPL +p8753 +tp8754 +a(g138 +V) +tp8755 +a(g6 +V; +tp8756 +a(g6 +V +tp8757 +a(g262 +V\u005c\u000a +p8758 +tp8759 +a(g6 +V +tp8760 +a(g138 +Vdo +p8761 +tp8762 +a(g6 +V +tp8763 +a(g262 +V\u005c\u000a +p8764 +tp8765 +a(g6 +V +p8766 +tp8767 +a(g138 +Vif +p8768 +tp8769 +a(g84 +Vtest +p8770 +tp8771 +a(g6 +V +tp8772 +a(g6 +V! +tp8773 +a(g6 +V +tp8774 +a(g6 +V-d +p8775 +tp8776 +a(g6 +V +tp8777 +a(g138 +V$( +p8778 +tp8779 +a(g6 +VDESTDIR +p8780 +tp8781 +a(g138 +V) +tp8782 +a(g100 +V$$ +p8783 +tp8784 +a(g6 +Vi; +p8785 +tp8786 +a(g6 +V +tp8787 +a(g138 +Vthen +p8788 +tp8789 +a(g6 +V +tp8790 +a(g262 +V\u005c\u000a +p8791 +tp8792 +a(g6 +V +p8793 +tp8794 +a(g84 +Vecho +p8795 +tp8796 +a(g6 +V +tp8797 +a(g255 +V"Creating directory $$i" +p8798 +tp8799 +a(g6 +V; +tp8800 +a(g6 +V +tp8801 +a(g262 +V\u005c\u000a +p8802 +tp8803 +a(g6 +V +p8804 +tp8805 +a(g138 +V$( +p8806 +tp8807 +a(g6 +VINSTALL +p8808 +tp8809 +a(g138 +V) +tp8810 +a(g6 +V +tp8811 +a(g6 +V-d +p8812 +tp8813 +a(g6 +V +tp8814 +a(g6 +V-m +p8815 +tp8816 +a(g6 +V +tp8817 +a(g138 +V$( +p8818 +tp8819 +a(g6 +VDIRMODE +p8820 +tp8821 +a(g138 +V) +tp8822 +a(g6 +V +tp8823 +a(g138 +V$( +p8824 +tp8825 +a(g6 +VDESTDIR +p8826 +tp8827 +a(g138 +V) +tp8828 +a(g100 +V$$ +p8829 +tp8830 +a(g6 +Vi; +p8831 +tp8832 +a(g6 +V +tp8833 +a(g262 +V\u005c\u000a +p8834 +tp8835 +a(g27 +V else true; \u005c\u000a +p8836 +tp8837 +a(g262 +V +tp8838 +a(g27 +V fi; \u005c\u000a +p8839 +tp8840 +a(g262 +V +tp8841 +a(g27 +V done\u000a +p8842 +tp8843 +a(g262 +V +tp8844 +a(g6 +V +tp8845 +a(g6 +V@if +p8846 +tp8847 +a(g6 +V +tp8848 +a(g84 +Vtest +p8849 +tp8850 +a(g6 +V +tp8851 +a(g6 +V-d +p8852 +tp8853 +a(g6 +V +tp8854 +a(g138 +V$( +p8855 +tp8856 +a(g6 +VLIBRARY +p8857 +tp8858 +a(g138 +V) +tp8859 +a(g6 +V; +tp8860 +a(g6 +V +tp8861 +a(g138 +Vthen +p8862 +tp8863 +a(g6 +V +tp8864 +a(g6 +V:; +p8865 +tp8866 +a(g6 +V +tp8867 +a(g138 +Velse +p8868 +tp8869 +a(g6 +V +tp8870 +a(g262 +V\u005c\u000a +p8871 +tp8872 +a(g6 +V +p8873 +tp8874 +a(g138 +Vif +p8875 +tp8876 +a(g84 +Vtest +p8877 +tp8878 +a(g6 +V +tp8879 +a(g255 +V"$(PYTHONFRAMEWORKDIR)" +p8880 +tp8881 +a(g6 +V +tp8882 +a(g353 +V= +tp8883 +a(g6 +V +tp8884 +a(g6 +Vno-framework; +p8885 +tp8886 +a(g6 +V +tp8887 +a(g138 +Vthen +p8888 +tp8889 +a(g6 +V +tp8890 +a(g262 +V\u005c\u000a +p8891 +tp8892 +a(g6 +V +p8893 +tp8894 +a(g138 +Vif +p8895 +tp8896 +a(g84 +Vtest +p8897 +tp8898 +a(g6 +V +tp8899 +a(g255 +V"$(SO)" +p8900 +tp8901 +a(g6 +V +tp8902 +a(g353 +V= +tp8903 +a(g6 +V +tp8904 +a(g6 +V.dll; +p8905 +tp8906 +a(g6 +V +tp8907 +a(g138 +Vthen +p8908 +tp8909 +a(g6 +V +tp8910 +a(g262 +V\u005c\u000a +p8911 +tp8912 +a(g6 +V +p8913 +tp8914 +a(g138 +V$( +p8915 +tp8916 +a(g6 +VINSTALL_DATA +p8917 +tp8918 +a(g138 +V) +tp8919 +a(g6 +V +tp8920 +a(g138 +V$( +p8921 +tp8922 +a(g6 +VLDLIBRARY +p8923 +tp8924 +a(g138 +V) +tp8925 +a(g6 +V +tp8926 +a(g138 +V$( +p8927 +tp8928 +a(g6 +VDESTDIR +p8929 +tp8930 +a(g138 +V) +tp8931 +a(g138 +V$( +p8932 +tp8933 +a(g6 +VLIBPL +p8934 +tp8935 +a(g138 +V) +tp8936 +a(g6 +V +tp8937 +a(g6 +V; +tp8938 +a(g6 +V +tp8939 +a(g262 +V\u005c\u000a +p8940 +tp8941 +a(g27 +V else \u005c\u000a +p8942 +tp8943 +a(g262 +V +tp8944 +a(g27 +V $(INSTALL_DATA) $(LIBRARY) $(DESTDIR)$(LIBPL)/$(LIBRARY) ; \u005c\u000a +p8945 +tp8946 +a(g262 +V +tp8947 +a(g27 +V $(RANLIB) $(DESTDIR)$(LIBPL)/$(LIBRARY) ; \u005c\u000a +p8948 +tp8949 +a(g262 +V +tp8950 +a(g27 +V fi; \u005c\u000a +p8951 +tp8952 +a(g262 +V +tp8953 +a(g27 +V else \u005c\u000a +p8954 +tp8955 +a(g262 +V +tp8956 +a(g27 +V echo Skip install of $(LIBRARY) - use make frameworkinstall; \u005c\u000a +p8957 +tp8958 +a(g262 +V +tp8959 +a(g27 +V fi; \u005c\u000a +p8960 +tp8961 +a(g262 +V +tp8962 +a(g27 +V fi\u000a +p8963 +tp8964 +a(g262 +V +tp8965 +a(g6 +V +tp8966 +a(g138 +V$( +p8967 +tp8968 +a(g6 +VINSTALL_DATA +p8969 +tp8970 +a(g138 +V) +tp8971 +a(g6 +V +tp8972 +a(g6 +VModules/config.c +p8973 +tp8974 +a(g6 +V +tp8975 +a(g138 +V$( +p8976 +tp8977 +a(g6 +VDESTDIR +p8978 +tp8979 +a(g138 +V) +tp8980 +a(g138 +V$( +p8981 +tp8982 +a(g6 +VLIBPL +p8983 +tp8984 +a(g138 +V) +tp8985 +a(g6 +V/config.c +p8986 +tp8987 +a(g6 +V\u000a +p8988 +tp8989 +a(g138 +V$( +p8990 +tp8991 +a(g6 +VINSTALL_DATA +p8992 +tp8993 +a(g138 +V) +tp8994 +a(g6 +V +tp8995 +a(g6 +VModules/python.o +p8996 +tp8997 +a(g6 +V +tp8998 +a(g138 +V$( +p8999 +tp9000 +a(g6 +VDESTDIR +p9001 +tp9002 +a(g138 +V) +tp9003 +a(g138 +V$( +p9004 +tp9005 +a(g6 +VLIBPL +p9006 +tp9007 +a(g138 +V) +tp9008 +a(g6 +V/python.o +p9009 +tp9010 +a(g6 +V\u000a +p9011 +tp9012 +a(g138 +V$( +p9013 +tp9014 +a(g6 +VINSTALL_DATA +p9015 +tp9016 +a(g138 +V) +tp9017 +a(g6 +V +tp9018 +a(g138 +V$( +p9019 +tp9020 +a(g6 +Vsrcdir +p9021 +tp9022 +a(g138 +V) +tp9023 +a(g6 +V/Modules/config.c.in +p9024 +tp9025 +a(g6 +V +tp9026 +a(g138 +V$( +p9027 +tp9028 +a(g6 +VDESTDIR +p9029 +tp9030 +a(g138 +V) +tp9031 +a(g138 +V$( +p9032 +tp9033 +a(g6 +VLIBPL +p9034 +tp9035 +a(g138 +V) +tp9036 +a(g6 +V/config.c.in +p9037 +tp9038 +a(g6 +V\u000a +p9039 +tp9040 +a(g138 +V$( +p9041 +tp9042 +a(g6 +VINSTALL_DATA +p9043 +tp9044 +a(g138 +V) +tp9045 +a(g6 +V +tp9046 +a(g6 +VMakefile +p9047 +tp9048 +a(g6 +V +tp9049 +a(g138 +V$( +p9050 +tp9051 +a(g6 +VDESTDIR +p9052 +tp9053 +a(g138 +V) +tp9054 +a(g138 +V$( +p9055 +tp9056 +a(g6 +VLIBPL +p9057 +tp9058 +a(g138 +V) +tp9059 +a(g6 +V/Makefile +p9060 +tp9061 +a(g6 +V\u000a +p9062 +tp9063 +a(g138 +V$( +p9064 +tp9065 +a(g6 +VINSTALL_DATA +p9066 +tp9067 +a(g138 +V) +tp9068 +a(g6 +V +tp9069 +a(g6 +VModules/Setup +p9070 +tp9071 +a(g6 +V +tp9072 +a(g138 +V$( +p9073 +tp9074 +a(g6 +VDESTDIR +p9075 +tp9076 +a(g138 +V) +tp9077 +a(g138 +V$( +p9078 +tp9079 +a(g6 +VLIBPL +p9080 +tp9081 +a(g138 +V) +tp9082 +a(g6 +V/Setup +p9083 +tp9084 +a(g6 +V\u000a +p9085 +tp9086 +a(g138 +V$( +p9087 +tp9088 +a(g6 +VINSTALL_DATA +p9089 +tp9090 +a(g138 +V) +tp9091 +a(g6 +V +tp9092 +a(g6 +VModules/Setup.local +p9093 +tp9094 +a(g6 +V +tp9095 +a(g138 +V$( +p9096 +tp9097 +a(g6 +VDESTDIR +p9098 +tp9099 +a(g138 +V) +tp9100 +a(g138 +V$( +p9101 +tp9102 +a(g6 +VLIBPL +p9103 +tp9104 +a(g138 +V) +tp9105 +a(g6 +V/Setup.local +p9106 +tp9107 +a(g6 +V\u000a +p9108 +tp9109 +a(g138 +V$( +p9110 +tp9111 +a(g6 +VINSTALL_DATA +p9112 +tp9113 +a(g138 +V) +tp9114 +a(g6 +V +tp9115 +a(g6 +VModules/Setup.config +p9116 +tp9117 +a(g6 +V +tp9118 +a(g138 +V$( +p9119 +tp9120 +a(g6 +VDESTDIR +p9121 +tp9122 +a(g138 +V) +tp9123 +a(g138 +V$( +p9124 +tp9125 +a(g6 +VLIBPL +p9126 +tp9127 +a(g138 +V) +tp9128 +a(g6 +V/Setup.config +p9129 +tp9130 +a(g6 +V\u000a +p9131 +tp9132 +a(g138 +V$( +p9133 +tp9134 +a(g6 +VINSTALL_SCRIPT +p9135 +tp9136 +a(g138 +V) +tp9137 +a(g6 +V +tp9138 +a(g138 +V$( +p9139 +tp9140 +a(g6 +Vsrcdir +p9141 +tp9142 +a(g138 +V) +tp9143 +a(g6 +V/Modules/makesetup +p9144 +tp9145 +a(g6 +V +tp9146 +a(g138 +V$( +p9147 +tp9148 +a(g6 +VDESTDIR +p9149 +tp9150 +a(g138 +V) +tp9151 +a(g138 +V$( +p9152 +tp9153 +a(g6 +VLIBPL +p9154 +tp9155 +a(g138 +V) +tp9156 +a(g6 +V/makesetup +p9157 +tp9158 +a(g6 +V\u000a +p9159 +tp9160 +a(g138 +V$( +p9161 +tp9162 +a(g6 +VINSTALL_SCRIPT +p9163 +tp9164 +a(g138 +V) +tp9165 +a(g6 +V +tp9166 +a(g138 +V$( +p9167 +tp9168 +a(g6 +Vsrcdir +p9169 +tp9170 +a(g138 +V) +tp9171 +a(g6 +V/install-sh +p9172 +tp9173 +a(g6 +V +tp9174 +a(g138 +V$( +p9175 +tp9176 +a(g6 +VDESTDIR +p9177 +tp9178 +a(g138 +V) +tp9179 +a(g138 +V$( +p9180 +tp9181 +a(g6 +VLIBPL +p9182 +tp9183 +a(g138 +V) +tp9184 +a(g6 +V/install-sh +p9185 +tp9186 +a(g6 +V\u000a +tp9187 +a(g24 +V # Substitution happens here, as the completely-expanded BINDIR\u000a +p9188 +tp9189 +a(g6 +V +tp9190 +a(g24 +V # is not available in configure\u000a +p9191 +tp9192 +a(g6 +V +tp9193 +a(g6 +Vsed +p9194 +tp9195 +a(g6 +V +tp9196 +a(g6 +V-e +p9197 +tp9198 +a(g6 +V +tp9199 +a(g255 +V"s,@EXENAME@,$(BINDIR)/python$(VERSION)$(EXE)," +p9200 +tp9201 +a(g6 +V +tp9202 +a(g6 +V< +tp9203 +a(g6 +V +tp9204 +a(g138 +V$( +p9205 +tp9206 +a(g6 +Vsrcdir +p9207 +tp9208 +a(g138 +V) +tp9209 +a(g6 +V/Misc/python-config.in +p9210 +tp9211 +a(g6 +V +tp9212 +a(g6 +V>python-config +p9213 +tp9214 +a(g6 +V\u000a +p9215 +tp9216 +a(g138 +V$( +p9217 +tp9218 +a(g6 +VINSTALL_SCRIPT +p9219 +tp9220 +a(g138 +V) +tp9221 +a(g6 +V +tp9222 +a(g6 +Vpython-config +p9223 +tp9224 +a(g6 +V +tp9225 +a(g138 +V$( +p9226 +tp9227 +a(g6 +VDESTDIR +p9228 +tp9229 +a(g138 +V) +tp9230 +a(g138 +V$( +p9231 +tp9232 +a(g6 +VBINDIR +p9233 +tp9234 +a(g138 +V) +tp9235 +a(g6 +V/python +p9236 +tp9237 +a(g138 +V$( +p9238 +tp9239 +a(g6 +VVERSION +p9240 +tp9241 +a(g138 +V) +tp9242 +a(g6 +V-config +p9243 +tp9244 +a(g6 +V\u000a +p9245 +tp9246 +a(g6 +Vrm +p9247 +tp9248 +a(g6 +V +tp9249 +a(g6 +Vpython-config +p9250 +tp9251 +a(g6 +V\u000a +p9252 +tp9253 +a(g6 +V@if +p9254 +tp9255 +a(g6 +V +tp9256 +a(g353 +V[ +tp9257 +a(g6 +V +tp9258 +a(g6 +V-s +p9259 +tp9260 +a(g6 +V +tp9261 +a(g6 +VModules/python.exp +p9262 +tp9263 +a(g6 +V +tp9264 +a(g6 +V-a +p9265 +tp9266 +a(g6 +V +tp9267 +a(g262 +V\u005c\u000a +p9268 +tp9269 +a(g6 +V +p9270 +tp9271 +a(g255 +V"`echo $(MACHDEP) | sed 's/^\u005c(...\u005c).*/\u005c1/'`" +p9272 +tp9273 +a(g6 +V +tp9274 +a(g353 +V= +tp9275 +a(g6 +V +tp9276 +a(g255 +V"aix" +p9277 +tp9278 +a(g6 +V +tp9279 +a(g353 +V] +tp9280 +a(g6 +V; +tp9281 +a(g6 +V +tp9282 +a(g138 +Vthen +p9283 +tp9284 +a(g6 +V +tp9285 +a(g262 +V\u005c\u000a +p9286 +tp9287 +a(g6 +V +p9288 +tp9289 +a(g84 +Vecho +p9290 +tp9291 +a(g6 +V; +tp9292 +a(g6 +V +tp9293 +a(g84 +Vecho +p9294 +tp9295 +a(g6 +V +tp9296 +a(g255 +V"Installing support files for building shared extension modules on AIX:" +p9297 +tp9298 +a(g6 +V; +tp9299 +a(g6 +V +tp9300 +a(g262 +V\u005c\u000a +p9301 +tp9302 +a(g6 +V +p9303 +tp9304 +a(g138 +V$( +p9305 +tp9306 +a(g6 +VINSTALL_DATA +p9307 +tp9308 +a(g138 +V) +tp9309 +a(g6 +V +tp9310 +a(g6 +VModules/python.exp +p9311 +tp9312 +a(g6 +V +p9313 +tp9314 +a(g262 +V\u005c\u000a +p9315 +tp9316 +a(g6 +V +p9317 +tp9318 +a(g138 +V$( +p9319 +tp9320 +a(g6 +VDESTDIR +p9321 +tp9322 +a(g138 +V) +tp9323 +a(g138 +V$( +p9324 +tp9325 +a(g6 +VLIBPL +p9326 +tp9327 +a(g138 +V) +tp9328 +a(g6 +V/python.exp; +p9329 +tp9330 +a(g6 +V +p9331 +tp9332 +a(g262 +V\u005c\u000a +p9333 +tp9334 +a(g6 +V +p9335 +tp9336 +a(g84 +Vecho +p9337 +tp9338 +a(g6 +V; +tp9339 +a(g6 +V +tp9340 +a(g84 +Vecho +p9341 +tp9342 +a(g6 +V +tp9343 +a(g255 +V"$(LIBPL)/python.exp" +p9344 +tp9345 +a(g6 +V; +tp9346 +a(g6 +V +p9347 +tp9348 +a(g262 +V\u005c\u000a +p9349 +tp9350 +a(g6 +V +p9351 +tp9352 +a(g138 +V$( +p9353 +tp9354 +a(g6 +VINSTALL_SCRIPT +p9355 +tp9356 +a(g138 +V) +tp9357 +a(g6 +V +tp9358 +a(g138 +V$( +p9359 +tp9360 +a(g6 +Vsrcdir +p9361 +tp9362 +a(g138 +V) +tp9363 +a(g6 +V/Modules/makexp_aix +p9364 +tp9365 +a(g6 +V +tp9366 +a(g262 +V\u005c\u000a +p9367 +tp9368 +a(g6 +V +p9369 +tp9370 +a(g138 +V$( +p9371 +tp9372 +a(g6 +VDESTDIR +p9373 +tp9374 +a(g138 +V) +tp9375 +a(g138 +V$( +p9376 +tp9377 +a(g6 +VLIBPL +p9378 +tp9379 +a(g138 +V) +tp9380 +a(g6 +V/makexp_aix; +p9381 +tp9382 +a(g6 +V +p9383 +tp9384 +a(g262 +V\u005c\u000a +p9385 +tp9386 +a(g6 +V +p9387 +tp9388 +a(g84 +Vecho +p9389 +tp9390 +a(g6 +V +tp9391 +a(g255 +V"$(LIBPL)/makexp_aix" +p9392 +tp9393 +a(g6 +V; +tp9394 +a(g6 +V +p9395 +tp9396 +a(g262 +V\u005c\u000a +p9397 +tp9398 +a(g6 +V +p9399 +tp9400 +a(g138 +V$( +p9401 +tp9402 +a(g6 +VINSTALL_SCRIPT +p9403 +tp9404 +a(g138 +V) +tp9405 +a(g6 +V +tp9406 +a(g138 +V$( +p9407 +tp9408 +a(g6 +Vsrcdir +p9409 +tp9410 +a(g138 +V) +tp9411 +a(g6 +V/Modules/ld_so_aix +p9412 +tp9413 +a(g6 +V +tp9414 +a(g262 +V\u005c\u000a +p9415 +tp9416 +a(g6 +V +p9417 +tp9418 +a(g138 +V$( +p9419 +tp9420 +a(g6 +VDESTDIR +p9421 +tp9422 +a(g138 +V) +tp9423 +a(g138 +V$( +p9424 +tp9425 +a(g6 +VLIBPL +p9426 +tp9427 +a(g138 +V) +tp9428 +a(g6 +V/ld_so_aix; +p9429 +tp9430 +a(g6 +V +p9431 +tp9432 +a(g262 +V\u005c\u000a +p9433 +tp9434 +a(g6 +V +p9435 +tp9436 +a(g84 +Vecho +p9437 +tp9438 +a(g6 +V +tp9439 +a(g255 +V"$(LIBPL)/ld_so_aix" +p9440 +tp9441 +a(g6 +V; +tp9442 +a(g6 +V +p9443 +tp9444 +a(g262 +V\u005c\u000a +p9445 +tp9446 +a(g6 +V +p9447 +tp9448 +a(g84 +Vecho +p9449 +tp9450 +a(g6 +V; +tp9451 +a(g6 +V +tp9452 +a(g84 +Vecho +p9453 +tp9454 +a(g6 +V +tp9455 +a(g255 +V"See Misc/AIX-NOTES for details." +p9456 +tp9457 +a(g6 +V; +tp9458 +a(g6 +V +tp9459 +a(g262 +V\u005c\u000a +p9460 +tp9461 +a(g27 +V else true; \u005c\u000a +p9462 +tp9463 +a(g262 +V +tp9464 +a(g27 +V fi\u000a +p9465 +tp9466 +a(g262 +V +tp9467 +a(g6 +V +tp9468 +a(g6 +V@case +p9469 +tp9470 +a(g6 +V +tp9471 +a(g255 +V"$(MACHDEP)" +p9472 +tp9473 +a(g6 +V +tp9474 +a(g6 +Vin +p9475 +tp9476 +a(g6 +V +tp9477 +a(g6 +Vbeos* +p9478 +tp9479 +a(g353 +V) +tp9480 +a(g6 +V +tp9481 +a(g262 +V\u005c\u000a +p9482 +tp9483 +a(g6 +V +p9484 +tp9485 +a(g84 +Vecho +p9486 +tp9487 +a(g6 +V; +tp9488 +a(g6 +V +tp9489 +a(g84 +Vecho +p9490 +tp9491 +a(g6 +V +tp9492 +a(g255 +V"Installing support files for building shared extension modules on BeOS:" +p9493 +tp9494 +a(g6 +V; +tp9495 +a(g6 +V +tp9496 +a(g262 +V\u005c\u000a +p9497 +tp9498 +a(g6 +V +p9499 +tp9500 +a(g138 +V$( +p9501 +tp9502 +a(g6 +VINSTALL_DATA +p9503 +tp9504 +a(g138 +V) +tp9505 +a(g6 +V +tp9506 +a(g6 +VMisc/BeOS-NOTES +p9507 +tp9508 +a(g6 +V +tp9509 +a(g138 +V$( +p9510 +tp9511 +a(g6 +VDESTDIR +p9512 +tp9513 +a(g138 +V) +tp9514 +a(g138 +V$( +p9515 +tp9516 +a(g6 +VLIBPL +p9517 +tp9518 +a(g138 +V) +tp9519 +a(g6 +V/README; +p9520 +tp9521 +a(g6 +V +tp9522 +a(g262 +V\u005c\u000a +p9523 +tp9524 +a(g6 +V +p9525 +tp9526 +a(g84 +Vecho +p9527 +tp9528 +a(g6 +V; +tp9529 +a(g6 +V +tp9530 +a(g84 +Vecho +p9531 +tp9532 +a(g6 +V +tp9533 +a(g255 +V"$(LIBPL)/README" +p9534 +tp9535 +a(g6 +V; +tp9536 +a(g6 +V +p9537 +tp9538 +a(g262 +V\u005c\u000a +p9539 +tp9540 +a(g6 +V +p9541 +tp9542 +a(g138 +V$( +p9543 +tp9544 +a(g6 +VINSTALL_SCRIPT +p9545 +tp9546 +a(g138 +V) +tp9547 +a(g6 +V +tp9548 +a(g6 +VModules/ar_beos +p9549 +tp9550 +a(g6 +V +tp9551 +a(g138 +V$( +p9552 +tp9553 +a(g6 +VDESTDIR +p9554 +tp9555 +a(g138 +V) +tp9556 +a(g138 +V$( +p9557 +tp9558 +a(g6 +VLIBPL +p9559 +tp9560 +a(g138 +V) +tp9561 +a(g6 +V/ar_beos; +p9562 +tp9563 +a(g6 +V +tp9564 +a(g262 +V\u005c\u000a +p9565 +tp9566 +a(g6 +V +p9567 +tp9568 +a(g84 +Vecho +p9569 +tp9570 +a(g6 +V +tp9571 +a(g255 +V"$(LIBPL)/ar_beos" +p9572 +tp9573 +a(g6 +V; +tp9574 +a(g6 +V +p9575 +tp9576 +a(g262 +V\u005c\u000a +p9577 +tp9578 +a(g6 +V +p9579 +tp9580 +a(g138 +V$( +p9581 +tp9582 +a(g6 +VINSTALL_SCRIPT +p9583 +tp9584 +a(g138 +V) +tp9585 +a(g6 +V +tp9586 +a(g6 +VModules/ld_so_beos +p9587 +tp9588 +a(g6 +V +tp9589 +a(g138 +V$( +p9590 +tp9591 +a(g6 +VDESTDIR +p9592 +tp9593 +a(g138 +V) +tp9594 +a(g138 +V$( +p9595 +tp9596 +a(g6 +VLIBPL +p9597 +tp9598 +a(g138 +V) +tp9599 +a(g6 +V/ld_so_beos; +p9600 +tp9601 +a(g6 +V +tp9602 +a(g262 +V\u005c\u000a +p9603 +tp9604 +a(g6 +V +p9605 +tp9606 +a(g84 +Vecho +p9607 +tp9608 +a(g6 +V +tp9609 +a(g255 +V"$(LIBPL)/ld_so_beos" +p9610 +tp9611 +a(g6 +V; +tp9612 +a(g6 +V +p9613 +tp9614 +a(g262 +V\u005c\u000a +p9615 +tp9616 +a(g6 +V +p9617 +tp9618 +a(g84 +Vecho +p9619 +tp9620 +a(g6 +V; +tp9621 +a(g6 +V +tp9622 +a(g84 +Vecho +p9623 +tp9624 +a(g6 +V +tp9625 +a(g255 +V"See Misc/BeOS-NOTES for details." +p9626 +tp9627 +a(g6 +V; +tp9628 +a(g6 +V +tp9629 +a(g262 +V\u005c\u000a +p9630 +tp9631 +a(g6 +V +p9632 +tp9633 +a(g6 +V; +tp9634 +a(g6 +V; +tp9635 +a(g6 +V +tp9636 +a(g262 +V\u005c\u000a +p9637 +tp9638 +a(g6 +V +tp9639 +a(g138 +Vesac\u000a\u000a +p9640 +tp9641 +a(g24 +V# Install the dynamically loadable modules\u000a +p9642 +tp9643 +a(g138 +V +tp9644 +a(g24 +V# This goes into $(exec_prefix)\u000a +p9645 +tp9646 +a(g138 +V +tp9647 +a(g6 +Vsharedinstall: +p9648 +tp9649 +a(g6 +V\u000a +p9650 +tp9651 +a(g138 +V$( +p9652 +tp9653 +a(g6 +VRUNSHARED +p9654 +tp9655 +a(g138 +V) +tp9656 +a(g6 +V +tp9657 +a(g6 +V./ +p9658 +tp9659 +a(g138 +V$( +p9660 +tp9661 +a(g6 +VBUILDPYTHON +p9662 +tp9663 +a(g138 +V) +tp9664 +a(g6 +V +tp9665 +a(g6 +V-E +p9666 +tp9667 +a(g6 +V +tp9668 +a(g138 +V$( +p9669 +tp9670 +a(g6 +Vsrcdir +p9671 +tp9672 +a(g138 +V) +tp9673 +a(g6 +V/setup.py +p9674 +tp9675 +a(g6 +V +tp9676 +a(g6 +Vinstall +p9677 +tp9678 +a(g6 +V +tp9679 +a(g262 +V\u005c\u000a +p9680 +tp9681 +a(g6 +V +p9682 +tp9683 +a(g6 +V--prefix +p9684 +tp9685 +a(g353 +V= +tp9686 +a(g138 +V$( +p9687 +tp9688 +a(g6 +Vprefix +p9689 +tp9690 +a(g138 +V) +tp9691 +a(g6 +V +tp9692 +a(g262 +V\u005c\u000a +p9693 +tp9694 +a(g6 +V +p9695 +tp9696 +a(g6 +V--install-scripts +p9697 +tp9698 +a(g353 +V= +tp9699 +a(g138 +V$( +p9700 +tp9701 +a(g6 +VBINDIR +p9702 +tp9703 +a(g138 +V) +tp9704 +a(g6 +V +tp9705 +a(g262 +V\u005c\u000a +p9706 +tp9707 +a(g6 +V +p9708 +tp9709 +a(g6 +V--install-platlib +p9710 +tp9711 +a(g353 +V= +tp9712 +a(g138 +V$( +p9713 +tp9714 +a(g6 +VDESTSHARED +p9715 +tp9716 +a(g138 +V) +tp9717 +a(g6 +V +tp9718 +a(g262 +V\u005c\u000a +p9719 +tp9720 +a(g6 +V +p9721 +tp9722 +a(g6 +V--root +p9723 +tp9724 +a(g353 +V= +tp9725 +a(g6 +V/ +tp9726 +a(g138 +V$( +p9727 +tp9728 +a(g6 +VDESTDIR +p9729 +tp9730 +a(g138 +V) +tp9731 +a(g6 +V\u000a\u000a +p9732 +tp9733 +a(g24 +V# Here are a couple of targets for MacOSX again, to install a full\u000a +p9734 +tp9735 +a(g6 +V +tp9736 +a(g24 +V# framework-based Python. frameworkinstall installs everything, the\u000a +p9737 +tp9738 +a(g6 +V +tp9739 +a(g24 +V# subtargets install specific parts. Much of the actual work is offloaded to\u000a +p9740 +tp9741 +a(g6 +V +tp9742 +a(g24 +V# the Makefile in Mac\u000a +p9743 +tp9744 +a(g6 +V +tp9745 +a(g24 +V#\u000a +p9746 +tp9747 +a(g6 +V +tp9748 +a(g24 +V#\u000a +p9749 +tp9750 +a(g6 +V +tp9751 +a(g24 +V# This target is here for backward compatiblity, previous versions of Python\u000a +p9752 +tp9753 +a(g6 +V +tp9754 +a(g24 +V# hadn't integrated framework installation in the normal install process.\u000a +p9755 +tp9756 +a(g6 +V +tp9757 +a(g6 +Vframeworkinstall: +p9758 +tp9759 +a(g6 +V +tp9760 +a(g6 +Vinstall +p9761 +tp9762 +a(g6 +V\u000a\u000a +p9763 +tp9764 +a(g24 +V# On install, we re-make the framework\u000a +p9765 +tp9766 +a(g6 +V +tp9767 +a(g24 +V# structure in the install location, /Library/Frameworks/ or the argument to\u000a +p9768 +tp9769 +a(g6 +V +tp9770 +a(g24 +V# --enable-framework. If --enable-framework has been specified then we have\u000a +p9771 +tp9772 +a(g6 +V +tp9773 +a(g24 +V# automatically set prefix to the location deep down in the framework, so we\u000a +p9774 +tp9775 +a(g6 +V +tp9776 +a(g24 +V# only have to cater for the structural bits of the framework.\u000a +p9777 +tp9778 +a(g6 +V\u000a +tp9779 +a(g6 +Vframeworkinstallframework: +p9780 +tp9781 +a(g6 +V +tp9782 +a(g6 +Vframeworkinstallstructure +p9783 +tp9784 +a(g6 +V +tp9785 +a(g6 +Vinstall +p9786 +tp9787 +a(g6 +V +tp9788 +a(g6 +Vframeworkinstallmaclib +p9789 +tp9790 +a(g6 +V\u000a\u000a +p9791 +tp9792 +a(g6 +Vframeworkinstallstructure: +p9793 +tp9794 +a(g6 +V +tp9795 +a(g138 +V$( +p9796 +tp9797 +a(g6 +VLDLIBRARY +p9798 +tp9799 +a(g138 +V) +tp9800 +a(g6 +V\u000a +p9801 +tp9802 +a(g6 +V@if +p9803 +tp9804 +a(g6 +V +tp9805 +a(g84 +Vtest +p9806 +tp9807 +a(g6 +V +tp9808 +a(g255 +V"$(PYTHONFRAMEWORKDIR)" +p9809 +tp9810 +a(g6 +V +tp9811 +a(g353 +V= +tp9812 +a(g6 +V +tp9813 +a(g6 +Vno-framework; +p9814 +tp9815 +a(g6 +V +tp9816 +a(g138 +Vthen +p9817 +tp9818 +a(g6 +V +tp9819 +a(g262 +V\u005c\u000a +p9820 +tp9821 +a(g6 +V +p9822 +tp9823 +a(g84 +Vecho +p9824 +tp9825 +a(g6 +VNot +p9826 +tp9827 +a(g6 +V +tp9828 +a(g6 +Vconfigured +p9829 +tp9830 +a(g6 +V +tp9831 +a(g6 +Vwith +p9832 +tp9833 +a(g6 +V +tp9834 +a(g6 +V--enable-framework; +p9835 +tp9836 +a(g6 +V +tp9837 +a(g262 +V\u005c\u000a +p9838 +tp9839 +a(g6 +V +p9840 +tp9841 +a(g84 +Vexit +p9842 +tp9843 +a(g6 +V1; +p9844 +tp9845 +a(g6 +V +tp9846 +a(g262 +V\u005c\u000a +p9847 +tp9848 +a(g27 +V else true; \u005c\u000a +p9849 +tp9850 +a(g262 +V +tp9851 +a(g27 +V fi\u000a +p9852 +tp9853 +a(g262 +V +tp9854 +a(g6 +V +tp9855 +a(g6 +V@for +p9856 +tp9857 +a(g6 +V +tp9858 +a(g6 +Vi +tp9859 +a(g6 +V +tp9860 +a(g6 +Vin +p9861 +tp9862 +a(g6 +V +tp9863 +a(g138 +V$( +p9864 +tp9865 +a(g6 +Vprefix +p9866 +tp9867 +a(g138 +V) +tp9868 +a(g6 +V/Resources/English.lproj +p9869 +tp9870 +a(g6 +V +tp9871 +a(g138 +V$( +p9872 +tp9873 +a(g6 +Vprefix +p9874 +tp9875 +a(g138 +V) +tp9876 +a(g6 +V/lib; +p9877 +tp9878 +a(g6 +V +tp9879 +a(g138 +Vdo +p9880 +tp9881 +a(g262 +V\u005c\u000a +p9882 +tp9883 +a(g6 +V +p9884 +tp9885 +a(g138 +Vif +p9886 +tp9887 +a(g84 +Vtest +p9888 +tp9889 +a(g6 +V +tp9890 +a(g6 +V! +tp9891 +a(g6 +V +tp9892 +a(g6 +V-d +p9893 +tp9894 +a(g6 +V +tp9895 +a(g138 +V$( +p9896 +tp9897 +a(g6 +VDESTDIR +p9898 +tp9899 +a(g138 +V) +tp9900 +a(g100 +V$$ +p9901 +tp9902 +a(g6 +Vi; +p9903 +tp9904 +a(g6 +V +tp9905 +a(g138 +Vthen +p9906 +tp9907 +a(g6 +V +tp9908 +a(g262 +V\u005c\u000a +p9909 +tp9910 +a(g6 +V +p9911 +tp9912 +a(g84 +Vecho +p9913 +tp9914 +a(g6 +V +tp9915 +a(g255 +V"Creating directory $(DESTDIR)$$i" +p9916 +tp9917 +a(g6 +V; +tp9918 +a(g6 +V +tp9919 +a(g262 +V\u005c\u000a +p9920 +tp9921 +a(g6 +V +p9922 +tp9923 +a(g138 +V$( +p9924 +tp9925 +a(g6 +VINSTALL +p9926 +tp9927 +a(g138 +V) +tp9928 +a(g6 +V +tp9929 +a(g6 +V-d +p9930 +tp9931 +a(g6 +V +tp9932 +a(g6 +V-m +p9933 +tp9934 +a(g6 +V +tp9935 +a(g138 +V$( +p9936 +tp9937 +a(g6 +VDIRMODE +p9938 +tp9939 +a(g138 +V) +tp9940 +a(g6 +V +tp9941 +a(g138 +V$( +p9942 +tp9943 +a(g6 +VDESTDIR +p9944 +tp9945 +a(g138 +V) +tp9946 +a(g100 +V$$ +p9947 +tp9948 +a(g6 +Vi; +p9949 +tp9950 +a(g6 +V +tp9951 +a(g262 +V\u005c\u000a +p9952 +tp9953 +a(g27 +V else true; \u005c\u000a +p9954 +tp9955 +a(g262 +V +tp9956 +a(g27 +V fi; \u005c\u000a +p9957 +tp9958 +a(g262 +V +tp9959 +a(g27 +V done\u000a +p9960 +tp9961 +a(g262 +V +tp9962 +a(g6 +V +tp9963 +a(g138 +V$( +p9964 +tp9965 +a(g6 +VLN +p9966 +tp9967 +a(g138 +V) +tp9968 +a(g6 +V +tp9969 +a(g6 +V-fsn +p9970 +tp9971 +a(g6 +V +tp9972 +a(g6 +Vinclude/python +p9973 +tp9974 +a(g138 +V$( +p9975 +tp9976 +a(g6 +VVERSION +p9977 +tp9978 +a(g138 +V) +tp9979 +a(g6 +V +tp9980 +a(g138 +V$( +p9981 +tp9982 +a(g6 +VDESTDIR +p9983 +tp9984 +a(g138 +V) +tp9985 +a(g138 +V$( +p9986 +tp9987 +a(g6 +Vprefix +p9988 +tp9989 +a(g138 +V) +tp9990 +a(g6 +V/Headers +p9991 +tp9992 +a(g6 +V\u000a +p9993 +tp9994 +a(g138 +V$( +p9995 +tp9996 +a(g6 +VINSTALL_DATA +p9997 +tp9998 +a(g138 +V) +tp9999 +a(g6 +V +tp10000 +a(g138 +V$( +p10001 +tp10002 +a(g6 +VRESSRCDIR +p10003 +tp10004 +a(g138 +V) +tp10005 +a(g6 +V/Info.plist +p10006 +tp10007 +a(g6 +V +tp10008 +a(g138 +V$( +p10009 +tp10010 +a(g6 +VDESTDIR +p10011 +tp10012 +a(g138 +V) +tp10013 +a(g138 +V$( +p10014 +tp10015 +a(g6 +Vprefix +p10016 +tp10017 +a(g138 +V) +tp10018 +a(g6 +V/Resources/Info.plist +p10019 +tp10020 +a(g6 +V\u000a +p10021 +tp10022 +a(g138 +V$( +p10023 +tp10024 +a(g6 +VINSTALL_DATA +p10025 +tp10026 +a(g138 +V) +tp10027 +a(g6 +V +tp10028 +a(g138 +V$( +p10029 +tp10030 +a(g6 +VRESSRCDIR +p10031 +tp10032 +a(g138 +V) +tp10033 +a(g6 +V/version.plist +p10034 +tp10035 +a(g6 +V +tp10036 +a(g138 +V$( +p10037 +tp10038 +a(g6 +VDESTDIR +p10039 +tp10040 +a(g138 +V) +tp10041 +a(g138 +V$( +p10042 +tp10043 +a(g6 +Vprefix +p10044 +tp10045 +a(g138 +V) +tp10046 +a(g6 +V/Resources/version.plist +p10047 +tp10048 +a(g6 +V\u000a +p10049 +tp10050 +a(g138 +V$( +p10051 +tp10052 +a(g6 +VINSTALL_DATA +p10053 +tp10054 +a(g138 +V) +tp10055 +a(g6 +V +tp10056 +a(g138 +V$( +p10057 +tp10058 +a(g6 +VRESSRCDIR +p10059 +tp10060 +a(g138 +V) +tp10061 +a(g6 +V/English.lproj/InfoPlist.strings +p10062 +tp10063 +a(g6 +V +tp10064 +a(g262 +V\u005c\u000a +p10065 +tp10066 +a(g6 +V +p10067 +tp10068 +a(g138 +V$( +p10069 +tp10070 +a(g6 +VDESTDIR +p10071 +tp10072 +a(g138 +V) +tp10073 +a(g138 +V$( +p10074 +tp10075 +a(g6 +Vprefix +p10076 +tp10077 +a(g138 +V) +tp10078 +a(g6 +V/Resources/English.lproj/InfoPlist.strings +p10079 +tp10080 +a(g6 +V\u000a +p10081 +tp10082 +a(g138 +V$( +p10083 +tp10084 +a(g6 +VLN +p10085 +tp10086 +a(g138 +V) +tp10087 +a(g6 +V +tp10088 +a(g6 +V-fsn +p10089 +tp10090 +a(g6 +V +tp10091 +a(g138 +V$( +p10092 +tp10093 +a(g6 +VVERSION +p10094 +tp10095 +a(g138 +V) +tp10096 +a(g6 +V +tp10097 +a(g138 +V$( +p10098 +tp10099 +a(g6 +VDESTDIR +p10100 +tp10101 +a(g138 +V) +tp10102 +a(g138 +V$( +p10103 +tp10104 +a(g6 +VPYTHONFRAMEWORKINSTALLDIR +p10105 +tp10106 +a(g138 +V) +tp10107 +a(g6 +V/Versions/Current +p10108 +tp10109 +a(g6 +V\u000a +p10110 +tp10111 +a(g138 +V$( +p10112 +tp10113 +a(g6 +VLN +p10114 +tp10115 +a(g138 +V) +tp10116 +a(g6 +V +tp10117 +a(g6 +V-fsn +p10118 +tp10119 +a(g6 +V +tp10120 +a(g6 +VVersions/Current/Python +p10121 +tp10122 +a(g6 +V +tp10123 +a(g138 +V$( +p10124 +tp10125 +a(g6 +VDESTDIR +p10126 +tp10127 +a(g138 +V) +tp10128 +a(g138 +V$( +p10129 +tp10130 +a(g6 +VPYTHONFRAMEWORKINSTALLDIR +p10131 +tp10132 +a(g138 +V) +tp10133 +a(g6 +V/Python +p10134 +tp10135 +a(g6 +V\u000a +p10136 +tp10137 +a(g138 +V$( +p10138 +tp10139 +a(g6 +VLN +p10140 +tp10141 +a(g138 +V) +tp10142 +a(g6 +V +tp10143 +a(g6 +V-fsn +p10144 +tp10145 +a(g6 +V +tp10146 +a(g6 +VVersions/Current/Headers +p10147 +tp10148 +a(g6 +V +tp10149 +a(g138 +V$( +p10150 +tp10151 +a(g6 +VDESTDIR +p10152 +tp10153 +a(g138 +V) +tp10154 +a(g138 +V$( +p10155 +tp10156 +a(g6 +VPYTHONFRAMEWORKINSTALLDIR +p10157 +tp10158 +a(g138 +V) +tp10159 +a(g6 +V/Headers +p10160 +tp10161 +a(g6 +V\u000a +p10162 +tp10163 +a(g138 +V$( +p10164 +tp10165 +a(g6 +VLN +p10166 +tp10167 +a(g138 +V) +tp10168 +a(g6 +V +tp10169 +a(g6 +V-fsn +p10170 +tp10171 +a(g6 +V +tp10172 +a(g6 +VVersions/Current/Resources +p10173 +tp10174 +a(g6 +V +tp10175 +a(g138 +V$( +p10176 +tp10177 +a(g6 +VDESTDIR +p10178 +tp10179 +a(g138 +V) +tp10180 +a(g138 +V$( +p10181 +tp10182 +a(g6 +VPYTHONFRAMEWORKINSTALLDIR +p10183 +tp10184 +a(g138 +V) +tp10185 +a(g6 +V/Resources +p10186 +tp10187 +a(g6 +V\u000a +p10188 +tp10189 +a(g138 +V$( +p10190 +tp10191 +a(g6 +VINSTALL_SHARED +p10192 +tp10193 +a(g138 +V) +tp10194 +a(g6 +V +tp10195 +a(g138 +V$( +p10196 +tp10197 +a(g6 +VLDLIBRARY +p10198 +tp10199 +a(g138 +V) +tp10200 +a(g6 +V +tp10201 +a(g138 +V$( +p10202 +tp10203 +a(g6 +VDESTDIR +p10204 +tp10205 +a(g138 +V) +tp10206 +a(g138 +V$( +p10207 +tp10208 +a(g6 +VPYTHONFRAMEWORKPREFIX +p10209 +tp10210 +a(g138 +V) +tp10211 +a(g6 +V/ +tp10212 +a(g138 +V$( +p10213 +tp10214 +a(g6 +VLDLIBRARY +p10215 +tp10216 +a(g138 +V) +tp10217 +a(g6 +V\u000a\u000a +p10218 +tp10219 +a(g24 +V# This installs Mac/Lib into the framework\u000a +p10220 +tp10221 +a(g6 +V +tp10222 +a(g24 +V# Install a number of symlinks to keep software that expects a normal unix\u000a +p10223 +tp10224 +a(g6 +V +tp10225 +a(g24 +V# install (which includes python-config) happy.\u000a +p10226 +tp10227 +a(g6 +V +tp10228 +a(g6 +Vframeworkinstallmaclib: +p10229 +tp10230 +a(g6 +V\u000a +p10231 +tp10232 +a(g6 +Vln +p10233 +tp10234 +a(g6 +V +tp10235 +a(g6 +V-fs +p10236 +tp10237 +a(g6 +V +tp10238 +a(g255 +V"../../../Python" +p10239 +tp10240 +a(g6 +V +tp10241 +a(g255 +V"$(DESTDIR)$(prefix)/lib/python$(VERSION)/config/libpython$(VERSION).a" +p10242 +tp10243 +a(g6 +V\u000a +p10244 +tp10245 +a(g84 +Vcd +p10246 +tp10247 +a(g6 +VMac +p10248 +tp10249 +a(g6 +V +tp10250 +a(g353 +V&& +p10251 +tp10252 +a(g6 +V +tp10253 +a(g138 +V$( +p10254 +tp10255 +a(g6 +VMAKE +p10256 +tp10257 +a(g138 +V) +tp10258 +a(g6 +V +tp10259 +a(g6 +Vinstallmacsubtree +p10260 +tp10261 +a(g6 +V +tp10262 +a(g100 +VDESTDIR +p10263 +tp10264 +a(g353 +V= +tp10265 +a(g255 +V"$(DESTDIR)" +p10266 +tp10267 +a(g6 +V\u000a\u000a +p10268 +tp10269 +a(g24 +V# This installs the IDE, the Launcher and other apps into /Applications\u000a +p10270 +tp10271 +a(g6 +V +tp10272 +a(g6 +Vframeworkinstallapps: +p10273 +tp10274 +a(g6 +V\u000a +p10275 +tp10276 +a(g84 +Vcd +p10277 +tp10278 +a(g6 +VMac +p10279 +tp10280 +a(g6 +V +tp10281 +a(g353 +V&& +p10282 +tp10283 +a(g6 +V +tp10284 +a(g138 +V$( +p10285 +tp10286 +a(g6 +VMAKE +p10287 +tp10288 +a(g138 +V) +tp10289 +a(g6 +V +tp10290 +a(g6 +Vinstallapps +p10291 +tp10292 +a(g6 +V +tp10293 +a(g100 +VDESTDIR +p10294 +tp10295 +a(g353 +V= +tp10296 +a(g255 +V"$(DESTDIR)" +p10297 +tp10298 +a(g6 +V\u000a\u000a +p10299 +tp10300 +a(g24 +V# This install the unix python and pythonw tools in /usr/local/bin\u000a +p10301 +tp10302 +a(g6 +V +tp10303 +a(g6 +Vframeworkinstallunixtools: +p10304 +tp10305 +a(g6 +V\u000a +p10306 +tp10307 +a(g84 +Vcd +p10308 +tp10309 +a(g6 +VMac +p10310 +tp10311 +a(g6 +V +tp10312 +a(g353 +V&& +p10313 +tp10314 +a(g6 +V +tp10315 +a(g138 +V$( +p10316 +tp10317 +a(g6 +VMAKE +p10318 +tp10319 +a(g138 +V) +tp10320 +a(g6 +V +tp10321 +a(g6 +Vinstallunixtools +p10322 +tp10323 +a(g6 +V +tp10324 +a(g100 +VDESTDIR +p10325 +tp10326 +a(g353 +V= +tp10327 +a(g255 +V"$(DESTDIR)" +p10328 +tp10329 +a(g6 +V\u000a\u000a +p10330 +tp10331 +a(g6 +Vframeworkaltinstallunixtools: +p10332 +tp10333 +a(g6 +V\u000a +p10334 +tp10335 +a(g84 +Vcd +p10336 +tp10337 +a(g6 +VMac +p10338 +tp10339 +a(g6 +V +tp10340 +a(g353 +V&& +p10341 +tp10342 +a(g6 +V +tp10343 +a(g138 +V$( +p10344 +tp10345 +a(g6 +VMAKE +p10346 +tp10347 +a(g138 +V) +tp10348 +a(g6 +V +tp10349 +a(g6 +Valtinstallunixtools +p10350 +tp10351 +a(g6 +V +tp10352 +a(g100 +VDESTDIR +p10353 +tp10354 +a(g353 +V= +tp10355 +a(g255 +V"$(DESTDIR)" +p10356 +tp10357 +a(g6 +V\u000a\u000a +p10358 +tp10359 +a(g24 +V# This installs the Demos and Tools into the applications directory.\u000a +p10360 +tp10361 +a(g6 +V +tp10362 +a(g24 +V# It is not part of a normal frameworkinstall\u000a +p10363 +tp10364 +a(g6 +V +tp10365 +a(g6 +Vframeworkinstallextras: +p10366 +tp10367 +a(g6 +V\u000a +p10368 +tp10369 +a(g84 +Vcd +p10370 +tp10371 +a(g6 +VMac +p10372 +tp10373 +a(g6 +V +tp10374 +a(g353 +V&& +p10375 +tp10376 +a(g6 +V +tp10377 +a(g6 +VMake +p10378 +tp10379 +a(g6 +V +tp10380 +a(g6 +Vinstallextras +p10381 +tp10382 +a(g6 +V +tp10383 +a(g100 +VDESTDIR +p10384 +tp10385 +a(g353 +V= +tp10386 +a(g255 +V"$(DESTDIR)" +p10387 +tp10388 +a(g6 +V\u000a\u000a +p10389 +tp10390 +a(g24 +V# This installs a few of the useful scripts in Tools/scripts\u000a +p10391 +tp10392 +a(g6 +V +tp10393 +a(g6 +Vscriptsinstall: +p10394 +tp10395 +a(g6 +V\u000a +p10396 +tp10397 +a(g100 +VSRCDIR +p10398 +tp10399 +a(g353 +V= +tp10400 +a(g138 +V$( +p10401 +tp10402 +a(g6 +Vsrcdir +p10403 +tp10404 +a(g138 +V) +tp10405 +a(g6 +V +tp10406 +a(g138 +V$( +p10407 +tp10408 +a(g6 +VRUNSHARED +p10409 +tp10410 +a(g138 +V) +tp10411 +a(g6 +V +tp10412 +a(g262 +V\u005c\u000a +p10413 +tp10414 +a(g6 +V +tp10415 +a(g6 +V./ +p10416 +tp10417 +a(g138 +V$( +p10418 +tp10419 +a(g6 +VBUILDPYTHON +p10420 +tp10421 +a(g138 +V) +tp10422 +a(g6 +V +tp10423 +a(g138 +V$( +p10424 +tp10425 +a(g6 +Vsrcdir +p10426 +tp10427 +a(g138 +V) +tp10428 +a(g6 +V/Tools/scripts/setup.py +p10429 +tp10430 +a(g6 +V +tp10431 +a(g6 +Vinstall +p10432 +tp10433 +a(g6 +V +tp10434 +a(g262 +V\u005c\u000a +p10435 +tp10436 +a(g6 +V +tp10437 +a(g6 +V--prefix +p10438 +tp10439 +a(g353 +V= +tp10440 +a(g138 +V$( +p10441 +tp10442 +a(g6 +Vprefix +p10443 +tp10444 +a(g138 +V) +tp10445 +a(g6 +V +tp10446 +a(g262 +V\u005c\u000a +p10447 +tp10448 +a(g6 +V +tp10449 +a(g6 +V--install-scripts +p10450 +tp10451 +a(g353 +V= +tp10452 +a(g138 +V$( +p10453 +tp10454 +a(g6 +VBINDIR +p10455 +tp10456 +a(g138 +V) +tp10457 +a(g6 +V +tp10458 +a(g262 +V\u005c\u000a +p10459 +tp10460 +a(g6 +V +tp10461 +a(g6 +V--root +p10462 +tp10463 +a(g353 +V= +tp10464 +a(g6 +V/ +tp10465 +a(g138 +V$( +p10466 +tp10467 +a(g6 +VDESTDIR +p10468 +tp10469 +a(g138 +V) +tp10470 +a(g6 +V\u000a\u000a +p10471 +tp10472 +a(g24 +V# Build the toplevel Makefile\u000a +p10473 +tp10474 +a(g6 +V +tp10475 +a(g6 +VMakefile.pre: +p10476 +tp10477 +a(g6 +V +tp10478 +a(g6 +VMakefile.pre.in +p10479 +tp10480 +a(g6 +V +tp10481 +a(g6 +Vconfig.status +p10482 +tp10483 +a(g6 +V\u000a +p10484 +tp10485 +a(g100 +VCONFIG_FILES +p10486 +tp10487 +a(g353 +V= +tp10488 +a(g6 +VMakefile.pre +p10489 +tp10490 +a(g6 +V +tp10491 +a(g100 +VCONFIG_HEADERS +p10492 +tp10493 +a(g353 +V= +tp10494 +a(g6 +V +tp10495 +a(g138 +V$( +p10496 +tp10497 +a(g6 +VSHELL +p10498 +tp10499 +a(g138 +V) +tp10500 +a(g6 +V +tp10501 +a(g6 +Vconfig.status +p10502 +tp10503 +a(g6 +V\u000a +p10504 +tp10505 +a(g138 +V$( +p10506 +tp10507 +a(g6 +VMAKE +p10508 +tp10509 +a(g138 +V) +tp10510 +a(g6 +V +tp10511 +a(g6 +V-f +p10512 +tp10513 +a(g6 +V +tp10514 +a(g6 +VMakefile.pre +p10515 +tp10516 +a(g6 +V +tp10517 +a(g6 +VMakefile +p10518 +tp10519 +a(g6 +V\u000a\u000a +p10520 +tp10521 +a(g24 +V# Run the configure script.\u000a +p10522 +tp10523 +a(g6 +V +tp10524 +a(g6 +Vconfig.status: +p10525 +tp10526 +a(g6 +V +tp10527 +a(g138 +V$( +p10528 +tp10529 +a(g6 +Vsrcdir +p10530 +tp10531 +a(g138 +V) +tp10532 +a(g6 +V/configure +p10533 +tp10534 +a(g6 +V\u000a +p10535 +tp10536 +a(g138 +V$( +p10537 +tp10538 +a(g6 +VSHELL +p10539 +tp10540 +a(g138 +V) +tp10541 +a(g6 +V +tp10542 +a(g138 +V$( +p10543 +tp10544 +a(g6 +Vsrcdir +p10545 +tp10546 +a(g138 +V) +tp10547 +a(g6 +V/configure +p10548 +tp10549 +a(g6 +V +tp10550 +a(g138 +V$( +p10551 +tp10552 +a(g6 +VCONFIG_ARGS +p10553 +tp10554 +a(g138 +V) +tp10555 +a(g6 +V\u000a\u000a +p10556 +tp10557 +a(g6 +V.PRECIOUS: +p10558 +tp10559 +a(g6 +V +tp10560 +a(g6 +Vconfig.status +p10561 +tp10562 +a(g6 +V +tp10563 +a(g138 +V$( +p10564 +tp10565 +a(g6 +VBUILDPYTHON +p10566 +tp10567 +a(g138 +V) +tp10568 +a(g6 +V +tp10569 +a(g6 +VMakefile +p10570 +tp10571 +a(g6 +V +tp10572 +a(g6 +VMakefile.pre +p10573 +tp10574 +a(g6 +V\u000a\u000a +p10575 +tp10576 +a(g24 +V# Some make's put the object file in the current directory\u000a +p10577 +tp10578 +a(g6 +V +tp10579 +a(g6 +V.c.o: +p10580 +tp10581 +a(g6 +V\u000a +p10582 +tp10583 +a(g138 +V$( +p10584 +tp10585 +a(g6 +VCC +p10586 +tp10587 +a(g138 +V) +tp10588 +a(g6 +V +tp10589 +a(g6 +V-c +p10590 +tp10591 +a(g6 +V +tp10592 +a(g138 +V$( +p10593 +tp10594 +a(g6 +VPY_CFLAGS +p10595 +tp10596 +a(g138 +V) +tp10597 +a(g6 +V +tp10598 +a(g6 +V-o +p10599 +tp10600 +a(g6 +V +tp10601 +a(g100 +V$@ +p10602 +tp10603 +a(g6 +V +tp10604 +a(g100 +V$< +p10605 +tp10606 +a(g6 +V\u000a\u000a +p10607 +tp10608 +a(g24 +V# Run reindent on the library\u000a +p10609 +tp10610 +a(g6 +V +tp10611 +a(g6 +Vreindent: +p10612 +tp10613 +a(g6 +V\u000a +p10614 +tp10615 +a(g6 +V./python +p10616 +tp10617 +a(g138 +V$( +p10618 +tp10619 +a(g6 +VEXEEXT +p10620 +tp10621 +a(g138 +V) +tp10622 +a(g6 +V +tp10623 +a(g138 +V$( +p10624 +tp10625 +a(g6 +Vsrcdir +p10626 +tp10627 +a(g138 +V) +tp10628 +a(g6 +V/Tools/scripts/reindent.py +p10629 +tp10630 +a(g6 +V +tp10631 +a(g6 +V-r +p10632 +tp10633 +a(g6 +V +tp10634 +a(g138 +V$( +p10635 +tp10636 +a(g6 +Vsrcdir +p10637 +tp10638 +a(g138 +V) +tp10639 +a(g6 +V/Lib +p10640 +tp10641 +a(g6 +V\u000a\u000a +p10642 +tp10643 +a(g24 +V# Rerun configure with the same options as it was run last time,\u000a +p10644 +tp10645 +a(g6 +V +tp10646 +a(g24 +V# provided the config.status script exists\u000a +p10647 +tp10648 +a(g6 +V +tp10649 +a(g6 +Vrecheck: +p10650 +tp10651 +a(g6 +V\u000a +p10652 +tp10653 +a(g138 +V$( +p10654 +tp10655 +a(g6 +VSHELL +p10656 +tp10657 +a(g138 +V) +tp10658 +a(g6 +V +tp10659 +a(g6 +Vconfig.status +p10660 +tp10661 +a(g6 +V +tp10662 +a(g6 +V--recheck +p10663 +tp10664 +a(g6 +V\u000a +p10665 +tp10666 +a(g138 +V$( +p10667 +tp10668 +a(g6 +VSHELL +p10669 +tp10670 +a(g138 +V) +tp10671 +a(g6 +V +tp10672 +a(g6 +Vconfig.status +p10673 +tp10674 +a(g6 +V\u000a\u000a +p10675 +tp10676 +a(g24 +V# Rebuild the configure script from configure.in; also rebuild pyconfig.h.in\u000a +p10677 +tp10678 +a(g6 +V +tp10679 +a(g6 +Vautoconf: +p10680 +tp10681 +a(g6 +V\u000a +p10682 +tp10683 +a(g353 +V( +tp10684 +a(g84 +Vcd +p10685 +tp10686 +a(g6 +V +tp10687 +a(g138 +V$( +p10688 +tp10689 +a(g6 +Vsrcdir +p10690 +tp10691 +a(g138 +V) +tp10692 +a(g6 +V; +tp10693 +a(g6 +V +tp10694 +a(g6 +Vautoconf +p10695 +tp10696 +a(g353 +V) +tp10697 +a(g6 +V\u000a +p10698 +tp10699 +a(g353 +V( +tp10700 +a(g84 +Vcd +p10701 +tp10702 +a(g6 +V +tp10703 +a(g138 +V$( +p10704 +tp10705 +a(g6 +Vsrcdir +p10706 +tp10707 +a(g138 +V) +tp10708 +a(g6 +V; +tp10709 +a(g6 +V +tp10710 +a(g6 +Vautoheader +p10711 +tp10712 +a(g353 +V) +tp10713 +a(g6 +V\u000a\u000a +p10714 +tp10715 +a(g24 +V# Create a tags file for vi\u000a +p10716 +tp10717 +a(g6 +V +tp10718 +a(g6 +Vtags:: +p10719 +tp10720 +a(g6 +V\u000a +p10721 +tp10722 +a(g84 +Vcd +p10723 +tp10724 +a(g6 +V +tp10725 +a(g138 +V$( +p10726 +tp10727 +a(g6 +Vsrcdir +p10728 +tp10729 +a(g138 +V) +tp10730 +a(g6 +V; +tp10731 +a(g6 +V +tp10732 +a(g262 +V\u005c\u000a +p10733 +tp10734 +a(g6 +V +tp10735 +a(g6 +Vctags +p10736 +tp10737 +a(g6 +V +tp10738 +a(g6 +V-w +p10739 +tp10740 +a(g6 +V +tp10741 +a(g6 +V-t +p10742 +tp10743 +a(g6 +V +tp10744 +a(g6 +VInclude/*.h; +p10745 +tp10746 +a(g6 +V +tp10747 +a(g262 +V\u005c\u000a +p10748 +tp10749 +a(g6 +V +tp10750 +a(g138 +Vfor +p10751 +tp10752 +a(g6 +Vi +tp10753 +a(g6 +V +tp10754 +a(g6 +Vin +p10755 +tp10756 +a(g6 +V +tp10757 +a(g138 +V$( +p10758 +tp10759 +a(g6 +VSRCDIRS +p10760 +tp10761 +a(g138 +V) +tp10762 +a(g6 +V; +tp10763 +a(g6 +V +tp10764 +a(g138 +Vdo +p10765 +tp10766 +a(g6 +Vctags +p10767 +tp10768 +a(g6 +V +tp10769 +a(g6 +V-w +p10770 +tp10771 +a(g6 +V +tp10772 +a(g6 +V-t +p10773 +tp10774 +a(g6 +V +tp10775 +a(g6 +V-a +p10776 +tp10777 +a(g6 +V +tp10778 +a(g100 +V$$ +p10779 +tp10780 +a(g6 +Vi/*. +p10781 +tp10782 +a(g353 +V[ +tp10783 +a(g6 +Vch +p10784 +tp10785 +a(g353 +V] +tp10786 +a(g6 +V; +tp10787 +a(g6 +V +tp10788 +a(g262 +V\u005c\u000a +p10789 +tp10790 +a(g6 +V +tp10791 +a(g138 +Vdone +p10792 +tp10793 +a(g6 +V; +tp10794 +a(g6 +V +tp10795 +a(g262 +V\u005c\u000a +p10796 +tp10797 +a(g6 +V +tp10798 +a(g6 +Vsort +p10799 +tp10800 +a(g6 +V +tp10801 +a(g6 +V-o +p10802 +tp10803 +a(g6 +V +tp10804 +a(g6 +Vtags +p10805 +tp10806 +a(g6 +V +tp10807 +a(g6 +Vtags +p10808 +tp10809 +a(g6 +V\u000a\u000a +p10810 +tp10811 +a(g24 +V# Create a tags file for GNU Emacs\u000a +p10812 +tp10813 +a(g6 +V +tp10814 +a(g6 +VTAGS:: +p10815 +tp10816 +a(g6 +V\u000a +p10817 +tp10818 +a(g84 +Vcd +p10819 +tp10820 +a(g6 +V +tp10821 +a(g138 +V$( +p10822 +tp10823 +a(g6 +Vsrcdir +p10824 +tp10825 +a(g138 +V) +tp10826 +a(g6 +V; +tp10827 +a(g6 +V +tp10828 +a(g262 +V\u005c\u000a +p10829 +tp10830 +a(g6 +V +tp10831 +a(g6 +Vetags +p10832 +tp10833 +a(g6 +V +tp10834 +a(g6 +VInclude/*.h; +p10835 +tp10836 +a(g6 +V +tp10837 +a(g262 +V\u005c\u000a +p10838 +tp10839 +a(g6 +V +tp10840 +a(g138 +Vfor +p10841 +tp10842 +a(g6 +Vi +tp10843 +a(g6 +V +tp10844 +a(g6 +Vin +p10845 +tp10846 +a(g6 +V +tp10847 +a(g138 +V$( +p10848 +tp10849 +a(g6 +VSRCDIRS +p10850 +tp10851 +a(g138 +V) +tp10852 +a(g6 +V; +tp10853 +a(g6 +V +tp10854 +a(g138 +Vdo +p10855 +tp10856 +a(g6 +Vetags +p10857 +tp10858 +a(g6 +V +tp10859 +a(g6 +V-a +p10860 +tp10861 +a(g6 +V +tp10862 +a(g100 +V$$ +p10863 +tp10864 +a(g6 +Vi/*. +p10865 +tp10866 +a(g353 +V[ +tp10867 +a(g6 +Vch +p10868 +tp10869 +a(g353 +V] +tp10870 +a(g6 +V; +tp10871 +a(g6 +V +tp10872 +a(g138 +Vdone\u000a\u000a +p10873 +tp10874 +a(g24 +V# Sanitation targets -- clean leaves libraries, executables and tags\u000a +p10875 +tp10876 +a(g138 +V +tp10877 +a(g24 +V# files, which clobber removes those as well\u000a +p10878 +tp10879 +a(g138 +V +tp10880 +a(g6 +Vpycremoval: +p10881 +tp10882 +a(g6 +V\u000a +p10883 +tp10884 +a(g6 +Vfind +p10885 +tp10886 +a(g6 +V +tp10887 +a(g138 +V$( +p10888 +tp10889 +a(g6 +Vsrcdir +p10890 +tp10891 +a(g138 +V) +tp10892 +a(g6 +V +tp10893 +a(g6 +V-name +p10894 +tp10895 +a(g6 +V +tp10896 +a(g281 +V'*.py[co]' +p10897 +tp10898 +a(g6 +V +tp10899 +a(g6 +V-exec +p10900 +tp10901 +a(g6 +V +tp10902 +a(g6 +Vrm +p10903 +tp10904 +a(g6 +V +tp10905 +a(g6 +V-f +p10906 +tp10907 +a(g6 +V +tp10908 +a(g353 +V{ +tp10909 +a(g353 +V} +tp10910 +a(g6 +V +tp10911 +a(g281 +V';' +p10912 +tp10913 +a(g6 +V\u000a\u000a +p10914 +tp10915 +a(g6 +Vclean: +p10916 +tp10917 +a(g6 +V +tp10918 +a(g6 +Vpycremoval +p10919 +tp10920 +a(g6 +V\u000a +p10921 +tp10922 +a(g6 +Vfind +p10923 +tp10924 +a(g6 +V +tp10925 +a(g6 +V. +tp10926 +a(g6 +V +tp10927 +a(g6 +V-name +p10928 +tp10929 +a(g6 +V +tp10930 +a(g281 +V'*.o' +p10931 +tp10932 +a(g6 +V +tp10933 +a(g6 +V-exec +p10934 +tp10935 +a(g6 +V +tp10936 +a(g6 +Vrm +p10937 +tp10938 +a(g6 +V +tp10939 +a(g6 +V-f +p10940 +tp10941 +a(g6 +V +tp10942 +a(g353 +V{ +tp10943 +a(g353 +V} +tp10944 +a(g6 +V +tp10945 +a(g281 +V';' +p10946 +tp10947 +a(g6 +V\u000a +p10948 +tp10949 +a(g6 +Vfind +p10950 +tp10951 +a(g6 +V +tp10952 +a(g6 +V. +tp10953 +a(g6 +V +tp10954 +a(g6 +V-name +p10955 +tp10956 +a(g6 +V +tp10957 +a(g281 +V'*.s[ol]' +p10958 +tp10959 +a(g6 +V +tp10960 +a(g6 +V-exec +p10961 +tp10962 +a(g6 +V +tp10963 +a(g6 +Vrm +p10964 +tp10965 +a(g6 +V +tp10966 +a(g6 +V-f +p10967 +tp10968 +a(g6 +V +tp10969 +a(g353 +V{ +tp10970 +a(g353 +V} +tp10971 +a(g6 +V +tp10972 +a(g281 +V';' +p10973 +tp10974 +a(g6 +V\u000a +p10975 +tp10976 +a(g6 +Vfind +p10977 +tp10978 +a(g6 +V +tp10979 +a(g138 +V$( +p10980 +tp10981 +a(g6 +Vsrcdir +p10982 +tp10983 +a(g138 +V) +tp10984 +a(g6 +V/build +p10985 +tp10986 +a(g6 +V +tp10987 +a(g6 +V-name +p10988 +tp10989 +a(g6 +V +tp10990 +a(g281 +V'fficonfig.h' +p10991 +tp10992 +a(g6 +V +tp10993 +a(g6 +V-exec +p10994 +tp10995 +a(g6 +V +tp10996 +a(g6 +Vrm +p10997 +tp10998 +a(g6 +V +tp10999 +a(g6 +V-f +p11000 +tp11001 +a(g6 +V +tp11002 +a(g353 +V{ +tp11003 +a(g353 +V} +tp11004 +a(g6 +V +tp11005 +a(g281 +V';' +p11006 +tp11007 +a(g6 +V +tp11008 +a(g353 +V|| +p11009 +tp11010 +a(g6 +V +tp11011 +a(g84 +Vtrue\u000a +p11012 +tp11013 +a(g6 +Vfind +p11014 +tp11015 +a(g6 +V +tp11016 +a(g138 +V$( +p11017 +tp11018 +a(g6 +Vsrcdir +p11019 +tp11020 +a(g138 +V) +tp11021 +a(g6 +V/build +p11022 +tp11023 +a(g6 +V +tp11024 +a(g6 +V-name +p11025 +tp11026 +a(g6 +V +tp11027 +a(g281 +V'fficonfig.py' +p11028 +tp11029 +a(g6 +V +tp11030 +a(g6 +V-exec +p11031 +tp11032 +a(g6 +V +tp11033 +a(g6 +Vrm +p11034 +tp11035 +a(g6 +V +tp11036 +a(g6 +V-f +p11037 +tp11038 +a(g6 +V +tp11039 +a(g353 +V{ +tp11040 +a(g353 +V} +tp11041 +a(g6 +V +tp11042 +a(g281 +V';' +p11043 +tp11044 +a(g6 +V +tp11045 +a(g353 +V|| +p11046 +tp11047 +a(g6 +V +tp11048 +a(g84 +Vtrue\u000a\u000a +p11049 +tp11050 +a(g6 +Vclobber: +p11051 +tp11052 +a(g6 +V +tp11053 +a(g6 +Vclean +p11054 +tp11055 +a(g6 +V\u000a +p11056 +tp11057 +a(g6 +V-rm +p11058 +tp11059 +a(g6 +V +tp11060 +a(g6 +V-f +p11061 +tp11062 +a(g6 +V +tp11063 +a(g138 +V$( +p11064 +tp11065 +a(g6 +VBUILDPYTHON +p11066 +tp11067 +a(g138 +V) +tp11068 +a(g6 +V +tp11069 +a(g138 +V$( +p11070 +tp11071 +a(g6 +VPGEN +p11072 +tp11073 +a(g138 +V) +tp11074 +a(g6 +V +tp11075 +a(g138 +V$( +p11076 +tp11077 +a(g6 +VLIBRARY +p11078 +tp11079 +a(g138 +V) +tp11080 +a(g6 +V +tp11081 +a(g138 +V$( +p11082 +tp11083 +a(g6 +VLDLIBRARY +p11084 +tp11085 +a(g138 +V) +tp11086 +a(g6 +V +tp11087 +a(g138 +V$( +p11088 +tp11089 +a(g6 +VDLLLIBRARY +p11090 +tp11091 +a(g138 +V) +tp11092 +a(g6 +V +tp11093 +a(g262 +V\u005c\u000a +p11094 +tp11095 +a(g6 +V +p11096 +tp11097 +a(g6 +Vtags +p11098 +tp11099 +a(g6 +V +tp11100 +a(g6 +VTAGS +p11101 +tp11102 +a(g6 +V +tp11103 +a(g262 +V\u005c\u000a +p11104 +tp11105 +a(g6 +V +p11106 +tp11107 +a(g6 +Vconfig.cache +p11108 +tp11109 +a(g6 +V +tp11110 +a(g6 +Vconfig.log +p11111 +tp11112 +a(g6 +V +tp11113 +a(g6 +Vpyconfig.h +p11114 +tp11115 +a(g6 +V +tp11116 +a(g6 +VModules/config.c +p11117 +tp11118 +a(g6 +V\u000a +p11119 +tp11120 +a(g6 +V-rm +p11121 +tp11122 +a(g6 +V +tp11123 +a(g6 +V-rf +p11124 +tp11125 +a(g6 +V +tp11126 +a(g6 +Vbuild +p11127 +tp11128 +a(g6 +V +tp11129 +a(g6 +Vplatform +p11130 +tp11131 +a(g6 +V\u000a +p11132 +tp11133 +a(g6 +V-rm +p11134 +tp11135 +a(g6 +V +tp11136 +a(g6 +V-rf +p11137 +tp11138 +a(g6 +V +tp11139 +a(g138 +V$( +p11140 +tp11141 +a(g6 +VPYTHONFRAMEWORKDIR +p11142 +tp11143 +a(g138 +V) +tp11144 +a(g6 +V\u000a\u000a +p11145 +tp11146 +a(g24 +V# Make things extra clean, before making a distribution:\u000a +p11147 +tp11148 +a(g6 +V +tp11149 +a(g24 +V# remove all generated files, even Makefile[.pre]\u000a +p11150 +tp11151 +a(g6 +V +tp11152 +a(g24 +V# Keep configure and Python-ast.[ch], it's possible they can't be generated\u000a +p11153 +tp11154 +a(g6 +V +tp11155 +a(g6 +Vdistclean: +p11156 +tp11157 +a(g6 +V +tp11158 +a(g6 +Vclobber +p11159 +tp11160 +a(g6 +V\u000a +p11161 +tp11162 +a(g6 +V-rm +p11163 +tp11164 +a(g6 +V +tp11165 +a(g6 +V-f +p11166 +tp11167 +a(g6 +V +tp11168 +a(g6 +Vcore +p11169 +tp11170 +a(g6 +V +tp11171 +a(g6 +VMakefile +p11172 +tp11173 +a(g6 +V +tp11174 +a(g6 +VMakefile.pre +p11175 +tp11176 +a(g6 +V +tp11177 +a(g6 +Vconfig.status +p11178 +tp11179 +a(g6 +V +tp11180 +a(g262 +V\u005c\u000a +p11181 +tp11182 +a(g6 +V +p11183 +tp11184 +a(g6 +VModules/Setup +p11185 +tp11186 +a(g6 +V +tp11187 +a(g6 +VModules/Setup.local +p11188 +tp11189 +a(g6 +V +tp11190 +a(g6 +VModules/Setup.config +p11191 +tp11192 +a(g6 +V\u000a +p11193 +tp11194 +a(g6 +Vfind +p11195 +tp11196 +a(g6 +V +tp11197 +a(g138 +V$( +p11198 +tp11199 +a(g6 +Vsrcdir +p11200 +tp11201 +a(g138 +V) +tp11202 +a(g6 +V +tp11203 +a(g281 +V'(' +p11204 +tp11205 +a(g6 +V +tp11206 +a(g6 +V-name +p11207 +tp11208 +a(g6 +V +tp11209 +a(g281 +V'*.fdc' +p11210 +tp11211 +a(g6 +V +tp11212 +a(g6 +V-o +p11213 +tp11214 +a(g6 +V +tp11215 +a(g6 +V-name +p11216 +tp11217 +a(g6 +V +tp11218 +a(g281 +V'*~' +p11219 +tp11220 +a(g6 +V +tp11221 +a(g262 +V\u005c\u000a +p11222 +tp11223 +a(g6 +V +p11224 +tp11225 +a(g6 +V-o +p11226 +tp11227 +a(g6 +V +tp11228 +a(g6 +V-name +p11229 +tp11230 +a(g6 +V +tp11231 +a(g281 +V'[@,#]*' +p11232 +tp11233 +a(g6 +V +tp11234 +a(g6 +V-o +p11235 +tp11236 +a(g6 +V +tp11237 +a(g6 +V-name +p11238 +tp11239 +a(g6 +V +tp11240 +a(g281 +V'*.old' +p11241 +tp11242 +a(g6 +V +tp11243 +a(g262 +V\u005c\u000a +p11244 +tp11245 +a(g6 +V +p11246 +tp11247 +a(g6 +V-o +p11248 +tp11249 +a(g6 +V +tp11250 +a(g6 +V-name +p11251 +tp11252 +a(g6 +V +tp11253 +a(g281 +V'*.orig' +p11254 +tp11255 +a(g6 +V +tp11256 +a(g6 +V-o +p11257 +tp11258 +a(g6 +V +tp11259 +a(g6 +V-name +p11260 +tp11261 +a(g6 +V +tp11262 +a(g281 +V'*.rej' +p11263 +tp11264 +a(g6 +V +tp11265 +a(g262 +V\u005c\u000a +p11266 +tp11267 +a(g6 +V +p11268 +tp11269 +a(g6 +V-o +p11270 +tp11271 +a(g6 +V +tp11272 +a(g6 +V-name +p11273 +tp11274 +a(g6 +V +tp11275 +a(g281 +V'*.bak' +p11276 +tp11277 +a(g6 +V +tp11278 +a(g281 +V')' +p11279 +tp11280 +a(g6 +V +tp11281 +a(g262 +V\u005c\u000a +p11282 +tp11283 +a(g6 +V +p11284 +tp11285 +a(g6 +V-exec +p11286 +tp11287 +a(g6 +V +tp11288 +a(g6 +Vrm +p11289 +tp11290 +a(g6 +V +tp11291 +a(g6 +V-f +p11292 +tp11293 +a(g6 +V +tp11294 +a(g353 +V{ +tp11295 +a(g353 +V} +tp11296 +a(g6 +V +tp11297 +a(g281 +V';' +p11298 +tp11299 +a(g6 +V\u000a\u000a +p11300 +tp11301 +a(g24 +V# Check for smelly exported symbols (not starting with Py/_Py)\u000a +p11302 +tp11303 +a(g6 +V +tp11304 +a(g6 +Vsmelly: +p11305 +tp11306 +a(g6 +V +tp11307 +a(g6 +Vall +p11308 +tp11309 +a(g6 +V\u000a +p11310 +tp11311 +a(g6 +Vnm +p11312 +tp11313 +a(g6 +V +tp11314 +a(g6 +V-p +p11315 +tp11316 +a(g6 +V +tp11317 +a(g138 +V$( +p11318 +tp11319 +a(g6 +VLIBRARY +p11320 +tp11321 +a(g138 +V) +tp11322 +a(g6 +V +tp11323 +a(g6 +V| +tp11324 +a(g6 +V +tp11325 +a(g262 +V\u005c\u000a +p11326 +tp11327 +a(g6 +V +p11328 +tp11329 +a(g6 +Vsed +p11330 +tp11331 +a(g6 +V +tp11332 +a(g6 +V-n +p11333 +tp11334 +a(g6 +V +tp11335 +a(g255 +V"/ [TDB] /s/.* //p" +p11336 +tp11337 +a(g6 +V +tp11338 +a(g6 +V| +tp11339 +a(g6 +V +tp11340 +a(g6 +Vgrep +p11341 +tp11342 +a(g6 +V +tp11343 +a(g6 +V-v +p11344 +tp11345 +a(g6 +V +tp11346 +a(g255 +V"^_*Py" +p11347 +tp11348 +a(g6 +V +tp11349 +a(g6 +V| +tp11350 +a(g6 +V +tp11351 +a(g6 +Vsort +p11352 +tp11353 +a(g6 +V +tp11354 +a(g6 +V-u; +p11355 +tp11356 +a(g6 +V +tp11357 +a(g262 +V\u005c\u000a +p11358 +tp11359 +a(g6 +V\u000a +tp11360 +a(g24 +V# Find files with funny names\u000a +p11361 +tp11362 +a(g6 +V +tp11363 +a(g6 +Vfunny: +p11364 +tp11365 +a(g6 +V\u000a +p11366 +tp11367 +a(g6 +Vfind +p11368 +tp11369 +a(g6 +V +tp11370 +a(g138 +V$( +p11371 +tp11372 +a(g6 +VDISTDIRS +p11373 +tp11374 +a(g138 +V) +tp11375 +a(g6 +V +tp11376 +a(g6 +V-type +p11377 +tp11378 +a(g6 +V +tp11379 +a(g6 +Vd +tp11380 +a(g6 +V +tp11381 +a(g262 +V\u005c\u000a +p11382 +tp11383 +a(g6 +V +p11384 +tp11385 +a(g6 +V-o +p11386 +tp11387 +a(g6 +V +tp11388 +a(g6 +V-name +p11389 +tp11390 +a(g6 +V +tp11391 +a(g281 +V'*.[chs]' +p11392 +tp11393 +a(g6 +V +tp11394 +a(g262 +V\u005c\u000a +p11395 +tp11396 +a(g6 +V +p11397 +tp11398 +a(g6 +V-o +p11399 +tp11400 +a(g6 +V +tp11401 +a(g6 +V-name +p11402 +tp11403 +a(g6 +V +tp11404 +a(g281 +V'*.py' +p11405 +tp11406 +a(g6 +V +tp11407 +a(g262 +V\u005c\u000a +p11408 +tp11409 +a(g6 +V +p11410 +tp11411 +a(g6 +V-o +p11412 +tp11413 +a(g6 +V +tp11414 +a(g6 +V-name +p11415 +tp11416 +a(g6 +V +tp11417 +a(g281 +V'*.doc' +p11418 +tp11419 +a(g6 +V +tp11420 +a(g262 +V\u005c\u000a +p11421 +tp11422 +a(g6 +V +p11423 +tp11424 +a(g6 +V-o +p11425 +tp11426 +a(g6 +V +tp11427 +a(g6 +V-name +p11428 +tp11429 +a(g6 +V +tp11430 +a(g281 +V'*.sty' +p11431 +tp11432 +a(g6 +V +tp11433 +a(g262 +V\u005c\u000a +p11434 +tp11435 +a(g6 +V +p11436 +tp11437 +a(g6 +V-o +p11438 +tp11439 +a(g6 +V +tp11440 +a(g6 +V-name +p11441 +tp11442 +a(g6 +V +tp11443 +a(g281 +V'*.bib' +p11444 +tp11445 +a(g6 +V +tp11446 +a(g262 +V\u005c\u000a +p11447 +tp11448 +a(g6 +V +p11449 +tp11450 +a(g6 +V-o +p11451 +tp11452 +a(g6 +V +tp11453 +a(g6 +V-name +p11454 +tp11455 +a(g6 +V +tp11456 +a(g281 +V'*.dat' +p11457 +tp11458 +a(g6 +V +tp11459 +a(g262 +V\u005c\u000a +p11460 +tp11461 +a(g6 +V +p11462 +tp11463 +a(g6 +V-o +p11464 +tp11465 +a(g6 +V +tp11466 +a(g6 +V-name +p11467 +tp11468 +a(g6 +V +tp11469 +a(g281 +V'*.el' +p11470 +tp11471 +a(g6 +V +tp11472 +a(g262 +V\u005c\u000a +p11473 +tp11474 +a(g6 +V +p11475 +tp11476 +a(g6 +V-o +p11477 +tp11478 +a(g6 +V +tp11479 +a(g6 +V-name +p11480 +tp11481 +a(g6 +V +tp11482 +a(g281 +V'*.fd' +p11483 +tp11484 +a(g6 +V +tp11485 +a(g262 +V\u005c\u000a +p11486 +tp11487 +a(g6 +V +p11488 +tp11489 +a(g6 +V-o +p11490 +tp11491 +a(g6 +V +tp11492 +a(g6 +V-name +p11493 +tp11494 +a(g6 +V +tp11495 +a(g281 +V'*.in' +p11496 +tp11497 +a(g6 +V +tp11498 +a(g262 +V\u005c\u000a +p11499 +tp11500 +a(g6 +V +p11501 +tp11502 +a(g6 +V-o +p11503 +tp11504 +a(g6 +V +tp11505 +a(g6 +V-name +p11506 +tp11507 +a(g6 +V +tp11508 +a(g281 +V'*.tex' +p11509 +tp11510 +a(g6 +V +tp11511 +a(g262 +V\u005c\u000a +p11512 +tp11513 +a(g6 +V +p11514 +tp11515 +a(g6 +V-o +p11516 +tp11517 +a(g6 +V +tp11518 +a(g6 +V-name +p11519 +tp11520 +a(g6 +V +tp11521 +a(g281 +V'*,[vpt]' +p11522 +tp11523 +a(g6 +V +tp11524 +a(g262 +V\u005c\u000a +p11525 +tp11526 +a(g6 +V +p11527 +tp11528 +a(g6 +V-o +p11529 +tp11530 +a(g6 +V +tp11531 +a(g6 +V-name +p11532 +tp11533 +a(g6 +V +tp11534 +a(g281 +V'Setup' +p11535 +tp11536 +a(g6 +V +tp11537 +a(g262 +V\u005c\u000a +p11538 +tp11539 +a(g6 +V +p11540 +tp11541 +a(g6 +V-o +p11542 +tp11543 +a(g6 +V +tp11544 +a(g6 +V-name +p11545 +tp11546 +a(g6 +V +tp11547 +a(g281 +V'Setup.*' +p11548 +tp11549 +a(g6 +V +tp11550 +a(g262 +V\u005c\u000a +p11551 +tp11552 +a(g6 +V +p11553 +tp11554 +a(g6 +V-o +p11555 +tp11556 +a(g6 +V +tp11557 +a(g6 +V-name +p11558 +tp11559 +a(g6 +V +tp11560 +a(g6 +VREADME +p11561 +tp11562 +a(g6 +V +tp11563 +a(g262 +V\u005c\u000a +p11564 +tp11565 +a(g6 +V +p11566 +tp11567 +a(g6 +V-o +p11568 +tp11569 +a(g6 +V +tp11570 +a(g6 +V-name +p11571 +tp11572 +a(g6 +V +tp11573 +a(g6 +VMakefile +p11574 +tp11575 +a(g6 +V +tp11576 +a(g262 +V\u005c\u000a +p11577 +tp11578 +a(g6 +V +p11579 +tp11580 +a(g6 +V-o +p11581 +tp11582 +a(g6 +V +tp11583 +a(g6 +V-name +p11584 +tp11585 +a(g6 +V +tp11586 +a(g6 +VChangeLog +p11587 +tp11588 +a(g6 +V +tp11589 +a(g262 +V\u005c\u000a +p11590 +tp11591 +a(g6 +V +p11592 +tp11593 +a(g6 +V-o +p11594 +tp11595 +a(g6 +V +tp11596 +a(g6 +V-name +p11597 +tp11598 +a(g6 +V +tp11599 +a(g6 +VRepository +p11600 +tp11601 +a(g6 +V +tp11602 +a(g262 +V\u005c\u000a +p11603 +tp11604 +a(g6 +V +p11605 +tp11606 +a(g6 +V-o +p11607 +tp11608 +a(g6 +V +tp11609 +a(g6 +V-name +p11610 +tp11611 +a(g6 +V +tp11612 +a(g6 +VRoot +p11613 +tp11614 +a(g6 +V +tp11615 +a(g262 +V\u005c\u000a +p11616 +tp11617 +a(g6 +V +p11618 +tp11619 +a(g6 +V-o +p11620 +tp11621 +a(g6 +V +tp11622 +a(g6 +V-name +p11623 +tp11624 +a(g6 +V +tp11625 +a(g6 +VEntries +p11626 +tp11627 +a(g6 +V +tp11628 +a(g262 +V\u005c\u000a +p11629 +tp11630 +a(g6 +V +p11631 +tp11632 +a(g6 +V-o +p11633 +tp11634 +a(g6 +V +tp11635 +a(g6 +V-name +p11636 +tp11637 +a(g6 +V +tp11638 +a(g6 +VTag +p11639 +tp11640 +a(g6 +V +tp11641 +a(g262 +V\u005c\u000a +p11642 +tp11643 +a(g6 +V +p11644 +tp11645 +a(g6 +V-o +p11646 +tp11647 +a(g6 +V +tp11648 +a(g6 +V-name +p11649 +tp11650 +a(g6 +V +tp11651 +a(g6 +Vtags +p11652 +tp11653 +a(g6 +V +tp11654 +a(g262 +V\u005c\u000a +p11655 +tp11656 +a(g6 +V +p11657 +tp11658 +a(g6 +V-o +p11659 +tp11660 +a(g6 +V +tp11661 +a(g6 +V-name +p11662 +tp11663 +a(g6 +V +tp11664 +a(g6 +VTAGS +p11665 +tp11666 +a(g6 +V +tp11667 +a(g262 +V\u005c\u000a +p11668 +tp11669 +a(g6 +V +p11670 +tp11671 +a(g6 +V-o +p11672 +tp11673 +a(g6 +V +tp11674 +a(g6 +V-name +p11675 +tp11676 +a(g6 +V +tp11677 +a(g6 +V.cvsignore +p11678 +tp11679 +a(g6 +V +tp11680 +a(g262 +V\u005c\u000a +p11681 +tp11682 +a(g6 +V +p11683 +tp11684 +a(g6 +V-o +p11685 +tp11686 +a(g6 +V +tp11687 +a(g6 +V-name +p11688 +tp11689 +a(g6 +V +tp11690 +a(g6 +VMANIFEST +p11691 +tp11692 +a(g6 +V +tp11693 +a(g262 +V\u005c\u000a +p11694 +tp11695 +a(g6 +V +p11696 +tp11697 +a(g6 +V-o +p11698 +tp11699 +a(g6 +V +tp11700 +a(g6 +V-print +p11701 +tp11702 +a(g6 +V\u000a\u000a +p11703 +tp11704 +a(g24 +V# Dependencies\u000a +p11705 +tp11706 +a(g6 +V\u000a +tp11707 +a(g6 +VPython/thread.o: +p11708 +tp11709 +a(g6 +V +p11710 +tp11711 +a(g138 +V$( +p11712 +tp11713 +a(g6 +Vsrcdir +p11714 +tp11715 +a(g138 +V) +tp11716 +a(g6 +V/Python/thread_atheos.h +p11717 +tp11718 +a(g6 +V +tp11719 +a(g138 +V$( +p11720 +tp11721 +a(g6 +Vsrcdir +p11722 +tp11723 +a(g138 +V) +tp11724 +a(g6 +V/Python/thread_beos.h +p11725 +tp11726 +a(g6 +V +tp11727 +a(g138 +V$( +p11728 +tp11729 +a(g6 +Vsrcdir +p11730 +tp11731 +a(g138 +V) +tp11732 +a(g6 +V/Python/thread_cthread.h +p11733 +tp11734 +a(g6 +V +tp11735 +a(g138 +V$( +p11736 +tp11737 +a(g6 +Vsrcdir +p11738 +tp11739 +a(g138 +V) +tp11740 +a(g6 +V/Python/thread_foobar.h +p11741 +tp11742 +a(g6 +V +tp11743 +a(g138 +V$( +p11744 +tp11745 +a(g6 +Vsrcdir +p11746 +tp11747 +a(g138 +V) +tp11748 +a(g6 +V/Python/thread_lwp.h +p11749 +tp11750 +a(g6 +V +tp11751 +a(g138 +V$( +p11752 +tp11753 +a(g6 +Vsrcdir +p11754 +tp11755 +a(g138 +V) +tp11756 +a(g6 +V/Python/thread_nt.h +p11757 +tp11758 +a(g6 +V +tp11759 +a(g138 +V$( +p11760 +tp11761 +a(g6 +Vsrcdir +p11762 +tp11763 +a(g138 +V) +tp11764 +a(g6 +V/Python/thread_os2.h +p11765 +tp11766 +a(g6 +V +tp11767 +a(g138 +V$( +p11768 +tp11769 +a(g6 +Vsrcdir +p11770 +tp11771 +a(g138 +V) +tp11772 +a(g6 +V/Python/thread_pth.h +p11773 +tp11774 +a(g6 +V +tp11775 +a(g138 +V$( +p11776 +tp11777 +a(g6 +Vsrcdir +p11778 +tp11779 +a(g138 +V) +tp11780 +a(g6 +V/Python/thread_pthread.h +p11781 +tp11782 +a(g6 +V +tp11783 +a(g138 +V$( +p11784 +tp11785 +a(g6 +Vsrcdir +p11786 +tp11787 +a(g138 +V) +tp11788 +a(g6 +V/Python/thread_sgi.h +p11789 +tp11790 +a(g6 +V +tp11791 +a(g138 +V$( +p11792 +tp11793 +a(g6 +Vsrcdir +p11794 +tp11795 +a(g138 +V) +tp11796 +a(g6 +V/Python/thread_solaris.h +p11797 +tp11798 +a(g6 +V +tp11799 +a(g138 +V$( +p11800 +tp11801 +a(g6 +Vsrcdir +p11802 +tp11803 +a(g138 +V) +tp11804 +a(g6 +V/Python/thread_wince.h +p11805 +tp11806 +a(g6 +V\u000a\u000a +p11807 +tp11808 +a(g24 +V# Declare targets that aren't real files\u000a +p11809 +tp11810 +a(g6 +V +tp11811 +a(g6 +V.PHONY: +p11812 +tp11813 +a(g6 +V +tp11814 +a(g6 +Vall +p11815 +tp11816 +a(g6 +V +tp11817 +a(g6 +Vsharedmods +p11818 +tp11819 +a(g6 +V +tp11820 +a(g6 +Voldsharedmods +p11821 +tp11822 +a(g6 +V +tp11823 +a(g84 +Vtest +p11824 +tp11825 +a(g6 +Vquicktest +p11826 +tp11827 +a(g6 +V +tp11828 +a(g6 +Vmemtest +p11829 +tp11830 +a(g6 +V\u000a +tp11831 +a(g6 +V.PHONY: +p11832 +tp11833 +a(g6 +V +tp11834 +a(g6 +Vinstall +p11835 +tp11836 +a(g6 +V +tp11837 +a(g6 +Valtinstall +p11838 +tp11839 +a(g6 +V +tp11840 +a(g6 +Voldsharedinstall +p11841 +tp11842 +a(g6 +V +tp11843 +a(g6 +Vbininstall +p11844 +tp11845 +a(g6 +V +tp11846 +a(g6 +Valtbininstall +p11847 +tp11848 +a(g6 +V\u000a +tp11849 +a(g6 +V.PHONY: +p11850 +tp11851 +a(g6 +V +tp11852 +a(g6 +Vmaninstall +p11853 +tp11854 +a(g6 +V +tp11855 +a(g6 +Vlibinstall +p11856 +tp11857 +a(g6 +V +tp11858 +a(g6 +Vinclinstall +p11859 +tp11860 +a(g6 +V +tp11861 +a(g6 +Vlibainstall +p11862 +tp11863 +a(g6 +V +tp11864 +a(g6 +Vsharedinstall +p11865 +tp11866 +a(g6 +V\u000a +tp11867 +a(g6 +V.PHONY: +p11868 +tp11869 +a(g6 +V +tp11870 +a(g6 +Vframeworkinstall +p11871 +tp11872 +a(g6 +V +tp11873 +a(g6 +Vframeworkinstallframework +p11874 +tp11875 +a(g6 +V +tp11876 +a(g6 +Vframeworkinstallstructure +p11877 +tp11878 +a(g6 +V\u000a +tp11879 +a(g6 +V.PHONY: +p11880 +tp11881 +a(g6 +V +tp11882 +a(g6 +Vframeworkinstallmaclib +p11883 +tp11884 +a(g6 +V +tp11885 +a(g6 +Vframeworkinstallapps +p11886 +tp11887 +a(g6 +V +tp11888 +a(g6 +Vframeworkinstallunixtools +p11889 +tp11890 +a(g6 +V\u000a +tp11891 +a(g6 +V.PHONY: +p11892 +tp11893 +a(g6 +V +tp11894 +a(g6 +Vframeworkaltinstallunixtools +p11895 +tp11896 +a(g6 +V +tp11897 +a(g6 +Vrecheck +p11898 +tp11899 +a(g6 +V +tp11900 +a(g6 +Vautoconf +p11901 +tp11902 +a(g6 +V +tp11903 +a(g6 +Vclean +p11904 +tp11905 +a(g6 +V +tp11906 +a(g6 +Vclobber +p11907 +tp11908 +a(g6 +V +tp11909 +a(g6 +Vdistclean +p11910 +tp11911 +a(g6 +V \u000a +p11912 +tp11913 +a(g6 +V.PHONY: +p11914 +tp11915 +a(g6 +V +tp11916 +a(g6 +Vsmelly +p11917 +tp11918 +a(g6 +V +tp11919 +a(g6 +Vfunny +p11920 +tp11921 +a(g6 +V\u000a\u000a +p11922 +tp11923 +a(g24 +V# IF YOU PUT ANYTHING HERE IT WILL GO AWAY\u000a +p11924 +tp11925 +a(g6 +V\u000a +tp11926 +a(g24 +V# Rules appended by makedepend\u000a +p11927 +tp11928 +a(g6 +V\u000a +tp11929 +a(g6 +VModules/threadmodule.o: +p11930 +tp11931 +a(g6 +V +tp11932 +a(g138 +V$( +p11933 +tp11934 +a(g6 +Vsrcdir +p11935 +tp11936 +a(g138 +V) +tp11937 +a(g6 +V/Modules/threadmodule.c; +p11938 +tp11939 +a(g6 +V +tp11940 +a(g138 +V$( +p11941 +tp11942 +a(g6 +VCC +p11943 +tp11944 +a(g138 +V) +tp11945 +a(g6 +V +tp11946 +a(g138 +V$( +p11947 +tp11948 +a(g6 +VPY_CFLAGS +p11949 +tp11950 +a(g138 +V) +tp11951 +a(g6 +V +p11952 +tp11953 +a(g6 +V-c +p11954 +tp11955 +a(g6 +V +tp11956 +a(g138 +V$( +p11957 +tp11958 +a(g6 +Vsrcdir +p11959 +tp11960 +a(g138 +V) +tp11961 +a(g6 +V/Modules/threadmodule.c +p11962 +tp11963 +a(g6 +V +tp11964 +a(g6 +V-o +p11965 +tp11966 +a(g6 +V +tp11967 +a(g6 +VModules/threadmodule.o +p11968 +tp11969 +a(g6 +V\u000a +tp11970 +a(g6 +VModules/threadmodule +p11971 +tp11972 +a(g138 +V$( +p11973 +tp11974 +a(g6 +VSO +p11975 +tp11976 +a(g138 +V) +tp11977 +a(g6 +V: +tp11978 +a(g6 +V +p11979 +tp11980 +a(g6 +VModules/threadmodule.o; +p11981 +tp11982 +a(g6 +V +tp11983 +a(g138 +V$( +p11984 +tp11985 +a(g6 +VLDSHARED +p11986 +tp11987 +a(g138 +V) +tp11988 +a(g6 +V +p11989 +tp11990 +a(g6 +VModules/threadmodule.o +p11991 +tp11992 +a(g6 +V +p11993 +tp11994 +a(g6 +V-o +p11995 +tp11996 +a(g6 +V +tp11997 +a(g6 +VModules/threadmodule +p11998 +tp11999 +a(g138 +V$( +p12000 +tp12001 +a(g6 +VSO +p12002 +tp12003 +a(g138 +V) +tp12004 +a(g6 +V\u000a +tp12005 +a(g6 +VModules/signalmodule.o: +p12006 +tp12007 +a(g6 +V +tp12008 +a(g138 +V$( +p12009 +tp12010 +a(g6 +Vsrcdir +p12011 +tp12012 +a(g138 +V) +tp12013 +a(g6 +V/Modules/signalmodule.c; +p12014 +tp12015 +a(g6 +V +tp12016 +a(g138 +V$( +p12017 +tp12018 +a(g6 +VCC +p12019 +tp12020 +a(g138 +V) +tp12021 +a(g6 +V +tp12022 +a(g138 +V$( +p12023 +tp12024 +a(g6 +VPY_CFLAGS +p12025 +tp12026 +a(g138 +V) +tp12027 +a(g6 +V +p12028 +tp12029 +a(g6 +V-c +p12030 +tp12031 +a(g6 +V +tp12032 +a(g138 +V$( +p12033 +tp12034 +a(g6 +Vsrcdir +p12035 +tp12036 +a(g138 +V) +tp12037 +a(g6 +V/Modules/signalmodule.c +p12038 +tp12039 +a(g6 +V +tp12040 +a(g6 +V-o +p12041 +tp12042 +a(g6 +V +tp12043 +a(g6 +VModules/signalmodule.o +p12044 +tp12045 +a(g6 +V\u000a +tp12046 +a(g6 +VModules/signalmodule +p12047 +tp12048 +a(g138 +V$( +p12049 +tp12050 +a(g6 +VSO +p12051 +tp12052 +a(g138 +V) +tp12053 +a(g6 +V: +tp12054 +a(g6 +V +p12055 +tp12056 +a(g6 +VModules/signalmodule.o; +p12057 +tp12058 +a(g6 +V +tp12059 +a(g138 +V$( +p12060 +tp12061 +a(g6 +VLDSHARED +p12062 +tp12063 +a(g138 +V) +tp12064 +a(g6 +V +p12065 +tp12066 +a(g6 +VModules/signalmodule.o +p12067 +tp12068 +a(g6 +V +p12069 +tp12070 +a(g6 +V-o +p12071 +tp12072 +a(g6 +V +tp12073 +a(g6 +VModules/signalmodule +p12074 +tp12075 +a(g138 +V$( +p12076 +tp12077 +a(g6 +VSO +p12078 +tp12079 +a(g138 +V) +tp12080 +a(g6 +V\u000a +tp12081 +a(g6 +VModules/posixmodule.o: +p12082 +tp12083 +a(g6 +V +tp12084 +a(g138 +V$( +p12085 +tp12086 +a(g6 +Vsrcdir +p12087 +tp12088 +a(g138 +V) +tp12089 +a(g6 +V/Modules/posixmodule.c; +p12090 +tp12091 +a(g6 +V +tp12092 +a(g138 +V$( +p12093 +tp12094 +a(g6 +VCC +p12095 +tp12096 +a(g138 +V) +tp12097 +a(g6 +V +tp12098 +a(g138 +V$( +p12099 +tp12100 +a(g6 +VPY_CFLAGS +p12101 +tp12102 +a(g138 +V) +tp12103 +a(g6 +V +p12104 +tp12105 +a(g6 +V-c +p12106 +tp12107 +a(g6 +V +tp12108 +a(g138 +V$( +p12109 +tp12110 +a(g6 +Vsrcdir +p12111 +tp12112 +a(g138 +V) +tp12113 +a(g6 +V/Modules/posixmodule.c +p12114 +tp12115 +a(g6 +V +tp12116 +a(g6 +V-o +p12117 +tp12118 +a(g6 +V +tp12119 +a(g6 +VModules/posixmodule.o +p12120 +tp12121 +a(g6 +V\u000a +tp12122 +a(g6 +VModules/posixmodule +p12123 +tp12124 +a(g138 +V$( +p12125 +tp12126 +a(g6 +VSO +p12127 +tp12128 +a(g138 +V) +tp12129 +a(g6 +V: +tp12130 +a(g6 +V +p12131 +tp12132 +a(g6 +VModules/posixmodule.o; +p12133 +tp12134 +a(g6 +V +tp12135 +a(g138 +V$( +p12136 +tp12137 +a(g6 +VLDSHARED +p12138 +tp12139 +a(g138 +V) +tp12140 +a(g6 +V +p12141 +tp12142 +a(g6 +VModules/posixmodule.o +p12143 +tp12144 +a(g6 +V +p12145 +tp12146 +a(g6 +V-o +p12147 +tp12148 +a(g6 +V +tp12149 +a(g6 +VModules/posixmodule +p12150 +tp12151 +a(g138 +V$( +p12152 +tp12153 +a(g6 +VSO +p12154 +tp12155 +a(g138 +V) +tp12156 +a(g6 +V\u000a +tp12157 +a(g6 +VModules/errnomodule.o: +p12158 +tp12159 +a(g6 +V +tp12160 +a(g138 +V$( +p12161 +tp12162 +a(g6 +Vsrcdir +p12163 +tp12164 +a(g138 +V) +tp12165 +a(g6 +V/Modules/errnomodule.c; +p12166 +tp12167 +a(g6 +V +tp12168 +a(g138 +V$( +p12169 +tp12170 +a(g6 +VCC +p12171 +tp12172 +a(g138 +V) +tp12173 +a(g6 +V +tp12174 +a(g138 +V$( +p12175 +tp12176 +a(g6 +VPY_CFLAGS +p12177 +tp12178 +a(g138 +V) +tp12179 +a(g6 +V +p12180 +tp12181 +a(g6 +V-c +p12182 +tp12183 +a(g6 +V +tp12184 +a(g138 +V$( +p12185 +tp12186 +a(g6 +Vsrcdir +p12187 +tp12188 +a(g138 +V) +tp12189 +a(g6 +V/Modules/errnomodule.c +p12190 +tp12191 +a(g6 +V +tp12192 +a(g6 +V-o +p12193 +tp12194 +a(g6 +V +tp12195 +a(g6 +VModules/errnomodule.o +p12196 +tp12197 +a(g6 +V\u000a +tp12198 +a(g6 +VModules/errnomodule +p12199 +tp12200 +a(g138 +V$( +p12201 +tp12202 +a(g6 +VSO +p12203 +tp12204 +a(g138 +V) +tp12205 +a(g6 +V: +tp12206 +a(g6 +V +p12207 +tp12208 +a(g6 +VModules/errnomodule.o; +p12209 +tp12210 +a(g6 +V +tp12211 +a(g138 +V$( +p12212 +tp12213 +a(g6 +VLDSHARED +p12214 +tp12215 +a(g138 +V) +tp12216 +a(g6 +V +p12217 +tp12218 +a(g6 +VModules/errnomodule.o +p12219 +tp12220 +a(g6 +V +p12221 +tp12222 +a(g6 +V-o +p12223 +tp12224 +a(g6 +V +tp12225 +a(g6 +VModules/errnomodule +p12226 +tp12227 +a(g138 +V$( +p12228 +tp12229 +a(g6 +VSO +p12230 +tp12231 +a(g138 +V) +tp12232 +a(g6 +V\u000a +tp12233 +a(g6 +VModules/pwdmodule.o: +p12234 +tp12235 +a(g6 +V +tp12236 +a(g138 +V$( +p12237 +tp12238 +a(g6 +Vsrcdir +p12239 +tp12240 +a(g138 +V) +tp12241 +a(g6 +V/Modules/pwdmodule.c; +p12242 +tp12243 +a(g6 +V +tp12244 +a(g138 +V$( +p12245 +tp12246 +a(g6 +VCC +p12247 +tp12248 +a(g138 +V) +tp12249 +a(g6 +V +tp12250 +a(g138 +V$( +p12251 +tp12252 +a(g6 +VPY_CFLAGS +p12253 +tp12254 +a(g138 +V) +tp12255 +a(g6 +V +p12256 +tp12257 +a(g6 +V-c +p12258 +tp12259 +a(g6 +V +tp12260 +a(g138 +V$( +p12261 +tp12262 +a(g6 +Vsrcdir +p12263 +tp12264 +a(g138 +V) +tp12265 +a(g6 +V/Modules/pwdmodule.c +p12266 +tp12267 +a(g6 +V +tp12268 +a(g6 +V-o +p12269 +tp12270 +a(g6 +V +tp12271 +a(g6 +VModules/pwdmodule.o +p12272 +tp12273 +a(g6 +V\u000a +tp12274 +a(g6 +VModules/pwdmodule +p12275 +tp12276 +a(g138 +V$( +p12277 +tp12278 +a(g6 +VSO +p12279 +tp12280 +a(g138 +V) +tp12281 +a(g6 +V: +tp12282 +a(g6 +V +p12283 +tp12284 +a(g6 +VModules/pwdmodule.o; +p12285 +tp12286 +a(g6 +V +tp12287 +a(g138 +V$( +p12288 +tp12289 +a(g6 +VLDSHARED +p12290 +tp12291 +a(g138 +V) +tp12292 +a(g6 +V +p12293 +tp12294 +a(g6 +VModules/pwdmodule.o +p12295 +tp12296 +a(g6 +V +p12297 +tp12298 +a(g6 +V-o +p12299 +tp12300 +a(g6 +V +tp12301 +a(g6 +VModules/pwdmodule +p12302 +tp12303 +a(g138 +V$( +p12304 +tp12305 +a(g6 +VSO +p12306 +tp12307 +a(g138 +V) +tp12308 +a(g6 +V\u000a +tp12309 +a(g6 +VModules/_sre.o: +p12310 +tp12311 +a(g6 +V +tp12312 +a(g138 +V$( +p12313 +tp12314 +a(g6 +Vsrcdir +p12315 +tp12316 +a(g138 +V) +tp12317 +a(g6 +V/Modules/_sre.c; +p12318 +tp12319 +a(g6 +V +tp12320 +a(g138 +V$( +p12321 +tp12322 +a(g6 +VCC +p12323 +tp12324 +a(g138 +V) +tp12325 +a(g6 +V +tp12326 +a(g138 +V$( +p12327 +tp12328 +a(g6 +VPY_CFLAGS +p12329 +tp12330 +a(g138 +V) +tp12331 +a(g6 +V +p12332 +tp12333 +a(g6 +V-c +p12334 +tp12335 +a(g6 +V +tp12336 +a(g138 +V$( +p12337 +tp12338 +a(g6 +Vsrcdir +p12339 +tp12340 +a(g138 +V) +tp12341 +a(g6 +V/Modules/_sre.c +p12342 +tp12343 +a(g6 +V +tp12344 +a(g6 +V-o +p12345 +tp12346 +a(g6 +V +tp12347 +a(g6 +VModules/_sre.o +p12348 +tp12349 +a(g6 +V\u000a +tp12350 +a(g6 +VModules/_sre +p12351 +tp12352 +a(g138 +V$( +p12353 +tp12354 +a(g6 +VSO +p12355 +tp12356 +a(g138 +V) +tp12357 +a(g6 +V: +tp12358 +a(g6 +V +p12359 +tp12360 +a(g6 +VModules/_sre.o; +p12361 +tp12362 +a(g6 +V +tp12363 +a(g138 +V$( +p12364 +tp12365 +a(g6 +VLDSHARED +p12366 +tp12367 +a(g138 +V) +tp12368 +a(g6 +V +p12369 +tp12370 +a(g6 +VModules/_sre.o +p12371 +tp12372 +a(g6 +V +p12373 +tp12374 +a(g6 +V-o +p12375 +tp12376 +a(g6 +V +tp12377 +a(g6 +VModules/_sre +p12378 +tp12379 +a(g138 +V$( +p12380 +tp12381 +a(g6 +VSO +p12382 +tp12383 +a(g138 +V) +tp12384 +a(g6 +V\u000a +tp12385 +a(g6 +VModules/_codecsmodule.o: +p12386 +tp12387 +a(g6 +V +tp12388 +a(g138 +V$( +p12389 +tp12390 +a(g6 +Vsrcdir +p12391 +tp12392 +a(g138 +V) +tp12393 +a(g6 +V/Modules/_codecsmodule.c; +p12394 +tp12395 +a(g6 +V +tp12396 +a(g138 +V$( +p12397 +tp12398 +a(g6 +VCC +p12399 +tp12400 +a(g138 +V) +tp12401 +a(g6 +V +tp12402 +a(g138 +V$( +p12403 +tp12404 +a(g6 +VPY_CFLAGS +p12405 +tp12406 +a(g138 +V) +tp12407 +a(g6 +V +p12408 +tp12409 +a(g6 +V-c +p12410 +tp12411 +a(g6 +V +tp12412 +a(g138 +V$( +p12413 +tp12414 +a(g6 +Vsrcdir +p12415 +tp12416 +a(g138 +V) +tp12417 +a(g6 +V/Modules/_codecsmodule.c +p12418 +tp12419 +a(g6 +V +tp12420 +a(g6 +V-o +p12421 +tp12422 +a(g6 +V +tp12423 +a(g6 +VModules/_codecsmodule.o +p12424 +tp12425 +a(g6 +V\u000a +tp12426 +a(g6 +VModules/_codecsmodule +p12427 +tp12428 +a(g138 +V$( +p12429 +tp12430 +a(g6 +VSO +p12431 +tp12432 +a(g138 +V) +tp12433 +a(g6 +V: +tp12434 +a(g6 +V +p12435 +tp12436 +a(g6 +VModules/_codecsmodule.o; +p12437 +tp12438 +a(g6 +V +tp12439 +a(g138 +V$( +p12440 +tp12441 +a(g6 +VLDSHARED +p12442 +tp12443 +a(g138 +V) +tp12444 +a(g6 +V +p12445 +tp12446 +a(g6 +VModules/_codecsmodule.o +p12447 +tp12448 +a(g6 +V +p12449 +tp12450 +a(g6 +V-o +p12451 +tp12452 +a(g6 +V +tp12453 +a(g6 +VModules/_codecsmodule +p12454 +tp12455 +a(g138 +V$( +p12456 +tp12457 +a(g6 +VSO +p12458 +tp12459 +a(g138 +V) +tp12460 +a(g6 +V\u000a +tp12461 +a(g6 +VModules/zipimport.o: +p12462 +tp12463 +a(g6 +V +tp12464 +a(g138 +V$( +p12465 +tp12466 +a(g6 +Vsrcdir +p12467 +tp12468 +a(g138 +V) +tp12469 +a(g6 +V/Modules/zipimport.c; +p12470 +tp12471 +a(g6 +V +tp12472 +a(g138 +V$( +p12473 +tp12474 +a(g6 +VCC +p12475 +tp12476 +a(g138 +V) +tp12477 +a(g6 +V +tp12478 +a(g138 +V$( +p12479 +tp12480 +a(g6 +VPY_CFLAGS +p12481 +tp12482 +a(g138 +V) +tp12483 +a(g6 +V +p12484 +tp12485 +a(g6 +V-c +p12486 +tp12487 +a(g6 +V +tp12488 +a(g138 +V$( +p12489 +tp12490 +a(g6 +Vsrcdir +p12491 +tp12492 +a(g138 +V) +tp12493 +a(g6 +V/Modules/zipimport.c +p12494 +tp12495 +a(g6 +V +tp12496 +a(g6 +V-o +p12497 +tp12498 +a(g6 +V +tp12499 +a(g6 +VModules/zipimport.o +p12500 +tp12501 +a(g6 +V\u000a +tp12502 +a(g6 +VModules/zipimport +p12503 +tp12504 +a(g138 +V$( +p12505 +tp12506 +a(g6 +VSO +p12507 +tp12508 +a(g138 +V) +tp12509 +a(g6 +V: +tp12510 +a(g6 +V +p12511 +tp12512 +a(g6 +VModules/zipimport.o; +p12513 +tp12514 +a(g6 +V +tp12515 +a(g138 +V$( +p12516 +tp12517 +a(g6 +VLDSHARED +p12518 +tp12519 +a(g138 +V) +tp12520 +a(g6 +V +p12521 +tp12522 +a(g6 +VModules/zipimport.o +p12523 +tp12524 +a(g6 +V +p12525 +tp12526 +a(g6 +V-o +p12527 +tp12528 +a(g6 +V +tp12529 +a(g6 +VModules/zipimport +p12530 +tp12531 +a(g138 +V$( +p12532 +tp12533 +a(g6 +VSO +p12534 +tp12535 +a(g138 +V) +tp12536 +a(g6 +V\u000a +tp12537 +a(g6 +VModules/symtablemodule.o: +p12538 +tp12539 +a(g6 +V +tp12540 +a(g138 +V$( +p12541 +tp12542 +a(g6 +Vsrcdir +p12543 +tp12544 +a(g138 +V) +tp12545 +a(g6 +V/Modules/symtablemodule.c; +p12546 +tp12547 +a(g6 +V +tp12548 +a(g138 +V$( +p12549 +tp12550 +a(g6 +VCC +p12551 +tp12552 +a(g138 +V) +tp12553 +a(g6 +V +tp12554 +a(g138 +V$( +p12555 +tp12556 +a(g6 +VPY_CFLAGS +p12557 +tp12558 +a(g138 +V) +tp12559 +a(g6 +V +p12560 +tp12561 +a(g6 +V-c +p12562 +tp12563 +a(g6 +V +tp12564 +a(g138 +V$( +p12565 +tp12566 +a(g6 +Vsrcdir +p12567 +tp12568 +a(g138 +V) +tp12569 +a(g6 +V/Modules/symtablemodule.c +p12570 +tp12571 +a(g6 +V +tp12572 +a(g6 +V-o +p12573 +tp12574 +a(g6 +V +tp12575 +a(g6 +VModules/symtablemodule.o +p12576 +tp12577 +a(g6 +V\u000a +tp12578 +a(g6 +VModules/_symtablemodule +p12579 +tp12580 +a(g138 +V$( +p12581 +tp12582 +a(g6 +VSO +p12583 +tp12584 +a(g138 +V) +tp12585 +a(g6 +V: +tp12586 +a(g6 +V +p12587 +tp12588 +a(g6 +VModules/symtablemodule.o; +p12589 +tp12590 +a(g6 +V +tp12591 +a(g138 +V$( +p12592 +tp12593 +a(g6 +VLDSHARED +p12594 +tp12595 +a(g138 +V) +tp12596 +a(g6 +V +p12597 +tp12598 +a(g6 +VModules/symtablemodule.o +p12599 +tp12600 +a(g6 +V +p12601 +tp12602 +a(g6 +V-o +p12603 +tp12604 +a(g6 +V +tp12605 +a(g6 +VModules/_symtablemodule +p12606 +tp12607 +a(g138 +V$( +p12608 +tp12609 +a(g6 +VSO +p12610 +tp12611 +a(g138 +V) +tp12612 +a(g6 +V\u000a +tp12613 +a(g6 +VModules/xxsubtype.o: +p12614 +tp12615 +a(g6 +V +tp12616 +a(g138 +V$( +p12617 +tp12618 +a(g6 +Vsrcdir +p12619 +tp12620 +a(g138 +V) +tp12621 +a(g6 +V/Modules/xxsubtype.c; +p12622 +tp12623 +a(g6 +V +tp12624 +a(g138 +V$( +p12625 +tp12626 +a(g6 +VCC +p12627 +tp12628 +a(g138 +V) +tp12629 +a(g6 +V +tp12630 +a(g138 +V$( +p12631 +tp12632 +a(g6 +VPY_CFLAGS +p12633 +tp12634 +a(g138 +V) +tp12635 +a(g6 +V +p12636 +tp12637 +a(g6 +V-c +p12638 +tp12639 +a(g6 +V +tp12640 +a(g138 +V$( +p12641 +tp12642 +a(g6 +Vsrcdir +p12643 +tp12644 +a(g138 +V) +tp12645 +a(g6 +V/Modules/xxsubtype.c +p12646 +tp12647 +a(g6 +V +tp12648 +a(g6 +V-o +p12649 +tp12650 +a(g6 +V +tp12651 +a(g6 +VModules/xxsubtype.o +p12652 +tp12653 +a(g6 +V\u000a +tp12654 +a(g6 +VModules/xxsubtype +p12655 +tp12656 +a(g138 +V$( +p12657 +tp12658 +a(g6 +VSO +p12659 +tp12660 +a(g138 +V) +tp12661 +a(g6 +V: +tp12662 +a(g6 +V +p12663 +tp12664 +a(g6 +VModules/xxsubtype.o; +p12665 +tp12666 +a(g6 +V +tp12667 +a(g138 +V$( +p12668 +tp12669 +a(g6 +VLDSHARED +p12670 +tp12671 +a(g138 +V) +tp12672 +a(g6 +V +p12673 +tp12674 +a(g6 +VModules/xxsubtype.o +p12675 +tp12676 +a(g6 +V +p12677 +tp12678 +a(g6 +V-o +p12679 +tp12680 +a(g6 +V +tp12681 +a(g6 +VModules/xxsubtype +p12682 +tp12683 +a(g138 +V$( +p12684 +tp12685 +a(g6 +VSO +p12686 +tp12687 +a(g138 +V) +tp12688 +a(g6 +V\u000a +tp12689 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/Object.st b/tests/examplefiles/output/Object.st new file mode 100644 index 0000000..e9d21a1 --- /dev/null +++ b/tests/examplefiles/output/Object.st @@ -0,0 +1,73362 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +g59 +g2 +(g3 +g4 +(g137 +g59 +ttRp140 +(dp141 +g8 +g9 +((ltRp142 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp143 +(dp144 +g8 +g9 +((ltRp145 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g138 +sbsS'Reserved' +p149 +g2 +(g3 +g4 +(g137 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Declaration' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp157 +(dp158 +g8 +g9 +((ltRp159 +sg15 +g138 +sbsg8 +g9 +((lp160 +g140 +ag150 +ag2 +(g3 +g4 +(g137 +S'Type' +p161 +ttRp162 +(dp163 +g8 +g9 +((ltRp164 +sg15 +g138 +sbag154 +ag157 +ag143 +ag146 +atRp165 +sg161 +g162 +sbsS'Generic' +p166 +g2 +(g3 +g4 +(g166 +ttRp167 +(dp168 +S'Prompt' +p169 +g2 +(g3 +g4 +(g166 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g167 +sbsg15 +g21 +sS'Deleted' +p173 +g2 +(g3 +g4 +(g166 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g167 +sbsS'Traceback' +p177 +g2 +(g3 +g4 +(g166 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g167 +sbsS'Emph' +p181 +g2 +(g3 +g4 +(g166 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g167 +sbsS'Output' +p185 +g2 +(g3 +g4 +(g166 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g167 +sbsS'Subheading' +p189 +g2 +(g3 +g4 +(g166 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g167 +sbsS'Error' +p193 +g2 +(g3 +g4 +(g166 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g167 +sbsg8 +g9 +((lp197 +g186 +ag182 +ag194 +ag190 +ag178 +ag174 +ag2 +(g3 +g4 +(g166 +S'Heading' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Inserted' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Strong' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g167 +sbag170 +atRp210 +sg206 +g207 +sg202 +g203 +sg198 +g199 +sbsg5 +g6 +sS'Punctuation' +p211 +g2 +(g3 +g4 +(g211 +ttRp212 +(dp213 +g8 +g9 +((lp214 +g2 +(g3 +g4 +(g211 +S'Indicator' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g212 +sbatRp219 +sg215 +g216 +sg15 +g21 +sbsS'Token' +p220 +g21 +sS'Number' +p221 +g2 +(g3 +g4 +(S'Literal' +p222 +g221 +ttRp223 +(dp224 +S'Bin' +p225 +g2 +(g3 +g4 +(g222 +g221 +g225 +ttRp226 +(dp227 +g8 +g9 +((ltRp228 +sg15 +g223 +sbsS'Binary' +p229 +g2 +(g3 +g4 +(g222 +g221 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g223 +sbsg15 +g2 +(g3 +g4 +(g222 +ttRp233 +(dp234 +S'String' +p235 +g2 +(g3 +g4 +(g222 +g235 +ttRp236 +(dp237 +S'Regex' +p238 +g2 +(g3 +g4 +(g222 +g235 +g238 +ttRp239 +(dp240 +g8 +g9 +((ltRp241 +sg15 +g236 +sbsS'Interpol' +p242 +g2 +(g3 +g4 +(g222 +g235 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g236 +sbsS'Regexp' +p246 +g2 +(g3 +g4 +(g222 +g235 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g236 +sbsg15 +g233 +sS'Heredoc' +p250 +g2 +(g3 +g4 +(g222 +g235 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g236 +sbsS'Double' +p254 +g2 +(g3 +g4 +(g222 +g235 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g236 +sbsg11 +g2 +(g3 +g4 +(g222 +g235 +g11 +ttRp258 +(dp259 +g8 +g9 +((ltRp260 +sg15 +g236 +sbsS'Escape' +p261 +g2 +(g3 +g4 +(g222 +g235 +g261 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g236 +sbsS'Character' +p265 +g2 +(g3 +g4 +(g222 +g235 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g236 +sbsS'Interp' +p269 +g2 +(g3 +g4 +(g222 +g235 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g236 +sbsS'Backtick' +p273 +g2 +(g3 +g4 +(g222 +g235 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g236 +sbsS'Char' +p277 +g2 +(g3 +g4 +(g222 +g235 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g236 +sbsg30 +g2 +(g3 +g4 +(g222 +g235 +g30 +ttRp281 +(dp282 +g8 +g9 +((ltRp283 +sg15 +g236 +sbsg91 +g2 +(g3 +g4 +(g222 +g235 +g91 +ttRp284 +(dp285 +g8 +g9 +((ltRp286 +sg15 +g236 +sbsS'Doc' +p287 +g2 +(g3 +g4 +(g222 +g235 +g287 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g236 +sbsg8 +g9 +((lp291 +g284 +ag2 +(g3 +g4 +(g222 +g235 +S'Atom' +p292 +ttRp293 +(dp294 +g8 +g9 +((ltRp295 +sg15 +g236 +sbag255 +ag278 +ag270 +ag288 +ag251 +ag274 +ag243 +ag258 +ag247 +ag239 +ag281 +ag266 +ag262 +atRp296 +sg292 +g293 +sbsg15 +g21 +sg221 +g223 +sS'Scalar' +p297 +g2 +(g3 +g4 +(g222 +g297 +ttRp298 +(dp299 +g8 +g9 +((lp300 +g2 +(g3 +g4 +(g222 +g297 +S'Plain' +p301 +ttRp302 +(dp303 +g8 +g9 +((ltRp304 +sg15 +g298 +sbatRp305 +sg15 +g233 +sg301 +g302 +sbsg91 +g2 +(g3 +g4 +(g222 +g91 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g233 +sbsS'Date' +p309 +g2 +(g3 +g4 +(g222 +g309 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g233 +sbsg8 +g9 +((lp313 +g310 +ag236 +ag306 +ag223 +ag298 +atRp314 +sbsS'Decimal' +p315 +g2 +(g3 +g4 +(g222 +g221 +g315 +ttRp316 +(dp317 +g8 +g9 +((ltRp318 +sg15 +g223 +sbsS'Float' +p319 +g2 +(g3 +g4 +(g222 +g221 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g223 +sbsS'Hex' +p323 +g2 +(g3 +g4 +(g222 +g221 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g223 +sbsS'Integer' +p327 +g2 +(g3 +g4 +(g222 +g221 +g327 +ttRp328 +(dp329 +g8 +g9 +((lp330 +g2 +(g3 +g4 +(g222 +g221 +g327 +S'Long' +p331 +ttRp332 +(dp333 +g8 +g9 +((ltRp334 +sg15 +g328 +sbatRp335 +sg331 +g332 +sg15 +g223 +sbsS'Octal' +p336 +g2 +(g3 +g4 +(g222 +g221 +g336 +ttRp337 +(dp338 +g8 +g9 +((ltRp339 +sg15 +g223 +sbsg8 +g9 +((lp340 +g226 +ag230 +ag337 +ag316 +ag2 +(g3 +g4 +(g222 +g221 +S'Oct' +p341 +ttRp342 +(dp343 +g8 +g9 +((ltRp344 +sg15 +g223 +sbag328 +ag320 +ag324 +atRp345 +sg341 +g342 +sbsg222 +g233 +sg91 +g2 +(g3 +g4 +(g91 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g21 +sbsg193 +g2 +(g3 +g4 +(g193 +ttRp349 +(dp350 +g8 +g9 +((ltRp351 +sg15 +g21 +sbsS'Operator' +p352 +g2 +(g3 +g4 +(g352 +ttRp353 +(dp354 +g8 +g9 +((lp355 +g2 +(g3 +g4 +(g352 +S'Word' +p356 +ttRp357 +(dp358 +g8 +g9 +((ltRp359 +sg15 +g353 +sbatRp360 +sg356 +g357 +sg15 +g21 +sbsg8 +g9 +((lp361 +g24 +ag349 +ag167 +ag6 +ag45 +ag212 +ag138 +ag233 +ag353 +ag346 +atRp362 +sg235 +g236 +sbsbV! +tp363 +a(g133 +VProtoObject +p364 +tp365 +a(g6 +V +tp366 +a(g48 +Vsubclass: +p367 +tp368 +a(g6 +V +tp369 +a(g258 +V#Object +p370 +tp371 +a(g6 +V\u000a +p372 +tp373 +a(g48 +VinstanceVariableNames: +p374 +tp375 +a(g6 +V +tp376 +a(g236 +V'' +p377 +tp378 +a(g6 +V\u000a +p379 +tp380 +a(g48 +VclassVariableNames: +p381 +tp382 +a(g6 +V +tp383 +a(g236 +V'DependentsFields' +p384 +tp385 +a(g6 +V\u000a +p386 +tp387 +a(g48 +VpoolDictionaries: +p388 +tp389 +a(g6 +V +tp390 +a(g236 +V'' +p391 +tp392 +a(g6 +V\u000a +p393 +tp394 +a(g48 +Vcategory: +p395 +tp396 +a(g6 +V +tp397 +a(g236 +V'Kernel-Objects' +p398 +tp399 +a(g48 +V! +tp400 +a(g6 +V\u000a\u000a +p401 +tp402 +a(g138 +V! +tp403 +a(g133 +VObject +p404 +tp405 +a(g138 +V methodsFor: +p406 +tp407 +a(g236 +V'*39Deprecated' +p408 +tp409 +a(g138 +V stamp: 'gk 2/24/2004 08:49'! +p410 +tp411 +a(g6 +V\u000a +tp412 +a(g48 +Vbeep +p413 +tp414 +a(g6 +V\u000a +p415 +tp416 +a(g24 +V"Deprecated." +p417 +tp418 +a(g6 +V\u000a \u000a +p419 +tp420 +a(g87 +Vself +p421 +tp422 +a(g6 +V +tp423 +a(g48 +Vdeprecated: +p424 +tp425 +a(g6 +V +tp426 +a(g236 +V'Use Beeper class>>beep instead.' +p427 +tp428 +a(g212 +V. +tp429 +a(g6 +V\u000a +p430 +tp431 +a(g133 +VBeeper +p432 +tp433 +a(g6 +V +tp434 +a(g48 +Vbeep +p435 +tp436 +a(g138 +V! ! +p437 +tp438 +a(g6 +V\u000a\u000a +p439 +tp440 +a(g138 +V! +tp441 +a(g133 +VObject +p442 +tp443 +a(g138 +V methodsFor: +p444 +tp445 +a(g236 +V'*39Deprecated' +p446 +tp447 +a(g138 +V stamp: 'gk 2/24/2004 08:50'! +p448 +tp449 +a(g6 +V\u000a +tp450 +a(g48 +VbeepPrimitive +p451 +tp452 +a(g6 +V\u000a +p453 +tp454 +a(g24 +V"Deprecated. Beep in the absence of sound support." +p455 +tp456 +a(g6 +V\u000a \u000a +p457 +tp458 +a(g87 +Vself +p459 +tp460 +a(g6 +V +tp461 +a(g48 +Vdeprecated: +p462 +tp463 +a(g6 +V +tp464 +a(g236 +V'Use Beeper class>>beep or Beeper class>>beepPrimitive instead.' +p465 +tp466 +a(g212 +V. +tp467 +a(g6 +V\u000a +p468 +tp469 +a(g133 +VBeeper +p470 +tp471 +a(g6 +V +tp472 +a(g48 +VbeepPrimitive +p473 +tp474 +a(g138 +V! ! +p475 +tp476 +a(g6 +V\u000a\u000a +p477 +tp478 +a(g138 +V! +tp479 +a(g133 +VObject +p480 +tp481 +a(g138 +V methodsFor: +p482 +tp483 +a(g236 +V'*39Deprecated' +p484 +tp485 +a(g138 +V stamp: 'md 12/12/2003 17:02'! +p486 +tp487 +a(g6 +V\u000a +tp488 +a(g48 +Vbeep: +p489 +tp490 +a(g6 +V +tp491 +a(g100 +VsoundName +p492 +tp493 +a(g6 +V\u000a +p494 +tp495 +a(g24 +V"Make the given sound, unless the making of sound is disabled in Preferences." +p496 +tp497 +a(g6 +V\u000a\u000a +p498 +tp499 +a(g87 +Vself +p500 +tp501 +a(g6 +V +tp502 +a(g48 +Vdeprecated: +p503 +tp504 +a(g6 +V +tp505 +a(g236 +V'Use SampledSound>>playSoundNamed: instead.' +p506 +tp507 +a(g212 +V. +tp508 +a(g6 +V\u000a +p509 +tp510 +a(g133 +VPreferences +p511 +tp512 +a(g6 +V +tp513 +a(g48 +VsoundsEnabled +p514 +tp515 +a(g6 +V\u000a +p516 +tp517 +a(g84 +VifTrue: +p518 +tp519 +a(g6 +V +tp520 +a(g6 +V[ +tp521 +a(g6 +V +tp522 +a(g87 +Vself +p523 +tp524 +a(g6 +V +tp525 +a(g48 +VplaySoundNamed: +p526 +tp527 +a(g6 +V +tp528 +a(g100 +VsoundName +p529 +tp530 +a(g6 +V] +tp531 +a(g6 +V\u000a +tp532 +a(g138 +V! ! +p533 +tp534 +a(g6 +V\u000a\u000a +p535 +tp536 +a(g138 +V! +tp537 +a(g133 +VObject +p538 +tp539 +a(g138 +V methodsFor: +p540 +tp541 +a(g236 +V'*39Deprecated' +p542 +tp543 +a(g138 +V stamp: 'sd 11/19/2004 16:57'! +p544 +tp545 +a(g6 +V\u000a +tp546 +a(g48 +VcontentsGetz: +p547 +tp548 +a(g6 +V +tp549 +a(g100 +Vx +tp550 +a(g6 +V\u000a +p551 +tp552 +a(g87 +Vself +p553 +tp554 +a(g6 +V +tp555 +a(g48 +Vdeprecated: +p556 +tp557 +a(g6 +V +tp558 +a(g236 +V'there is no method named contents in object and in addition only one sender in a method not called' +p559 +tp560 +a(g212 +V. +tp561 +a(g6 +V \u000a +p562 +tp563 +a(g87 +Vself +p564 +tp565 +a(g6 +V +tp566 +a(g48 +Vcontents: +p567 +tp568 +a(g6 +V +tp569 +a(g100 +Vx +tp570 +a(g138 +V! ! +p571 +tp572 +a(g6 +V\u000a\u000a +p573 +tp574 +a(g138 +V! +tp575 +a(g133 +VObject +p576 +tp577 +a(g138 +V methodsFor: +p578 +tp579 +a(g236 +V'*39Deprecated' +p580 +tp581 +a(g138 +V stamp: 'sd 11/13/2003 21:10'! +p582 +tp583 +a(g6 +V\u000a +tp584 +a(g48 +VdeprecatedExplanation: +p585 +tp586 +a(g6 +V +tp587 +a(g100 +VaString +p588 +tp589 +a(g6 +V\u000a +p590 +tp591 +a(g24 +V"This method is OBSOLETE. Use #deprecated: instead." +p592 +tp593 +a(g6 +V\u000a +p594 +tp595 +a(g87 +Vself +p596 +tp597 +a(g6 +V +tp598 +a(g48 +Vdeprecated: +p599 +tp600 +a(g6 +V +tp601 +a(g236 +V'Use Object>>deprecated: instead of deprecatedExplanation:.' +p602 +tp603 +a(g212 +V. +tp604 +a(g6 +V\u000a\u000a +p605 +tp606 +a(g133 +VPreferences +p607 +tp608 +a(g6 +V +tp609 +a(g48 +VshowDeprecationWarnings +p610 +tp611 +a(g6 +V +tp612 +a(g84 +VifTrue: +p613 +tp614 +a(g6 +V\u000a +p615 +tp616 +a(g6 +V[ +tp617 +a(g6 +V +tp618 +a(g133 +VDeprecation +p619 +tp620 +a(g6 +V +tp621 +a(g48 +Vsignal: +p622 +tp623 +a(g6 +V +tp624 +a(g6 +V( +tp625 +a(g236 +V'{1} has been deprecated. {2}' +p626 +tp627 +a(g6 +V +tp628 +a(g48 +Vtranslated +p629 +tp630 +a(g6 +V +tp631 +a(g48 +Vformat: +p632 +tp633 +a(g6 +V +tp634 +a(g6 +V{ +tp635 +a(g87 +VthisContext +p636 +tp637 +a(g6 +V +tp638 +a(g48 +Vsender +p639 +tp640 +a(g6 +V +tp641 +a(g48 +VprintString +p642 +tp643 +a(g212 +V. +tp644 +a(g6 +V +tp645 +a(g100 +VaString +p646 +tp647 +a(g6 +V} +tp648 +a(g6 +V) +tp649 +a(g6 +V] +tp650 +a(g138 +V! ! +p651 +tp652 +a(g6 +V\u000a\u000a +p653 +tp654 +a(g138 +V! +tp655 +a(g133 +VObject +p656 +tp657 +a(g138 +V methodsFor: +p658 +tp659 +a(g236 +V'*39Deprecated' +p660 +tp661 +a(g138 +V stamp: 'sd 11/13/2003 21:11'! +p662 +tp663 +a(g6 +V\u000a +tp664 +a(g48 +Vdeprecated: +p665 +tp666 +a(g6 +V +tp667 +a(g100 +VaBlock +p668 +tp669 +a(g6 +V +tp670 +a(g48 +Vexplanation: +p671 +tp672 +a(g6 +V +tp673 +a(g100 +VaString +p674 +tp675 +a(g6 +V \u000a +p676 +tp677 +a(g24 +V"This method is OBSOLETE. Use #deprecated:block: instead." +p678 +tp679 +a(g6 +V\u000a +p680 +tp681 +a(g87 +Vself +p682 +tp683 +a(g6 +V +tp684 +a(g48 +Vdeprecated: +p685 +tp686 +a(g6 +V +tp687 +a(g236 +V'Use Object>>deprecated:block: instead of deprecated:explanation:.' +p688 +tp689 +a(g212 +V. +tp690 +a(g6 +V\u000a\u000a +p691 +tp692 +a(g133 +VPreferences +p693 +tp694 +a(g6 +V +tp695 +a(g48 +VshowDeprecationWarnings +p696 +tp697 +a(g6 +V +tp698 +a(g84 +VifTrue: +p699 +tp700 +a(g6 +V\u000a +p701 +tp702 +a(g6 +V[ +tp703 +a(g6 +V +tp704 +a(g133 +VDeprecation +p705 +tp706 +a(g6 +V\u000a +p707 +tp708 +a(g48 +Vsignal: +p709 +tp710 +a(g6 +V +tp711 +a(g6 +V( +tp712 +a(g236 +V'{1} has been deprecated. {2}' +p713 +tp714 +a(g6 +V +tp715 +a(g48 +Vtranslated +p716 +tp717 +a(g6 +V +tp718 +a(g48 +Vformat: +p719 +tp720 +a(g6 +V +tp721 +a(g6 +V{ +tp722 +a(g87 +VthisContext +p723 +tp724 +a(g6 +V +tp725 +a(g48 +Vsender +p726 +tp727 +a(g6 +V +tp728 +a(g48 +VprintString +p729 +tp730 +a(g212 +V. +tp731 +a(g6 +V +tp732 +a(g100 +VaString +p733 +tp734 +a(g6 +V} +tp735 +a(g6 +V) +tp736 +a(g6 +V] +tp737 +a(g212 +V. +tp738 +a(g6 +V\u000a +p739 +tp740 +a(g353 +V^ +tp741 +a(g6 +V +tp742 +a(g100 +VaBlock +p743 +tp744 +a(g6 +V +tp745 +a(g48 +Vvalue +p746 +tp747 +a(g212 +V. +tp748 +a(g6 +V\u000a +tp749 +a(g138 +V! ! +p750 +tp751 +a(g6 +V\u000a\u000a +p752 +tp753 +a(g138 +V! +tp754 +a(g133 +VObject +p755 +tp756 +a(g138 +V methodsFor: +p757 +tp758 +a(g236 +V'*39Deprecated' +p759 +tp760 +a(g138 +V stamp: 'md 12/12/2003 16:25'! +p761 +tp762 +a(g6 +V\u000a +tp763 +a(g48 +VdoIfNotNil: +p764 +tp765 +a(g6 +V +tp766 +a(g100 +VaBlock +p767 +tp768 +a(g6 +V\u000a +p769 +tp770 +a(g87 +Vself +p771 +tp772 +a(g6 +V +tp773 +a(g48 +Vdeprecated: +p774 +tp775 +a(g6 +V +tp776 +a(g236 +V'use ifNotNilDo:' +p777 +tp778 +a(g212 +V. +tp779 +a(g6 +V\u000a +p780 +tp781 +a(g353 +V^ +tp782 +a(g6 +V +tp783 +a(g87 +Vself +p784 +tp785 +a(g6 +V +tp786 +a(g48 +VifNotNilDo: +p787 +tp788 +a(g6 +V +tp789 +a(g100 +VaBlock +p790 +tp791 +a(g6 +V\u000a +tp792 +a(g138 +V! ! +p793 +tp794 +a(g6 +V\u000a\u000a +p795 +tp796 +a(g138 +V! +tp797 +a(g133 +VObject +p798 +tp799 +a(g138 +V methodsFor: +p800 +tp801 +a(g236 +V'*39Deprecated' +p802 +tp803 +a(g138 +V stamp: 'md 11/27/2004 12:20'! +p804 +tp805 +a(g6 +V\u000a +tp806 +a(g48 +VifKindOf: +p807 +tp808 +a(g6 +V +tp809 +a(g100 +VaClass +p810 +tp811 +a(g6 +V +tp812 +a(g48 +VthenDo: +p813 +tp814 +a(g6 +V +tp815 +a(g100 +VaBlock +p816 +tp817 +a(g6 +V\u000a +p818 +tp819 +a(g87 +Vself +p820 +tp821 +a(g6 +V +tp822 +a(g48 +Vdeprecated: +p823 +tp824 +a(g6 +V +tp825 +a(g236 +V'Deprecated. Just use #isKindOf:' +p826 +tp827 +a(g212 +V. +tp828 +a(g6 +V\u000a +p829 +tp830 +a(g353 +V^ +tp831 +a(g6 +V +tp832 +a(g6 +V( +tp833 +a(g87 +Vself +p834 +tp835 +a(g6 +V +tp836 +a(g48 +VisKindOf: +p837 +tp838 +a(g6 +V +tp839 +a(g100 +VaClass +p840 +tp841 +a(g6 +V) +tp842 +a(g6 +V +tp843 +a(g84 +VifTrue: +p844 +tp845 +a(g6 +V +tp846 +a(g6 +V[ +tp847 +a(g6 +V +tp848 +a(g100 +VaBlock +p849 +tp850 +a(g6 +V +tp851 +a(g48 +Vvalue: +p852 +tp853 +a(g6 +V +tp854 +a(g87 +Vself +p855 +tp856 +a(g6 +V] +tp857 +a(g138 +V! ! +p858 +tp859 +a(g6 +V\u000a\u000a +p860 +tp861 +a(g138 +V! +tp862 +a(g133 +VObject +p863 +tp864 +a(g138 +V methodsFor: +p865 +tp866 +a(g236 +V'*39Deprecated' +p867 +tp868 +a(g138 +V stamp: 'gk 2/23/2004 20:51'! +p869 +tp870 +a(g6 +V\u000a +tp871 +a(g48 +VplaySoundNamed: +p872 +tp873 +a(g6 +V +tp874 +a(g100 +VsoundName +p875 +tp876 +a(g6 +V\u000a +p877 +tp878 +a(g24 +V"Deprecated.\u000a Play the sound with the given name." +p879 +tp880 +a(g6 +V\u000a\u000a +p881 +tp882 +a(g87 +Vself +p883 +tp884 +a(g6 +V +tp885 +a(g48 +Vdeprecated: +p886 +tp887 +a(g6 +V +tp888 +a(g236 +V'Use "SoundService default playSoundNamed: aName" instead.' +p889 +tp890 +a(g212 +V. +tp891 +a(g6 +V\u000a +p892 +tp893 +a(g133 +VSoundService +p894 +tp895 +a(g6 +V +tp896 +a(g48 +Vdefault +p897 +tp898 +a(g6 +V +tp899 +a(g48 +VplaySoundNamed: +p900 +tp901 +a(g6 +V +tp902 +a(g100 +VsoundName +p903 +tp904 +a(g138 +V! ! +p905 +tp906 +a(g6 +V\u000a\u000a\u000a +p907 +tp908 +a(g138 +V! +tp909 +a(g133 +VObject +p910 +tp911 +a(g138 +V methodsFor: +p912 +tp913 +a(g236 +V'*Aida' +p914 +tp915 +a(g138 +V stamp: 'JM 4/22/2007 15:23'! +p916 +tp917 +a(g6 +V\u000a +tp918 +a(g48 +VaidaCanBeLocked +p919 +tp920 +a(g6 +V\u000a +p921 +tp922 +a(g24 +V"can we get an exclusive lock on that object (not already locked)?" +p923 +tp924 +a(g6 +V\u000a +p925 +tp926 +a(g353 +V^ +tp927 +a(g87 +Vfalse +p928 +tp929 +a(g138 +V! ! +p930 +tp931 +a(g6 +V\u000a\u000a +p932 +tp933 +a(g138 +V! +tp934 +a(g133 +VObject +p935 +tp936 +a(g138 +V methodsFor: +p937 +tp938 +a(g236 +V'*Aida' +p939 +tp940 +a(g138 +V stamp: 'JM 4/22/2007 15:23'! +p941 +tp942 +a(g6 +V\u000a +tp943 +a(g48 +VaidaDontCache +p944 +tp945 +a(g6 +V\u000a +p946 +tp947 +a(g24 +V"don't cache web content in a browser. Appropriate header is added to http response" +p948 +tp949 +a(g6 +V\u000a +p950 +tp951 +a(g353 +V^ +tp952 +a(g87 +Vfalse +p953 +tp954 +a(g138 +V! ! +p955 +tp956 +a(g6 +V\u000a\u000a +p957 +tp958 +a(g138 +V! +tp959 +a(g133 +VObject +p960 +tp961 +a(g138 +V methodsFor: +p962 +tp963 +a(g236 +V'*Aida' +p964 +tp965 +a(g138 +V stamp: 'JM 4/22/2007 15:24'! +p966 +tp967 +a(g6 +V\u000a +tp968 +a(g48 +VaidaIsLocked +p969 +tp970 +a(g6 +V\u000a +p971 +tp972 +a(g24 +V"is object locked exclusively?" +p973 +tp974 +a(g6 +V\u000a +p975 +tp976 +a(g353 +V^ +tp977 +a(g87 +Vfalse +p978 +tp979 +a(g138 +V! ! +p980 +tp981 +a(g6 +V\u000a\u000a +p982 +tp983 +a(g138 +V! +tp984 +a(g133 +VObject +p985 +tp986 +a(g138 +V methodsFor: +p987 +tp988 +a(g236 +V'*Aida' +p989 +tp990 +a(g138 +V stamp: 'JM 4/22/2007 15:24'! +p991 +tp992 +a(g6 +V\u000a +tp993 +a(g48 +VaidaLock +p994 +tp995 +a(g6 +V\u000a +p996 +tp997 +a(g24 +V"get an exclusive lock on that object. Until unlocked, noon else can get that lock. Return false if already locked, true if successfull" +p998 +tp999 +a(g6 +V\u000a +p1000 +tp1001 +a(g353 +V^ +tp1002 +a(g87 +Vfalse +p1003 +tp1004 +a(g138 +V! ! +p1005 +tp1006 +a(g6 +V\u000a\u000a +p1007 +tp1008 +a(g138 +V! +tp1009 +a(g133 +VObject +p1010 +tp1011 +a(g138 +V methodsFor: +p1012 +tp1013 +a(g236 +V'*Aida' +p1014 +tp1015 +a(g138 +V stamp: 'JM 4/22/2007 15:24'! +p1016 +tp1017 +a(g6 +V\u000a +tp1018 +a(g48 +VaidaUnlock +p1019 +tp1020 +a(g6 +V\u000a +p1021 +tp1022 +a(g24 +V"release an exclusive lock if any" +p1023 +tp1024 +a(g6 +V\u000a +p1025 +tp1026 +a(g353 +V^ +tp1027 +a(g87 +Vtrue +p1028 +tp1029 +a(g138 +V! ! +p1030 +tp1031 +a(g6 +V\u000a\u000a +p1032 +tp1033 +a(g138 +V! +tp1034 +a(g133 +VObject +p1035 +tp1036 +a(g138 +V methodsFor: +p1037 +tp1038 +a(g236 +V'*Aida' +p1039 +tp1040 +a(g138 +V stamp: 'JM 4/22/2007 15:24'! +p1041 +tp1042 +a(g6 +V\u000a +tp1043 +a(g48 +Vapp +p1044 +tp1045 +a(g6 +V\u000a +p1046 +tp1047 +a(g24 +V"fastest and most convinient way to find a web app for that object" +p1048 +tp1049 +a(g6 +V\u000a +p1050 +tp1051 +a(g353 +V^ +tp1052 +a(g87 +Vself +p1053 +tp1054 +a(g6 +V +tp1055 +a(g48 +VwebAppFor: +p1056 +tp1057 +a(g6 +V +tp1058 +a(g87 +Vself +p1059 +tp1060 +a(g6 +V +tp1061 +a(g48 +VfirstSessionFromStack +p1062 +tp1063 +a(g138 +V! ! +p1064 +tp1065 +a(g6 +V\u000a\u000a +p1066 +tp1067 +a(g138 +V! +tp1068 +a(g133 +VObject +p1069 +tp1070 +a(g138 +V methodsFor: +p1071 +tp1072 +a(g236 +V'*Aida' +p1073 +tp1074 +a(g138 +V stamp: 'JM 4/22/2007 15:25'! +p1075 +tp1076 +a(g6 +V\u000a +tp1077 +a(g48 +VcontentType +p1078 +tp1079 +a(g6 +V\u000a +tp1080 +a(g6 +V\u000a +p1081 +tp1082 +a(g24 +V"Janko Mivsek, apr98" +p1083 +tp1084 +a(g6 +V\u000a +p1085 +tp1086 +a(g24 +V"return 'text/html' as content type for web pages" +p1087 +tp1088 +a(g6 +V\u000a\u000a +p1089 +tp1090 +a(g353 +V^ +tp1091 +a(g236 +V'text/html' +p1092 +tp1093 +a(g138 +V! ! +p1094 +tp1095 +a(g6 +V\u000a\u000a +p1096 +tp1097 +a(g138 +V! +tp1098 +a(g133 +VObject +p1099 +tp1100 +a(g138 +V methodsFor: +p1101 +tp1102 +a(g236 +V'*Aida' +p1103 +tp1104 +a(g138 +V stamp: 'JM 4/22/2007 15:25'! +p1105 +tp1106 +a(g6 +V\u000a +tp1107 +a(g48 +VdeepSearchOfClass: +p1108 +tp1109 +a(g6 +V +tp1110 +a(g100 +VaClassName +p1111 +tp1112 +a(g6 +V\u000a +p1113 +tp1114 +a(g24 +V"finf all objects of that class down in object hierarchy" +p1115 +tp1116 +a(g6 +V\u000a +p1117 +tp1118 +a(g353 +V| +tp1119 +a(g100 +V objectDictionary class +p1120 +tp1121 +a(g353 +V| +tp1122 +a(g6 +V\u000a +p1123 +tp1124 +a(g100 +VobjectDictionary +p1125 +tp1126 +a(g6 +V +tp1127 +a(g353 +V:= +p1128 +tp1129 +a(g6 +V +tp1130 +a(g133 +VIdentityDictionary +p1131 +tp1132 +a(g6 +V +tp1133 +a(g84 +Vnew +p1134 +tp1135 +a(g212 +V. +tp1136 +a(g6 +V\u000a +p1137 +tp1138 +a(g87 +Vself +p1139 +tp1140 +a(g6 +V +tp1141 +a(g48 +VdeepCopyNotIn: +p1142 +tp1143 +a(g6 +V +tp1144 +a(g100 +VobjectDictionary +p1145 +tp1146 +a(g212 +V. +tp1147 +a(g6 +V\u000a +p1148 +tp1149 +a(g100 +Vclass +p1150 +tp1151 +a(g6 +V +tp1152 +a(g353 +V:= +p1153 +tp1154 +a(g6 +V +tp1155 +a(g100 +VaClassName +p1156 +tp1157 +a(g6 +V +tp1158 +a(g48 +VasSymbol +p1159 +tp1160 +a(g212 +V. +tp1161 +a(g6 +V\u000a +p1162 +tp1163 +a(g353 +V^ +tp1164 +a(g100 +VobjectDictionary +p1165 +tp1166 +a(g6 +V +tp1167 +a(g48 +Vkeys +p1168 +tp1169 +a(g6 +V +tp1170 +a(g48 +Vselect: +p1171 +tp1172 +a(g6 +V +tp1173 +a(g6 +V[ +tp1174 +a(g353 +V: +tp1175 +a(g100 +Veach +p1176 +tp1177 +a(g6 +V +tp1178 +a(g353 +V| +tp1179 +a(g6 +V +tp1180 +a(g100 +Veach +p1181 +tp1182 +a(g6 +V +tp1183 +a(g48 +Vclass +p1184 +tp1185 +a(g6 +V +tp1186 +a(g48 +Vname +p1187 +tp1188 +a(g6 +V +tp1189 +a(g48 +V= +tp1190 +a(g6 +V +tp1191 +a(g100 +Vclass +p1192 +tp1193 +a(g6 +V] +tp1194 +a(g212 +V. +tp1195 +a(g138 +V! ! +p1196 +tp1197 +a(g6 +V\u000a\u000a +p1198 +tp1199 +a(g138 +V! +tp1200 +a(g133 +VObject +p1201 +tp1202 +a(g138 +V methodsFor: +p1203 +tp1204 +a(g236 +V'*Aida' +p1205 +tp1206 +a(g138 +V stamp: 'JM 4/22/2007 15:26'! +p1207 +tp1208 +a(g6 +V\u000a +tp1209 +a(g48 +VdeepSearchOfObsoleteClasses +p1210 +tp1211 +a(g6 +V\u000a +p1212 +tp1213 +a(g24 +V"find all objects of obsolete classes down in object hierarchy" +p1214 +tp1215 +a(g6 +V\u000a +p1216 +tp1217 +a(g353 +V| +tp1218 +a(g100 +V objectDictionary +p1219 +tp1220 +a(g353 +V| +tp1221 +a(g6 +V\u000a +p1222 +tp1223 +a(g100 +VobjectDictionary +p1224 +tp1225 +a(g6 +V +tp1226 +a(g353 +V:= +p1227 +tp1228 +a(g6 +V +tp1229 +a(g133 +VIdentityDictionary +p1230 +tp1231 +a(g6 +V +tp1232 +a(g84 +Vnew +p1233 +tp1234 +a(g212 +V. +tp1235 +a(g6 +V\u000a +p1236 +tp1237 +a(g87 +Vself +p1238 +tp1239 +a(g6 +V +tp1240 +a(g48 +VdeepCopyNotIn: +p1241 +tp1242 +a(g6 +V +tp1243 +a(g100 +VobjectDictionary +p1244 +tp1245 +a(g212 +V. +tp1246 +a(g6 +V\u000a +p1247 +tp1248 +a(g353 +V^ +tp1249 +a(g100 +VobjectDictionary +p1250 +tp1251 +a(g6 +V +tp1252 +a(g48 +Vkeys +p1253 +tp1254 +a(g6 +V +tp1255 +a(g48 +Vselect: +p1256 +tp1257 +a(g6 +V +tp1258 +a(g6 +V[ +tp1259 +a(g353 +V: +tp1260 +a(g100 +Veach +p1261 +tp1262 +a(g6 +V +tp1263 +a(g353 +V| +tp1264 +a(g6 +V +tp1265 +a(g100 +Veach +p1266 +tp1267 +a(g6 +V +tp1268 +a(g48 +Vclass +p1269 +tp1270 +a(g6 +V +tp1271 +a(g48 +VisObsolete +p1272 +tp1273 +a(g6 +V] +tp1274 +a(g212 +V. +tp1275 +a(g138 +V! ! +p1276 +tp1277 +a(g6 +V\u000a\u000a +p1278 +tp1279 +a(g138 +V! +tp1280 +a(g133 +VObject +p1281 +tp1282 +a(g138 +V methodsFor: +p1283 +tp1284 +a(g236 +V'*Aida' +p1285 +tp1286 +a(g138 +V stamp: 'JM 4/22/2007 15:26'! +p1287 +tp1288 +a(g6 +V\u000a +tp1289 +a(g48 +VexpiresTimestamp +p1290 +tp1291 +a(g6 +V\u000a +p1292 +tp1293 +a(g24 +V"until when content of this object wont be changed" +p1294 +tp1295 +a(g6 +V\u000a +p1296 +tp1297 +a(g24 +V"used in http response, override if you like to be included" +p1298 +tp1299 +a(g6 +V\u000a +p1300 +tp1301 +a(g353 +V^ +tp1302 +a(g87 +Vself +p1303 +tp1304 +a(g6 +V +tp1305 +a(g48 +VmodifiedTimestamp +p1306 +tp1307 +a(g6 +V +p1308 +tp1309 +a(g24 +V"to reload pages immediately" +p1310 +tp1311 +a(g138 +V! ! +p1312 +tp1313 +a(g6 +V\u000a\u000a +p1314 +tp1315 +a(g138 +V! +tp1316 +a(g133 +VObject +p1317 +tp1318 +a(g138 +V methodsFor: +p1319 +tp1320 +a(g236 +V'*Aida' +p1321 +tp1322 +a(g138 +V stamp: 'JM 4/22/2007 15:26'! +p1323 +tp1324 +a(g6 +V\u000a +tp1325 +a(g48 +VfirstAppFromStack +p1326 +tp1327 +a(g6 +V\u000a +p1328 +tp1329 +a(g24 +V"try to find a first sender up in calling stack, who is WebApplication" +p1330 +tp1331 +a(g6 +V\u000a +p1332 +tp1333 +a(g353 +V| +tp1334 +a(g100 +V context +p1335 +tp1336 +a(g353 +V| +tp1337 +a(g6 +V\u000a +p1338 +tp1339 +a(g100 +Vcontext +p1340 +tp1341 +a(g6 +V +tp1342 +a(g353 +V:= +p1343 +tp1344 +a(g6 +V +tp1345 +a(g87 +VthisContext +p1346 +tp1347 +a(g212 +V. +tp1348 +a(g6 +V\u000a +p1349 +tp1350 +a(g6 +V[ +tp1351 +a(g6 +V +tp1352 +a(g100 +Vcontext +p1353 +tp1354 +a(g6 +V +tp1355 +a(g48 +VnotNil +p1356 +tp1357 +a(g6 +V] +tp1358 +a(g6 +V +tp1359 +a(g84 +VwhileTrue: +p1360 +tp1361 +a(g6 +V +tp1362 +a(g6 +V[ +tp1363 +a(g6 +V\u000a +p1364 +tp1365 +a(g6 +V +tp1366 +a(g6 +V( +tp1367 +a(g100 +Vcontext +p1368 +tp1369 +a(g6 +V +tp1370 +a(g48 +Vreceiver +p1371 +tp1372 +a(g6 +V +tp1373 +a(g48 +VisKindOf: +p1374 +tp1375 +a(g6 +V +tp1376 +a(g133 +VWebApplication +p1377 +tp1378 +a(g6 +V) +tp1379 +a(g6 +V +tp1380 +a(g84 +VifTrue: +p1381 +tp1382 +a(g6 +V +tp1383 +a(g6 +V[ +tp1384 +a(g6 +V +tp1385 +a(g353 +V^ +tp1386 +a(g100 +Vcontext +p1387 +tp1388 +a(g6 +V +tp1389 +a(g48 +Vreceiver +p1390 +tp1391 +a(g6 +V] +tp1392 +a(g212 +V. +tp1393 +a(g6 +V\u000a +p1394 +tp1395 +a(g100 +Vcontext +p1396 +tp1397 +a(g6 +V +tp1398 +a(g353 +V:= +p1399 +tp1400 +a(g6 +V +tp1401 +a(g100 +Vcontext +p1402 +tp1403 +a(g6 +V +tp1404 +a(g48 +Vsender +p1405 +tp1406 +a(g6 +V] +tp1407 +a(g212 +V. +tp1408 +a(g6 +V\u000a +p1409 +tp1410 +a(g353 +V^ +tp1411 +a(g87 +Vself +p1412 +tp1413 +a(g6 +V +tp1414 +a(g48 +VfirstSessionFromStack +p1415 +tp1416 +a(g6 +V +tp1417 +a(g48 +VlastApp +p1418 +tp1419 +a(g138 +V! ! +p1420 +tp1421 +a(g6 +V\u000a\u000a +p1422 +tp1423 +a(g138 +V! +tp1424 +a(g133 +VObject +p1425 +tp1426 +a(g138 +V methodsFor: +p1427 +tp1428 +a(g236 +V'*Aida' +p1429 +tp1430 +a(g138 +V stamp: 'JM 4/25/2007 21:34'! +p1431 +tp1432 +a(g6 +V\u000a +tp1433 +a(g48 +VfirstSessionFromStack +p1434 +tp1435 +a(g6 +V\u000a +p1436 +tp1437 +a(g24 +V"try to find a first sender up in calling stack, who is AIDASite and get session if that call" +p1438 +tp1439 +a(g6 +V\u000a +p1440 +tp1441 +a(g353 +V| +tp1442 +a(g100 +V context +p1443 +tp1444 +a(g353 +V| +tp1445 +a(g6 +V\u000a +p1446 +tp1447 +a(g100 +Vcontext +p1448 +tp1449 +a(g6 +V +tp1450 +a(g353 +V:= +p1451 +tp1452 +a(g6 +V +tp1453 +a(g87 +VthisContext +p1454 +tp1455 +a(g212 +V. +tp1456 +a(g6 +V\u000a +p1457 +tp1458 +a(g6 +V[ +tp1459 +a(g6 +V +tp1460 +a(g100 +Vcontext +p1461 +tp1462 +a(g6 +V +tp1463 +a(g48 +VnotNil +p1464 +tp1465 +a(g6 +V] +tp1466 +a(g6 +V +tp1467 +a(g84 +VwhileTrue: +p1468 +tp1469 +a(g6 +V +tp1470 +a(g6 +V[ +tp1471 +a(g6 +V\u000a +p1472 +tp1473 +a(g6 +V +tp1474 +a(g6 +V( +tp1475 +a(g100 +Vcontext +p1476 +tp1477 +a(g6 +V +tp1478 +a(g48 +Vreceiver +p1479 +tp1480 +a(g6 +V +tp1481 +a(g48 +VisKindOf: +p1482 +tp1483 +a(g6 +V +tp1484 +a(g133 +VAIDASite +p1485 +tp1486 +a(g6 +V) +tp1487 +a(g6 +V +tp1488 +a(g84 +VifTrue: +p1489 +tp1490 +a(g6 +V +p1491 +tp1492 +a(g6 +V[ +tp1493 +a(g6 +V +tp1494 +a(g353 +V^ +tp1495 +a(g6 +V( +tp1496 +a(g100 +Vcontext +p1497 +tp1498 +a(g6 +V +tp1499 +a(g48 +Vat: +p1500 +tp1501 +a(g6 +V +tp1502 +a(g223 +V3 +tp1503 +a(g6 +V) +tp1504 +a(g6 +V +tp1505 +a(g24 +V"always?" +p1506 +tp1507 +a(g6 +V] +tp1508 +a(g212 +V. +tp1509 +a(g6 +V\u000a +p1510 +tp1511 +a(g100 +Vcontext +p1512 +tp1513 +a(g6 +V +tp1514 +a(g353 +V:= +p1515 +tp1516 +a(g6 +V +tp1517 +a(g100 +Vcontext +p1518 +tp1519 +a(g6 +V +tp1520 +a(g48 +Vsender +p1521 +tp1522 +a(g6 +V] +tp1523 +a(g212 +V. +tp1524 +a(g6 +V\u000a +p1525 +tp1526 +a(g353 +V^ +tp1527 +a(g87 +Vnil +p1528 +tp1529 +a(g138 +V! ! +p1530 +tp1531 +a(g6 +V\u000a\u000a +p1532 +tp1533 +a(g138 +V! +tp1534 +a(g133 +VObject +p1535 +tp1536 +a(g138 +V methodsFor: +p1537 +tp1538 +a(g236 +V'*Aida' +p1539 +tp1540 +a(g138 +V stamp: 'mivsek 1/10/2008 18:14'! +p1541 +tp1542 +a(g6 +V\u000a +tp1543 +a(g48 +VforLanguage: +p1544 +tp1545 +a(g6 +V +tp1546 +a(g100 +VaLanguageCodeSymbol +p1547 +tp1548 +a(g6 +V\u000a +p1549 +tp1550 +a(g24 +V"for multilingual support: returns an apropriate instance of itself for that language. \u000a Langage is defined by ISO 639 2-letter language code, see \u000a http://en.wikipedia.org/wiki/List_of_ISO_639-1_codes" +p1551 +tp1552 +a(g6 +V\u000a +p1553 +tp1554 +a(g353 +V^ +tp1555 +a(g87 +Vfalse +p1556 +tp1557 +a(g138 +V! ! +p1558 +tp1559 +a(g6 +V\u000a\u000a +p1560 +tp1561 +a(g138 +V! +tp1562 +a(g133 +VObject +p1563 +tp1564 +a(g138 +V methodsFor: +p1565 +tp1566 +a(g236 +V'*Aida' +p1567 +tp1568 +a(g138 +V stamp: 'mivsek 1/10/2008 18:14'! +p1569 +tp1570 +a(g6 +V\u000a +tp1571 +a(g48 +VisMultilingual +p1572 +tp1573 +a(g6 +V\u000a +p1574 +tp1575 +a(g24 +V"for multilingual support: override this if your domain object responds \u000a to #forLanguage: and returns an apropriate instance of itself for that language" +p1576 +tp1577 +a(g6 +V\u000a +p1578 +tp1579 +a(g353 +V^ +tp1580 +a(g87 +Vfalse +p1581 +tp1582 +a(g138 +V! ! +p1583 +tp1584 +a(g6 +V\u000a\u000a +p1585 +tp1586 +a(g138 +V! +tp1587 +a(g133 +VObject +p1588 +tp1589 +a(g138 +V methodsFor: +p1590 +tp1591 +a(g236 +V'*Aida' +p1592 +tp1593 +a(g138 +V stamp: 'JM 4/22/2007 15:27'! +p1594 +tp1595 +a(g6 +V\u000a +tp1596 +a(g48 +VisVersionedObject +p1597 +tp1598 +a(g6 +V\u000a +p1599 +tp1600 +a(g353 +V^ +tp1601 +a(g87 +Vfalse +p1602 +tp1603 +a(g138 +V! ! +p1604 +tp1605 +a(g6 +V\u000a\u000a +p1606 +tp1607 +a(g138 +V! +tp1608 +a(g133 +VObject +p1609 +tp1610 +a(g138 +V methodsFor: +p1611 +tp1612 +a(g236 +V'*Aida' +p1613 +tp1614 +a(g138 +V stamp: 'JM 4/22/2007 15:27'! +p1615 +tp1616 +a(g6 +V\u000a +tp1617 +a(g48 +VisWebApplication +p1618 +tp1619 +a(g6 +V\u000a +p1620 +tp1621 +a(g353 +V^ +tp1622 +a(g87 +Vfalse +p1623 +tp1624 +a(g138 +V! ! +p1625 +tp1626 +a(g6 +V\u000a\u000a +p1627 +tp1628 +a(g138 +V! +tp1629 +a(g133 +VObject +p1630 +tp1631 +a(g138 +V methodsFor: +p1632 +tp1633 +a(g236 +V'*Aida' +p1634 +tp1635 +a(g138 +V stamp: 'JM 4/22/2007 15:27'! +p1636 +tp1637 +a(g6 +V\u000a +tp1638 +a(g48 +VisWebStyle +p1639 +tp1640 +a(g6 +V\u000a +p1641 +tp1642 +a(g353 +V^ +tp1643 +a(g87 +Vfalse +p1644 +tp1645 +a(g138 +V! ! +p1646 +tp1647 +a(g6 +V\u000a\u000a +p1648 +tp1649 +a(g138 +V! +tp1650 +a(g133 +VObject +p1651 +tp1652 +a(g138 +V methodsFor: +p1653 +tp1654 +a(g236 +V'*Aida' +p1655 +tp1656 +a(g138 +V stamp: 'JM 4/22/2007 15:27'! +p1657 +tp1658 +a(g6 +V\u000a +tp1659 +a(g48 +VmodifiedTimestamp +p1660 +tp1661 +a(g6 +V\u000a +p1662 +tp1663 +a(g24 +V"when this object was last modified" +p1664 +tp1665 +a(g6 +V\u000a +p1666 +tp1667 +a(g24 +V"used in http response, override if you like to be included" +p1668 +tp1669 +a(g6 +V\u000a +p1670 +tp1671 +a(g353 +V^ +tp1672 +a(g87 +Vnil +p1673 +tp1674 +a(g138 +V! ! +p1675 +tp1676 +a(g6 +V\u000a\u000a +p1677 +tp1678 +a(g138 +V! +tp1679 +a(g133 +VObject +p1680 +tp1681 +a(g138 +V methodsFor: +p1682 +tp1683 +a(g236 +V'*Aida' +p1684 +tp1685 +a(g138 +V stamp: 'JM 4/22/2007 15:28'! +p1686 +tp1687 +a(g6 +V\u000a +tp1688 +a(g48 +VpreferedUrl +p1689 +tp1690 +a(g6 +V\u000a +p1691 +tp1692 +a(g24 +V"override with a suggestion for url of this method!! If not already used, \u000a it will be considered by URLResolver during automatic url generation" +p1693 +tp1694 +a(g6 +V\u000a +p1695 +tp1696 +a(g353 +V^ +tp1697 +a(g87 +Vnil +p1698 +tp1699 +a(g138 +V! ! +p1700 +tp1701 +a(g6 +V\u000a\u000a +p1702 +tp1703 +a(g138 +V! +tp1704 +a(g133 +VObject +p1705 +tp1706 +a(g138 +V methodsFor: +p1707 +tp1708 +a(g236 +V'*Aida' +p1709 +tp1710 +a(g138 +V stamp: 'JM 4/22/2007 15:28'! +p1711 +tp1712 +a(g6 +V\u000a +tp1713 +a(g48 +VprintWebAppNotFoundFor: +p1714 +tp1715 +a(g6 +V +tp1716 +a(g100 +VaSession +p1717 +tp1718 +a(g6 +V \u000a +p1719 +tp1720 +a(g353 +V| +tp1721 +a(g100 +V page +p1722 +tp1723 +a(g353 +V| +tp1724 +a(g6 +V\u000a +p1725 +tp1726 +a(g100 +Vpage +p1727 +tp1728 +a(g6 +V +tp1729 +a(g353 +V:= +p1730 +tp1731 +a(g6 +V +tp1732 +a(g133 +VWebPage +p1733 +tp1734 +a(g6 +V +tp1735 +a(g84 +Vnew +p1736 +tp1737 +a(g212 +V. +tp1738 +a(g6 +V\u000a +p1739 +tp1740 +a(g100 +Vpage +p1741 +tp1742 +a(g6 +V +tp1743 +a(g48 +VaddText: +p1744 +tp1745 +a(g6 +V +tp1746 +a(g236 +V'Cannot find aWebApplication for object a' +p1747 +tp1748 +a(g48 +V, +tp1749 +a(g6 +V +tp1750 +a(g87 +Vself +p1751 +tp1752 +a(g6 +V +tp1753 +a(g48 +Vclass +p1754 +tp1755 +a(g6 +V +tp1756 +a(g48 +Vname +p1757 +tp1758 +a(g212 +V. +tp1759 +a(g6 +V\u000a +p1760 +tp1761 +a(g353 +V^ +tp1762 +a(g100 +Vpage +p1763 +tp1764 +a(g138 +V! ! +p1765 +tp1766 +a(g6 +V\u000a\u000a +p1767 +tp1768 +a(g138 +V! +tp1769 +a(g133 +VObject +p1770 +tp1771 +a(g138 +V methodsFor: +p1772 +tp1773 +a(g236 +V'*Aida' +p1774 +tp1775 +a(g138 +V stamp: 'JM 4/22/2007 15:28'! +p1776 +tp1777 +a(g6 +V\u000a +tp1778 +a(g48 +VprintWebPageFor: +p1779 +tp1780 +a(g6 +V +tp1781 +a(g100 +VaSession +p1782 +tp1783 +a(g6 +V \u000a +p1784 +tp1785 +a(g24 +V"find appropriate web application to represent self as web page" +p1786 +tp1787 +a(g6 +V\u000a\u000a +p1788 +tp1789 +a(g353 +V| +tp1790 +a(g100 +V webApp +p1791 +tp1792 +a(g353 +V| +tp1793 +a(g6 +V\u000a +p1794 +tp1795 +a(g100 +VwebApp +p1796 +tp1797 +a(g6 +V +tp1798 +a(g353 +V:= +p1799 +tp1800 +a(g6 +V +tp1801 +a(g87 +Vself +p1802 +tp1803 +a(g6 +V +tp1804 +a(g48 +VwebAppFor: +p1805 +tp1806 +a(g6 +V +tp1807 +a(g100 +VaSession +p1808 +tp1809 +a(g212 +V. +tp1810 +a(g6 +V\u000a +p1811 +tp1812 +a(g353 +V^ +tp1813 +a(g100 +VwebApp +p1814 +tp1815 +a(g6 +V +tp1816 +a(g48 +VnotNil +p1817 +tp1818 +a(g6 +V \u000a +p1819 +tp1820 +a(g84 +VifTrue: +p1821 +tp1822 +a(g6 +V +tp1823 +a(g6 +V[ +tp1824 +a(g6 +V +tp1825 +a(g100 +VwebApp +p1826 +tp1827 +a(g6 +V +tp1828 +a(g48 +VprintWebPage +p1829 +tp1830 +a(g6 +V] +tp1831 +a(g6 +V\u000a +p1832 +tp1833 +a(g84 +VifFalse: +p1834 +tp1835 +a(g6 +V +tp1836 +a(g6 +V[ +tp1837 +a(g6 +V +tp1838 +a(g87 +Vself +p1839 +tp1840 +a(g6 +V +tp1841 +a(g48 +VprintWebAppNotFoundFor: +p1842 +tp1843 +a(g6 +V +tp1844 +a(g100 +VaSession +p1845 +tp1846 +a(g6 +V] +tp1847 +a(g138 +V! ! +p1848 +tp1849 +a(g6 +V\u000a\u000a +p1850 +tp1851 +a(g138 +V! +tp1852 +a(g133 +VObject +p1853 +tp1854 +a(g138 +V methodsFor: +p1855 +tp1856 +a(g236 +V'*Aida' +p1857 +tp1858 +a(g138 +V stamp: 'JM 4/22/2007 15:29'! +p1859 +tp1860 +a(g6 +V\u000a +tp1861 +a(g48 +VsendOver: +p1862 +tp1863 +a(g6 +V +tp1864 +a(g100 +VaStream +p1865 +tp1866 +a(g6 +V \u000a +p1867 +tp1868 +a(g24 +V"from Wiki rendering" +p1869 +tp1870 +a(g6 +V\u000a +p1871 +tp1872 +a(g87 +Vself +p1873 +tp1874 +a(g6 +V +tp1875 +a(g48 +VprintOn: +p1876 +tp1877 +a(g6 +V +tp1878 +a(g100 +VaStream +p1879 +tp1880 +a(g138 +V! ! +p1881 +tp1882 +a(g6 +V\u000a\u000a +p1883 +tp1884 +a(g138 +V! +tp1885 +a(g133 +VObject +p1886 +tp1887 +a(g138 +V methodsFor: +p1888 +tp1889 +a(g236 +V'*Aida' +p1890 +tp1891 +a(g138 +V stamp: 'JM 4/22/2007 15:29'! +p1892 +tp1893 +a(g6 +V\u000a +tp1894 +a(g48 +VwebAppFor: +p1895 +tp1896 +a(g6 +V +tp1897 +a(g100 +VaSession +p1898 +tp1899 +a(g6 +V\u000a +p1900 +tp1901 +a(g353 +V| +tp1902 +a(g100 +V webApp +p1903 +tp1904 +a(g353 +V| +tp1905 +a(g6 +V\u000a +p1906 +tp1907 +a(g100 +VaSession +p1908 +tp1909 +a(g6 +V +tp1910 +a(g48 +VisNil +p1911 +tp1912 +a(g6 +V +tp1913 +a(g84 +VifTrue: +p1914 +tp1915 +a(g6 +V +tp1916 +a(g6 +V[ +tp1917 +a(g6 +V +tp1918 +a(g353 +V^ +tp1919 +a(g87 +Vnil +p1920 +tp1921 +a(g6 +V] +tp1922 +a(g212 +V. +tp1923 +a(g6 +V\u000a +p1924 +tp1925 +a(g100 +VwebApp +p1926 +tp1927 +a(g6 +V +tp1928 +a(g353 +V:= +p1929 +tp1930 +a(g6 +V +tp1931 +a(g100 +VaSession +p1932 +tp1933 +a(g6 +V +tp1934 +a(g48 +VwebAppFor: +p1935 +tp1936 +a(g6 +V +tp1937 +a(g87 +Vself +p1938 +tp1939 +a(g212 +V. +tp1940 +a(g6 +V\u000a +p1941 +tp1942 +a(g100 +VwebApp +p1943 +tp1944 +a(g6 +V +tp1945 +a(g48 +VnotNil +p1946 +tp1947 +a(g6 +V +tp1948 +a(g84 +VifTrue: +p1949 +tp1950 +a(g6 +V +tp1951 +a(g6 +V[ +tp1952 +a(g6 +V +tp1953 +a(g353 +V^ +tp1954 +a(g100 +VwebApp +p1955 +tp1956 +a(g6 +V] +tp1957 +a(g212 +V. +tp1958 +a(g6 +V\u000a +p1959 +tp1960 +a(g100 +VwebApp +p1961 +tp1962 +a(g6 +V +tp1963 +a(g353 +V:= +p1964 +tp1965 +a(g6 +V +tp1966 +a(g133 +VWebApplication +p1967 +tp1968 +a(g6 +V +tp1969 +a(g48 +VnewFor: +p1970 +tp1971 +a(g6 +V +tp1972 +a(g87 +Vself +p1973 +tp1974 +a(g6 +V +tp1975 +a(g48 +Von: +p1976 +tp1977 +a(g6 +V +tp1978 +a(g100 +VaSession +p1979 +tp1980 +a(g212 +V. +tp1981 +a(g6 +V\u000a +p1982 +tp1983 +a(g100 +VwebApp +p1984 +tp1985 +a(g6 +V +tp1986 +a(g48 +VnotNil +p1987 +tp1988 +a(g6 +V +tp1989 +a(g84 +VifTrue: +p1990 +tp1991 +a(g6 +V +tp1992 +a(g6 +V[ +tp1993 +a(g6 +V +tp1994 +a(g100 +VaSession +p1995 +tp1996 +a(g6 +V +tp1997 +a(g48 +VaddWebApp: +p1998 +tp1999 +a(g6 +V +tp2000 +a(g100 +VwebApp +p2001 +tp2002 +a(g6 +V +tp2003 +a(g48 +Vfor: +p2004 +tp2005 +a(g6 +V +tp2006 +a(g87 +Vself +p2007 +tp2008 +a(g6 +V] +tp2009 +a(g212 +V. +tp2010 +a(g6 +V\u000a +p2011 +tp2012 +a(g353 +V^ +tp2013 +a(g100 +VwebApp +p2014 +tp2015 +a(g138 +V! ! +p2016 +tp2017 +a(g6 +V\u000a\u000a\u000a +p2018 +tp2019 +a(g138 +V! +tp2020 +a(g133 +VObject +p2021 +tp2022 +a(g138 +V methodsFor: +p2023 +tp2024 +a(g236 +V'*DynamicBindings' +p2025 +tp2026 +a(g138 +V stamp: 'svp 3/6/2003 16:08'! +p2027 +tp2028 +a(g6 +V\u000a +tp2029 +a(g48 +Vbinding +p2030 +tp2031 +a(g6 +V\u000a +p2032 +tp2033 +a(g24 +V"Answer the DynamicBinding for the receiver (if any)" +p2034 +tp2035 +a(g6 +V\u000a\u000a +p2036 +tp2037 +a(g353 +V^ +tp2038 +a(g133 +VBindings +p2039 +tp2040 +a(g6 +V +tp2041 +a(g48 +VbindingFor: +p2042 +tp2043 +a(g6 +V +tp2044 +a(g87 +Vself +p2045 +tp2046 +a(g6 +V +tp2047 +a(g48 +VifNotBound: +p2048 +tp2049 +a(g6 +V +tp2050 +a(g6 +V[ +tp2051 +a(g6 +V +tp2052 +a(g87 +Vnil +p2053 +tp2054 +a(g6 +V] +tp2055 +a(g138 +V! ! +p2056 +tp2057 +a(g6 +V\u000a\u000a +p2058 +tp2059 +a(g138 +V! +tp2060 +a(g133 +VObject +p2061 +tp2062 +a(g138 +V methodsFor: +p2063 +tp2064 +a(g236 +V'*DynamicBindings' +p2065 +tp2066 +a(g138 +V stamp: 'svp 4/29/2003 00:35'! +p2067 +tp2068 +a(g6 +V\u000a +tp2069 +a(g48 +Vbinding: +p2070 +tp2071 +a(g6 +V +tp2072 +a(g100 +VanObject +p2073 +tp2074 +a(g6 +V\u000a +p2075 +tp2076 +a(g24 +V"Set the dynamic binding for the receiver, if anObject is nil, then \u000a remove the receiver's dynamic binding (if any)" +p2077 +tp2078 +a(g6 +V\u000a\u000a +p2079 +tp2080 +a(g353 +V^ +tp2081 +a(g100 +VanObject +p2082 +tp2083 +a(g6 +V \u000a +p2084 +tp2085 +a(g48 +VifNil: +p2086 +tp2087 +a(g6 +V +tp2088 +a(g6 +V[ +tp2089 +a(g6 +V +tp2090 +a(g87 +Vself +p2091 +tp2092 +a(g6 +V +tp2093 +a(g48 +VremoveBinding +p2094 +tp2095 +a(g6 +V] +tp2096 +a(g6 +V\u000a +p2097 +tp2098 +a(g48 +VifNotNil: +p2099 +tp2100 +a(g6 +V +tp2101 +a(g6 +V[ +tp2102 +a(g6 +V +tp2103 +a(g133 +VBindings +p2104 +tp2105 +a(g6 +V +tp2106 +a(g48 +Vbind: +p2107 +tp2108 +a(g6 +V +tp2109 +a(g87 +Vself +p2110 +tp2111 +a(g6 +V +tp2112 +a(g48 +Vto: +p2113 +tp2114 +a(g6 +V +tp2115 +a(g100 +VanObject +p2116 +tp2117 +a(g6 +V] +tp2118 +a(g138 +V! ! +p2119 +tp2120 +a(g6 +V\u000a\u000a +p2121 +tp2122 +a(g138 +V! +tp2123 +a(g133 +VObject +p2124 +tp2125 +a(g138 +V methodsFor: +p2126 +tp2127 +a(g236 +V'*DynamicBindings' +p2128 +tp2129 +a(g138 +V stamp: 'svp 3/6/2003 16:09'! +p2130 +tp2131 +a(g6 +V\u000a +tp2132 +a(g48 +VhasBinding +p2133 +tp2134 +a(g6 +V\u000a +p2135 +tp2136 +a(g24 +V"Answer whether or not the receiver has a dynamic binding" +p2137 +tp2138 +a(g6 +V\u000a\u000a +p2139 +tp2140 +a(g353 +V^ +tp2141 +a(g133 +VBindings +p2142 +tp2143 +a(g6 +V +tp2144 +a(g48 +VincludesKey: +p2145 +tp2146 +a(g6 +V +tp2147 +a(g87 +Vself +p2148 +tp2149 +a(g138 +V! ! +p2150 +tp2151 +a(g6 +V\u000a\u000a +p2152 +tp2153 +a(g138 +V! +tp2154 +a(g133 +VObject +p2155 +tp2156 +a(g138 +V methodsFor: +p2157 +tp2158 +a(g236 +V'*DynamicBindings' +p2159 +tp2160 +a(g138 +V stamp: 'svp 3/6/2003 16:09'! +p2161 +tp2162 +a(g6 +V\u000a +tp2163 +a(g48 +VremoveBinding +p2164 +tp2165 +a(g6 +V\u000a +p2166 +tp2167 +a(g24 +V"Remove the dynamic binding associated with the receiver" +p2168 +tp2169 +a(g6 +V\u000a\u000a +p2170 +tp2171 +a(g353 +V^ +tp2172 +a(g133 +VBindings +p2173 +tp2174 +a(g6 +V +tp2175 +a(g48 +VremoveKey: +p2176 +tp2177 +a(g6 +V +tp2178 +a(g87 +Vself +p2179 +tp2180 +a(g6 +V +tp2181 +a(g48 +VifAbsent: +p2182 +tp2183 +a(g6 +V +tp2184 +a(g6 +V[ +tp2185 +a(g6 +V +tp2186 +a(g6 +V] +tp2187 +a(g138 +V! ! +p2188 +tp2189 +a(g6 +V\u000a\u000a\u000a +p2190 +tp2191 +a(g138 +V! +tp2192 +a(g133 +VObject +p2193 +tp2194 +a(g138 +V methodsFor: +p2195 +tp2196 +a(g236 +V'*KomHttpServer' +p2197 +tp2198 +a(g138 +V stamp: 'SVP 8/23/1999 19:17'! +p2199 +tp2200 +a(g6 +V\u000a +tp2201 +a(g48 +VasHtmlDocumentForRequest: +p2202 +tp2203 +a(g6 +V +tp2204 +a(g100 +VaNetworkRequest +p2205 +tp2206 +a(g6 +V\u000a\u000a +p2207 +tp2208 +a(g87 +Vself +p2209 +tp2210 +a(g6 +V +tp2211 +a(g48 +Verror: +p2212 +tp2213 +a(g6 +V \u000a +p2214 +tp2215 +a(g6 +V( +tp2216 +a(g236 +V'The requested object (' +p2217 +tp2218 +a(g48 +V, +tp2219 +a(g6 +V \u000a +p2220 +tp2221 +a(g87 +Vself +p2222 +tp2223 +a(g6 +V +tp2224 +a(g48 +VasString +p2225 +tp2226 +a(g48 +V, +tp2227 +a(g6 +V \u000a +p2228 +tp2229 +a(g236 +V'), could not be converted into HTML for your browser.' +p2230 +tp2231 +a(g6 +V) +tp2232 +a(g138 +V! ! +p2233 +tp2234 +a(g6 +V\u000a\u000a +p2235 +tp2236 +a(g138 +V! +tp2237 +a(g133 +VObject +p2238 +tp2239 +a(g138 +V methodsFor: +p2240 +tp2241 +a(g236 +V'*KomHttpServer' +p2242 +tp2243 +a(g138 +V stamp: 'SVP 8/23/1999 19:23'! +p2244 +tp2245 +a(g6 +V\u000a +tp2246 +a(g48 +VasHttpResponseTo: +p2247 +tp2248 +a(g6 +V +tp2249 +a(g100 +VanHttpRequest +p2250 +tp2251 +a(g6 +V\u000a\u000a +p2252 +tp2253 +a(g353 +V^ +tp2254 +a(g6 +V( +tp2255 +a(g87 +Vself +p2256 +tp2257 +a(g6 +V +tp2258 +a(g48 +VasHtmlDocumentForRequest: +p2259 +tp2260 +a(g6 +V +tp2261 +a(g100 +VanHttpRequest +p2262 +tp2263 +a(g6 +V) +tp2264 +a(g6 +V \u000a +p2265 +tp2266 +a(g48 +VasHttpResponseTo: +p2267 +tp2268 +a(g6 +V +tp2269 +a(g100 +VanHttpRequest +p2270 +tp2271 +a(g6 +V\u000a +tp2272 +a(g138 +V! ! +p2273 +tp2274 +a(g6 +V\u000a\u000a +p2275 +tp2276 +a(g138 +V! +tp2277 +a(g133 +VObject +p2278 +tp2279 +a(g138 +V methodsFor: +p2280 +tp2281 +a(g236 +V'*KomHttpServer' +p2282 +tp2283 +a(g138 +V stamp: 'svp 5/16/2003 12:47'! +p2284 +tp2285 +a(g6 +V\u000a +tp2286 +a(g48 +VisComancheModule +p2287 +tp2288 +a(g6 +V\u000a +tp2289 +a(g6 +V\u000a +p2290 +tp2291 +a(g353 +V^ +tp2292 +a(g87 +Vfalse +p2293 +tp2294 +a(g138 +V! ! +p2295 +tp2296 +a(g6 +V\u000a\u000a +p2297 +tp2298 +a(g138 +V! +tp2299 +a(g133 +VObject +p2300 +tp2301 +a(g138 +V methodsFor: +p2302 +tp2303 +a(g236 +V'*KomHttpServer' +p2304 +tp2305 +a(g138 +V stamp: 'SVP 8/17/1999 17:51'! +p2306 +tp2307 +a(g6 +V\u000a +tp2308 +a(g48 +VmimeType +p2309 +tp2310 +a(g6 +V\u000a +tp2311 +a(g6 +V\u000a +p2312 +tp2313 +a(g353 +V^ +tp2314 +a(g133 +VMIMEDocument +p2315 +tp2316 +a(g6 +V +tp2317 +a(g48 +VdefaultContentType +p2318 +tp2319 +a(g138 +V! ! +p2320 +tp2321 +a(g6 +V\u000a\u000a\u000a +p2322 +tp2323 +a(g138 +V! +tp2324 +a(g133 +VObject +p2325 +tp2326 +a(g138 +V methodsFor: +p2327 +tp2328 +a(g236 +V'*Morphic-NewCurve-testing' +p2329 +tp2330 +a(g138 +V'' stamp: 'wiz 12/31/2005 21:31'! +p2331 +tp2332 +a(g6 +V\u000a +tp2333 +a(g48 +VisNonZero +p2334 +tp2335 +a(g6 +V\u000a +tp2336 +a(g24 +V"Overriden in Number. This returns the backstop answer for non-numbers" +p2337 +tp2338 +a(g6 +V\u000a +tp2339 +a(g353 +V^ +tp2340 +a(g87 +Vfalse +p2341 +tp2342 +a(g212 +V. +tp2343 +a(g138 +V! ! +p2344 +tp2345 +a(g6 +V\u000a\u000a\u000a +p2346 +tp2347 +a(g138 +V! +tp2348 +a(g133 +VObject +p2349 +tp2350 +a(g138 +V methodsFor: +p2351 +tp2352 +a(g236 +V'*Pinesoft-EventEnhancements' +p2353 +tp2354 +a(g138 +V stamp: 'gvc 10/25/2006 18:18'! +p2355 +tp2356 +a(g6 +V\u000a +tp2357 +a(g48 +Vwhen: +p2358 +tp2359 +a(g6 +V +tp2360 +a(g100 +VanEventSelector +p2361 +tp2362 +a(g6 +V\u000a +tp2363 +a(g48 +Vsend: +p2364 +tp2365 +a(g6 +V +tp2366 +a(g100 +VaMessageSelector +p2367 +tp2368 +a(g6 +V\u000a +tp2369 +a(g48 +Vto: +p2370 +tp2371 +a(g6 +V +tp2372 +a(g100 +VanObject +p2373 +tp2374 +a(g6 +V\u000a +tp2375 +a(g48 +Vexclusive: +p2376 +tp2377 +a(g6 +V +tp2378 +a(g100 +VaValueHolder +p2379 +tp2380 +a(g6 +V\u000a \u000a +p2381 +tp2382 +a(g87 +Vself +p2383 +tp2384 +a(g6 +V\u000a +p2385 +tp2386 +a(g48 +Vwhen: +p2387 +tp2388 +a(g6 +V +tp2389 +a(g100 +VanEventSelector +p2390 +tp2391 +a(g6 +V\u000a +p2392 +tp2393 +a(g48 +Vevaluate: +p2394 +tp2395 +a(g6 +V +tp2396 +a(g6 +V( +tp2397 +a(g6 +V( +tp2398 +a(g133 +VExclusiveWeakMessageSend +p2399 +tp2400 +a(g6 +V\u000a +p2401 +tp2402 +a(g48 +Vreceiver: +p2403 +tp2404 +a(g6 +V +tp2405 +a(g100 +VanObject +p2406 +tp2407 +a(g6 +V\u000a +p2408 +tp2409 +a(g48 +Vselector: +p2410 +tp2411 +a(g6 +V +tp2412 +a(g100 +VaMessageSelector +p2413 +tp2414 +a(g6 +V) +tp2415 +a(g6 +V\u000a +p2416 +tp2417 +a(g48 +VbasicExecuting: +p2418 +tp2419 +a(g6 +V +tp2420 +a(g100 +VaValueHolder +p2421 +tp2422 +a(g6 +V) +tp2423 +a(g138 +V! ! +p2424 +tp2425 +a(g6 +V\u000a\u000a +p2426 +tp2427 +a(g138 +V! +tp2428 +a(g133 +VObject +p2429 +tp2430 +a(g138 +V methodsFor: +p2431 +tp2432 +a(g236 +V'*Pinesoft-EventEnhancements' +p2433 +tp2434 +a(g138 +V stamp: 'gvc 10/25/2006 18:17'! +p2435 +tp2436 +a(g6 +V\u000a +tp2437 +a(g48 +Vwhen: +p2438 +tp2439 +a(g6 +V +tp2440 +a(g100 +VanEventSelector +p2441 +tp2442 +a(g6 +V\u000a +tp2443 +a(g48 +Vsend: +p2444 +tp2445 +a(g6 +V +tp2446 +a(g100 +VaMessageSelector +p2447 +tp2448 +a(g6 +V\u000a +tp2449 +a(g48 +Vto: +p2450 +tp2451 +a(g6 +V +tp2452 +a(g100 +VanObject +p2453 +tp2454 +a(g6 +V\u000a +tp2455 +a(g48 +Vwith: +p2456 +tp2457 +a(g6 +V +tp2458 +a(g100 +VanArg +p2459 +tp2460 +a(g6 +V\u000a +tp2461 +a(g48 +Vexclusive: +p2462 +tp2463 +a(g6 +V +tp2464 +a(g100 +VaValueHolder +p2465 +tp2466 +a(g6 +V\u000a \u000a +p2467 +tp2468 +a(g87 +Vself +p2469 +tp2470 +a(g6 +V\u000a +p2471 +tp2472 +a(g48 +Vwhen: +p2473 +tp2474 +a(g6 +V +tp2475 +a(g100 +VanEventSelector +p2476 +tp2477 +a(g6 +V\u000a +p2478 +tp2479 +a(g48 +Vevaluate: +p2480 +tp2481 +a(g6 +V +tp2482 +a(g6 +V( +tp2483 +a(g6 +V( +tp2484 +a(g133 +VExclusiveWeakMessageSend +p2485 +tp2486 +a(g6 +V\u000a +p2487 +tp2488 +a(g48 +Vreceiver: +p2489 +tp2490 +a(g6 +V +tp2491 +a(g100 +VanObject +p2492 +tp2493 +a(g6 +V\u000a +p2494 +tp2495 +a(g48 +Vselector: +p2496 +tp2497 +a(g6 +V +tp2498 +a(g100 +VaMessageSelector +p2499 +tp2500 +a(g6 +V\u000a +p2501 +tp2502 +a(g48 +Varguments: +p2503 +tp2504 +a(g6 +V +tp2505 +a(g6 +V( +tp2506 +a(g133 +VArray +p2507 +tp2508 +a(g6 +V +tp2509 +a(g48 +Vwith: +p2510 +tp2511 +a(g6 +V +tp2512 +a(g100 +VanArg +p2513 +tp2514 +a(g6 +V) +tp2515 +a(g6 +V) +tp2516 +a(g6 +V\u000a +p2517 +tp2518 +a(g48 +VbasicExecuting: +p2519 +tp2520 +a(g6 +V +tp2521 +a(g100 +VaValueHolder +p2522 +tp2523 +a(g6 +V) +tp2524 +a(g138 +V! ! +p2525 +tp2526 +a(g6 +V\u000a\u000a +p2527 +tp2528 +a(g138 +V! +tp2529 +a(g133 +VObject +p2530 +tp2531 +a(g138 +V methodsFor: +p2532 +tp2533 +a(g236 +V'*Pinesoft-EventEnhancements' +p2534 +tp2535 +a(g138 +V stamp: 'gvc 10/25/2006 18:17'! +p2536 +tp2537 +a(g6 +V\u000a +tp2538 +a(g48 +Vwhen: +p2539 +tp2540 +a(g6 +V +tp2541 +a(g100 +VanEventSelector +p2542 +tp2543 +a(g6 +V\u000a +tp2544 +a(g48 +Vsend: +p2545 +tp2546 +a(g6 +V +tp2547 +a(g100 +VaMessageSelector +p2548 +tp2549 +a(g6 +V\u000a +tp2550 +a(g48 +Vto: +p2551 +tp2552 +a(g6 +V +tp2553 +a(g100 +VanObject +p2554 +tp2555 +a(g6 +V\u000a +tp2556 +a(g48 +VwithArguments: +p2557 +tp2558 +a(g6 +V +tp2559 +a(g100 +VanArgArray +p2560 +tp2561 +a(g6 +V\u000a +tp2562 +a(g48 +Vexclusive: +p2563 +tp2564 +a(g6 +V +tp2565 +a(g100 +VaValueHolder +p2566 +tp2567 +a(g6 +V\u000a \u000a +p2568 +tp2569 +a(g87 +Vself +p2570 +tp2571 +a(g6 +V\u000a +p2572 +tp2573 +a(g48 +Vwhen: +p2574 +tp2575 +a(g6 +V +tp2576 +a(g100 +VanEventSelector +p2577 +tp2578 +a(g6 +V\u000a +p2579 +tp2580 +a(g48 +Vevaluate: +p2581 +tp2582 +a(g6 +V +tp2583 +a(g6 +V( +tp2584 +a(g6 +V( +tp2585 +a(g133 +VExclusiveWeakMessageSend +p2586 +tp2587 +a(g6 +V\u000a +p2588 +tp2589 +a(g48 +Vreceiver: +p2590 +tp2591 +a(g6 +V +tp2592 +a(g100 +VanObject +p2593 +tp2594 +a(g6 +V\u000a +p2595 +tp2596 +a(g48 +Vselector: +p2597 +tp2598 +a(g6 +V +tp2599 +a(g100 +VaMessageSelector +p2600 +tp2601 +a(g6 +V\u000a +p2602 +tp2603 +a(g48 +Varguments: +p2604 +tp2605 +a(g6 +V +tp2606 +a(g100 +VanArgArray +p2607 +tp2608 +a(g6 +V) +tp2609 +a(g6 +V\u000a +p2610 +tp2611 +a(g48 +VbasicExecuting: +p2612 +tp2613 +a(g6 +V +tp2614 +a(g100 +VaValueHolder +p2615 +tp2616 +a(g6 +V) +tp2617 +a(g138 +V! ! +p2618 +tp2619 +a(g6 +V\u000a\u000a +p2620 +tp2621 +a(g138 +V! +tp2622 +a(g133 +VObject +p2623 +tp2624 +a(g138 +V methodsFor: +p2625 +tp2626 +a(g236 +V'*Pinesoft-EventEnhancements' +p2627 +tp2628 +a(g138 +V stamp: 'gvc 10/24/2006 11:50'! +p2629 +tp2630 +a(g6 +V\u000a +tp2631 +a(g48 +Vwhen: +p2632 +tp2633 +a(g6 +V +tp2634 +a(g100 +VanEventSelector +p2635 +tp2636 +a(g6 +V\u000a +tp2637 +a(g48 +VsendOnce: +p2638 +tp2639 +a(g6 +V +tp2640 +a(g100 +VaMessageSelector +p2641 +tp2642 +a(g6 +V\u000a +tp2643 +a(g48 +Vto: +p2644 +tp2645 +a(g6 +V +tp2646 +a(g100 +VanObject +p2647 +tp2648 +a(g6 +V\u000a \u000a +p2649 +tp2650 +a(g87 +Vself +p2651 +tp2652 +a(g6 +V\u000a +p2653 +tp2654 +a(g48 +Vwhen: +p2655 +tp2656 +a(g6 +V +tp2657 +a(g100 +VanEventSelector +p2658 +tp2659 +a(g6 +V\u000a +p2660 +tp2661 +a(g48 +Vevaluate: +p2662 +tp2663 +a(g6 +V +tp2664 +a(g6 +V( +tp2665 +a(g133 +VNonReentrantWeakMessageSend +p2666 +tp2667 +a(g6 +V\u000a +p2668 +tp2669 +a(g48 +Vreceiver: +p2670 +tp2671 +a(g6 +V +tp2672 +a(g100 +VanObject +p2673 +tp2674 +a(g6 +V\u000a +p2675 +tp2676 +a(g48 +Vselector: +p2677 +tp2678 +a(g6 +V +tp2679 +a(g100 +VaMessageSelector +p2680 +tp2681 +a(g6 +V) +tp2682 +a(g138 +V! ! +p2683 +tp2684 +a(g6 +V\u000a\u000a +p2685 +tp2686 +a(g138 +V! +tp2687 +a(g133 +VObject +p2688 +tp2689 +a(g138 +V methodsFor: +p2690 +tp2691 +a(g236 +V'*Pinesoft-EventEnhancements' +p2692 +tp2693 +a(g138 +V stamp: 'gvc 10/24/2006 11:49'! +p2694 +tp2695 +a(g6 +V\u000a +tp2696 +a(g48 +Vwhen: +p2697 +tp2698 +a(g6 +V +tp2699 +a(g100 +VanEventSelector +p2700 +tp2701 +a(g6 +V\u000a +tp2702 +a(g48 +VsendOnce: +p2703 +tp2704 +a(g6 +V +tp2705 +a(g100 +VaMessageSelector +p2706 +tp2707 +a(g6 +V\u000a +tp2708 +a(g48 +Vto: +p2709 +tp2710 +a(g6 +V +tp2711 +a(g100 +VanObject +p2712 +tp2713 +a(g6 +V\u000a +tp2714 +a(g48 +Vwith: +p2715 +tp2716 +a(g6 +V +tp2717 +a(g100 +VanArg +p2718 +tp2719 +a(g6 +V\u000a \u000a +p2720 +tp2721 +a(g87 +Vself +p2722 +tp2723 +a(g6 +V\u000a +p2724 +tp2725 +a(g48 +Vwhen: +p2726 +tp2727 +a(g6 +V +tp2728 +a(g100 +VanEventSelector +p2729 +tp2730 +a(g6 +V\u000a +p2731 +tp2732 +a(g48 +Vevaluate: +p2733 +tp2734 +a(g6 +V +tp2735 +a(g6 +V( +tp2736 +a(g133 +VNonReentrantWeakMessageSend +p2737 +tp2738 +a(g6 +V\u000a +p2739 +tp2740 +a(g48 +Vreceiver: +p2741 +tp2742 +a(g6 +V +tp2743 +a(g100 +VanObject +p2744 +tp2745 +a(g6 +V\u000a +p2746 +tp2747 +a(g48 +Vselector: +p2748 +tp2749 +a(g6 +V +tp2750 +a(g100 +VaMessageSelector +p2751 +tp2752 +a(g6 +V\u000a +p2753 +tp2754 +a(g48 +Varguments: +p2755 +tp2756 +a(g6 +V +tp2757 +a(g6 +V( +tp2758 +a(g133 +VArray +p2759 +tp2760 +a(g6 +V +tp2761 +a(g48 +Vwith: +p2762 +tp2763 +a(g6 +V +tp2764 +a(g100 +VanArg +p2765 +tp2766 +a(g6 +V) +tp2767 +a(g6 +V) +tp2768 +a(g138 +V! ! +p2769 +tp2770 +a(g6 +V\u000a\u000a +p2771 +tp2772 +a(g138 +V! +tp2773 +a(g133 +VObject +p2774 +tp2775 +a(g138 +V methodsFor: +p2776 +tp2777 +a(g236 +V'*Pinesoft-EventEnhancements' +p2778 +tp2779 +a(g138 +V stamp: 'gvc 10/24/2006 11:49'! +p2780 +tp2781 +a(g6 +V\u000a +tp2782 +a(g48 +Vwhen: +p2783 +tp2784 +a(g6 +V +tp2785 +a(g100 +VanEventSelector +p2786 +tp2787 +a(g6 +V\u000a +tp2788 +a(g48 +VsendOnce: +p2789 +tp2790 +a(g6 +V +tp2791 +a(g100 +VaMessageSelector +p2792 +tp2793 +a(g6 +V\u000a +tp2794 +a(g48 +Vto: +p2795 +tp2796 +a(g6 +V +tp2797 +a(g100 +VanObject +p2798 +tp2799 +a(g6 +V\u000a +tp2800 +a(g48 +VwithArguments: +p2801 +tp2802 +a(g6 +V +tp2803 +a(g100 +VanArgArray +p2804 +tp2805 +a(g6 +V\u000a \u000a +p2806 +tp2807 +a(g87 +Vself +p2808 +tp2809 +a(g6 +V\u000a +p2810 +tp2811 +a(g48 +Vwhen: +p2812 +tp2813 +a(g6 +V +tp2814 +a(g100 +VanEventSelector +p2815 +tp2816 +a(g6 +V\u000a +p2817 +tp2818 +a(g48 +Vevaluate: +p2819 +tp2820 +a(g6 +V +tp2821 +a(g6 +V( +tp2822 +a(g133 +VNonReentrantWeakMessageSend +p2823 +tp2824 +a(g6 +V\u000a +p2825 +tp2826 +a(g48 +Vreceiver: +p2827 +tp2828 +a(g6 +V +tp2829 +a(g100 +VanObject +p2830 +tp2831 +a(g6 +V\u000a +p2832 +tp2833 +a(g48 +Vselector: +p2834 +tp2835 +a(g6 +V +tp2836 +a(g100 +VaMessageSelector +p2837 +tp2838 +a(g6 +V\u000a +p2839 +tp2840 +a(g48 +Varguments: +p2841 +tp2842 +a(g6 +V +tp2843 +a(g100 +VanArgArray +p2844 +tp2845 +a(g6 +V) +tp2846 +a(g138 +V! ! +p2847 +tp2848 +a(g6 +V\u000a\u000a\u000a +p2849 +tp2850 +a(g138 +V! +tp2851 +a(g133 +VObject +p2852 +tp2853 +a(g138 +V methodsFor: +p2854 +tp2855 +a(g236 +V'*Pinesoft-Widgets' +p2856 +tp2857 +a(g138 +V stamp: 'gvc 1/10/2007 11:41'! +p2858 +tp2859 +a(g6 +V\u000a +tp2860 +a(g48 +VokToClose +p2861 +tp2862 +a(g6 +V\u000a +p2863 +tp2864 +a(g24 +V"Sent to models when a window closing.\u000a Allows this check to be independent of okToChange." +p2865 +tp2866 +a(g6 +V\u000a \u000a +p2867 +tp2868 +a(g353 +V^ +tp2869 +a(g87 +Vtrue +p2870 +tp2871 +a(g138 +V! ! +p2872 +tp2873 +a(g6 +V\u000a\u000a +p2874 +tp2875 +a(g138 +V! +tp2876 +a(g133 +VObject +p2877 +tp2878 +a(g138 +V methodsFor: +p2879 +tp2880 +a(g236 +V'*Pinesoft-Widgets' +p2881 +tp2882 +a(g138 +V stamp: 'gvc 4/17/2007 17:41'! +p2883 +tp2884 +a(g6 +V\u000a +tp2885 +a(g48 +VtaskbarIcon +p2886 +tp2887 +a(g6 +V\u000a +p2888 +tp2889 +a(g24 +V"Answer the icon for the receiver in a task bar\u000a or nil for the default." +p2890 +tp2891 +a(g6 +V\u000a\u000a +p2892 +tp2893 +a(g353 +V^ +tp2894 +a(g87 +Vself +p2895 +tp2896 +a(g6 +V +tp2897 +a(g48 +Vclass +p2898 +tp2899 +a(g6 +V +tp2900 +a(g48 +VtaskbarIcon +p2901 +tp2902 +a(g138 +V! ! +p2903 +tp2904 +a(g6 +V\u000a\u000a\u000a +p2905 +tp2906 +a(g138 +V! +tp2907 +a(g133 +VObject +p2908 +tp2909 +a(g138 +V methodsFor: +p2910 +tp2911 +a(g236 +V'*Pinesoft-Widgets-override' +p2912 +tp2913 +a(g138 +V stamp: 'gvc 9/4/2007 12:32'! +p2914 +tp2915 +a(g6 +V\u000a +tp2916 +a(g48 +VwindowActiveOnFirstClick +p2917 +tp2918 +a(g6 +V\u000a +p2919 +tp2920 +a(g24 +V"Return true if my window should be active on first click." +p2921 +tp2922 +a(g6 +V\u000a\u000a +p2923 +tp2924 +a(g353 +V^ +tp2925 +a(g87 +Vtrue +p2926 +tp2927 +a(g138 +V! ! +p2928 +tp2929 +a(g6 +V\u000a\u000a\u000a +p2930 +tp2931 +a(g138 +V! +tp2932 +a(g133 +VObject +p2933 +tp2934 +a(g138 +V methodsFor: +p2935 +tp2936 +a(g236 +V'*SeasideAdaptersCompatibility' +p2937 +tp2938 +a(g138 +V stamp: 'pmm 11/25/2007 14:17'! +p2939 +tp2940 +a(g6 +V\u000a +tp2941 +a(g48 +VtoString +p2942 +tp2943 +a(g6 +V\u000a +p2944 +tp2945 +a(g353 +V^ +tp2946 +a(g87 +Vself +p2947 +tp2948 +a(g138 +V! ! +p2949 +tp2950 +a(g6 +V\u000a\u000a\u000a +p2951 +tp2952 +a(g138 +V! +tp2953 +a(g133 +VObject +p2954 +tp2955 +a(g138 +V methodsFor: +p2956 +tp2957 +a(g236 +V'*Tools-Explorer' +p2958 +tp2959 +a(g138 +V stamp: 'stephaneducasse 9/17/2005 21:52'! +p2960 +tp2961 +a(g6 +V\u000a +tp2962 +a(g48 +VexploreAndYourself +p2963 +tp2964 +a(g6 +V\u000a +p2965 +tp2966 +a(g24 +V"i.e. explore; yourself. Thisway i can peek w/o typing all the parentheses" +p2967 +tp2968 +a(g6 +V\u000a +p2969 +tp2970 +a(g87 +Vself +p2971 +tp2972 +a(g6 +V +tp2973 +a(g48 +Vexplore +p2974 +tp2975 +a(g212 +V. +tp2976 +a(g6 +V \u000a +p2977 +tp2978 +a(g353 +V^ +tp2979 +a(g87 +Vself +p2980 +tp2981 +a(g138 +V! ! +p2982 +tp2983 +a(g6 +V\u000a\u000a +p2984 +tp2985 +a(g138 +V! +tp2986 +a(g133 +VObject +p2987 +tp2988 +a(g138 +V methodsFor: +p2989 +tp2990 +a(g236 +V'*Tools-Explorer' +p2991 +tp2992 +a(g138 +V stamp: 'stephaneducasse 9/17/2005 21:48'! +p2993 +tp2994 +a(g6 +V\u000a +tp2995 +a(g48 +VexploreWithLabel: +p2996 +tp2997 +a(g6 +V +tp2998 +a(g100 +Vlabel +p2999 +tp3000 +a(g6 +V\u000a\u000a +p3001 +tp3002 +a(g353 +V^ +tp3003 +a(g6 +V +tp3004 +a(g133 +VObjectExplorer +p3005 +tp3006 +a(g6 +V +tp3007 +a(g84 +Vnew +p3008 +tp3009 +a(g6 +V +tp3010 +a(g48 +VopenExplorerFor: +p3011 +tp3012 +a(g6 +V +tp3013 +a(g87 +Vself +p3014 +tp3015 +a(g6 +V +tp3016 +a(g48 +VwithLabel: +p3017 +tp3018 +a(g6 +V\u000a +tp3019 +a(g100 +Vlabel +p3020 +tp3021 +a(g138 +V! ! +p3022 +tp3023 +a(g6 +V\u000a\u000a\u000a +p3024 +tp3025 +a(g138 +V! +tp3026 +a(g133 +VObject +p3027 +tp3028 +a(g138 +V methodsFor: +p3029 +tp3030 +a(g236 +V'*kernel-extensions-flagging' +p3031 +tp3032 +a(g138 +V stamp: 'mtf 1/26/2008 23:34'! +p3033 +tp3034 +a(g6 +V\u000a +tp3035 +a(g48 +Vdeprecated +p3036 +tp3037 +a(g6 +V\u000a +p3038 +tp3039 +a(g24 +V"Warn that the sending method has been deprecated." +p3040 +tp3041 +a(g6 +V\u000a\u000a +p3042 +tp3043 +a(g133 +VPreferences +p3044 +tp3045 +a(g6 +V +tp3046 +a(g48 +VshowDeprecationWarnings +p3047 +tp3048 +a(g6 +V +tp3049 +a(g84 +VifTrue: +p3050 +tp3051 +a(g6 +V\u000a +p3052 +tp3053 +a(g6 +V[ +tp3054 +a(g6 +V +tp3055 +a(g133 +VDeprecation +p3056 +tp3057 +a(g6 +V +tp3058 +a(g48 +Vsignal: +p3059 +tp3060 +a(g6 +V +tp3061 +a(g87 +VthisContext +p3062 +tp3063 +a(g6 +V +tp3064 +a(g48 +Vsender +p3065 +tp3066 +a(g6 +V +tp3067 +a(g48 +VprintString +p3068 +tp3069 +a(g48 +V, +tp3070 +a(g6 +V +tp3071 +a(g236 +V' has been deprecated.' +p3072 +tp3073 +a(g6 +V] +tp3074 +a(g138 +V! ! +p3075 +tp3076 +a(g6 +V\u000a\u000a\u000a +p3077 +tp3078 +a(g138 +V! +tp3079 +a(g133 +VObject +p3080 +tp3081 +a(g138 +V methodsFor: +p3082 +tp3083 +a(g236 +V'*kernel-extensions-flexibility' +p3084 +tp3085 +a(g138 +V stamp: 'kph 1/27/2008 19:21'! +p3086 +tp3087 +a(g6 +V\u000a +tp3088 +a(g48 +VaskFor: +p3089 +tp3090 +a(g6 +V +tp3091 +a(g100 +Vselector +p3092 +tp3093 +a(g6 +V \u000a\u000a +p3094 +tp3095 +a(g24 +V"returns true or false" +p3096 +tp3097 +a(g6 +V\u000a \u000a +p3098 +tp3099 +a(g353 +V^ +tp3100 +a(g6 +V +tp3101 +a(g6 +V( +tp3102 +a(g87 +Vself +p3103 +tp3104 +a(g6 +V +tp3105 +a(g48 +VaskFor: +p3106 +tp3107 +a(g6 +V +tp3108 +a(g100 +Vselector +p3109 +tp3110 +a(g6 +V +tp3111 +a(g48 +VifAbsent: +p3112 +tp3113 +a(g6 +V +tp3114 +a(g87 +Vnil +p3115 +tp3116 +a(g6 +V) +tp3117 +a(g6 +V +tp3118 +a(g48 +V== +p3119 +tp3120 +a(g6 +V +tp3121 +a(g87 +Vtrue +p3122 +tp3123 +a(g138 +V! ! +p3124 +tp3125 +a(g6 +V\u000a\u000a +p3126 +tp3127 +a(g138 +V! +tp3128 +a(g133 +VObject +p3129 +tp3130 +a(g138 +V methodsFor: +p3131 +tp3132 +a(g236 +V'*kernel-extensions-flexibility' +p3133 +tp3134 +a(g138 +V stamp: 'kph 10/17/2007 14:01'! +p3135 +tp3136 +a(g6 +V\u000a +tp3137 +a(g48 +VaskFor: +p3138 +tp3139 +a(g6 +V +tp3140 +a(g100 +Vselector +p3141 +tp3142 +a(g6 +V +tp3143 +a(g48 +VifAbsent: +p3144 +tp3145 +a(g6 +V +tp3146 +a(g100 +VaBlock +p3147 +tp3148 +a(g6 +V\u000a\u000a +p3149 +tp3150 +a(g24 +V"enables a default value to be specified in order to be tolerant of potentially missing methods\u000a \u000a e.g.\u000a (myPoint askFor: #originOffset) ifAbsent: [ 0@0 ].\u000a " +p3151 +tp3152 +a(g6 +V\u000a\u000a +p3153 +tp3154 +a(g353 +V^ +tp3155 +a(g6 +V +tp3156 +a(g6 +V( +tp3157 +a(g87 +Vself +p3158 +tp3159 +a(g6 +V +tp3160 +a(g48 +Vclass +p3161 +tp3162 +a(g6 +V +tp3163 +a(g48 +VcanUnderstand: +p3164 +tp3165 +a(g6 +V +tp3166 +a(g100 +Vselector +p3167 +tp3168 +a(g6 +V) +tp3169 +a(g6 +V +tp3170 +a(g84 +VifFalse: +p3171 +tp3172 +a(g6 +V +tp3173 +a(g6 +V[ +tp3174 +a(g6 +V +tp3175 +a(g6 +V +tp3176 +a(g100 +VaBlock +p3177 +tp3178 +a(g6 +V +tp3179 +a(g48 +Vvalue +p3180 +tp3181 +a(g6 +V +tp3182 +a(g6 +V] +tp3183 +a(g6 +V +tp3184 +a(g84 +VifTrue: +p3185 +tp3186 +a(g6 +V +tp3187 +a(g6 +V[ +tp3188 +a(g6 +V +tp3189 +a(g87 +Vself +p3190 +tp3191 +a(g6 +V +tp3192 +a(g48 +Vperform: +p3193 +tp3194 +a(g6 +V +tp3195 +a(g100 +Vselector +p3196 +tp3197 +a(g6 +V] +tp3198 +a(g138 +V! ! +p3199 +tp3200 +a(g6 +V\u000a\u000a\u000a +p3201 +tp3202 +a(g138 +V! +tp3203 +a(g133 +VObject +p3204 +tp3205 +a(g138 +V methodsFor: +p3206 +tp3207 +a(g236 +V'*kernel-extensions-logging' +p3208 +tp3209 +a(g138 +V stamp: 'mtf 1/26/2008 23:52'! +p3210 +tp3211 +a(g6 +V\u000a +tp3212 +a(g48 +Vlog +p3213 +tp3214 +a(g6 +V\u000a +p3215 +tp3216 +a(g24 +V"This method provides the univeral entry point fo all logging mechanisms" +p3217 +tp3218 +a(g6 +V\u000a \u000a +p3219 +tp3220 +a(g24 +V"Options:\u000a 1. Null for null logging\u000a 2. A LogRouter instance wih a FrameworkAdaptor.\u000a 3. CurrentLog a process local variable supplying a LogRouter" +p3221 +tp3222 +a(g6 +V\u000a \u000a +p3223 +tp3224 +a(g353 +V^ +tp3225 +a(g6 +V +tp3226 +a(g6 +V( +tp3227 +a(g133 +VSmalltalk +p3228 +tp3229 +a(g6 +V +tp3230 +a(g48 +Vat: +p3231 +tp3232 +a(g6 +V +tp3233 +a(g258 +V#CurrentLog +p3234 +tp3235 +a(g6 +V +tp3236 +a(g48 +VifAbsent: +p3237 +tp3238 +a(g6 +V +tp3239 +a(g6 +V[ +tp3240 +a(g6 +V +tp3241 +a(g6 +V +tp3242 +a(g133 +VNull +p3243 +tp3244 +a(g6 +V +tp3245 +a(g48 +Vdefault +p3246 +tp3247 +a(g6 +V +tp3248 +a(g6 +V] +tp3249 +a(g6 +V) +tp3250 +a(g6 +V +tp3251 +a(g48 +Vvalue +p3252 +tp3253 +a(g6 +V\u000a +p3254 +tp3255 +a(g48 +Vsender: +p3256 +tp3257 +a(g6 +V +tp3258 +a(g87 +VthisContext +p3259 +tp3260 +a(g6 +V +tp3261 +a(g48 +Vsender +p3262 +tp3263 +a(g212 +V; +tp3264 +a(g6 +V +tp3265 +a(g48 +VbeginEntry +p3266 +tp3267 +a(g212 +V; +tp3268 +a(g6 +V +tp3269 +a(g48 +Vyourself +p3270 +tp3271 +a(g138 +V! ! +p3272 +tp3273 +a(g6 +V\u000a\u000a\u000a +p3274 +tp3275 +a(g138 +V! +tp3276 +a(g133 +VObject +p3277 +tp3278 +a(g138 +V methodsFor: +p3279 +tp3280 +a(g236 +V'*magritte-model-accessing' +p3281 +tp3282 +a(g138 +V stamp: 'lr 3/9/2006 11:31'! +p3283 +tp3284 +a(g6 +V\u000a +tp3285 +a(g48 +Vdescription +p3286 +tp3287 +a(g6 +V\u000a +p3288 +tp3289 +a(g24 +V"Return the description of the reciever. Subclasses might override this message to return instance-based descriptions." +p3290 +tp3291 +a(g6 +V\u000a\u000a +p3292 +tp3293 +a(g353 +V^ +tp3294 +a(g6 +V +tp3295 +a(g87 +Vself +p3296 +tp3297 +a(g6 +V +tp3298 +a(g48 +Vclass +p3299 +tp3300 +a(g6 +V +tp3301 +a(g48 +Vdescription +p3302 +tp3303 +a(g138 +V! ! +p3304 +tp3305 +a(g6 +V\u000a\u000a +p3306 +tp3307 +a(g138 +V! +tp3308 +a(g133 +VObject +p3309 +tp3310 +a(g138 +V methodsFor: +p3311 +tp3312 +a(g236 +V'*magritte-model-accessing' +p3313 +tp3314 +a(g138 +V stamp: 'lr 3/9/2006 11:31'! +p3315 +tp3316 +a(g6 +V\u000a +tp3317 +a(g48 +VmementoClass +p3318 +tp3319 +a(g6 +V\u000a +p3320 +tp3321 +a(g24 +V"Return a class to be used to remember or cache the receiver, namely a memento object." +p3322 +tp3323 +a(g6 +V\u000a\u000a +p3324 +tp3325 +a(g353 +V^ +tp3326 +a(g6 +V +tp3327 +a(g133 +VMACheckedMemento +p3328 +tp3329 +a(g138 +V! ! +p3330 +tp3331 +a(g6 +V\u000a\u000a\u000a +p3332 +tp3333 +a(g138 +V! +tp3334 +a(g133 +VObject +p3335 +tp3336 +a(g138 +V methodsFor: +p3337 +tp3338 +a(g236 +V'*magritte-model-model' +p3339 +tp3340 +a(g138 +V stamp: 'lr 3/9/2006 11:31'! +p3341 +tp3342 +a(g6 +V\u000a +tp3343 +a(g48 +VreadUsing: +p3344 +tp3345 +a(g6 +V +tp3346 +a(g100 +VaDescription +p3347 +tp3348 +a(g6 +V\u000a +p3349 +tp3350 +a(g24 +V"Dispatch the read-access to the receiver using the accessor of aDescription." +p3351 +tp3352 +a(g6 +V\u000a\u000a +p3353 +tp3354 +a(g353 +V^ +tp3355 +a(g6 +V +tp3356 +a(g100 +VaDescription +p3357 +tp3358 +a(g6 +V +tp3359 +a(g48 +Vaccessor +p3360 +tp3361 +a(g6 +V +tp3362 +a(g48 +Vread: +p3363 +tp3364 +a(g6 +V +tp3365 +a(g87 +Vself +p3366 +tp3367 +a(g138 +V! ! +p3368 +tp3369 +a(g6 +V\u000a\u000a +p3370 +tp3371 +a(g138 +V! +tp3372 +a(g133 +VObject +p3373 +tp3374 +a(g138 +V methodsFor: +p3375 +tp3376 +a(g236 +V'*magritte-model-model' +p3377 +tp3378 +a(g138 +V stamp: 'lr 3/9/2006 11:31'! +p3379 +tp3380 +a(g6 +V\u000a +tp3381 +a(g48 +Vwrite: +p3382 +tp3383 +a(g6 +V +tp3384 +a(g100 +VanObject +p3385 +tp3386 +a(g6 +V +tp3387 +a(g48 +Vusing: +p3388 +tp3389 +a(g6 +V +tp3390 +a(g100 +VaDescription +p3391 +tp3392 +a(g6 +V\u000a +p3393 +tp3394 +a(g24 +V"Dispatch the write-access to the receiver of anObject using the accessor of aDescription." +p3395 +tp3396 +a(g6 +V\u000a\u000a +p3397 +tp3398 +a(g100 +VaDescription +p3399 +tp3400 +a(g6 +V +tp3401 +a(g48 +Vaccessor +p3402 +tp3403 +a(g6 +V +tp3404 +a(g48 +Vwrite: +p3405 +tp3406 +a(g6 +V +tp3407 +a(g100 +VanObject +p3408 +tp3409 +a(g6 +V +tp3410 +a(g48 +Vto: +p3411 +tp3412 +a(g6 +V +tp3413 +a(g87 +Vself +p3414 +tp3415 +a(g138 +V! ! +p3416 +tp3417 +a(g6 +V\u000a\u000a\u000a +p3418 +tp3419 +a(g138 +V! +tp3420 +a(g133 +VObject +p3421 +tp3422 +a(g138 +V methodsFor: +p3423 +tp3424 +a(g236 +V'*magritte-model-testing' +p3425 +tp3426 +a(g138 +V stamp: 'lr 3/9/2006 11:31'! +p3427 +tp3428 +a(g6 +V\u000a +tp3429 +a(g48 +VisDescription +p3430 +tp3431 +a(g6 +V\u000a +p3432 +tp3433 +a(g353 +V^ +tp3434 +a(g6 +V +tp3435 +a(g87 +Vfalse +p3436 +tp3437 +a(g138 +V! ! +p3438 +tp3439 +a(g6 +V\u000a\u000a\u000a +p3440 +tp3441 +a(g138 +V! +tp3442 +a(g133 +VObject +p3443 +tp3444 +a(g138 +V methodsFor: +p3445 +tp3446 +a(g236 +V'*magritte-morph-converting' +p3447 +tp3448 +a(g138 +V stamp: 'lr 3/9/2006 11:33'! +p3449 +tp3450 +a(g6 +V\u000a +tp3451 +a(g48 +VasMorph +p3452 +tp3453 +a(g6 +V\u000a +p3454 +tp3455 +a(g353 +V^ +tp3456 +a(g6 +V +tp3457 +a(g87 +Vself +p3458 +tp3459 +a(g6 +V +tp3460 +a(g48 +Vdescription +p3461 +tp3462 +a(g6 +V +tp3463 +a(g48 +VasMorphOn: +p3464 +tp3465 +a(g6 +V +tp3466 +a(g87 +Vself +p3467 +tp3468 +a(g138 +V! ! +p3469 +tp3470 +a(g6 +V\u000a\u000a\u000a +p3471 +tp3472 +a(g138 +V! +tp3473 +a(g133 +VObject +p3474 +tp3475 +a(g138 +V methodsFor: +p3476 +tp3477 +a(g236 +V'*magritte-seaside-converting' +p3478 +tp3479 +a(g138 +V stamp: 'lr 3/9/2006 11:33'! +p3480 +tp3481 +a(g6 +V\u000a +tp3482 +a(g48 +VasComponent +p3483 +tp3484 +a(g6 +V\u000a +p3485 +tp3486 +a(g353 +V^ +tp3487 +a(g6 +V +tp3488 +a(g87 +Vself +p3489 +tp3490 +a(g6 +V +tp3491 +a(g48 +Vdescription +p3492 +tp3493 +a(g6 +V +tp3494 +a(g48 +VasComponentOn: +p3495 +tp3496 +a(g6 +V +tp3497 +a(g87 +Vself +p3498 +tp3499 +a(g138 +V! ! +p3500 +tp3501 +a(g6 +V\u000a\u000a\u000a +p3502 +tp3503 +a(g138 +V! +tp3504 +a(g133 +VObject +p3505 +tp3506 +a(g138 +V methodsFor: +p3507 +tp3508 +a(g236 +V'*monticello' +p3509 +tp3510 +a(g138 +V stamp: 'dvf 8/10/2004 23:25'! +p3511 +tp3512 +a(g6 +V\u000a +tp3513 +a(g48 +VisConflict +p3514 +tp3515 +a(g6 +V\u000a +p3516 +tp3517 +a(g353 +V^ +tp3518 +a(g87 +Vfalse +p3519 +tp3520 +a(g138 +V! ! +p3521 +tp3522 +a(g6 +V\u000a\u000a\u000a +p3523 +tp3524 +a(g138 +V! +tp3525 +a(g133 +VObject +p3526 +tp3527 +a(g138 +V methodsFor: +p3528 +tp3529 +a(g236 +V'*null' +p3530 +tp3531 +a(g138 +V stamp: 'kph 9/6/2007 23:31'! +p3532 +tp3533 +a(g6 +V\u000a +tp3534 +a(g48 +VifNull: +p3535 +tp3536 +a(g6 +V +tp3537 +a(g100 +VaBlock +p3538 +tp3539 +a(g6 +V\u000a\u000a +p3540 +tp3541 +a(g353 +V^ +tp3542 +a(g6 +V +tp3543 +a(g87 +Vself +p3544 +tp3545 +a(g138 +V! ! +p3546 +tp3547 +a(g6 +V\u000a\u000a +p3548 +tp3549 +a(g138 +V! +tp3550 +a(g133 +VObject +p3551 +tp3552 +a(g138 +V methodsFor: +p3553 +tp3554 +a(g236 +V'*null' +p3555 +tp3556 +a(g138 +V stamp: 'kph 9/6/2007 23:33'! +p3557 +tp3558 +a(g6 +V\u000a +tp3559 +a(g48 +VisNull +p3560 +tp3561 +a(g6 +V\u000a +tp3562 +a(g6 +V\u000a +p3563 +tp3564 +a(g353 +V^ +tp3565 +a(g6 +V +tp3566 +a(g87 +Vfalse +p3567 +tp3568 +a(g138 +V! ! +p3569 +tp3570 +a(g6 +V\u000a\u000a +p3571 +tp3572 +a(g138 +V! +tp3573 +a(g133 +VObject +p3574 +tp3575 +a(g138 +V methodsFor: +p3576 +tp3577 +a(g236 +V'*null' +p3578 +tp3579 +a(g138 +V stamp: 'kph 4/12/2007 08:27'! +p3580 +tp3581 +a(g6 +V\u000a +tp3582 +a(g48 +VorNull +p3583 +tp3584 +a(g6 +V\u000a +tp3585 +a(g6 +V\u000a +p3586 +tp3587 +a(g353 +V^ +tp3588 +a(g6 +V +tp3589 +a(g87 +Vself +p3590 +tp3591 +a(g138 +V! ! +p3592 +tp3593 +a(g6 +V\u000a\u000a\u000a +p3594 +tp3595 +a(g138 +V! +tp3596 +a(g133 +VObject +p3597 +tp3598 +a(g138 +V methodsFor: +p3599 +tp3600 +a(g236 +V'*ob-tools-inspector' +p3601 +tp3602 +a(g138 +V stamp: 'lr 6/5/2008 11:07'! +p3603 +tp3604 +a(g6 +V\u000a +tp3605 +a(g48 +VbasicInspectorNodes +p3606 +tp3607 +a(g6 +V\u000a +p3608 +tp3609 +a(g6 +V< +tp3610 +a(g138 +Vinspector: +p3611 +tp3612 +a(g6 +V #'1' priority: 600 +p3613 +tp3614 +a(g6 +V> +tp3615 +a(g6 +V\u000a \u000a +p3616 +tp3617 +a(g353 +V| +tp3618 +a(g100 +V nodes +p3619 +tp3620 +a(g353 +V| +tp3621 +a(g6 +V\u000a +p3622 +tp3623 +a(g100 +Vnodes +p3624 +tp3625 +a(g6 +V +tp3626 +a(g353 +V:= +p3627 +tp3628 +a(g6 +V +tp3629 +a(g133 +VOrderedCollection +p3630 +tp3631 +a(g6 +V +tp3632 +a(g48 +Vnew: +p3633 +tp3634 +a(g6 +V +tp3635 +a(g87 +Vself +p3636 +tp3637 +a(g6 +V +tp3638 +a(g48 +Vclass +p3639 +tp3640 +a(g6 +V +tp3641 +a(g48 +VinstSize +p3642 +tp3643 +a(g6 +V +tp3644 +a(g48 +V+ +tp3645 +a(g6 +V +tp3646 +a(g87 +Vself +p3647 +tp3648 +a(g6 +V +tp3649 +a(g48 +VbasicSize +p3650 +tp3651 +a(g6 +V +tp3652 +a(g48 +V+ +tp3653 +a(g6 +V +tp3654 +a(g223 +V5 +tp3655 +a(g212 +V. +tp3656 +a(g6 +V\u000a +p3657 +tp3658 +a(g100 +Vnodes +p3659 +tp3660 +a(g6 +V +tp3661 +a(g48 +Vadd: +p3662 +tp3663 +a(g6 +V +tp3664 +a(g87 +Vself +p3665 +tp3666 +a(g6 +V +tp3667 +a(g48 +VselfInspectorNode +p3668 +tp3669 +a(g212 +V. +tp3670 +a(g6 +V\u000a +p3671 +tp3672 +a(g87 +Vself +p3673 +tp3674 +a(g6 +V +tp3675 +a(g48 +Vclass +p3676 +tp3677 +a(g6 +V +tp3678 +a(g48 +VallInstVarNames +p3679 +tp3680 +a(g6 +V +tp3681 +a(g48 +VwithIndexDo: +p3682 +tp3683 +a(g6 +V +tp3684 +a(g6 +V[ +tp3685 +a(g6 +V +tp3686 +a(g353 +V: +tp3687 +a(g100 +Vname +p3688 +tp3689 +a(g6 +V +tp3690 +a(g353 +V: +tp3691 +a(g100 +Vindex +p3692 +tp3693 +a(g6 +V +tp3694 +a(g353 +V| +tp3695 +a(g6 +V\u000a +p3696 +tp3697 +a(g100 +Vnodes +p3698 +tp3699 +a(g6 +V +tp3700 +a(g48 +Vadd: +p3701 +tp3702 +a(g6 +V +tp3703 +a(g6 +V( +tp3704 +a(g133 +VOTNamedVariableNode +p3705 +tp3706 +a(g6 +V +tp3707 +a(g48 +Von: +p3708 +tp3709 +a(g6 +V +tp3710 +a(g87 +Vself +p3711 +tp3712 +a(g6 +V +tp3713 +a(g48 +Vindex: +p3714 +tp3715 +a(g6 +V +tp3716 +a(g100 +Vindex +p3717 +tp3718 +a(g6 +V +tp3719 +a(g48 +Vname: +p3720 +tp3721 +a(g6 +V +tp3722 +a(g100 +Vname +p3723 +tp3724 +a(g6 +V) +tp3725 +a(g6 +V +tp3726 +a(g6 +V] +tp3727 +a(g212 +V. +tp3728 +a(g6 +V\u000a +p3729 +tp3730 +a(g223 +V1 +tp3731 +a(g6 +V +tp3732 +a(g48 +Vto: +p3733 +tp3734 +a(g6 +V +tp3735 +a(g87 +Vself +p3736 +tp3737 +a(g6 +V +tp3738 +a(g48 +VbasicSize +p3739 +tp3740 +a(g6 +V +tp3741 +a(g48 +Vdo: +p3742 +tp3743 +a(g6 +V +tp3744 +a(g6 +V[ +tp3745 +a(g6 +V +tp3746 +a(g353 +V: +tp3747 +a(g100 +Vindex +p3748 +tp3749 +a(g6 +V +tp3750 +a(g353 +V| +tp3751 +a(g6 +V\u000a +p3752 +tp3753 +a(g100 +Vnodes +p3754 +tp3755 +a(g6 +V +tp3756 +a(g48 +Vadd: +p3757 +tp3758 +a(g6 +V +tp3759 +a(g6 +V( +tp3760 +a(g133 +VOTIndexedVariableNode +p3761 +tp3762 +a(g6 +V +tp3763 +a(g48 +Von: +p3764 +tp3765 +a(g6 +V +tp3766 +a(g87 +Vself +p3767 +tp3768 +a(g6 +V +tp3769 +a(g48 +Vindex: +p3770 +tp3771 +a(g6 +V +tp3772 +a(g100 +Vindex +p3773 +tp3774 +a(g6 +V) +tp3775 +a(g6 +V +tp3776 +a(g6 +V] +tp3777 +a(g212 +V. +tp3778 +a(g6 +V\u000a +p3779 +tp3780 +a(g353 +V^ +tp3781 +a(g6 +V +tp3782 +a(g100 +Vnodes +p3783 +tp3784 +a(g138 +V! ! +p3785 +tp3786 +a(g6 +V\u000a\u000a +p3787 +tp3788 +a(g138 +V! +tp3789 +a(g133 +VObject +p3790 +tp3791 +a(g138 +V methodsFor: +p3792 +tp3793 +a(g236 +V'*ob-tools-inspector' +p3794 +tp3795 +a(g138 +V stamp: 'lr 6/5/2008 11:07'! +p3796 +tp3797 +a(g6 +V\u000a +tp3798 +a(g48 +VprotocolInspectorNodes +p3799 +tp3800 +a(g6 +V\u000a +p3801 +tp3802 +a(g6 +V< +tp3803 +a(g138 +Vinspector: +p3804 +tp3805 +a(g6 +V #'#' priority: 800 +p3806 +tp3807 +a(g6 +V> +tp3808 +a(g6 +V\u000a\u000a +p3809 +tp3810 +a(g353 +V^ +tp3811 +a(g6 +V +tp3812 +a(g87 +Vself +p3813 +tp3814 +a(g6 +V +tp3815 +a(g48 +Vclass +p3816 +tp3817 +a(g6 +V +tp3818 +a(g48 +VallSelectors +p3819 +tp3820 +a(g6 +V +tp3821 +a(g48 +VasArray +p3822 +tp3823 +a(g6 +V +tp3824 +a(g48 +Vsort +p3825 +tp3826 +a(g6 +V\u000a +p3827 +tp3828 +a(g48 +Vcollect: +p3829 +tp3830 +a(g6 +V +tp3831 +a(g6 +V[ +tp3832 +a(g6 +V +tp3833 +a(g353 +V: +tp3834 +a(g100 +Veach +p3835 +tp3836 +a(g6 +V +tp3837 +a(g353 +V| +tp3838 +a(g6 +V +tp3839 +a(g133 +VOTProtocolInspectorNode +p3840 +tp3841 +a(g6 +V +tp3842 +a(g48 +Von: +p3843 +tp3844 +a(g6 +V +tp3845 +a(g87 +Vself +p3846 +tp3847 +a(g6 +V +tp3848 +a(g48 +Vselector: +p3849 +tp3850 +a(g6 +V +tp3851 +a(g100 +Veach +p3852 +tp3853 +a(g6 +V +tp3854 +a(g6 +V] +tp3855 +a(g138 +V! ! +p3856 +tp3857 +a(g6 +V\u000a\u000a +p3858 +tp3859 +a(g138 +V! +tp3860 +a(g133 +VObject +p3861 +tp3862 +a(g138 +V methodsFor: +p3863 +tp3864 +a(g236 +V'*ob-tools-inspector' +p3865 +tp3866 +a(g138 +V stamp: 'lr 6/5/2008 09:58'! +p3867 +tp3868 +a(g6 +V\u000a +tp3869 +a(g48 +VselfInspectorNode +p3870 +tp3871 +a(g6 +V\u000a +p3872 +tp3873 +a(g353 +V^ +tp3874 +a(g6 +V +tp3875 +a(g133 +VOTDerivedInspectorNode +p3876 +tp3877 +a(g6 +V +tp3878 +a(g48 +Von: +p3879 +tp3880 +a(g6 +V +tp3881 +a(g87 +Vself +p3882 +tp3883 +a(g6 +V +tp3884 +a(g48 +Vlabel: +p3885 +tp3886 +a(g6 +V +tp3887 +a(g236 +V'self' +p3888 +tp3889 +a(g6 +V +tp3890 +a(g48 +Vblock: +p3891 +tp3892 +a(g6 +V +tp3893 +a(g6 +V[ +tp3894 +a(g6 +V +tp3895 +a(g353 +V: +tp3896 +a(g100 +Vobj +p3897 +tp3898 +a(g6 +V +tp3899 +a(g353 +V| +tp3900 +a(g6 +V +tp3901 +a(g100 +Vobj +p3902 +tp3903 +a(g6 +V +tp3904 +a(g6 +V] +tp3905 +a(g138 +V! ! +p3906 +tp3907 +a(g6 +V\u000a\u000a\u000a +p3908 +tp3909 +a(g138 +V! +tp3910 +a(g133 +VObject +p3911 +tp3912 +a(g138 +V methodsFor: +p3913 +tp3914 +a(g236 +V'*omnibrowser-converting' +p3915 +tp3916 +a(g138 +V stamp: 'cwp 4/17/2006 12:16'! +p3917 +tp3918 +a(g6 +V\u000a +tp3919 +a(g48 +VasAnnouncement +p3920 +tp3921 +a(g6 +V\u000a +p3922 +tp3923 +a(g353 +V^ +tp3924 +a(g6 +V +tp3925 +a(g87 +Vself +p3926 +tp3927 +a(g138 +V! ! +p3928 +tp3929 +a(g6 +V\u000a\u000a\u000a +p3930 +tp3931 +a(g138 +V! +tp3932 +a(g133 +VObject +p3933 +tp3934 +a(g138 +V methodsFor: +p3935 +tp3936 +a(g236 +V'*pier-model' +p3937 +tp3938 +a(g138 +V stamp: 'lr 3/9/2006 11:29'! +p3939 +tp3940 +a(g6 +V\u000a +tp3941 +a(g48 +Vaccept: +p3942 +tp3943 +a(g6 +V +tp3944 +a(g100 +VaVisitor +p3945 +tp3946 +a(g6 +V\u000a +p3947 +tp3948 +a(g87 +Vself +p3949 +tp3950 +a(g6 +V +tp3951 +a(g48 +VsubclassResponsibility +p3952 +tp3953 +a(g138 +V! ! +p3954 +tp3955 +a(g6 +V\u000a\u000a +p3956 +tp3957 +a(g138 +V! +tp3958 +a(g133 +VObject +p3959 +tp3960 +a(g138 +V methodsFor: +p3961 +tp3962 +a(g236 +V'*pier-model' +p3963 +tp3964 +a(g138 +V stamp: 'lr 3/9/2006 11:29'! +p3965 +tp3966 +a(g6 +V\u000a +tp3967 +a(g48 +VacceptDecorated: +p3968 +tp3969 +a(g6 +V +tp3970 +a(g100 +VaVisitor +p3971 +tp3972 +a(g6 +V\u000a +p3973 +tp3974 +a(g87 +Vself +p3975 +tp3976 +a(g6 +V +tp3977 +a(g48 +Vaccept: +p3978 +tp3979 +a(g6 +V +tp3980 +a(g100 +VaVisitor +p3981 +tp3982 +a(g138 +V! ! +p3983 +tp3984 +a(g6 +V\u000a\u000a\u000a +p3985 +tp3986 +a(g138 +V! +tp3987 +a(g133 +VObject +p3988 +tp3989 +a(g138 +V methodsFor: +p3990 +tp3991 +a(g236 +V'*rio-kernel' +p3992 +tp3993 +a(g138 +V stamp: 'kph 3/8/2007 21:25'! +p3994 +tp3995 +a(g6 +V\u000a +tp3996 +a(g48 +VisRio +p3997 +tp3998 +a(g6 +V\u000a +tp3999 +a(g6 +V\u000a +p4000 +tp4001 +a(g353 +V^ +tp4002 +a(g6 +V +tp4003 +a(g87 +Vfalse +p4004 +tp4005 +a(g138 +V! ! +p4006 +tp4007 +a(g6 +V\u000a\u000a\u000a +p4008 +tp4009 +a(g138 +V! +tp4010 +a(g133 +VObject +p4011 +tp4012 +a(g138 +V methodsFor: +p4013 +tp4014 +a(g236 +V'*scriptaculous' +p4015 +tp4016 +a(g138 +V stamp: 'lr 1/4/2007 17:20'! +p4017 +tp4018 +a(g6 +V\u000a +tp4019 +a(g48 +VasFunction +p4020 +tp4021 +a(g6 +V\u000a +p4022 +tp4023 +a(g353 +V^ +tp4024 +a(g6 +V +tp4025 +a(g87 +Vself +p4026 +tp4027 +a(g6 +V +tp4028 +a(g48 +VasFunction: +p4029 +tp4030 +a(g6 +V +tp4031 +a(g258 +V#( +p4032 +tp4033 +a(g258 +V) +tp4034 +a(g138 +V! ! +p4035 +tp4036 +a(g6 +V\u000a\u000a +p4037 +tp4038 +a(g138 +V! +tp4039 +a(g133 +VObject +p4040 +tp4041 +a(g138 +V methodsFor: +p4042 +tp4043 +a(g236 +V'*scriptaculous' +p4044 +tp4045 +a(g138 +V stamp: 'lr 1/4/2007 17:21'! +p4046 +tp4047 +a(g6 +V\u000a +tp4048 +a(g48 +VasFunction: +p4049 +tp4050 +a(g6 +V +tp4051 +a(g100 +VaCollection +p4052 +tp4053 +a(g6 +V\u000a +p4054 +tp4055 +a(g353 +V^ +tp4056 +a(g6 +V +tp4057 +a(g133 +VSUFunction +p4058 +tp4059 +a(g6 +V +tp4060 +a(g84 +Vnew +p4061 +tp4062 +a(g6 +V +tp4063 +a(g48 +Vadd: +p4064 +tp4065 +a(g6 +V +tp4066 +a(g87 +Vself +p4067 +tp4068 +a(g212 +V; +tp4069 +a(g6 +V +tp4070 +a(g48 +Varguments: +p4071 +tp4072 +a(g6 +V +tp4073 +a(g100 +VaCollection +p4074 +tp4075 +a(g138 +V! ! +p4076 +tp4077 +a(g6 +V\u000a\u000a +p4078 +tp4079 +a(g138 +V! +tp4080 +a(g133 +VObject +p4081 +tp4082 +a(g138 +V methodsFor: +p4083 +tp4084 +a(g236 +V'*scriptaculous' +p4085 +tp4086 +a(g138 +V stamp: 'lr 4/11/2006 19:49'! +p4087 +tp4088 +a(g6 +V\u000a +tp4089 +a(g48 +VasJavascript +p4090 +tp4091 +a(g6 +V\u000a +p4092 +tp4093 +a(g353 +V^ +tp4094 +a(g6 +V +tp4095 +a(g133 +VString +p4096 +tp4097 +a(g6 +V +tp4098 +a(g48 +VstreamContents: +p4099 +tp4100 +a(g6 +V +tp4101 +a(g6 +V[ +tp4102 +a(g6 +V +tp4103 +a(g353 +V: +tp4104 +a(g100 +Vstream +p4105 +tp4106 +a(g6 +V +tp4107 +a(g353 +V| +tp4108 +a(g6 +V +tp4109 +a(g87 +Vself +p4110 +tp4111 +a(g6 +V +tp4112 +a(g48 +VjavascriptOn: +p4113 +tp4114 +a(g6 +V +tp4115 +a(g100 +Vstream +p4116 +tp4117 +a(g6 +V +tp4118 +a(g6 +V] +tp4119 +a(g138 +V! ! +p4120 +tp4121 +a(g6 +V\u000a\u000a\u000a +p4122 +tp4123 +a(g138 +V! +tp4124 +a(g133 +VObject +p4125 +tp4126 +a(g138 +V methodsFor: +p4127 +tp4128 +a(g236 +V'*scriptaculous-printing' +p4129 +tp4130 +a(g138 +V stamp: 'lr 4/20/2006 21:10'! +p4131 +tp4132 +a(g6 +V\u000a +tp4133 +a(g48 +VjavascriptOn: +p4134 +tp4135 +a(g6 +V +tp4136 +a(g100 +VaStream +p4137 +tp4138 +a(g6 +V\u000a +p4139 +tp4140 +a(g87 +Vself +p4141 +tp4142 +a(g6 +V +tp4143 +a(g48 +VprintOn: +p4144 +tp4145 +a(g6 +V +tp4146 +a(g100 +VaStream +p4147 +tp4148 +a(g138 +V! ! +p4149 +tp4150 +a(g6 +V\u000a\u000a\u000a +p4151 +tp4152 +a(g138 +V! +tp4153 +a(g133 +VObject +p4154 +tp4155 +a(g138 +V methodsFor: +p4156 +tp4157 +a(g236 +V'*seaside2' +p4158 +tp4159 +a(g138 +V stamp: 'lr 6/5/2007 21:35'! +p4160 +tp4161 +a(g6 +V\u000a +tp4162 +a(g48 +VdeprecatedApi +p4163 +tp4164 +a(g6 +V\u000a +p4165 +tp4166 +a(g87 +Vself +p4167 +tp4168 +a(g6 +V +tp4169 +a(g48 +VdeprecatedApi: +p4170 +tp4171 +a(g6 +V +tp4172 +a(g87 +VthisContext +p4173 +tp4174 +a(g6 +V +tp4175 +a(g48 +Vsender +p4176 +tp4177 +a(g6 +V +tp4178 +a(g48 +VdisplayString +p4179 +tp4180 +a(g138 +V! ! +p4181 +tp4182 +a(g6 +V\u000a\u000a +p4183 +tp4184 +a(g138 +V! +tp4185 +a(g133 +VObject +p4186 +tp4187 +a(g138 +V methodsFor: +p4188 +tp4189 +a(g236 +V'*seaside2' +p4190 +tp4191 +a(g138 +V stamp: 'lr 6/5/2007 21:35'! +p4192 +tp4193 +a(g6 +V\u000a +tp4194 +a(g48 +VdeprecatedApi: +p4195 +tp4196 +a(g6 +V +tp4197 +a(g100 +VaString +p4198 +tp4199 +a(g6 +V\u000a +p4200 +tp4201 +a(g133 +VWADeprecatedApi +p4202 +tp4203 +a(g6 +V +tp4204 +a(g48 +VraiseSignal: +p4205 +tp4206 +a(g6 +V +tp4207 +a(g100 +VaString +p4208 +tp4209 +a(g138 +V! ! +p4210 +tp4211 +a(g6 +V\u000a\u000a +p4212 +tp4213 +a(g138 +V! +tp4214 +a(g133 +VObject +p4215 +tp4216 +a(g138 +V methodsFor: +p4217 +tp4218 +a(g236 +V'*seaside2' +p4219 +tp4220 +a(g138 +V stamp: 'lr 5/9/2007 08:47'! +p4221 +tp4222 +a(g6 +V\u000a +tp4223 +a(g48 +VinspectorFields +p4224 +tp4225 +a(g6 +V\u000a +p4226 +tp4227 +a(g353 +V| +tp4228 +a(g100 +V members +p4229 +tp4230 +a(g353 +V| +tp4231 +a(g6 +V\u000a +p4232 +tp4233 +a(g100 +Vmembers +p4234 +tp4235 +a(g6 +V +tp4236 +a(g353 +V:= +p4237 +tp4238 +a(g6 +V +tp4239 +a(g133 +VArray +p4240 +tp4241 +a(g6 +V +tp4242 +a(g84 +Vnew +p4243 +tp4244 +a(g6 +V +tp4245 +a(g48 +VwriteStream +p4246 +tp4247 +a(g212 +V. +tp4248 +a(g6 +V\u000a +p4249 +tp4250 +a(g87 +Vself +p4251 +tp4252 +a(g6 +V +tp4253 +a(g48 +Vclass +p4254 +tp4255 +a(g6 +V +tp4256 +a(g48 +VallInstVarNames +p4257 +tp4258 +a(g6 +V +tp4259 +a(g48 +VwithIndexDo: +p4260 +tp4261 +a(g6 +V +tp4262 +a(g6 +V[ +tp4263 +a(g6 +V +tp4264 +a(g353 +V: +tp4265 +a(g100 +Veach +p4266 +tp4267 +a(g6 +V +tp4268 +a(g353 +V: +tp4269 +a(g100 +Vindex +p4270 +tp4271 +a(g6 +V +tp4272 +a(g353 +V| +tp4273 +a(g6 +V\u000a +p4274 +tp4275 +a(g100 +Vmembers +p4276 +tp4277 +a(g6 +V +tp4278 +a(g48 +VnextPut: +p4279 +tp4280 +a(g6 +V +tp4281 +a(g100 +Veach +p4282 +tp4283 +a(g6 +V +tp4284 +a(g48 +V-> +p4285 +tp4286 +a(g6 +V +tp4287 +a(g6 +V( +tp4288 +a(g87 +Vself +p4289 +tp4290 +a(g6 +V +tp4291 +a(g48 +VinstVarAt: +p4292 +tp4293 +a(g6 +V +tp4294 +a(g100 +Vindex +p4295 +tp4296 +a(g6 +V) +tp4297 +a(g6 +V +tp4298 +a(g6 +V] +tp4299 +a(g212 +V. +tp4300 +a(g6 +V\u000a +p4301 +tp4302 +a(g87 +Vself +p4303 +tp4304 +a(g6 +V +tp4305 +a(g48 +Vclass +p4306 +tp4307 +a(g6 +V +tp4308 +a(g48 +VisVariable +p4309 +tp4310 +a(g6 +V +tp4311 +a(g84 +VifTrue: +p4312 +tp4313 +a(g6 +V +tp4314 +a(g6 +V[ +tp4315 +a(g6 +V\u000a +p4316 +tp4317 +a(g6 +V +tp4318 +a(g223 +V1 +tp4319 +a(g6 +V +tp4320 +a(g48 +Vto: +p4321 +tp4322 +a(g6 +V +tp4323 +a(g87 +Vself +p4324 +tp4325 +a(g6 +V +tp4326 +a(g48 +Vsize +p4327 +tp4328 +a(g6 +V +tp4329 +a(g48 +Vdo: +p4330 +tp4331 +a(g6 +V +tp4332 +a(g6 +V[ +tp4333 +a(g6 +V +tp4334 +a(g353 +V: +tp4335 +a(g100 +Vindex +p4336 +tp4337 +a(g6 +V +tp4338 +a(g353 +V| +tp4339 +a(g6 +V\u000a +p4340 +tp4341 +a(g100 +Vmembers +p4342 +tp4343 +a(g6 +V +tp4344 +a(g48 +VnextPut: +p4345 +tp4346 +a(g6 +V +tp4347 +a(g100 +Vindex +p4348 +tp4349 +a(g6 +V +tp4350 +a(g48 +V-> +p4351 +tp4352 +a(g6 +V +tp4353 +a(g6 +V( +tp4354 +a(g87 +Vself +p4355 +tp4356 +a(g6 +V +tp4357 +a(g48 +Vat: +p4358 +tp4359 +a(g6 +V +tp4360 +a(g100 +Vindex +p4361 +tp4362 +a(g6 +V) +tp4363 +a(g6 +V +tp4364 +a(g6 +V] +tp4365 +a(g6 +V +tp4366 +a(g6 +V] +tp4367 +a(g212 +V. +tp4368 +a(g6 +V\u000a +p4369 +tp4370 +a(g353 +V^ +tp4371 +a(g6 +V +tp4372 +a(g100 +Vmembers +p4373 +tp4374 +a(g6 +V +tp4375 +a(g48 +Vcontents +p4376 +tp4377 +a(g138 +V! ! +p4378 +tp4379 +a(g6 +V\u000a\u000a +p4380 +tp4381 +a(g138 +V! +tp4382 +a(g133 +VObject +p4383 +tp4384 +a(g138 +V methodsFor: +p4385 +tp4386 +a(g236 +V'*seaside2' +p4387 +tp4388 +a(g138 +V stamp: 'avi 3/14/2005 15:19'! +p4389 +tp4390 +a(g6 +V\u000a +tp4391 +a(g48 +VlabelForSelector: +p4392 +tp4393 +a(g6 +V +tp4394 +a(g100 +VaSymbol +p4395 +tp4396 +a(g6 +V\u000a +p4397 +tp4398 +a(g353 +V^ +tp4399 +a(g6 +V +tp4400 +a(g100 +VaSymbol +p4401 +tp4402 +a(g6 +V +tp4403 +a(g48 +VasCapitalizedPhrase +p4404 +tp4405 +a(g138 +V! ! +p4406 +tp4407 +a(g6 +V\u000a\u000a +p4408 +tp4409 +a(g138 +V! +tp4410 +a(g133 +VObject +p4411 +tp4412 +a(g138 +V methodsFor: +p4413 +tp4414 +a(g236 +V'*seaside2' +p4415 +tp4416 +a(g138 +V stamp: 'pmm 4/7/2007 17:14'! +p4417 +tp4418 +a(g6 +V\u000a +tp4419 +a(g48 +VrenderOn: +p4420 +tp4421 +a(g6 +V +tp4422 +a(g100 +VaRenderer +p4423 +tp4424 +a(g6 +V\u000a +p4425 +tp4426 +a(g24 +V"Override this method to customize how objects (not components) are rendered when passed as an argument to #render:. The default is the return value of #displayString.\u000a Just remember that you can not use #callback:, #on:of:, or #call:" +p4427 +tp4428 +a(g6 +V\u000a\u000a +p4429 +tp4430 +a(g100 +VaRenderer +p4431 +tp4432 +a(g6 +V +tp4433 +a(g48 +Vtext: +p4434 +tp4435 +a(g6 +V +tp4436 +a(g87 +Vself +p4437 +tp4438 +a(g138 +V! ! +p4439 +tp4440 +a(g6 +V\u000a\u000a +p4441 +tp4442 +a(g138 +V! +tp4443 +a(g133 +VObject +p4444 +tp4445 +a(g138 +V methodsFor: +p4446 +tp4447 +a(g236 +V'*seaside2' +p4448 +tp4449 +a(g138 +V stamp: 'lr 3/19/2007 23:13'! +p4450 +tp4451 +a(g6 +V\u000a +tp4452 +a(g48 +VrestoreFromSnapshot: +p4453 +tp4454 +a(g6 +V +tp4455 +a(g100 +VanObject +p4456 +tp4457 +a(g6 +V\u000a +p4458 +tp4459 +a(g87 +Vself +p4460 +tp4461 +a(g6 +V +tp4462 +a(g48 +VcopyFrom: +p4463 +tp4464 +a(g6 +V +tp4465 +a(g100 +VanObject +p4466 +tp4467 +a(g138 +V! ! +p4468 +tp4469 +a(g6 +V\u000a\u000a +p4470 +tp4471 +a(g138 +V! +tp4472 +a(g133 +VObject +p4473 +tp4474 +a(g138 +V methodsFor: +p4475 +tp4476 +a(g236 +V'*seaside2' +p4477 +tp4478 +a(g138 +V stamp: 'avi 9/1/2004 21:20'! +p4479 +tp4480 +a(g6 +V\u000a +tp4481 +a(g48 +VsnapshotCopy +p4482 +tp4483 +a(g6 +V\u000a +p4484 +tp4485 +a(g353 +V^ +tp4486 +a(g6 +V +tp4487 +a(g87 +Vself +p4488 +tp4489 +a(g6 +V +tp4490 +a(g48 +VshallowCopy +p4491 +tp4492 +a(g138 +V! ! +p4493 +tp4494 +a(g6 +V\u000a\u000a +p4495 +tp4496 +a(g138 +V! +tp4497 +a(g133 +VObject +p4498 +tp4499 +a(g138 +V methodsFor: +p4500 +tp4501 +a(g236 +V'*seaside2' +p4502 +tp4503 +a(g138 +V stamp: 'lr 10/28/2007 14:42'! +p4504 +tp4505 +a(g6 +V\u000a +tp4506 +a(g48 +VvalidationError: +p4507 +tp4508 +a(g6 +V +tp4509 +a(g100 +Vmessage +p4510 +tp4511 +a(g6 +V\u000a +p4512 +tp4513 +a(g353 +V^ +tp4514 +a(g133 +VWAValidationNotification +p4515 +tp4516 +a(g6 +V +tp4517 +a(g48 +VraiseSignal: +p4518 +tp4519 +a(g6 +V +tp4520 +a(g100 +Vmessage +p4521 +tp4522 +a(g138 +V! ! +p4523 +tp4524 +a(g6 +V\u000a\u000a\u000a +p4525 +tp4526 +a(g138 +V! +tp4527 +a(g133 +VObject +p4528 +tp4529 +a(g138 +V methodsFor: +p4530 +tp4531 +a(g236 +V'*seaside2-encoding' +p4532 +tp4533 +a(g138 +V stamp: 'lr 3/26/2007 20:16'! +p4534 +tp4535 +a(g6 +V\u000a +tp4536 +a(g48 +VencodeOn: +p4537 +tp4538 +a(g6 +V +tp4539 +a(g100 +VaDocument +p4540 +tp4541 +a(g6 +V\u000a +p4542 +tp4543 +a(g100 +VaDocument +p4544 +tp4545 +a(g6 +V +tp4546 +a(g48 +Vprint: +p4547 +tp4548 +a(g6 +V +tp4549 +a(g87 +Vself +p4550 +tp4551 +a(g6 +V +tp4552 +a(g48 +VdisplayString +p4553 +tp4554 +a(g138 +V! ! +p4555 +tp4556 +a(g6 +V\u000a\u000a\u000a +p4557 +tp4558 +a(g138 +V! +tp4559 +a(g133 +VObject +p4560 +tp4561 +a(g138 +V methodsFor: +p4562 +tp4563 +a(g236 +V'*seaside2-squeak' +p4564 +tp4565 +a(g138 +V stamp: 'pmm 5/22/2007 22:10'! +p4566 +tp4567 +a(g6 +V\u000a +tp4568 +a(g48 +VbeMutable +p4569 +tp4570 +a(g6 +V\u000a +p4571 +tp4572 +a(g24 +V"for VW compatibility, a hack that allows to cache a value in a literal array" +p4573 +tp4574 +a(g138 +V! ! +p4575 +tp4576 +a(g6 +V\u000a\u000a +p4577 +tp4578 +a(g138 +V! +tp4579 +a(g133 +VObject +p4580 +tp4581 +a(g138 +V methodsFor: +p4582 +tp4583 +a(g236 +V'*seaside2-squeak' +p4584 +tp4585 +a(g138 +V stamp: 'lr 7/12/2005 17:01'! +p4586 +tp4587 +a(g6 +V\u000a +tp4588 +a(g48 +VdisplayString +p4589 +tp4590 +a(g6 +V\u000a +p4591 +tp4592 +a(g353 +V^ +tp4593 +a(g6 +V +tp4594 +a(g87 +Vself +p4595 +tp4596 +a(g6 +V +tp4597 +a(g48 +VasString +p4598 +tp4599 +a(g138 +V! ! +p4600 +tp4601 +a(g6 +V\u000a\u000a\u000a +p4602 +tp4603 +a(g138 +V! +tp4604 +a(g133 +VObject +p4605 +tp4606 +a(g138 +V methodsFor: +p4607 +tp4608 +a(g236 +V'*services-base' +p4609 +tp4610 +a(g138 +V stamp: 'rr 3/21/2006 11:54'! +p4611 +tp4612 +a(g6 +V\u000a +tp4613 +a(g48 +Vrequestor +p4614 +tp4615 +a(g6 +V\u000a +p4616 +tp4617 +a(g24 +V"returns the focused window's requestor" +p4618 +tp4619 +a(g6 +V\u000a\u000a +p4620 +tp4621 +a(g24 +V"SystemWindow focusedWindow ifNotNilDo: [:w | ^ w requestor]." +p4622 +tp4623 +a(g6 +V\u000a\u000a +p4624 +tp4625 +a(g24 +V"triggers an infinite loop" +p4626 +tp4627 +a(g6 +V\u000a\u000a +p4628 +tp4629 +a(g353 +V^ +tp4630 +a(g6 +V +tp4631 +a(g133 +VRequestor +p4632 +tp4633 +a(g6 +V +tp4634 +a(g48 +Vdefault +p4635 +tp4636 +a(g138 +V! ! +p4637 +tp4638 +a(g6 +V\u000a\u000a\u000a +p4639 +tp4640 +a(g138 +V! +tp4641 +a(g133 +VObject +p4642 +tp4643 +a(g138 +V methodsFor: +p4644 +tp4645 +a(g236 +V'*system-support' +p4646 +tp4647 +a(g138 +V stamp: 'dvf 8/23/2003 12:27'! +p4648 +tp4649 +a(g6 +V\u000a +tp4650 +a(g48 +VsystemNavigation +p4651 +tp4652 +a(g6 +V\u000a +tp4653 +a(g6 +V\u000a +p4654 +tp4655 +a(g353 +V^ +tp4656 +a(g6 +V +tp4657 +a(g133 +VSystemNavigation +p4658 +tp4659 +a(g6 +V +tp4660 +a(g48 +Vdefault +p4661 +tp4662 +a(g138 +V! ! +p4663 +tp4664 +a(g6 +V\u000a\u000a\u000a +p4665 +tp4666 +a(g138 +V! +tp4667 +a(g133 +VObject +p4668 +tp4669 +a(g138 +V methodsFor: +p4670 +tp4671 +a(g236 +V'*tools-browser' +p4672 +tp4673 +a(g138 +V stamp: 'mu 3/6/2004 15:13'! +p4674 +tp4675 +a(g6 +V\u000a +tp4676 +a(g48 +Vbrowse +p4677 +tp4678 +a(g6 +V\u000a +p4679 +tp4680 +a(g87 +Vself +p4681 +tp4682 +a(g6 +V +tp4683 +a(g48 +VsystemNavigation +p4684 +tp4685 +a(g6 +V +tp4686 +a(g48 +VbrowseClass: +p4687 +tp4688 +a(g6 +V +tp4689 +a(g87 +Vself +p4690 +tp4691 +a(g6 +V +tp4692 +a(g48 +Vclass +p4693 +tp4694 +a(g138 +V! ! +p4695 +tp4696 +a(g6 +V\u000a\u000a +p4697 +tp4698 +a(g138 +V! +tp4699 +a(g133 +VObject +p4700 +tp4701 +a(g138 +V methodsFor: +p4702 +tp4703 +a(g236 +V'*tools-browser' +p4704 +tp4705 +a(g138 +V stamp: 'mu 3/11/2004 16:00'! +p4706 +tp4707 +a(g6 +V\u000a +tp4708 +a(g48 +VbrowseHierarchy +p4709 +tp4710 +a(g6 +V\u000a +p4711 +tp4712 +a(g87 +Vself +p4713 +tp4714 +a(g6 +V +tp4715 +a(g48 +VsystemNavigation +p4716 +tp4717 +a(g6 +V +tp4718 +a(g48 +VbrowseHierarchy: +p4719 +tp4720 +a(g6 +V +tp4721 +a(g87 +Vself +p4722 +tp4723 +a(g6 +V +tp4724 +a(g48 +Vclass +p4725 +tp4726 +a(g138 +V! ! +p4727 +tp4728 +a(g6 +V\u000a\u000a\u000a +p4729 +tp4730 +a(g138 +V! +tp4731 +a(g133 +VObject +p4732 +tp4733 +a(g138 +V methodsFor: +p4734 +tp4735 +a(g236 +V'*universes' +p4736 +tp4737 +a(g138 +V stamp: 'ls 11/26/2006 12:33'! +p4738 +tp4739 +a(g6 +V\u000a +tp4740 +a(g48 +VisUPackage +p4741 +tp4742 +a(g6 +V\u000a +p4743 +tp4744 +a(g353 +V^ +tp4745 +a(g87 +Vfalse +p4746 +tp4747 +a(g138 +V! ! +p4748 +tp4749 +a(g6 +V\u000a\u000a +p4750 +tp4751 +a(g138 +V! +tp4752 +a(g133 +VObject +p4753 +tp4754 +a(g138 +V methodsFor: +p4755 +tp4756 +a(g236 +V'*universes' +p4757 +tp4758 +a(g138 +V stamp: 'ls 11/26/2006 12:33'! +p4759 +tp4760 +a(g6 +V\u000a +tp4761 +a(g48 +VisUPackageCategory +p4762 +tp4763 +a(g6 +V\u000a +p4764 +tp4765 +a(g353 +V^ +tp4766 +a(g87 +Vfalse +p4767 +tp4768 +a(g138 +V! ! +p4769 +tp4770 +a(g6 +V\u000a\u000a\u000a +p4771 +tp4772 +a(g138 +V! +tp4773 +a(g133 +VObject +p4774 +tp4775 +a(g138 +V methodsFor: +p4776 +tp4777 +a(g236 +V'accessing' +p4778 +tp4779 +a(g138 +V stamp: 'sw 4/30/1998 12:18'! +p4780 +tp4781 +a(g6 +V\u000a +tp4782 +a(g48 +VaddInstanceVarNamed: +p4783 +tp4784 +a(g6 +V +tp4785 +a(g100 +VaName +p4786 +tp4787 +a(g6 +V +tp4788 +a(g48 +VwithValue: +p4789 +tp4790 +a(g6 +V +tp4791 +a(g100 +VaValue +p4792 +tp4793 +a(g6 +V\u000a +p4794 +tp4795 +a(g24 +V"Add an instance variable named aName and give it value aValue" +p4796 +tp4797 +a(g6 +V\u000a +p4798 +tp4799 +a(g87 +Vself +p4800 +tp4801 +a(g6 +V +tp4802 +a(g48 +Vclass +p4803 +tp4804 +a(g6 +V +tp4805 +a(g48 +VaddInstVarName: +p4806 +tp4807 +a(g6 +V +tp4808 +a(g100 +VaName +p4809 +tp4810 +a(g6 +V +tp4811 +a(g48 +VasString +p4812 +tp4813 +a(g212 +V. +tp4814 +a(g6 +V\u000a +p4815 +tp4816 +a(g87 +Vself +p4817 +tp4818 +a(g6 +V +tp4819 +a(g48 +VinstVarAt: +p4820 +tp4821 +a(g6 +V +tp4822 +a(g87 +Vself +p4823 +tp4824 +a(g6 +V +tp4825 +a(g48 +Vclass +p4826 +tp4827 +a(g6 +V +tp4828 +a(g48 +VinstSize +p4829 +tp4830 +a(g6 +V +tp4831 +a(g48 +Vput: +p4832 +tp4833 +a(g6 +V +tp4834 +a(g100 +VaValue +p4835 +tp4836 +a(g138 +V! ! +p4837 +tp4838 +a(g6 +V\u000a\u000a +p4839 +tp4840 +a(g138 +V! +tp4841 +a(g133 +VObject +p4842 +tp4843 +a(g138 +V methodsFor: +p4844 +tp4845 +a(g236 +V'accessing' +p4846 +tp4847 +a(g138 +V stamp: 'yo 6/29/2004 11:39'! +p4848 +tp4849 +a(g6 +V\u000a +tp4850 +a(g48 +Vat: +p4851 +tp4852 +a(g6 +V +tp4853 +a(g100 +Vindex +p4854 +tp4855 +a(g6 +V \u000a +p4856 +tp4857 +a(g24 +V"Primitive. Assumes receiver is indexable. Answer the value of an \u000a indexable element in the receiver. Fail if the argument index is not an \u000a Integer or is out of bounds. Essential. See Object documentation \u000a whatIsAPrimitive." +p4858 +tp4859 +a(g6 +V\u000a\u000a +p4860 +tp4861 +a(g6 +V< +tp4862 +a(g138 +Vprimitive: +p4863 +tp4864 +a(g6 +V 60 +p4865 +tp4866 +a(g6 +V> +tp4867 +a(g6 +V\u000a +p4868 +tp4869 +a(g100 +Vindex +p4870 +tp4871 +a(g6 +V +tp4872 +a(g48 +VisInteger +p4873 +tp4874 +a(g6 +V +tp4875 +a(g84 +VifTrue: +p4876 +tp4877 +a(g6 +V\u000a +p4878 +tp4879 +a(g6 +V[ +tp4880 +a(g6 +V +tp4881 +a(g87 +Vself +p4882 +tp4883 +a(g6 +V +tp4884 +a(g48 +Vclass +p4885 +tp4886 +a(g6 +V +tp4887 +a(g48 +VisVariable +p4888 +tp4889 +a(g6 +V\u000a +p4890 +tp4891 +a(g84 +VifTrue: +p4892 +tp4893 +a(g6 +V +tp4894 +a(g6 +V[ +tp4895 +a(g6 +V +tp4896 +a(g87 +Vself +p4897 +tp4898 +a(g6 +V +tp4899 +a(g48 +VerrorSubscriptBounds: +p4900 +tp4901 +a(g6 +V +tp4902 +a(g100 +Vindex +p4903 +tp4904 +a(g6 +V] +tp4905 +a(g6 +V\u000a +p4906 +tp4907 +a(g84 +VifFalse: +p4908 +tp4909 +a(g6 +V +tp4910 +a(g6 +V[ +tp4911 +a(g6 +V +tp4912 +a(g87 +Vself +p4913 +tp4914 +a(g6 +V +tp4915 +a(g48 +VerrorNotIndexable +p4916 +tp4917 +a(g6 +V] +tp4918 +a(g6 +V] +tp4919 +a(g212 +V. +tp4920 +a(g6 +V\u000a +p4921 +tp4922 +a(g100 +Vindex +p4923 +tp4924 +a(g6 +V +tp4925 +a(g48 +VisNumber +p4926 +tp4927 +a(g6 +V\u000a +p4928 +tp4929 +a(g84 +VifTrue: +p4930 +tp4931 +a(g6 +V +tp4932 +a(g6 +V[ +tp4933 +a(g6 +V +tp4934 +a(g353 +V^ +tp4935 +a(g87 +Vself +p4936 +tp4937 +a(g6 +V +tp4938 +a(g48 +Vat: +p4939 +tp4940 +a(g6 +V +tp4941 +a(g100 +Vindex +p4942 +tp4943 +a(g6 +V +tp4944 +a(g48 +VasInteger +p4945 +tp4946 +a(g6 +V] +tp4947 +a(g6 +V\u000a +p4948 +tp4949 +a(g84 +VifFalse: +p4950 +tp4951 +a(g6 +V +tp4952 +a(g6 +V[ +tp4953 +a(g6 +V +tp4954 +a(g87 +Vself +p4955 +tp4956 +a(g6 +V +tp4957 +a(g48 +VerrorNonIntegerIndex +p4958 +tp4959 +a(g6 +V] +tp4960 +a(g138 +V! ! +p4961 +tp4962 +a(g6 +V\u000a\u000a +p4963 +tp4964 +a(g138 +V! +tp4965 +a(g133 +VObject +p4966 +tp4967 +a(g138 +V methodsFor: +p4968 +tp4969 +a(g236 +V'accessing' +p4970 +tp4971 +a(g138 +V! +tp4972 +a(g6 +V\u000a +tp4973 +a(g48 +Vat: +p4974 +tp4975 +a(g6 +V +tp4976 +a(g100 +Vindex +p4977 +tp4978 +a(g6 +V +tp4979 +a(g48 +Vmodify: +p4980 +tp4981 +a(g6 +V +tp4982 +a(g100 +VaBlock +p4983 +tp4984 +a(g6 +V\u000a +p4985 +tp4986 +a(g24 +V"Replace the element of the collection with itself transformed by the block" +p4987 +tp4988 +a(g6 +V\u000a +p4989 +tp4990 +a(g353 +V^ +tp4991 +a(g6 +V +tp4992 +a(g87 +Vself +p4993 +tp4994 +a(g6 +V +tp4995 +a(g48 +Vat: +p4996 +tp4997 +a(g6 +V +tp4998 +a(g100 +Vindex +p4999 +tp5000 +a(g6 +V +tp5001 +a(g48 +Vput: +p5002 +tp5003 +a(g6 +V +tp5004 +a(g6 +V( +tp5005 +a(g100 +VaBlock +p5006 +tp5007 +a(g6 +V +tp5008 +a(g48 +Vvalue: +p5009 +tp5010 +a(g6 +V +tp5011 +a(g6 +V( +tp5012 +a(g87 +Vself +p5013 +tp5014 +a(g6 +V +tp5015 +a(g48 +Vat: +p5016 +tp5017 +a(g6 +V +tp5018 +a(g100 +Vindex +p5019 +tp5020 +a(g6 +V) +tp5021 +a(g6 +V) +tp5022 +a(g138 +V! ! +p5023 +tp5024 +a(g6 +V\u000a\u000a +p5025 +tp5026 +a(g138 +V! +tp5027 +a(g133 +VObject +p5028 +tp5029 +a(g138 +V methodsFor: +p5030 +tp5031 +a(g236 +V'accessing' +p5032 +tp5033 +a(g138 +V stamp: 'yo 6/29/2004 13:08'! +p5034 +tp5035 +a(g6 +V\u000a +tp5036 +a(g48 +Vat: +p5037 +tp5038 +a(g6 +V +tp5039 +a(g100 +Vindex +p5040 +tp5041 +a(g6 +V +tp5042 +a(g48 +Vput: +p5043 +tp5044 +a(g6 +V +tp5045 +a(g100 +Vvalue +p5046 +tp5047 +a(g6 +V \u000a +p5048 +tp5049 +a(g24 +V"Primitive. Assumes receiver is indexable. Store the argument value in \u000a the indexable element of the receiver indicated by index. Fail if the \u000a index is not an Integer or is out of bounds. Or fail if the value is not of \u000a the right type for this kind of collection. Answer the value that was \u000a stored. Essential. See Object documentation whatIsAPrimitive." +p5050 +tp5051 +a(g6 +V\u000a\u000a +p5052 +tp5053 +a(g6 +V< +tp5054 +a(g138 +Vprimitive: +p5055 +tp5056 +a(g6 +V 61 +p5057 +tp5058 +a(g6 +V> +tp5059 +a(g6 +V\u000a +p5060 +tp5061 +a(g100 +Vindex +p5062 +tp5063 +a(g6 +V +tp5064 +a(g48 +VisInteger +p5065 +tp5066 +a(g6 +V +tp5067 +a(g84 +VifTrue: +p5068 +tp5069 +a(g6 +V\u000a +p5070 +tp5071 +a(g6 +V[ +tp5072 +a(g6 +V +tp5073 +a(g87 +Vself +p5074 +tp5075 +a(g6 +V +tp5076 +a(g48 +Vclass +p5077 +tp5078 +a(g6 +V +tp5079 +a(g48 +VisVariable +p5080 +tp5081 +a(g6 +V\u000a +p5082 +tp5083 +a(g84 +VifTrue: +p5084 +tp5085 +a(g6 +V +tp5086 +a(g6 +V[ +tp5087 +a(g6 +V +tp5088 +a(g6 +V( +tp5089 +a(g100 +Vindex +p5090 +tp5091 +a(g6 +V +tp5092 +a(g48 +V>= +p5093 +tp5094 +a(g6 +V +tp5095 +a(g223 +V1 +tp5096 +a(g6 +V +tp5097 +a(g48 +Vand: +p5098 +tp5099 +a(g6 +V +tp5100 +a(g6 +V[ +tp5101 +a(g6 +V +tp5102 +a(g100 +Vindex +p5103 +tp5104 +a(g6 +V +tp5105 +a(g48 +V<= +p5106 +tp5107 +a(g6 +V +tp5108 +a(g87 +Vself +p5109 +tp5110 +a(g6 +V +tp5111 +a(g48 +Vsize +p5112 +tp5113 +a(g6 +V] +tp5114 +a(g6 +V) +tp5115 +a(g6 +V\u000a +p5116 +tp5117 +a(g84 +VifTrue: +p5118 +tp5119 +a(g6 +V +tp5120 +a(g6 +V[ +tp5121 +a(g6 +V +tp5122 +a(g87 +Vself +p5123 +tp5124 +a(g6 +V +tp5125 +a(g48 +VerrorImproperStore +p5126 +tp5127 +a(g6 +V] +tp5128 +a(g6 +V\u000a +p5129 +tp5130 +a(g84 +VifFalse: +p5131 +tp5132 +a(g6 +V +tp5133 +a(g6 +V[ +tp5134 +a(g6 +V +tp5135 +a(g87 +Vself +p5136 +tp5137 +a(g6 +V +tp5138 +a(g48 +VerrorSubscriptBounds: +p5139 +tp5140 +a(g6 +V +tp5141 +a(g100 +Vindex +p5142 +tp5143 +a(g6 +V] +tp5144 +a(g6 +V] +tp5145 +a(g6 +V\u000a +p5146 +tp5147 +a(g84 +VifFalse: +p5148 +tp5149 +a(g6 +V +tp5150 +a(g6 +V[ +tp5151 +a(g6 +V +tp5152 +a(g87 +Vself +p5153 +tp5154 +a(g6 +V +tp5155 +a(g48 +VerrorNotIndexable +p5156 +tp5157 +a(g6 +V] +tp5158 +a(g6 +V] +tp5159 +a(g212 +V. +tp5160 +a(g6 +V\u000a +p5161 +tp5162 +a(g100 +Vindex +p5163 +tp5164 +a(g6 +V +tp5165 +a(g48 +VisNumber +p5166 +tp5167 +a(g6 +V\u000a +p5168 +tp5169 +a(g84 +VifTrue: +p5170 +tp5171 +a(g6 +V +tp5172 +a(g6 +V[ +tp5173 +a(g6 +V +tp5174 +a(g353 +V^ +tp5175 +a(g87 +Vself +p5176 +tp5177 +a(g6 +V +tp5178 +a(g48 +Vat: +p5179 +tp5180 +a(g6 +V +tp5181 +a(g100 +Vindex +p5182 +tp5183 +a(g6 +V +tp5184 +a(g48 +VasInteger +p5185 +tp5186 +a(g6 +V +tp5187 +a(g48 +Vput: +p5188 +tp5189 +a(g6 +V +tp5190 +a(g100 +Vvalue +p5191 +tp5192 +a(g6 +V] +tp5193 +a(g6 +V\u000a +p5194 +tp5195 +a(g84 +VifFalse: +p5196 +tp5197 +a(g6 +V +tp5198 +a(g6 +V[ +tp5199 +a(g6 +V +tp5200 +a(g87 +Vself +p5201 +tp5202 +a(g6 +V +tp5203 +a(g48 +VerrorNonIntegerIndex +p5204 +tp5205 +a(g6 +V] +tp5206 +a(g138 +V! ! +p5207 +tp5208 +a(g6 +V\u000a\u000a +p5209 +tp5210 +a(g138 +V! +tp5211 +a(g133 +VObject +p5212 +tp5213 +a(g138 +V methodsFor: +p5214 +tp5215 +a(g236 +V'accessing' +p5216 +tp5217 +a(g138 +V stamp: 'yo 9/20/2004 10:22'! +p5218 +tp5219 +a(g6 +V\u000a +tp5220 +a(g48 +VbasicAddInstanceVarNamed: +p5221 +tp5222 +a(g6 +V +tp5223 +a(g100 +VaName +p5224 +tp5225 +a(g6 +V +tp5226 +a(g48 +VwithValue: +p5227 +tp5228 +a(g6 +V +tp5229 +a(g100 +VaValue +p5230 +tp5231 +a(g6 +V\u000a +p5232 +tp5233 +a(g24 +V"Add an instance variable named aName and give it value aValue" +p5234 +tp5235 +a(g6 +V\u000a +p5236 +tp5237 +a(g87 +Vself +p5238 +tp5239 +a(g6 +V +tp5240 +a(g48 +Vclass +p5241 +tp5242 +a(g6 +V +tp5243 +a(g48 +VaddInstVarName: +p5244 +tp5245 +a(g6 +V +tp5246 +a(g100 +VaName +p5247 +tp5248 +a(g6 +V +tp5249 +a(g48 +VasString +p5250 +tp5251 +a(g212 +V. +tp5252 +a(g6 +V\u000a +p5253 +tp5254 +a(g87 +Vself +p5255 +tp5256 +a(g6 +V +tp5257 +a(g48 +VinstVarAt: +p5258 +tp5259 +a(g6 +V +tp5260 +a(g87 +Vself +p5261 +tp5262 +a(g6 +V +tp5263 +a(g48 +Vclass +p5264 +tp5265 +a(g6 +V +tp5266 +a(g48 +VinstSize +p5267 +tp5268 +a(g6 +V +tp5269 +a(g48 +Vput: +p5270 +tp5271 +a(g6 +V +tp5272 +a(g100 +VaValue +p5273 +tp5274 +a(g138 +V! ! +p5275 +tp5276 +a(g6 +V\u000a\u000a +p5277 +tp5278 +a(g138 +V! +tp5279 +a(g133 +VObject +p5280 +tp5281 +a(g138 +V methodsFor: +p5282 +tp5283 +a(g236 +V'accessing' +p5284 +tp5285 +a(g138 +V! +tp5286 +a(g6 +V\u000a +tp5287 +a(g48 +VbasicAt: +p5288 +tp5289 +a(g6 +V +tp5290 +a(g100 +Vindex +p5291 +tp5292 +a(g6 +V \u000a +p5293 +tp5294 +a(g24 +V"Primitive. Assumes receiver is indexable. Answer the value of an \u000a indexable element in the receiver. Fail if the argument index is not an \u000a Integer or is out of bounds. Essential. Do not override in a subclass. See \u000a Object documentation whatIsAPrimitive." +p5295 +tp5296 +a(g6 +V\u000a\u000a +p5297 +tp5298 +a(g6 +V< +tp5299 +a(g138 +Vprimitive: +p5300 +tp5301 +a(g6 +V 60 +p5302 +tp5303 +a(g6 +V> +tp5304 +a(g6 +V\u000a +p5305 +tp5306 +a(g100 +Vindex +p5307 +tp5308 +a(g6 +V +tp5309 +a(g48 +VisInteger +p5310 +tp5311 +a(g6 +V +tp5312 +a(g84 +VifTrue: +p5313 +tp5314 +a(g6 +V +tp5315 +a(g6 +V[ +tp5316 +a(g6 +V +tp5317 +a(g87 +Vself +p5318 +tp5319 +a(g6 +V +tp5320 +a(g48 +VerrorSubscriptBounds: +p5321 +tp5322 +a(g6 +V +tp5323 +a(g100 +Vindex +p5324 +tp5325 +a(g6 +V] +tp5326 +a(g212 +V. +tp5327 +a(g6 +V\u000a +p5328 +tp5329 +a(g100 +Vindex +p5330 +tp5331 +a(g6 +V +tp5332 +a(g48 +VisNumber +p5333 +tp5334 +a(g6 +V\u000a +p5335 +tp5336 +a(g84 +VifTrue: +p5337 +tp5338 +a(g6 +V +tp5339 +a(g6 +V[ +tp5340 +a(g6 +V +tp5341 +a(g353 +V^ +tp5342 +a(g87 +Vself +p5343 +tp5344 +a(g6 +V +tp5345 +a(g48 +VbasicAt: +p5346 +tp5347 +a(g6 +V +tp5348 +a(g100 +Vindex +p5349 +tp5350 +a(g6 +V +tp5351 +a(g48 +VasInteger +p5352 +tp5353 +a(g6 +V] +tp5354 +a(g6 +V\u000a +p5355 +tp5356 +a(g84 +VifFalse: +p5357 +tp5358 +a(g6 +V +tp5359 +a(g6 +V[ +tp5360 +a(g6 +V +tp5361 +a(g87 +Vself +p5362 +tp5363 +a(g6 +V +tp5364 +a(g48 +VerrorNonIntegerIndex +p5365 +tp5366 +a(g6 +V] +tp5367 +a(g138 +V! ! +p5368 +tp5369 +a(g6 +V\u000a\u000a +p5370 +tp5371 +a(g138 +V! +tp5372 +a(g133 +VObject +p5373 +tp5374 +a(g138 +V methodsFor: +p5375 +tp5376 +a(g236 +V'accessing' +p5377 +tp5378 +a(g138 +V! +tp5379 +a(g6 +V\u000a +tp5380 +a(g48 +VbasicAt: +p5381 +tp5382 +a(g6 +V +tp5383 +a(g100 +Vindex +p5384 +tp5385 +a(g6 +V +tp5386 +a(g48 +Vput: +p5387 +tp5388 +a(g6 +V +tp5389 +a(g100 +Vvalue +p5390 +tp5391 +a(g6 +V \u000a +p5392 +tp5393 +a(g24 +V"Primitive. Assumes receiver is indexable. Store the second argument \u000a value in the indexable element of the receiver indicated by index. Fail \u000a if the index is not an Integer or is out of bounds. Or fail if the value is \u000a not of the right type for this kind of collection. Answer the value that \u000a was stored. Essential. Do not override in a subclass. See Object \u000a documentation whatIsAPrimitive." +p5394 +tp5395 +a(g6 +V\u000a\u000a +p5396 +tp5397 +a(g6 +V< +tp5398 +a(g138 +Vprimitive: +p5399 +tp5400 +a(g6 +V 61 +p5401 +tp5402 +a(g6 +V> +tp5403 +a(g6 +V\u000a +p5404 +tp5405 +a(g100 +Vindex +p5406 +tp5407 +a(g6 +V +tp5408 +a(g48 +VisInteger +p5409 +tp5410 +a(g6 +V\u000a +p5411 +tp5412 +a(g84 +VifTrue: +p5413 +tp5414 +a(g6 +V +tp5415 +a(g6 +V[ +tp5416 +a(g6 +V +tp5417 +a(g6 +V( +tp5418 +a(g100 +Vindex +p5419 +tp5420 +a(g6 +V +tp5421 +a(g48 +V>= +p5422 +tp5423 +a(g6 +V +tp5424 +a(g223 +V1 +tp5425 +a(g6 +V +tp5426 +a(g48 +Vand: +p5427 +tp5428 +a(g6 +V +tp5429 +a(g6 +V[ +tp5430 +a(g6 +V +tp5431 +a(g100 +Vindex +p5432 +tp5433 +a(g6 +V +tp5434 +a(g48 +V<= +p5435 +tp5436 +a(g6 +V +tp5437 +a(g87 +Vself +p5438 +tp5439 +a(g6 +V +tp5440 +a(g48 +Vsize +p5441 +tp5442 +a(g6 +V] +tp5443 +a(g6 +V) +tp5444 +a(g6 +V\u000a +p5445 +tp5446 +a(g84 +VifTrue: +p5447 +tp5448 +a(g6 +V +tp5449 +a(g6 +V[ +tp5450 +a(g6 +V +tp5451 +a(g87 +Vself +p5452 +tp5453 +a(g6 +V +tp5454 +a(g48 +VerrorImproperStore +p5455 +tp5456 +a(g6 +V] +tp5457 +a(g6 +V\u000a +p5458 +tp5459 +a(g84 +VifFalse: +p5460 +tp5461 +a(g6 +V +tp5462 +a(g6 +V[ +tp5463 +a(g6 +V +tp5464 +a(g87 +Vself +p5465 +tp5466 +a(g6 +V +tp5467 +a(g48 +VerrorSubscriptBounds: +p5468 +tp5469 +a(g6 +V +tp5470 +a(g100 +Vindex +p5471 +tp5472 +a(g6 +V] +tp5473 +a(g6 +V] +tp5474 +a(g212 +V. +tp5475 +a(g6 +V\u000a +p5476 +tp5477 +a(g100 +Vindex +p5478 +tp5479 +a(g6 +V +tp5480 +a(g48 +VisNumber +p5481 +tp5482 +a(g6 +V\u000a +p5483 +tp5484 +a(g84 +VifTrue: +p5485 +tp5486 +a(g6 +V +tp5487 +a(g6 +V[ +tp5488 +a(g6 +V +tp5489 +a(g353 +V^ +tp5490 +a(g87 +Vself +p5491 +tp5492 +a(g6 +V +tp5493 +a(g48 +VbasicAt: +p5494 +tp5495 +a(g6 +V +tp5496 +a(g100 +Vindex +p5497 +tp5498 +a(g6 +V +tp5499 +a(g48 +VasInteger +p5500 +tp5501 +a(g6 +V +tp5502 +a(g48 +Vput: +p5503 +tp5504 +a(g6 +V +tp5505 +a(g100 +Vvalue +p5506 +tp5507 +a(g6 +V] +tp5508 +a(g6 +V\u000a +p5509 +tp5510 +a(g84 +VifFalse: +p5511 +tp5512 +a(g6 +V +tp5513 +a(g6 +V[ +tp5514 +a(g6 +V +tp5515 +a(g87 +Vself +p5516 +tp5517 +a(g6 +V +tp5518 +a(g48 +VerrorNonIntegerIndex +p5519 +tp5520 +a(g6 +V] +tp5521 +a(g138 +V! ! +p5522 +tp5523 +a(g6 +V\u000a\u000a +p5524 +tp5525 +a(g138 +V! +tp5526 +a(g133 +VObject +p5527 +tp5528 +a(g138 +V methodsFor: +p5529 +tp5530 +a(g236 +V'accessing' +p5531 +tp5532 +a(g138 +V! +tp5533 +a(g6 +V\u000a +tp5534 +a(g48 +VbasicSize +p5535 +tp5536 +a(g6 +V\u000a +p5537 +tp5538 +a(g24 +V"Primitive. Answer the number of indexable variables in the receiver. \u000a This value is the same as the largest legal subscript. Essential. Do not \u000a override in any subclass. See Object documentation whatIsAPrimitive." +p5539 +tp5540 +a(g6 +V\u000a\u000a +p5541 +tp5542 +a(g6 +V< +tp5543 +a(g138 +Vprimitive: +p5544 +tp5545 +a(g6 +V 62 +p5546 +tp5547 +a(g6 +V> +tp5548 +a(g6 +V\u000a +p5549 +tp5550 +a(g24 +V"The number of indexable fields of fixed-length objects is 0" +p5551 +tp5552 +a(g6 +V\u000a +p5553 +tp5554 +a(g353 +V^ +tp5555 +a(g223 +V0 +tp5556 +a(g6 +V +tp5557 +a(g138 +V! ! +p5558 +tp5559 +a(g6 +V\u000a\u000a +p5560 +tp5561 +a(g138 +V! +tp5562 +a(g133 +VObject +p5563 +tp5564 +a(g138 +V methodsFor: +p5565 +tp5566 +a(g236 +V'accessing' +p5567 +tp5568 +a(g138 +V! +tp5569 +a(g6 +V\u000a +tp5570 +a(g48 +VbindWithTemp: +p5571 +tp5572 +a(g6 +V +tp5573 +a(g100 +VaBlock +p5574 +tp5575 +a(g6 +V\u000a +p5576 +tp5577 +a(g353 +V^ +tp5578 +a(g6 +V +tp5579 +a(g100 +VaBlock +p5580 +tp5581 +a(g6 +V +tp5582 +a(g48 +Vvalue: +p5583 +tp5584 +a(g6 +V +tp5585 +a(g87 +Vself +p5586 +tp5587 +a(g6 +V +tp5588 +a(g48 +Vvalue: +p5589 +tp5590 +a(g6 +V +tp5591 +a(g87 +Vnil +p5592 +tp5593 +a(g138 +V! ! +p5594 +tp5595 +a(g6 +V\u000a\u000a +p5596 +tp5597 +a(g138 +V! +tp5598 +a(g133 +VObject +p5599 +tp5600 +a(g138 +V methodsFor: +p5601 +tp5602 +a(g236 +V'accessing' +p5603 +tp5604 +a(g138 +V stamp: 'md 10/7/2004 15:43'! +p5605 +tp5606 +a(g6 +V\u000a +tp5607 +a(g48 +VifNil: +p5608 +tp5609 +a(g6 +V +tp5610 +a(g100 +VnilBlock +p5611 +tp5612 +a(g6 +V +tp5613 +a(g48 +VifNotNilDo: +p5614 +tp5615 +a(g6 +V +tp5616 +a(g100 +VaBlock +p5617 +tp5618 +a(g6 +V \u000a +p5619 +tp5620 +a(g24 +V"Evaluate aBlock with the receiver as its argument." +p5621 +tp5622 +a(g6 +V\u000a\u000a +p5623 +tp5624 +a(g353 +V^ +tp5625 +a(g6 +V +tp5626 +a(g100 +VaBlock +p5627 +tp5628 +a(g6 +V +tp5629 +a(g48 +Vvalue: +p5630 +tp5631 +a(g6 +V +tp5632 +a(g87 +Vself +p5633 +tp5634 +a(g6 +V\u000a +tp5635 +a(g138 +V! ! +p5636 +tp5637 +a(g6 +V\u000a\u000a +p5638 +tp5639 +a(g138 +V! +tp5640 +a(g133 +VObject +p5641 +tp5642 +a(g138 +V methodsFor: +p5643 +tp5644 +a(g236 +V'accessing' +p5645 +tp5646 +a(g138 +V stamp: 'di 11/8/2000 21:04'! +p5647 +tp5648 +a(g6 +V\u000a +tp5649 +a(g48 +VifNotNilDo: +p5650 +tp5651 +a(g6 +V +tp5652 +a(g100 +VaBlock +p5653 +tp5654 +a(g6 +V\u000a +p5655 +tp5656 +a(g24 +V"Evaluate the given block with the receiver as its argument." +p5657 +tp5658 +a(g6 +V\u000a\u000a +p5659 +tp5660 +a(g353 +V^ +tp5661 +a(g6 +V +tp5662 +a(g100 +VaBlock +p5663 +tp5664 +a(g6 +V +tp5665 +a(g48 +Vvalue: +p5666 +tp5667 +a(g6 +V +tp5668 +a(g87 +Vself +p5669 +tp5670 +a(g6 +V\u000a +tp5671 +a(g138 +V! ! +p5672 +tp5673 +a(g6 +V\u000a\u000a +p5674 +tp5675 +a(g138 +V! +tp5676 +a(g133 +VObject +p5677 +tp5678 +a(g138 +V methodsFor: +p5679 +tp5680 +a(g236 +V'accessing' +p5681 +tp5682 +a(g138 +V stamp: 'md 10/7/2004 15:43'! +p5683 +tp5684 +a(g6 +V\u000a +tp5685 +a(g48 +VifNotNilDo: +p5686 +tp5687 +a(g6 +V +tp5688 +a(g100 +VaBlock +p5689 +tp5690 +a(g6 +V +tp5691 +a(g48 +VifNil: +p5692 +tp5693 +a(g6 +V +tp5694 +a(g100 +VnilBlock +p5695 +tp5696 +a(g6 +V\u000a +p5697 +tp5698 +a(g24 +V"Evaluate aBlock with the receiver as its argument." +p5699 +tp5700 +a(g6 +V\u000a\u000a +p5701 +tp5702 +a(g353 +V^ +tp5703 +a(g6 +V +tp5704 +a(g100 +VaBlock +p5705 +tp5706 +a(g6 +V +tp5707 +a(g48 +Vvalue: +p5708 +tp5709 +a(g6 +V +tp5710 +a(g87 +Vself +p5711 +tp5712 +a(g6 +V\u000a +tp5713 +a(g138 +V! ! +p5714 +tp5715 +a(g6 +V\u000a\u000a +p5716 +tp5717 +a(g138 +V! +tp5718 +a(g133 +VObject +p5719 +tp5720 +a(g138 +V methodsFor: +p5721 +tp5722 +a(g236 +V'accessing' +p5723 +tp5724 +a(g138 +V stamp: 'ajh 1/21/2003 12:59'! +p5725 +tp5726 +a(g6 +V\u000a +tp5727 +a(g48 +Vin: +p5728 +tp5729 +a(g6 +V +tp5730 +a(g100 +VaBlock +p5731 +tp5732 +a(g6 +V\u000a +p5733 +tp5734 +a(g24 +V"Evaluate the given block with the receiver as its argument." +p5735 +tp5736 +a(g6 +V\u000a\u000a +p5737 +tp5738 +a(g353 +V^ +tp5739 +a(g6 +V +tp5740 +a(g100 +VaBlock +p5741 +tp5742 +a(g6 +V +tp5743 +a(g48 +Vvalue: +p5744 +tp5745 +a(g6 +V +tp5746 +a(g87 +Vself +p5747 +tp5748 +a(g6 +V\u000a +tp5749 +a(g138 +V! ! +p5750 +tp5751 +a(g6 +V\u000a\u000a +p5752 +tp5753 +a(g138 +V! +tp5754 +a(g133 +VObject +p5755 +tp5756 +a(g138 +V methodsFor: +p5757 +tp5758 +a(g236 +V'accessing' +p5759 +tp5760 +a(g138 +V stamp: 'sw 10/17/2000 11:15'! +p5761 +tp5762 +a(g6 +V\u000a +tp5763 +a(g48 +Vpresenter +p5764 +tp5765 +a(g6 +V\u000a +p5766 +tp5767 +a(g24 +V"Answer the presenter object associated with the receiver. For morphs, there is in effect a clear containment hierarchy of presenters (accessed via their association with PasteUpMorphs); for arbitrary objects the hook is simply via the current world, at least at present." +p5768 +tp5769 +a(g6 +V\u000a\u000a +p5770 +tp5771 +a(g353 +V^ +tp5772 +a(g6 +V +tp5773 +a(g87 +Vself +p5774 +tp5775 +a(g6 +V +tp5776 +a(g48 +VcurrentWorld +p5777 +tp5778 +a(g6 +V +tp5779 +a(g48 +Vpresenter +p5780 +tp5781 +a(g138 +V! ! +p5782 +tp5783 +a(g6 +V\u000a\u000a +p5784 +tp5785 +a(g138 +V! +tp5786 +a(g133 +VObject +p5787 +tp5788 +a(g138 +V methodsFor: +p5789 +tp5790 +a(g236 +V'accessing' +p5791 +tp5792 +a(g138 +V! +tp5793 +a(g6 +V\u000a +tp5794 +a(g48 +VreadFromString: +p5795 +tp5796 +a(g6 +V +tp5797 +a(g100 +VaString +p5798 +tp5799 +a(g6 +V\u000a +p5800 +tp5801 +a(g24 +V"Create an object based on the contents of aString." +p5802 +tp5803 +a(g6 +V\u000a\u000a +p5804 +tp5805 +a(g353 +V^ +tp5806 +a(g87 +Vself +p5807 +tp5808 +a(g6 +V +tp5809 +a(g48 +VreadFrom: +p5810 +tp5811 +a(g6 +V +tp5812 +a(g6 +V( +tp5813 +a(g133 +VReadStream +p5814 +tp5815 +a(g6 +V +tp5816 +a(g48 +Von: +p5817 +tp5818 +a(g6 +V +tp5819 +a(g100 +VaString +p5820 +tp5821 +a(g6 +V) +tp5822 +a(g138 +V! ! +p5823 +tp5824 +a(g6 +V\u000a\u000a +p5825 +tp5826 +a(g138 +V! +tp5827 +a(g133 +VObject +p5828 +tp5829 +a(g138 +V methodsFor: +p5830 +tp5831 +a(g236 +V'accessing' +p5832 +tp5833 +a(g138 +V stamp: 'di 3/29/1999 13:10'! +p5834 +tp5835 +a(g6 +V\u000a +tp5836 +a(g48 +Vsize +p5837 +tp5838 +a(g6 +V\u000a +p5839 +tp5840 +a(g24 +V"Primitive. Answer the number of indexable variables in the receiver. \u000a This value is the same as the largest legal subscript. Essential. See Object \u000a documentation whatIsAPrimitive." +p5841 +tp5842 +a(g6 +V\u000a\u000a +p5843 +tp5844 +a(g6 +V< +tp5845 +a(g138 +Vprimitive: +p5846 +tp5847 +a(g6 +V 62 +p5848 +tp5849 +a(g6 +V> +tp5850 +a(g6 +V\u000a +p5851 +tp5852 +a(g87 +Vself +p5853 +tp5854 +a(g6 +V +tp5855 +a(g48 +Vclass +p5856 +tp5857 +a(g6 +V +tp5858 +a(g48 +VisVariable +p5859 +tp5860 +a(g6 +V +tp5861 +a(g84 +VifFalse: +p5862 +tp5863 +a(g6 +V +tp5864 +a(g6 +V[ +tp5865 +a(g6 +V +tp5866 +a(g87 +Vself +p5867 +tp5868 +a(g6 +V +tp5869 +a(g48 +VerrorNotIndexable +p5870 +tp5871 +a(g6 +V] +tp5872 +a(g212 +V. +tp5873 +a(g6 +V\u000a +p5874 +tp5875 +a(g353 +V^ +tp5876 +a(g6 +V +tp5877 +a(g223 +V0 +tp5878 +a(g138 +V! ! +p5879 +tp5880 +a(g6 +V\u000a\u000a +p5881 +tp5882 +a(g138 +V! +tp5883 +a(g133 +VObject +p5884 +tp5885 +a(g138 +V methodsFor: +p5886 +tp5887 +a(g236 +V'accessing' +p5888 +tp5889 +a(g138 +V stamp: 'md 5/16/2006 12:34'! +p5890 +tp5891 +a(g6 +V\u000a +tp5892 +a(g48 +Vyourself +p5893 +tp5894 +a(g6 +V\u000a +p5895 +tp5896 +a(g24 +V"Answer self." +p5897 +tp5898 +a(g6 +V\u000a +p5899 +tp5900 +a(g353 +V^ +tp5901 +a(g87 +Vself +p5902 +tp5903 +a(g138 +V! ! +p5904 +tp5905 +a(g6 +V\u000a\u000a\u000a +p5906 +tp5907 +a(g138 +V! +tp5908 +a(g133 +VObject +p5909 +tp5910 +a(g138 +V methodsFor: +p5911 +tp5912 +a(g236 +V'associating' +p5913 +tp5914 +a(g138 +V stamp: 'md 7/22/2005 16:03'! +p5915 +tp5916 +a(g6 +V\u000a +tp5917 +a(g48 +V-> +p5918 +tp5919 +a(g6 +V +tp5920 +a(g100 +VanObject +p5921 +tp5922 +a(g6 +V\u000a +p5923 +tp5924 +a(g24 +V"Answer an Association between self and anObject" +p5925 +tp5926 +a(g6 +V\u000a\u000a +p5927 +tp5928 +a(g353 +V^ +tp5929 +a(g133 +VAssociation +p5930 +tp5931 +a(g6 +V +tp5932 +a(g48 +VbasicNew +p5933 +tp5934 +a(g6 +V +tp5935 +a(g48 +Vkey: +p5936 +tp5937 +a(g6 +V +tp5938 +a(g87 +Vself +p5939 +tp5940 +a(g6 +V +tp5941 +a(g48 +Vvalue: +p5942 +tp5943 +a(g6 +V +tp5944 +a(g100 +VanObject +p5945 +tp5946 +a(g138 +V! ! +p5947 +tp5948 +a(g6 +V\u000a\u000a\u000a +p5949 +tp5950 +a(g138 +V! +tp5951 +a(g133 +VObject +p5952 +tp5953 +a(g138 +V methodsFor: +p5954 +tp5955 +a(g236 +V'binding' +p5956 +tp5957 +a(g138 +V! +tp5958 +a(g6 +V\u000a +tp5959 +a(g48 +VbindingOf: +p5960 +tp5961 +a(g6 +V +tp5962 +a(g100 +VaString +p5963 +tp5964 +a(g6 +V\u000a +p5965 +tp5966 +a(g353 +V^ +tp5967 +a(g87 +Vnil +p5968 +tp5969 +a(g138 +V! ! +p5970 +tp5971 +a(g6 +V\u000a\u000a\u000a +p5972 +tp5973 +a(g138 +V! +tp5974 +a(g133 +VObject +p5975 +tp5976 +a(g138 +V methodsFor: +p5977 +tp5978 +a(g236 +V'breakpoint' +p5979 +tp5980 +a(g138 +V stamp: 'bkv 7/1/2003 12:33'! +p5981 +tp5982 +a(g6 +V\u000a +tp5983 +a(g48 +Vbreak +p5984 +tp5985 +a(g6 +V\u000a +p5986 +tp5987 +a(g24 +V"This is a simple message to use for inserting breakpoints during debugging.\u000a The debugger is opened by sending a signal. This gives a chance to restore\u000a invariants related to multiple processes." +p5988 +tp5989 +a(g6 +V\u000a\u000a +p5990 +tp5991 +a(g133 +VBreakPoint +p5992 +tp5993 +a(g6 +V +tp5994 +a(g48 +Vsignal +p5995 +tp5996 +a(g212 +V. +tp5997 +a(g6 +V\u000a\u000a +p5998 +tp5999 +a(g24 +V"nil break." +p6000 +tp6001 +a(g138 +V! ! +p6002 +tp6003 +a(g6 +V\u000a\u000a\u000a +p6004 +tp6005 +a(g138 +V! +tp6006 +a(g133 +VObject +p6007 +tp6008 +a(g138 +V methodsFor: +p6009 +tp6010 +a(g236 +V'casing' +p6011 +tp6012 +a(g138 +V! +tp6013 +a(g6 +V\u000a +tp6014 +a(g48 +VcaseOf: +p6015 +tp6016 +a(g6 +V +tp6017 +a(g100 +VaBlockAssociationCollection +p6018 +tp6019 +a(g6 +V\u000a +p6020 +tp6021 +a(g24 +V"The elements of aBlockAssociationCollection are associations between blocks.\u000a Answer the evaluated value of the first association in aBlockAssociationCollection\u000a whose evaluated key equals the receiver. If no match is found, report an error." +p6022 +tp6023 +a(g6 +V\u000a\u000a +p6024 +tp6025 +a(g353 +V^ +tp6026 +a(g6 +V +tp6027 +a(g87 +Vself +p6028 +tp6029 +a(g6 +V +tp6030 +a(g48 +VcaseOf: +p6031 +tp6032 +a(g6 +V +tp6033 +a(g100 +VaBlockAssociationCollection +p6034 +tp6035 +a(g6 +V +tp6036 +a(g48 +Votherwise: +p6037 +tp6038 +a(g6 +V +tp6039 +a(g6 +V[ +tp6040 +a(g6 +V +tp6041 +a(g87 +Vself +p6042 +tp6043 +a(g6 +V +tp6044 +a(g48 +VcaseError +p6045 +tp6046 +a(g6 +V] +tp6047 +a(g6 +V\u000a\u000a +p6048 +tp6049 +a(g24 +V"| z | z _ {[#a]->[1+1]. ['b' asSymbol]->[2+2]. [#c]->[3+3]}. #b caseOf: z" +p6050 +tp6051 +a(g6 +V\u000a +tp6052 +a(g24 +V"| z | z _ {[#a]->[1+1]. ['d' asSymbol]->[2+2]. [#c]->[3+3]}. #b caseOf: z" +p6053 +tp6054 +a(g6 +V\u000a +tp6055 +a(g24 +V"The following are compiled in-line:" +p6056 +tp6057 +a(g6 +V\u000a +tp6058 +a(g24 +V"#b caseOf: {[#a]->[1+1]. ['b' asSymbol]->[2+2]. [#c]->[3+3]}" +p6059 +tp6060 +a(g6 +V\u000a +tp6061 +a(g24 +V"#b caseOf: {[#a]->[1+1]. ['d' asSymbol]->[2+2]. [#c]->[3+3]}" +p6062 +tp6063 +a(g138 +V! ! +p6064 +tp6065 +a(g6 +V\u000a\u000a +p6066 +tp6067 +a(g138 +V! +tp6068 +a(g133 +VObject +p6069 +tp6070 +a(g138 +V methodsFor: +p6071 +tp6072 +a(g236 +V'casing' +p6073 +tp6074 +a(g138 +V! +tp6075 +a(g6 +V\u000a +tp6076 +a(g48 +VcaseOf: +p6077 +tp6078 +a(g6 +V +tp6079 +a(g100 +VaBlockAssociationCollection +p6080 +tp6081 +a(g6 +V +tp6082 +a(g48 +Votherwise: +p6083 +tp6084 +a(g6 +V +tp6085 +a(g100 +VaBlock +p6086 +tp6087 +a(g6 +V\u000a +p6088 +tp6089 +a(g24 +V"The elements of aBlockAssociationCollection are associations between blocks.\u000a Answer the evaluated value of the first association in aBlockAssociationCollection\u000a whose evaluated key equals the receiver. If no match is found, answer the result\u000a of evaluating aBlock." +p6090 +tp6091 +a(g6 +V\u000a\u000a +p6092 +tp6093 +a(g100 +VaBlockAssociationCollection +p6094 +tp6095 +a(g6 +V +tp6096 +a(g48 +VassociationsDo: +p6097 +tp6098 +a(g6 +V\u000a +p6099 +tp6100 +a(g6 +V[ +tp6101 +a(g353 +V: +tp6102 +a(g100 +Vassoc +p6103 +tp6104 +a(g6 +V +tp6105 +a(g353 +V| +tp6106 +a(g6 +V +tp6107 +a(g6 +V( +tp6108 +a(g100 +Vassoc +p6109 +tp6110 +a(g6 +V +tp6111 +a(g48 +Vkey +p6112 +tp6113 +a(g6 +V +tp6114 +a(g48 +Vvalue +p6115 +tp6116 +a(g6 +V +tp6117 +a(g48 +V= +tp6118 +a(g6 +V +tp6119 +a(g87 +Vself +p6120 +tp6121 +a(g6 +V) +tp6122 +a(g6 +V +tp6123 +a(g84 +VifTrue: +p6124 +tp6125 +a(g6 +V +tp6126 +a(g6 +V[ +tp6127 +a(g6 +V +tp6128 +a(g353 +V^ +tp6129 +a(g100 +Vassoc +p6130 +tp6131 +a(g6 +V +tp6132 +a(g48 +Vvalue +p6133 +tp6134 +a(g6 +V +tp6135 +a(g48 +Vvalue +p6136 +tp6137 +a(g6 +V] +tp6138 +a(g6 +V] +tp6139 +a(g212 +V. +tp6140 +a(g6 +V\u000a +p6141 +tp6142 +a(g353 +V^ +tp6143 +a(g6 +V +tp6144 +a(g100 +VaBlock +p6145 +tp6146 +a(g6 +V +tp6147 +a(g48 +Vvalue +p6148 +tp6149 +a(g6 +V\u000a\u000a +p6150 +tp6151 +a(g24 +V"| z | z _ {[#a]->[1+1]. ['b' asSymbol]->[2+2]. [#c]->[3+3]}. #b caseOf: z otherwise: [0]" +p6152 +tp6153 +a(g6 +V\u000a +tp6154 +a(g24 +V"| z | z _ {[#a]->[1+1]. ['d' asSymbol]->[2+2]. [#c]->[3+3]}. #b caseOf: z otherwise: [0]" +p6155 +tp6156 +a(g6 +V\u000a +tp6157 +a(g24 +V"The following are compiled in-line:" +p6158 +tp6159 +a(g6 +V\u000a +tp6160 +a(g24 +V"#b caseOf: {[#a]->[1+1]. ['b' asSymbol]->[2+2]. [#c]->[3+3]} otherwise: [0]" +p6161 +tp6162 +a(g6 +V\u000a +tp6163 +a(g24 +V"#b caseOf: {[#a]->[1+1]. ['d' asSymbol]->[2+2]. [#c]->[3+3]} otherwise: [0]" +p6164 +tp6165 +a(g138 +V! ! +p6166 +tp6167 +a(g6 +V\u000a\u000a\u000a +p6168 +tp6169 +a(g138 +V! +tp6170 +a(g133 +VObject +p6171 +tp6172 +a(g138 +V methodsFor: +p6173 +tp6174 +a(g236 +V'class membership' +p6175 +tp6176 +a(g138 +V! +tp6177 +a(g6 +V\u000a +tp6178 +a(g48 +Vclass +p6179 +tp6180 +a(g6 +V\u000a +p6181 +tp6182 +a(g24 +V"Primitive. Answer the object which is the receiver's class. Essential. See \u000a Object documentation whatIsAPrimitive." +p6183 +tp6184 +a(g6 +V\u000a\u000a +p6185 +tp6186 +a(g6 +V< +tp6187 +a(g138 +Vprimitive: +p6188 +tp6189 +a(g6 +V 111 +p6190 +tp6191 +a(g6 +V> +tp6192 +a(g6 +V\u000a +p6193 +tp6194 +a(g87 +Vself +p6195 +tp6196 +a(g6 +V +tp6197 +a(g48 +VprimitiveFailed +p6198 +tp6199 +a(g138 +V! ! +p6200 +tp6201 +a(g6 +V\u000a\u000a +p6202 +tp6203 +a(g138 +V! +tp6204 +a(g133 +VObject +p6205 +tp6206 +a(g138 +V methodsFor: +p6207 +tp6208 +a(g236 +V'class membership' +p6209 +tp6210 +a(g138 +V stamp: 'sw 9/27/2001 15:51'! +p6211 +tp6212 +a(g6 +V\u000a +tp6213 +a(g48 +VinheritsFromAnyIn: +p6214 +tp6215 +a(g6 +V +tp6216 +a(g100 +VaList +p6217 +tp6218 +a(g6 +V\u000a +p6219 +tp6220 +a(g24 +V"Answer whether the receiver inherits from any class represented by any element in the list. The elements of the list can be classes, class name symbols, or strings representing possible class names. This allows speculative membership tests to be made even when some of the classes may not be known to the current image, and even when their names are not interned symbols." +p6221 +tp6222 +a(g6 +V\u000a\u000a +p6223 +tp6224 +a(g353 +V| +tp6225 +a(g100 +V aClass +p6226 +tp6227 +a(g353 +V| +tp6228 +a(g6 +V\u000a +p6229 +tp6230 +a(g100 +VaList +p6231 +tp6232 +a(g6 +V +tp6233 +a(g48 +Vdo: +p6234 +tp6235 +a(g6 +V\u000a +p6236 +tp6237 +a(g6 +V[ +tp6238 +a(g353 +V: +tp6239 +a(g100 +Velem +p6240 +tp6241 +a(g6 +V +tp6242 +a(g353 +V| +tp6243 +a(g6 +V +tp6244 +a(g133 +VSymbol +p6245 +tp6246 +a(g6 +V +tp6247 +a(g48 +VhasInterned: +p6248 +tp6249 +a(g6 +V +tp6250 +a(g100 +Velem +p6251 +tp6252 +a(g6 +V +tp6253 +a(g48 +VasString +p6254 +tp6255 +a(g6 +V +tp6256 +a(g84 +VifTrue: +p6257 +tp6258 +a(g6 +V \u000a +p6259 +tp6260 +a(g6 +V[ +tp6261 +a(g353 +V: +tp6262 +a(g100 +VelemSymbol +p6263 +tp6264 +a(g6 +V +tp6265 +a(g353 +V| +tp6266 +a(g6 +V +tp6267 +a(g6 +V( +tp6268 +a(g6 +V( +tp6269 +a(g6 +V( +tp6270 +a(g100 +VaClass +p6271 +tp6272 +a(g6 +V +tp6273 +a(g353 +V_ +tp6274 +a(g6 +V +tp6275 +a(g133 +VSmalltalk +p6276 +tp6277 +a(g6 +V +tp6278 +a(g48 +Vat: +p6279 +tp6280 +a(g6 +V +tp6281 +a(g100 +VelemSymbol +p6282 +tp6283 +a(g6 +V +tp6284 +a(g48 +VifAbsent: +p6285 +tp6286 +a(g6 +V +tp6287 +a(g6 +V[ +tp6288 +a(g6 +V +tp6289 +a(g87 +Vnil +p6290 +tp6291 +a(g6 +V] +tp6292 +a(g6 +V) +tp6293 +a(g6 +V +tp6294 +a(g48 +VisKindOf: +p6295 +tp6296 +a(g6 +V +tp6297 +a(g133 +VClass +p6298 +tp6299 +a(g6 +V) +tp6300 +a(g6 +V\u000a +p6301 +tp6302 +a(g48 +Vand: +p6303 +tp6304 +a(g6 +V +tp6305 +a(g6 +V[ +tp6306 +a(g6 +V +tp6307 +a(g87 +Vself +p6308 +tp6309 +a(g6 +V +tp6310 +a(g48 +VisKindOf: +p6311 +tp6312 +a(g6 +V +tp6313 +a(g100 +VaClass +p6314 +tp6315 +a(g6 +V] +tp6316 +a(g6 +V) +tp6317 +a(g6 +V\u000a +p6318 +tp6319 +a(g84 +VifTrue: +p6320 +tp6321 +a(g6 +V\u000a +p6322 +tp6323 +a(g6 +V[ +tp6324 +a(g6 +V +tp6325 +a(g353 +V^ +tp6326 +a(g6 +V +tp6327 +a(g87 +Vtrue +p6328 +tp6329 +a(g6 +V] +tp6330 +a(g6 +V] +tp6331 +a(g6 +V] +tp6332 +a(g212 +V. +tp6333 +a(g6 +V\u000a +p6334 +tp6335 +a(g353 +V^ +tp6336 +a(g6 +V +tp6337 +a(g87 +Vfalse +p6338 +tp6339 +a(g6 +V\u000a\u000a\u000a +p6340 +tp6341 +a(g24 +V"\u000a{3. true. 'olive'} do:\u000a [:token |\u000a {{#Number. #Boolean}. {Number. Boolean }. {'Number'. 'Boolean'}} do:\u000a [:list |\u000a Transcript cr; show: token asString, ' list element provided as a ', list first class name, ' - ', (token inheritsFromAnyIn: list) asString]]\u000a" +p6342 +tp6343 +a(g138 +V! ! +p6344 +tp6345 +a(g6 +V\u000a\u000a +p6346 +tp6347 +a(g138 +V! +tp6348 +a(g133 +VObject +p6349 +tp6350 +a(g138 +V methodsFor: +p6351 +tp6352 +a(g236 +V'class membership' +p6353 +tp6354 +a(g138 +V! +tp6355 +a(g6 +V\u000a +tp6356 +a(g48 +VisKindOf: +p6357 +tp6358 +a(g6 +V +tp6359 +a(g100 +VaClass +p6360 +tp6361 +a(g6 +V \u000a +p6362 +tp6363 +a(g24 +V"Answer whether the class, aClass, is a superclass or class of the receiver." +p6364 +tp6365 +a(g6 +V\u000a\u000a +p6366 +tp6367 +a(g87 +Vself +p6368 +tp6369 +a(g6 +V +tp6370 +a(g48 +Vclass +p6371 +tp6372 +a(g6 +V +tp6373 +a(g48 +V== +p6374 +tp6375 +a(g6 +V +tp6376 +a(g100 +VaClass +p6377 +tp6378 +a(g6 +V\u000a +p6379 +tp6380 +a(g84 +VifTrue: +p6381 +tp6382 +a(g6 +V +tp6383 +a(g6 +V[ +tp6384 +a(g6 +V +tp6385 +a(g353 +V^ +tp6386 +a(g87 +Vtrue +p6387 +tp6388 +a(g6 +V] +tp6389 +a(g6 +V\u000a +p6390 +tp6391 +a(g84 +VifFalse: +p6392 +tp6393 +a(g6 +V +tp6394 +a(g6 +V[ +tp6395 +a(g6 +V +tp6396 +a(g353 +V^ +tp6397 +a(g87 +Vself +p6398 +tp6399 +a(g6 +V +tp6400 +a(g48 +Vclass +p6401 +tp6402 +a(g6 +V +tp6403 +a(g48 +VinheritsFrom: +p6404 +tp6405 +a(g6 +V +tp6406 +a(g100 +VaClass +p6407 +tp6408 +a(g6 +V] +tp6409 +a(g138 +V! ! +p6410 +tp6411 +a(g6 +V\u000a\u000a +p6412 +tp6413 +a(g138 +V! +tp6414 +a(g133 +VObject +p6415 +tp6416 +a(g138 +V methodsFor: +p6417 +tp6418 +a(g236 +V'class membership' +p6419 +tp6420 +a(g138 +V stamp: 'sw 2/16/98 02:08'! +p6421 +tp6422 +a(g6 +V\u000a +tp6423 +a(g48 +VisKindOf: +p6424 +tp6425 +a(g6 +V +tp6426 +a(g100 +VaClass +p6427 +tp6428 +a(g6 +V +tp6429 +a(g48 +VorOf: +p6430 +tp6431 +a(g6 +V +tp6432 +a(g100 +VanotherClass +p6433 +tp6434 +a(g6 +V\u000a +p6435 +tp6436 +a(g24 +V"Answer whether either of the classes, aClass or anotherClass,, is a superclass or class of the receiver. A convenience; could be somewhat optimized" +p6437 +tp6438 +a(g6 +V\u000a +p6439 +tp6440 +a(g353 +V^ +tp6441 +a(g6 +V +tp6442 +a(g6 +V( +tp6443 +a(g87 +Vself +p6444 +tp6445 +a(g6 +V +tp6446 +a(g48 +VisKindOf: +p6447 +tp6448 +a(g6 +V +tp6449 +a(g100 +VaClass +p6450 +tp6451 +a(g6 +V) +tp6452 +a(g6 +V +tp6453 +a(g48 +Vor: +p6454 +tp6455 +a(g6 +V +tp6456 +a(g6 +V[ +tp6457 +a(g6 +V +tp6458 +a(g87 +Vself +p6459 +tp6460 +a(g6 +V +tp6461 +a(g48 +VisKindOf: +p6462 +tp6463 +a(g6 +V +tp6464 +a(g100 +VanotherClass +p6465 +tp6466 +a(g6 +V] +tp6467 +a(g138 +V! ! +p6468 +tp6469 +a(g6 +V\u000a\u000a +p6470 +tp6471 +a(g138 +V! +tp6472 +a(g133 +VObject +p6473 +tp6474 +a(g138 +V methodsFor: +p6475 +tp6476 +a(g236 +V'class membership' +p6477 +tp6478 +a(g138 +V! +tp6479 +a(g6 +V\u000a +tp6480 +a(g48 +VisMemberOf: +p6481 +tp6482 +a(g6 +V +tp6483 +a(g100 +VaClass +p6484 +tp6485 +a(g6 +V \u000a +p6486 +tp6487 +a(g24 +V"Answer whether the receiver is an instance of the class, aClass." +p6488 +tp6489 +a(g6 +V\u000a\u000a +p6490 +tp6491 +a(g353 +V^ +tp6492 +a(g87 +Vself +p6493 +tp6494 +a(g6 +V +tp6495 +a(g48 +Vclass +p6496 +tp6497 +a(g6 +V +tp6498 +a(g48 +V== +p6499 +tp6500 +a(g6 +V +tp6501 +a(g100 +VaClass +p6502 +tp6503 +a(g138 +V! ! +p6504 +tp6505 +a(g6 +V\u000a\u000a +p6506 +tp6507 +a(g138 +V! +tp6508 +a(g133 +VObject +p6509 +tp6510 +a(g138 +V methodsFor: +p6511 +tp6512 +a(g236 +V'class membership' +p6513 +tp6514 +a(g138 +V! +tp6515 +a(g6 +V\u000a +tp6516 +a(g48 +VrespondsTo: +p6517 +tp6518 +a(g6 +V +tp6519 +a(g100 +VaSymbol +p6520 +tp6521 +a(g6 +V \u000a +p6522 +tp6523 +a(g24 +V"Answer whether the method dictionary of the receiver's class contains \u000a aSymbol as a message selector." +p6524 +tp6525 +a(g6 +V\u000a\u000a +p6526 +tp6527 +a(g353 +V^ +tp6528 +a(g87 +Vself +p6529 +tp6530 +a(g6 +V +tp6531 +a(g48 +Vclass +p6532 +tp6533 +a(g6 +V +tp6534 +a(g48 +VcanUnderstand: +p6535 +tp6536 +a(g6 +V +tp6537 +a(g100 +VaSymbol +p6538 +tp6539 +a(g138 +V! ! +p6540 +tp6541 +a(g6 +V\u000a\u000a +p6542 +tp6543 +a(g138 +V! +tp6544 +a(g133 +VObject +p6545 +tp6546 +a(g138 +V methodsFor: +p6547 +tp6548 +a(g236 +V'class membership' +p6549 +tp6550 +a(g138 +V stamp: 'tk 10/21/1998 12:38'! +p6551 +tp6552 +a(g6 +V\u000a +tp6553 +a(g48 +VxxxClass +p6554 +tp6555 +a(g6 +V\u000a +p6556 +tp6557 +a(g24 +V"For subclasses of nil, such as ObjectOut" +p6558 +tp6559 +a(g6 +V\u000a +p6560 +tp6561 +a(g353 +V^ +tp6562 +a(g6 +V +tp6563 +a(g87 +Vself +p6564 +tp6565 +a(g6 +V +tp6566 +a(g48 +Vclass +p6567 +tp6568 +a(g138 +V! ! +p6569 +tp6570 +a(g6 +V\u000a\u000a\u000a +p6571 +tp6572 +a(g138 +V! +tp6573 +a(g133 +VObject +p6574 +tp6575 +a(g138 +V methodsFor: +p6576 +tp6577 +a(g236 +V'comparing' +p6578 +tp6579 +a(g138 +V stamp: 'tk 4/16/1999 18:26'! +p6580 +tp6581 +a(g6 +V\u000a +tp6582 +a(g48 +VcloseTo: +p6583 +tp6584 +a(g6 +V +tp6585 +a(g100 +VanObject +p6586 +tp6587 +a(g6 +V\u000a +p6588 +tp6589 +a(g24 +V"Answer whether the receiver and the argument represent the same\u000a object. If = is redefined in any subclass, consider also redefining the\u000a message hash." +p6590 +tp6591 +a(g6 +V\u000a\u000a +p6592 +tp6593 +a(g353 +V| +tp6594 +a(g100 +V ans +p6595 +tp6596 +a(g353 +V| +tp6597 +a(g6 +V\u000a +p6598 +tp6599 +a(g6 +V[ +tp6600 +a(g6 +V +tp6601 +a(g100 +Vans +p6602 +tp6603 +a(g6 +V +tp6604 +a(g353 +V_ +tp6605 +a(g6 +V +tp6606 +a(g87 +Vself +p6607 +tp6608 +a(g6 +V +tp6609 +a(g48 +V= +tp6610 +a(g6 +V +tp6611 +a(g100 +VanObject +p6612 +tp6613 +a(g6 +V] +tp6614 +a(g6 +V +tp6615 +a(g48 +VifError: +p6616 +tp6617 +a(g6 +V +tp6618 +a(g6 +V[ +tp6619 +a(g353 +V: +tp6620 +a(g100 +VaString +p6621 +tp6622 +a(g6 +V +tp6623 +a(g353 +V: +tp6624 +a(g100 +VaReceiver +p6625 +tp6626 +a(g6 +V +tp6627 +a(g353 +V| +tp6628 +a(g6 +V +tp6629 +a(g353 +V^ +tp6630 +a(g6 +V +tp6631 +a(g87 +Vfalse +p6632 +tp6633 +a(g6 +V] +tp6634 +a(g212 +V. +tp6635 +a(g6 +V\u000a +p6636 +tp6637 +a(g353 +V^ +tp6638 +a(g6 +V +tp6639 +a(g100 +Vans +p6640 +tp6641 +a(g138 +V! ! +p6642 +tp6643 +a(g6 +V\u000a\u000a +p6644 +tp6645 +a(g138 +V! +tp6646 +a(g133 +VObject +p6647 +tp6648 +a(g138 +V methodsFor: +p6649 +tp6650 +a(g236 +V'comparing' +p6651 +tp6652 +a(g138 +V! +tp6653 +a(g6 +V\u000a +tp6654 +a(g48 +Vhash +p6655 +tp6656 +a(g6 +V\u000a +p6657 +tp6658 +a(g24 +V"Answer a SmallInteger whose value is related to the receiver's identity.\u000a May be overridden, and should be overridden in any classes that define = " +p6659 +tp6660 +a(g6 +V\u000a\u000a +p6661 +tp6662 +a(g353 +V^ +tp6663 +a(g6 +V +tp6664 +a(g87 +Vself +p6665 +tp6666 +a(g6 +V +tp6667 +a(g48 +VidentityHash +p6668 +tp6669 +a(g138 +V! ! +p6670 +tp6671 +a(g6 +V\u000a\u000a +p6672 +tp6673 +a(g138 +V! +tp6674 +a(g133 +VObject +p6675 +tp6676 +a(g138 +V methodsFor: +p6677 +tp6678 +a(g236 +V'comparing' +p6679 +tp6680 +a(g138 +V stamp: 'pm 9/23/97 09:36'! +p6681 +tp6682 +a(g6 +V\u000a +tp6683 +a(g48 +VhashMappedBy: +p6684 +tp6685 +a(g6 +V +tp6686 +a(g100 +Vmap +p6687 +tp6688 +a(g6 +V\u000a +p6689 +tp6690 +a(g24 +V"Answer what my hash would be if oops changed according to map." +p6691 +tp6692 +a(g6 +V\u000a\u000a +p6693 +tp6694 +a(g353 +V^ +tp6695 +a(g100 +Vmap +p6696 +tp6697 +a(g6 +V +tp6698 +a(g48 +VnewHashFor: +p6699 +tp6700 +a(g6 +V +tp6701 +a(g87 +Vself +p6702 +tp6703 +a(g138 +V! ! +p6704 +tp6705 +a(g6 +V\u000a\u000a +p6706 +tp6707 +a(g138 +V! +tp6708 +a(g133 +VObject +p6709 +tp6710 +a(g138 +V methodsFor: +p6711 +tp6712 +a(g236 +V'comparing' +p6713 +tp6714 +a(g138 +V stamp: 'di 9/27/97 20:23'! +p6715 +tp6716 +a(g6 +V\u000a +tp6717 +a(g48 +VidentityHashMappedBy: +p6718 +tp6719 +a(g6 +V +tp6720 +a(g100 +Vmap +p6721 +tp6722 +a(g6 +V\u000a +p6723 +tp6724 +a(g24 +V"Answer what my hash would be if oops changed according to map." +p6725 +tp6726 +a(g6 +V\u000a\u000a +p6727 +tp6728 +a(g353 +V^ +tp6729 +a(g100 +Vmap +p6730 +tp6731 +a(g6 +V +tp6732 +a(g48 +VnewHashFor: +p6733 +tp6734 +a(g6 +V +tp6735 +a(g87 +Vself +p6736 +tp6737 +a(g138 +V! ! +p6738 +tp6739 +a(g6 +V\u000a\u000a +p6740 +tp6741 +a(g138 +V! +tp6742 +a(g133 +VObject +p6743 +tp6744 +a(g138 +V methodsFor: +p6745 +tp6746 +a(g236 +V'comparing' +p6747 +tp6748 +a(g138 +V stamp: 'sw 8/20/1998 12:34'! +p6749 +tp6750 +a(g6 +V\u000a +tp6751 +a(g48 +VidentityHashPrintString +p6752 +tp6753 +a(g6 +V\u000a +p6754 +tp6755 +a(g24 +V"'fred' identityHashPrintString" +p6756 +tp6757 +a(g6 +V\u000a\u000a +p6758 +tp6759 +a(g353 +V^ +tp6760 +a(g6 +V +tp6761 +a(g236 +V'(' +p6762 +tp6763 +a(g48 +V, +tp6764 +a(g6 +V +tp6765 +a(g87 +Vself +p6766 +tp6767 +a(g6 +V +tp6768 +a(g48 +VidentityHash +p6769 +tp6770 +a(g6 +V +tp6771 +a(g48 +VprintString +p6772 +tp6773 +a(g48 +V, +tp6774 +a(g6 +V +tp6775 +a(g236 +V')' +p6776 +tp6777 +a(g138 +V! ! +p6778 +tp6779 +a(g6 +V\u000a\u000a +p6780 +tp6781 +a(g138 +V! +tp6782 +a(g133 +VObject +p6783 +tp6784 +a(g138 +V methodsFor: +p6785 +tp6786 +a(g236 +V'comparing' +p6787 +tp6788 +a(g138 +V stamp: 'ajh 2/2/2002 15:02'! +p6789 +tp6790 +a(g6 +V\u000a +tp6791 +a(g48 +VliteralEqual: +p6792 +tp6793 +a(g6 +V +tp6794 +a(g100 +Vother +p6795 +tp6796 +a(g6 +V\u000a\u000a +p6797 +tp6798 +a(g353 +V^ +tp6799 +a(g6 +V +tp6800 +a(g87 +Vself +p6801 +tp6802 +a(g6 +V +tp6803 +a(g48 +Vclass +p6804 +tp6805 +a(g6 +V +tp6806 +a(g48 +V== +p6807 +tp6808 +a(g6 +V +tp6809 +a(g100 +Vother +p6810 +tp6811 +a(g6 +V +tp6812 +a(g48 +Vclass +p6813 +tp6814 +a(g6 +V +tp6815 +a(g48 +Vand: +p6816 +tp6817 +a(g6 +V +tp6818 +a(g6 +V[ +tp6819 +a(g6 +V +tp6820 +a(g87 +Vself +p6821 +tp6822 +a(g6 +V +tp6823 +a(g48 +V= +tp6824 +a(g6 +V +tp6825 +a(g100 +Vother +p6826 +tp6827 +a(g6 +V] +tp6828 +a(g138 +V! ! +p6829 +tp6830 +a(g6 +V\u000a\u000a +p6831 +tp6832 +a(g138 +V! +tp6833 +a(g133 +VObject +p6834 +tp6835 +a(g138 +V methodsFor: +p6836 +tp6837 +a(g236 +V'comparing' +p6838 +tp6839 +a(g138 +V! +tp6840 +a(g6 +V\u000a +tp6841 +a(g48 +V= +tp6842 +a(g6 +V +tp6843 +a(g100 +VanObject +p6844 +tp6845 +a(g6 +V +tp6846 +a(g6 +V\u000a +p6847 +tp6848 +a(g24 +V"Answer whether the receiver and the argument represent the same \u000a object. If = is redefined in any subclass, consider also redefining the \u000a message hash." +p6849 +tp6850 +a(g6 +V\u000a\u000a +p6851 +tp6852 +a(g353 +V^ +tp6853 +a(g87 +Vself +p6854 +tp6855 +a(g6 +V +tp6856 +a(g48 +V== +p6857 +tp6858 +a(g6 +V +tp6859 +a(g100 +VanObject +p6860 +tp6861 +a(g138 +V! ! +p6862 +tp6863 +a(g6 +V\u000a\u000a +p6864 +tp6865 +a(g138 +V! +tp6866 +a(g133 +VObject +p6867 +tp6868 +a(g138 +V methodsFor: +p6869 +tp6870 +a(g236 +V'comparing' +p6871 +tp6872 +a(g138 +V! +tp6873 +a(g6 +V\u000a +tp6874 +a(g48 +V~= +p6875 +tp6876 +a(g6 +V +tp6877 +a(g100 +VanObject +p6878 +tp6879 +a(g6 +V +tp6880 +a(g6 +V\u000a +p6881 +tp6882 +a(g24 +V"Answer whether the receiver and the argument do not represent the \u000a same object." +p6883 +tp6884 +a(g6 +V\u000a\u000a +p6885 +tp6886 +a(g353 +V^ +tp6887 +a(g87 +Vself +p6888 +tp6889 +a(g6 +V +tp6890 +a(g48 +V= +tp6891 +a(g6 +V +tp6892 +a(g100 +VanObject +p6893 +tp6894 +a(g6 +V +tp6895 +a(g48 +V== +p6896 +tp6897 +a(g6 +V +tp6898 +a(g87 +Vfalse +p6899 +tp6900 +a(g138 +V! ! +p6901 +tp6902 +a(g6 +V\u000a\u000a\u000a +p6903 +tp6904 +a(g138 +V! +tp6905 +a(g133 +VObject +p6906 +tp6907 +a(g138 +V methodsFor: +p6908 +tp6909 +a(g236 +V'converting' +p6910 +tp6911 +a(g138 +V stamp: 'di 11/9/1998 12:15'! +p6912 +tp6913 +a(g6 +V\u000a +tp6914 +a(g48 +VadaptToFloat: +p6915 +tp6916 +a(g6 +V +tp6917 +a(g100 +Vrcvr +p6918 +tp6919 +a(g6 +V +tp6920 +a(g48 +VandSend: +p6921 +tp6922 +a(g6 +V +tp6923 +a(g100 +Vselector +p6924 +tp6925 +a(g6 +V\u000a +p6926 +tp6927 +a(g24 +V"If no method has been provided for adapting an object to a Float,\u000a then it may be adequate to simply adapt it to a number." +p6928 +tp6929 +a(g6 +V\u000a +p6930 +tp6931 +a(g353 +V^ +tp6932 +a(g6 +V +tp6933 +a(g87 +Vself +p6934 +tp6935 +a(g6 +V +tp6936 +a(g48 +VadaptToNumber: +p6937 +tp6938 +a(g6 +V +tp6939 +a(g100 +Vrcvr +p6940 +tp6941 +a(g6 +V +tp6942 +a(g48 +VandSend: +p6943 +tp6944 +a(g6 +V +tp6945 +a(g100 +Vselector +p6946 +tp6947 +a(g138 +V! ! +p6948 +tp6949 +a(g6 +V\u000a\u000a +p6950 +tp6951 +a(g138 +V! +tp6952 +a(g133 +VObject +p6953 +tp6954 +a(g138 +V methodsFor: +p6955 +tp6956 +a(g236 +V'converting' +p6957 +tp6958 +a(g138 +V stamp: 'di 11/9/1998 12:14'! +p6959 +tp6960 +a(g6 +V\u000a +tp6961 +a(g48 +VadaptToFraction: +p6962 +tp6963 +a(g6 +V +tp6964 +a(g100 +Vrcvr +p6965 +tp6966 +a(g6 +V +tp6967 +a(g48 +VandSend: +p6968 +tp6969 +a(g6 +V +tp6970 +a(g100 +Vselector +p6971 +tp6972 +a(g6 +V\u000a +p6973 +tp6974 +a(g24 +V"If no method has been provided for adapting an object to a Fraction,\u000a then it may be adequate to simply adapt it to a number." +p6975 +tp6976 +a(g6 +V\u000a +p6977 +tp6978 +a(g353 +V^ +tp6979 +a(g6 +V +tp6980 +a(g87 +Vself +p6981 +tp6982 +a(g6 +V +tp6983 +a(g48 +VadaptToNumber: +p6984 +tp6985 +a(g6 +V +tp6986 +a(g100 +Vrcvr +p6987 +tp6988 +a(g6 +V +tp6989 +a(g48 +VandSend: +p6990 +tp6991 +a(g6 +V +tp6992 +a(g100 +Vselector +p6993 +tp6994 +a(g138 +V! ! +p6995 +tp6996 +a(g6 +V\u000a\u000a +p6997 +tp6998 +a(g138 +V! +tp6999 +a(g133 +VObject +p7000 +tp7001 +a(g138 +V methodsFor: +p7002 +tp7003 +a(g236 +V'converting' +p7004 +tp7005 +a(g138 +V stamp: 'di 11/9/1998 12:15'! +p7006 +tp7007 +a(g6 +V\u000a +tp7008 +a(g48 +VadaptToInteger: +p7009 +tp7010 +a(g6 +V +tp7011 +a(g100 +Vrcvr +p7012 +tp7013 +a(g6 +V +tp7014 +a(g48 +VandSend: +p7015 +tp7016 +a(g6 +V +tp7017 +a(g100 +Vselector +p7018 +tp7019 +a(g6 +V\u000a +p7020 +tp7021 +a(g24 +V"If no method has been provided for adapting an object to a Integer,\u000a then it may be adequate to simply adapt it to a number." +p7022 +tp7023 +a(g6 +V\u000a +p7024 +tp7025 +a(g353 +V^ +tp7026 +a(g6 +V +tp7027 +a(g87 +Vself +p7028 +tp7029 +a(g6 +V +tp7030 +a(g48 +VadaptToNumber: +p7031 +tp7032 +a(g6 +V +tp7033 +a(g100 +Vrcvr +p7034 +tp7035 +a(g6 +V +tp7036 +a(g48 +VandSend: +p7037 +tp7038 +a(g6 +V +tp7039 +a(g100 +Vselector +p7040 +tp7041 +a(g138 +V! ! +p7042 +tp7043 +a(g6 +V\u000a\u000a +p7044 +tp7045 +a(g138 +V! +tp7046 +a(g133 +VObject +p7047 +tp7048 +a(g138 +V methodsFor: +p7049 +tp7050 +a(g236 +V'converting' +p7051 +tp7052 +a(g138 +V stamp: 'rw 4/27/2002 07:48'! +p7053 +tp7054 +a(g6 +V\u000a +tp7055 +a(g48 +VasActionSequence +p7056 +tp7057 +a(g6 +V\u000a +tp7058 +a(g6 +V\u000a +p7059 +tp7060 +a(g353 +V^ +tp7061 +a(g133 +VWeakActionSequence +p7062 +tp7063 +a(g6 +V +tp7064 +a(g48 +Vwith: +p7065 +tp7066 +a(g6 +V +tp7067 +a(g87 +Vself +p7068 +tp7069 +a(g138 +V! ! +p7070 +tp7071 +a(g6 +V\u000a\u000a +p7072 +tp7073 +a(g138 +V! +tp7074 +a(g133 +VObject +p7075 +tp7076 +a(g138 +V methodsFor: +p7077 +tp7078 +a(g236 +V'converting' +p7079 +tp7080 +a(g138 +V stamp: 'rw 7/20/2003 16:03'! +p7081 +tp7082 +a(g6 +V\u000a +tp7083 +a(g48 +VasActionSequenceTrappingErrors +p7084 +tp7085 +a(g6 +V\u000a +tp7086 +a(g6 +V\u000a +p7087 +tp7088 +a(g353 +V^ +tp7089 +a(g133 +VWeakActionSequenceTrappingErrors +p7090 +tp7091 +a(g6 +V +tp7092 +a(g48 +Vwith: +p7093 +tp7094 +a(g6 +V +tp7095 +a(g87 +Vself +p7096 +tp7097 +a(g138 +V! ! +p7098 +tp7099 +a(g6 +V\u000a\u000a +p7100 +tp7101 +a(g138 +V! +tp7102 +a(g133 +VObject +p7103 +tp7104 +a(g138 +V methodsFor: +p7105 +tp7106 +a(g236 +V'converting' +p7107 +tp7108 +a(g138 +V stamp: 'svp 5/16/2000 18:14'! +p7109 +tp7110 +a(g6 +V\u000a +tp7111 +a(g48 +VasDraggableMorph +p7112 +tp7113 +a(g6 +V\u000a +p7114 +tp7115 +a(g353 +V^ +tp7116 +a(g6 +V( +tp7117 +a(g133 +VStringMorph +p7118 +tp7119 +a(g6 +V +tp7120 +a(g48 +Vcontents: +p7121 +tp7122 +a(g6 +V +tp7123 +a(g87 +Vself +p7124 +tp7125 +a(g6 +V +tp7126 +a(g48 +VprintString +p7127 +tp7128 +a(g6 +V) +tp7129 +a(g6 +V\u000a +p7130 +tp7131 +a(g48 +Vcolor: +p7132 +tp7133 +a(g6 +V +tp7134 +a(g133 +VColor +p7135 +tp7136 +a(g6 +V +tp7137 +a(g48 +Vwhite +p7138 +tp7139 +a(g212 +V; +tp7140 +a(g6 +V\u000a +p7141 +tp7142 +a(g48 +Vyourself +p7143 +tp7144 +a(g138 +V! ! +p7145 +tp7146 +a(g6 +V\u000a\u000a +p7147 +tp7148 +a(g138 +V! +tp7149 +a(g133 +VObject +p7150 +tp7151 +a(g138 +V methodsFor: +p7152 +tp7153 +a(g236 +V'converting' +p7154 +tp7155 +a(g138 +V stamp: 'sma 5/12/2000 17:39'! +p7156 +tp7157 +a(g6 +V\u000a +tp7158 +a(g48 +VasOrderedCollection +p7159 +tp7160 +a(g6 +V\u000a +p7161 +tp7162 +a(g24 +V"Answer an OrderedCollection with the receiver as its only element." +p7163 +tp7164 +a(g6 +V\u000a\u000a +p7165 +tp7166 +a(g353 +V^ +tp7167 +a(g6 +V +tp7168 +a(g133 +VOrderedCollection +p7169 +tp7170 +a(g6 +V +tp7171 +a(g48 +Vwith: +p7172 +tp7173 +a(g6 +V +tp7174 +a(g87 +Vself +p7175 +tp7176 +a(g138 +V! ! +p7177 +tp7178 +a(g6 +V\u000a\u000a +p7179 +tp7180 +a(g138 +V! +tp7181 +a(g133 +VObject +p7182 +tp7183 +a(g138 +V methodsFor: +p7184 +tp7185 +a(g236 +V'converting' +p7186 +tp7187 +a(g138 +V! +tp7188 +a(g6 +V\u000a +tp7189 +a(g48 +VasString +p7190 +tp7191 +a(g6 +V\u000a +p7192 +tp7193 +a(g24 +V"Answer a string that represents the receiver." +p7194 +tp7195 +a(g6 +V\u000a\u000a +p7196 +tp7197 +a(g353 +V^ +tp7198 +a(g6 +V +tp7199 +a(g87 +Vself +p7200 +tp7201 +a(g6 +V +tp7202 +a(g48 +VprintString +p7203 +tp7204 +a(g6 +V +tp7205 +a(g138 +V! ! +p7206 +tp7207 +a(g6 +V\u000a\u000a +p7208 +tp7209 +a(g138 +V! +tp7210 +a(g133 +VObject +p7211 +tp7212 +a(g138 +V methodsFor: +p7213 +tp7214 +a(g236 +V'converting' +p7215 +tp7216 +a(g138 +V stamp: 'ajh 3/11/2003 10:27'! +p7217 +tp7218 +a(g6 +V\u000a +tp7219 +a(g48 +VasStringOrText +p7220 +tp7221 +a(g6 +V\u000a +p7222 +tp7223 +a(g24 +V"Answer a string that represents the receiver." +p7224 +tp7225 +a(g6 +V\u000a\u000a +p7226 +tp7227 +a(g353 +V^ +tp7228 +a(g6 +V +tp7229 +a(g87 +Vself +p7230 +tp7231 +a(g6 +V +tp7232 +a(g48 +VprintString +p7233 +tp7234 +a(g6 +V +tp7235 +a(g138 +V! ! +p7236 +tp7237 +a(g6 +V\u000a\u000a +p7238 +tp7239 +a(g138 +V! +tp7240 +a(g133 +VObject +p7241 +tp7242 +a(g138 +V methodsFor: +p7243 +tp7244 +a(g236 +V'converting' +p7245 +tp7246 +a(g138 +V! +tp7247 +a(g6 +V\u000a +tp7248 +a(g48 +Vas: +p7249 +tp7250 +a(g6 +V +tp7251 +a(g100 +VaSimilarClass +p7252 +tp7253 +a(g6 +V\u000a +p7254 +tp7255 +a(g24 +V"Create an object of class aSimilarClass that has similar contents to the receiver." +p7256 +tp7257 +a(g6 +V\u000a\u000a +p7258 +tp7259 +a(g353 +V^ +tp7260 +a(g6 +V +tp7261 +a(g100 +VaSimilarClass +p7262 +tp7263 +a(g6 +V +tp7264 +a(g48 +VnewFrom: +p7265 +tp7266 +a(g6 +V +tp7267 +a(g87 +Vself +p7268 +tp7269 +a(g138 +V! ! +p7270 +tp7271 +a(g6 +V\u000a\u000a +p7272 +tp7273 +a(g138 +V! +tp7274 +a(g133 +VObject +p7275 +tp7276 +a(g138 +V methodsFor: +p7277 +tp7278 +a(g236 +V'converting' +p7279 +tp7280 +a(g138 +V stamp: 'RAA 8/2/1999 12:41'! +p7281 +tp7282 +a(g6 +V\u000a +tp7283 +a(g48 +VcomplexContents +p7284 +tp7285 +a(g6 +V\u000a +tp7286 +a(g6 +V\u000a +p7287 +tp7288 +a(g353 +V^ +tp7289 +a(g87 +Vself +p7290 +tp7291 +a(g138 +V! ! +p7292 +tp7293 +a(g6 +V\u000a\u000a +p7294 +tp7295 +a(g138 +V! +tp7296 +a(g133 +VObject +p7297 +tp7298 +a(g138 +V methodsFor: +p7299 +tp7300 +a(g236 +V'converting' +p7301 +tp7302 +a(g138 +V stamp: 'ajh 7/6/2003 20:37'! +p7303 +tp7304 +a(g6 +V\u000a +tp7305 +a(g48 +VmustBeBoolean +p7306 +tp7307 +a(g6 +V\u000a +p7308 +tp7309 +a(g24 +V"Catches attempts to test truth of non-Booleans. This message is sent from the VM. The sending context is rewound to just before the jump causing this exception." +p7310 +tp7311 +a(g6 +V\u000a\u000a +p7312 +tp7313 +a(g353 +V^ +tp7314 +a(g6 +V +tp7315 +a(g87 +Vself +p7316 +tp7317 +a(g6 +V +tp7318 +a(g48 +VmustBeBooleanIn: +p7319 +tp7320 +a(g6 +V +tp7321 +a(g87 +VthisContext +p7322 +tp7323 +a(g6 +V +tp7324 +a(g48 +Vsender +p7325 +tp7326 +a(g138 +V! ! +p7327 +tp7328 +a(g6 +V\u000a\u000a +p7329 +tp7330 +a(g138 +V! +tp7331 +a(g133 +VObject +p7332 +tp7333 +a(g138 +V methodsFor: +p7334 +tp7335 +a(g236 +V'converting' +p7336 +tp7337 +a(g138 +V stamp: 'ajh 7/6/2003 20:40'! +p7338 +tp7339 +a(g6 +V\u000a +tp7340 +a(g48 +VmustBeBooleanIn: +p7341 +tp7342 +a(g6 +V +tp7343 +a(g100 +Vcontext +p7344 +tp7345 +a(g6 +V\u000a +p7346 +tp7347 +a(g24 +V"context is the where the non-boolean error occurred. Rewind context to before jump then raise error." +p7348 +tp7349 +a(g6 +V\u000a\u000a +p7350 +tp7351 +a(g353 +V| +tp7352 +a(g100 +V proceedValue +p7353 +tp7354 +a(g353 +V| +tp7355 +a(g6 +V\u000a +p7356 +tp7357 +a(g100 +Vcontext +p7358 +tp7359 +a(g6 +V +tp7360 +a(g48 +VskipBackBeforeJump +p7361 +tp7362 +a(g212 +V. +tp7363 +a(g6 +V\u000a +p7364 +tp7365 +a(g100 +VproceedValue +p7366 +tp7367 +a(g6 +V +tp7368 +a(g353 +V_ +tp7369 +a(g6 +V +tp7370 +a(g133 +VNonBooleanReceiver +p7371 +tp7372 +a(g6 +V +tp7373 +a(g84 +Vnew +p7374 +tp7375 +a(g6 +V\u000a +p7376 +tp7377 +a(g48 +Vobject: +p7378 +tp7379 +a(g6 +V +tp7380 +a(g87 +Vself +p7381 +tp7382 +a(g212 +V; +tp7383 +a(g6 +V\u000a +p7384 +tp7385 +a(g48 +Vsignal: +p7386 +tp7387 +a(g6 +V +tp7388 +a(g236 +V'proceed for truth.' +p7389 +tp7390 +a(g212 +V. +tp7391 +a(g6 +V\u000a +p7392 +tp7393 +a(g353 +V^ +tp7394 +a(g6 +V +tp7395 +a(g100 +VproceedValue +p7396 +tp7397 +a(g6 +V +tp7398 +a(g48 +V~~ +p7399 +tp7400 +a(g6 +V +tp7401 +a(g87 +Vfalse +p7402 +tp7403 +a(g138 +V! ! +p7404 +tp7405 +a(g6 +V\u000a\u000a +p7406 +tp7407 +a(g138 +V! +tp7408 +a(g133 +VObject +p7409 +tp7410 +a(g138 +V methodsFor: +p7411 +tp7412 +a(g236 +V'converting' +p7413 +tp7414 +a(g138 +V stamp: 'sw 3/26/2001 12:12'! +p7415 +tp7416 +a(g6 +V\u000a +tp7417 +a(g48 +VprintDirectlyToDisplay +p7418 +tp7419 +a(g6 +V\u000a +p7420 +tp7421 +a(g24 +V"For debugging: write the receiver's printString directly to the display at (0, 100); senders of this are detected by the check-for-slips mechanism." +p7422 +tp7423 +a(g6 +V\u000a\u000a +p7424 +tp7425 +a(g87 +Vself +p7426 +tp7427 +a(g6 +V +tp7428 +a(g48 +VasString +p7429 +tp7430 +a(g6 +V +tp7431 +a(g48 +VdisplayAt: +p7432 +tp7433 +a(g6 +V +tp7434 +a(g223 +V0 +tp7435 +a(g48 +V@ +tp7436 +a(g223 +V100 +p7437 +tp7438 +a(g6 +V\u000a\u000a +p7439 +tp7440 +a(g24 +V"StringMorph someInstance printDirectlyToDisplay" +p7441 +tp7442 +a(g138 +V! ! +p7443 +tp7444 +a(g6 +V\u000a\u000a +p7445 +tp7446 +a(g138 +V! +tp7447 +a(g133 +VObject +p7448 +tp7449 +a(g138 +V methodsFor: +p7450 +tp7451 +a(g236 +V'converting' +p7452 +tp7453 +a(g138 +V stamp: 'RAA 3/31/1999 12:13'! +p7454 +tp7455 +a(g6 +V\u000a +tp7456 +a(g48 +VwithoutListWrapper +p7457 +tp7458 +a(g6 +V\u000a +tp7459 +a(g6 +V\u000a +p7460 +tp7461 +a(g353 +V^ +tp7462 +a(g87 +Vself +p7463 +tp7464 +a(g138 +V! ! +p7465 +tp7466 +a(g6 +V\u000a\u000a\u000a +p7467 +tp7468 +a(g138 +V! +tp7469 +a(g133 +VObject +p7470 +tp7471 +a(g138 +V methodsFor: +p7472 +tp7473 +a(g236 +V'copying' +p7474 +tp7475 +a(g138 +V! +tp7476 +a(g6 +V\u000a +tp7477 +a(g48 +Vclone +p7478 +tp7479 +a(g6 +V\u000a +tp7480 +a(g6 +V\u000a +p7481 +tp7482 +a(g6 +V< +tp7483 +a(g138 +Vprimitive: +p7484 +tp7485 +a(g6 +V 148 +p7486 +tp7487 +a(g6 +V> +tp7488 +a(g6 +V\u000a +p7489 +tp7490 +a(g87 +Vself +p7491 +tp7492 +a(g6 +V +tp7493 +a(g48 +VprimitiveFailed +p7494 +tp7495 +a(g138 +V! ! +p7496 +tp7497 +a(g6 +V\u000a\u000a +p7498 +tp7499 +a(g138 +V! +tp7500 +a(g133 +VObject +p7501 +tp7502 +a(g138 +V methodsFor: +p7503 +tp7504 +a(g236 +V'copying' +p7505 +tp7506 +a(g138 +V stamp: 'ajh 8/18/2001 21:25'! +p7507 +tp7508 +a(g6 +V\u000a +tp7509 +a(g48 +Vcopy +p7510 +tp7511 +a(g6 +V\u000a +p7512 +tp7513 +a(g24 +V"Answer another instance just like the receiver. Subclasses typically override postCopy; they typically do not override shallowCopy." +p7514 +tp7515 +a(g6 +V\u000a\u000a +p7516 +tp7517 +a(g353 +V^ +tp7518 +a(g87 +Vself +p7519 +tp7520 +a(g6 +V +tp7521 +a(g48 +VshallowCopy +p7522 +tp7523 +a(g6 +V +tp7524 +a(g48 +VpostCopy +p7525 +tp7526 +a(g138 +V! ! +p7527 +tp7528 +a(g6 +V\u000a\u000a +p7529 +tp7530 +a(g138 +V! +tp7531 +a(g133 +VObject +p7532 +tp7533 +a(g138 +V methodsFor: +p7534 +tp7535 +a(g236 +V'copying' +p7536 +tp7537 +a(g138 +V stamp: 'tk 8/20/1998 16:01'! +p7538 +tp7539 +a(g6 +V\u000a +tp7540 +a(g48 +VcopyAddedStateFrom: +p7541 +tp7542 +a(g6 +V +tp7543 +a(g100 +VanotherObject +p7544 +tp7545 +a(g6 +V\u000a +p7546 +tp7547 +a(g24 +V"Copy over the values of instance variables added by the receiver's class from anotherObject to the receiver. These will be remapped in mapUniClasses, if needed." +p7548 +tp7549 +a(g6 +V\u000a\u000a +p7550 +tp7551 +a(g87 +Vself +p7552 +tp7553 +a(g6 +V +tp7554 +a(g48 +Vclass +p7555 +tp7556 +a(g6 +V +tp7557 +a(g48 +Vsuperclass +p7558 +tp7559 +a(g6 +V +tp7560 +a(g48 +VinstSize +p7561 +tp7562 +a(g6 +V +tp7563 +a(g48 +V+ +tp7564 +a(g6 +V +tp7565 +a(g223 +V1 +tp7566 +a(g6 +V +tp7567 +a(g48 +Vto: +p7568 +tp7569 +a(g6 +V +tp7570 +a(g87 +Vself +p7571 +tp7572 +a(g6 +V +tp7573 +a(g48 +Vclass +p7574 +tp7575 +a(g6 +V +tp7576 +a(g48 +VinstSize +p7577 +tp7578 +a(g6 +V +tp7579 +a(g48 +Vdo: +p7580 +tp7581 +a(g6 +V\u000a +p7582 +tp7583 +a(g6 +V[ +tp7584 +a(g353 +V: +tp7585 +a(g100 +Vindex +p7586 +tp7587 +a(g6 +V +tp7588 +a(g353 +V| +tp7589 +a(g6 +V +tp7590 +a(g87 +Vself +p7591 +tp7592 +a(g6 +V +tp7593 +a(g48 +VinstVarAt: +p7594 +tp7595 +a(g6 +V +tp7596 +a(g100 +Vindex +p7597 +tp7598 +a(g6 +V +tp7599 +a(g48 +Vput: +p7600 +tp7601 +a(g6 +V +tp7602 +a(g6 +V( +tp7603 +a(g100 +VanotherObject +p7604 +tp7605 +a(g6 +V +tp7606 +a(g48 +VinstVarAt: +p7607 +tp7608 +a(g6 +V +tp7609 +a(g100 +Vindex +p7610 +tp7611 +a(g6 +V) +tp7612 +a(g6 +V] +tp7613 +a(g138 +V! ! +p7614 +tp7615 +a(g6 +V\u000a\u000a +p7616 +tp7617 +a(g138 +V! +tp7618 +a(g133 +VObject +p7619 +tp7620 +a(g138 +V methodsFor: +p7621 +tp7622 +a(g236 +V'copying' +p7623 +tp7624 +a(g138 +V stamp: 'tpr 2/14/2004 21:53'! +p7625 +tp7626 +a(g6 +V\u000a +tp7627 +a(g48 +VcopyFrom: +p7628 +tp7629 +a(g6 +V +tp7630 +a(g100 +VanotherObject +p7631 +tp7632 +a(g6 +V\u000a +p7633 +tp7634 +a(g24 +V"Copy to myself all instance variables I have in common with anotherObject. This is dangerous because it ignores an object's control over its own inst vars. " +p7635 +tp7636 +a(g6 +V\u000a\u000a +p7637 +tp7638 +a(g353 +V| +tp7639 +a(g100 +V mine his +p7640 +tp7641 +a(g353 +V| +tp7642 +a(g6 +V\u000a +p7643 +tp7644 +a(g6 +V< +tp7645 +a(g138 +Vprimitive: +p7646 +tp7647 +a(g6 +V 168 +p7648 +tp7649 +a(g6 +V> +tp7650 +a(g6 +V\u000a +p7651 +tp7652 +a(g100 +Vmine +p7653 +tp7654 +a(g6 +V +tp7655 +a(g353 +V_ +tp7656 +a(g6 +V +tp7657 +a(g87 +Vself +p7658 +tp7659 +a(g6 +V +tp7660 +a(g48 +Vclass +p7661 +tp7662 +a(g6 +V +tp7663 +a(g48 +VallInstVarNames +p7664 +tp7665 +a(g212 +V. +tp7666 +a(g6 +V\u000a +p7667 +tp7668 +a(g100 +Vhis +p7669 +tp7670 +a(g6 +V +tp7671 +a(g353 +V_ +tp7672 +a(g6 +V +tp7673 +a(g100 +VanotherObject +p7674 +tp7675 +a(g6 +V +tp7676 +a(g48 +Vclass +p7677 +tp7678 +a(g6 +V +tp7679 +a(g48 +VallInstVarNames +p7680 +tp7681 +a(g212 +V. +tp7682 +a(g6 +V\u000a +p7683 +tp7684 +a(g223 +V1 +tp7685 +a(g6 +V +tp7686 +a(g48 +Vto: +p7687 +tp7688 +a(g6 +V +tp7689 +a(g6 +V( +tp7690 +a(g100 +Vmine +p7691 +tp7692 +a(g6 +V +tp7693 +a(g48 +Vsize +p7694 +tp7695 +a(g6 +V +tp7696 +a(g48 +Vmin: +p7697 +tp7698 +a(g6 +V +tp7699 +a(g100 +Vhis +p7700 +tp7701 +a(g6 +V +tp7702 +a(g48 +Vsize +p7703 +tp7704 +a(g6 +V) +tp7705 +a(g6 +V +tp7706 +a(g48 +Vdo: +p7707 +tp7708 +a(g6 +V +tp7709 +a(g6 +V[ +tp7710 +a(g353 +V: +tp7711 +a(g100 +Vind +p7712 +tp7713 +a(g6 +V +tp7714 +a(g353 +V| +tp7715 +a(g6 +V\u000a +p7716 +tp7717 +a(g6 +V( +tp7718 +a(g100 +Vmine +p7719 +tp7720 +a(g6 +V +tp7721 +a(g48 +Vat: +p7722 +tp7723 +a(g6 +V +tp7724 +a(g100 +Vind +p7725 +tp7726 +a(g6 +V) +tp7727 +a(g6 +V +tp7728 +a(g48 +V= +tp7729 +a(g6 +V +tp7730 +a(g6 +V( +tp7731 +a(g100 +Vhis +p7732 +tp7733 +a(g6 +V +tp7734 +a(g48 +Vat: +p7735 +tp7736 +a(g6 +V +tp7737 +a(g100 +Vind +p7738 +tp7739 +a(g6 +V) +tp7740 +a(g6 +V +tp7741 +a(g84 +VifTrue: +p7742 +tp7743 +a(g6 +V +tp7744 +a(g6 +V[ +tp7745 +a(g6 +V\u000a +p7746 +tp7747 +a(g6 +V +tp7748 +a(g87 +Vself +p7749 +tp7750 +a(g6 +V +tp7751 +a(g48 +VinstVarAt: +p7752 +tp7753 +a(g6 +V +tp7754 +a(g100 +Vind +p7755 +tp7756 +a(g6 +V +tp7757 +a(g48 +Vput: +p7758 +tp7759 +a(g6 +V +tp7760 +a(g6 +V( +tp7761 +a(g100 +VanotherObject +p7762 +tp7763 +a(g6 +V +tp7764 +a(g48 +VinstVarAt: +p7765 +tp7766 +a(g6 +V +tp7767 +a(g100 +Vind +p7768 +tp7769 +a(g6 +V) +tp7770 +a(g6 +V] +tp7771 +a(g6 +V] +tp7772 +a(g212 +V. +tp7773 +a(g6 +V\u000a +p7774 +tp7775 +a(g87 +Vself +p7776 +tp7777 +a(g6 +V +tp7778 +a(g48 +Vclass +p7779 +tp7780 +a(g6 +V +tp7781 +a(g48 +VisVariable +p7782 +tp7783 +a(g6 +V +tp7784 +a(g48 +V& +tp7785 +a(g6 +V +tp7786 +a(g100 +VanotherObject +p7787 +tp7788 +a(g6 +V +tp7789 +a(g48 +Vclass +p7790 +tp7791 +a(g6 +V +tp7792 +a(g48 +VisVariable +p7793 +tp7794 +a(g6 +V +tp7795 +a(g84 +VifTrue: +p7796 +tp7797 +a(g6 +V +tp7798 +a(g6 +V[ +tp7799 +a(g6 +V\u000a +p7800 +tp7801 +a(g6 +V +tp7802 +a(g223 +V1 +tp7803 +a(g6 +V +tp7804 +a(g48 +Vto: +p7805 +tp7806 +a(g6 +V +tp7807 +a(g6 +V( +tp7808 +a(g87 +Vself +p7809 +tp7810 +a(g6 +V +tp7811 +a(g48 +VbasicSize +p7812 +tp7813 +a(g6 +V +tp7814 +a(g48 +Vmin: +p7815 +tp7816 +a(g6 +V +tp7817 +a(g100 +VanotherObject +p7818 +tp7819 +a(g6 +V +tp7820 +a(g48 +VbasicSize +p7821 +tp7822 +a(g6 +V) +tp7823 +a(g6 +V +tp7824 +a(g48 +Vdo: +p7825 +tp7826 +a(g6 +V +tp7827 +a(g6 +V[ +tp7828 +a(g353 +V: +tp7829 +a(g100 +Vind +p7830 +tp7831 +a(g6 +V +tp7832 +a(g353 +V| +tp7833 +a(g6 +V\u000a +p7834 +tp7835 +a(g87 +Vself +p7836 +tp7837 +a(g6 +V +tp7838 +a(g48 +VbasicAt: +p7839 +tp7840 +a(g6 +V +tp7841 +a(g100 +Vind +p7842 +tp7843 +a(g6 +V +tp7844 +a(g48 +Vput: +p7845 +tp7846 +a(g6 +V +tp7847 +a(g6 +V( +tp7848 +a(g100 +VanotherObject +p7849 +tp7850 +a(g6 +V +tp7851 +a(g48 +VbasicAt: +p7852 +tp7853 +a(g6 +V +tp7854 +a(g100 +Vind +p7855 +tp7856 +a(g6 +V) +tp7857 +a(g6 +V] +tp7858 +a(g6 +V] +tp7859 +a(g212 +V. +tp7860 +a(g138 +V! ! +p7861 +tp7862 +a(g6 +V\u000a\u000a +p7863 +tp7864 +a(g138 +V! +tp7865 +a(g133 +VObject +p7866 +tp7867 +a(g138 +V methodsFor: +p7868 +tp7869 +a(g236 +V'copying' +p7870 +tp7871 +a(g138 +V stamp: 'ajh 5/23/2002 00:38'! +p7872 +tp7873 +a(g6 +V\u000a +tp7874 +a(g48 +VcopySameFrom: +p7875 +tp7876 +a(g6 +V +tp7877 +a(g100 +VotherObject +p7878 +tp7879 +a(g6 +V\u000a +p7880 +tp7881 +a(g24 +V"Copy to myself all instance variables named the same in otherObject.\u000a This ignores otherObject's control over its own inst vars." +p7882 +tp7883 +a(g6 +V\u000a\u000a +p7884 +tp7885 +a(g353 +V| +tp7886 +a(g100 +V myInstVars otherInstVars match +p7887 +tp7888 +a(g353 +V| +tp7889 +a(g6 +V\u000a +p7890 +tp7891 +a(g100 +VmyInstVars +p7892 +tp7893 +a(g6 +V +tp7894 +a(g353 +V_ +tp7895 +a(g6 +V +tp7896 +a(g87 +Vself +p7897 +tp7898 +a(g6 +V +tp7899 +a(g48 +Vclass +p7900 +tp7901 +a(g6 +V +tp7902 +a(g48 +VallInstVarNames +p7903 +tp7904 +a(g212 +V. +tp7905 +a(g6 +V\u000a +p7906 +tp7907 +a(g100 +VotherInstVars +p7908 +tp7909 +a(g6 +V +tp7910 +a(g353 +V_ +tp7911 +a(g6 +V +tp7912 +a(g100 +VotherObject +p7913 +tp7914 +a(g6 +V +tp7915 +a(g48 +Vclass +p7916 +tp7917 +a(g6 +V +tp7918 +a(g48 +VallInstVarNames +p7919 +tp7920 +a(g212 +V. +tp7921 +a(g6 +V\u000a +p7922 +tp7923 +a(g100 +VmyInstVars +p7924 +tp7925 +a(g6 +V +tp7926 +a(g48 +VdoWithIndex: +p7927 +tp7928 +a(g6 +V +tp7929 +a(g6 +V[ +tp7930 +a(g353 +V: +tp7931 +a(g100 +Veach +p7932 +tp7933 +a(g6 +V +tp7934 +a(g353 +V: +tp7935 +a(g100 +Vindex +p7936 +tp7937 +a(g6 +V +tp7938 +a(g353 +V| +tp7939 +a(g6 +V\u000a +p7940 +tp7941 +a(g6 +V( +tp7942 +a(g100 +Vmatch +p7943 +tp7944 +a(g6 +V +tp7945 +a(g353 +V_ +tp7946 +a(g6 +V +tp7947 +a(g100 +VotherInstVars +p7948 +tp7949 +a(g6 +V +tp7950 +a(g48 +VindexOf: +p7951 +tp7952 +a(g6 +V +tp7953 +a(g100 +Veach +p7954 +tp7955 +a(g6 +V) +tp7956 +a(g6 +V +tp7957 +a(g48 +V> +tp7958 +a(g6 +V +tp7959 +a(g223 +V0 +tp7960 +a(g6 +V +tp7961 +a(g84 +VifTrue: +p7962 +tp7963 +a(g6 +V\u000a +p7964 +tp7965 +a(g6 +V[ +tp7966 +a(g6 +V +tp7967 +a(g87 +Vself +p7968 +tp7969 +a(g6 +V +tp7970 +a(g48 +VinstVarAt: +p7971 +tp7972 +a(g6 +V +tp7973 +a(g100 +Vindex +p7974 +tp7975 +a(g6 +V +tp7976 +a(g48 +Vput: +p7977 +tp7978 +a(g6 +V +tp7979 +a(g6 +V( +tp7980 +a(g100 +VotherObject +p7981 +tp7982 +a(g6 +V +tp7983 +a(g48 +VinstVarAt: +p7984 +tp7985 +a(g6 +V +tp7986 +a(g100 +Vmatch +p7987 +tp7988 +a(g6 +V) +tp7989 +a(g6 +V] +tp7990 +a(g6 +V] +tp7991 +a(g212 +V. +tp7992 +a(g6 +V\u000a +p7993 +tp7994 +a(g223 +V1 +tp7995 +a(g6 +V +tp7996 +a(g48 +Vto: +p7997 +tp7998 +a(g6 +V +tp7999 +a(g6 +V( +tp8000 +a(g87 +Vself +p8001 +tp8002 +a(g6 +V +tp8003 +a(g48 +VbasicSize +p8004 +tp8005 +a(g6 +V +tp8006 +a(g48 +Vmin: +p8007 +tp8008 +a(g6 +V +tp8009 +a(g100 +VotherObject +p8010 +tp8011 +a(g6 +V +tp8012 +a(g48 +VbasicSize +p8013 +tp8014 +a(g6 +V) +tp8015 +a(g6 +V +tp8016 +a(g48 +Vdo: +p8017 +tp8018 +a(g6 +V +tp8019 +a(g6 +V[ +tp8020 +a(g353 +V: +tp8021 +a(g100 +Vi +tp8022 +a(g6 +V +tp8023 +a(g353 +V| +tp8024 +a(g6 +V\u000a +p8025 +tp8026 +a(g87 +Vself +p8027 +tp8028 +a(g6 +V +tp8029 +a(g48 +VbasicAt: +p8030 +tp8031 +a(g6 +V +tp8032 +a(g100 +Vi +tp8033 +a(g6 +V +tp8034 +a(g48 +Vput: +p8035 +tp8036 +a(g6 +V +tp8037 +a(g6 +V( +tp8038 +a(g100 +VotherObject +p8039 +tp8040 +a(g6 +V +tp8041 +a(g48 +VbasicAt: +p8042 +tp8043 +a(g6 +V +tp8044 +a(g100 +Vi +tp8045 +a(g6 +V) +tp8046 +a(g6 +V] +tp8047 +a(g212 +V. +tp8048 +a(g6 +V\u000a +tp8049 +a(g138 +V! ! +p8050 +tp8051 +a(g6 +V\u000a\u000a +p8052 +tp8053 +a(g138 +V! +tp8054 +a(g133 +VObject +p8055 +tp8056 +a(g138 +V methodsFor: +p8057 +tp8058 +a(g236 +V'copying' +p8059 +tp8060 +a(g138 +V stamp: 'tk 4/20/1999 14:44'! +p8061 +tp8062 +a(g6 +V\u000a +tp8063 +a(g48 +VcopyTwoLevel +p8064 +tp8065 +a(g6 +V\u000a +p8066 +tp8067 +a(g24 +V"one more level than a shallowCopy" +p8068 +tp8069 +a(g6 +V\u000a\u000a +p8070 +tp8071 +a(g353 +V| +tp8072 +a(g100 +V newObject class index +p8073 +tp8074 +a(g353 +V| +tp8075 +a(g6 +V\u000a +p8076 +tp8077 +a(g100 +Vclass +p8078 +tp8079 +a(g6 +V +tp8080 +a(g353 +V_ +tp8081 +a(g6 +V +tp8082 +a(g87 +Vself +p8083 +tp8084 +a(g6 +V +tp8085 +a(g48 +Vclass +p8086 +tp8087 +a(g212 +V. +tp8088 +a(g6 +V\u000a +p8089 +tp8090 +a(g100 +VnewObject +p8091 +tp8092 +a(g6 +V +tp8093 +a(g353 +V_ +tp8094 +a(g6 +V +tp8095 +a(g87 +Vself +p8096 +tp8097 +a(g6 +V +tp8098 +a(g48 +Vclone +p8099 +tp8100 +a(g212 +V. +tp8101 +a(g6 +V\u000a +p8102 +tp8103 +a(g100 +VnewObject +p8104 +tp8105 +a(g6 +V +tp8106 +a(g48 +V== +p8107 +tp8108 +a(g6 +V +tp8109 +a(g87 +Vself +p8110 +tp8111 +a(g6 +V +tp8112 +a(g84 +VifTrue: +p8113 +tp8114 +a(g6 +V +tp8115 +a(g6 +V[ +tp8116 +a(g6 +V +tp8117 +a(g353 +V^ +tp8118 +a(g6 +V +tp8119 +a(g87 +Vself +p8120 +tp8121 +a(g6 +V] +tp8122 +a(g212 +V. +tp8123 +a(g6 +V\u000a +p8124 +tp8125 +a(g100 +Vclass +p8126 +tp8127 +a(g6 +V +tp8128 +a(g48 +VisVariable +p8129 +tp8130 +a(g6 +V\u000a +p8131 +tp8132 +a(g84 +VifTrue: +p8133 +tp8134 +a(g6 +V \u000a +p8135 +tp8136 +a(g6 +V[ +tp8137 +a(g6 +V +tp8138 +a(g100 +Vindex +p8139 +tp8140 +a(g6 +V +tp8141 +a(g353 +V_ +tp8142 +a(g6 +V +tp8143 +a(g87 +Vself +p8144 +tp8145 +a(g6 +V +tp8146 +a(g48 +VbasicSize +p8147 +tp8148 +a(g212 +V. +tp8149 +a(g6 +V\u000a +p8150 +tp8151 +a(g6 +V[ +tp8152 +a(g6 +V +tp8153 +a(g100 +Vindex +p8154 +tp8155 +a(g6 +V +tp8156 +a(g48 +V> +tp8157 +a(g6 +V +tp8158 +a(g223 +V0 +tp8159 +a(g6 +V] +tp8160 +a(g6 +V\u000a +p8161 +tp8162 +a(g84 +VwhileTrue: +p8163 +tp8164 +a(g6 +V \u000a +p8165 +tp8166 +a(g6 +V[ +tp8167 +a(g6 +V +tp8168 +a(g100 +VnewObject +p8169 +tp8170 +a(g6 +V +tp8171 +a(g48 +VbasicAt: +p8172 +tp8173 +a(g6 +V +tp8174 +a(g100 +Vindex +p8175 +tp8176 +a(g6 +V +tp8177 +a(g48 +Vput: +p8178 +tp8179 +a(g6 +V +tp8180 +a(g6 +V( +tp8181 +a(g87 +Vself +p8182 +tp8183 +a(g6 +V +tp8184 +a(g48 +VbasicAt: +p8185 +tp8186 +a(g6 +V +tp8187 +a(g100 +Vindex +p8188 +tp8189 +a(g6 +V) +tp8190 +a(g6 +V +tp8191 +a(g48 +VshallowCopy +p8192 +tp8193 +a(g212 +V. +tp8194 +a(g6 +V\u000a +p8195 +tp8196 +a(g100 +Vindex +p8197 +tp8198 +a(g6 +V +tp8199 +a(g353 +V_ +tp8200 +a(g6 +V +tp8201 +a(g100 +Vindex +p8202 +tp8203 +a(g6 +V +tp8204 +a(g48 +V- +tp8205 +a(g6 +V +tp8206 +a(g223 +V1 +tp8207 +a(g6 +V] +tp8208 +a(g6 +V] +tp8209 +a(g212 +V. +tp8210 +a(g6 +V\u000a +p8211 +tp8212 +a(g100 +Vindex +p8213 +tp8214 +a(g6 +V +tp8215 +a(g353 +V_ +tp8216 +a(g6 +V +tp8217 +a(g100 +Vclass +p8218 +tp8219 +a(g6 +V +tp8220 +a(g48 +VinstSize +p8221 +tp8222 +a(g212 +V. +tp8223 +a(g6 +V\u000a +p8224 +tp8225 +a(g6 +V[ +tp8226 +a(g6 +V +tp8227 +a(g100 +Vindex +p8228 +tp8229 +a(g6 +V +tp8230 +a(g48 +V> +tp8231 +a(g6 +V +tp8232 +a(g223 +V0 +tp8233 +a(g6 +V] +tp8234 +a(g6 +V\u000a +p8235 +tp8236 +a(g84 +VwhileTrue: +p8237 +tp8238 +a(g6 +V \u000a +p8239 +tp8240 +a(g6 +V[ +tp8241 +a(g6 +V +tp8242 +a(g100 +VnewObject +p8243 +tp8244 +a(g6 +V +tp8245 +a(g48 +VinstVarAt: +p8246 +tp8247 +a(g6 +V +tp8248 +a(g100 +Vindex +p8249 +tp8250 +a(g6 +V +tp8251 +a(g48 +Vput: +p8252 +tp8253 +a(g6 +V +tp8254 +a(g6 +V( +tp8255 +a(g87 +Vself +p8256 +tp8257 +a(g6 +V +tp8258 +a(g48 +VinstVarAt: +p8259 +tp8260 +a(g6 +V +tp8261 +a(g100 +Vindex +p8262 +tp8263 +a(g6 +V) +tp8264 +a(g6 +V +tp8265 +a(g48 +VshallowCopy +p8266 +tp8267 +a(g212 +V. +tp8268 +a(g6 +V\u000a +p8269 +tp8270 +a(g100 +Vindex +p8271 +tp8272 +a(g6 +V +tp8273 +a(g353 +V_ +tp8274 +a(g6 +V +tp8275 +a(g100 +Vindex +p8276 +tp8277 +a(g6 +V +tp8278 +a(g48 +V- +tp8279 +a(g6 +V +tp8280 +a(g223 +V1 +tp8281 +a(g6 +V] +tp8282 +a(g212 +V. +tp8283 +a(g6 +V\u000a +p8284 +tp8285 +a(g353 +V^ +tp8286 +a(g100 +VnewObject +p8287 +tp8288 +a(g138 +V! ! +p8289 +tp8290 +a(g6 +V\u000a\u000a +p8291 +tp8292 +a(g138 +V! +tp8293 +a(g133 +VObject +p8294 +tp8295 +a(g138 +V methodsFor: +p8296 +tp8297 +a(g236 +V'copying' +p8298 +tp8299 +a(g138 +V! +tp8300 +a(g6 +V\u000a +tp8301 +a(g48 +VdeepCopy +p8302 +tp8303 +a(g6 +V\u000a +p8304 +tp8305 +a(g24 +V"Answer a copy of the receiver with its own copy of each instance \u000a variable." +p8306 +tp8307 +a(g6 +V\u000a\u000a +p8308 +tp8309 +a(g353 +V| +tp8310 +a(g100 +V newObject class index +p8311 +tp8312 +a(g353 +V| +tp8313 +a(g6 +V\u000a +p8314 +tp8315 +a(g100 +Vclass +p8316 +tp8317 +a(g6 +V +tp8318 +a(g353 +V_ +tp8319 +a(g6 +V +tp8320 +a(g87 +Vself +p8321 +tp8322 +a(g6 +V +tp8323 +a(g48 +Vclass +p8324 +tp8325 +a(g212 +V. +tp8326 +a(g6 +V\u000a +p8327 +tp8328 +a(g6 +V( +tp8329 +a(g100 +Vclass +p8330 +tp8331 +a(g6 +V +tp8332 +a(g48 +V== +p8333 +tp8334 +a(g6 +V +tp8335 +a(g133 +VObject +p8336 +tp8337 +a(g6 +V) +tp8338 +a(g6 +V +tp8339 +a(g84 +VifTrue: +p8340 +tp8341 +a(g6 +V +tp8342 +a(g6 +V[ +tp8343 +a(g6 +V +tp8344 +a(g353 +V^ +tp8345 +a(g87 +Vself +p8346 +tp8347 +a(g6 +V] +tp8348 +a(g212 +V. +tp8349 +a(g6 +V\u000a +p8350 +tp8351 +a(g100 +Vclass +p8352 +tp8353 +a(g6 +V +tp8354 +a(g48 +VisVariable +p8355 +tp8356 +a(g6 +V\u000a +p8357 +tp8358 +a(g84 +VifTrue: +p8359 +tp8360 +a(g6 +V \u000a +p8361 +tp8362 +a(g6 +V[ +tp8363 +a(g6 +V +tp8364 +a(g100 +Vindex +p8365 +tp8366 +a(g6 +V +tp8367 +a(g353 +V_ +tp8368 +a(g6 +V +tp8369 +a(g87 +Vself +p8370 +tp8371 +a(g6 +V +tp8372 +a(g48 +VbasicSize +p8373 +tp8374 +a(g212 +V. +tp8375 +a(g6 +V\u000a +p8376 +tp8377 +a(g100 +VnewObject +p8378 +tp8379 +a(g6 +V +tp8380 +a(g353 +V_ +tp8381 +a(g6 +V +tp8382 +a(g100 +Vclass +p8383 +tp8384 +a(g6 +V +tp8385 +a(g48 +VbasicNew: +p8386 +tp8387 +a(g6 +V +tp8388 +a(g100 +Vindex +p8389 +tp8390 +a(g212 +V. +tp8391 +a(g6 +V\u000a +p8392 +tp8393 +a(g6 +V[ +tp8394 +a(g6 +V +tp8395 +a(g100 +Vindex +p8396 +tp8397 +a(g6 +V +tp8398 +a(g48 +V> +tp8399 +a(g6 +V +tp8400 +a(g223 +V0 +tp8401 +a(g6 +V] +tp8402 +a(g6 +V\u000a +p8403 +tp8404 +a(g84 +VwhileTrue: +p8405 +tp8406 +a(g6 +V \u000a +p8407 +tp8408 +a(g6 +V[ +tp8409 +a(g6 +V +tp8410 +a(g100 +VnewObject +p8411 +tp8412 +a(g6 +V +tp8413 +a(g48 +VbasicAt: +p8414 +tp8415 +a(g6 +V +tp8416 +a(g100 +Vindex +p8417 +tp8418 +a(g6 +V +tp8419 +a(g48 +Vput: +p8420 +tp8421 +a(g6 +V +tp8422 +a(g6 +V( +tp8423 +a(g87 +Vself +p8424 +tp8425 +a(g6 +V +tp8426 +a(g48 +VbasicAt: +p8427 +tp8428 +a(g6 +V +tp8429 +a(g100 +Vindex +p8430 +tp8431 +a(g6 +V) +tp8432 +a(g6 +V +tp8433 +a(g48 +VdeepCopy +p8434 +tp8435 +a(g212 +V. +tp8436 +a(g6 +V\u000a +p8437 +tp8438 +a(g100 +Vindex +p8439 +tp8440 +a(g6 +V +tp8441 +a(g353 +V_ +tp8442 +a(g6 +V +tp8443 +a(g100 +Vindex +p8444 +tp8445 +a(g6 +V +tp8446 +a(g48 +V- +tp8447 +a(g6 +V +tp8448 +a(g223 +V1 +tp8449 +a(g6 +V] +tp8450 +a(g6 +V] +tp8451 +a(g6 +V\u000a +p8452 +tp8453 +a(g84 +VifFalse: +p8454 +tp8455 +a(g6 +V +tp8456 +a(g6 +V[ +tp8457 +a(g6 +V +tp8458 +a(g100 +VnewObject +p8459 +tp8460 +a(g6 +V +tp8461 +a(g353 +V_ +tp8462 +a(g6 +V +tp8463 +a(g100 +Vclass +p8464 +tp8465 +a(g6 +V +tp8466 +a(g48 +VbasicNew +p8467 +tp8468 +a(g6 +V] +tp8469 +a(g212 +V. +tp8470 +a(g6 +V\u000a +p8471 +tp8472 +a(g100 +Vindex +p8473 +tp8474 +a(g6 +V +tp8475 +a(g353 +V_ +tp8476 +a(g6 +V +tp8477 +a(g100 +Vclass +p8478 +tp8479 +a(g6 +V +tp8480 +a(g48 +VinstSize +p8481 +tp8482 +a(g212 +V. +tp8483 +a(g6 +V\u000a +p8484 +tp8485 +a(g6 +V[ +tp8486 +a(g6 +V +tp8487 +a(g100 +Vindex +p8488 +tp8489 +a(g6 +V +tp8490 +a(g48 +V> +tp8491 +a(g6 +V +tp8492 +a(g223 +V0 +tp8493 +a(g6 +V] +tp8494 +a(g6 +V\u000a +p8495 +tp8496 +a(g84 +VwhileTrue: +p8497 +tp8498 +a(g6 +V \u000a +p8499 +tp8500 +a(g6 +V[ +tp8501 +a(g6 +V +tp8502 +a(g100 +VnewObject +p8503 +tp8504 +a(g6 +V +tp8505 +a(g48 +VinstVarAt: +p8506 +tp8507 +a(g6 +V +tp8508 +a(g100 +Vindex +p8509 +tp8510 +a(g6 +V +tp8511 +a(g48 +Vput: +p8512 +tp8513 +a(g6 +V +tp8514 +a(g6 +V( +tp8515 +a(g87 +Vself +p8516 +tp8517 +a(g6 +V +tp8518 +a(g48 +VinstVarAt: +p8519 +tp8520 +a(g6 +V +tp8521 +a(g100 +Vindex +p8522 +tp8523 +a(g6 +V) +tp8524 +a(g6 +V +tp8525 +a(g48 +VdeepCopy +p8526 +tp8527 +a(g212 +V. +tp8528 +a(g6 +V\u000a +p8529 +tp8530 +a(g100 +Vindex +p8531 +tp8532 +a(g6 +V +tp8533 +a(g353 +V_ +tp8534 +a(g6 +V +tp8535 +a(g100 +Vindex +p8536 +tp8537 +a(g6 +V +tp8538 +a(g48 +V- +tp8539 +a(g6 +V +tp8540 +a(g223 +V1 +tp8541 +a(g6 +V] +tp8542 +a(g212 +V. +tp8543 +a(g6 +V\u000a +p8544 +tp8545 +a(g353 +V^ +tp8546 +a(g100 +VnewObject +p8547 +tp8548 +a(g138 +V! ! +p8549 +tp8550 +a(g6 +V\u000a\u000a +p8551 +tp8552 +a(g138 +V! +tp8553 +a(g133 +VObject +p8554 +tp8555 +a(g138 +V methodsFor: +p8556 +tp8557 +a(g236 +V'copying' +p8558 +tp8559 +a(g138 +V stamp: 'hg 11/23/1999 13:43'! +p8560 +tp8561 +a(g6 +V\u000a +tp8562 +a(g48 +VinitialDeepCopierSize +p8563 +tp8564 +a(g6 +V\u000a +p8565 +tp8566 +a(g24 +V"default value is 4096; other classes may override this, esp. for smaller (=faster) sizes" +p8567 +tp8568 +a(g6 +V\u000a\u000a +p8569 +tp8570 +a(g353 +V^ +tp8571 +a(g223 +V4096 +p8572 +tp8573 +a(g138 +V! ! +p8574 +tp8575 +a(g6 +V\u000a\u000a +p8576 +tp8577 +a(g138 +V! +tp8578 +a(g133 +VObject +p8579 +tp8580 +a(g138 +V methodsFor: +p8581 +tp8582 +a(g236 +V'copying' +p8583 +tp8584 +a(g138 +V stamp: 'ajh 1/27/2003 18:45'! +p8585 +tp8586 +a(g6 +V\u000a +tp8587 +a(g48 +VpostCopy +p8588 +tp8589 +a(g6 +V\u000a +p8590 +tp8591 +a(g24 +V"self is a shallow copy, subclasses should copy fields as necessary to complete the full copy" +p8592 +tp8593 +a(g6 +V\u000a\u000a +p8594 +tp8595 +a(g353 +V^ +tp8596 +a(g6 +V +tp8597 +a(g87 +Vself +p8598 +tp8599 +a(g138 +V! ! +p8600 +tp8601 +a(g6 +V\u000a\u000a +p8602 +tp8603 +a(g138 +V! +tp8604 +a(g133 +VObject +p8605 +tp8606 +a(g138 +V methodsFor: +p8607 +tp8608 +a(g236 +V'copying' +p8609 +tp8610 +a(g138 +V stamp: 'jm 11/14/97 11:08'! +p8611 +tp8612 +a(g6 +V\u000a +tp8613 +a(g48 +VshallowCopy +p8614 +tp8615 +a(g6 +V\u000a +p8616 +tp8617 +a(g24 +V"Answer a copy of the receiver which shares the receiver's instance variables." +p8618 +tp8619 +a(g6 +V\u000a +p8620 +tp8621 +a(g353 +V| +tp8622 +a(g100 +V class newObject index +p8623 +tp8624 +a(g353 +V| +tp8625 +a(g6 +V\u000a +p8626 +tp8627 +a(g6 +V< +tp8628 +a(g138 +Vprimitive: +p8629 +tp8630 +a(g6 +V 148 +p8631 +tp8632 +a(g6 +V> +tp8633 +a(g6 +V\u000a +p8634 +tp8635 +a(g100 +Vclass +p8636 +tp8637 +a(g6 +V +tp8638 +a(g353 +V_ +tp8639 +a(g6 +V +tp8640 +a(g87 +Vself +p8641 +tp8642 +a(g6 +V +tp8643 +a(g48 +Vclass +p8644 +tp8645 +a(g212 +V. +tp8646 +a(g6 +V\u000a +p8647 +tp8648 +a(g100 +Vclass +p8649 +tp8650 +a(g6 +V +tp8651 +a(g48 +VisVariable +p8652 +tp8653 +a(g6 +V\u000a +p8654 +tp8655 +a(g84 +VifTrue: +p8656 +tp8657 +a(g6 +V \u000a +p8658 +tp8659 +a(g6 +V[ +tp8660 +a(g6 +V +tp8661 +a(g100 +Vindex +p8662 +tp8663 +a(g6 +V +tp8664 +a(g353 +V_ +tp8665 +a(g6 +V +tp8666 +a(g87 +Vself +p8667 +tp8668 +a(g6 +V +tp8669 +a(g48 +VbasicSize +p8670 +tp8671 +a(g212 +V. +tp8672 +a(g6 +V\u000a +p8673 +tp8674 +a(g100 +VnewObject +p8675 +tp8676 +a(g6 +V +tp8677 +a(g353 +V_ +tp8678 +a(g6 +V +tp8679 +a(g100 +Vclass +p8680 +tp8681 +a(g6 +V +tp8682 +a(g48 +VbasicNew: +p8683 +tp8684 +a(g6 +V +tp8685 +a(g100 +Vindex +p8686 +tp8687 +a(g212 +V. +tp8688 +a(g6 +V\u000a +p8689 +tp8690 +a(g6 +V[ +tp8691 +a(g6 +V +tp8692 +a(g100 +Vindex +p8693 +tp8694 +a(g6 +V +tp8695 +a(g48 +V> +tp8696 +a(g6 +V +tp8697 +a(g223 +V0 +tp8698 +a(g6 +V] +tp8699 +a(g6 +V\u000a +p8700 +tp8701 +a(g84 +VwhileTrue: +p8702 +tp8703 +a(g6 +V \u000a +p8704 +tp8705 +a(g6 +V[ +tp8706 +a(g6 +V +tp8707 +a(g100 +VnewObject +p8708 +tp8709 +a(g6 +V +tp8710 +a(g48 +VbasicAt: +p8711 +tp8712 +a(g6 +V +tp8713 +a(g100 +Vindex +p8714 +tp8715 +a(g6 +V +tp8716 +a(g48 +Vput: +p8717 +tp8718 +a(g6 +V +tp8719 +a(g6 +V( +tp8720 +a(g87 +Vself +p8721 +tp8722 +a(g6 +V +tp8723 +a(g48 +VbasicAt: +p8724 +tp8725 +a(g6 +V +tp8726 +a(g100 +Vindex +p8727 +tp8728 +a(g6 +V) +tp8729 +a(g212 +V. +tp8730 +a(g6 +V\u000a +p8731 +tp8732 +a(g100 +Vindex +p8733 +tp8734 +a(g6 +V +tp8735 +a(g353 +V_ +tp8736 +a(g6 +V +tp8737 +a(g100 +Vindex +p8738 +tp8739 +a(g6 +V +tp8740 +a(g48 +V- +tp8741 +a(g6 +V +tp8742 +a(g223 +V1 +tp8743 +a(g6 +V] +tp8744 +a(g6 +V] +tp8745 +a(g6 +V\u000a +p8746 +tp8747 +a(g84 +VifFalse: +p8748 +tp8749 +a(g6 +V +tp8750 +a(g6 +V[ +tp8751 +a(g6 +V +tp8752 +a(g100 +VnewObject +p8753 +tp8754 +a(g6 +V +tp8755 +a(g353 +V_ +tp8756 +a(g6 +V +tp8757 +a(g100 +Vclass +p8758 +tp8759 +a(g6 +V +tp8760 +a(g48 +VbasicNew +p8761 +tp8762 +a(g6 +V] +tp8763 +a(g212 +V. +tp8764 +a(g6 +V\u000a +p8765 +tp8766 +a(g100 +Vindex +p8767 +tp8768 +a(g6 +V +tp8769 +a(g353 +V_ +tp8770 +a(g6 +V +tp8771 +a(g100 +Vclass +p8772 +tp8773 +a(g6 +V +tp8774 +a(g48 +VinstSize +p8775 +tp8776 +a(g212 +V. +tp8777 +a(g6 +V\u000a +p8778 +tp8779 +a(g6 +V[ +tp8780 +a(g6 +V +tp8781 +a(g100 +Vindex +p8782 +tp8783 +a(g6 +V +tp8784 +a(g48 +V> +tp8785 +a(g6 +V +tp8786 +a(g223 +V0 +tp8787 +a(g6 +V] +tp8788 +a(g6 +V\u000a +p8789 +tp8790 +a(g84 +VwhileTrue: +p8791 +tp8792 +a(g6 +V \u000a +p8793 +tp8794 +a(g6 +V[ +tp8795 +a(g6 +V +tp8796 +a(g100 +VnewObject +p8797 +tp8798 +a(g6 +V +tp8799 +a(g48 +VinstVarAt: +p8800 +tp8801 +a(g6 +V +tp8802 +a(g100 +Vindex +p8803 +tp8804 +a(g6 +V +tp8805 +a(g48 +Vput: +p8806 +tp8807 +a(g6 +V +tp8808 +a(g6 +V( +tp8809 +a(g87 +Vself +p8810 +tp8811 +a(g6 +V +tp8812 +a(g48 +VinstVarAt: +p8813 +tp8814 +a(g6 +V +tp8815 +a(g100 +Vindex +p8816 +tp8817 +a(g6 +V) +tp8818 +a(g212 +V. +tp8819 +a(g6 +V\u000a +p8820 +tp8821 +a(g100 +Vindex +p8822 +tp8823 +a(g6 +V +tp8824 +a(g353 +V_ +tp8825 +a(g6 +V +tp8826 +a(g100 +Vindex +p8827 +tp8828 +a(g6 +V +tp8829 +a(g48 +V- +tp8830 +a(g6 +V +tp8831 +a(g223 +V1 +tp8832 +a(g6 +V] +tp8833 +a(g212 +V. +tp8834 +a(g6 +V\u000a +p8835 +tp8836 +a(g353 +V^ +tp8837 +a(g6 +V +tp8838 +a(g100 +VnewObject +p8839 +tp8840 +a(g138 +V! ! +p8841 +tp8842 +a(g6 +V\u000a\u000a +p8843 +tp8844 +a(g138 +V! +tp8845 +a(g133 +VObject +p8846 +tp8847 +a(g138 +V methodsFor: +p8848 +tp8849 +a(g236 +V'copying' +p8850 +tp8851 +a(g138 +V stamp: 'tk 3/11/2003 13:58'! +p8852 +tp8853 +a(g6 +V\u000a +tp8854 +a(g48 +VveryDeepCopy +p8855 +tp8856 +a(g6 +V\u000a +p8857 +tp8858 +a(g24 +V"Do a complete tree copy using a dictionary. An object in the tree twice is only copied once. All references to the object in the copy of the tree will point to the new copy." +p8859 +tp8860 +a(g6 +V\u000a\u000a +p8861 +tp8862 +a(g353 +V| +tp8863 +a(g100 +V copier new +p8864 +tp8865 +a(g353 +V| +tp8866 +a(g6 +V\u000a +p8867 +tp8868 +a(g100 +Vcopier +p8869 +tp8870 +a(g6 +V +tp8871 +a(g353 +V_ +tp8872 +a(g6 +V +tp8873 +a(g133 +VDeepCopier +p8874 +tp8875 +a(g6 +V +tp8876 +a(g84 +Vnew +p8877 +tp8878 +a(g6 +V +tp8879 +a(g48 +Vinitialize: +p8880 +tp8881 +a(g6 +V +tp8882 +a(g87 +Vself +p8883 +tp8884 +a(g6 +V +tp8885 +a(g48 +VinitialDeepCopierSize +p8886 +tp8887 +a(g212 +V. +tp8888 +a(g6 +V\u000a +p8889 +tp8890 +a(g100 +Vnew +p8891 +tp8892 +a(g6 +V +tp8893 +a(g353 +V_ +tp8894 +a(g6 +V +tp8895 +a(g87 +Vself +p8896 +tp8897 +a(g6 +V +tp8898 +a(g48 +VveryDeepCopyWith: +p8899 +tp8900 +a(g6 +V +tp8901 +a(g100 +Vcopier +p8902 +tp8903 +a(g212 +V. +tp8904 +a(g6 +V\u000a +p8905 +tp8906 +a(g100 +Vcopier +p8907 +tp8908 +a(g6 +V +tp8909 +a(g48 +VmapUniClasses +p8910 +tp8911 +a(g212 +V. +tp8912 +a(g6 +V\u000a +p8913 +tp8914 +a(g100 +Vcopier +p8915 +tp8916 +a(g6 +V +tp8917 +a(g48 +Vreferences +p8918 +tp8919 +a(g6 +V +tp8920 +a(g48 +VassociationsDo: +p8921 +tp8922 +a(g6 +V +tp8923 +a(g6 +V[ +tp8924 +a(g353 +V: +tp8925 +a(g100 +Vassoc +p8926 +tp8927 +a(g6 +V +tp8928 +a(g353 +V| +tp8929 +a(g6 +V \u000a +p8930 +tp8931 +a(g100 +Vassoc +p8932 +tp8933 +a(g6 +V +tp8934 +a(g48 +Vvalue +p8935 +tp8936 +a(g6 +V +tp8937 +a(g48 +VveryDeepFixupWith: +p8938 +tp8939 +a(g6 +V +tp8940 +a(g100 +Vcopier +p8941 +tp8942 +a(g6 +V] +tp8943 +a(g212 +V. +tp8944 +a(g6 +V\u000a +p8945 +tp8946 +a(g100 +Vcopier +p8947 +tp8948 +a(g6 +V +tp8949 +a(g48 +VfixDependents +p8950 +tp8951 +a(g212 +V. +tp8952 +a(g6 +V\u000a +p8953 +tp8954 +a(g353 +V^ +tp8955 +a(g6 +V +tp8956 +a(g100 +Vnew +p8957 +tp8958 +a(g138 +V! ! +p8959 +tp8960 +a(g6 +V\u000a\u000a +p8961 +tp8962 +a(g138 +V! +tp8963 +a(g133 +VObject +p8964 +tp8965 +a(g138 +V methodsFor: +p8966 +tp8967 +a(g236 +V'copying' +p8968 +tp8969 +a(g138 +V stamp: 'tk 3/11/2003 13:58'! +p8970 +tp8971 +a(g6 +V\u000a +tp8972 +a(g48 +VveryDeepCopySibling +p8973 +tp8974 +a(g6 +V\u000a +p8975 +tp8976 +a(g24 +V"Do a complete tree copy using a dictionary. Substitute a clone of oldPlayer for the root. Normally, a Player or non systemDefined object would have a new class. We do not want one this time. An object in the tree twice, is only copied once. All references to the object in the copy of the tree will point to the new copy." +p8977 +tp8978 +a(g6 +V\u000a\u000a +p8979 +tp8980 +a(g353 +V| +tp8981 +a(g100 +V copier new +p8982 +tp8983 +a(g353 +V| +tp8984 +a(g6 +V\u000a +p8985 +tp8986 +a(g100 +Vcopier +p8987 +tp8988 +a(g6 +V +tp8989 +a(g353 +V_ +tp8990 +a(g6 +V +tp8991 +a(g133 +VDeepCopier +p8992 +tp8993 +a(g6 +V +tp8994 +a(g84 +Vnew +p8995 +tp8996 +a(g6 +V +tp8997 +a(g48 +Vinitialize: +p8998 +tp8999 +a(g6 +V +tp9000 +a(g87 +Vself +p9001 +tp9002 +a(g6 +V +tp9003 +a(g48 +VinitialDeepCopierSize +p9004 +tp9005 +a(g212 +V. +tp9006 +a(g6 +V\u000a +p9007 +tp9008 +a(g100 +Vcopier +p9009 +tp9010 +a(g6 +V +tp9011 +a(g48 +VnewUniClasses: +p9012 +tp9013 +a(g6 +V +tp9014 +a(g87 +Vfalse +p9015 +tp9016 +a(g212 +V. +tp9017 +a(g6 +V\u000a +p9018 +tp9019 +a(g100 +Vnew +p9020 +tp9021 +a(g6 +V +tp9022 +a(g353 +V_ +tp9023 +a(g6 +V +tp9024 +a(g87 +Vself +p9025 +tp9026 +a(g6 +V +tp9027 +a(g48 +VveryDeepCopyWith: +p9028 +tp9029 +a(g6 +V +tp9030 +a(g100 +Vcopier +p9031 +tp9032 +a(g212 +V. +tp9033 +a(g6 +V\u000a +p9034 +tp9035 +a(g100 +Vcopier +p9036 +tp9037 +a(g6 +V +tp9038 +a(g48 +VmapUniClasses +p9039 +tp9040 +a(g212 +V. +tp9041 +a(g6 +V\u000a +p9042 +tp9043 +a(g100 +Vcopier +p9044 +tp9045 +a(g6 +V +tp9046 +a(g48 +Vreferences +p9047 +tp9048 +a(g6 +V +tp9049 +a(g48 +VassociationsDo: +p9050 +tp9051 +a(g6 +V +tp9052 +a(g6 +V[ +tp9053 +a(g353 +V: +tp9054 +a(g100 +Vassoc +p9055 +tp9056 +a(g6 +V +tp9057 +a(g353 +V| +tp9058 +a(g6 +V \u000a +p9059 +tp9060 +a(g100 +Vassoc +p9061 +tp9062 +a(g6 +V +tp9063 +a(g48 +Vvalue +p9064 +tp9065 +a(g6 +V +tp9066 +a(g48 +VveryDeepFixupWith: +p9067 +tp9068 +a(g6 +V +tp9069 +a(g100 +Vcopier +p9070 +tp9071 +a(g6 +V] +tp9072 +a(g212 +V. +tp9073 +a(g6 +V\u000a +p9074 +tp9075 +a(g100 +Vcopier +p9076 +tp9077 +a(g6 +V +tp9078 +a(g48 +VfixDependents +p9079 +tp9080 +a(g212 +V. +tp9081 +a(g6 +V\u000a +p9082 +tp9083 +a(g353 +V^ +tp9084 +a(g6 +V +tp9085 +a(g100 +Vnew +p9086 +tp9087 +a(g138 +V! ! +p9088 +tp9089 +a(g6 +V\u000a\u000a +p9090 +tp9091 +a(g138 +V! +tp9092 +a(g133 +VObject +p9093 +tp9094 +a(g138 +V methodsFor: +p9095 +tp9096 +a(g236 +V'copying' +p9097 +tp9098 +a(g138 +V stamp: 'tk 5/13/2003 19:39'! +p9099 +tp9100 +a(g6 +V\u000a +tp9101 +a(g48 +VveryDeepCopyUsing: +p9102 +tp9103 +a(g6 +V +tp9104 +a(g100 +Vcopier +p9105 +tp9106 +a(g6 +V\u000a +p9107 +tp9108 +a(g24 +V"Do a complete tree copy using a dictionary. An object in the tree twice is only copied once. All references to the object in the copy of the tree will point to the new copy.\u000a Same as veryDeepCopy except copier (with dictionary) is supplied.\u000a ** do not delete this method, even if it has no callers **" +p9109 +tp9110 +a(g6 +V\u000a\u000a +p9111 +tp9112 +a(g353 +V| +tp9113 +a(g100 +V new refs newDep newModel +p9114 +tp9115 +a(g353 +V| +tp9116 +a(g6 +V\u000a +p9117 +tp9118 +a(g100 +Vnew +p9119 +tp9120 +a(g6 +V +tp9121 +a(g353 +V_ +tp9122 +a(g6 +V +tp9123 +a(g87 +Vself +p9124 +tp9125 +a(g6 +V +tp9126 +a(g48 +VveryDeepCopyWith: +p9127 +tp9128 +a(g6 +V +tp9129 +a(g100 +Vcopier +p9130 +tp9131 +a(g212 +V. +tp9132 +a(g6 +V\u000a +p9133 +tp9134 +a(g100 +Vcopier +p9135 +tp9136 +a(g6 +V +tp9137 +a(g48 +VmapUniClasses +p9138 +tp9139 +a(g212 +V. +tp9140 +a(g6 +V\u000a +p9141 +tp9142 +a(g100 +Vcopier +p9143 +tp9144 +a(g6 +V +tp9145 +a(g48 +Vreferences +p9146 +tp9147 +a(g6 +V +tp9148 +a(g48 +VassociationsDo: +p9149 +tp9150 +a(g6 +V +tp9151 +a(g6 +V[ +tp9152 +a(g353 +V: +tp9153 +a(g100 +Vassoc +p9154 +tp9155 +a(g6 +V +tp9156 +a(g353 +V| +tp9157 +a(g6 +V \u000a +p9158 +tp9159 +a(g100 +Vassoc +p9160 +tp9161 +a(g6 +V +tp9162 +a(g48 +Vvalue +p9163 +tp9164 +a(g6 +V +tp9165 +a(g48 +VveryDeepFixupWith: +p9166 +tp9167 +a(g6 +V +tp9168 +a(g100 +Vcopier +p9169 +tp9170 +a(g6 +V] +tp9171 +a(g212 +V. +tp9172 +a(g6 +V\u000a +p9173 +tp9174 +a(g24 +V"Fix dependents" +p9175 +tp9176 +a(g6 +V\u000a +p9177 +tp9178 +a(g100 +Vrefs +p9179 +tp9180 +a(g6 +V +tp9181 +a(g353 +V_ +tp9182 +a(g6 +V +tp9183 +a(g100 +Vcopier +p9184 +tp9185 +a(g6 +V +tp9186 +a(g48 +Vreferences +p9187 +tp9188 +a(g212 +V. +tp9189 +a(g6 +V\u000a +p9190 +tp9191 +a(g133 +VDependentsFields +p9192 +tp9193 +a(g6 +V +tp9194 +a(g48 +VassociationsDo: +p9195 +tp9196 +a(g6 +V +tp9197 +a(g6 +V[ +tp9198 +a(g353 +V: +tp9199 +a(g100 +Vpair +p9200 +tp9201 +a(g6 +V +tp9202 +a(g353 +V| +tp9203 +a(g6 +V\u000a +p9204 +tp9205 +a(g100 +Vpair +p9206 +tp9207 +a(g6 +V +tp9208 +a(g48 +Vvalue +p9209 +tp9210 +a(g6 +V +tp9211 +a(g48 +Vdo: +p9212 +tp9213 +a(g6 +V +tp9214 +a(g6 +V[ +tp9215 +a(g353 +V: +tp9216 +a(g100 +Vdep +p9217 +tp9218 +a(g6 +V +tp9219 +a(g353 +V| +tp9220 +a(g6 +V \u000a +p9221 +tp9222 +a(g6 +V( +tp9223 +a(g100 +VnewDep +p9224 +tp9225 +a(g6 +V +tp9226 +a(g353 +V_ +tp9227 +a(g6 +V +tp9228 +a(g100 +Vrefs +p9229 +tp9230 +a(g6 +V +tp9231 +a(g48 +Vat: +p9232 +tp9233 +a(g6 +V +tp9234 +a(g100 +Vdep +p9235 +tp9236 +a(g6 +V +tp9237 +a(g48 +VifAbsent: +p9238 +tp9239 +a(g6 +V +tp9240 +a(g6 +V[ +tp9241 +a(g6 +V +tp9242 +a(g87 +Vnil +p9243 +tp9244 +a(g6 +V] +tp9245 +a(g6 +V) +tp9246 +a(g6 +V +tp9247 +a(g48 +VifNotNil: +p9248 +tp9249 +a(g6 +V +tp9250 +a(g6 +V[ +tp9251 +a(g6 +V\u000a +p9252 +tp9253 +a(g6 +V +tp9254 +a(g100 +VnewModel +p9255 +tp9256 +a(g6 +V +tp9257 +a(g353 +V_ +tp9258 +a(g6 +V +tp9259 +a(g100 +Vrefs +p9260 +tp9261 +a(g6 +V +tp9262 +a(g48 +Vat: +p9263 +tp9264 +a(g6 +V +tp9265 +a(g100 +Vpair +p9266 +tp9267 +a(g6 +V +tp9268 +a(g48 +Vkey +p9269 +tp9270 +a(g6 +V +tp9271 +a(g48 +VifAbsent: +p9272 +tp9273 +a(g6 +V +tp9274 +a(g6 +V[ +tp9275 +a(g6 +V +tp9276 +a(g100 +Vpair +p9277 +tp9278 +a(g6 +V +tp9279 +a(g48 +Vkey +p9280 +tp9281 +a(g6 +V] +tp9282 +a(g212 +V. +tp9283 +a(g6 +V\u000a +p9284 +tp9285 +a(g100 +VnewModel +p9286 +tp9287 +a(g6 +V +tp9288 +a(g48 +VaddDependent: +p9289 +tp9290 +a(g6 +V +tp9291 +a(g100 +VnewDep +p9292 +tp9293 +a(g6 +V] +tp9294 +a(g6 +V] +tp9295 +a(g6 +V] +tp9296 +a(g212 +V. +tp9297 +a(g6 +V\u000a +p9298 +tp9299 +a(g353 +V^ +tp9300 +a(g6 +V +tp9301 +a(g100 +Vnew +p9302 +tp9303 +a(g138 +V! ! +p9304 +tp9305 +a(g6 +V\u000a\u000a +p9306 +tp9307 +a(g138 +V! +tp9308 +a(g133 +VObject +p9309 +tp9310 +a(g138 +V methodsFor: +p9311 +tp9312 +a(g236 +V'copying' +p9313 +tp9314 +a(g138 +V stamp: 'tk 3/11/2003 14:12'! +p9315 +tp9316 +a(g6 +V\u000a +tp9317 +a(g48 +VveryDeepCopyWith: +p9318 +tp9319 +a(g6 +V +tp9320 +a(g100 +VdeepCopier +p9321 +tp9322 +a(g6 +V\u000a +p9323 +tp9324 +a(g24 +V"Copy me and the entire tree of objects I point to. An object in the tree twice is copied once, and both references point to him. deepCopier holds a dictionary of objects we have seen. Some classes refuse to be copied. Some classes are picky about which fields get deep copied." +p9325 +tp9326 +a(g6 +V\u000a +p9327 +tp9328 +a(g353 +V| +tp9329 +a(g100 +V class index sub subAss new uc sup has mine +p9330 +tp9331 +a(g353 +V| +tp9332 +a(g6 +V\u000a +p9333 +tp9334 +a(g100 +VdeepCopier +p9335 +tp9336 +a(g6 +V +tp9337 +a(g48 +Vreferences +p9338 +tp9339 +a(g6 +V +tp9340 +a(g48 +Vat: +p9341 +tp9342 +a(g6 +V +tp9343 +a(g87 +Vself +p9344 +tp9345 +a(g6 +V +tp9346 +a(g48 +VifPresent: +p9347 +tp9348 +a(g6 +V +tp9349 +a(g6 +V[ +tp9350 +a(g353 +V: +tp9351 +a(g100 +Vnewer +p9352 +tp9353 +a(g6 +V +tp9354 +a(g353 +V| +tp9355 +a(g6 +V +tp9356 +a(g353 +V^ +tp9357 +a(g6 +V +tp9358 +a(g100 +Vnewer +p9359 +tp9360 +a(g6 +V] +tp9361 +a(g212 +V. +tp9362 +a(g6 +V +p9363 +tp9364 +a(g24 +V"already did him" +p9365 +tp9366 +a(g6 +V\u000a +p9367 +tp9368 +a(g100 +Vclass +p9369 +tp9370 +a(g6 +V +tp9371 +a(g353 +V_ +tp9372 +a(g6 +V +tp9373 +a(g87 +Vself +p9374 +tp9375 +a(g6 +V +tp9376 +a(g48 +Vclass +p9377 +tp9378 +a(g212 +V. +tp9379 +a(g6 +V\u000a +p9380 +tp9381 +a(g100 +Vclass +p9382 +tp9383 +a(g6 +V +tp9384 +a(g48 +VisMeta +p9385 +tp9386 +a(g6 +V +tp9387 +a(g84 +VifTrue: +p9388 +tp9389 +a(g6 +V +tp9390 +a(g6 +V[ +tp9391 +a(g6 +V +tp9392 +a(g353 +V^ +tp9393 +a(g6 +V +tp9394 +a(g87 +Vself +p9395 +tp9396 +a(g6 +V] +tp9397 +a(g212 +V. +tp9398 +a(g6 +V +p9399 +tp9400 +a(g24 +V"a class" +p9401 +tp9402 +a(g6 +V\u000a +p9403 +tp9404 +a(g100 +Vnew +p9405 +tp9406 +a(g6 +V +tp9407 +a(g353 +V_ +tp9408 +a(g6 +V +tp9409 +a(g87 +Vself +p9410 +tp9411 +a(g6 +V +tp9412 +a(g48 +Vclone +p9413 +tp9414 +a(g212 +V. +tp9415 +a(g6 +V\u000a +p9416 +tp9417 +a(g6 +V( +tp9418 +a(g100 +Vclass +p9419 +tp9420 +a(g6 +V +tp9421 +a(g48 +VisSystemDefined +p9422 +tp9423 +a(g6 +V +tp9424 +a(g48 +Vnot +p9425 +tp9426 +a(g6 +V +tp9427 +a(g48 +Vand: +p9428 +tp9429 +a(g6 +V +tp9430 +a(g6 +V[ +tp9431 +a(g6 +V +tp9432 +a(g100 +VdeepCopier +p9433 +tp9434 +a(g6 +V +tp9435 +a(g48 +VnewUniClasses +p9436 +tp9437 +a(g6 +V +tp9438 +a(g24 +V"allowed" +p9439 +tp9440 +a(g6 +V] +tp9441 +a(g6 +V) +tp9442 +a(g6 +V +tp9443 +a(g84 +VifTrue: +p9444 +tp9445 +a(g6 +V +tp9446 +a(g6 +V[ +tp9447 +a(g6 +V\u000a +p9448 +tp9449 +a(g6 +V +tp9450 +a(g100 +Vuc +p9451 +tp9452 +a(g6 +V +tp9453 +a(g353 +V_ +tp9454 +a(g6 +V +tp9455 +a(g100 +VdeepCopier +p9456 +tp9457 +a(g6 +V +tp9458 +a(g48 +VuniClasses +p9459 +tp9460 +a(g6 +V +tp9461 +a(g48 +Vat: +p9462 +tp9463 +a(g6 +V +tp9464 +a(g100 +Vclass +p9465 +tp9466 +a(g6 +V +tp9467 +a(g48 +VifAbsent: +p9468 +tp9469 +a(g6 +V +tp9470 +a(g6 +V[ +tp9471 +a(g6 +V +tp9472 +a(g87 +Vnil +p9473 +tp9474 +a(g6 +V] +tp9475 +a(g212 +V. +tp9476 +a(g6 +V\u000a +p9477 +tp9478 +a(g100 +Vuc +p9479 +tp9480 +a(g6 +V +tp9481 +a(g48 +VifNil: +p9482 +tp9483 +a(g6 +V +tp9484 +a(g6 +V[ +tp9485 +a(g6 +V\u000a +p9486 +tp9487 +a(g6 +V +tp9488 +a(g100 +VdeepCopier +p9489 +tp9490 +a(g6 +V +tp9491 +a(g48 +VuniClasses +p9492 +tp9493 +a(g6 +V +tp9494 +a(g48 +Vat: +p9495 +tp9496 +a(g6 +V +tp9497 +a(g100 +Vclass +p9498 +tp9499 +a(g6 +V +tp9500 +a(g48 +Vput: +p9501 +tp9502 +a(g6 +V +tp9503 +a(g6 +V( +tp9504 +a(g100 +Vuc +p9505 +tp9506 +a(g6 +V +tp9507 +a(g353 +V_ +tp9508 +a(g6 +V +tp9509 +a(g87 +Vself +p9510 +tp9511 +a(g6 +V +tp9512 +a(g48 +VcopyUniClassWith: +p9513 +tp9514 +a(g6 +V +tp9515 +a(g100 +VdeepCopier +p9516 +tp9517 +a(g6 +V) +tp9518 +a(g212 +V. +tp9519 +a(g6 +V\u000a +p9520 +tp9521 +a(g100 +VdeepCopier +p9522 +tp9523 +a(g6 +V +tp9524 +a(g48 +Vreferences +p9525 +tp9526 +a(g6 +V +tp9527 +a(g48 +Vat: +p9528 +tp9529 +a(g6 +V +tp9530 +a(g100 +Vclass +p9531 +tp9532 +a(g6 +V +tp9533 +a(g48 +Vput: +p9534 +tp9535 +a(g6 +V +tp9536 +a(g100 +Vuc +p9537 +tp9538 +a(g6 +V] +tp9539 +a(g212 +V. +tp9540 +a(g6 +V +tp9541 +a(g24 +V"remember" +p9542 +tp9543 +a(g6 +V\u000a +p9544 +tp9545 +a(g100 +Vnew +p9546 +tp9547 +a(g6 +V +tp9548 +a(g353 +V_ +tp9549 +a(g6 +V +tp9550 +a(g100 +Vuc +p9551 +tp9552 +a(g6 +V +tp9553 +a(g84 +Vnew +p9554 +tp9555 +a(g212 +V. +tp9556 +a(g6 +V\u000a +p9557 +tp9558 +a(g100 +Vnew +p9559 +tp9560 +a(g6 +V +tp9561 +a(g48 +VcopyFrom: +p9562 +tp9563 +a(g6 +V +tp9564 +a(g87 +Vself +p9565 +tp9566 +a(g6 +V] +tp9567 +a(g212 +V. +tp9568 +a(g6 +V +tp9569 +a(g24 +V"copy inst vars in case any are weak" +p9570 +tp9571 +a(g6 +V\u000a +p9572 +tp9573 +a(g100 +VdeepCopier +p9574 +tp9575 +a(g6 +V +tp9576 +a(g48 +Vreferences +p9577 +tp9578 +a(g6 +V +tp9579 +a(g48 +Vat: +p9580 +tp9581 +a(g6 +V +tp9582 +a(g87 +Vself +p9583 +tp9584 +a(g6 +V +tp9585 +a(g48 +Vput: +p9586 +tp9587 +a(g6 +V +tp9588 +a(g100 +Vnew +p9589 +tp9590 +a(g212 +V. +tp9591 +a(g6 +V +tp9592 +a(g24 +V"remember" +p9593 +tp9594 +a(g6 +V\u000a +p9595 +tp9596 +a(g6 +V( +tp9597 +a(g100 +Vclass +p9598 +tp9599 +a(g6 +V +tp9600 +a(g48 +VisVariable +p9601 +tp9602 +a(g6 +V +tp9603 +a(g48 +Vand: +p9604 +tp9605 +a(g6 +V +tp9606 +a(g6 +V[ +tp9607 +a(g6 +V +tp9608 +a(g100 +Vclass +p9609 +tp9610 +a(g6 +V +tp9611 +a(g48 +VisPointers +p9612 +tp9613 +a(g6 +V] +tp9614 +a(g6 +V) +tp9615 +a(g6 +V +tp9616 +a(g84 +VifTrue: +p9617 +tp9618 +a(g6 +V \u000a +p9619 +tp9620 +a(g6 +V[ +tp9621 +a(g6 +V +tp9622 +a(g100 +Vindex +p9623 +tp9624 +a(g6 +V +tp9625 +a(g353 +V_ +tp9626 +a(g6 +V +tp9627 +a(g87 +Vself +p9628 +tp9629 +a(g6 +V +tp9630 +a(g48 +VbasicSize +p9631 +tp9632 +a(g212 +V. +tp9633 +a(g6 +V\u000a +p9634 +tp9635 +a(g6 +V[ +tp9636 +a(g6 +V +tp9637 +a(g100 +Vindex +p9638 +tp9639 +a(g6 +V +tp9640 +a(g48 +V> +tp9641 +a(g6 +V +tp9642 +a(g223 +V0 +tp9643 +a(g6 +V] +tp9644 +a(g6 +V +tp9645 +a(g84 +VwhileTrue: +p9646 +tp9647 +a(g6 +V \u000a +p9648 +tp9649 +a(g6 +V[ +tp9650 +a(g6 +V +tp9651 +a(g100 +Vsub +p9652 +tp9653 +a(g6 +V +tp9654 +a(g353 +V_ +tp9655 +a(g6 +V +tp9656 +a(g87 +Vself +p9657 +tp9658 +a(g6 +V +tp9659 +a(g48 +VbasicAt: +p9660 +tp9661 +a(g6 +V +tp9662 +a(g100 +Vindex +p9663 +tp9664 +a(g212 +V. +tp9665 +a(g6 +V\u000a +p9666 +tp9667 +a(g6 +V( +tp9668 +a(g100 +VsubAss +p9669 +tp9670 +a(g6 +V +tp9671 +a(g353 +V_ +tp9672 +a(g6 +V +tp9673 +a(g100 +VdeepCopier +p9674 +tp9675 +a(g6 +V +tp9676 +a(g48 +Vreferences +p9677 +tp9678 +a(g6 +V +tp9679 +a(g48 +VassociationAt: +p9680 +tp9681 +a(g6 +V +tp9682 +a(g100 +Vsub +p9683 +tp9684 +a(g6 +V +tp9685 +a(g48 +VifAbsent: +p9686 +tp9687 +a(g6 +V +tp9688 +a(g6 +V[ +tp9689 +a(g6 +V +tp9690 +a(g87 +Vnil +p9691 +tp9692 +a(g6 +V] +tp9693 +a(g6 +V) +tp9694 +a(g6 +V\u000a +p9695 +tp9696 +a(g48 +VifNil: +p9697 +tp9698 +a(g6 +V +tp9699 +a(g6 +V[ +tp9700 +a(g6 +V +tp9701 +a(g100 +Vnew +p9702 +tp9703 +a(g6 +V +tp9704 +a(g48 +VbasicAt: +p9705 +tp9706 +a(g6 +V +tp9707 +a(g100 +Vindex +p9708 +tp9709 +a(g6 +V +tp9710 +a(g48 +Vput: +p9711 +tp9712 +a(g6 +V +tp9713 +a(g6 +V( +tp9714 +a(g100 +Vsub +p9715 +tp9716 +a(g6 +V +tp9717 +a(g48 +VveryDeepCopyWith: +p9718 +tp9719 +a(g6 +V +tp9720 +a(g100 +VdeepCopier +p9721 +tp9722 +a(g6 +V) +tp9723 +a(g6 +V] +tp9724 +a(g6 +V\u000a +p9725 +tp9726 +a(g48 +VifNotNil: +p9727 +tp9728 +a(g6 +V +tp9729 +a(g6 +V[ +tp9730 +a(g6 +V +tp9731 +a(g100 +Vnew +p9732 +tp9733 +a(g6 +V +tp9734 +a(g48 +VbasicAt: +p9735 +tp9736 +a(g6 +V +tp9737 +a(g100 +Vindex +p9738 +tp9739 +a(g6 +V +tp9740 +a(g48 +Vput: +p9741 +tp9742 +a(g6 +V +tp9743 +a(g100 +VsubAss +p9744 +tp9745 +a(g6 +V +tp9746 +a(g48 +Vvalue +p9747 +tp9748 +a(g6 +V] +tp9749 +a(g212 +V. +tp9750 +a(g6 +V\u000a +p9751 +tp9752 +a(g100 +Vindex +p9753 +tp9754 +a(g6 +V +tp9755 +a(g353 +V_ +tp9756 +a(g6 +V +tp9757 +a(g100 +Vindex +p9758 +tp9759 +a(g6 +V +tp9760 +a(g48 +V- +tp9761 +a(g6 +V +tp9762 +a(g223 +V1 +tp9763 +a(g6 +V] +tp9764 +a(g6 +V] +tp9765 +a(g212 +V. +tp9766 +a(g6 +V\u000a +p9767 +tp9768 +a(g24 +V"Ask each superclass if it wants to share (weak copy) any inst vars" +p9769 +tp9770 +a(g6 +V\u000a +p9771 +tp9772 +a(g100 +Vnew +p9773 +tp9774 +a(g6 +V +tp9775 +a(g48 +VveryDeepInner: +p9776 +tp9777 +a(g6 +V +tp9778 +a(g100 +VdeepCopier +p9779 +tp9780 +a(g212 +V. +tp9781 +a(g6 +V +p9782 +tp9783 +a(g24 +V"does super a lot" +p9784 +tp9785 +a(g6 +V\u000a\u000a +p9786 +tp9787 +a(g24 +V"other superclasses want all inst vars deep copied" +p9788 +tp9789 +a(g6 +V\u000a +p9790 +tp9791 +a(g100 +Vsup +p9792 +tp9793 +a(g6 +V +tp9794 +a(g353 +V_ +tp9795 +a(g6 +V +tp9796 +a(g100 +Vclass +p9797 +tp9798 +a(g212 +V. +tp9799 +a(g6 +V +p9800 +tp9801 +a(g100 +Vindex +p9802 +tp9803 +a(g6 +V +tp9804 +a(g353 +V_ +tp9805 +a(g6 +V +tp9806 +a(g100 +Vclass +p9807 +tp9808 +a(g6 +V +tp9809 +a(g48 +VinstSize +p9810 +tp9811 +a(g212 +V. +tp9812 +a(g6 +V\u000a +p9813 +tp9814 +a(g6 +V[ +tp9815 +a(g6 +V +tp9816 +a(g100 +Vhas +p9817 +tp9818 +a(g6 +V +tp9819 +a(g353 +V_ +tp9820 +a(g6 +V +tp9821 +a(g100 +Vsup +p9822 +tp9823 +a(g6 +V +tp9824 +a(g48 +VcompiledMethodAt: +p9825 +tp9826 +a(g6 +V +tp9827 +a(g258 +V#veryDeepInner: +p9828 +tp9829 +a(g6 +V +tp9830 +a(g48 +VifAbsent: +p9831 +tp9832 +a(g6 +V +tp9833 +a(g6 +V[ +tp9834 +a(g6 +V +tp9835 +a(g87 +Vnil +p9836 +tp9837 +a(g6 +V] +tp9838 +a(g212 +V. +tp9839 +a(g6 +V\u000a +p9840 +tp9841 +a(g100 +Vhas +p9842 +tp9843 +a(g6 +V +tp9844 +a(g353 +V_ +tp9845 +a(g6 +V +tp9846 +a(g100 +Vhas +p9847 +tp9848 +a(g6 +V +tp9849 +a(g48 +VifNil: +p9850 +tp9851 +a(g6 +V +tp9852 +a(g6 +V[ +tp9853 +a(g6 +V +tp9854 +a(g100 +Vclass +p9855 +tp9856 +a(g6 +V +tp9857 +a(g48 +VisSystemDefined +p9858 +tp9859 +a(g6 +V +tp9860 +a(g48 +Vnot +p9861 +tp9862 +a(g6 +V +tp9863 +a(g24 +V"is a uniClass" +p9864 +tp9865 +a(g6 +V] +tp9866 +a(g6 +V +tp9867 +a(g48 +VifNotNil: +p9868 +tp9869 +a(g6 +V +tp9870 +a(g6 +V[ +tp9871 +a(g6 +V +tp9872 +a(g87 +Vtrue +p9873 +tp9874 +a(g6 +V] +tp9875 +a(g212 +V. +tp9876 +a(g6 +V\u000a +p9877 +tp9878 +a(g100 +Vmine +p9879 +tp9880 +a(g6 +V +tp9881 +a(g353 +V_ +tp9882 +a(g6 +V +tp9883 +a(g100 +Vsup +p9884 +tp9885 +a(g6 +V +tp9886 +a(g48 +VinstVarNames +p9887 +tp9888 +a(g212 +V. +tp9889 +a(g6 +V\u000a +p9890 +tp9891 +a(g100 +Vhas +p9892 +tp9893 +a(g6 +V +tp9894 +a(g84 +VifTrue: +p9895 +tp9896 +a(g6 +V +tp9897 +a(g6 +V[ +tp9898 +a(g6 +V +tp9899 +a(g100 +Vindex +p9900 +tp9901 +a(g6 +V +tp9902 +a(g353 +V_ +tp9903 +a(g6 +V +tp9904 +a(g100 +Vindex +p9905 +tp9906 +a(g6 +V +tp9907 +a(g48 +V- +tp9908 +a(g6 +V +tp9909 +a(g100 +Vmine +p9910 +tp9911 +a(g6 +V +tp9912 +a(g48 +Vsize +p9913 +tp9914 +a(g6 +V] +tp9915 +a(g6 +V +tp9916 +a(g24 +V"skip inst vars" +p9917 +tp9918 +a(g6 +V\u000a +p9919 +tp9920 +a(g84 +VifFalse: +p9921 +tp9922 +a(g6 +V +tp9923 +a(g6 +V[ +tp9924 +a(g6 +V +tp9925 +a(g223 +V1 +tp9926 +a(g6 +V +tp9927 +a(g48 +Vto: +p9928 +tp9929 +a(g6 +V +tp9930 +a(g100 +Vmine +p9931 +tp9932 +a(g6 +V +tp9933 +a(g48 +Vsize +p9934 +tp9935 +a(g6 +V +tp9936 +a(g48 +Vdo: +p9937 +tp9938 +a(g6 +V +tp9939 +a(g6 +V[ +tp9940 +a(g353 +V: +tp9941 +a(g100 +Vxx +p9942 +tp9943 +a(g6 +V +tp9944 +a(g353 +V| +tp9945 +a(g6 +V\u000a +p9946 +tp9947 +a(g100 +Vsub +p9948 +tp9949 +a(g6 +V +tp9950 +a(g353 +V_ +tp9951 +a(g6 +V +tp9952 +a(g87 +Vself +p9953 +tp9954 +a(g6 +V +tp9955 +a(g48 +VinstVarAt: +p9956 +tp9957 +a(g6 +V +tp9958 +a(g100 +Vindex +p9959 +tp9960 +a(g212 +V. +tp9961 +a(g6 +V\u000a +p9962 +tp9963 +a(g6 +V( +tp9964 +a(g100 +VsubAss +p9965 +tp9966 +a(g6 +V +tp9967 +a(g353 +V_ +tp9968 +a(g6 +V +tp9969 +a(g100 +VdeepCopier +p9970 +tp9971 +a(g6 +V +tp9972 +a(g48 +Vreferences +p9973 +tp9974 +a(g6 +V +tp9975 +a(g48 +VassociationAt: +p9976 +tp9977 +a(g6 +V +tp9978 +a(g100 +Vsub +p9979 +tp9980 +a(g6 +V +tp9981 +a(g48 +VifAbsent: +p9982 +tp9983 +a(g6 +V +tp9984 +a(g6 +V[ +tp9985 +a(g6 +V +tp9986 +a(g87 +Vnil +p9987 +tp9988 +a(g6 +V] +tp9989 +a(g6 +V) +tp9990 +a(g6 +V\u000a +p9991 +tp9992 +a(g24 +V"use association, not value, so nil is an exceptional value" +p9993 +tp9994 +a(g6 +V\u000a +p9995 +tp9996 +a(g48 +VifNil: +p9997 +tp9998 +a(g6 +V +tp9999 +a(g6 +V[ +tp10000 +a(g6 +V +tp10001 +a(g100 +Vnew +p10002 +tp10003 +a(g6 +V +tp10004 +a(g48 +VinstVarAt: +p10005 +tp10006 +a(g6 +V +tp10007 +a(g100 +Vindex +p10008 +tp10009 +a(g6 +V +tp10010 +a(g48 +Vput: +p10011 +tp10012 +a(g6 +V \u000a +p10013 +tp10014 +a(g6 +V( +tp10015 +a(g100 +Vsub +p10016 +tp10017 +a(g6 +V +tp10018 +a(g48 +VveryDeepCopyWith: +p10019 +tp10020 +a(g6 +V +tp10021 +a(g100 +VdeepCopier +p10022 +tp10023 +a(g6 +V) +tp10024 +a(g6 +V] +tp10025 +a(g6 +V\u000a +p10026 +tp10027 +a(g48 +VifNotNil: +p10028 +tp10029 +a(g6 +V +tp10030 +a(g6 +V[ +tp10031 +a(g6 +V +tp10032 +a(g100 +Vnew +p10033 +tp10034 +a(g6 +V +tp10035 +a(g48 +VinstVarAt: +p10036 +tp10037 +a(g6 +V +tp10038 +a(g100 +Vindex +p10039 +tp10040 +a(g6 +V +tp10041 +a(g48 +Vput: +p10042 +tp10043 +a(g6 +V +tp10044 +a(g100 +VsubAss +p10045 +tp10046 +a(g6 +V +tp10047 +a(g48 +Vvalue +p10048 +tp10049 +a(g6 +V] +tp10050 +a(g212 +V. +tp10051 +a(g6 +V\u000a +p10052 +tp10053 +a(g100 +Vindex +p10054 +tp10055 +a(g6 +V +tp10056 +a(g353 +V_ +tp10057 +a(g6 +V +tp10058 +a(g100 +Vindex +p10059 +tp10060 +a(g6 +V +tp10061 +a(g48 +V- +tp10062 +a(g6 +V +tp10063 +a(g223 +V1 +tp10064 +a(g6 +V] +tp10065 +a(g6 +V] +tp10066 +a(g212 +V. +tp10067 +a(g6 +V\u000a +p10068 +tp10069 +a(g6 +V( +tp10070 +a(g100 +Vsup +p10071 +tp10072 +a(g6 +V +tp10073 +a(g353 +V_ +tp10074 +a(g6 +V +tp10075 +a(g100 +Vsup +p10076 +tp10077 +a(g6 +V +tp10078 +a(g48 +Vsuperclass +p10079 +tp10080 +a(g6 +V) +tp10081 +a(g6 +V +tp10082 +a(g48 +V== +p10083 +tp10084 +a(g6 +V +tp10085 +a(g87 +Vnil +p10086 +tp10087 +a(g6 +V] +tp10088 +a(g6 +V +tp10089 +a(g48 +VwhileFalse +p10090 +tp10091 +a(g212 +V. +tp10092 +a(g6 +V\u000a +p10093 +tp10094 +a(g100 +Vnew +p10095 +tp10096 +a(g6 +V +tp10097 +a(g48 +Vrehash +p10098 +tp10099 +a(g212 +V. +tp10100 +a(g6 +V +tp10101 +a(g24 +V"force Sets and Dictionaries to rehash" +p10102 +tp10103 +a(g6 +V\u000a +p10104 +tp10105 +a(g353 +V^ +tp10106 +a(g6 +V +tp10107 +a(g100 +Vnew +p10108 +tp10109 +a(g6 +V\u000a +tp10110 +a(g138 +V! ! +p10111 +tp10112 +a(g6 +V\u000a\u000a +p10113 +tp10114 +a(g138 +V! +tp10115 +a(g133 +VObject +p10116 +tp10117 +a(g138 +V methodsFor: +p10118 +tp10119 +a(g236 +V'copying' +p10120 +tp10121 +a(g138 +V stamp: 'tk 1/6/1999 17:39'! +p10122 +tp10123 +a(g6 +V\u000a +tp10124 +a(g48 +VveryDeepFixupWith: +p10125 +tp10126 +a(g6 +V +tp10127 +a(g100 +VdeepCopier +p10128 +tp10129 +a(g6 +V\u000a +p10130 +tp10131 +a(g24 +V"I have no fields and no superclass. Catch the super call." +p10132 +tp10133 +a(g6 +V\u000a +tp10134 +a(g138 +V! ! +p10135 +tp10136 +a(g6 +V\u000a\u000a +p10137 +tp10138 +a(g138 +V! +tp10139 +a(g133 +VObject +p10140 +tp10141 +a(g138 +V methodsFor: +p10142 +tp10143 +a(g236 +V'copying' +p10144 +tp10145 +a(g138 +V stamp: 'tk 9/4/2001 10:30'! +p10146 +tp10147 +a(g6 +V\u000a +tp10148 +a(g48 +VveryDeepInner: +p10149 +tp10150 +a(g6 +V +tp10151 +a(g100 +VdeepCopier +p10152 +tp10153 +a(g6 +V\u000a +p10154 +tp10155 +a(g24 +V"No special treatment for inst vars of my superclasses. Override when some need to be weakly copied. Object>>veryDeepCopyWith: will veryDeepCopy any inst var whose class does not actually define veryDeepInner:" +p10156 +tp10157 +a(g6 +V\u000a +tp10158 +a(g138 +V! ! +p10159 +tp10160 +a(g6 +V\u000a\u000a\u000a +p10161 +tp10162 +a(g138 +V! +tp10163 +a(g133 +VObject +p10164 +tp10165 +a(g138 +V methodsFor: +p10166 +tp10167 +a(g236 +V'creation' +p10168 +tp10169 +a(g138 +V stamp: 'nk 2/26/2004 13:35'! +p10170 +tp10171 +a(g6 +V\u000a +tp10172 +a(g48 +VasStringMorph +p10173 +tp10174 +a(g6 +V\u000a +p10175 +tp10176 +a(g24 +V"Open a StringMorph, as best one can, on the receiver" +p10177 +tp10178 +a(g6 +V\u000a\u000a +p10179 +tp10180 +a(g353 +V^ +tp10181 +a(g6 +V +tp10182 +a(g87 +Vself +p10183 +tp10184 +a(g6 +V +tp10185 +a(g48 +VasStringOrText +p10186 +tp10187 +a(g6 +V +tp10188 +a(g48 +VasStringMorph +p10189 +tp10190 +a(g6 +V\u000a +tp10191 +a(g138 +V! ! +p10192 +tp10193 +a(g6 +V\u000a\u000a +p10194 +tp10195 +a(g138 +V! +tp10196 +a(g133 +VObject +p10197 +tp10198 +a(g138 +V methodsFor: +p10199 +tp10200 +a(g236 +V'creation' +p10201 +tp10202 +a(g138 +V stamp: 'nk 2/26/2004 13:35'! +p10203 +tp10204 +a(g6 +V\u000a +tp10205 +a(g48 +VasTextMorph +p10206 +tp10207 +a(g6 +V\u000a +p10208 +tp10209 +a(g24 +V"Open a TextMorph, as best one can, on the receiver" +p10210 +tp10211 +a(g6 +V\u000a\u000a +p10212 +tp10213 +a(g353 +V^ +tp10214 +a(g6 +V +tp10215 +a(g133 +VTextMorph +p10216 +tp10217 +a(g6 +V +tp10218 +a(g84 +Vnew +p10219 +tp10220 +a(g6 +V +tp10221 +a(g48 +VcontentsAsIs: +p10222 +tp10223 +a(g6 +V +tp10224 +a(g87 +Vself +p10225 +tp10226 +a(g6 +V +tp10227 +a(g48 +VasStringOrText +p10228 +tp10229 +a(g6 +V\u000a +tp10230 +a(g138 +V! ! +p10231 +tp10232 +a(g6 +V\u000a\u000a +p10233 +tp10234 +a(g138 +V! +tp10235 +a(g133 +VObject +p10236 +tp10237 +a(g138 +V methodsFor: +p10238 +tp10239 +a(g236 +V'creation' +p10240 +tp10241 +a(g138 +V stamp: 'sw 1/29/2002 21:45'! +p10242 +tp10243 +a(g6 +V\u000a +tp10244 +a(g48 +VopenAsMorph +p10245 +tp10246 +a(g6 +V\u000a +p10247 +tp10248 +a(g24 +V"Open a morph, as best one can, on the receiver" +p10249 +tp10250 +a(g6 +V\u000a\u000a +p10251 +tp10252 +a(g353 +V^ +tp10253 +a(g6 +V +tp10254 +a(g87 +Vself +p10255 +tp10256 +a(g6 +V +tp10257 +a(g48 +VasMorph +p10258 +tp10259 +a(g6 +V +tp10260 +a(g48 +VopenInHand +p10261 +tp10262 +a(g6 +V\u000a\u000a +p10263 +tp10264 +a(g24 +V"\u000a234 openAsMorph\u000a(ScriptingSystem formAtKey: #TinyMenu) openAsMorph\u000a'fred' openAsMorph\u000a" +p10265 +tp10266 +a(g138 +V! ! +p10267 +tp10268 +a(g6 +V\u000a\u000a\u000a +p10269 +tp10270 +a(g138 +V! +tp10271 +a(g133 +VObject +p10272 +tp10273 +a(g138 +V methodsFor: +p10274 +tp10275 +a(g236 +V'debugging' +p10276 +tp10277 +a(g138 +V stamp: 'md 11/24/2004 11:45'! +p10278 +tp10279 +a(g6 +V\u000a +tp10280 +a(g48 +VhaltIf: +p10281 +tp10282 +a(g6 +V +tp10283 +a(g100 +Vcondition +p10284 +tp10285 +a(g6 +V\u000a +p10286 +tp10287 +a(g24 +V"This is the typical message to use for inserting breakpoints during \u000a debugging. Param can be a block or expression, halt if true.\u000a If the Block has one arg, the receiver is bound to that.\u000a If the condition is a selector, we look up in the callchain. Halt if\u000a any method's selector equals selector." +p10288 +tp10289 +a(g6 +V\u000a +p10290 +tp10291 +a(g353 +V| +tp10292 +a(g100 +V cntxt +p10293 +tp10294 +a(g353 +V| +tp10295 +a(g6 +V\u000a\u000a +p10296 +tp10297 +a(g100 +Vcondition +p10298 +tp10299 +a(g6 +V +tp10300 +a(g48 +VisSymbol +p10301 +tp10302 +a(g6 +V +tp10303 +a(g84 +VifTrue: +p10304 +tp10305 +a(g6 +V[ +tp10306 +a(g6 +V\u000a +p10307 +tp10308 +a(g24 +V"only halt if a method with selector symbol is in callchain" +p10309 +tp10310 +a(g6 +V\u000a +p10311 +tp10312 +a(g6 +V +tp10313 +a(g100 +Vcntxt +p10314 +tp10315 +a(g6 +V +tp10316 +a(g353 +V:= +p10317 +tp10318 +a(g6 +V +tp10319 +a(g87 +VthisContext +p10320 +tp10321 +a(g212 +V. +tp10322 +a(g6 +V\u000a +p10323 +tp10324 +a(g6 +V[ +tp10325 +a(g6 +V +tp10326 +a(g100 +Vcntxt +p10327 +tp10328 +a(g6 +V +tp10329 +a(g48 +Vsender +p10330 +tp10331 +a(g6 +V +tp10332 +a(g48 +VisNil +p10333 +tp10334 +a(g6 +V] +tp10335 +a(g6 +V +tp10336 +a(g84 +VwhileFalse: +p10337 +tp10338 +a(g6 +V +tp10339 +a(g6 +V[ +tp10340 +a(g6 +V\u000a +p10341 +tp10342 +a(g6 +V +tp10343 +a(g100 +Vcntxt +p10344 +tp10345 +a(g6 +V +tp10346 +a(g353 +V:= +p10347 +tp10348 +a(g6 +V +tp10349 +a(g100 +Vcntxt +p10350 +tp10351 +a(g6 +V +tp10352 +a(g48 +Vsender +p10353 +tp10354 +a(g212 +V. +tp10355 +a(g6 +V \u000a +p10356 +tp10357 +a(g6 +V( +tp10358 +a(g100 +Vcntxt +p10359 +tp10360 +a(g6 +V +tp10361 +a(g48 +Vselector +p10362 +tp10363 +a(g6 +V +tp10364 +a(g48 +V= +tp10365 +a(g6 +V +tp10366 +a(g100 +Vcondition +p10367 +tp10368 +a(g6 +V) +tp10369 +a(g6 +V +tp10370 +a(g84 +VifTrue: +p10371 +tp10372 +a(g6 +V +tp10373 +a(g6 +V[ +tp10374 +a(g6 +V +tp10375 +a(g133 +VHalt +p10376 +tp10377 +a(g6 +V +tp10378 +a(g48 +Vsignal +p10379 +tp10380 +a(g6 +V] +tp10381 +a(g212 +V. +tp10382 +a(g6 +V\u000a +p10383 +tp10384 +a(g6 +V] +tp10385 +a(g212 +V. +tp10386 +a(g6 +V\u000a +p10387 +tp10388 +a(g353 +V^ +tp10389 +a(g87 +Vself +p10390 +tp10391 +a(g212 +V. +tp10392 +a(g6 +V\u000a +p10393 +tp10394 +a(g6 +V] +tp10395 +a(g212 +V. +tp10396 +a(g6 +V\u000a +p10397 +tp10398 +a(g6 +V( +tp10399 +a(g100 +Vcondition +p10400 +tp10401 +a(g6 +V +tp10402 +a(g48 +VisBlock +p10403 +tp10404 +a(g6 +V \u000a +p10405 +tp10406 +a(g84 +VifTrue: +p10407 +tp10408 +a(g6 +V +tp10409 +a(g6 +V[ +tp10410 +a(g6 +V +tp10411 +a(g100 +Vcondition +p10412 +tp10413 +a(g6 +V +tp10414 +a(g48 +VvalueWithPossibleArgument: +p10415 +tp10416 +a(g6 +V +tp10417 +a(g87 +Vself +p10418 +tp10419 +a(g6 +V] +tp10420 +a(g6 +V \u000a +p10421 +tp10422 +a(g84 +VifFalse: +p10423 +tp10424 +a(g6 +V +tp10425 +a(g6 +V[ +tp10426 +a(g6 +V +tp10427 +a(g100 +Vcondition +p10428 +tp10429 +a(g6 +V] +tp10430 +a(g6 +V \u000a +p10431 +tp10432 +a(g6 +V) +tp10433 +a(g6 +V +tp10434 +a(g84 +VifTrue: +p10435 +tp10436 +a(g6 +V +tp10437 +a(g6 +V[ +tp10438 +a(g6 +V\u000a +p10439 +tp10440 +a(g6 +V +tp10441 +a(g133 +VHalt +p10442 +tp10443 +a(g6 +V +tp10444 +a(g48 +Vsignal +p10445 +tp10446 +a(g6 +V\u000a +p10447 +tp10448 +a(g6 +V] +tp10449 +a(g212 +V. +tp10450 +a(g138 +V! ! +p10451 +tp10452 +a(g6 +V\u000a\u000a +p10453 +tp10454 +a(g138 +V! +tp10455 +a(g133 +VObject +p10456 +tp10457 +a(g138 +V methodsFor: +p10458 +tp10459 +a(g236 +V'debugging' +p10460 +tp10461 +a(g138 +V! +tp10462 +a(g6 +V\u000a +tp10463 +a(g100 +VneedsWork +p10464 +tp10465 +a(g138 +V! ! +p10466 +tp10467 +a(g6 +V\u000a\u000a\u000a +p10468 +tp10469 +a(g138 +V! +tp10470 +a(g133 +VObject +p10471 +tp10472 +a(g138 +V methodsFor: +p10473 +tp10474 +a(g236 +V'debugging-haltOnce' +p10475 +tp10476 +a(g138 +V stamp: 'sbw 6/2/2004 08:26'! +p10477 +tp10478 +a(g6 +V\u000a +tp10479 +a(g48 +VcheckHaltCountExpired +p10480 +tp10481 +a(g6 +V\u000a +p10482 +tp10483 +a(g353 +V| +tp10484 +a(g100 +V counter +p10485 +tp10486 +a(g353 +V| +tp10487 +a(g6 +V\u000a +p10488 +tp10489 +a(g100 +Vcounter +p10490 +tp10491 +a(g6 +V +tp10492 +a(g353 +V_ +tp10493 +a(g6 +V +tp10494 +a(g133 +VSmalltalk +p10495 +tp10496 +a(g6 +V +tp10497 +a(g48 +Vat: +p10498 +tp10499 +a(g6 +V +tp10500 +a(g258 +V#HaltCount +p10501 +tp10502 +a(g6 +V +tp10503 +a(g48 +VifAbsent: +p10504 +tp10505 +a(g6 +V +tp10506 +a(g6 +V[ +tp10507 +a(g6 +V +tp10508 +a(g223 +V0 +tp10509 +a(g6 +V] +tp10510 +a(g212 +V. +tp10511 +a(g6 +V\u000a +p10512 +tp10513 +a(g353 +V^ +tp10514 +a(g100 +Vcounter +p10515 +tp10516 +a(g6 +V +tp10517 +a(g48 +V= +tp10518 +a(g6 +V +tp10519 +a(g223 +V0 +tp10520 +a(g138 +V! ! +p10521 +tp10522 +a(g6 +V\u000a\u000a +p10523 +tp10524 +a(g138 +V! +tp10525 +a(g133 +VObject +p10526 +tp10527 +a(g138 +V methodsFor: +p10528 +tp10529 +a(g236 +V'debugging-haltOnce' +p10530 +tp10531 +a(g138 +V stamp: 'sbw 5/19/2004 19:04'! +p10532 +tp10533 +a(g6 +V\u000a +tp10534 +a(g48 +VclearHaltOnce +p10535 +tp10536 +a(g6 +V\u000a +p10537 +tp10538 +a(g24 +V"Turn on the halt once flag." +p10539 +tp10540 +a(g6 +V\u000a +p10541 +tp10542 +a(g133 +VSmalltalk +p10543 +tp10544 +a(g6 +V +tp10545 +a(g48 +Vat: +p10546 +tp10547 +a(g6 +V +tp10548 +a(g258 +V#HaltOnce +p10549 +tp10550 +a(g6 +V +tp10551 +a(g48 +Vput: +p10552 +tp10553 +a(g6 +V +tp10554 +a(g87 +Vfalse +p10555 +tp10556 +a(g138 +V! ! +p10557 +tp10558 +a(g6 +V\u000a\u000a +p10559 +tp10560 +a(g138 +V! +tp10561 +a(g133 +VObject +p10562 +tp10563 +a(g138 +V methodsFor: +p10564 +tp10565 +a(g236 +V'debugging-haltOnce' +p10566 +tp10567 +a(g138 +V stamp: 'sbw 6/2/2004 08:30'! +p10568 +tp10569 +a(g6 +V\u000a +tp10570 +a(g48 +VdecrementAndCheckHaltCount +p10571 +tp10572 +a(g6 +V\u000a +p10573 +tp10574 +a(g87 +Vself +p10575 +tp10576 +a(g6 +V +tp10577 +a(g48 +VdecrementHaltCount +p10578 +tp10579 +a(g212 +V. +tp10580 +a(g6 +V\u000a +p10581 +tp10582 +a(g353 +V^ +tp10583 +a(g87 +Vself +p10584 +tp10585 +a(g6 +V +tp10586 +a(g48 +VcheckHaltCountExpired +p10587 +tp10588 +a(g138 +V! ! +p10589 +tp10590 +a(g6 +V\u000a\u000a +p10591 +tp10592 +a(g138 +V! +tp10593 +a(g133 +VObject +p10594 +tp10595 +a(g138 +V methodsFor: +p10596 +tp10597 +a(g236 +V'debugging-haltOnce' +p10598 +tp10599 +a(g138 +V stamp: 'sbw 6/2/2004 08:28'! +p10600 +tp10601 +a(g6 +V\u000a +tp10602 +a(g48 +VdecrementHaltCount +p10603 +tp10604 +a(g6 +V\u000a +p10605 +tp10606 +a(g353 +V| +tp10607 +a(g100 +V counter +p10608 +tp10609 +a(g353 +V| +tp10610 +a(g6 +V\u000a +p10611 +tp10612 +a(g100 +Vcounter +p10613 +tp10614 +a(g6 +V +tp10615 +a(g353 +V:= +p10616 +tp10617 +a(g6 +V +tp10618 +a(g133 +VSmalltalk +p10619 +tp10620 +a(g6 +V\u000a +p10621 +tp10622 +a(g48 +Vat: +p10623 +tp10624 +a(g6 +V +tp10625 +a(g258 +V#HaltCount +p10626 +tp10627 +a(g6 +V\u000a +p10628 +tp10629 +a(g48 +VifAbsent: +p10630 +tp10631 +a(g6 +V +tp10632 +a(g6 +V[ +tp10633 +a(g6 +V +tp10634 +a(g223 +V0 +tp10635 +a(g6 +V] +tp10636 +a(g212 +V. +tp10637 +a(g6 +V\u000a +p10638 +tp10639 +a(g100 +Vcounter +p10640 +tp10641 +a(g6 +V +tp10642 +a(g48 +V> +tp10643 +a(g6 +V +tp10644 +a(g223 +V0 +tp10645 +a(g6 +V +tp10646 +a(g84 +VifTrue: +p10647 +tp10648 +a(g6 +V +tp10649 +a(g6 +V[ +tp10650 +a(g6 +V\u000a +p10651 +tp10652 +a(g6 +V +tp10653 +a(g100 +Vcounter +p10654 +tp10655 +a(g6 +V +tp10656 +a(g353 +V_ +tp10657 +a(g6 +V +tp10658 +a(g100 +Vcounter +p10659 +tp10660 +a(g6 +V +tp10661 +a(g48 +V- +tp10662 +a(g6 +V +tp10663 +a(g223 +V1 +tp10664 +a(g212 +V. +tp10665 +a(g6 +V\u000a +p10666 +tp10667 +a(g87 +Vself +p10668 +tp10669 +a(g6 +V +tp10670 +a(g48 +VsetHaltCountTo: +p10671 +tp10672 +a(g6 +V +tp10673 +a(g100 +Vcounter +p10674 +tp10675 +a(g6 +V] +tp10676 +a(g138 +V! ! +p10677 +tp10678 +a(g6 +V\u000a\u000a +p10679 +tp10680 +a(g138 +V! +tp10681 +a(g133 +VObject +p10682 +tp10683 +a(g138 +V methodsFor: +p10684 +tp10685 +a(g236 +V'debugging-haltOnce' +p10686 +tp10687 +a(g138 +V stamp: 'sbw 6/2/2004 08:42'! +p10688 +tp10689 +a(g6 +V\u000a +tp10690 +a(g48 +VdoExpiredHaltCount +p10691 +tp10692 +a(g6 +V\u000a +p10693 +tp10694 +a(g87 +Vself +p10695 +tp10696 +a(g6 +V +tp10697 +a(g48 +VclearHaltOnce +p10698 +tp10699 +a(g212 +V. +tp10700 +a(g6 +V\u000a +p10701 +tp10702 +a(g87 +Vself +p10703 +tp10704 +a(g6 +V +tp10705 +a(g48 +VremoveHaltCount +p10706 +tp10707 +a(g212 +V. +tp10708 +a(g6 +V\u000a +p10709 +tp10710 +a(g87 +Vself +p10711 +tp10712 +a(g6 +V +tp10713 +a(g48 +Vhalt +p10714 +tp10715 +a(g138 +V! ! +p10716 +tp10717 +a(g6 +V\u000a\u000a +p10718 +tp10719 +a(g138 +V! +tp10720 +a(g133 +VObject +p10721 +tp10722 +a(g138 +V methodsFor: +p10723 +tp10724 +a(g236 +V'debugging-haltOnce' +p10725 +tp10726 +a(g138 +V stamp: 'sbw 6/2/2004 08:44'! +p10727 +tp10728 +a(g6 +V\u000a +tp10729 +a(g48 +VdoExpiredHaltCount: +p10730 +tp10731 +a(g6 +V +tp10732 +a(g100 +VaString +p10733 +tp10734 +a(g6 +V\u000a +p10735 +tp10736 +a(g87 +Vself +p10737 +tp10738 +a(g6 +V +tp10739 +a(g48 +VclearHaltOnce +p10740 +tp10741 +a(g212 +V. +tp10742 +a(g6 +V\u000a +p10743 +tp10744 +a(g87 +Vself +p10745 +tp10746 +a(g6 +V +tp10747 +a(g48 +VremoveHaltCount +p10748 +tp10749 +a(g212 +V. +tp10750 +a(g6 +V\u000a +p10751 +tp10752 +a(g87 +Vself +p10753 +tp10754 +a(g6 +V +tp10755 +a(g48 +Vhalt: +p10756 +tp10757 +a(g6 +V +tp10758 +a(g100 +VaString +p10759 +tp10760 +a(g138 +V! ! +p10761 +tp10762 +a(g6 +V\u000a\u000a +p10763 +tp10764 +a(g138 +V! +tp10765 +a(g133 +VObject +p10766 +tp10767 +a(g138 +V methodsFor: +p10768 +tp10769 +a(g236 +V'debugging-haltOnce' +p10770 +tp10771 +a(g138 +V stamp: 'sbw 6/2/2004 08:45'! +p10772 +tp10773 +a(g6 +V\u000a +tp10774 +a(g48 +VdoExpiredInspectCount +p10775 +tp10776 +a(g6 +V\u000a +p10777 +tp10778 +a(g87 +Vself +p10779 +tp10780 +a(g6 +V +tp10781 +a(g48 +VclearHaltOnce +p10782 +tp10783 +a(g212 +V. +tp10784 +a(g6 +V\u000a +p10785 +tp10786 +a(g87 +Vself +p10787 +tp10788 +a(g6 +V +tp10789 +a(g48 +VremoveHaltCount +p10790 +tp10791 +a(g212 +V. +tp10792 +a(g6 +V\u000a +p10793 +tp10794 +a(g87 +Vself +p10795 +tp10796 +a(g6 +V +tp10797 +a(g48 +Vinspect +p10798 +tp10799 +a(g138 +V! ! +p10800 +tp10801 +a(g6 +V\u000a\u000a +p10802 +tp10803 +a(g138 +V! +tp10804 +a(g133 +VObject +p10805 +tp10806 +a(g138 +V methodsFor: +p10807 +tp10808 +a(g236 +V'debugging-haltOnce' +p10809 +tp10810 +a(g138 +V stamp: 'sbw 6/2/2004 08:43'! +p10811 +tp10812 +a(g6 +V\u000a +tp10813 +a(g48 +VhaltOnCount: +p10814 +tp10815 +a(g6 +V +tp10816 +a(g100 +Vint +p10817 +tp10818 +a(g6 +V \u000a +p10819 +tp10820 +a(g87 +Vself +p10821 +tp10822 +a(g6 +V +tp10823 +a(g48 +VhaltOnceEnabled +p10824 +tp10825 +a(g6 +V\u000a +p10826 +tp10827 +a(g84 +VifTrue: +p10828 +tp10829 +a(g6 +V +tp10830 +a(g6 +V[ +tp10831 +a(g6 +V +tp10832 +a(g87 +Vself +p10833 +tp10834 +a(g6 +V +tp10835 +a(g48 +VhasHaltCount +p10836 +tp10837 +a(g6 +V\u000a +p10838 +tp10839 +a(g84 +VifTrue: +p10840 +tp10841 +a(g6 +V +tp10842 +a(g6 +V[ +tp10843 +a(g6 +V +tp10844 +a(g87 +Vself +p10845 +tp10846 +a(g6 +V +tp10847 +a(g48 +VdecrementAndCheckHaltCount +p10848 +tp10849 +a(g6 +V\u000a +p10850 +tp10851 +a(g84 +VifTrue: +p10852 +tp10853 +a(g6 +V +tp10854 +a(g6 +V[ +tp10855 +a(g6 +V +tp10856 +a(g87 +Vself +p10857 +tp10858 +a(g6 +V +tp10859 +a(g48 +VdoExpiredHaltCount +p10860 +tp10861 +a(g6 +V] +tp10862 +a(g6 +V] +tp10863 +a(g6 +V\u000a +p10864 +tp10865 +a(g84 +VifFalse: +p10866 +tp10867 +a(g6 +V +tp10868 +a(g6 +V[ +tp10869 +a(g6 +V +tp10870 +a(g100 +Vint +p10871 +tp10872 +a(g6 +V +tp10873 +a(g48 +V= +tp10874 +a(g6 +V +tp10875 +a(g223 +V1 +tp10876 +a(g6 +V\u000a +p10877 +tp10878 +a(g84 +VifTrue: +p10879 +tp10880 +a(g6 +V +tp10881 +a(g6 +V[ +tp10882 +a(g6 +V +tp10883 +a(g87 +Vself +p10884 +tp10885 +a(g6 +V +tp10886 +a(g48 +VdoExpiredHaltCount +p10887 +tp10888 +a(g6 +V] +tp10889 +a(g6 +V\u000a +p10890 +tp10891 +a(g84 +VifFalse: +p10892 +tp10893 +a(g6 +V +tp10894 +a(g6 +V[ +tp10895 +a(g6 +V +tp10896 +a(g87 +Vself +p10897 +tp10898 +a(g6 +V +tp10899 +a(g48 +VsetHaltCountTo: +p10900 +tp10901 +a(g6 +V +tp10902 +a(g100 +Vint +p10903 +tp10904 +a(g6 +V +tp10905 +a(g48 +V- +tp10906 +a(g6 +V +tp10907 +a(g223 +V1 +tp10908 +a(g6 +V] +tp10909 +a(g6 +V] +tp10910 +a(g6 +V] +tp10911 +a(g138 +V! ! +p10912 +tp10913 +a(g6 +V\u000a\u000a +p10914 +tp10915 +a(g138 +V! +tp10916 +a(g133 +VObject +p10917 +tp10918 +a(g138 +V methodsFor: +p10919 +tp10920 +a(g236 +V'debugging-haltOnce' +p10921 +tp10922 +a(g138 +V stamp: 'sbw 5/19/2004 19:05'! +p10923 +tp10924 +a(g6 +V\u000a +tp10925 +a(g48 +VhaltOnce +p10926 +tp10927 +a(g6 +V\u000a +p10928 +tp10929 +a(g24 +V"Halt unless we have already done it once." +p10930 +tp10931 +a(g6 +V\u000a +p10932 +tp10933 +a(g87 +Vself +p10934 +tp10935 +a(g6 +V +tp10936 +a(g48 +VhaltOnceEnabled +p10937 +tp10938 +a(g6 +V\u000a +p10939 +tp10940 +a(g84 +VifTrue: +p10941 +tp10942 +a(g6 +V +tp10943 +a(g6 +V[ +tp10944 +a(g6 +V +tp10945 +a(g87 +Vself +p10946 +tp10947 +a(g6 +V +tp10948 +a(g48 +VclearHaltOnce +p10949 +tp10950 +a(g212 +V. +tp10951 +a(g6 +V\u000a +p10952 +tp10953 +a(g353 +V^ +tp10954 +a(g6 +V +tp10955 +a(g87 +Vself +p10956 +tp10957 +a(g6 +V +tp10958 +a(g48 +Vhalt +p10959 +tp10960 +a(g6 +V] +tp10961 +a(g138 +V! ! +p10962 +tp10963 +a(g6 +V\u000a\u000a +p10964 +tp10965 +a(g138 +V! +tp10966 +a(g133 +VObject +p10967 +tp10968 +a(g138 +V methodsFor: +p10969 +tp10970 +a(g236 +V'debugging-haltOnce' +p10971 +tp10972 +a(g138 +V stamp: 'sbw 5/19/2004 19:04'! +p10973 +tp10974 +a(g6 +V\u000a +tp10975 +a(g48 +VhaltOnceEnabled +p10976 +tp10977 +a(g6 +V\u000a +p10978 +tp10979 +a(g353 +V^ +tp10980 +a(g6 +V +tp10981 +a(g133 +VSmalltalk +p10982 +tp10983 +a(g6 +V\u000a +p10984 +tp10985 +a(g48 +Vat: +p10986 +tp10987 +a(g6 +V +tp10988 +a(g258 +V#HaltOnce +p10989 +tp10990 +a(g6 +V\u000a +p10991 +tp10992 +a(g48 +VifAbsent: +p10993 +tp10994 +a(g6 +V +tp10995 +a(g6 +V[ +tp10996 +a(g6 +V +tp10997 +a(g87 +Vfalse +p10998 +tp10999 +a(g6 +V] +tp11000 +a(g138 +V! ! +p11001 +tp11002 +a(g6 +V\u000a\u000a +p11003 +tp11004 +a(g138 +V! +tp11005 +a(g133 +VObject +p11006 +tp11007 +a(g138 +V methodsFor: +p11008 +tp11009 +a(g236 +V'debugging-haltOnce' +p11010 +tp11011 +a(g138 +V stamp: 'sbw 5/19/2004 19:05'! +p11012 +tp11013 +a(g6 +V\u000a +tp11014 +a(g48 +VhaltOnce: +p11015 +tp11016 +a(g6 +V +tp11017 +a(g100 +VaString +p11018 +tp11019 +a(g6 +V \u000a +p11020 +tp11021 +a(g24 +V"Halt unless we have already done it once." +p11022 +tp11023 +a(g6 +V\u000a +p11024 +tp11025 +a(g87 +Vself +p11026 +tp11027 +a(g6 +V +tp11028 +a(g48 +VhaltOnceEnabled +p11029 +tp11030 +a(g6 +V\u000a +p11031 +tp11032 +a(g84 +VifTrue: +p11033 +tp11034 +a(g6 +V +tp11035 +a(g6 +V[ +tp11036 +a(g6 +V +tp11037 +a(g87 +Vself +p11038 +tp11039 +a(g6 +V +tp11040 +a(g48 +VclearHaltOnce +p11041 +tp11042 +a(g212 +V. +tp11043 +a(g6 +V\u000a +p11044 +tp11045 +a(g353 +V^ +tp11046 +a(g6 +V +tp11047 +a(g87 +Vself +p11048 +tp11049 +a(g6 +V +tp11050 +a(g48 +Vhalt: +p11051 +tp11052 +a(g6 +V +tp11053 +a(g100 +VaString +p11054 +tp11055 +a(g6 +V] +tp11056 +a(g138 +V! ! +p11057 +tp11058 +a(g6 +V\u000a\u000a +p11059 +tp11060 +a(g138 +V! +tp11061 +a(g133 +VObject +p11062 +tp11063 +a(g138 +V methodsFor: +p11064 +tp11065 +a(g236 +V'debugging-haltOnce' +p11066 +tp11067 +a(g138 +V stamp: 'sbw 6/2/2004 08:45'! +p11068 +tp11069 +a(g6 +V\u000a +tp11070 +a(g48 +Vhalt: +p11071 +tp11072 +a(g6 +V +tp11073 +a(g100 +VaString +p11074 +tp11075 +a(g6 +V +tp11076 +a(g48 +VonCount: +p11077 +tp11078 +a(g6 +V +tp11079 +a(g100 +Vint +p11080 +tp11081 +a(g6 +V \u000a +p11082 +tp11083 +a(g87 +Vself +p11084 +tp11085 +a(g6 +V +tp11086 +a(g48 +VhaltOnceEnabled +p11087 +tp11088 +a(g6 +V\u000a +p11089 +tp11090 +a(g84 +VifTrue: +p11091 +tp11092 +a(g6 +V +tp11093 +a(g6 +V[ +tp11094 +a(g6 +V +tp11095 +a(g87 +Vself +p11096 +tp11097 +a(g6 +V +tp11098 +a(g48 +VhasHaltCount +p11099 +tp11100 +a(g6 +V\u000a +p11101 +tp11102 +a(g84 +VifTrue: +p11103 +tp11104 +a(g6 +V +tp11105 +a(g6 +V[ +tp11106 +a(g6 +V +tp11107 +a(g87 +Vself +p11108 +tp11109 +a(g6 +V +tp11110 +a(g48 +VdecrementAndCheckHaltCount +p11111 +tp11112 +a(g6 +V\u000a +p11113 +tp11114 +a(g84 +VifTrue: +p11115 +tp11116 +a(g6 +V +tp11117 +a(g6 +V[ +tp11118 +a(g6 +V +tp11119 +a(g87 +Vself +p11120 +tp11121 +a(g6 +V +tp11122 +a(g48 +VdoExpiredHaltCount: +p11123 +tp11124 +a(g6 +V +tp11125 +a(g100 +VaString +p11126 +tp11127 +a(g6 +V] +tp11128 +a(g6 +V] +tp11129 +a(g6 +V\u000a +p11130 +tp11131 +a(g84 +VifFalse: +p11132 +tp11133 +a(g6 +V +tp11134 +a(g6 +V[ +tp11135 +a(g6 +V +tp11136 +a(g100 +Vint +p11137 +tp11138 +a(g6 +V +tp11139 +a(g48 +V= +tp11140 +a(g6 +V +tp11141 +a(g223 +V1 +tp11142 +a(g6 +V\u000a +p11143 +tp11144 +a(g84 +VifTrue: +p11145 +tp11146 +a(g6 +V +tp11147 +a(g6 +V[ +tp11148 +a(g6 +V +tp11149 +a(g87 +Vself +p11150 +tp11151 +a(g6 +V +tp11152 +a(g48 +VdoExpiredHaltCount: +p11153 +tp11154 +a(g6 +V +tp11155 +a(g100 +VaString +p11156 +tp11157 +a(g6 +V] +tp11158 +a(g6 +V\u000a +p11159 +tp11160 +a(g84 +VifFalse: +p11161 +tp11162 +a(g6 +V +tp11163 +a(g6 +V[ +tp11164 +a(g6 +V +tp11165 +a(g87 +Vself +p11166 +tp11167 +a(g6 +V +tp11168 +a(g48 +VsetHaltCountTo: +p11169 +tp11170 +a(g6 +V +tp11171 +a(g100 +Vint +p11172 +tp11173 +a(g6 +V +tp11174 +a(g48 +V- +tp11175 +a(g6 +V +tp11176 +a(g223 +V1 +tp11177 +a(g6 +V] +tp11178 +a(g6 +V] +tp11179 +a(g6 +V] +tp11180 +a(g138 +V! ! +p11181 +tp11182 +a(g6 +V\u000a\u000a +p11183 +tp11184 +a(g138 +V! +tp11185 +a(g133 +VObject +p11186 +tp11187 +a(g138 +V methodsFor: +p11188 +tp11189 +a(g236 +V'debugging-haltOnce' +p11190 +tp11191 +a(g138 +V stamp: 'sbw 6/2/2004 08:36'! +p11192 +tp11193 +a(g6 +V\u000a +tp11194 +a(g48 +VhasHaltCount +p11195 +tp11196 +a(g6 +V\u000a +p11197 +tp11198 +a(g353 +V^ +tp11199 +a(g133 +VSmalltalk +p11200 +tp11201 +a(g6 +V\u000a +p11202 +tp11203 +a(g48 +VincludesKey: +p11204 +tp11205 +a(g6 +V +tp11206 +a(g258 +V#HaltCount +p11207 +tp11208 +a(g138 +V! ! +p11209 +tp11210 +a(g6 +V\u000a\u000a +p11211 +tp11212 +a(g138 +V! +tp11213 +a(g133 +VObject +p11214 +tp11215 +a(g138 +V methodsFor: +p11216 +tp11217 +a(g236 +V'debugging-haltOnce' +p11218 +tp11219 +a(g138 +V stamp: 'sbw 6/2/2004 08:46'! +p11220 +tp11221 +a(g6 +V\u000a +tp11222 +a(g48 +VinspectOnCount: +p11223 +tp11224 +a(g6 +V +tp11225 +a(g100 +Vint +p11226 +tp11227 +a(g6 +V \u000a +p11228 +tp11229 +a(g87 +Vself +p11230 +tp11231 +a(g6 +V +tp11232 +a(g48 +VhaltOnceEnabled +p11233 +tp11234 +a(g6 +V\u000a +p11235 +tp11236 +a(g84 +VifTrue: +p11237 +tp11238 +a(g6 +V +tp11239 +a(g6 +V[ +tp11240 +a(g6 +V +tp11241 +a(g87 +Vself +p11242 +tp11243 +a(g6 +V +tp11244 +a(g48 +VhasHaltCount +p11245 +tp11246 +a(g6 +V\u000a +p11247 +tp11248 +a(g84 +VifTrue: +p11249 +tp11250 +a(g6 +V +tp11251 +a(g6 +V[ +tp11252 +a(g6 +V +tp11253 +a(g87 +Vself +p11254 +tp11255 +a(g6 +V +tp11256 +a(g48 +VdecrementAndCheckHaltCount +p11257 +tp11258 +a(g6 +V\u000a +p11259 +tp11260 +a(g84 +VifTrue: +p11261 +tp11262 +a(g6 +V +tp11263 +a(g6 +V[ +tp11264 +a(g6 +V +tp11265 +a(g87 +Vself +p11266 +tp11267 +a(g6 +V +tp11268 +a(g48 +VdoExpiredInspectCount +p11269 +tp11270 +a(g6 +V] +tp11271 +a(g6 +V] +tp11272 +a(g6 +V\u000a +p11273 +tp11274 +a(g84 +VifFalse: +p11275 +tp11276 +a(g6 +V +tp11277 +a(g6 +V[ +tp11278 +a(g6 +V +tp11279 +a(g100 +Vint +p11280 +tp11281 +a(g6 +V +tp11282 +a(g48 +V= +tp11283 +a(g6 +V +tp11284 +a(g223 +V1 +tp11285 +a(g6 +V\u000a +p11286 +tp11287 +a(g84 +VifTrue: +p11288 +tp11289 +a(g6 +V +tp11290 +a(g6 +V[ +tp11291 +a(g6 +V +tp11292 +a(g87 +Vself +p11293 +tp11294 +a(g6 +V +tp11295 +a(g48 +VdoExpiredInspectCount +p11296 +tp11297 +a(g6 +V] +tp11298 +a(g6 +V\u000a +p11299 +tp11300 +a(g84 +VifFalse: +p11301 +tp11302 +a(g6 +V +tp11303 +a(g6 +V[ +tp11304 +a(g6 +V +tp11305 +a(g87 +Vself +p11306 +tp11307 +a(g6 +V +tp11308 +a(g48 +VsetHaltCountTo: +p11309 +tp11310 +a(g6 +V +tp11311 +a(g100 +Vint +p11312 +tp11313 +a(g6 +V +tp11314 +a(g48 +V- +tp11315 +a(g6 +V +tp11316 +a(g223 +V1 +tp11317 +a(g6 +V] +tp11318 +a(g6 +V] +tp11319 +a(g6 +V] +tp11320 +a(g138 +V! ! +p11321 +tp11322 +a(g6 +V\u000a\u000a +p11323 +tp11324 +a(g138 +V! +tp11325 +a(g133 +VObject +p11326 +tp11327 +a(g138 +V methodsFor: +p11328 +tp11329 +a(g236 +V'debugging-haltOnce' +p11330 +tp11331 +a(g138 +V stamp: 'sbw 5/19/2004 19:05'! +p11332 +tp11333 +a(g6 +V\u000a +tp11334 +a(g48 +VinspectOnce +p11335 +tp11336 +a(g6 +V\u000a +p11337 +tp11338 +a(g24 +V"Inspect unless we have already done it once." +p11339 +tp11340 +a(g6 +V\u000a +p11341 +tp11342 +a(g87 +Vself +p11343 +tp11344 +a(g6 +V +tp11345 +a(g48 +VhaltOnceEnabled +p11346 +tp11347 +a(g6 +V\u000a +p11348 +tp11349 +a(g84 +VifTrue: +p11350 +tp11351 +a(g6 +V +tp11352 +a(g6 +V[ +tp11353 +a(g6 +V +tp11354 +a(g87 +Vself +p11355 +tp11356 +a(g6 +V +tp11357 +a(g48 +VclearHaltOnce +p11358 +tp11359 +a(g212 +V. +tp11360 +a(g6 +V\u000a +p11361 +tp11362 +a(g353 +V^ +tp11363 +a(g6 +V +tp11364 +a(g87 +Vself +p11365 +tp11366 +a(g6 +V +tp11367 +a(g48 +Vinspect +p11368 +tp11369 +a(g6 +V] +tp11370 +a(g138 +V! ! +p11371 +tp11372 +a(g6 +V\u000a\u000a +p11373 +tp11374 +a(g138 +V! +tp11375 +a(g133 +VObject +p11376 +tp11377 +a(g138 +V methodsFor: +p11378 +tp11379 +a(g236 +V'debugging-haltOnce' +p11380 +tp11381 +a(g138 +V stamp: 'sbw 6/2/2004 13:20'! +p11382 +tp11383 +a(g6 +V\u000a +tp11384 +a(g48 +VinspectUntilCount: +p11385 +tp11386 +a(g6 +V +tp11387 +a(g100 +Vint +p11388 +tp11389 +a(g6 +V \u000a +p11390 +tp11391 +a(g87 +Vself +p11392 +tp11393 +a(g6 +V +tp11394 +a(g48 +VhaltOnceEnabled +p11395 +tp11396 +a(g6 +V\u000a +p11397 +tp11398 +a(g84 +VifTrue: +p11399 +tp11400 +a(g6 +V +tp11401 +a(g6 +V[ +tp11402 +a(g6 +V +tp11403 +a(g87 +Vself +p11404 +tp11405 +a(g6 +V +tp11406 +a(g48 +VhasHaltCount +p11407 +tp11408 +a(g6 +V\u000a +p11409 +tp11410 +a(g84 +VifTrue: +p11411 +tp11412 +a(g6 +V +tp11413 +a(g6 +V[ +tp11414 +a(g6 +V +tp11415 +a(g87 +Vself +p11416 +tp11417 +a(g6 +V +tp11418 +a(g48 +VdecrementAndCheckHaltCount +p11419 +tp11420 +a(g6 +V\u000a +p11421 +tp11422 +a(g84 +VifTrue: +p11423 +tp11424 +a(g6 +V +tp11425 +a(g6 +V[ +tp11426 +a(g6 +V +tp11427 +a(g87 +Vself +p11428 +tp11429 +a(g6 +V +tp11430 +a(g48 +VdoExpiredInspectCount +p11431 +tp11432 +a(g6 +V] +tp11433 +a(g6 +V\u000a +p11434 +tp11435 +a(g84 +VifFalse: +p11436 +tp11437 +a(g6 +V +tp11438 +a(g6 +V[ +tp11439 +a(g6 +V +tp11440 +a(g87 +Vself +p11441 +tp11442 +a(g6 +V +tp11443 +a(g48 +Vinspect +p11444 +tp11445 +a(g6 +V] +tp11446 +a(g6 +V] +tp11447 +a(g6 +V\u000a +p11448 +tp11449 +a(g84 +VifFalse: +p11450 +tp11451 +a(g6 +V +tp11452 +a(g6 +V[ +tp11453 +a(g6 +V +tp11454 +a(g100 +Vint +p11455 +tp11456 +a(g6 +V +tp11457 +a(g48 +V= +tp11458 +a(g6 +V +tp11459 +a(g223 +V1 +tp11460 +a(g6 +V\u000a +p11461 +tp11462 +a(g84 +VifTrue: +p11463 +tp11464 +a(g6 +V +tp11465 +a(g6 +V[ +tp11466 +a(g6 +V +tp11467 +a(g87 +Vself +p11468 +tp11469 +a(g6 +V +tp11470 +a(g48 +VdoExpiredInspectCount +p11471 +tp11472 +a(g6 +V] +tp11473 +a(g6 +V\u000a +p11474 +tp11475 +a(g84 +VifFalse: +p11476 +tp11477 +a(g6 +V +tp11478 +a(g6 +V[ +tp11479 +a(g6 +V +tp11480 +a(g87 +Vself +p11481 +tp11482 +a(g6 +V +tp11483 +a(g48 +VsetHaltCountTo: +p11484 +tp11485 +a(g6 +V +tp11486 +a(g100 +Vint +p11487 +tp11488 +a(g6 +V +tp11489 +a(g48 +V- +tp11490 +a(g6 +V +tp11491 +a(g223 +V1 +tp11492 +a(g6 +V] +tp11493 +a(g6 +V] +tp11494 +a(g6 +V] +tp11495 +a(g138 +V! ! +p11496 +tp11497 +a(g6 +V\u000a\u000a +p11498 +tp11499 +a(g138 +V! +tp11500 +a(g133 +VObject +p11501 +tp11502 +a(g138 +V methodsFor: +p11503 +tp11504 +a(g236 +V'debugging-haltOnce' +p11505 +tp11506 +a(g138 +V stamp: 'sbw 6/2/2004 08:49'! +p11507 +tp11508 +a(g6 +V\u000a +tp11509 +a(g48 +VremoveHaltCount +p11510 +tp11511 +a(g6 +V\u000a +p11512 +tp11513 +a(g6 +V( +tp11514 +a(g133 +VSmalltalk +p11515 +tp11516 +a(g6 +V +tp11517 +a(g48 +VincludesKey: +p11518 +tp11519 +a(g6 +V +tp11520 +a(g258 +V#HaltCount +p11521 +tp11522 +a(g6 +V) +tp11523 +a(g6 +V +tp11524 +a(g84 +VifTrue: +p11525 +tp11526 +a(g6 +V +tp11527 +a(g6 +V[ +tp11528 +a(g6 +V\u000a +p11529 +tp11530 +a(g6 +V +tp11531 +a(g133 +VSmalltalk +p11532 +tp11533 +a(g6 +V +tp11534 +a(g48 +VremoveKey: +p11535 +tp11536 +a(g6 +V +tp11537 +a(g258 +V#HaltCount +p11538 +tp11539 +a(g6 +V] +tp11540 +a(g138 +V! ! +p11541 +tp11542 +a(g6 +V\u000a\u000a +p11543 +tp11544 +a(g138 +V! +tp11545 +a(g133 +VObject +p11546 +tp11547 +a(g138 +V methodsFor: +p11548 +tp11549 +a(g236 +V'debugging-haltOnce' +p11550 +tp11551 +a(g138 +V stamp: 'sbw 6/2/2004 08:25'! +p11552 +tp11553 +a(g6 +V\u000a +tp11554 +a(g48 +VsetHaltCountTo: +p11555 +tp11556 +a(g6 +V +tp11557 +a(g100 +Vint +p11558 +tp11559 +a(g6 +V\u000a +p11560 +tp11561 +a(g133 +VSmalltalk +p11562 +tp11563 +a(g6 +V +tp11564 +a(g48 +Vat: +p11565 +tp11566 +a(g6 +V +tp11567 +a(g258 +V#HaltCount +p11568 +tp11569 +a(g6 +V +tp11570 +a(g48 +Vput: +p11571 +tp11572 +a(g6 +V +tp11573 +a(g100 +Vint +p11574 +tp11575 +a(g138 +V! ! +p11576 +tp11577 +a(g6 +V\u000a\u000a +p11578 +tp11579 +a(g138 +V! +tp11580 +a(g133 +VObject +p11581 +tp11582 +a(g138 +V methodsFor: +p11583 +tp11584 +a(g236 +V'debugging-haltOnce' +p11585 +tp11586 +a(g138 +V stamp: 'sbw 5/19/2004 19:04'! +p11587 +tp11588 +a(g6 +V\u000a +tp11589 +a(g48 +VsetHaltOnce +p11590 +tp11591 +a(g6 +V\u000a +p11592 +tp11593 +a(g24 +V"Turn on the halt once flag." +p11594 +tp11595 +a(g6 +V\u000a +p11596 +tp11597 +a(g133 +VSmalltalk +p11598 +tp11599 +a(g6 +V +tp11600 +a(g48 +Vat: +p11601 +tp11602 +a(g6 +V +tp11603 +a(g258 +V#HaltOnce +p11604 +tp11605 +a(g6 +V +tp11606 +a(g48 +Vput: +p11607 +tp11608 +a(g6 +V +tp11609 +a(g87 +Vtrue +p11610 +tp11611 +a(g138 +V! ! +p11612 +tp11613 +a(g6 +V\u000a\u000a +p11614 +tp11615 +a(g138 +V! +tp11616 +a(g133 +VObject +p11617 +tp11618 +a(g138 +V methodsFor: +p11619 +tp11620 +a(g236 +V'debugging-haltOnce' +p11621 +tp11622 +a(g138 +V stamp: 'sbw 5/19/2004 19:04'! +p11623 +tp11624 +a(g6 +V\u000a +tp11625 +a(g48 +VtoggleHaltOnce +p11626 +tp11627 +a(g6 +V\u000a +p11628 +tp11629 +a(g87 +Vself +p11630 +tp11631 +a(g6 +V +tp11632 +a(g48 +VhaltOnceEnabled +p11633 +tp11634 +a(g6 +V\u000a +p11635 +tp11636 +a(g84 +VifTrue: +p11637 +tp11638 +a(g6 +V +tp11639 +a(g6 +V[ +tp11640 +a(g6 +V +tp11641 +a(g87 +Vself +p11642 +tp11643 +a(g6 +V +tp11644 +a(g48 +VclearHaltOnce +p11645 +tp11646 +a(g6 +V] +tp11647 +a(g6 +V\u000a +p11648 +tp11649 +a(g84 +VifFalse: +p11650 +tp11651 +a(g6 +V +tp11652 +a(g6 +V[ +tp11653 +a(g6 +V +tp11654 +a(g87 +Vself +p11655 +tp11656 +a(g6 +V +tp11657 +a(g48 +VsetHaltOnce +p11658 +tp11659 +a(g6 +V] +tp11660 +a(g138 +V! ! +p11661 +tp11662 +a(g6 +V\u000a\u000a\u000a +p11663 +tp11664 +a(g138 +V! +tp11665 +a(g133 +VObject +p11666 +tp11667 +a(g138 +V methodsFor: +p11668 +tp11669 +a(g236 +V'dependents access' +p11670 +tp11671 +a(g138 +V stamp: 'ar 2/11/2001 01:55'! +p11672 +tp11673 +a(g6 +V\u000a +tp11674 +a(g48 +VaddDependent: +p11675 +tp11676 +a(g6 +V +tp11677 +a(g100 +VanObject +p11678 +tp11679 +a(g6 +V\u000a +p11680 +tp11681 +a(g24 +V"Make the given object one of the receiver's dependents." +p11682 +tp11683 +a(g6 +V\u000a\u000a +p11684 +tp11685 +a(g353 +V| +tp11686 +a(g100 +V dependents +p11687 +tp11688 +a(g353 +V| +tp11689 +a(g6 +V\u000a +p11690 +tp11691 +a(g100 +Vdependents +p11692 +tp11693 +a(g6 +V +tp11694 +a(g353 +V_ +tp11695 +a(g6 +V +tp11696 +a(g87 +Vself +p11697 +tp11698 +a(g6 +V +tp11699 +a(g48 +Vdependents +p11700 +tp11701 +a(g212 +V. +tp11702 +a(g6 +V\u000a +p11703 +tp11704 +a(g6 +V( +tp11705 +a(g100 +Vdependents +p11706 +tp11707 +a(g6 +V +tp11708 +a(g48 +Vincludes: +p11709 +tp11710 +a(g6 +V +tp11711 +a(g100 +VanObject +p11712 +tp11713 +a(g6 +V) +tp11714 +a(g6 +V +tp11715 +a(g84 +VifFalse: +p11716 +tp11717 +a(g6 +V\u000a +p11718 +tp11719 +a(g6 +V[ +tp11720 +a(g6 +V +tp11721 +a(g87 +Vself +p11722 +tp11723 +a(g6 +V +tp11724 +a(g48 +VmyDependents: +p11725 +tp11726 +a(g6 +V +tp11727 +a(g6 +V( +tp11728 +a(g100 +Vdependents +p11729 +tp11730 +a(g6 +V +tp11731 +a(g48 +VcopyWithDependent: +p11732 +tp11733 +a(g6 +V +tp11734 +a(g100 +VanObject +p11735 +tp11736 +a(g6 +V) +tp11737 +a(g6 +V] +tp11738 +a(g212 +V. +tp11739 +a(g6 +V\u000a +p11740 +tp11741 +a(g353 +V^ +tp11742 +a(g6 +V +tp11743 +a(g100 +VanObject +p11744 +tp11745 +a(g138 +V! ! +p11746 +tp11747 +a(g6 +V\u000a\u000a +p11748 +tp11749 +a(g138 +V! +tp11750 +a(g133 +VObject +p11751 +tp11752 +a(g138 +V methodsFor: +p11753 +tp11754 +a(g236 +V'dependents access' +p11755 +tp11756 +a(g138 +V stamp: 'sma 2/29/2000 19:53'! +p11757 +tp11758 +a(g6 +V\u000a +tp11759 +a(g48 +VbreakDependents +p11760 +tp11761 +a(g6 +V\u000a +p11762 +tp11763 +a(g24 +V"Remove all of the receiver's dependents." +p11764 +tp11765 +a(g6 +V\u000a\u000a +p11766 +tp11767 +a(g87 +Vself +p11768 +tp11769 +a(g6 +V +tp11770 +a(g48 +VmyDependents: +p11771 +tp11772 +a(g6 +V +tp11773 +a(g87 +Vnil +p11774 +tp11775 +a(g138 +V! ! +p11776 +tp11777 +a(g6 +V\u000a\u000a +p11778 +tp11779 +a(g138 +V! +tp11780 +a(g133 +VObject +p11781 +tp11782 +a(g138 +V methodsFor: +p11783 +tp11784 +a(g236 +V'dependents access' +p11785 +tp11786 +a(g138 +V stamp: 'sma 2/29/2000 19:26'! +p11787 +tp11788 +a(g6 +V\u000a +tp11789 +a(g48 +VcanDiscardEdits +p11790 +tp11791 +a(g6 +V\u000a +p11792 +tp11793 +a(g24 +V"Answer true if none of the views on this model has unaccepted edits that matter." +p11794 +tp11795 +a(g6 +V\u000a\u000a +p11796 +tp11797 +a(g87 +Vself +p11798 +tp11799 +a(g6 +V +tp11800 +a(g48 +Vdependents +p11801 +tp11802 +a(g6 +V\u000a +p11803 +tp11804 +a(g48 +Vdo: +p11805 +tp11806 +a(g6 +V +tp11807 +a(g6 +V[ +tp11808 +a(g353 +V: +tp11809 +a(g100 +Veach +p11810 +tp11811 +a(g6 +V +tp11812 +a(g353 +V| +tp11813 +a(g6 +V +tp11814 +a(g100 +Veach +p11815 +tp11816 +a(g6 +V +tp11817 +a(g48 +VcanDiscardEdits +p11818 +tp11819 +a(g6 +V +tp11820 +a(g84 +VifFalse: +p11821 +tp11822 +a(g6 +V +tp11823 +a(g6 +V[ +tp11824 +a(g6 +V +tp11825 +a(g353 +V^ +tp11826 +a(g6 +V +tp11827 +a(g87 +Vfalse +p11828 +tp11829 +a(g6 +V] +tp11830 +a(g6 +V] +tp11831 +a(g6 +V\u000a +p11832 +tp11833 +a(g48 +Vwithout: +p11834 +tp11835 +a(g6 +V +tp11836 +a(g87 +Vself +p11837 +tp11838 +a(g212 +V. +tp11839 +a(g6 +V\u000a +p11840 +tp11841 +a(g353 +V^ +tp11842 +a(g6 +V +tp11843 +a(g87 +Vtrue +p11844 +tp11845 +a(g138 +V! ! +p11846 +tp11847 +a(g6 +V\u000a\u000a +p11848 +tp11849 +a(g138 +V! +tp11850 +a(g133 +VObject +p11851 +tp11852 +a(g138 +V methodsFor: +p11853 +tp11854 +a(g236 +V'dependents access' +p11855 +tp11856 +a(g138 +V stamp: 'sma 2/29/2000 19:58'! +p11857 +tp11858 +a(g6 +V\u000a +tp11859 +a(g48 +Vdependents +p11860 +tp11861 +a(g6 +V\u000a +p11862 +tp11863 +a(g24 +V"Answer a collection of objects that are 'dependent' on the receiver;\u000a that is, all objects that should be notified if the receiver changes." +p11864 +tp11865 +a(g6 +V\u000a\u000a +p11866 +tp11867 +a(g353 +V^ +tp11868 +a(g6 +V +tp11869 +a(g87 +Vself +p11870 +tp11871 +a(g6 +V +tp11872 +a(g48 +VmyDependents +p11873 +tp11874 +a(g6 +V +tp11875 +a(g48 +VifNil: +p11876 +tp11877 +a(g6 +V +tp11878 +a(g6 +V[ +tp11879 +a(g6 +V +tp11880 +a(g258 +V#( +p11881 +tp11882 +a(g258 +V) +tp11883 +a(g6 +V] +tp11884 +a(g138 +V! ! +p11885 +tp11886 +a(g6 +V\u000a\u000a +p11887 +tp11888 +a(g138 +V! +tp11889 +a(g133 +VObject +p11890 +tp11891 +a(g138 +V methodsFor: +p11892 +tp11893 +a(g236 +V'dependents access' +p11894 +tp11895 +a(g138 +V! +tp11896 +a(g6 +V\u000a +tp11897 +a(g48 +Vevaluate: +p11898 +tp11899 +a(g6 +V +tp11900 +a(g100 +VactionBlock +p11901 +tp11902 +a(g6 +V +tp11903 +a(g48 +VwheneverChangeIn: +p11904 +tp11905 +a(g6 +V +tp11906 +a(g100 +VaspectBlock +p11907 +tp11908 +a(g6 +V\u000a +p11909 +tp11910 +a(g353 +V| +tp11911 +a(g100 +V viewerThenObject objectThenViewer +p11912 +tp11913 +a(g353 +V| +tp11914 +a(g6 +V\u000a +p11915 +tp11916 +a(g100 +VobjectThenViewer +p11917 +tp11918 +a(g6 +V +tp11919 +a(g353 +V_ +tp11920 +a(g6 +V +tp11921 +a(g87 +Vself +p11922 +tp11923 +a(g212 +V. +tp11924 +a(g6 +V\u000a +p11925 +tp11926 +a(g100 +VviewerThenObject +p11927 +tp11928 +a(g6 +V +tp11929 +a(g353 +V_ +tp11930 +a(g6 +V +tp11931 +a(g133 +VObjectViewer +p11932 +tp11933 +a(g6 +V +tp11934 +a(g48 +Von: +p11935 +tp11936 +a(g6 +V +tp11937 +a(g100 +VobjectThenViewer +p11938 +tp11939 +a(g212 +V. +tp11940 +a(g6 +V\u000a +p11941 +tp11942 +a(g100 +VobjectThenViewer +p11943 +tp11944 +a(g6 +V +tp11945 +a(g48 +Vbecome: +p11946 +tp11947 +a(g6 +V +tp11948 +a(g100 +VviewerThenObject +p11949 +tp11950 +a(g212 +V. +tp11951 +a(g6 +V\u000a +p11952 +tp11953 +a(g24 +V"--- Then ---" +p11954 +tp11955 +a(g6 +V\u000a +p11956 +tp11957 +a(g100 +VobjectThenViewer +p11958 +tp11959 +a(g6 +V +tp11960 +a(g48 +VxxxViewedObject: +p11961 +tp11962 +a(g6 +V +tp11963 +a(g100 +VviewerThenObject +p11964 +tp11965 +a(g6 +V\u000a +p11966 +tp11967 +a(g48 +Vevaluate: +p11968 +tp11969 +a(g6 +V +tp11970 +a(g100 +VactionBlock +p11971 +tp11972 +a(g6 +V\u000a +p11973 +tp11974 +a(g48 +VwheneverChangeIn: +p11975 +tp11976 +a(g6 +V +tp11977 +a(g100 +VaspectBlock +p11978 +tp11979 +a(g138 +V! ! +p11980 +tp11981 +a(g6 +V\u000a\u000a +p11982 +tp11983 +a(g138 +V! +tp11984 +a(g133 +VObject +p11985 +tp11986 +a(g138 +V methodsFor: +p11987 +tp11988 +a(g236 +V'dependents access' +p11989 +tp11990 +a(g138 +V stamp: 'sma 2/29/2000 19:59'! +p11991 +tp11992 +a(g6 +V\u000a +tp11993 +a(g48 +VhasUnacceptedEdits +p11994 +tp11995 +a(g6 +V\u000a +p11996 +tp11997 +a(g24 +V"Answer true if any of the views on this object has unaccepted edits." +p11998 +tp11999 +a(g6 +V\u000a\u000a +p12000 +tp12001 +a(g87 +Vself +p12002 +tp12003 +a(g6 +V +tp12004 +a(g48 +Vdependents +p12005 +tp12006 +a(g6 +V\u000a +p12007 +tp12008 +a(g48 +Vdo: +p12009 +tp12010 +a(g6 +V +tp12011 +a(g6 +V[ +tp12012 +a(g353 +V: +tp12013 +a(g100 +Veach +p12014 +tp12015 +a(g6 +V +tp12016 +a(g353 +V| +tp12017 +a(g6 +V +tp12018 +a(g100 +Veach +p12019 +tp12020 +a(g6 +V +tp12021 +a(g48 +VhasUnacceptedEdits +p12022 +tp12023 +a(g6 +V +tp12024 +a(g84 +VifTrue: +p12025 +tp12026 +a(g6 +V +tp12027 +a(g6 +V[ +tp12028 +a(g6 +V +tp12029 +a(g353 +V^ +tp12030 +a(g6 +V +tp12031 +a(g87 +Vtrue +p12032 +tp12033 +a(g6 +V] +tp12034 +a(g6 +V] +tp12035 +a(g6 +V\u000a +p12036 +tp12037 +a(g48 +Vwithout: +p12038 +tp12039 +a(g6 +V +tp12040 +a(g87 +Vself +p12041 +tp12042 +a(g212 +V. +tp12043 +a(g6 +V\u000a +p12044 +tp12045 +a(g353 +V^ +tp12046 +a(g6 +V +tp12047 +a(g87 +Vfalse +p12048 +tp12049 +a(g138 +V! ! +p12050 +tp12051 +a(g6 +V\u000a\u000a +p12052 +tp12053 +a(g138 +V! +tp12054 +a(g133 +VObject +p12055 +tp12056 +a(g138 +V methodsFor: +p12057 +tp12058 +a(g236 +V'dependents access' +p12059 +tp12060 +a(g138 +V stamp: 'sma 2/29/2000 19:55'! +p12061 +tp12062 +a(g6 +V\u000a +tp12063 +a(g48 +VmyDependents +p12064 +tp12065 +a(g6 +V\u000a +p12066 +tp12067 +a(g24 +V"Private. Answer a list of all the receiver's dependents." +p12068 +tp12069 +a(g6 +V\u000a\u000a +p12070 +tp12071 +a(g353 +V^ +tp12072 +a(g6 +V +tp12073 +a(g133 +VDependentsFields +p12074 +tp12075 +a(g6 +V +tp12076 +a(g48 +Vat: +p12077 +tp12078 +a(g6 +V +tp12079 +a(g87 +Vself +p12080 +tp12081 +a(g6 +V +tp12082 +a(g48 +VifAbsent: +p12083 +tp12084 +a(g6 +V +tp12085 +a(g6 +V[ +tp12086 +a(g6 +V +tp12087 +a(g6 +V] +tp12088 +a(g138 +V! ! +p12089 +tp12090 +a(g6 +V\u000a\u000a +p12091 +tp12092 +a(g138 +V! +tp12093 +a(g133 +VObject +p12094 +tp12095 +a(g138 +V methodsFor: +p12096 +tp12097 +a(g236 +V'dependents access' +p12098 +tp12099 +a(g138 +V stamp: 'sma 2/29/2000 19:52'! +p12100 +tp12101 +a(g6 +V\u000a +tp12102 +a(g48 +VmyDependents: +p12103 +tp12104 +a(g6 +V +tp12105 +a(g100 +VaCollectionOrNil +p12106 +tp12107 +a(g6 +V\u000a +p12108 +tp12109 +a(g24 +V"Private. Set (or remove) the receiver's dependents list." +p12110 +tp12111 +a(g6 +V\u000a\u000a +p12112 +tp12113 +a(g100 +VaCollectionOrNil +p12114 +tp12115 +a(g6 +V\u000a +p12116 +tp12117 +a(g48 +VifNil: +p12118 +tp12119 +a(g6 +V +tp12120 +a(g6 +V[ +tp12121 +a(g6 +V +tp12122 +a(g133 +VDependentsFields +p12123 +tp12124 +a(g6 +V +tp12125 +a(g48 +VremoveKey: +p12126 +tp12127 +a(g6 +V +tp12128 +a(g87 +Vself +p12129 +tp12130 +a(g6 +V +tp12131 +a(g48 +VifAbsent: +p12132 +tp12133 +a(g6 +V +tp12134 +a(g6 +V[ +tp12135 +a(g6 +V +tp12136 +a(g6 +V] +tp12137 +a(g6 +V] +tp12138 +a(g6 +V\u000a +p12139 +tp12140 +a(g48 +VifNotNil: +p12141 +tp12142 +a(g6 +V +tp12143 +a(g6 +V[ +tp12144 +a(g6 +V +tp12145 +a(g133 +VDependentsFields +p12146 +tp12147 +a(g6 +V +tp12148 +a(g48 +Vat: +p12149 +tp12150 +a(g6 +V +tp12151 +a(g87 +Vself +p12152 +tp12153 +a(g6 +V +tp12154 +a(g48 +Vput: +p12155 +tp12156 +a(g6 +V +tp12157 +a(g100 +VaCollectionOrNil +p12158 +tp12159 +a(g6 +V] +tp12160 +a(g138 +V! ! +p12161 +tp12162 +a(g6 +V\u000a\u000a +p12163 +tp12164 +a(g138 +V! +tp12165 +a(g133 +VObject +p12166 +tp12167 +a(g138 +V methodsFor: +p12168 +tp12169 +a(g236 +V'dependents access' +p12170 +tp12171 +a(g138 +V stamp: 'reThink 2/18/2001 17:06'! +p12172 +tp12173 +a(g6 +V\u000a +tp12174 +a(g48 +Vrelease +p12175 +tp12176 +a(g6 +V\u000a +p12177 +tp12178 +a(g24 +V"Remove references to objects that may refer to the receiver. This message \u000a should be overridden by subclasses with any cycles, in which case the \u000a subclass should also include the expression super release." +p12179 +tp12180 +a(g6 +V\u000a\u000a +p12181 +tp12182 +a(g87 +Vself +p12183 +tp12184 +a(g6 +V +tp12185 +a(g48 +VreleaseActionMap +p12186 +tp12187 +a(g138 +V! ! +p12188 +tp12189 +a(g6 +V\u000a\u000a +p12190 +tp12191 +a(g138 +V! +tp12192 +a(g133 +VObject +p12193 +tp12194 +a(g138 +V methodsFor: +p12195 +tp12196 +a(g236 +V'dependents access' +p12197 +tp12198 +a(g138 +V stamp: 'sma 2/29/2000 20:23'! +p12199 +tp12200 +a(g6 +V\u000a +tp12201 +a(g48 +VremoveDependent: +p12202 +tp12203 +a(g6 +V +tp12204 +a(g100 +VanObject +p12205 +tp12206 +a(g6 +V\u000a +p12207 +tp12208 +a(g24 +V"Remove the given object as one of the receiver's dependents." +p12209 +tp12210 +a(g6 +V\u000a\u000a +p12211 +tp12212 +a(g353 +V| +tp12213 +a(g100 +V dependents +p12214 +tp12215 +a(g353 +V| +tp12216 +a(g6 +V\u000a +p12217 +tp12218 +a(g100 +Vdependents +p12219 +tp12220 +a(g6 +V +tp12221 +a(g353 +V_ +tp12222 +a(g6 +V +tp12223 +a(g87 +Vself +p12224 +tp12225 +a(g6 +V +tp12226 +a(g48 +Vdependents +p12227 +tp12228 +a(g6 +V +tp12229 +a(g48 +Vreject: +p12230 +tp12231 +a(g6 +V +tp12232 +a(g6 +V[ +tp12233 +a(g353 +V: +tp12234 +a(g100 +Veach +p12235 +tp12236 +a(g6 +V +tp12237 +a(g353 +V| +tp12238 +a(g6 +V +tp12239 +a(g100 +Veach +p12240 +tp12241 +a(g6 +V +tp12242 +a(g48 +V== +p12243 +tp12244 +a(g6 +V +tp12245 +a(g100 +VanObject +p12246 +tp12247 +a(g6 +V] +tp12248 +a(g212 +V. +tp12249 +a(g6 +V\u000a +p12250 +tp12251 +a(g87 +Vself +p12252 +tp12253 +a(g6 +V +tp12254 +a(g48 +VmyDependents: +p12255 +tp12256 +a(g6 +V +tp12257 +a(g6 +V( +tp12258 +a(g100 +Vdependents +p12259 +tp12260 +a(g6 +V +tp12261 +a(g48 +VisEmpty +p12262 +tp12263 +a(g6 +V +tp12264 +a(g84 +VifFalse: +p12265 +tp12266 +a(g6 +V +tp12267 +a(g6 +V[ +tp12268 +a(g6 +V +tp12269 +a(g100 +Vdependents +p12270 +tp12271 +a(g6 +V] +tp12272 +a(g6 +V) +tp12273 +a(g212 +V. +tp12274 +a(g6 +V\u000a +p12275 +tp12276 +a(g353 +V^ +tp12277 +a(g6 +V +tp12278 +a(g100 +VanObject +p12279 +tp12280 +a(g138 +V! ! +p12281 +tp12282 +a(g6 +V\u000a\u000a\u000a +p12283 +tp12284 +a(g138 +V! +tp12285 +a(g133 +VObject +p12286 +tp12287 +a(g138 +V methodsFor: +p12288 +tp12289 +a(g236 +V'drag and drop' +p12290 +tp12291 +a(g138 +V stamp: 'bh 9/16/2001 18:10'! +p12292 +tp12293 +a(g6 +V\u000a +tp12294 +a(g48 +VacceptDroppingMorph: +p12295 +tp12296 +a(g6 +V +tp12297 +a(g100 +VtransferMorph +p12298 +tp12299 +a(g6 +V +tp12300 +a(g48 +Vevent: +p12301 +tp12302 +a(g6 +V +tp12303 +a(g100 +Vevt +p12304 +tp12305 +a(g6 +V +tp12306 +a(g48 +VinMorph: +p12307 +tp12308 +a(g6 +V +tp12309 +a(g100 +VdstListMorph +p12310 +tp12311 +a(g6 +V \u000a \u000a +p12312 +tp12313 +a(g353 +V^ +tp12314 +a(g87 +Vfalse +p12315 +tp12316 +a(g212 +V. +tp12317 +a(g138 +V! ! +p12318 +tp12319 +a(g6 +V\u000a\u000a +p12320 +tp12321 +a(g138 +V! +tp12322 +a(g133 +VObject +p12323 +tp12324 +a(g138 +V methodsFor: +p12325 +tp12326 +a(g236 +V'drag and drop' +p12327 +tp12328 +a(g138 +V stamp: 'mir 5/16/2000 11:35'! +p12329 +tp12330 +a(g6 +V\u000a +tp12331 +a(g48 +VdragAnimationFor: +p12332 +tp12333 +a(g6 +V +tp12334 +a(g100 +Vitem +p12335 +tp12336 +a(g6 +V +tp12337 +a(g48 +VtransferMorph: +p12338 +tp12339 +a(g6 +V +tp12340 +a(g100 +VtransferMorph +p12341 +tp12342 +a(g6 +V \u000a +p12343 +tp12344 +a(g24 +V"Default do nothing" +p12345 +tp12346 +a(g138 +V! ! +p12347 +tp12348 +a(g6 +V\u000a\u000a +p12349 +tp12350 +a(g138 +V! +tp12351 +a(g133 +VObject +p12352 +tp12353 +a(g138 +V methodsFor: +p12354 +tp12355 +a(g236 +V'drag and drop' +p12356 +tp12357 +a(g138 +V stamp: 'panda 4/28/2000 16:20'! +p12358 +tp12359 +a(g6 +V\u000a +tp12360 +a(g48 +VdragPassengerFor: +p12361 +tp12362 +a(g6 +V +tp12363 +a(g100 +Vitem +p12364 +tp12365 +a(g6 +V +tp12366 +a(g48 +VinMorph: +p12367 +tp12368 +a(g6 +V +tp12369 +a(g100 +VdragSource +p12370 +tp12371 +a(g6 +V \u000a +p12372 +tp12373 +a(g353 +V^ +tp12374 +a(g100 +Vitem +p12375 +tp12376 +a(g138 +V! ! +p12377 +tp12378 +a(g6 +V\u000a\u000a +p12379 +tp12380 +a(g138 +V! +tp12381 +a(g133 +VObject +p12382 +tp12383 +a(g138 +V methodsFor: +p12384 +tp12385 +a(g236 +V'drag and drop' +p12386 +tp12387 +a(g138 +V stamp: 'panda 4/28/2000 16:11'! +p12388 +tp12389 +a(g6 +V\u000a +tp12390 +a(g48 +VdragTransferType +p12391 +tp12392 +a(g6 +V\u000a +p12393 +tp12394 +a(g353 +V^ +tp12395 +a(g87 +Vnil +p12396 +tp12397 +a(g138 +V! ! +p12398 +tp12399 +a(g6 +V\u000a\u000a +p12400 +tp12401 +a(g138 +V! +tp12402 +a(g133 +VObject +p12403 +tp12404 +a(g138 +V methodsFor: +p12405 +tp12406 +a(g236 +V'drag and drop' +p12407 +tp12408 +a(g138 +V stamp: 'panda 4/28/2000 16:05'! +p12409 +tp12410 +a(g6 +V\u000a +tp12411 +a(g48 +VdragTransferTypeForMorph: +p12412 +tp12413 +a(g6 +V +tp12414 +a(g100 +VdragSource +p12415 +tp12416 +a(g6 +V \u000a +p12417 +tp12418 +a(g353 +V^ +tp12419 +a(g87 +Vnil +p12420 +tp12421 +a(g138 +V! ! +p12422 +tp12423 +a(g6 +V\u000a\u000a +p12424 +tp12425 +a(g138 +V! +tp12426 +a(g133 +VObject +p12427 +tp12428 +a(g138 +V methodsFor: +p12429 +tp12430 +a(g236 +V'drag and drop' +p12431 +tp12432 +a(g138 +V stamp: 'mir 5/8/2000 17:19'! +p12433 +tp12434 +a(g6 +V\u000a +tp12435 +a(g48 +VwantsDroppedMorph: +p12436 +tp12437 +a(g6 +V +tp12438 +a(g100 +VaMorph +p12439 +tp12440 +a(g6 +V +tp12441 +a(g48 +Vevent: +p12442 +tp12443 +a(g6 +V +tp12444 +a(g100 +VanEvent +p12445 +tp12446 +a(g6 +V +tp12447 +a(g48 +VinMorph: +p12448 +tp12449 +a(g6 +V +tp12450 +a(g100 +VdestinationLM +p12451 +tp12452 +a(g6 +V \u000a +p12453 +tp12454 +a(g353 +V^ +tp12455 +a(g87 +Vfalse +p12456 +tp12457 +a(g138 +V! ! +p12458 +tp12459 +a(g6 +V\u000a\u000a\u000a +p12460 +tp12461 +a(g138 +V! +tp12462 +a(g133 +VObject +p12463 +tp12464 +a(g138 +V methodsFor: +p12465 +tp12466 +a(g236 +V'error handling' +p12467 +tp12468 +a(g138 +V stamp: 'sma 5/6/2000 19:35'! +p12469 +tp12470 +a(g6 +V\u000a +tp12471 +a(g48 +Vassert: +p12472 +tp12473 +a(g6 +V +tp12474 +a(g100 +VaBlock +p12475 +tp12476 +a(g6 +V\u000a +p12477 +tp12478 +a(g24 +V"Throw an assertion error if aBlock does not evaluates to true." +p12479 +tp12480 +a(g6 +V\u000a\u000a +p12481 +tp12482 +a(g100 +VaBlock +p12483 +tp12484 +a(g6 +V +tp12485 +a(g48 +Vvalue +p12486 +tp12487 +a(g6 +V +tp12488 +a(g84 +VifFalse: +p12489 +tp12490 +a(g6 +V +tp12491 +a(g6 +V[ +tp12492 +a(g6 +V +tp12493 +a(g133 +VAssertionFailure +p12494 +tp12495 +a(g6 +V +tp12496 +a(g48 +Vsignal: +p12497 +tp12498 +a(g6 +V +tp12499 +a(g236 +V'Assertion failed' +p12500 +tp12501 +a(g6 +V] +tp12502 +a(g138 +V! ! +p12503 +tp12504 +a(g6 +V\u000a\u000a +p12505 +tp12506 +a(g138 +V! +tp12507 +a(g133 +VObject +p12508 +tp12509 +a(g138 +V methodsFor: +p12510 +tp12511 +a(g236 +V'error handling' +p12512 +tp12513 +a(g138 +V stamp: 'nk 1/15/2004 10:54'! +p12514 +tp12515 +a(g6 +V\u000a +tp12516 +a(g48 +Vassert: +p12517 +tp12518 +a(g6 +V +tp12519 +a(g100 +VaBlock +p12520 +tp12521 +a(g6 +V +tp12522 +a(g48 +VdescriptionBlock: +p12523 +tp12524 +a(g6 +V +tp12525 +a(g100 +VdescriptionBlock +p12526 +tp12527 +a(g6 +V\u000a +p12528 +tp12529 +a(g24 +V"Throw an assertion error if aBlock does not evaluate to true." +p12530 +tp12531 +a(g6 +V\u000a\u000a +p12532 +tp12533 +a(g100 +VaBlock +p12534 +tp12535 +a(g6 +V +tp12536 +a(g48 +Vvalue +p12537 +tp12538 +a(g6 +V +tp12539 +a(g84 +VifFalse: +p12540 +tp12541 +a(g6 +V +tp12542 +a(g6 +V[ +tp12543 +a(g6 +V +tp12544 +a(g133 +VAssertionFailure +p12545 +tp12546 +a(g6 +V +tp12547 +a(g48 +Vsignal: +p12548 +tp12549 +a(g6 +V +tp12550 +a(g100 +VdescriptionBlock +p12551 +tp12552 +a(g6 +V +tp12553 +a(g48 +Vvalue +p12554 +tp12555 +a(g6 +V +tp12556 +a(g48 +VasString +p12557 +tp12558 +a(g6 +V +tp12559 +a(g6 +V] +tp12560 +a(g138 +V! ! +p12561 +tp12562 +a(g6 +V\u000a\u000a +p12563 +tp12564 +a(g138 +V! +tp12565 +a(g133 +VObject +p12566 +tp12567 +a(g138 +V methodsFor: +p12568 +tp12569 +a(g236 +V'error handling' +p12570 +tp12571 +a(g138 +V stamp: 'nk 10/25/2003 16:47'! +p12572 +tp12573 +a(g6 +V\u000a +tp12574 +a(g48 +Vassert: +p12575 +tp12576 +a(g6 +V +tp12577 +a(g100 +VaBlock +p12578 +tp12579 +a(g6 +V +tp12580 +a(g48 +Vdescription: +p12581 +tp12582 +a(g6 +V +tp12583 +a(g100 +VaString +p12584 +tp12585 +a(g6 +V\u000a +p12586 +tp12587 +a(g24 +V"Throw an assertion error if aBlock does not evaluates to true." +p12588 +tp12589 +a(g6 +V\u000a\u000a +p12590 +tp12591 +a(g100 +VaBlock +p12592 +tp12593 +a(g6 +V +tp12594 +a(g48 +Vvalue +p12595 +tp12596 +a(g6 +V +tp12597 +a(g84 +VifFalse: +p12598 +tp12599 +a(g6 +V +tp12600 +a(g6 +V[ +tp12601 +a(g6 +V +tp12602 +a(g133 +VAssertionFailure +p12603 +tp12604 +a(g6 +V +tp12605 +a(g48 +Vsignal: +p12606 +tp12607 +a(g6 +V +tp12608 +a(g100 +VaString +p12609 +tp12610 +a(g6 +V +tp12611 +a(g6 +V] +tp12612 +a(g138 +V! ! +p12613 +tp12614 +a(g6 +V\u000a\u000a +p12615 +tp12616 +a(g138 +V! +tp12617 +a(g133 +VObject +p12618 +tp12619 +a(g138 +V methodsFor: +p12620 +tp12621 +a(g236 +V'error handling' +p12622 +tp12623 +a(g138 +V stamp: 'md 10/13/2004 15:59'! +p12624 +tp12625 +a(g6 +V\u000a +tp12626 +a(g48 +VbackwardCompatibilityOnly: +p12627 +tp12628 +a(g6 +V +tp12629 +a(g100 +VanExplanationString +p12630 +tp12631 +a(g6 +V\u000a +p12632 +tp12633 +a(g24 +V"Warn that the sending method has been deprecated. Methods that are tagt with #backwardCompatibility:\u000a are kept for compatibility." +p12634 +tp12635 +a(g6 +V\u000a\u000a +p12636 +tp12637 +a(g133 +VPreferences +p12638 +tp12639 +a(g6 +V +tp12640 +a(g48 +VshowDeprecationWarnings +p12641 +tp12642 +a(g6 +V +tp12643 +a(g84 +VifTrue: +p12644 +tp12645 +a(g6 +V\u000a +p12646 +tp12647 +a(g6 +V[ +tp12648 +a(g6 +V +tp12649 +a(g133 +VDeprecation +p12650 +tp12651 +a(g6 +V +tp12652 +a(g48 +Vsignal: +p12653 +tp12654 +a(g6 +V +tp12655 +a(g87 +VthisContext +p12656 +tp12657 +a(g6 +V +tp12658 +a(g48 +Vsender +p12659 +tp12660 +a(g6 +V +tp12661 +a(g48 +VprintString +p12662 +tp12663 +a(g48 +V, +tp12664 +a(g6 +V +tp12665 +a(g236 +V' has been deprecated (but will be kept for compatibility). ' +p12666 +tp12667 +a(g48 +V, +tp12668 +a(g6 +V +tp12669 +a(g100 +VanExplanationString +p12670 +tp12671 +a(g6 +V] +tp12672 +a(g138 +V! ! +p12673 +tp12674 +a(g6 +V\u000a\u000a +p12675 +tp12676 +a(g138 +V! +tp12677 +a(g133 +VObject +p12678 +tp12679 +a(g138 +V methodsFor: +p12680 +tp12681 +a(g236 +V'error handling' +p12682 +tp12683 +a(g138 +V! +tp12684 +a(g6 +V\u000a +tp12685 +a(g48 +VcaseError +p12686 +tp12687 +a(g6 +V\u000a +p12688 +tp12689 +a(g24 +V"Report an error from an in-line or explicit case statement." +p12690 +tp12691 +a(g6 +V\u000a\u000a +p12692 +tp12693 +a(g87 +Vself +p12694 +tp12695 +a(g6 +V +tp12696 +a(g48 +Verror: +p12697 +tp12698 +a(g6 +V +tp12699 +a(g236 +V'Case not found, and no otherwise clause' +p12700 +tp12701 +a(g138 +V! ! +p12702 +tp12703 +a(g6 +V\u000a\u000a +p12704 +tp12705 +a(g138 +V! +tp12706 +a(g133 +VObject +p12707 +tp12708 +a(g138 +V methodsFor: +p12709 +tp12710 +a(g236 +V'error handling' +p12711 +tp12712 +a(g138 +V stamp: 'rbb 3/1/2005 09:26'! +p12713 +tp12714 +a(g6 +V\u000a +tp12715 +a(g48 +Vconfirm: +p12716 +tp12717 +a(g6 +V +tp12718 +a(g100 +VqueryString +p12719 +tp12720 +a(g6 +V\u000a +p12721 +tp12722 +a(g24 +V"Put up a yes/no menu with caption queryString. Answer true if the \u000a response is yes, false if no. This is a modal question--the user must \u000a respond yes or no." +p12723 +tp12724 +a(g6 +V\u000a\u000a +p12725 +tp12726 +a(g24 +V"nil confirm: 'Are you hungry?'" +p12727 +tp12728 +a(g6 +V\u000a\u000a +p12729 +tp12730 +a(g353 +V^ +tp12731 +a(g6 +V +tp12732 +a(g133 +VUIManager +p12733 +tp12734 +a(g6 +V +tp12735 +a(g48 +Vdefault +p12736 +tp12737 +a(g6 +V +tp12738 +a(g48 +Vconfirm: +p12739 +tp12740 +a(g6 +V +tp12741 +a(g100 +VqueryString +p12742 +tp12743 +a(g138 +V! ! +p12744 +tp12745 +a(g6 +V\u000a\u000a +p12746 +tp12747 +a(g138 +V! +tp12748 +a(g133 +VObject +p12749 +tp12750 +a(g138 +V methodsFor: +p12751 +tp12752 +a(g236 +V'error handling' +p12753 +tp12754 +a(g138 +V stamp: 'rbb 3/1/2005 09:27'! +p12755 +tp12756 +a(g6 +V\u000a +tp12757 +a(g48 +Vconfirm: +p12758 +tp12759 +a(g6 +V +tp12760 +a(g100 +VaString +p12761 +tp12762 +a(g6 +V +tp12763 +a(g48 +VorCancel: +p12764 +tp12765 +a(g6 +V +tp12766 +a(g100 +VcancelBlock +p12767 +tp12768 +a(g6 +V\u000a +p12769 +tp12770 +a(g24 +V"Put up a yes/no/cancel menu with caption aString. Answer true if \u000a the response is yes, false if no. If cancel is chosen, evaluate \u000a cancelBlock. This is a modal question--the user must respond yes or no." +p12771 +tp12772 +a(g6 +V\u000a\u000a +p12773 +tp12774 +a(g353 +V^ +tp12775 +a(g6 +V +tp12776 +a(g133 +VUIManager +p12777 +tp12778 +a(g6 +V +tp12779 +a(g48 +Vdefault +p12780 +tp12781 +a(g6 +V +tp12782 +a(g48 +Vconfirm: +p12783 +tp12784 +a(g6 +V +tp12785 +a(g100 +VaString +p12786 +tp12787 +a(g6 +V +tp12788 +a(g48 +VorCancel: +p12789 +tp12790 +a(g6 +V +tp12791 +a(g100 +VcancelBlock +p12792 +tp12793 +a(g138 +V! ! +p12794 +tp12795 +a(g6 +V\u000a\u000a +p12796 +tp12797 +a(g138 +V! +tp12798 +a(g133 +VObject +p12799 +tp12800 +a(g138 +V methodsFor: +p12801 +tp12802 +a(g236 +V'error handling' +p12803 +tp12804 +a(g138 +V stamp: 'dew 10/6/2003 18:20'! +p12805 +tp12806 +a(g6 +V\u000a +tp12807 +a(g48 +Vdeprecated: +p12808 +tp12809 +a(g6 +V +tp12810 +a(g100 +VanExplanationString +p12811 +tp12812 +a(g6 +V\u000a +p12813 +tp12814 +a(g24 +V"Warn that the sending method has been deprecated." +p12815 +tp12816 +a(g6 +V\u000a\u000a +p12817 +tp12818 +a(g133 +VPreferences +p12819 +tp12820 +a(g6 +V +tp12821 +a(g48 +VshowDeprecationWarnings +p12822 +tp12823 +a(g6 +V +tp12824 +a(g84 +VifTrue: +p12825 +tp12826 +a(g6 +V\u000a +p12827 +tp12828 +a(g6 +V[ +tp12829 +a(g6 +V +tp12830 +a(g133 +VDeprecation +p12831 +tp12832 +a(g6 +V +tp12833 +a(g48 +Vsignal: +p12834 +tp12835 +a(g6 +V +tp12836 +a(g87 +VthisContext +p12837 +tp12838 +a(g6 +V +tp12839 +a(g48 +Vsender +p12840 +tp12841 +a(g6 +V +tp12842 +a(g48 +VprintString +p12843 +tp12844 +a(g48 +V, +tp12845 +a(g6 +V +tp12846 +a(g236 +V' has been deprecated. ' +p12847 +tp12848 +a(g48 +V, +tp12849 +a(g6 +V +tp12850 +a(g100 +VanExplanationString +p12851 +tp12852 +a(g6 +V] +tp12853 +a(g138 +V! ! +p12854 +tp12855 +a(g6 +V\u000a\u000a +p12856 +tp12857 +a(g138 +V! +tp12858 +a(g133 +VObject +p12859 +tp12860 +a(g138 +V methodsFor: +p12861 +tp12862 +a(g236 +V'error handling' +p12863 +tp12864 +a(g138 +V stamp: 'dew 10/7/2003 00:26'! +p12865 +tp12866 +a(g6 +V\u000a +tp12867 +a(g48 +Vdeprecated: +p12868 +tp12869 +a(g6 +V +tp12870 +a(g100 +VanExplanationString +p12871 +tp12872 +a(g6 +V +tp12873 +a(g48 +Vblock: +p12874 +tp12875 +a(g6 +V +tp12876 +a(g100 +VaBlock +p12877 +tp12878 +a(g6 +V \u000a +p12879 +tp12880 +a(g24 +V"Warn that the sender has been deprecated. Answer the value of aBlock on resumption. (Note that #deprecated: is usually the preferred method.)" +p12881 +tp12882 +a(g6 +V\u000a\u000a +p12883 +tp12884 +a(g133 +VPreferences +p12885 +tp12886 +a(g6 +V +tp12887 +a(g48 +VshowDeprecationWarnings +p12888 +tp12889 +a(g6 +V +tp12890 +a(g84 +VifTrue: +p12891 +tp12892 +a(g6 +V\u000a +p12893 +tp12894 +a(g6 +V[ +tp12895 +a(g6 +V +tp12896 +a(g133 +VDeprecation +p12897 +tp12898 +a(g6 +V\u000a +p12899 +tp12900 +a(g48 +Vsignal: +p12901 +tp12902 +a(g6 +V +tp12903 +a(g87 +VthisContext +p12904 +tp12905 +a(g6 +V +tp12906 +a(g48 +Vsender +p12907 +tp12908 +a(g6 +V +tp12909 +a(g48 +VprintString +p12910 +tp12911 +a(g48 +V, +tp12912 +a(g6 +V +tp12913 +a(g236 +V' has been deprecated. ' +p12914 +tp12915 +a(g48 +V, +tp12916 +a(g6 +V +tp12917 +a(g100 +VanExplanationString +p12918 +tp12919 +a(g6 +V] +tp12920 +a(g212 +V. +tp12921 +a(g6 +V\u000a +p12922 +tp12923 +a(g353 +V^ +tp12924 +a(g6 +V +tp12925 +a(g100 +VaBlock +p12926 +tp12927 +a(g6 +V +tp12928 +a(g48 +Vvalue +p12929 +tp12930 +a(g212 +V. +tp12931 +a(g6 +V\u000a +tp12932 +a(g138 +V! ! +p12933 +tp12934 +a(g6 +V\u000a\u000a +p12935 +tp12936 +a(g138 +V! +tp12937 +a(g133 +VObject +p12938 +tp12939 +a(g138 +V methodsFor: +p12940 +tp12941 +a(g236 +V'error handling' +p12942 +tp12943 +a(g138 +V stamp: 'md 2/22/2006 21:21'! +p12944 +tp12945 +a(g6 +V\u000a +tp12946 +a(g48 +VdoesNotUnderstand: +p12947 +tp12948 +a(g6 +V +tp12949 +a(g100 +VaMessage +p12950 +tp12951 +a(g6 +V \u000a +p12952 +tp12953 +a(g24 +V"Handle the fact that there was an attempt to send the given message to the receiver but the receiver does not understand this message (typically sent from the machine when a message is sent to the receiver and no method is defined for that selector)." +p12954 +tp12955 +a(g6 +V\u000a +p12956 +tp12957 +a(g24 +V"Testing: (3 activeProcess)" +p12958 +tp12959 +a(g6 +V\u000a\u000a +p12960 +tp12961 +a(g133 +VMessageNotUnderstood +p12962 +tp12963 +a(g6 +V +tp12964 +a(g84 +Vnew +p12965 +tp12966 +a(g6 +V \u000a +p12967 +tp12968 +a(g48 +Vmessage: +p12969 +tp12970 +a(g6 +V +tp12971 +a(g100 +VaMessage +p12972 +tp12973 +a(g212 +V; +tp12974 +a(g6 +V\u000a +p12975 +tp12976 +a(g48 +Vreceiver: +p12977 +tp12978 +a(g6 +V +tp12979 +a(g87 +Vself +p12980 +tp12981 +a(g212 +V; +tp12982 +a(g6 +V\u000a +p12983 +tp12984 +a(g48 +Vsignal +p12985 +tp12986 +a(g212 +V. +tp12987 +a(g6 +V\u000a +p12988 +tp12989 +a(g353 +V^ +tp12990 +a(g6 +V +tp12991 +a(g100 +VaMessage +p12992 +tp12993 +a(g6 +V +tp12994 +a(g48 +VsentTo: +p12995 +tp12996 +a(g6 +V +tp12997 +a(g87 +Vself +p12998 +tp12999 +a(g212 +V. +tp13000 +a(g6 +V\u000a +tp13001 +a(g138 +V! ! +p13002 +tp13003 +a(g6 +V\u000a\u000a +p13004 +tp13005 +a(g138 +V! +tp13006 +a(g133 +VObject +p13007 +tp13008 +a(g138 +V methodsFor: +p13009 +tp13010 +a(g236 +V'error handling' +p13011 +tp13012 +a(g138 +V stamp: 'TRee 11/4/2003 16:47'! +p13013 +tp13014 +a(g6 +V\u000a +tp13015 +a(g48 +VdpsTrace: +p13016 +tp13017 +a(g6 +V +tp13018 +a(g100 +VreportObject +p13019 +tp13020 +a(g6 +V \u000a +p13021 +tp13022 +a(g133 +VTranscript +p13023 +tp13024 +a(g6 +V +tp13025 +a(g48 +VmyDependents +p13026 +tp13027 +a(g6 +V +tp13028 +a(g48 +VisNil +p13029 +tp13030 +a(g6 +V +tp13031 +a(g84 +VifTrue: +p13032 +tp13033 +a(g6 +V +tp13034 +a(g6 +V[ +tp13035 +a(g6 +V +tp13036 +a(g353 +V^ +tp13037 +a(g87 +Vself +p13038 +tp13039 +a(g6 +V] +tp13040 +a(g212 +V. +tp13041 +a(g6 +V\u000a +p13042 +tp13043 +a(g87 +Vself +p13044 +tp13045 +a(g6 +V +tp13046 +a(g48 +VdpsTrace: +p13047 +tp13048 +a(g6 +V +tp13049 +a(g100 +VreportObject +p13050 +tp13051 +a(g6 +V +tp13052 +a(g48 +Vlevels: +p13053 +tp13054 +a(g6 +V +tp13055 +a(g223 +V1 +tp13056 +a(g6 +V +tp13057 +a(g48 +VwithContext: +p13058 +tp13059 +a(g6 +V +tp13060 +a(g87 +VthisContext +p13061 +tp13062 +a(g6 +V\u000a \u000a +p13063 +tp13064 +a(g24 +V" nil dpsTrace: 'sludder'. " +p13065 +tp13066 +a(g138 +V! ! +p13067 +tp13068 +a(g6 +V\u000a\u000a +p13069 +tp13070 +a(g138 +V! +tp13071 +a(g133 +VObject +p13072 +tp13073 +a(g138 +V methodsFor: +p13074 +tp13075 +a(g236 +V'error handling' +p13076 +tp13077 +a(g138 +V stamp: 'TRee 11/4/2003 16:49'! +p13078 +tp13079 +a(g6 +V\u000a +tp13080 +a(g48 +VdpsTrace: +p13081 +tp13082 +a(g6 +V +tp13083 +a(g100 +VreportObject +p13084 +tp13085 +a(g6 +V +tp13086 +a(g48 +Vlevels: +p13087 +tp13088 +a(g6 +V +tp13089 +a(g100 +VanInt +p13090 +tp13091 +a(g6 +V\u000a +p13092 +tp13093 +a(g87 +Vself +p13094 +tp13095 +a(g6 +V +tp13096 +a(g48 +VdpsTrace: +p13097 +tp13098 +a(g6 +V +tp13099 +a(g100 +VreportObject +p13100 +tp13101 +a(g6 +V +tp13102 +a(g48 +Vlevels: +p13103 +tp13104 +a(g6 +V +tp13105 +a(g100 +VanInt +p13106 +tp13107 +a(g6 +V +tp13108 +a(g48 +VwithContext: +p13109 +tp13110 +a(g6 +V +tp13111 +a(g87 +VthisContext +p13112 +tp13113 +a(g6 +V\u000a\u000a +p13114 +tp13115 +a(g24 +V"(1 to: 3) do: [:int | nil dpsTrace: int levels: 5.]" +p13116 +tp13117 +a(g138 +V! ! +p13118 +tp13119 +a(g6 +V\u000a\u000a +p13120 +tp13121 +a(g138 +V! +tp13122 +a(g133 +VObject +p13123 +tp13124 +a(g138 +V methodsFor: +p13125 +tp13126 +a(g236 +V'error handling' +p13127 +tp13128 +a(g138 +V stamp: 'TRee 11/4/2003 17:02'! +p13129 +tp13130 +a(g6 +V\u000a +tp13131 +a(g48 +VdpsTrace: +p13132 +tp13133 +a(g6 +V +tp13134 +a(g100 +VreportObject +p13135 +tp13136 +a(g6 +V +tp13137 +a(g48 +Vlevels: +p13138 +tp13139 +a(g6 +V +tp13140 +a(g100 +VanInt +p13141 +tp13142 +a(g6 +V +tp13143 +a(g48 +VwithContext: +p13144 +tp13145 +a(g6 +V +tp13146 +a(g100 +VcurrentContext +p13147 +tp13148 +a(g6 +V\u000a +p13149 +tp13150 +a(g353 +V| +tp13151 +a(g100 +V reportString context displayCount +p13152 +tp13153 +a(g353 +V| +tp13154 +a(g6 +V\u000a +p13155 +tp13156 +a(g100 +VreportString +p13157 +tp13158 +a(g6 +V +tp13159 +a(g353 +V:= +p13160 +tp13161 +a(g6 +V +tp13162 +a(g6 +V( +tp13163 +a(g100 +VreportObject +p13164 +tp13165 +a(g6 +V +tp13166 +a(g48 +VrespondsTo: +p13167 +tp13168 +a(g6 +V +tp13169 +a(g258 +V#asString +p13170 +tp13171 +a(g6 +V) +tp13172 +a(g6 +V \u000a +p13173 +tp13174 +a(g84 +VifTrue: +p13175 +tp13176 +a(g6 +V +tp13177 +a(g6 +V[ +tp13178 +a(g6 +V +tp13179 +a(g100 +VreportObject +p13180 +tp13181 +a(g6 +V +tp13182 +a(g48 +VasString +p13183 +tp13184 +a(g6 +V] +tp13185 +a(g6 +V +tp13186 +a(g84 +VifFalse: +p13187 +tp13188 +a(g6 +V +tp13189 +a(g6 +V[ +tp13190 +a(g6 +V +tp13191 +a(g100 +VreportObject +p13192 +tp13193 +a(g6 +V +tp13194 +a(g48 +VprintString +p13195 +tp13196 +a(g6 +V] +tp13197 +a(g212 +V. +tp13198 +a(g6 +V\u000a +p13199 +tp13200 +a(g6 +V( +tp13201 +a(g133 +VSmalltalk +p13202 +tp13203 +a(g6 +V +tp13204 +a(g48 +Vat: +p13205 +tp13206 +a(g6 +V +tp13207 +a(g258 +V#Decompiler +p13208 +tp13209 +a(g6 +V +tp13210 +a(g48 +VifAbsent: +p13211 +tp13212 +a(g6 +V +tp13213 +a(g6 +V[ +tp13214 +a(g6 +V +tp13215 +a(g87 +Vnil +p13216 +tp13217 +a(g6 +V] +tp13218 +a(g6 +V) +tp13219 +a(g6 +V \u000a +p13220 +tp13221 +a(g48 +VifNil: +p13222 +tp13223 +a(g6 +V \u000a +p13224 +tp13225 +a(g6 +V[ +tp13226 +a(g6 +V +tp13227 +a(g133 +VTranscript +p13228 +tp13229 +a(g6 +V +tp13230 +a(g48 +Vcr +p13231 +tp13232 +a(g212 +V; +tp13233 +a(g6 +V +tp13234 +a(g48 +Vshow: +p13235 +tp13236 +a(g6 +V +tp13237 +a(g100 +VreportString +p13238 +tp13239 +a(g6 +V] +tp13240 +a(g6 +V\u000a +p13241 +tp13242 +a(g48 +VifNotNil: +p13243 +tp13244 +a(g6 +V\u000a +p13245 +tp13246 +a(g6 +V[ +tp13247 +a(g6 +V +tp13248 +a(g100 +Vcontext +p13249 +tp13250 +a(g6 +V +tp13251 +a(g353 +V:= +p13252 +tp13253 +a(g6 +V +tp13254 +a(g100 +VcurrentContext +p13255 +tp13256 +a(g212 +V. +tp13257 +a(g6 +V\u000a +p13258 +tp13259 +a(g100 +VdisplayCount +p13260 +tp13261 +a(g6 +V +tp13262 +a(g353 +V:= +p13263 +tp13264 +a(g6 +V +tp13265 +a(g100 +VanInt +p13266 +tp13267 +a(g6 +V +tp13268 +a(g48 +V> +tp13269 +a(g6 +V +tp13270 +a(g223 +V1 +tp13271 +a(g212 +V. +tp13272 +a(g6 +V\u000a +p13273 +tp13274 +a(g223 +V1 +tp13275 +a(g6 +V +tp13276 +a(g48 +Vto: +p13277 +tp13278 +a(g6 +V +tp13279 +a(g100 +VanInt +p13280 +tp13281 +a(g6 +V +tp13282 +a(g48 +Vdo: +p13283 +tp13284 +a(g6 +V\u000a +p13285 +tp13286 +a(g6 +V[ +tp13287 +a(g353 +V: +tp13288 +a(g100 +Vcount +p13289 +tp13290 +a(g6 +V +tp13291 +a(g353 +V| +tp13292 +a(g6 +V\u000a +p13293 +tp13294 +a(g133 +VTranscript +p13295 +tp13296 +a(g6 +V +tp13297 +a(g48 +Vcr +p13298 +tp13299 +a(g212 +V. +tp13300 +a(g6 +V\u000a +p13301 +tp13302 +a(g100 +VdisplayCount +p13303 +tp13304 +a(g6 +V\u000a +p13305 +tp13306 +a(g84 +VifTrue: +p13307 +tp13308 +a(g6 +V +tp13309 +a(g6 +V[ +tp13310 +a(g6 +V +tp13311 +a(g133 +VTranscript +p13312 +tp13313 +a(g6 +V +tp13314 +a(g48 +Vshow: +p13315 +tp13316 +a(g6 +V +tp13317 +a(g100 +Vcount +p13318 +tp13319 +a(g6 +V +tp13320 +a(g48 +VprintString +p13321 +tp13322 +a(g48 +V, +tp13323 +a(g6 +V +tp13324 +a(g236 +V': ' +p13325 +tp13326 +a(g6 +V] +tp13327 +a(g212 +V. +tp13328 +a(g6 +V\u000a \u000a +p13329 +tp13330 +a(g100 +VreportString +p13331 +tp13332 +a(g6 +V +tp13333 +a(g48 +VnotNil +p13334 +tp13335 +a(g6 +V\u000a +p13336 +tp13337 +a(g84 +VifTrue: +p13338 +tp13339 +a(g6 +V\u000a +p13340 +tp13341 +a(g6 +V[ +tp13342 +a(g6 +V +tp13343 +a(g133 +VTranscript +p13344 +tp13345 +a(g6 +V +tp13346 +a(g48 +Vshow: +p13347 +tp13348 +a(g6 +V +tp13349 +a(g100 +Vcontext +p13350 +tp13351 +a(g6 +V +tp13352 +a(g48 +Vhome +p13353 +tp13354 +a(g6 +V +tp13355 +a(g48 +Vclass +p13356 +tp13357 +a(g6 +V +tp13358 +a(g48 +Vname +p13359 +tp13360 +a(g6 +V \u000a +p13361 +tp13362 +a(g48 +V, +tp13363 +a(g6 +V +tp13364 +a(g236 +V'/' +p13365 +tp13366 +a(g6 +V +tp13367 +a(g48 +V, +tp13368 +a(g6 +V +tp13369 +a(g100 +Vcontext +p13370 +tp13371 +a(g6 +V +tp13372 +a(g48 +Vsender +p13373 +tp13374 +a(g6 +V +tp13375 +a(g48 +Vselector +p13376 +tp13377 +a(g48 +V, +tp13378 +a(g6 +V +p13379 +tp13380 +a(g236 +V' (' +p13381 +tp13382 +a(g6 +V +tp13383 +a(g48 +V, +tp13384 +a(g6 +V +tp13385 +a(g100 +VreportString +p13386 +tp13387 +a(g6 +V +tp13388 +a(g48 +V, +tp13389 +a(g6 +V +tp13390 +a(g236 +V')' +p13391 +tp13392 +a(g212 +V. +tp13393 +a(g6 +V\u000a +p13394 +tp13395 +a(g100 +Vcontext +p13396 +tp13397 +a(g6 +V +tp13398 +a(g353 +V:= +p13399 +tp13400 +a(g6 +V +tp13401 +a(g100 +Vcontext +p13402 +tp13403 +a(g6 +V +tp13404 +a(g48 +Vsender +p13405 +tp13406 +a(g212 +V. +tp13407 +a(g6 +V\u000a +p13408 +tp13409 +a(g100 +VreportString +p13410 +tp13411 +a(g6 +V +tp13412 +a(g353 +V:= +p13413 +tp13414 +a(g6 +V +tp13415 +a(g87 +Vnil +p13416 +tp13417 +a(g6 +V] +tp13418 +a(g6 +V\u000a +p13419 +tp13420 +a(g84 +VifFalse: +p13421 +tp13422 +a(g6 +V\u000a +p13423 +tp13424 +a(g6 +V[ +tp13425 +a(g6 +V +tp13426 +a(g6 +V( +tp13427 +a(g100 +Vcontext +p13428 +tp13429 +a(g6 +V +tp13430 +a(g48 +VnotNil +p13431 +tp13432 +a(g6 +V +tp13433 +a(g48 +Vand: +p13434 +tp13435 +a(g6 +V +tp13436 +a(g6 +V[ +tp13437 +a(g6 +V +tp13438 +a(g6 +V( +tp13439 +a(g100 +Vcontext +p13440 +tp13441 +a(g6 +V +tp13442 +a(g353 +V:= +p13443 +tp13444 +a(g6 +V +tp13445 +a(g100 +Vcontext +p13446 +tp13447 +a(g6 +V +tp13448 +a(g48 +Vsender +p13449 +tp13450 +a(g6 +V) +tp13451 +a(g6 +V +tp13452 +a(g48 +VnotNil +p13453 +tp13454 +a(g6 +V] +tp13455 +a(g6 +V) +tp13456 +a(g6 +V\u000a +p13457 +tp13458 +a(g84 +VifTrue: +p13459 +tp13460 +a(g6 +V +tp13461 +a(g6 +V[ +tp13462 +a(g6 +V +tp13463 +a(g133 +VTranscript +p13464 +tp13465 +a(g6 +V +tp13466 +a(g48 +Vshow: +p13467 +tp13468 +a(g6 +V +tp13469 +a(g100 +Vcontext +p13470 +tp13471 +a(g6 +V +tp13472 +a(g48 +Vreceiver +p13473 +tp13474 +a(g6 +V +tp13475 +a(g48 +Vclass +p13476 +tp13477 +a(g6 +V +tp13478 +a(g48 +Vname +p13479 +tp13480 +a(g6 +V +tp13481 +a(g48 +V, +tp13482 +a(g6 +V +tp13483 +a(g236 +V'/' +p13484 +tp13485 +a(g6 +V +tp13486 +a(g48 +V, +tp13487 +a(g6 +V +tp13488 +a(g100 +Vcontext +p13489 +tp13490 +a(g6 +V +tp13491 +a(g48 +Vselector +p13492 +tp13493 +a(g6 +V] +tp13494 +a(g6 +V] +tp13495 +a(g6 +V] +tp13496 +a(g212 +V. +tp13497 +a(g6 +V\u000a +p13498 +tp13499 +a(g24 +V"Transcript cr" +p13500 +tp13501 +a(g6 +V] +tp13502 +a(g212 +V. +tp13503 +a(g138 +V! ! +p13504 +tp13505 +a(g6 +V\u000a\u000a +p13506 +tp13507 +a(g138 +V! +tp13508 +a(g133 +VObject +p13509 +tp13510 +a(g138 +V methodsFor: +p13511 +tp13512 +a(g236 +V'error handling' +p13513 +tp13514 +a(g138 +V stamp: 'md 8/2/2005 22:17'! +p13515 +tp13516 +a(g6 +V\u000a +tp13517 +a(g48 +Verror +p13518 +tp13519 +a(g6 +V\u000a +p13520 +tp13521 +a(g24 +V"Throw a generic Error exception." +p13522 +tp13523 +a(g6 +V\u000a\u000a +p13524 +tp13525 +a(g353 +V^ +tp13526 +a(g87 +Vself +p13527 +tp13528 +a(g6 +V +tp13529 +a(g48 +Verror: +p13530 +tp13531 +a(g6 +V +tp13532 +a(g236 +V'Error!!' +p13533 +tp13534 +a(g212 +V. +tp13535 +a(g138 +V! ! +p13536 +tp13537 +a(g6 +V\u000a\u000a +p13538 +tp13539 +a(g138 +V! +tp13540 +a(g133 +VObject +p13541 +tp13542 +a(g138 +V methodsFor: +p13543 +tp13544 +a(g236 +V'error handling' +p13545 +tp13546 +a(g138 +V stamp: 'tfei 4/12/1999 12:55'! +p13547 +tp13548 +a(g6 +V\u000a +tp13549 +a(g48 +Verror: +p13550 +tp13551 +a(g6 +V +tp13552 +a(g100 +VaString +p13553 +tp13554 +a(g6 +V \u000a +p13555 +tp13556 +a(g24 +V"Throw a generic Error exception." +p13557 +tp13558 +a(g6 +V\u000a\u000a +p13559 +tp13560 +a(g353 +V^ +tp13561 +a(g133 +VError +p13562 +tp13563 +a(g6 +V +tp13564 +a(g84 +Vnew +p13565 +tp13566 +a(g6 +V +tp13567 +a(g48 +Vsignal: +p13568 +tp13569 +a(g6 +V +tp13570 +a(g100 +VaString +p13571 +tp13572 +a(g138 +V! ! +p13573 +tp13574 +a(g6 +V\u000a\u000a +p13575 +tp13576 +a(g138 +V! +tp13577 +a(g133 +VObject +p13578 +tp13579 +a(g138 +V methodsFor: +p13580 +tp13581 +a(g236 +V'error handling' +p13582 +tp13583 +a(g138 +V stamp: 'al 9/16/2005 14:12'! +p13584 +tp13585 +a(g6 +V\u000a +tp13586 +a(g48 +VexplicitRequirement +p13587 +tp13588 +a(g6 +V\u000a +p13589 +tp13590 +a(g87 +Vself +p13591 +tp13592 +a(g6 +V +tp13593 +a(g48 +Verror: +p13594 +tp13595 +a(g6 +V +tp13596 +a(g236 +V'Explicitly required method' +p13597 +tp13598 +a(g138 +V! ! +p13599 +tp13600 +a(g6 +V\u000a\u000a +p13601 +tp13602 +a(g138 +V! +tp13603 +a(g133 +VObject +p13604 +tp13605 +a(g138 +V methodsFor: +p13606 +tp13607 +a(g236 +V'error handling' +p13608 +tp13609 +a(g138 +V stamp: 'al 2/13/2006 22:20'! +p13610 +tp13611 +a(g6 +V\u000a +tp13612 +a(g48 +Vhalt +p13613 +tp13614 +a(g6 +V\u000a +p13615 +tp13616 +a(g24 +V"This is the typical message to use for inserting breakpoints during \u000a debugging. It behaves like halt:, but does not call on halt: in order to \u000a avoid putting this message on the stack. Halt is especially useful when \u000a the breakpoint message is an arbitrary one." +p13617 +tp13618 +a(g6 +V\u000a\u000a +p13619 +tp13620 +a(g133 +VHalt +p13621 +tp13622 +a(g6 +V +tp13623 +a(g48 +Vsignal +p13624 +tp13625 +a(g138 +V! ! +p13626 +tp13627 +a(g6 +V\u000a\u000a +p13628 +tp13629 +a(g138 +V! +tp13630 +a(g133 +VObject +p13631 +tp13632 +a(g138 +V methodsFor: +p13633 +tp13634 +a(g236 +V'error handling' +p13635 +tp13636 +a(g138 +V stamp: 'tfei 4/12/1999 12:59'! +p13637 +tp13638 +a(g6 +V\u000a +tp13639 +a(g48 +Vhalt: +p13640 +tp13641 +a(g6 +V +tp13642 +a(g100 +VaString +p13643 +tp13644 +a(g6 +V \u000a +p13645 +tp13646 +a(g24 +V"This is the typical message to use for inserting breakpoints during \u000a debugging. It creates and schedules a Notifier with the argument, \u000a aString, as the label." +p13647 +tp13648 +a(g6 +V\u000a \u000a +p13649 +tp13650 +a(g133 +VHalt +p13651 +tp13652 +a(g6 +V +tp13653 +a(g84 +Vnew +p13654 +tp13655 +a(g6 +V +tp13656 +a(g48 +Vsignal: +p13657 +tp13658 +a(g6 +V +tp13659 +a(g100 +VaString +p13660 +tp13661 +a(g138 +V! ! +p13662 +tp13663 +a(g6 +V\u000a\u000a +p13664 +tp13665 +a(g138 +V! +tp13666 +a(g133 +VObject +p13667 +tp13668 +a(g138 +V methodsFor: +p13669 +tp13670 +a(g236 +V'error handling' +p13671 +tp13672 +a(g138 +V stamp: 'md 1/20/2006 16:24'! +p13673 +tp13674 +a(g6 +V\u000a +tp13675 +a(g48 +Vhandles: +p13676 +tp13677 +a(g6 +V +tp13678 +a(g100 +Vexception +p13679 +tp13680 +a(g6 +V\u000a +p13681 +tp13682 +a(g24 +V"This method exists in case a non exception class is the first arg in an on:do: (for instance using a exception class that is not loaded). We prefer this to raising an error during error handling itself. Also, semantically it makes sense that the exception handler is not active if its exception class is not loaded" +p13683 +tp13684 +a(g6 +V\u000a\u000a +p13685 +tp13686 +a(g353 +V^ +tp13687 +a(g6 +V +tp13688 +a(g87 +Vfalse +p13689 +tp13690 +a(g138 +V! ! +p13691 +tp13692 +a(g6 +V\u000a\u000a +p13693 +tp13694 +a(g138 +V! +tp13695 +a(g133 +VObject +p13696 +tp13697 +a(g138 +V methodsFor: +p13698 +tp13699 +a(g236 +V'error handling' +p13700 +tp13701 +a(g138 +V stamp: 'ar 9/27/2005 20:24'! +p13702 +tp13703 +a(g6 +V\u000a +tp13704 +a(g48 +VnotifyWithLabel: +p13705 +tp13706 +a(g6 +V +tp13707 +a(g100 +VaString +p13708 +tp13709 +a(g6 +V \u000a +p13710 +tp13711 +a(g24 +V"Create and schedule a Notifier with aString as the window label as well as the contents of the window, in order to request confirmation before a process can proceed." +p13712 +tp13713 +a(g6 +V\u000a\u000a +p13714 +tp13715 +a(g133 +VToolSet +p13716 +tp13717 +a(g6 +V\u000a +p13718 +tp13719 +a(g48 +VdebugContext: +p13720 +tp13721 +a(g6 +V +tp13722 +a(g87 +VthisContext +p13723 +tp13724 +a(g6 +V\u000a +p13725 +tp13726 +a(g48 +Vlabel: +p13727 +tp13728 +a(g6 +V +tp13729 +a(g100 +VaString +p13730 +tp13731 +a(g6 +V\u000a +p13732 +tp13733 +a(g48 +Vcontents: +p13734 +tp13735 +a(g6 +V +tp13736 +a(g100 +VaString +p13737 +tp13738 +a(g6 +V\u000a\u000a +p13739 +tp13740 +a(g24 +V"nil notifyWithLabel: 'let us see if this works'" +p13741 +tp13742 +a(g138 +V! ! +p13743 +tp13744 +a(g6 +V\u000a\u000a +p13745 +tp13746 +a(g138 +V! +tp13747 +a(g133 +VObject +p13748 +tp13749 +a(g138 +V methodsFor: +p13750 +tp13751 +a(g236 +V'error handling' +p13752 +tp13753 +a(g138 +V stamp: 'hg 10/2/2001 20:49'! +p13754 +tp13755 +a(g6 +V\u000a +tp13756 +a(g48 +Vnotify: +p13757 +tp13758 +a(g6 +V +tp13759 +a(g100 +VaString +p13760 +tp13761 +a(g6 +V \u000a +p13762 +tp13763 +a(g24 +V"Create and schedule a Notifier with the argument as the message in \u000a order to request confirmation before a process can proceed." +p13764 +tp13765 +a(g6 +V\u000a\u000a +p13766 +tp13767 +a(g133 +VWarning +p13768 +tp13769 +a(g6 +V +tp13770 +a(g48 +Vsignal: +p13771 +tp13772 +a(g6 +V +tp13773 +a(g100 +VaString +p13774 +tp13775 +a(g6 +V\u000a\u000a +p13776 +tp13777 +a(g24 +V"nil notify: 'confirmation message'" +p13778 +tp13779 +a(g138 +V! ! +p13780 +tp13781 +a(g6 +V\u000a\u000a +p13782 +tp13783 +a(g138 +V! +tp13784 +a(g133 +VObject +p13785 +tp13786 +a(g138 +V methodsFor: +p13787 +tp13788 +a(g236 +V'error handling' +p13789 +tp13790 +a(g138 +V! +tp13791 +a(g6 +V\u000a +tp13792 +a(g48 +Vnotify: +p13793 +tp13794 +a(g6 +V +tp13795 +a(g100 +VaString +p13796 +tp13797 +a(g6 +V +tp13798 +a(g48 +Vat: +p13799 +tp13800 +a(g6 +V +tp13801 +a(g100 +Vlocation +p13802 +tp13803 +a(g6 +V\u000a +p13804 +tp13805 +a(g24 +V"Create and schedule a Notifier with the argument as the message in \u000a order to request confirmation before a process can proceed. Subclasses can\u000a override this and insert an error message at location within aString." +p13806 +tp13807 +a(g6 +V\u000a\u000a +p13808 +tp13809 +a(g87 +Vself +p13810 +tp13811 +a(g6 +V +tp13812 +a(g48 +Vnotify: +p13813 +tp13814 +a(g6 +V +tp13815 +a(g100 +VaString +p13816 +tp13817 +a(g6 +V\u000a\u000a +p13818 +tp13819 +a(g24 +V"nil notify: 'confirmation message' at: 12" +p13820 +tp13821 +a(g138 +V! ! +p13822 +tp13823 +a(g6 +V\u000a\u000a +p13824 +tp13825 +a(g138 +V! +tp13826 +a(g133 +VObject +p13827 +tp13828 +a(g138 +V methodsFor: +p13829 +tp13830 +a(g236 +V'error handling' +p13831 +tp13832 +a(g138 +V! +tp13833 +a(g6 +V\u000a +tp13834 +a(g48 +VprimitiveFailed +p13835 +tp13836 +a(g6 +V\u000a +p13837 +tp13838 +a(g24 +V"Announce that a primitive has failed and there is no appropriate \u000a Smalltalk code to run." +p13839 +tp13840 +a(g6 +V\u000a\u000a +p13841 +tp13842 +a(g87 +Vself +p13843 +tp13844 +a(g6 +V +tp13845 +a(g48 +Verror: +p13846 +tp13847 +a(g6 +V +tp13848 +a(g236 +V'a primitive has failed' +p13849 +tp13850 +a(g138 +V! ! +p13851 +tp13852 +a(g6 +V\u000a\u000a +p13853 +tp13854 +a(g138 +V! +tp13855 +a(g133 +VObject +p13856 +tp13857 +a(g138 +V methodsFor: +p13858 +tp13859 +a(g236 +V'error handling' +p13860 +tp13861 +a(g138 +V stamp: 'al 9/16/2005 14:12'! +p13862 +tp13863 +a(g6 +V\u000a +tp13864 +a(g48 +Vrequirement +p13865 +tp13866 +a(g6 +V\u000a +p13867 +tp13868 +a(g87 +Vself +p13869 +tp13870 +a(g6 +V +tp13871 +a(g48 +Verror: +p13872 +tp13873 +a(g6 +V +tp13874 +a(g236 +V'Implicitly required method' +p13875 +tp13876 +a(g138 +V! ! +p13877 +tp13878 +a(g6 +V\u000a\u000a +p13879 +tp13880 +a(g138 +V! +tp13881 +a(g133 +VObject +p13882 +tp13883 +a(g138 +V methodsFor: +p13884 +tp13885 +a(g236 +V'error handling' +p13886 +tp13887 +a(g138 +V stamp: 'AFi 2/8/2003 22:52'! +p13888 +tp13889 +a(g6 +V\u000a +tp13890 +a(g48 +VshouldBeImplemented +p13891 +tp13892 +a(g6 +V\u000a +p13893 +tp13894 +a(g24 +V"Announce that this message should be implemented" +p13895 +tp13896 +a(g6 +V\u000a\u000a +p13897 +tp13898 +a(g87 +Vself +p13899 +tp13900 +a(g6 +V +tp13901 +a(g48 +Verror: +p13902 +tp13903 +a(g6 +V +tp13904 +a(g236 +V'This message should be implemented' +p13905 +tp13906 +a(g138 +V! ! +p13907 +tp13908 +a(g6 +V\u000a\u000a +p13909 +tp13910 +a(g138 +V! +tp13911 +a(g133 +VObject +p13912 +tp13913 +a(g138 +V methodsFor: +p13914 +tp13915 +a(g236 +V'error handling' +p13916 +tp13917 +a(g138 +V! +tp13918 +a(g6 +V\u000a +tp13919 +a(g48 +VshouldNotImplement +p13920 +tp13921 +a(g6 +V\u000a +p13922 +tp13923 +a(g24 +V"Announce that, although the receiver inherits this message, it should \u000a not implement it." +p13924 +tp13925 +a(g6 +V\u000a\u000a +p13926 +tp13927 +a(g87 +Vself +p13928 +tp13929 +a(g6 +V +tp13930 +a(g48 +Verror: +p13931 +tp13932 +a(g6 +V +tp13933 +a(g236 +V'This message is not appropriate for this object' +p13934 +tp13935 +a(g138 +V! ! +p13936 +tp13937 +a(g6 +V\u000a\u000a +p13938 +tp13939 +a(g138 +V! +tp13940 +a(g133 +VObject +p13941 +tp13942 +a(g138 +V methodsFor: +p13943 +tp13944 +a(g236 +V'error handling' +p13945 +tp13946 +a(g138 +V stamp: 'md 2/17/2006 12:02'! +p13947 +tp13948 +a(g6 +V\u000a +tp13949 +a(g48 +VsubclassResponsibility +p13950 +tp13951 +a(g6 +V\u000a +p13952 +tp13953 +a(g24 +V"This message sets up a framework for the behavior of the class' subclasses.\u000a Announce that the subclass should have implemented this message." +p13954 +tp13955 +a(g6 +V\u000a\u000a +p13956 +tp13957 +a(g87 +Vself +p13958 +tp13959 +a(g6 +V +tp13960 +a(g48 +Verror: +p13961 +tp13962 +a(g6 +V +tp13963 +a(g236 +V'My subclass should have overridden ' +p13964 +tp13965 +a(g48 +V, +tp13966 +a(g6 +V +tp13967 +a(g87 +VthisContext +p13968 +tp13969 +a(g6 +V +tp13970 +a(g48 +Vsender +p13971 +tp13972 +a(g6 +V +tp13973 +a(g48 +Vselector +p13974 +tp13975 +a(g6 +V +tp13976 +a(g48 +VprintString +p13977 +tp13978 +a(g138 +V! ! +p13979 +tp13980 +a(g6 +V\u000a\u000a +p13981 +tp13982 +a(g138 +V! +tp13983 +a(g133 +VObject +p13984 +tp13985 +a(g138 +V methodsFor: +p13986 +tp13987 +a(g236 +V'error handling' +p13988 +tp13989 +a(g138 +V stamp: 'al 12/16/2003 16:16'! +p13990 +tp13991 +a(g6 +V\u000a +tp13992 +a(g48 +VtraitConflict +p13993 +tp13994 +a(g6 +V\u000a +p13995 +tp13996 +a(g87 +Vself +p13997 +tp13998 +a(g6 +V +tp13999 +a(g48 +Verror: +p14000 +tp14001 +a(g6 +V +tp14002 +a(g236 +V'A class or trait does not properly resolve a conflict between multiple traits it uses.' +p14003 +tp14004 +a(g138 +V! ! +p14005 +tp14006 +a(g6 +V\u000a\u000a\u000a +p14007 +tp14008 +a(g138 +V! +tp14009 +a(g133 +VObject +p14010 +tp14011 +a(g138 +V methodsFor: +p14012 +tp14013 +a(g236 +V'evaluating' +p14014 +tp14015 +a(g138 +V stamp: 'reThink 3/12/2001 18:14'! +p14016 +tp14017 +a(g6 +V\u000a +tp14018 +a(g48 +Vvalue +p14019 +tp14020 +a(g6 +V\u000a +tp14021 +a(g6 +V\u000a +p14022 +tp14023 +a(g353 +V^ +tp14024 +a(g87 +Vself +p14025 +tp14026 +a(g138 +V! ! +p14027 +tp14028 +a(g6 +V\u000a\u000a +p14029 +tp14030 +a(g138 +V! +tp14031 +a(g133 +VObject +p14032 +tp14033 +a(g138 +V methodsFor: +p14034 +tp14035 +a(g236 +V'evaluating' +p14036 +tp14037 +a(g138 +V stamp: 'reThink 2/18/2001 15:23'! +p14038 +tp14039 +a(g6 +V\u000a +tp14040 +a(g48 +VvalueWithArguments: +p14041 +tp14042 +a(g6 +V +tp14043 +a(g100 +VaSequenceOfArguments +p14044 +tp14045 +a(g6 +V\u000a\u000a +p14046 +tp14047 +a(g353 +V^ +tp14048 +a(g87 +Vself +p14049 +tp14050 +a(g138 +V! ! +p14051 +tp14052 +a(g6 +V\u000a\u000a\u000a +p14053 +tp14054 +a(g138 +V! +tp14055 +a(g133 +VObject +p14056 +tp14057 +a(g138 +V methodsFor: +p14058 +tp14059 +a(g236 +V'events' +p14060 +tp14061 +a(g138 +V stamp: 'nk 8/27/2003 16:23'! +p14062 +tp14063 +a(g6 +V\u000a +tp14064 +a(g48 +VactionsWithReceiver: +p14065 +tp14066 +a(g6 +V +tp14067 +a(g100 +VanObject +p14068 +tp14069 +a(g6 +V +tp14070 +a(g48 +VforEvent: +p14071 +tp14072 +a(g6 +V +tp14073 +a(g100 +VanEventSelector +p14074 +tp14075 +a(g6 +V\u000a\u000a +p14076 +tp14077 +a(g353 +V^ +tp14078 +a(g6 +V( +tp14079 +a(g87 +Vself +p14080 +tp14081 +a(g6 +V +tp14082 +a(g48 +VactionSequenceForEvent: +p14083 +tp14084 +a(g6 +V +tp14085 +a(g100 +VanEventSelector +p14086 +tp14087 +a(g6 +V) +tp14088 +a(g6 +V\u000a +p14089 +tp14090 +a(g48 +Vselect: +p14091 +tp14092 +a(g6 +V +tp14093 +a(g6 +V[ +tp14094 +a(g353 +V: +tp14095 +a(g100 +VanAction +p14096 +tp14097 +a(g6 +V +tp14098 +a(g353 +V| +tp14099 +a(g6 +V +tp14100 +a(g100 +VanAction +p14101 +tp14102 +a(g6 +V +tp14103 +a(g48 +Vreceiver +p14104 +tp14105 +a(g6 +V +tp14106 +a(g48 +V== +p14107 +tp14108 +a(g6 +V +tp14109 +a(g100 +VanObject +p14110 +tp14111 +a(g6 +V +tp14112 +a(g6 +V] +tp14113 +a(g138 +V! ! +p14114 +tp14115 +a(g6 +V\u000a\u000a +p14116 +tp14117 +a(g138 +V! +tp14118 +a(g133 +VObject +p14119 +tp14120 +a(g138 +V methodsFor: +p14121 +tp14122 +a(g236 +V'events' +p14123 +tp14124 +a(g138 +V stamp: 'nk 8/27/2003 17:45'! +p14125 +tp14126 +a(g6 +V\u000a +tp14127 +a(g48 +VrenameActionsWithReceiver: +p14128 +tp14129 +a(g6 +V +tp14130 +a(g100 +VanObject +p14131 +tp14132 +a(g6 +V +tp14133 +a(g48 +VforEvent: +p14134 +tp14135 +a(g6 +V +tp14136 +a(g100 +VanEventSelector +p14137 +tp14138 +a(g6 +V +tp14139 +a(g48 +VtoEvent: +p14140 +tp14141 +a(g6 +V +tp14142 +a(g100 +VnewEvent +p14143 +tp14144 +a(g6 +V\u000a\u000a +p14145 +tp14146 +a(g353 +V| +tp14147 +a(g100 +V oldActions newActions +p14148 +tp14149 +a(g353 +V| +tp14150 +a(g6 +V\u000a +p14151 +tp14152 +a(g100 +VoldActions +p14153 +tp14154 +a(g6 +V +tp14155 +a(g353 +V_ +tp14156 +a(g6 +V +tp14157 +a(g133 +VSet +p14158 +tp14159 +a(g6 +V +tp14160 +a(g84 +Vnew +p14161 +tp14162 +a(g212 +V. +tp14163 +a(g6 +V\u000a +p14164 +tp14165 +a(g100 +VnewActions +p14166 +tp14167 +a(g6 +V +tp14168 +a(g353 +V_ +tp14169 +a(g6 +V +tp14170 +a(g133 +VSet +p14171 +tp14172 +a(g6 +V +tp14173 +a(g84 +Vnew +p14174 +tp14175 +a(g212 +V. +tp14176 +a(g6 +V\u000a +p14177 +tp14178 +a(g6 +V( +tp14179 +a(g87 +Vself +p14180 +tp14181 +a(g6 +V +tp14182 +a(g48 +VactionSequenceForEvent: +p14183 +tp14184 +a(g6 +V +tp14185 +a(g100 +VanEventSelector +p14186 +tp14187 +a(g6 +V) +tp14188 +a(g6 +V +tp14189 +a(g48 +Vdo: +p14190 +tp14191 +a(g6 +V +tp14192 +a(g6 +V[ +tp14193 +a(g6 +V +tp14194 +a(g353 +V: +tp14195 +a(g100 +Vaction +p14196 +tp14197 +a(g6 +V +tp14198 +a(g353 +V| +tp14199 +a(g6 +V\u000a +p14200 +tp14201 +a(g100 +Vaction +p14202 +tp14203 +a(g6 +V +tp14204 +a(g48 +Vreceiver +p14205 +tp14206 +a(g6 +V +tp14207 +a(g48 +V== +p14208 +tp14209 +a(g6 +V +tp14210 +a(g100 +VanObject +p14211 +tp14212 +a(g6 +V\u000a +p14213 +tp14214 +a(g84 +VifTrue: +p14215 +tp14216 +a(g6 +V +tp14217 +a(g6 +V[ +tp14218 +a(g6 +V +tp14219 +a(g6 +V +tp14220 +a(g100 +VoldActions +p14221 +tp14222 +a(g6 +V +tp14223 +a(g48 +Vadd: +p14224 +tp14225 +a(g6 +V +tp14226 +a(g100 +VanObject +p14227 +tp14228 +a(g6 +V +tp14229 +a(g6 +V] +tp14230 +a(g6 +V\u000a +p14231 +tp14232 +a(g84 +VifFalse: +p14233 +tp14234 +a(g6 +V +tp14235 +a(g6 +V[ +tp14236 +a(g6 +V +tp14237 +a(g6 +V +tp14238 +a(g100 +VnewActions +p14239 +tp14240 +a(g6 +V +tp14241 +a(g48 +Vadd: +p14242 +tp14243 +a(g6 +V +tp14244 +a(g100 +VanObject +p14245 +tp14246 +a(g6 +V +tp14247 +a(g6 +V] +tp14248 +a(g6 +V] +tp14249 +a(g212 +V. +tp14250 +a(g6 +V\u000a +p14251 +tp14252 +a(g87 +Vself +p14253 +tp14254 +a(g6 +V +tp14255 +a(g48 +VsetActionSequence: +p14256 +tp14257 +a(g6 +V +tp14258 +a(g6 +V( +tp14259 +a(g133 +VActionSequence +p14260 +tp14261 +a(g6 +V +tp14262 +a(g48 +VwithAll: +p14263 +tp14264 +a(g6 +V +tp14265 +a(g100 +VnewActions +p14266 +tp14267 +a(g6 +V) +tp14268 +a(g6 +V +tp14269 +a(g48 +VforEvent: +p14270 +tp14271 +a(g6 +V +tp14272 +a(g100 +VanEventSelector +p14273 +tp14274 +a(g212 +V. +tp14275 +a(g6 +V\u000a +p14276 +tp14277 +a(g100 +VoldActions +p14278 +tp14279 +a(g6 +V +tp14280 +a(g48 +Vdo: +p14281 +tp14282 +a(g6 +V +tp14283 +a(g6 +V[ +tp14284 +a(g6 +V +tp14285 +a(g353 +V: +tp14286 +a(g100 +Vact +p14287 +tp14288 +a(g6 +V +tp14289 +a(g353 +V| +tp14290 +a(g6 +V +tp14291 +a(g87 +Vself +p14292 +tp14293 +a(g6 +V +tp14294 +a(g48 +Vwhen: +p14295 +tp14296 +a(g6 +V +tp14297 +a(g100 +VnewEvent +p14298 +tp14299 +a(g6 +V +tp14300 +a(g48 +Vevaluate: +p14301 +tp14302 +a(g6 +V +tp14303 +a(g100 +Vact +p14304 +tp14305 +a(g6 +V +tp14306 +a(g6 +V] +tp14307 +a(g212 +V. +tp14308 +a(g138 +V! ! +p14309 +tp14310 +a(g6 +V\u000a\u000a\u000a +p14311 +tp14312 +a(g138 +V! +tp14313 +a(g133 +VObject +p14314 +tp14315 +a(g138 +V methodsFor: +p14316 +tp14317 +a(g236 +V'events-accessing' +p14318 +tp14319 +a(g138 +V stamp: 'nk 12/20/2002 17:48'! +p14320 +tp14321 +a(g6 +V\u000a +tp14322 +a(g48 +VactionForEvent: +p14323 +tp14324 +a(g6 +V +tp14325 +a(g100 +VanEventSelector +p14326 +tp14327 +a(g6 +V\u000a +p14328 +tp14329 +a(g24 +V"Answer the action to be evaluated when has been triggered." +p14330 +tp14331 +a(g6 +V\u000a\u000a +p14332 +tp14333 +a(g353 +V| +tp14334 +a(g100 +V actions +p14335 +tp14336 +a(g353 +V| +tp14337 +a(g6 +V\u000a +p14338 +tp14339 +a(g100 +Vactions +p14340 +tp14341 +a(g6 +V +tp14342 +a(g353 +V:= +p14343 +tp14344 +a(g6 +V +tp14345 +a(g87 +Vself +p14346 +tp14347 +a(g6 +V +tp14348 +a(g48 +VactionMap +p14349 +tp14350 +a(g6 +V\u000a +p14351 +tp14352 +a(g48 +Vat: +p14353 +tp14354 +a(g6 +V +tp14355 +a(g100 +VanEventSelector +p14356 +tp14357 +a(g6 +V +tp14358 +a(g48 +VasSymbol +p14359 +tp14360 +a(g6 +V\u000a +p14361 +tp14362 +a(g48 +VifAbsent: +p14363 +tp14364 +a(g6 +V +tp14365 +a(g6 +V[ +tp14366 +a(g6 +V +tp14367 +a(g87 +Vnil +p14368 +tp14369 +a(g6 +V] +tp14370 +a(g212 +V. +tp14371 +a(g6 +V\u000a +p14372 +tp14373 +a(g100 +Vactions +p14374 +tp14375 +a(g6 +V +tp14376 +a(g48 +VifNil: +p14377 +tp14378 +a(g6 +V +tp14379 +a(g6 +V[ +tp14380 +a(g6 +V +tp14381 +a(g353 +V^ +tp14382 +a(g87 +Vnil +p14383 +tp14384 +a(g6 +V] +tp14385 +a(g212 +V. +tp14386 +a(g6 +V\u000a +p14387 +tp14388 +a(g353 +V^ +tp14389 +a(g6 +V +tp14390 +a(g100 +Vactions +p14391 +tp14392 +a(g6 +V +tp14393 +a(g48 +VasMinimalRepresentation +p14394 +tp14395 +a(g138 +V! ! +p14396 +tp14397 +a(g6 +V\u000a\u000a +p14398 +tp14399 +a(g138 +V! +tp14400 +a(g133 +VObject +p14401 +tp14402 +a(g138 +V methodsFor: +p14403 +tp14404 +a(g236 +V'events-accessing' +p14405 +tp14406 +a(g138 +V stamp: 'nk 12/20/2002 17:48'! +p14407 +tp14408 +a(g6 +V\u000a +tp14409 +a(g48 +VactionForEvent: +p14410 +tp14411 +a(g6 +V +tp14412 +a(g100 +VanEventSelector +p14413 +tp14414 +a(g6 +V\u000a +tp14415 +a(g48 +VifAbsent: +p14416 +tp14417 +a(g6 +V +tp14418 +a(g100 +VanExceptionBlock +p14419 +tp14420 +a(g6 +V\u000a +p14421 +tp14422 +a(g24 +V"Answer the action to be evaluated when has been triggered." +p14423 +tp14424 +a(g6 +V\u000a\u000a +p14425 +tp14426 +a(g353 +V| +tp14427 +a(g100 +V actions +p14428 +tp14429 +a(g353 +V| +tp14430 +a(g6 +V\u000a +p14431 +tp14432 +a(g100 +Vactions +p14433 +tp14434 +a(g6 +V +tp14435 +a(g353 +V:= +p14436 +tp14437 +a(g6 +V +tp14438 +a(g87 +Vself +p14439 +tp14440 +a(g6 +V +tp14441 +a(g48 +VactionMap +p14442 +tp14443 +a(g6 +V\u000a +p14444 +tp14445 +a(g48 +Vat: +p14446 +tp14447 +a(g6 +V +tp14448 +a(g100 +VanEventSelector +p14449 +tp14450 +a(g6 +V +tp14451 +a(g48 +VasSymbol +p14452 +tp14453 +a(g6 +V\u000a +p14454 +tp14455 +a(g48 +VifAbsent: +p14456 +tp14457 +a(g6 +V +tp14458 +a(g6 +V[ +tp14459 +a(g6 +V +tp14460 +a(g87 +Vnil +p14461 +tp14462 +a(g6 +V] +tp14463 +a(g212 +V. +tp14464 +a(g6 +V\u000a +p14465 +tp14466 +a(g100 +Vactions +p14467 +tp14468 +a(g6 +V +tp14469 +a(g48 +VifNil: +p14470 +tp14471 +a(g6 +V +tp14472 +a(g6 +V[ +tp14473 +a(g6 +V +tp14474 +a(g353 +V^ +tp14475 +a(g100 +VanExceptionBlock +p14476 +tp14477 +a(g6 +V +tp14478 +a(g48 +Vvalue +p14479 +tp14480 +a(g6 +V] +tp14481 +a(g212 +V. +tp14482 +a(g6 +V\u000a +p14483 +tp14484 +a(g353 +V^ +tp14485 +a(g6 +V +tp14486 +a(g100 +Vactions +p14487 +tp14488 +a(g6 +V +tp14489 +a(g48 +VasMinimalRepresentation +p14490 +tp14491 +a(g138 +V! ! +p14492 +tp14493 +a(g6 +V\u000a\u000a +p14494 +tp14495 +a(g138 +V! +tp14496 +a(g133 +VObject +p14497 +tp14498 +a(g138 +V methodsFor: +p14499 +tp14500 +a(g236 +V'events-accessing' +p14501 +tp14502 +a(g138 +V stamp: 'reThink 2/18/2001 14:43'! +p14503 +tp14504 +a(g6 +V\u000a +tp14505 +a(g48 +VactionMap +p14506 +tp14507 +a(g6 +V\u000a +tp14508 +a(g6 +V\u000a +p14509 +tp14510 +a(g353 +V^ +tp14511 +a(g133 +VEventManager +p14512 +tp14513 +a(g6 +V +tp14514 +a(g48 +VactionMapFor: +p14515 +tp14516 +a(g6 +V +tp14517 +a(g87 +Vself +p14518 +tp14519 +a(g138 +V! ! +p14520 +tp14521 +a(g6 +V\u000a\u000a +p14522 +tp14523 +a(g138 +V! +tp14524 +a(g133 +VObject +p14525 +tp14526 +a(g138 +V methodsFor: +p14527 +tp14528 +a(g236 +V'events-accessing' +p14529 +tp14530 +a(g138 +V stamp: 'rw 4/27/2002 08:35'! +p14531 +tp14532 +a(g6 +V\u000a +tp14533 +a(g48 +VactionSequenceForEvent: +p14534 +tp14535 +a(g6 +V +tp14536 +a(g100 +VanEventSelector +p14537 +tp14538 +a(g6 +V\u000a\u000a +p14539 +tp14540 +a(g353 +V^ +tp14541 +a(g6 +V( +tp14542 +a(g87 +Vself +p14543 +tp14544 +a(g6 +V +tp14545 +a(g48 +VactionMap +p14546 +tp14547 +a(g6 +V\u000a +p14548 +tp14549 +a(g48 +Vat: +p14550 +tp14551 +a(g6 +V +tp14552 +a(g100 +VanEventSelector +p14553 +tp14554 +a(g6 +V +tp14555 +a(g48 +VasSymbol +p14556 +tp14557 +a(g6 +V\u000a +p14558 +tp14559 +a(g48 +VifAbsent: +p14560 +tp14561 +a(g6 +V +tp14562 +a(g6 +V[ +tp14563 +a(g6 +V +tp14564 +a(g353 +V^ +tp14565 +a(g133 +VWeakActionSequence +p14566 +tp14567 +a(g6 +V +tp14568 +a(g84 +Vnew +p14569 +tp14570 +a(g6 +V] +tp14571 +a(g6 +V) +tp14572 +a(g6 +V\u000a +p14573 +tp14574 +a(g48 +VasActionSequence +p14575 +tp14576 +a(g138 +V! ! +p14577 +tp14578 +a(g6 +V\u000a\u000a +p14579 +tp14580 +a(g138 +V! +tp14581 +a(g133 +VObject +p14582 +tp14583 +a(g138 +V methodsFor: +p14584 +tp14585 +a(g236 +V'events-accessing' +p14586 +tp14587 +a(g138 +V stamp: 'SqR 6/28/2001 13:19'! +p14588 +tp14589 +a(g6 +V\u000a +tp14590 +a(g48 +VactionsDo: +p14591 +tp14592 +a(g6 +V +tp14593 +a(g100 +VaBlock +p14594 +tp14595 +a(g6 +V\u000a\u000a +p14596 +tp14597 +a(g87 +Vself +p14598 +tp14599 +a(g6 +V +tp14600 +a(g48 +VactionMap +p14601 +tp14602 +a(g6 +V +tp14603 +a(g48 +Vdo: +p14604 +tp14605 +a(g6 +V +tp14606 +a(g100 +VaBlock +p14607 +tp14608 +a(g138 +V! ! +p14609 +tp14610 +a(g6 +V\u000a\u000a +p14611 +tp14612 +a(g138 +V! +tp14613 +a(g133 +VObject +p14614 +tp14615 +a(g138 +V methodsFor: +p14616 +tp14617 +a(g236 +V'events-accessing' +p14618 +tp14619 +a(g138 +V stamp: 'rw 2/10/2002 13:05'! +p14620 +tp14621 +a(g6 +V\u000a +tp14622 +a(g48 +VcreateActionMap +p14623 +tp14624 +a(g6 +V\u000a +tp14625 +a(g6 +V\u000a +p14626 +tp14627 +a(g353 +V^ +tp14628 +a(g133 +VIdentityDictionary +p14629 +tp14630 +a(g6 +V +tp14631 +a(g84 +Vnew +p14632 +tp14633 +a(g138 +V! ! +p14634 +tp14635 +a(g6 +V\u000a\u000a +p14636 +tp14637 +a(g138 +V! +tp14638 +a(g133 +VObject +p14639 +tp14640 +a(g138 +V methodsFor: +p14641 +tp14642 +a(g236 +V'events-accessing' +p14643 +tp14644 +a(g138 +V stamp: 'SqR 2/19/2001 14:04'! +p14645 +tp14646 +a(g6 +V\u000a +tp14647 +a(g48 +VhasActionForEvent: +p14648 +tp14649 +a(g6 +V +tp14650 +a(g100 +VanEventSelector +p14651 +tp14652 +a(g6 +V\u000a +p14653 +tp14654 +a(g24 +V"Answer true if there is an action associated with anEventSelector" +p14655 +tp14656 +a(g6 +V\u000a\u000a +p14657 +tp14658 +a(g353 +V^ +tp14659 +a(g6 +V( +tp14660 +a(g87 +Vself +p14661 +tp14662 +a(g6 +V +tp14663 +a(g48 +VactionForEvent: +p14664 +tp14665 +a(g6 +V +tp14666 +a(g100 +VanEventSelector +p14667 +tp14668 +a(g6 +V) +tp14669 +a(g6 +V +tp14670 +a(g48 +VnotNil +p14671 +tp14672 +a(g138 +V! ! +p14673 +tp14674 +a(g6 +V\u000a\u000a +p14675 +tp14676 +a(g138 +V! +tp14677 +a(g133 +VObject +p14678 +tp14679 +a(g138 +V methodsFor: +p14680 +tp14681 +a(g236 +V'events-accessing' +p14682 +tp14683 +a(g138 +V stamp: 'reThink 2/18/2001 15:29'! +p14684 +tp14685 +a(g6 +V\u000a +tp14686 +a(g48 +VsetActionSequence: +p14687 +tp14688 +a(g6 +V +tp14689 +a(g100 +VactionSequence +p14690 +tp14691 +a(g6 +V\u000a +tp14692 +a(g48 +VforEvent: +p14693 +tp14694 +a(g6 +V +tp14695 +a(g100 +VanEventSelector +p14696 +tp14697 +a(g6 +V\u000a\u000a +p14698 +tp14699 +a(g353 +V| +tp14700 +a(g100 +V action +p14701 +tp14702 +a(g353 +V| +tp14703 +a(g6 +V\u000a +p14704 +tp14705 +a(g100 +Vaction +p14706 +tp14707 +a(g6 +V +tp14708 +a(g353 +V:= +p14709 +tp14710 +a(g6 +V +tp14711 +a(g100 +VactionSequence +p14712 +tp14713 +a(g6 +V +tp14714 +a(g48 +VasMinimalRepresentation +p14715 +tp14716 +a(g212 +V. +tp14717 +a(g6 +V\u000a +p14718 +tp14719 +a(g100 +Vaction +p14720 +tp14721 +a(g6 +V +tp14722 +a(g48 +V== +p14723 +tp14724 +a(g6 +V +tp14725 +a(g87 +Vnil +p14726 +tp14727 +a(g6 +V\u000a +p14728 +tp14729 +a(g84 +VifTrue: +p14730 +tp14731 +a(g6 +V\u000a +p14732 +tp14733 +a(g6 +V[ +tp14734 +a(g6 +V +tp14735 +a(g87 +Vself +p14736 +tp14737 +a(g6 +V +tp14738 +a(g48 +VremoveActionsForEvent: +p14739 +tp14740 +a(g6 +V +tp14741 +a(g100 +VanEventSelector +p14742 +tp14743 +a(g6 +V] +tp14744 +a(g6 +V\u000a +p14745 +tp14746 +a(g84 +VifFalse: +p14747 +tp14748 +a(g6 +V\u000a +p14749 +tp14750 +a(g6 +V[ +tp14751 +a(g6 +V +tp14752 +a(g87 +Vself +p14753 +tp14754 +a(g6 +V +tp14755 +a(g48 +VupdateableActionMap +p14756 +tp14757 +a(g6 +V\u000a +p14758 +tp14759 +a(g48 +Vat: +p14760 +tp14761 +a(g6 +V +tp14762 +a(g100 +VanEventSelector +p14763 +tp14764 +a(g6 +V +tp14765 +a(g48 +VasSymbol +p14766 +tp14767 +a(g6 +V\u000a +p14768 +tp14769 +a(g48 +Vput: +p14770 +tp14771 +a(g6 +V +tp14772 +a(g100 +Vaction +p14773 +tp14774 +a(g6 +V] +tp14775 +a(g138 +V! ! +p14776 +tp14777 +a(g6 +V\u000a\u000a +p14778 +tp14779 +a(g138 +V! +tp14780 +a(g133 +VObject +p14781 +tp14782 +a(g138 +V methodsFor: +p14783 +tp14784 +a(g236 +V'events-accessing' +p14785 +tp14786 +a(g138 +V stamp: 'reThink 2/25/2001 08:50'! +p14787 +tp14788 +a(g6 +V\u000a +tp14789 +a(g48 +VupdateableActionMap +p14790 +tp14791 +a(g6 +V\u000a +tp14792 +a(g6 +V\u000a +p14793 +tp14794 +a(g353 +V^ +tp14795 +a(g133 +VEventManager +p14796 +tp14797 +a(g6 +V +tp14798 +a(g48 +VupdateableActionMapFor: +p14799 +tp14800 +a(g6 +V +tp14801 +a(g87 +Vself +p14802 +tp14803 +a(g138 +V! ! +p14804 +tp14805 +a(g6 +V\u000a\u000a\u000a +p14806 +tp14807 +a(g138 +V! +tp14808 +a(g133 +VObject +p14809 +tp14810 +a(g138 +V methodsFor: +p14811 +tp14812 +a(g236 +V'events-registering' +p14813 +tp14814 +a(g138 +V stamp: 'reThink 2/18/2001 15:04'! +p14815 +tp14816 +a(g6 +V\u000a +tp14817 +a(g48 +Vwhen: +p14818 +tp14819 +a(g6 +V +tp14820 +a(g100 +VanEventSelector +p14821 +tp14822 +a(g6 +V +tp14823 +a(g48 +Vevaluate: +p14824 +tp14825 +a(g6 +V +tp14826 +a(g100 +VanAction +p14827 +tp14828 +a(g6 +V \u000a\u000a +p14829 +tp14830 +a(g353 +V| +tp14831 +a(g100 +V actions +p14832 +tp14833 +a(g353 +V| +tp14834 +a(g6 +V\u000a +p14835 +tp14836 +a(g100 +Vactions +p14837 +tp14838 +a(g6 +V +tp14839 +a(g353 +V:= +p14840 +tp14841 +a(g6 +V +tp14842 +a(g87 +Vself +p14843 +tp14844 +a(g6 +V +tp14845 +a(g48 +VactionSequenceForEvent: +p14846 +tp14847 +a(g6 +V +tp14848 +a(g100 +VanEventSelector +p14849 +tp14850 +a(g212 +V. +tp14851 +a(g6 +V\u000a +p14852 +tp14853 +a(g6 +V( +tp14854 +a(g100 +Vactions +p14855 +tp14856 +a(g6 +V +tp14857 +a(g48 +Vincludes: +p14858 +tp14859 +a(g6 +V +tp14860 +a(g100 +VanAction +p14861 +tp14862 +a(g6 +V) +tp14863 +a(g6 +V\u000a +p14864 +tp14865 +a(g84 +VifTrue: +p14866 +tp14867 +a(g6 +V +tp14868 +a(g6 +V[ +tp14869 +a(g6 +V +tp14870 +a(g353 +V^ +tp14871 +a(g6 +V +tp14872 +a(g87 +Vself +p14873 +tp14874 +a(g6 +V] +tp14875 +a(g212 +V. +tp14876 +a(g6 +V\u000a +p14877 +tp14878 +a(g87 +Vself +p14879 +tp14880 +a(g6 +V \u000a +p14881 +tp14882 +a(g48 +VsetActionSequence: +p14883 +tp14884 +a(g6 +V +tp14885 +a(g6 +V( +tp14886 +a(g100 +Vactions +p14887 +tp14888 +a(g6 +V +tp14889 +a(g48 +VcopyWith: +p14890 +tp14891 +a(g6 +V +tp14892 +a(g100 +VanAction +p14893 +tp14894 +a(g6 +V) +tp14895 +a(g6 +V\u000a +p14896 +tp14897 +a(g48 +VforEvent: +p14898 +tp14899 +a(g6 +V +tp14900 +a(g100 +VanEventSelector +p14901 +tp14902 +a(g138 +V! ! +p14903 +tp14904 +a(g6 +V\u000a\u000a +p14905 +tp14906 +a(g138 +V! +tp14907 +a(g133 +VObject +p14908 +tp14909 +a(g138 +V methodsFor: +p14910 +tp14911 +a(g236 +V'events-registering' +p14912 +tp14913 +a(g138 +V stamp: 'rww 12/30/2002 10:37'! +p14914 +tp14915 +a(g6 +V\u000a +tp14916 +a(g48 +Vwhen: +p14917 +tp14918 +a(g6 +V +tp14919 +a(g100 +VanEventSelector +p14920 +tp14921 +a(g6 +V\u000a +tp14922 +a(g48 +Vsend: +p14923 +tp14924 +a(g6 +V +tp14925 +a(g100 +VaMessageSelector +p14926 +tp14927 +a(g6 +V\u000a +tp14928 +a(g48 +Vto: +p14929 +tp14930 +a(g6 +V +tp14931 +a(g100 +VanObject +p14932 +tp14933 +a(g6 +V\u000a \u000a +p14934 +tp14935 +a(g87 +Vself +p14936 +tp14937 +a(g6 +V\u000a +p14938 +tp14939 +a(g48 +Vwhen: +p14940 +tp14941 +a(g6 +V +tp14942 +a(g100 +VanEventSelector +p14943 +tp14944 +a(g6 +V\u000a +p14945 +tp14946 +a(g48 +Vevaluate: +p14947 +tp14948 +a(g6 +V +tp14949 +a(g6 +V( +tp14950 +a(g133 +VWeakMessageSend +p14951 +tp14952 +a(g6 +V\u000a +p14953 +tp14954 +a(g48 +Vreceiver: +p14955 +tp14956 +a(g6 +V +tp14957 +a(g100 +VanObject +p14958 +tp14959 +a(g6 +V\u000a +p14960 +tp14961 +a(g48 +Vselector: +p14962 +tp14963 +a(g6 +V +tp14964 +a(g100 +VaMessageSelector +p14965 +tp14966 +a(g6 +V) +tp14967 +a(g138 +V! ! +p14968 +tp14969 +a(g6 +V\u000a\u000a +p14970 +tp14971 +a(g138 +V! +tp14972 +a(g133 +VObject +p14973 +tp14974 +a(g138 +V methodsFor: +p14975 +tp14976 +a(g236 +V'events-registering' +p14977 +tp14978 +a(g138 +V stamp: 'rww 12/30/2002 10:37'! +p14979 +tp14980 +a(g6 +V\u000a +tp14981 +a(g48 +Vwhen: +p14982 +tp14983 +a(g6 +V +tp14984 +a(g100 +VanEventSelector +p14985 +tp14986 +a(g6 +V\u000a +tp14987 +a(g48 +Vsend: +p14988 +tp14989 +a(g6 +V +tp14990 +a(g100 +VaMessageSelector +p14991 +tp14992 +a(g6 +V\u000a +tp14993 +a(g48 +Vto: +p14994 +tp14995 +a(g6 +V +tp14996 +a(g100 +VanObject +p14997 +tp14998 +a(g6 +V\u000a +tp14999 +a(g48 +VwithArguments: +p15000 +tp15001 +a(g6 +V +tp15002 +a(g100 +VanArgArray +p15003 +tp15004 +a(g6 +V\u000a \u000a +p15005 +tp15006 +a(g87 +Vself +p15007 +tp15008 +a(g6 +V\u000a +p15009 +tp15010 +a(g48 +Vwhen: +p15011 +tp15012 +a(g6 +V +tp15013 +a(g100 +VanEventSelector +p15014 +tp15015 +a(g6 +V\u000a +p15016 +tp15017 +a(g48 +Vevaluate: +p15018 +tp15019 +a(g6 +V +tp15020 +a(g6 +V( +tp15021 +a(g133 +VWeakMessageSend +p15022 +tp15023 +a(g6 +V\u000a +p15024 +tp15025 +a(g48 +Vreceiver: +p15026 +tp15027 +a(g6 +V +tp15028 +a(g100 +VanObject +p15029 +tp15030 +a(g6 +V\u000a +p15031 +tp15032 +a(g48 +Vselector: +p15033 +tp15034 +a(g6 +V +tp15035 +a(g100 +VaMessageSelector +p15036 +tp15037 +a(g6 +V\u000a +p15038 +tp15039 +a(g48 +Varguments: +p15040 +tp15041 +a(g6 +V +tp15042 +a(g100 +VanArgArray +p15043 +tp15044 +a(g6 +V) +tp15045 +a(g138 +V! ! +p15046 +tp15047 +a(g6 +V\u000a\u000a +p15048 +tp15049 +a(g138 +V! +tp15050 +a(g133 +VObject +p15051 +tp15052 +a(g138 +V methodsFor: +p15053 +tp15054 +a(g236 +V'events-registering' +p15055 +tp15056 +a(g138 +V stamp: 'rww 12/30/2002 10:37'! +p15057 +tp15058 +a(g6 +V\u000a +tp15059 +a(g48 +Vwhen: +p15060 +tp15061 +a(g6 +V +tp15062 +a(g100 +VanEventSelector +p15063 +tp15064 +a(g6 +V\u000a +tp15065 +a(g48 +Vsend: +p15066 +tp15067 +a(g6 +V +tp15068 +a(g100 +VaMessageSelector +p15069 +tp15070 +a(g6 +V\u000a +tp15071 +a(g48 +Vto: +p15072 +tp15073 +a(g6 +V +tp15074 +a(g100 +VanObject +p15075 +tp15076 +a(g6 +V\u000a +tp15077 +a(g48 +Vwith: +p15078 +tp15079 +a(g6 +V +tp15080 +a(g100 +VanArg +p15081 +tp15082 +a(g6 +V\u000a \u000a +p15083 +tp15084 +a(g87 +Vself +p15085 +tp15086 +a(g6 +V\u000a +p15087 +tp15088 +a(g48 +Vwhen: +p15089 +tp15090 +a(g6 +V +tp15091 +a(g100 +VanEventSelector +p15092 +tp15093 +a(g6 +V\u000a +p15094 +tp15095 +a(g48 +Vevaluate: +p15096 +tp15097 +a(g6 +V +tp15098 +a(g6 +V( +tp15099 +a(g133 +VWeakMessageSend +p15100 +tp15101 +a(g6 +V\u000a +p15102 +tp15103 +a(g48 +Vreceiver: +p15104 +tp15105 +a(g6 +V +tp15106 +a(g100 +VanObject +p15107 +tp15108 +a(g6 +V\u000a +p15109 +tp15110 +a(g48 +Vselector: +p15111 +tp15112 +a(g6 +V +tp15113 +a(g100 +VaMessageSelector +p15114 +tp15115 +a(g6 +V\u000a +p15116 +tp15117 +a(g48 +Varguments: +p15118 +tp15119 +a(g6 +V +tp15120 +a(g6 +V( +tp15121 +a(g133 +VArray +p15122 +tp15123 +a(g6 +V +tp15124 +a(g48 +Vwith: +p15125 +tp15126 +a(g6 +V +tp15127 +a(g100 +VanArg +p15128 +tp15129 +a(g6 +V) +tp15130 +a(g6 +V) +tp15131 +a(g138 +V! ! +p15132 +tp15133 +a(g6 +V\u000a\u000a\u000a +p15134 +tp15135 +a(g138 +V! +tp15136 +a(g133 +VObject +p15137 +tp15138 +a(g138 +V methodsFor: +p15139 +tp15140 +a(g236 +V'events-removing' +p15141 +tp15142 +a(g138 +V stamp: 'reThink 2/18/2001 15:33'! +p15143 +tp15144 +a(g6 +V\u000a +tp15145 +a(g48 +VreleaseActionMap +p15146 +tp15147 +a(g6 +V\u000a +tp15148 +a(g6 +V\u000a +p15149 +tp15150 +a(g133 +VEventManager +p15151 +tp15152 +a(g6 +V +tp15153 +a(g48 +VreleaseActionMapFor: +p15154 +tp15155 +a(g6 +V +tp15156 +a(g87 +Vself +p15157 +tp15158 +a(g138 +V! ! +p15159 +tp15160 +a(g6 +V\u000a\u000a +p15161 +tp15162 +a(g138 +V! +tp15163 +a(g133 +VObject +p15164 +tp15165 +a(g138 +V methodsFor: +p15166 +tp15167 +a(g236 +V'events-removing' +p15168 +tp15169 +a(g138 +V stamp: 'reThink 2/18/2001 15:33'! +p15170 +tp15171 +a(g6 +V\u000a +tp15172 +a(g48 +VremoveActionsForEvent: +p15173 +tp15174 +a(g6 +V +tp15175 +a(g100 +VanEventSelector +p15176 +tp15177 +a(g6 +V\u000a\u000a +p15178 +tp15179 +a(g353 +V| +tp15180 +a(g100 +V map +p15181 +tp15182 +a(g353 +V| +tp15183 +a(g6 +V\u000a +p15184 +tp15185 +a(g100 +Vmap +p15186 +tp15187 +a(g6 +V +tp15188 +a(g353 +V:= +p15189 +tp15190 +a(g6 +V +tp15191 +a(g87 +Vself +p15192 +tp15193 +a(g6 +V +tp15194 +a(g48 +VactionMap +p15195 +tp15196 +a(g212 +V. +tp15197 +a(g6 +V\u000a +p15198 +tp15199 +a(g100 +Vmap +p15200 +tp15201 +a(g6 +V +tp15202 +a(g48 +VremoveKey: +p15203 +tp15204 +a(g6 +V +tp15205 +a(g100 +VanEventSelector +p15206 +tp15207 +a(g6 +V +tp15208 +a(g48 +VasSymbol +p15209 +tp15210 +a(g6 +V +tp15211 +a(g48 +VifAbsent: +p15212 +tp15213 +a(g6 +V +tp15214 +a(g6 +V[ +tp15215 +a(g6 +V +tp15216 +a(g6 +V] +tp15217 +a(g212 +V. +tp15218 +a(g6 +V\u000a +p15219 +tp15220 +a(g100 +Vmap +p15221 +tp15222 +a(g6 +V +tp15223 +a(g48 +VisEmpty +p15224 +tp15225 +a(g6 +V\u000a +p15226 +tp15227 +a(g84 +VifTrue: +p15228 +tp15229 +a(g6 +V +tp15230 +a(g6 +V[ +tp15231 +a(g6 +V +tp15232 +a(g87 +Vself +p15233 +tp15234 +a(g6 +V +tp15235 +a(g48 +VreleaseActionMap +p15236 +tp15237 +a(g6 +V] +tp15238 +a(g138 +V! ! +p15239 +tp15240 +a(g6 +V\u000a\u000a +p15241 +tp15242 +a(g138 +V! +tp15243 +a(g133 +VObject +p15244 +tp15245 +a(g138 +V methodsFor: +p15246 +tp15247 +a(g236 +V'events-removing' +p15248 +tp15249 +a(g138 +V stamp: 'nk 8/25/2003 21:46'! +p15250 +tp15251 +a(g6 +V\u000a +tp15252 +a(g48 +VremoveActionsSatisfying: +p15253 +tp15254 +a(g6 +V +tp15255 +a(g100 +VaBlock +p15256 +tp15257 +a(g6 +V\u000a\u000a +p15258 +tp15259 +a(g87 +Vself +p15260 +tp15261 +a(g6 +V +tp15262 +a(g48 +VactionMap +p15263 +tp15264 +a(g6 +V +tp15265 +a(g48 +Vkeys +p15266 +tp15267 +a(g6 +V +tp15268 +a(g48 +Vdo: +p15269 +tp15270 +a(g6 +V\u000a +p15271 +tp15272 +a(g6 +V[ +tp15273 +a(g353 +V: +tp15274 +a(g100 +VeachEventSelector +p15275 +tp15276 +a(g6 +V +tp15277 +a(g353 +V| +tp15278 +a(g6 +V\u000a +p15279 +tp15280 +a(g87 +Vself +p15281 +tp15282 +a(g6 +V\u000a +p15283 +tp15284 +a(g48 +VremoveActionsSatisfying: +p15285 +tp15286 +a(g6 +V +tp15287 +a(g100 +VaBlock +p15288 +tp15289 +a(g6 +V\u000a +p15290 +tp15291 +a(g48 +VforEvent: +p15292 +tp15293 +a(g6 +V +tp15294 +a(g100 +VeachEventSelector +p15295 +tp15296 +a(g6 +V\u000a +p15297 +tp15298 +a(g6 +V] +tp15299 +a(g138 +V! ! +p15300 +tp15301 +a(g6 +V\u000a\u000a +p15302 +tp15303 +a(g138 +V! +tp15304 +a(g133 +VObject +p15305 +tp15306 +a(g138 +V methodsFor: +p15307 +tp15308 +a(g236 +V'events-removing' +p15309 +tp15310 +a(g138 +V stamp: 'reThink 2/18/2001 15:31'! +p15311 +tp15312 +a(g6 +V\u000a +tp15313 +a(g48 +VremoveActionsSatisfying: +p15314 +tp15315 +a(g6 +V +tp15316 +a(g100 +VaOneArgBlock +p15317 +tp15318 +a(g6 +V \u000a +p15319 +tp15320 +a(g48 +VforEvent: +p15321 +tp15322 +a(g6 +V +tp15323 +a(g100 +VanEventSelector +p15324 +tp15325 +a(g6 +V\u000a\u000a +p15326 +tp15327 +a(g87 +Vself +p15328 +tp15329 +a(g6 +V\u000a +p15330 +tp15331 +a(g48 +VsetActionSequence: +p15332 +tp15333 +a(g6 +V\u000a +p15334 +tp15335 +a(g6 +V( +tp15336 +a(g6 +V( +tp15337 +a(g87 +Vself +p15338 +tp15339 +a(g6 +V +tp15340 +a(g48 +VactionSequenceForEvent: +p15341 +tp15342 +a(g6 +V +tp15343 +a(g100 +VanEventSelector +p15344 +tp15345 +a(g6 +V) +tp15346 +a(g6 +V\u000a +p15347 +tp15348 +a(g48 +Vreject: +p15349 +tp15350 +a(g6 +V +tp15351 +a(g6 +V[ +tp15352 +a(g353 +V: +tp15353 +a(g100 +VanAction +p15354 +tp15355 +a(g6 +V +tp15356 +a(g353 +V| +tp15357 +a(g6 +V +tp15358 +a(g100 +VaOneArgBlock +p15359 +tp15360 +a(g6 +V +tp15361 +a(g48 +Vvalue: +p15362 +tp15363 +a(g6 +V +tp15364 +a(g100 +VanAction +p15365 +tp15366 +a(g6 +V] +tp15367 +a(g6 +V) +tp15368 +a(g6 +V\u000a +p15369 +tp15370 +a(g48 +VforEvent: +p15371 +tp15372 +a(g6 +V +tp15373 +a(g100 +VanEventSelector +p15374 +tp15375 +a(g138 +V! ! +p15376 +tp15377 +a(g6 +V\u000a\u000a +p15378 +tp15379 +a(g138 +V! +tp15380 +a(g133 +VObject +p15381 +tp15382 +a(g138 +V methodsFor: +p15383 +tp15384 +a(g236 +V'events-removing' +p15385 +tp15386 +a(g138 +V stamp: 'rw 7/29/2003 17:18'! +p15387 +tp15388 +a(g6 +V\u000a +tp15389 +a(g48 +VremoveActionsWithReceiver: +p15390 +tp15391 +a(g6 +V +tp15392 +a(g100 +VanObject +p15393 +tp15394 +a(g6 +V\u000a\u000a +p15395 +tp15396 +a(g87 +Vself +p15397 +tp15398 +a(g6 +V +tp15399 +a(g48 +VactionMap +p15400 +tp15401 +a(g6 +V +tp15402 +a(g48 +Vcopy +p15403 +tp15404 +a(g6 +V +tp15405 +a(g48 +VkeysDo: +p15406 +tp15407 +a(g6 +V\u000a +p15408 +tp15409 +a(g6 +V[ +tp15410 +a(g353 +V: +tp15411 +a(g100 +VeachEventSelector +p15412 +tp15413 +a(g6 +V +tp15414 +a(g353 +V| +tp15415 +a(g6 +V\u000a +p15416 +tp15417 +a(g87 +Vself +p15418 +tp15419 +a(g6 +V\u000a +p15420 +tp15421 +a(g48 +VremoveActionsSatisfying: +p15422 +tp15423 +a(g6 +V +tp15424 +a(g6 +V[ +tp15425 +a(g353 +V: +tp15426 +a(g100 +VanAction +p15427 +tp15428 +a(g6 +V +tp15429 +a(g353 +V| +tp15430 +a(g6 +V +tp15431 +a(g100 +VanAction +p15432 +tp15433 +a(g6 +V +tp15434 +a(g48 +Vreceiver +p15435 +tp15436 +a(g6 +V +tp15437 +a(g48 +V== +p15438 +tp15439 +a(g6 +V +tp15440 +a(g100 +VanObject +p15441 +tp15442 +a(g6 +V] +tp15443 +a(g6 +V\u000a +p15444 +tp15445 +a(g48 +VforEvent: +p15446 +tp15447 +a(g6 +V +tp15448 +a(g100 +VeachEventSelector +p15449 +tp15450 +a(g6 +V\u000a +p15451 +tp15452 +a(g6 +V] +tp15453 +a(g138 +V! ! +p15454 +tp15455 +a(g6 +V\u000a\u000a +p15456 +tp15457 +a(g138 +V! +tp15458 +a(g133 +VObject +p15459 +tp15460 +a(g138 +V methodsFor: +p15461 +tp15462 +a(g236 +V'events-removing' +p15463 +tp15464 +a(g138 +V stamp: 'reThink 2/18/2001 15:36'! +p15465 +tp15466 +a(g6 +V\u000a +tp15467 +a(g48 +VremoveActionsWithReceiver: +p15468 +tp15469 +a(g6 +V +tp15470 +a(g100 +VanObject +p15471 +tp15472 +a(g6 +V\u000a +tp15473 +a(g48 +VforEvent: +p15474 +tp15475 +a(g6 +V +tp15476 +a(g100 +VanEventSelector +p15477 +tp15478 +a(g6 +V\u000a\u000a +p15479 +tp15480 +a(g87 +Vself +p15481 +tp15482 +a(g6 +V\u000a +p15483 +tp15484 +a(g48 +VremoveActionsSatisfying: +p15485 +tp15486 +a(g6 +V\u000a +p15487 +tp15488 +a(g6 +V[ +tp15489 +a(g353 +V: +tp15490 +a(g100 +VanAction +p15491 +tp15492 +a(g6 +V +tp15493 +a(g353 +V| +tp15494 +a(g6 +V\u000a +p15495 +tp15496 +a(g100 +VanAction +p15497 +tp15498 +a(g6 +V +tp15499 +a(g48 +Vreceiver +p15500 +tp15501 +a(g6 +V +tp15502 +a(g48 +V== +p15503 +tp15504 +a(g6 +V +tp15505 +a(g100 +VanObject +p15506 +tp15507 +a(g6 +V] +tp15508 +a(g6 +V\u000a +p15509 +tp15510 +a(g48 +VforEvent: +p15511 +tp15512 +a(g6 +V +tp15513 +a(g100 +VanEventSelector +p15514 +tp15515 +a(g138 +V! ! +p15516 +tp15517 +a(g6 +V\u000a\u000a +p15518 +tp15519 +a(g138 +V! +tp15520 +a(g133 +VObject +p15521 +tp15522 +a(g138 +V methodsFor: +p15523 +tp15524 +a(g236 +V'events-removing' +p15525 +tp15526 +a(g138 +V stamp: 'reThink 2/18/2001 15:31'! +p15527 +tp15528 +a(g6 +V\u000a +tp15529 +a(g48 +VremoveAction: +p15530 +tp15531 +a(g6 +V +tp15532 +a(g100 +VanAction +p15533 +tp15534 +a(g6 +V\u000a +tp15535 +a(g48 +VforEvent: +p15536 +tp15537 +a(g6 +V +tp15538 +a(g100 +VanEventSelector +p15539 +tp15540 +a(g6 +V\u000a\u000a +p15541 +tp15542 +a(g87 +Vself +p15543 +tp15544 +a(g6 +V\u000a +p15545 +tp15546 +a(g48 +VremoveActionsSatisfying: +p15547 +tp15548 +a(g6 +V +tp15549 +a(g6 +V[ +tp15550 +a(g353 +V: +tp15551 +a(g100 +Vaction +p15552 +tp15553 +a(g6 +V +tp15554 +a(g353 +V| +tp15555 +a(g6 +V +tp15556 +a(g100 +Vaction +p15557 +tp15558 +a(g6 +V +tp15559 +a(g48 +V= +tp15560 +a(g6 +V +tp15561 +a(g100 +VanAction +p15562 +tp15563 +a(g6 +V] +tp15564 +a(g6 +V\u000a +p15565 +tp15566 +a(g48 +VforEvent: +p15567 +tp15568 +a(g6 +V +tp15569 +a(g100 +VanEventSelector +p15570 +tp15571 +a(g138 +V! ! +p15572 +tp15573 +a(g6 +V\u000a\u000a\u000a +p15574 +tp15575 +a(g138 +V! +tp15576 +a(g133 +VObject +p15577 +tp15578 +a(g138 +V methodsFor: +p15579 +tp15580 +a(g236 +V'events-triggering' +p15581 +tp15582 +a(g138 +V stamp: 'reThink 2/18/2001 15:22'! +p15583 +tp15584 +a(g6 +V\u000a +tp15585 +a(g48 +VtriggerEvent: +p15586 +tp15587 +a(g6 +V +tp15588 +a(g100 +VanEventSelector +p15589 +tp15590 +a(g6 +V\u000a +p15591 +tp15592 +a(g24 +V"Evaluate all actions registered for . Return the value of the last registered action." +p15593 +tp15594 +a(g6 +V\u000a\u000a +p15595 +tp15596 +a(g353 +V^ +tp15597 +a(g6 +V( +tp15598 +a(g87 +Vself +p15599 +tp15600 +a(g6 +V +tp15601 +a(g48 +VactionForEvent: +p15602 +tp15603 +a(g6 +V +tp15604 +a(g100 +VanEventSelector +p15605 +tp15606 +a(g6 +V) +tp15607 +a(g6 +V +tp15608 +a(g48 +Vvalue +p15609 +tp15610 +a(g138 +V! ! +p15611 +tp15612 +a(g6 +V\u000a\u000a +p15613 +tp15614 +a(g138 +V! +tp15615 +a(g133 +VObject +p15616 +tp15617 +a(g138 +V methodsFor: +p15618 +tp15619 +a(g236 +V'events-triggering' +p15620 +tp15621 +a(g138 +V stamp: 'reThink 2/18/2001 17:09'! +p15622 +tp15623 +a(g6 +V\u000a +tp15624 +a(g48 +VtriggerEvent: +p15625 +tp15626 +a(g6 +V +tp15627 +a(g100 +VanEventSelector +p15628 +tp15629 +a(g6 +V\u000a +tp15630 +a(g48 +VifNotHandled: +p15631 +tp15632 +a(g6 +V +tp15633 +a(g100 +VanExceptionBlock +p15634 +tp15635 +a(g6 +V\u000a +p15636 +tp15637 +a(g24 +V"Evaluate all actions registered for . Return the value of the last registered action." +p15638 +tp15639 +a(g6 +V\u000a\u000a +p15640 +tp15641 +a(g353 +V^ +tp15642 +a(g6 +V( +tp15643 +a(g87 +Vself +p15644 +tp15645 +a(g6 +V \u000a +p15646 +tp15647 +a(g48 +VactionForEvent: +p15648 +tp15649 +a(g6 +V +tp15650 +a(g100 +VanEventSelector +p15651 +tp15652 +a(g6 +V\u000a +p15653 +tp15654 +a(g48 +VifAbsent: +p15655 +tp15656 +a(g6 +V +tp15657 +a(g6 +V[ +tp15658 +a(g6 +V +tp15659 +a(g353 +V^ +tp15660 +a(g100 +VanExceptionBlock +p15661 +tp15662 +a(g6 +V +tp15663 +a(g48 +Vvalue +p15664 +tp15665 +a(g6 +V] +tp15666 +a(g6 +V) +tp15667 +a(g6 +V +tp15668 +a(g48 +Vvalue +p15669 +tp15670 +a(g6 +V\u000a +tp15671 +a(g138 +V! ! +p15672 +tp15673 +a(g6 +V\u000a\u000a +p15674 +tp15675 +a(g138 +V! +tp15676 +a(g133 +VObject +p15677 +tp15678 +a(g138 +V methodsFor: +p15679 +tp15680 +a(g236 +V'events-triggering' +p15681 +tp15682 +a(g138 +V stamp: 'reThink 2/18/2001 15:21'! +p15683 +tp15684 +a(g6 +V\u000a +tp15685 +a(g48 +VtriggerEvent: +p15686 +tp15687 +a(g6 +V +tp15688 +a(g100 +VanEventSelector +p15689 +tp15690 +a(g6 +V\u000a +tp15691 +a(g48 +VwithArguments: +p15692 +tp15693 +a(g6 +V +tp15694 +a(g100 +VanArgumentList +p15695 +tp15696 +a(g6 +V\u000a\u000a +p15697 +tp15698 +a(g353 +V^ +tp15699 +a(g6 +V( +tp15700 +a(g87 +Vself +p15701 +tp15702 +a(g6 +V +tp15703 +a(g48 +VactionForEvent: +p15704 +tp15705 +a(g6 +V +tp15706 +a(g100 +VanEventSelector +p15707 +tp15708 +a(g6 +V) +tp15709 +a(g6 +V\u000a +p15710 +tp15711 +a(g48 +VvalueWithArguments: +p15712 +tp15713 +a(g6 +V +tp15714 +a(g100 +VanArgumentList +p15715 +tp15716 +a(g138 +V! ! +p15717 +tp15718 +a(g6 +V\u000a\u000a +p15719 +tp15720 +a(g138 +V! +tp15721 +a(g133 +VObject +p15722 +tp15723 +a(g138 +V methodsFor: +p15724 +tp15725 +a(g236 +V'events-triggering' +p15726 +tp15727 +a(g138 +V stamp: 'reThink 2/18/2001 15:21'! +p15728 +tp15729 +a(g6 +V\u000a +tp15730 +a(g48 +VtriggerEvent: +p15731 +tp15732 +a(g6 +V +tp15733 +a(g100 +VanEventSelector +p15734 +tp15735 +a(g6 +V\u000a +tp15736 +a(g48 +VwithArguments: +p15737 +tp15738 +a(g6 +V +tp15739 +a(g100 +VanArgumentList +p15740 +tp15741 +a(g6 +V\u000a +tp15742 +a(g48 +VifNotHandled: +p15743 +tp15744 +a(g6 +V +tp15745 +a(g100 +VanExceptionBlock +p15746 +tp15747 +a(g6 +V\u000a\u000a +p15748 +tp15749 +a(g353 +V^ +tp15750 +a(g6 +V( +tp15751 +a(g87 +Vself +p15752 +tp15753 +a(g6 +V \u000a +p15754 +tp15755 +a(g48 +VactionForEvent: +p15756 +tp15757 +a(g6 +V +tp15758 +a(g100 +VanEventSelector +p15759 +tp15760 +a(g6 +V\u000a +p15761 +tp15762 +a(g48 +VifAbsent: +p15763 +tp15764 +a(g6 +V +tp15765 +a(g6 +V[ +tp15766 +a(g6 +V +tp15767 +a(g353 +V^ +tp15768 +a(g100 +VanExceptionBlock +p15769 +tp15770 +a(g6 +V +tp15771 +a(g48 +Vvalue +p15772 +tp15773 +a(g6 +V] +tp15774 +a(g6 +V) +tp15775 +a(g6 +V\u000a +p15776 +tp15777 +a(g48 +VvalueWithArguments: +p15778 +tp15779 +a(g6 +V +tp15780 +a(g100 +VanArgumentList +p15781 +tp15782 +a(g138 +V! ! +p15783 +tp15784 +a(g6 +V\u000a\u000a +p15785 +tp15786 +a(g138 +V! +tp15787 +a(g133 +VObject +p15788 +tp15789 +a(g138 +V methodsFor: +p15790 +tp15791 +a(g236 +V'events-triggering' +p15792 +tp15793 +a(g138 +V stamp: 'reThink 2/18/2001 14:59'! +p15794 +tp15795 +a(g6 +V\u000a +tp15796 +a(g48 +VtriggerEvent: +p15797 +tp15798 +a(g6 +V +tp15799 +a(g100 +VanEventSelector +p15800 +tp15801 +a(g6 +V\u000a +tp15802 +a(g48 +Vwith: +p15803 +tp15804 +a(g6 +V +tp15805 +a(g100 +VanObject +p15806 +tp15807 +a(g6 +V\u000a\u000a +p15808 +tp15809 +a(g353 +V^ +tp15810 +a(g87 +Vself +p15811 +tp15812 +a(g6 +V \u000a +p15813 +tp15814 +a(g48 +VtriggerEvent: +p15815 +tp15816 +a(g6 +V +tp15817 +a(g100 +VanEventSelector +p15818 +tp15819 +a(g6 +V\u000a +p15820 +tp15821 +a(g48 +VwithArguments: +p15822 +tp15823 +a(g6 +V +tp15824 +a(g6 +V( +tp15825 +a(g133 +VArray +p15826 +tp15827 +a(g6 +V +tp15828 +a(g48 +Vwith: +p15829 +tp15830 +a(g6 +V +tp15831 +a(g100 +VanObject +p15832 +tp15833 +a(g6 +V) +tp15834 +a(g138 +V! ! +p15835 +tp15836 +a(g6 +V\u000a\u000a +p15837 +tp15838 +a(g138 +V! +tp15839 +a(g133 +VObject +p15840 +tp15841 +a(g138 +V methodsFor: +p15842 +tp15843 +a(g236 +V'events-triggering' +p15844 +tp15845 +a(g138 +V stamp: 'reThink 2/18/2001 14:59'! +p15846 +tp15847 +a(g6 +V\u000a +tp15848 +a(g48 +VtriggerEvent: +p15849 +tp15850 +a(g6 +V +tp15851 +a(g100 +VanEventSelector +p15852 +tp15853 +a(g6 +V\u000a +tp15854 +a(g48 +Vwith: +p15855 +tp15856 +a(g6 +V +tp15857 +a(g100 +VanObject +p15858 +tp15859 +a(g6 +V\u000a +tp15860 +a(g48 +VifNotHandled: +p15861 +tp15862 +a(g6 +V +tp15863 +a(g100 +VanExceptionBlock +p15864 +tp15865 +a(g6 +V\u000a\u000a +p15866 +tp15867 +a(g353 +V^ +tp15868 +a(g87 +Vself +p15869 +tp15870 +a(g6 +V \u000a +p15871 +tp15872 +a(g48 +VtriggerEvent: +p15873 +tp15874 +a(g6 +V +tp15875 +a(g100 +VanEventSelector +p15876 +tp15877 +a(g6 +V\u000a +p15878 +tp15879 +a(g48 +VwithArguments: +p15880 +tp15881 +a(g6 +V +tp15882 +a(g6 +V( +tp15883 +a(g133 +VArray +p15884 +tp15885 +a(g6 +V +tp15886 +a(g48 +Vwith: +p15887 +tp15888 +a(g6 +V +tp15889 +a(g100 +VanObject +p15890 +tp15891 +a(g6 +V) +tp15892 +a(g6 +V\u000a +p15893 +tp15894 +a(g48 +VifNotHandled: +p15895 +tp15896 +a(g6 +V +tp15897 +a(g100 +VanExceptionBlock +p15898 +tp15899 +a(g138 +V! ! +p15900 +tp15901 +a(g6 +V\u000a\u000a\u000a +p15902 +tp15903 +a(g138 +V! +tp15904 +a(g133 +VObject +p15905 +tp15906 +a(g138 +V methodsFor: +p15907 +tp15908 +a(g236 +V'filter streaming' +p15909 +tp15910 +a(g138 +V stamp: 'MPW 1/1/1901 00:42'! +p15911 +tp15912 +a(g6 +V\u000a +tp15913 +a(g48 +VbyteEncode: +p15914 +tp15915 +a(g100 +VaStream +p15916 +tp15917 +a(g6 +V\u000a +p15918 +tp15919 +a(g87 +Vself +p15920 +tp15921 +a(g6 +V +tp15922 +a(g48 +VflattenOnStream: +p15923 +tp15924 +a(g100 +VaStream +p15925 +tp15926 +a(g212 +V. +tp15927 +a(g6 +V\u000a +tp15928 +a(g138 +V! ! +p15929 +tp15930 +a(g6 +V\u000a\u000a +p15931 +tp15932 +a(g138 +V! +tp15933 +a(g133 +VObject +p15934 +tp15935 +a(g138 +V methodsFor: +p15936 +tp15937 +a(g236 +V'filter streaming' +p15938 +tp15939 +a(g138 +V! +tp15940 +a(g6 +V\u000a +tp15941 +a(g48 +VdrawOnCanvas: +p15942 +tp15943 +a(g100 +VaStream +p15944 +tp15945 +a(g6 +V\u000a +p15946 +tp15947 +a(g87 +Vself +p15948 +tp15949 +a(g6 +V +tp15950 +a(g48 +VflattenOnStream: +p15951 +tp15952 +a(g100 +VaStream +p15953 +tp15954 +a(g212 +V. +tp15955 +a(g6 +V\u000a +tp15956 +a(g138 +V! ! +p15957 +tp15958 +a(g6 +V\u000a\u000a +p15959 +tp15960 +a(g138 +V! +tp15961 +a(g133 +VObject +p15962 +tp15963 +a(g138 +V methodsFor: +p15964 +tp15965 +a(g236 +V'filter streaming' +p15966 +tp15967 +a(g138 +V stamp: 'MPW 1/1/1901 01:31'! +p15968 +tp15969 +a(g6 +V\u000a +tp15970 +a(g48 +VelementSeparator +p15971 +tp15972 +a(g6 +V\u000a +p15973 +tp15974 +a(g353 +V^ +tp15975 +a(g87 +Vnil +p15976 +tp15977 +a(g212 +V. +tp15978 +a(g138 +V! ! +p15979 +tp15980 +a(g6 +V\u000a\u000a +p15981 +tp15982 +a(g138 +V! +tp15983 +a(g133 +VObject +p15984 +tp15985 +a(g138 +V methodsFor: +p15986 +tp15987 +a(g236 +V'filter streaming' +p15988 +tp15989 +a(g138 +V! +tp15990 +a(g6 +V\u000a +tp15991 +a(g48 +VencodePostscriptOn: +p15992 +tp15993 +a(g100 +VaStream +p15994 +tp15995 +a(g6 +V\u000a +p15996 +tp15997 +a(g87 +Vself +p15998 +tp15999 +a(g6 +V +tp16000 +a(g48 +VbyteEncode: +p16001 +tp16002 +a(g100 +VaStream +p16003 +tp16004 +a(g212 +V. +tp16005 +a(g6 +V\u000a +tp16006 +a(g138 +V! ! +p16007 +tp16008 +a(g6 +V\u000a\u000a +p16009 +tp16010 +a(g138 +V! +tp16011 +a(g133 +VObject +p16012 +tp16013 +a(g138 +V methodsFor: +p16014 +tp16015 +a(g236 +V'filter streaming' +p16016 +tp16017 +a(g138 +V stamp: 'MPW 1/1/1901 00:07'! +p16018 +tp16019 +a(g6 +V\u000a +tp16020 +a(g48 +VflattenOnStream: +p16021 +tp16022 +a(g100 +VaStream +p16023 +tp16024 +a(g6 +V\u000a +p16025 +tp16026 +a(g87 +Vself +p16027 +tp16028 +a(g6 +V +tp16029 +a(g48 +VwriteOnFilterStream: +p16030 +tp16031 +a(g100 +VaStream +p16032 +tp16033 +a(g212 +V. +tp16034 +a(g6 +V\u000a +tp16035 +a(g138 +V! ! +p16036 +tp16037 +a(g6 +V\u000a\u000a +p16038 +tp16039 +a(g138 +V! +tp16040 +a(g133 +VObject +p16041 +tp16042 +a(g138 +V methodsFor: +p16043 +tp16044 +a(g236 +V'filter streaming' +p16045 +tp16046 +a(g138 +V stamp: 'mpw 6/22/1930 22:56'! +p16047 +tp16048 +a(g6 +V\u000a +tp16049 +a(g48 +VfullDrawPostscriptOn: +p16050 +tp16051 +a(g100 +VaStream +p16052 +tp16053 +a(g6 +V\u000a +p16054 +tp16055 +a(g353 +V^ +tp16056 +a(g100 +VaStream +p16057 +tp16058 +a(g6 +V +tp16059 +a(g48 +VfullDraw: +p16060 +tp16061 +a(g87 +Vself +p16062 +tp16063 +a(g212 +V. +tp16064 +a(g6 +V\u000a +tp16065 +a(g138 +V! ! +p16066 +tp16067 +a(g6 +V\u000a\u000a +p16068 +tp16069 +a(g138 +V! +tp16070 +a(g133 +VObject +p16071 +tp16072 +a(g138 +V methodsFor: +p16073 +tp16074 +a(g236 +V'filter streaming' +p16075 +tp16076 +a(g138 +V stamp: 'MPW 1/1/1901 01:51'! +p16077 +tp16078 +a(g6 +V\u000a +tp16079 +a(g48 +VprintOnStream: +p16080 +tp16081 +a(g100 +VaStream +p16082 +tp16083 +a(g6 +V\u000a +p16084 +tp16085 +a(g87 +Vself +p16086 +tp16087 +a(g6 +V +tp16088 +a(g48 +VbyteEncode: +p16089 +tp16090 +a(g100 +VaStream +p16091 +tp16092 +a(g212 +V. +tp16093 +a(g6 +V\u000a +tp16094 +a(g138 +V! ! +p16095 +tp16096 +a(g6 +V\u000a\u000a +p16097 +tp16098 +a(g138 +V! +tp16099 +a(g133 +VObject +p16100 +tp16101 +a(g138 +V methodsFor: +p16102 +tp16103 +a(g236 +V'filter streaming' +p16104 +tp16105 +a(g138 +V stamp: 'MPW 1/1/1901 00:49'! +p16106 +tp16107 +a(g6 +V\u000a +tp16108 +a(g48 +VputOn: +p16109 +tp16110 +a(g100 +VaStream +p16111 +tp16112 +a(g6 +V\u000a +p16113 +tp16114 +a(g353 +V^ +tp16115 +a(g100 +VaStream +p16116 +tp16117 +a(g6 +V +tp16118 +a(g48 +VnextPut: +p16119 +tp16120 +a(g87 +Vself +p16121 +tp16122 +a(g212 +V. +tp16123 +a(g6 +V\u000a +tp16124 +a(g138 +V! ! +p16125 +tp16126 +a(g6 +V\u000a\u000a +p16127 +tp16128 +a(g138 +V! +tp16129 +a(g133 +VObject +p16130 +tp16131 +a(g138 +V methodsFor: +p16132 +tp16133 +a(g236 +V'filter streaming' +p16134 +tp16135 +a(g138 +V stamp: 'MPW 1/1/1901 01:53'! +p16136 +tp16137 +a(g6 +V\u000a +tp16138 +a(g48 +VstoreOnStream: +p16139 +tp16140 +a(g100 +VaStream +p16141 +tp16142 +a(g6 +V\u000a +p16143 +tp16144 +a(g87 +Vself +p16145 +tp16146 +a(g6 +V +tp16147 +a(g48 +VprintOnStream: +p16148 +tp16149 +a(g100 +VaStream +p16150 +tp16151 +a(g212 +V. +tp16152 +a(g6 +V\u000a +tp16153 +a(g138 +V! ! +p16154 +tp16155 +a(g6 +V\u000a\u000a +p16156 +tp16157 +a(g138 +V! +tp16158 +a(g133 +VObject +p16159 +tp16160 +a(g138 +V methodsFor: +p16161 +tp16162 +a(g236 +V'filter streaming' +p16163 +tp16164 +a(g138 +V stamp: 'MPW 1/1/1901 00:06'! +p16165 +tp16166 +a(g6 +V\u000a +tp16167 +a(g48 +VwriteOnFilterStream: +p16168 +tp16169 +a(g100 +VaStream +p16170 +tp16171 +a(g6 +V\u000a +p16172 +tp16173 +a(g100 +VaStream +p16174 +tp16175 +a(g6 +V +tp16176 +a(g48 +VwriteObject: +p16177 +tp16178 +a(g87 +Vself +p16179 +tp16180 +a(g212 +V. +tp16181 +a(g6 +V\u000a +tp16182 +a(g138 +V! ! +p16183 +tp16184 +a(g6 +V\u000a\u000a\u000a +p16185 +tp16186 +a(g138 +V! +tp16187 +a(g133 +VObject +p16188 +tp16189 +a(g138 +V methodsFor: +p16190 +tp16191 +a(g236 +V'finalization' +p16192 +tp16193 +a(g138 +V stamp: 'ar 3/21/98 16:26'! +p16194 +tp16195 +a(g6 +V\u000a +tp16196 +a(g48 +VactAsExecutor +p16197 +tp16198 +a(g6 +V\u000a +p16199 +tp16200 +a(g24 +V"Prepare the receiver to act as executor for any resources associated with it" +p16201 +tp16202 +a(g6 +V\u000a +p16203 +tp16204 +a(g87 +Vself +p16205 +tp16206 +a(g6 +V +tp16207 +a(g48 +VbreakDependents +p16208 +tp16209 +a(g138 +V! ! +p16210 +tp16211 +a(g6 +V\u000a\u000a +p16212 +tp16213 +a(g138 +V! +tp16214 +a(g133 +VObject +p16215 +tp16216 +a(g138 +V methodsFor: +p16217 +tp16218 +a(g236 +V'finalization' +p16219 +tp16220 +a(g138 +V stamp: 'ar 3/20/98 22:19'! +p16221 +tp16222 +a(g6 +V\u000a +tp16223 +a(g48 +Vexecutor +p16224 +tp16225 +a(g6 +V\u000a +p16226 +tp16227 +a(g24 +V"Return an object which can act as executor for finalization of the receiver" +p16228 +tp16229 +a(g6 +V\u000a +p16230 +tp16231 +a(g353 +V^ +tp16232 +a(g87 +Vself +p16233 +tp16234 +a(g6 +V +tp16235 +a(g48 +VshallowCopy +p16236 +tp16237 +a(g6 +V +tp16238 +a(g48 +VactAsExecutor +p16239 +tp16240 +a(g138 +V! ! +p16241 +tp16242 +a(g6 +V\u000a\u000a +p16243 +tp16244 +a(g138 +V! +tp16245 +a(g133 +VObject +p16246 +tp16247 +a(g138 +V methodsFor: +p16248 +tp16249 +a(g236 +V'finalization' +p16250 +tp16251 +a(g138 +V stamp: 'ar 5/19/2003 20:10'! +p16252 +tp16253 +a(g6 +V\u000a +tp16254 +a(g48 +VfinalizationRegistry +p16255 +tp16256 +a(g6 +V\u000a +p16257 +tp16258 +a(g24 +V"Answer the finalization registry associated with the receiver." +p16259 +tp16260 +a(g6 +V\u000a +p16261 +tp16262 +a(g353 +V^ +tp16263 +a(g133 +VWeakRegistry +p16264 +tp16265 +a(g6 +V +tp16266 +a(g48 +Vdefault +p16267 +tp16268 +a(g138 +V! ! +p16269 +tp16270 +a(g6 +V\u000a\u000a +p16271 +tp16272 +a(g138 +V! +tp16273 +a(g133 +VObject +p16274 +tp16275 +a(g138 +V methodsFor: +p16276 +tp16277 +a(g236 +V'finalization' +p16278 +tp16279 +a(g138 +V stamp: 'ar 3/21/98 16:27'! +p16280 +tp16281 +a(g6 +V\u000a +tp16282 +a(g48 +Vfinalize +p16283 +tp16284 +a(g6 +V\u000a +p16285 +tp16286 +a(g24 +V"Finalize the resource associated with the receiver. This message should only be sent during the finalization process. There is NO garantuee that the resource associated with the receiver hasn't been free'd before so take care that you don't run into trouble - this all may happen with interrupt priority." +p16287 +tp16288 +a(g138 +V! ! +p16289 +tp16290 +a(g6 +V\u000a\u000a +p16291 +tp16292 +a(g138 +V! +tp16293 +a(g133 +VObject +p16294 +tp16295 +a(g138 +V methodsFor: +p16296 +tp16297 +a(g236 +V'finalization' +p16298 +tp16299 +a(g138 +V stamp: 'ar 3/21/98 18:38'! +p16300 +tp16301 +a(g6 +V\u000a +tp16302 +a(g48 +VretryWithGC: +p16303 +tp16304 +a(g6 +V +tp16305 +a(g100 +VexecBlock +p16306 +tp16307 +a(g6 +V +tp16308 +a(g48 +Vuntil: +p16309 +tp16310 +a(g6 +V +tp16311 +a(g100 +VtestBlock +p16312 +tp16313 +a(g6 +V\u000a +p16314 +tp16315 +a(g24 +V"Retry execBlock as long as testBlock returns false. Do an incremental GC after the first try, a full GC after the second try." +p16316 +tp16317 +a(g6 +V\u000a +p16318 +tp16319 +a(g353 +V| +tp16320 +a(g100 +V blockValue +p16321 +tp16322 +a(g353 +V| +tp16323 +a(g6 +V\u000a +p16324 +tp16325 +a(g100 +VblockValue +p16326 +tp16327 +a(g6 +V +tp16328 +a(g353 +V:= +p16329 +tp16330 +a(g6 +V +tp16331 +a(g100 +VexecBlock +p16332 +tp16333 +a(g6 +V +tp16334 +a(g48 +Vvalue +p16335 +tp16336 +a(g212 +V. +tp16337 +a(g6 +V\u000a +p16338 +tp16339 +a(g6 +V( +tp16340 +a(g100 +VtestBlock +p16341 +tp16342 +a(g6 +V +tp16343 +a(g48 +Vvalue: +p16344 +tp16345 +a(g6 +V +tp16346 +a(g100 +VblockValue +p16347 +tp16348 +a(g6 +V) +tp16349 +a(g6 +V +tp16350 +a(g84 +VifTrue: +p16351 +tp16352 +a(g6 +V[ +tp16353 +a(g6 +V +tp16354 +a(g353 +V^ +tp16355 +a(g100 +VblockValue +p16356 +tp16357 +a(g6 +V] +tp16358 +a(g212 +V. +tp16359 +a(g6 +V\u000a +p16360 +tp16361 +a(g133 +VSmalltalk +p16362 +tp16363 +a(g6 +V +tp16364 +a(g48 +VgarbageCollectMost +p16365 +tp16366 +a(g212 +V. +tp16367 +a(g6 +V\u000a +p16368 +tp16369 +a(g100 +VblockValue +p16370 +tp16371 +a(g6 +V +tp16372 +a(g353 +V:= +p16373 +tp16374 +a(g6 +V +tp16375 +a(g100 +VexecBlock +p16376 +tp16377 +a(g6 +V +tp16378 +a(g48 +Vvalue +p16379 +tp16380 +a(g212 +V. +tp16381 +a(g6 +V\u000a +p16382 +tp16383 +a(g6 +V( +tp16384 +a(g100 +VtestBlock +p16385 +tp16386 +a(g6 +V +tp16387 +a(g48 +Vvalue: +p16388 +tp16389 +a(g6 +V +tp16390 +a(g100 +VblockValue +p16391 +tp16392 +a(g6 +V) +tp16393 +a(g6 +V +tp16394 +a(g84 +VifTrue: +p16395 +tp16396 +a(g6 +V[ +tp16397 +a(g6 +V +tp16398 +a(g353 +V^ +tp16399 +a(g100 +VblockValue +p16400 +tp16401 +a(g6 +V] +tp16402 +a(g212 +V. +tp16403 +a(g6 +V\u000a +p16404 +tp16405 +a(g133 +VSmalltalk +p16406 +tp16407 +a(g6 +V +tp16408 +a(g48 +VgarbageCollect +p16409 +tp16410 +a(g212 +V. +tp16411 +a(g6 +V\u000a +p16412 +tp16413 +a(g353 +V^ +tp16414 +a(g100 +VexecBlock +p16415 +tp16416 +a(g6 +V +tp16417 +a(g48 +Vvalue +p16418 +tp16419 +a(g212 +V. +tp16420 +a(g138 +V! ! +p16421 +tp16422 +a(g6 +V\u000a\u000a +p16423 +tp16424 +a(g138 +V! +tp16425 +a(g133 +VObject +p16426 +tp16427 +a(g138 +V methodsFor: +p16428 +tp16429 +a(g236 +V'finalization' +p16430 +tp16431 +a(g138 +V stamp: 'ar 5/19/2003 20:14'! +p16432 +tp16433 +a(g6 +V\u000a +tp16434 +a(g48 +VtoFinalizeSend: +p16435 +tp16436 +a(g6 +V +tp16437 +a(g100 +VaSelector +p16438 +tp16439 +a(g6 +V +tp16440 +a(g48 +Vto: +p16441 +tp16442 +a(g6 +V +tp16443 +a(g100 +VaFinalizer +p16444 +tp16445 +a(g6 +V +tp16446 +a(g48 +Vwith: +p16447 +tp16448 +a(g6 +V +tp16449 +a(g100 +VaResourceHandle +p16450 +tp16451 +a(g6 +V\u000a +p16452 +tp16453 +a(g24 +V"When I am finalized (e.g., garbage collected) close the associated resource handle by sending aSelector to the appropriate finalizer (the guy who knows how to get rid of the resource).\u000a WARNING: Neither the finalizer nor the resource handle are allowed to reference me. If they do, then I will NEVER be garbage collected. Since this cannot be validated here, it is up to the client to make sure this invariant is not broken." +p16454 +tp16455 +a(g6 +V\u000a +p16456 +tp16457 +a(g87 +Vself +p16458 +tp16459 +a(g6 +V +tp16460 +a(g48 +V== +p16461 +tp16462 +a(g6 +V +tp16463 +a(g100 +VaFinalizer +p16464 +tp16465 +a(g6 +V +tp16466 +a(g84 +VifTrue: +p16467 +tp16468 +a(g6 +V[ +tp16469 +a(g6 +V +tp16470 +a(g87 +Vself +p16471 +tp16472 +a(g6 +V +tp16473 +a(g48 +Verror: +p16474 +tp16475 +a(g6 +V +tp16476 +a(g236 +V'I cannot finalize myself' +p16477 +tp16478 +a(g6 +V] +tp16479 +a(g212 +V. +tp16480 +a(g6 +V\u000a +p16481 +tp16482 +a(g87 +Vself +p16483 +tp16484 +a(g6 +V +tp16485 +a(g48 +V== +p16486 +tp16487 +a(g6 +V +tp16488 +a(g100 +VaResourceHandle +p16489 +tp16490 +a(g6 +V +tp16491 +a(g84 +VifTrue: +p16492 +tp16493 +a(g6 +V[ +tp16494 +a(g6 +V +tp16495 +a(g87 +Vself +p16496 +tp16497 +a(g6 +V +tp16498 +a(g48 +Verror: +p16499 +tp16500 +a(g6 +V +tp16501 +a(g236 +V'I cannot finalize myself' +p16502 +tp16503 +a(g6 +V] +tp16504 +a(g212 +V. +tp16505 +a(g6 +V\u000a +p16506 +tp16507 +a(g353 +V^ +tp16508 +a(g87 +Vself +p16509 +tp16510 +a(g6 +V +tp16511 +a(g48 +VfinalizationRegistry +p16512 +tp16513 +a(g6 +V +tp16514 +a(g48 +Vadd: +p16515 +tp16516 +a(g6 +V +tp16517 +a(g87 +Vself +p16518 +tp16519 +a(g6 +V +tp16520 +a(g48 +Vexecutor: +p16521 +tp16522 +a(g6 +V\u000a +p16523 +tp16524 +a(g6 +V( +tp16525 +a(g133 +VObjectFinalizer +p16526 +tp16527 +a(g6 +V +tp16528 +a(g84 +Vnew +p16529 +tp16530 +a(g6 +V\u000a +p16531 +tp16532 +a(g48 +Vreceiver: +p16533 +tp16534 +a(g6 +V +tp16535 +a(g100 +VaFinalizer +p16536 +tp16537 +a(g6 +V\u000a +p16538 +tp16539 +a(g48 +Vselector: +p16540 +tp16541 +a(g6 +V +tp16542 +a(g100 +VaSelector +p16543 +tp16544 +a(g6 +V\u000a +p16545 +tp16546 +a(g48 +Vargument: +p16547 +tp16548 +a(g6 +V +tp16549 +a(g100 +VaResourceHandle +p16550 +tp16551 +a(g6 +V) +tp16552 +a(g138 +V! ! +p16553 +tp16554 +a(g6 +V\u000a\u000a\u000a +p16555 +tp16556 +a(g138 +V! +tp16557 +a(g133 +VObject +p16558 +tp16559 +a(g138 +V methodsFor: +p16560 +tp16561 +a(g236 +V'flagging' +p16562 +tp16563 +a(g138 +V stamp: 'sw 8/4/97 16:49'! +p16564 +tp16565 +a(g6 +V\u000a +tp16566 +a(g48 +VisThisEverCalled +p16567 +tp16568 +a(g6 +V\u000a +p16569 +tp16570 +a(g353 +V^ +tp16571 +a(g6 +V +tp16572 +a(g87 +Vself +p16573 +tp16574 +a(g6 +V +tp16575 +a(g48 +VisThisEverCalled: +p16576 +tp16577 +a(g6 +V +tp16578 +a(g87 +VthisContext +p16579 +tp16580 +a(g6 +V +tp16581 +a(g48 +Vsender +p16582 +tp16583 +a(g6 +V +tp16584 +a(g48 +VprintString +p16585 +tp16586 +a(g138 +V! ! +p16587 +tp16588 +a(g6 +V\u000a\u000a +p16589 +tp16590 +a(g138 +V! +tp16591 +a(g133 +VObject +p16592 +tp16593 +a(g138 +V methodsFor: +p16594 +tp16595 +a(g236 +V'flagging' +p16596 +tp16597 +a(g138 +V! +tp16598 +a(g6 +V\u000a +tp16599 +a(g48 +VisThisEverCalled: +p16600 +tp16601 +a(g6 +V +tp16602 +a(g100 +Vmsg +p16603 +tp16604 +a(g6 +V\u000a +p16605 +tp16606 +a(g24 +V"Send this message, with some useful printable argument, from methods or branches of methods which you believe are never reached. 2/5/96 sw" +p16607 +tp16608 +a(g6 +V\u000a\u000a +p16609 +tp16610 +a(g87 +Vself +p16611 +tp16612 +a(g6 +V +tp16613 +a(g48 +Vhalt: +p16614 +tp16615 +a(g6 +V +tp16616 +a(g236 +V'This is indeed called: ' +p16617 +tp16618 +a(g48 +V, +tp16619 +a(g6 +V +tp16620 +a(g100 +Vmsg +p16621 +tp16622 +a(g6 +V +tp16623 +a(g48 +VprintString +p16624 +tp16625 +a(g138 +V! ! +p16626 +tp16627 +a(g6 +V\u000a\u000a +p16628 +tp16629 +a(g138 +V! +tp16630 +a(g133 +VObject +p16631 +tp16632 +a(g138 +V methodsFor: +p16633 +tp16634 +a(g236 +V'flagging' +p16635 +tp16636 +a(g138 +V stamp: 'jm 3/18/98 17:23'! +p16637 +tp16638 +a(g6 +V\u000a +tp16639 +a(g48 +VlogEntry +p16640 +tp16641 +a(g6 +V\u000a +tp16642 +a(g6 +V\u000a +p16643 +tp16644 +a(g133 +VTranscript +p16645 +tp16646 +a(g6 +V +tp16647 +a(g48 +Vshow: +p16648 +tp16649 +a(g6 +V +tp16650 +a(g236 +V'Entered ' +p16651 +tp16652 +a(g48 +V, +tp16653 +a(g6 +V +tp16654 +a(g87 +VthisContext +p16655 +tp16656 +a(g6 +V +tp16657 +a(g48 +Vsender +p16658 +tp16659 +a(g6 +V +tp16660 +a(g48 +VprintString +p16661 +tp16662 +a(g212 +V; +tp16663 +a(g6 +V +tp16664 +a(g48 +Vcr +p16665 +tp16666 +a(g212 +V. +tp16667 +a(g6 +V\u000a +tp16668 +a(g138 +V! ! +p16669 +tp16670 +a(g6 +V\u000a\u000a +p16671 +tp16672 +a(g138 +V! +tp16673 +a(g133 +VObject +p16674 +tp16675 +a(g138 +V methodsFor: +p16676 +tp16677 +a(g236 +V'flagging' +p16678 +tp16679 +a(g138 +V stamp: 'jm 3/18/98 17:23'! +p16680 +tp16681 +a(g6 +V\u000a +tp16682 +a(g48 +VlogExecution +p16683 +tp16684 +a(g6 +V\u000a +tp16685 +a(g6 +V\u000a +p16686 +tp16687 +a(g133 +VTranscript +p16688 +tp16689 +a(g6 +V +tp16690 +a(g48 +Vshow: +p16691 +tp16692 +a(g6 +V +tp16693 +a(g236 +V'Executing ' +p16694 +tp16695 +a(g48 +V, +tp16696 +a(g6 +V +tp16697 +a(g87 +VthisContext +p16698 +tp16699 +a(g6 +V +tp16700 +a(g48 +Vsender +p16701 +tp16702 +a(g6 +V +tp16703 +a(g48 +VprintString +p16704 +tp16705 +a(g212 +V; +tp16706 +a(g6 +V +tp16707 +a(g48 +Vcr +p16708 +tp16709 +a(g212 +V. +tp16710 +a(g6 +V\u000a +tp16711 +a(g138 +V! ! +p16712 +tp16713 +a(g6 +V\u000a\u000a +p16714 +tp16715 +a(g138 +V! +tp16716 +a(g133 +VObject +p16717 +tp16718 +a(g138 +V methodsFor: +p16719 +tp16720 +a(g236 +V'flagging' +p16721 +tp16722 +a(g138 +V stamp: 'jm 3/18/98 17:22'! +p16723 +tp16724 +a(g6 +V\u000a +tp16725 +a(g48 +VlogExit +p16726 +tp16727 +a(g6 +V\u000a +tp16728 +a(g6 +V\u000a +p16729 +tp16730 +a(g133 +VTranscript +p16731 +tp16732 +a(g6 +V +tp16733 +a(g48 +Vshow: +p16734 +tp16735 +a(g6 +V +p16736 +tp16737 +a(g236 +V'Exited ' +p16738 +tp16739 +a(g48 +V, +tp16740 +a(g6 +V +tp16741 +a(g87 +VthisContext +p16742 +tp16743 +a(g6 +V +tp16744 +a(g48 +Vsender +p16745 +tp16746 +a(g6 +V +tp16747 +a(g48 +VprintString +p16748 +tp16749 +a(g212 +V; +tp16750 +a(g6 +V +tp16751 +a(g48 +Vcr +p16752 +tp16753 +a(g212 +V. +tp16754 +a(g6 +V\u000a +tp16755 +a(g138 +V! ! +p16756 +tp16757 +a(g6 +V\u000a\u000a\u000a +p16758 +tp16759 +a(g138 +V! +tp16760 +a(g133 +VObject +p16761 +tp16762 +a(g138 +V methodsFor: +p16763 +tp16764 +a(g236 +V'graph model' +p16765 +tp16766 +a(g138 +V stamp: 'dgd 9/18/2004 15:07'! +p16767 +tp16768 +a(g6 +V\u000a +tp16769 +a(g48 +VaddModelYellowButtonMenuItemsTo: +p16770 +tp16771 +a(g6 +V +tp16772 +a(g100 +VaCustomMenu +p16773 +tp16774 +a(g6 +V +tp16775 +a(g48 +VforMorph: +p16776 +tp16777 +a(g6 +V +tp16778 +a(g100 +VaMorph +p16779 +tp16780 +a(g6 +V +tp16781 +a(g48 +Vhand: +p16782 +tp16783 +a(g6 +V +tp16784 +a(g100 +VaHandMorph +p16785 +tp16786 +a(g6 +V \u000a +p16787 +tp16788 +a(g24 +V"The receiver serves as the model for aMorph; a menu is being constructed for the morph, and here the receiver is able to add its own items" +p16789 +tp16790 +a(g6 +V\u000a +p16791 +tp16792 +a(g133 +VPreferences +p16793 +tp16794 +a(g6 +V +tp16795 +a(g48 +VcmdGesturesEnabled +p16796 +tp16797 +a(g6 +V +tp16798 +a(g84 +VifTrue: +p16799 +tp16800 +a(g6 +V +tp16801 +a(g6 +V[ +tp16802 +a(g6 +V +tp16803 +a(g24 +V"build mode" +p16804 +tp16805 +a(g6 +V\u000a +p16806 +tp16807 +a(g6 +V +tp16808 +a(g100 +VaCustomMenu +p16809 +tp16810 +a(g6 +V +tp16811 +a(g48 +Vadd: +p16812 +tp16813 +a(g6 +V +tp16814 +a(g236 +V'inspect model' +p16815 +tp16816 +a(g6 +V +tp16817 +a(g48 +Vtranslated +p16818 +tp16819 +a(g6 +V +tp16820 +a(g48 +Vtarget: +p16821 +tp16822 +a(g6 +V +tp16823 +a(g87 +Vself +p16824 +tp16825 +a(g6 +V +tp16826 +a(g48 +Vaction: +p16827 +tp16828 +a(g6 +V +tp16829 +a(g258 +V#inspect +p16830 +tp16831 +a(g212 +V. +tp16832 +a(g6 +V\u000a +p16833 +tp16834 +a(g6 +V] +tp16835 +a(g212 +V. +tp16836 +a(g6 +V\u000a\u000a +p16837 +tp16838 +a(g353 +V^ +tp16839 +a(g100 +VaCustomMenu +p16840 +tp16841 +a(g6 +V\u000a +tp16842 +a(g138 +V! ! +p16843 +tp16844 +a(g6 +V\u000a\u000a +p16845 +tp16846 +a(g138 +V! +tp16847 +a(g133 +VObject +p16848 +tp16849 +a(g138 +V methodsFor: +p16850 +tp16851 +a(g236 +V'graph model' +p16852 +tp16853 +a(g138 +V stamp: 'nk 1/23/2004 14:35'! +p16854 +tp16855 +a(g6 +V\u000a +tp16856 +a(g48 +VhasModelYellowButtonMenuItems +p16857 +tp16858 +a(g6 +V\u000a +p16859 +tp16860 +a(g353 +V^ +tp16861 +a(g133 +VPreferences +p16862 +tp16863 +a(g6 +V +tp16864 +a(g48 +VcmdGesturesEnabled +p16865 +tp16866 +a(g138 +V! ! +p16867 +tp16868 +a(g6 +V\u000a\u000a\u000a +p16869 +tp16870 +a(g138 +V! +tp16871 +a(g133 +VObject +p16872 +tp16873 +a(g138 +V methodsFor: +p16874 +tp16875 +a(g236 +V'inspecting' +p16876 +tp16877 +a(g138 +V stamp: 'ar 9/27/2005 18:31'! +p16878 +tp16879 +a(g6 +V\u000a +tp16880 +a(g48 +VbasicInspect +p16881 +tp16882 +a(g6 +V\u000a +p16883 +tp16884 +a(g24 +V"Create and schedule an Inspector in which the user can examine the \u000a receiver's variables. This method should not be overriden." +p16885 +tp16886 +a(g6 +V\u000a +p16887 +tp16888 +a(g353 +V^ +tp16889 +a(g133 +VToolSet +p16890 +tp16891 +a(g6 +V +tp16892 +a(g48 +VbasicInspect: +p16893 +tp16894 +a(g6 +V +tp16895 +a(g87 +Vself +p16896 +tp16897 +a(g138 +V! ! +p16898 +tp16899 +a(g6 +V\u000a\u000a +p16900 +tp16901 +a(g138 +V! +tp16902 +a(g133 +VObject +p16903 +tp16904 +a(g138 +V methodsFor: +p16905 +tp16906 +a(g236 +V'inspecting' +p16907 +tp16908 +a(g138 +V stamp: 'md 1/18/2006 19:09'! +p16909 +tp16910 +a(g6 +V\u000a +tp16911 +a(g48 +Vinspect +p16912 +tp16913 +a(g6 +V\u000a +p16914 +tp16915 +a(g24 +V"Create and schedule an Inspector in which the user can examine the receiver's variables." +p16916 +tp16917 +a(g6 +V\u000a +p16918 +tp16919 +a(g133 +VToolSet +p16920 +tp16921 +a(g6 +V +tp16922 +a(g48 +Vinspect: +p16923 +tp16924 +a(g6 +V +tp16925 +a(g87 +Vself +p16926 +tp16927 +a(g138 +V! ! +p16928 +tp16929 +a(g6 +V\u000a\u000a +p16930 +tp16931 +a(g138 +V! +tp16932 +a(g133 +VObject +p16933 +tp16934 +a(g138 +V methodsFor: +p16935 +tp16936 +a(g236 +V'inspecting' +p16937 +tp16938 +a(g138 +V stamp: 'apb 7/14/2004 12:19'! +p16939 +tp16940 +a(g6 +V\u000a +tp16941 +a(g48 +VinspectorClass +p16942 +tp16943 +a(g6 +V\u000a +p16944 +tp16945 +a(g24 +V"Answer the class of the inspector to be used on the receiver. Called by inspect; \u000a use basicInspect to get a normal (less useful) type of inspector." +p16946 +tp16947 +a(g6 +V\u000a\u000a +p16948 +tp16949 +a(g353 +V^ +tp16950 +a(g6 +V +tp16951 +a(g133 +VInspector +p16952 +tp16953 +a(g138 +V! ! +p16954 +tp16955 +a(g6 +V\u000a\u000a\u000a +p16956 +tp16957 +a(g138 +V! +tp16958 +a(g133 +VObject +p16959 +tp16960 +a(g138 +V methodsFor: +p16961 +tp16962 +a(g236 +V'locales' +p16963 +tp16964 +a(g138 +V stamp: 'tak 8/4/2005 14:55'! +p16965 +tp16966 +a(g6 +V\u000a +tp16967 +a(g48 +VlocaleChanged +p16968 +tp16969 +a(g6 +V\u000a +p16970 +tp16971 +a(g87 +Vself +p16972 +tp16973 +a(g6 +V +tp16974 +a(g48 +VshouldBeImplemented +p16975 +tp16976 +a(g138 +V! ! +p16977 +tp16978 +a(g6 +V\u000a\u000a\u000a +p16979 +tp16980 +a(g138 +V! +tp16981 +a(g133 +VObject +p16982 +tp16983 +a(g138 +V methodsFor: +p16984 +tp16985 +a(g236 +V'macpal' +p16986 +tp16987 +a(g138 +V stamp: 'sw 5/7/1998 23:00'! +p16988 +tp16989 +a(g6 +V\u000a +tp16990 +a(g48 +VcodeStrippedOut: +p16991 +tp16992 +a(g6 +V +tp16993 +a(g100 +VmessageString +p16994 +tp16995 +a(g6 +V\u000a +p16996 +tp16997 +a(g24 +V"When a method is stripped out for external release, it is replaced by a method that calls this" +p16998 +tp16999 +a(g6 +V\u000a\u000a +p17000 +tp17001 +a(g87 +Vself +p17002 +tp17003 +a(g6 +V +tp17004 +a(g48 +Vhalt: +p17005 +tp17006 +a(g6 +V +tp17007 +a(g236 +V'Code stripped out -- ' +p17008 +tp17009 +a(g48 +V, +tp17010 +a(g6 +V +tp17011 +a(g100 +VmessageString +p17012 +tp17013 +a(g48 +V, +tp17014 +a(g6 +V +tp17015 +a(g236 +V'-- do not proceed.' +p17016 +tp17017 +a(g138 +V! ! +p17018 +tp17019 +a(g6 +V\u000a\u000a +p17020 +tp17021 +a(g138 +V! +tp17022 +a(g133 +VObject +p17023 +tp17024 +a(g138 +V methodsFor: +p17025 +tp17026 +a(g236 +V'macpal' +p17027 +tp17028 +a(g138 +V stamp: 'sw 1/28/1999 17:31'! +p17029 +tp17030 +a(g6 +V\u000a +tp17031 +a(g48 +VcontentsChanged +p17032 +tp17033 +a(g6 +V\u000a +p17034 +tp17035 +a(g87 +Vself +p17036 +tp17037 +a(g6 +V +tp17038 +a(g48 +Vchanged: +p17039 +tp17040 +a(g6 +V +tp17041 +a(g258 +V#contents +p17042 +tp17043 +a(g138 +V! ! +p17044 +tp17045 +a(g6 +V\u000a\u000a +p17046 +tp17047 +a(g138 +V! +tp17048 +a(g133 +VObject +p17049 +tp17050 +a(g138 +V methodsFor: +p17051 +tp17052 +a(g236 +V'macpal' +p17053 +tp17054 +a(g138 +V stamp: 'ar 3/18/2001 00:03'! +p17055 +tp17056 +a(g6 +V\u000a +tp17057 +a(g48 +VcurrentEvent +p17058 +tp17059 +a(g6 +V\u000a +p17060 +tp17061 +a(g24 +V"Answer the current Morphic event. This method never returns nil." +p17062 +tp17063 +a(g6 +V\u000a +p17064 +tp17065 +a(g353 +V^ +tp17066 +a(g133 +VActiveEvent +p17067 +tp17068 +a(g6 +V +tp17069 +a(g48 +VifNil: +p17070 +tp17071 +a(g6 +V[ +tp17072 +a(g6 +V +tp17073 +a(g87 +Vself +p17074 +tp17075 +a(g6 +V +tp17076 +a(g48 +VcurrentHand +p17077 +tp17078 +a(g6 +V +tp17079 +a(g48 +VlastEvent +p17080 +tp17081 +a(g6 +V] +tp17082 +a(g138 +V! ! +p17083 +tp17084 +a(g6 +V\u000a\u000a +p17085 +tp17086 +a(g138 +V! +tp17087 +a(g133 +VObject +p17088 +tp17089 +a(g138 +V methodsFor: +p17090 +tp17091 +a(g236 +V'macpal' +p17092 +tp17093 +a(g138 +V stamp: 'nk 9/1/2004 10:41'! +p17094 +tp17095 +a(g6 +V\u000a +tp17096 +a(g48 +VcurrentHand +p17097 +tp17098 +a(g6 +V\u000a +p17099 +tp17100 +a(g24 +V"Return a usable HandMorph -- the one associated with the object's current environment. This method will always return a hand, even if it has to conjure one up as a last resort. If a particular hand is actually handling events at the moment (such as a remote hand or a ghost hand), it will be returned." +p17101 +tp17102 +a(g6 +V\u000a\u000a +p17103 +tp17104 +a(g353 +V^ +tp17105 +a(g133 +VActiveHand +p17106 +tp17107 +a(g6 +V +tp17108 +a(g48 +VifNil: +p17109 +tp17110 +a(g6 +V +tp17111 +a(g6 +V[ +tp17112 +a(g6 +V +tp17113 +a(g6 +V +tp17114 +a(g87 +Vself +p17115 +tp17116 +a(g6 +V +tp17117 +a(g48 +VcurrentWorld +p17118 +tp17119 +a(g6 +V +tp17120 +a(g48 +VprimaryHand +p17121 +tp17122 +a(g6 +V +tp17123 +a(g6 +V] +tp17124 +a(g138 +V! ! +p17125 +tp17126 +a(g6 +V\u000a\u000a +p17127 +tp17128 +a(g138 +V! +tp17129 +a(g133 +VObject +p17130 +tp17131 +a(g138 +V methodsFor: +p17132 +tp17133 +a(g236 +V'macpal' +p17134 +tp17135 +a(g138 +V stamp: 'sw 5/17/2001 12:08'! +p17136 +tp17137 +a(g6 +V\u000a +tp17138 +a(g48 +VcurrentVocabulary +p17139 +tp17140 +a(g6 +V\u000a +p17141 +tp17142 +a(g24 +V"Answer the currently-prevailing default vocabulary." +p17143 +tp17144 +a(g6 +V\u000a\u000a +p17145 +tp17146 +a(g353 +V^ +tp17147 +a(g6 +V +tp17148 +a(g133 +VSmalltalk +p17149 +tp17150 +a(g6 +V +tp17151 +a(g48 +VisMorphic +p17152 +tp17153 +a(g6 +V +tp17154 +a(g84 +VifTrue: +p17155 +tp17156 +a(g6 +V\u000a +p17157 +tp17158 +a(g6 +V[ +tp17159 +a(g6 +V +tp17160 +a(g133 +VActiveWorld +p17161 +tp17162 +a(g6 +V +tp17163 +a(g48 +VcurrentVocabulary +p17164 +tp17165 +a(g6 +V] +tp17166 +a(g6 +V\u000a +p17167 +tp17168 +a(g84 +VifFalse: +p17169 +tp17170 +a(g6 +V\u000a +p17171 +tp17172 +a(g6 +V[ +tp17173 +a(g6 +V +tp17174 +a(g133 +VVocabulary +p17175 +tp17176 +a(g6 +V +tp17177 +a(g48 +VfullVocabulary +p17178 +tp17179 +a(g6 +V] +tp17180 +a(g138 +V! ! +p17181 +tp17182 +a(g6 +V\u000a\u000a +p17183 +tp17184 +a(g138 +V! +tp17185 +a(g133 +VObject +p17186 +tp17187 +a(g138 +V methodsFor: +p17188 +tp17189 +a(g236 +V'macpal' +p17190 +tp17191 +a(g138 +V stamp: 'ar 3/18/2001 00:08'! +p17192 +tp17193 +a(g6 +V\u000a +tp17194 +a(g48 +VcurrentWorld +p17195 +tp17196 +a(g6 +V\u000a +p17197 +tp17198 +a(g24 +V"Answer a morphic world that is the current UI focus.\u000a If in an embedded world, it's that world.\u000a If in a morphic project, it's that project's world. \u000a If in an mvc project, it is the topmost morphic-mvc-window's worldMorph. \u000a If in an mvc project that has no morphic-mvc-windows, then it's just some existing worldmorph instance.\u000a If in an mvc project in a Squeak that has NO WorldMorph instances, one is created.\u000a\u000a This method will never return nil, it will always return its best effort at returning a relevant world morph, but if need be -- if there are no worlds anywhere, it will create a new one." +p17199 +tp17200 +a(g6 +V\u000a\u000a +p17201 +tp17202 +a(g353 +V| +tp17203 +a(g100 +V aView aSubview +p17204 +tp17205 +a(g353 +V| +tp17206 +a(g6 +V\u000a +p17207 +tp17208 +a(g133 +VActiveWorld +p17209 +tp17210 +a(g6 +V +tp17211 +a(g48 +VifNotNil: +p17212 +tp17213 +a(g6 +V[ +tp17214 +a(g6 +V +tp17215 +a(g353 +V^ +tp17216 +a(g133 +VActiveWorld +p17217 +tp17218 +a(g6 +V] +tp17219 +a(g212 +V. +tp17220 +a(g6 +V\u000a +p17221 +tp17222 +a(g133 +VWorld +p17223 +tp17224 +a(g6 +V +tp17225 +a(g48 +VifNotNil: +p17226 +tp17227 +a(g6 +V[ +tp17228 +a(g6 +V +tp17229 +a(g353 +V^ +tp17230 +a(g133 +VWorld +p17231 +tp17232 +a(g6 +V] +tp17233 +a(g212 +V. +tp17234 +a(g6 +V\u000a +p17235 +tp17236 +a(g100 +VaView +p17237 +tp17238 +a(g6 +V +tp17239 +a(g353 +V_ +tp17240 +a(g6 +V +tp17241 +a(g133 +VScheduledControllers +p17242 +tp17243 +a(g6 +V +tp17244 +a(g48 +VcontrollerSatisfying: +p17245 +tp17246 +a(g6 +V\u000a +p17247 +tp17248 +a(g6 +V[ +tp17249 +a(g353 +V: +tp17250 +a(g100 +Vctrl +p17251 +tp17252 +a(g6 +V +tp17253 +a(g353 +V| +tp17254 +a(g6 +V +tp17255 +a(g6 +V( +tp17256 +a(g100 +VaSubview +p17257 +tp17258 +a(g6 +V +tp17259 +a(g353 +V_ +tp17260 +a(g6 +V +tp17261 +a(g100 +Vctrl +p17262 +tp17263 +a(g6 +V +tp17264 +a(g48 +Vview +p17265 +tp17266 +a(g6 +V +tp17267 +a(g48 +VfirstSubView +p17268 +tp17269 +a(g6 +V) +tp17270 +a(g6 +V +tp17271 +a(g48 +VnotNil +p17272 +tp17273 +a(g6 +V +tp17274 +a(g48 +Vand: +p17275 +tp17276 +a(g6 +V\u000a +p17277 +tp17278 +a(g6 +V[ +tp17279 +a(g6 +V +tp17280 +a(g100 +VaSubview +p17281 +tp17282 +a(g6 +V +tp17283 +a(g48 +Vmodel +p17284 +tp17285 +a(g6 +V +tp17286 +a(g48 +VisMorph +p17287 +tp17288 +a(g6 +V +tp17289 +a(g48 +Vand: +p17290 +tp17291 +a(g6 +V +tp17292 +a(g6 +V[ +tp17293 +a(g6 +V +tp17294 +a(g100 +VaSubview +p17295 +tp17296 +a(g6 +V +tp17297 +a(g48 +Vmodel +p17298 +tp17299 +a(g6 +V +tp17300 +a(g48 +VisWorldMorph +p17301 +tp17302 +a(g6 +V] +tp17303 +a(g6 +V] +tp17304 +a(g6 +V] +tp17305 +a(g212 +V. +tp17306 +a(g6 +V\u000a +p17307 +tp17308 +a(g353 +V^ +tp17309 +a(g100 +VaView +p17310 +tp17311 +a(g6 +V\u000a +p17312 +tp17313 +a(g48 +VifNotNil: +p17314 +tp17315 +a(g6 +V\u000a +p17316 +tp17317 +a(g6 +V[ +tp17318 +a(g6 +V +tp17319 +a(g100 +VaSubview +p17320 +tp17321 +a(g6 +V +tp17322 +a(g48 +Vmodel +p17323 +tp17324 +a(g6 +V] +tp17325 +a(g6 +V\u000a +p17326 +tp17327 +a(g48 +VifNil: +p17328 +tp17329 +a(g6 +V\u000a +p17330 +tp17331 +a(g6 +V[ +tp17332 +a(g6 +V +tp17333 +a(g133 +VMVCWiWPasteUpMorph +p17334 +tp17335 +a(g6 +V +tp17336 +a(g48 +VnewWorldForProject: +p17337 +tp17338 +a(g6 +V +tp17339 +a(g87 +Vnil +p17340 +tp17341 +a(g6 +V] +tp17342 +a(g212 +V. +tp17343 +a(g138 +V! ! +p17344 +tp17345 +a(g6 +V\u000a\u000a +p17346 +tp17347 +a(g138 +V! +tp17348 +a(g133 +VObject +p17349 +tp17350 +a(g138 +V methodsFor: +p17351 +tp17352 +a(g236 +V'macpal' +p17353 +tp17354 +a(g138 +V stamp: 'jm 5/6/1998 22:35'! +p17355 +tp17356 +a(g6 +V\u000a +tp17357 +a(g48 +Vflash +p17358 +tp17359 +a(g6 +V\u000a +p17360 +tp17361 +a(g24 +V"Do nothing." +p17362 +tp17363 +a(g6 +V\u000a +tp17364 +a(g138 +V! ! +p17365 +tp17366 +a(g6 +V\u000a\u000a +p17367 +tp17368 +a(g138 +V! +tp17369 +a(g133 +VObject +p17370 +tp17371 +a(g138 +V methodsFor: +p17372 +tp17373 +a(g236 +V'macpal' +p17374 +tp17375 +a(g138 +V stamp: 'sw 6/16/1998 15:07'! +p17376 +tp17377 +a(g6 +V\u000a +tp17378 +a(g48 +VinstanceVariableValues +p17379 +tp17380 +a(g6 +V\u000a +p17381 +tp17382 +a(g24 +V"Answer a collection whose elements are the values of those instance variables of the receiver which were added by the receiver's class" +p17383 +tp17384 +a(g6 +V\u000a +p17385 +tp17386 +a(g353 +V| +tp17387 +a(g100 +V c +p17388 +tp17389 +a(g353 +V| +tp17390 +a(g6 +V\u000a +p17391 +tp17392 +a(g100 +Vc +tp17393 +a(g6 +V +tp17394 +a(g353 +V_ +tp17395 +a(g6 +V +tp17396 +a(g133 +VOrderedCollection +p17397 +tp17398 +a(g6 +V +tp17399 +a(g84 +Vnew +p17400 +tp17401 +a(g212 +V. +tp17402 +a(g6 +V\u000a +p17403 +tp17404 +a(g87 +Vself +p17405 +tp17406 +a(g6 +V +tp17407 +a(g48 +Vclass +p17408 +tp17409 +a(g6 +V +tp17410 +a(g48 +Vsuperclass +p17411 +tp17412 +a(g6 +V +tp17413 +a(g48 +VinstSize +p17414 +tp17415 +a(g6 +V +tp17416 +a(g48 +V+ +tp17417 +a(g6 +V +tp17418 +a(g223 +V1 +tp17419 +a(g6 +V +tp17420 +a(g48 +Vto: +p17421 +tp17422 +a(g6 +V +tp17423 +a(g87 +Vself +p17424 +tp17425 +a(g6 +V +tp17426 +a(g48 +Vclass +p17427 +tp17428 +a(g6 +V +tp17429 +a(g48 +VinstSize +p17430 +tp17431 +a(g6 +V +tp17432 +a(g48 +Vdo: +p17433 +tp17434 +a(g6 +V\u000a +p17435 +tp17436 +a(g6 +V[ +tp17437 +a(g353 +V: +tp17438 +a(g100 +Vi +tp17439 +a(g6 +V +tp17440 +a(g353 +V| +tp17441 +a(g6 +V +tp17442 +a(g100 +Vc +tp17443 +a(g6 +V +tp17444 +a(g48 +Vadd: +p17445 +tp17446 +a(g6 +V +tp17447 +a(g6 +V( +tp17448 +a(g87 +Vself +p17449 +tp17450 +a(g6 +V +tp17451 +a(g48 +VinstVarAt: +p17452 +tp17453 +a(g6 +V +tp17454 +a(g100 +Vi +tp17455 +a(g6 +V) +tp17456 +a(g6 +V] +tp17457 +a(g212 +V. +tp17458 +a(g6 +V\u000a +p17459 +tp17460 +a(g353 +V^ +tp17461 +a(g6 +V +tp17462 +a(g100 +Vc +tp17463 +a(g138 +V! ! +p17464 +tp17465 +a(g6 +V\u000a\u000a +p17466 +tp17467 +a(g138 +V! +tp17468 +a(g133 +VObject +p17469 +tp17470 +a(g138 +V methodsFor: +p17471 +tp17472 +a(g236 +V'macpal' +p17473 +tp17474 +a(g138 +V stamp: 'sw 3/20/2001 13:29'! +p17475 +tp17476 +a(g6 +V\u000a +tp17477 +a(g48 +VisUniversalTiles +p17478 +tp17479 +a(g6 +V\u000a +p17480 +tp17481 +a(g24 +V"Return true if I (my world) uses universal tiles. This message can be called in places where the current World is not known, such as when writing out a project. For more information about the project-writing subtlety addressed by this protocol, kindly contact Ted Kaehler." +p17482 +tp17483 +a(g6 +V\u000a\u000a +p17484 +tp17485 +a(g353 +V^ +tp17486 +a(g6 +V +tp17487 +a(g133 +VPreferences +p17488 +tp17489 +a(g6 +V +tp17490 +a(g48 +VuniversalTiles +p17491 +tp17492 +a(g138 +V! ! +p17493 +tp17494 +a(g6 +V\u000a\u000a +p17495 +tp17496 +a(g138 +V! +tp17497 +a(g133 +VObject +p17498 +tp17499 +a(g138 +V methodsFor: +p17500 +tp17501 +a(g236 +V'macpal' +p17502 +tp17503 +a(g138 +V stamp: 'sw 10/24/2000 07:04'! +p17504 +tp17505 +a(g6 +V\u000a +tp17506 +a(g48 +VobjectRepresented +p17507 +tp17508 +a(g6 +V\u000a +p17509 +tp17510 +a(g24 +V"most objects represent themselves; this provides a hook for aliases to grab on to" +p17511 +tp17512 +a(g6 +V\u000a\u000a +p17513 +tp17514 +a(g353 +V^ +tp17515 +a(g6 +V +tp17516 +a(g87 +Vself +p17517 +tp17518 +a(g138 +V! ! +p17519 +tp17520 +a(g6 +V\u000a\u000a +p17521 +tp17522 +a(g138 +V! +tp17523 +a(g133 +VObject +p17524 +tp17525 +a(g138 +V methodsFor: +p17526 +tp17527 +a(g236 +V'macpal' +p17528 +tp17529 +a(g138 +V stamp: 'sw 5/22/2001 18:31'! +p17530 +tp17531 +a(g6 +V\u000a +tp17532 +a(g48 +VrefusesToAcceptCode +p17533 +tp17534 +a(g6 +V\u000a +p17535 +tp17536 +a(g24 +V"Answer whether the receiver is a code-bearing instrument which at the moment refuses to allow its contents to be submitted" +p17537 +tp17538 +a(g6 +V\u000a\u000a +p17539 +tp17540 +a(g353 +V^ +tp17541 +a(g6 +V +tp17542 +a(g87 +Vfalse +p17543 +tp17544 +a(g6 +V\u000a +p17545 +tp17546 +a(g138 +V! ! +p17547 +tp17548 +a(g6 +V\u000a\u000a +p17549 +tp17550 +a(g138 +V! +tp17551 +a(g133 +VObject +p17552 +tp17553 +a(g138 +V methodsFor: +p17554 +tp17555 +a(g236 +V'macpal' +p17556 +tp17557 +a(g138 +V stamp: 'jm 2/24/1999 12:40'! +p17558 +tp17559 +a(g6 +V\u000a +tp17560 +a(g48 +VscriptPerformer +p17561 +tp17562 +a(g6 +V\u000a +tp17563 +a(g6 +V\u000a +p17564 +tp17565 +a(g353 +V^ +tp17566 +a(g6 +V +tp17567 +a(g87 +Vself +p17568 +tp17569 +a(g6 +V\u000a +tp17570 +a(g138 +V! ! +p17571 +tp17572 +a(g6 +V\u000a\u000a +p17573 +tp17574 +a(g138 +V! +tp17575 +a(g133 +VObject +p17576 +tp17577 +a(g138 +V methodsFor: +p17578 +tp17579 +a(g236 +V'macpal' +p17580 +tp17581 +a(g138 +V stamp: 'sw 3/20/2001 13:40'! +p17582 +tp17583 +a(g6 +V\u000a +tp17584 +a(g48 +VslotInfo +p17585 +tp17586 +a(g6 +V\u000a +p17587 +tp17588 +a(g24 +V"Answer a list of slot-information objects. Initally only provides useful info for players" +p17589 +tp17590 +a(g6 +V\u000a\u000a +p17591 +tp17592 +a(g353 +V^ +tp17593 +a(g6 +V +tp17594 +a(g133 +VDictionary +p17595 +tp17596 +a(g6 +V +tp17597 +a(g84 +Vnew +p17598 +tp17599 +a(g138 +V! ! +p17600 +tp17601 +a(g6 +V\u000a\u000a\u000a +p17602 +tp17603 +a(g138 +V! +tp17604 +a(g133 +VObject +p17605 +tp17606 +a(g138 +V methodsFor: +p17607 +tp17608 +a(g236 +V'message handling' +p17609 +tp17610 +a(g138 +V stamp: 'md 1/20/2006 16:28'! +p17611 +tp17612 +a(g6 +V\u000a +tp17613 +a(g48 +VexecuteMethod: +p17614 +tp17615 +a(g6 +V +tp17616 +a(g100 +VcompiledMethod +p17617 +tp17618 +a(g6 +V\u000a +p17619 +tp17620 +a(g24 +V"Execute compiledMethod against the receiver with no args" +p17621 +tp17622 +a(g6 +V\u000a\u000a +p17623 +tp17624 +a(g24 +V"" +p17625 +tp17626 +a(g6 +V +tp17627 +a(g24 +V"uncomment once prim 189 is in VM" +p17628 +tp17629 +a(g6 +V\u000a +p17630 +tp17631 +a(g353 +V^ +tp17632 +a(g6 +V +tp17633 +a(g87 +Vself +p17634 +tp17635 +a(g6 +V +tp17636 +a(g48 +VwithArgs: +p17637 +tp17638 +a(g6 +V +tp17639 +a(g258 +V#( +p17640 +tp17641 +a(g258 +V) +tp17642 +a(g6 +V +tp17643 +a(g48 +VexecuteMethod: +p17644 +tp17645 +a(g6 +V +tp17646 +a(g100 +VcompiledMethod +p17647 +tp17648 +a(g138 +V! ! +p17649 +tp17650 +a(g6 +V\u000a\u000a +p17651 +tp17652 +a(g138 +V! +tp17653 +a(g133 +VObject +p17654 +tp17655 +a(g138 +V methodsFor: +p17656 +tp17657 +a(g236 +V'message handling' +p17658 +tp17659 +a(g138 +V stamp: 'di 3/26/1999 07:52'! +p17660 +tp17661 +a(g6 +V\u000a +tp17662 +a(g48 +Vperform: +p17663 +tp17664 +a(g6 +V +tp17665 +a(g100 +VaSymbol +p17666 +tp17667 +a(g6 +V \u000a +p17668 +tp17669 +a(g24 +V"Send the unary selector, aSymbol, to the receiver.\u000a Fail if the number of arguments expected by the selector is not zero.\u000a Primitive. Optional. See Object documentation whatIsAPrimitive." +p17670 +tp17671 +a(g6 +V\u000a\u000a +p17672 +tp17673 +a(g6 +V< +tp17674 +a(g138 +Vprimitive: +p17675 +tp17676 +a(g6 +V 83 +p17677 +tp17678 +a(g6 +V> +tp17679 +a(g6 +V\u000a +p17680 +tp17681 +a(g353 +V^ +tp17682 +a(g6 +V +tp17683 +a(g87 +Vself +p17684 +tp17685 +a(g6 +V +tp17686 +a(g48 +Vperform: +p17687 +tp17688 +a(g6 +V +tp17689 +a(g100 +VaSymbol +p17690 +tp17691 +a(g6 +V +tp17692 +a(g48 +VwithArguments: +p17693 +tp17694 +a(g6 +V +tp17695 +a(g6 +V( +tp17696 +a(g133 +VArray +p17697 +tp17698 +a(g6 +V +tp17699 +a(g48 +Vnew: +p17700 +tp17701 +a(g6 +V +tp17702 +a(g223 +V0 +tp17703 +a(g6 +V) +tp17704 +a(g138 +V! ! +p17705 +tp17706 +a(g6 +V\u000a\u000a +p17707 +tp17708 +a(g138 +V! +tp17709 +a(g133 +VObject +p17710 +tp17711 +a(g138 +V methodsFor: +p17712 +tp17713 +a(g236 +V'message handling' +p17714 +tp17715 +a(g138 +V stamp: 'st 11/5/2004 16:19'! +p17716 +tp17717 +a(g6 +V\u000a +tp17718 +a(g48 +Vperform: +p17719 +tp17720 +a(g6 +V +tp17721 +a(g100 +Vselector +p17722 +tp17723 +a(g6 +V +tp17724 +a(g48 +VorSendTo: +p17725 +tp17726 +a(g6 +V +tp17727 +a(g100 +VotherTarget +p17728 +tp17729 +a(g6 +V\u000a +p17730 +tp17731 +a(g24 +V"If I wish to intercept and handle selector myself, do it; else send it to otherTarget" +p17732 +tp17733 +a(g6 +V\u000a +p17734 +tp17735 +a(g353 +V^ +tp17736 +a(g6 +V +tp17737 +a(g6 +V( +tp17738 +a(g87 +Vself +p17739 +tp17740 +a(g6 +V +tp17741 +a(g48 +VrespondsTo: +p17742 +tp17743 +a(g6 +V +tp17744 +a(g100 +Vselector +p17745 +tp17746 +a(g6 +V) +tp17747 +a(g6 +V +tp17748 +a(g84 +VifTrue: +p17749 +tp17750 +a(g6 +V +tp17751 +a(g6 +V[ +tp17752 +a(g6 +V +tp17753 +a(g87 +Vself +p17754 +tp17755 +a(g6 +V +tp17756 +a(g48 +Vperform: +p17757 +tp17758 +a(g6 +V +tp17759 +a(g100 +Vselector +p17760 +tp17761 +a(g6 +V] +tp17762 +a(g6 +V +tp17763 +a(g84 +VifFalse: +p17764 +tp17765 +a(g6 +V +tp17766 +a(g6 +V[ +tp17767 +a(g6 +V +tp17768 +a(g100 +VotherTarget +p17769 +tp17770 +a(g6 +V +tp17771 +a(g48 +Vperform: +p17772 +tp17773 +a(g6 +V +tp17774 +a(g100 +Vselector +p17775 +tp17776 +a(g6 +V] +tp17777 +a(g138 +V! ! +p17778 +tp17779 +a(g6 +V\u000a\u000a +p17780 +tp17781 +a(g138 +V! +tp17782 +a(g133 +VObject +p17783 +tp17784 +a(g138 +V methodsFor: +p17785 +tp17786 +a(g236 +V'message handling' +p17787 +tp17788 +a(g138 +V stamp: 'di 3/26/1999 07:55'! +p17789 +tp17790 +a(g6 +V\u000a +tp17791 +a(g48 +Vperform: +p17792 +tp17793 +a(g6 +V +tp17794 +a(g100 +Vselector +p17795 +tp17796 +a(g6 +V +tp17797 +a(g48 +VwithArguments: +p17798 +tp17799 +a(g6 +V +tp17800 +a(g100 +VargArray +p17801 +tp17802 +a(g6 +V \u000a +p17803 +tp17804 +a(g24 +V"Send the selector, aSymbol, to the receiver with arguments in argArray.\u000a Fail if the number of arguments expected by the selector \u000a does not match the size of argArray.\u000a Primitive. Optional. See Object documentation whatIsAPrimitive." +p17805 +tp17806 +a(g6 +V\u000a\u000a +p17807 +tp17808 +a(g6 +V< +tp17809 +a(g138 +Vprimitive: +p17810 +tp17811 +a(g6 +V 84 +p17812 +tp17813 +a(g6 +V> +tp17814 +a(g6 +V\u000a +p17815 +tp17816 +a(g353 +V^ +tp17817 +a(g6 +V +tp17818 +a(g87 +Vself +p17819 +tp17820 +a(g6 +V +tp17821 +a(g48 +Vperform: +p17822 +tp17823 +a(g6 +V +tp17824 +a(g100 +Vselector +p17825 +tp17826 +a(g6 +V +tp17827 +a(g48 +VwithArguments: +p17828 +tp17829 +a(g6 +V +tp17830 +a(g100 +VargArray +p17831 +tp17832 +a(g6 +V +tp17833 +a(g48 +VinSuperclass: +p17834 +tp17835 +a(g6 +V +tp17836 +a(g87 +Vself +p17837 +tp17838 +a(g6 +V +tp17839 +a(g48 +Vclass +p17840 +tp17841 +a(g138 +V! ! +p17842 +tp17843 +a(g6 +V\u000a\u000a +p17844 +tp17845 +a(g138 +V! +tp17846 +a(g133 +VObject +p17847 +tp17848 +a(g138 +V methodsFor: +p17849 +tp17850 +a(g236 +V'message handling' +p17851 +tp17852 +a(g138 +V stamp: 'ar 4/25/2005 13:35'! +p17853 +tp17854 +a(g6 +V\u000a +tp17855 +a(g48 +Vperform: +p17856 +tp17857 +a(g6 +V +tp17858 +a(g100 +Vselector +p17859 +tp17860 +a(g6 +V +tp17861 +a(g48 +VwithArguments: +p17862 +tp17863 +a(g6 +V +tp17864 +a(g100 +VargArray +p17865 +tp17866 +a(g6 +V +tp17867 +a(g48 +VinSuperclass: +p17868 +tp17869 +a(g6 +V +tp17870 +a(g100 +VlookupClass +p17871 +tp17872 +a(g6 +V\u000a +p17873 +tp17874 +a(g24 +V"NOTE: This is just like perform:withArguments:, except that\u000a the message lookup process begins, not with the receivers's class,\u000a but with the supplied superclass instead. It will fail if lookupClass\u000a cannot be found among the receiver's superclasses.\u000a Primitive. Essential. See Object documentation whatIsAPrimitive." +p17875 +tp17876 +a(g6 +V\u000a\u000a +p17877 +tp17878 +a(g6 +V< +tp17879 +a(g138 +Vprimitive: +p17880 +tp17881 +a(g6 +V 100 +p17882 +tp17883 +a(g6 +V> +tp17884 +a(g6 +V\u000a +p17885 +tp17886 +a(g6 +V( +tp17887 +a(g100 +Vselector +p17888 +tp17889 +a(g6 +V +tp17890 +a(g48 +VisSymbol +p17891 +tp17892 +a(g6 +V) +tp17893 +a(g6 +V\u000a +p17894 +tp17895 +a(g84 +VifFalse: +p17896 +tp17897 +a(g6 +V +tp17898 +a(g6 +V[ +tp17899 +a(g6 +V +tp17900 +a(g353 +V^ +tp17901 +a(g6 +V +tp17902 +a(g87 +Vself +p17903 +tp17904 +a(g6 +V +tp17905 +a(g48 +Verror: +p17906 +tp17907 +a(g6 +V +tp17908 +a(g236 +V'selector argument must be a Symbol' +p17909 +tp17910 +a(g6 +V] +tp17911 +a(g212 +V. +tp17912 +a(g6 +V\u000a +p17913 +tp17914 +a(g6 +V( +tp17915 +a(g100 +Vselector +p17916 +tp17917 +a(g6 +V +tp17918 +a(g48 +VnumArgs +p17919 +tp17920 +a(g6 +V +tp17921 +a(g48 +V= +tp17922 +a(g6 +V +tp17923 +a(g100 +VargArray +p17924 +tp17925 +a(g6 +V +tp17926 +a(g48 +Vsize +p17927 +tp17928 +a(g6 +V) +tp17929 +a(g6 +V\u000a +p17930 +tp17931 +a(g84 +VifFalse: +p17932 +tp17933 +a(g6 +V +tp17934 +a(g6 +V[ +tp17935 +a(g6 +V +tp17936 +a(g353 +V^ +tp17937 +a(g6 +V +tp17938 +a(g87 +Vself +p17939 +tp17940 +a(g6 +V +tp17941 +a(g48 +Verror: +p17942 +tp17943 +a(g6 +V +tp17944 +a(g236 +V'incorrect number of arguments' +p17945 +tp17946 +a(g6 +V] +tp17947 +a(g212 +V. +tp17948 +a(g6 +V\u000a +p17949 +tp17950 +a(g6 +V( +tp17951 +a(g87 +Vself +p17952 +tp17953 +a(g6 +V +tp17954 +a(g48 +Vclass +p17955 +tp17956 +a(g6 +V +tp17957 +a(g48 +V== +p17958 +tp17959 +a(g6 +V +tp17960 +a(g100 +VlookupClass +p17961 +tp17962 +a(g6 +V +tp17963 +a(g48 +Vor: +p17964 +tp17965 +a(g6 +V +tp17966 +a(g6 +V[ +tp17967 +a(g6 +V +tp17968 +a(g87 +Vself +p17969 +tp17970 +a(g6 +V +tp17971 +a(g48 +Vclass +p17972 +tp17973 +a(g6 +V +tp17974 +a(g48 +VinheritsFrom: +p17975 +tp17976 +a(g6 +V +tp17977 +a(g100 +VlookupClass +p17978 +tp17979 +a(g6 +V] +tp17980 +a(g6 +V) +tp17981 +a(g6 +V\u000a +p17982 +tp17983 +a(g84 +VifFalse: +p17984 +tp17985 +a(g6 +V +tp17986 +a(g6 +V[ +tp17987 +a(g6 +V +tp17988 +a(g353 +V^ +tp17989 +a(g6 +V +tp17990 +a(g87 +Vself +p17991 +tp17992 +a(g6 +V +tp17993 +a(g48 +Verror: +p17994 +tp17995 +a(g6 +V +tp17996 +a(g236 +V'lookupClass is not in my inheritance chain' +p17997 +tp17998 +a(g6 +V] +tp17999 +a(g212 +V. +tp18000 +a(g6 +V\u000a +p18001 +tp18002 +a(g87 +Vself +p18003 +tp18004 +a(g6 +V +tp18005 +a(g48 +VprimitiveFailed +p18006 +tp18007 +a(g138 +V! ! +p18008 +tp18009 +a(g6 +V\u000a\u000a +p18010 +tp18011 +a(g138 +V! +tp18012 +a(g133 +VObject +p18013 +tp18014 +a(g138 +V methodsFor: +p18015 +tp18016 +a(g236 +V'message handling' +p18017 +tp18018 +a(g138 +V stamp: 'nk 4/11/2002 14:13'! +p18019 +tp18020 +a(g6 +V\u000a +tp18021 +a(g48 +Vperform: +p18022 +tp18023 +a(g6 +V +tp18024 +a(g100 +Vselector +p18025 +tp18026 +a(g6 +V +tp18027 +a(g48 +VwithEnoughArguments: +p18028 +tp18029 +a(g6 +V +tp18030 +a(g100 +VanArray +p18031 +tp18032 +a(g6 +V\u000a +p18033 +tp18034 +a(g24 +V"Send the selector, aSymbol, to the receiver with arguments in argArray.\u000a Only use enough arguments for the arity of the selector; supply nils for missing ones." +p18035 +tp18036 +a(g6 +V\u000a +p18037 +tp18038 +a(g353 +V| +tp18039 +a(g100 +V numArgs args +p18040 +tp18041 +a(g353 +V| +tp18042 +a(g6 +V\u000a +p18043 +tp18044 +a(g100 +VnumArgs +p18045 +tp18046 +a(g6 +V +tp18047 +a(g353 +V_ +tp18048 +a(g6 +V +tp18049 +a(g100 +Vselector +p18050 +tp18051 +a(g6 +V +tp18052 +a(g48 +VnumArgs +p18053 +tp18054 +a(g212 +V. +tp18055 +a(g6 +V\u000a +p18056 +tp18057 +a(g100 +VanArray +p18058 +tp18059 +a(g6 +V +tp18060 +a(g48 +Vsize +p18061 +tp18062 +a(g6 +V +tp18063 +a(g48 +V== +p18064 +tp18065 +a(g6 +V +tp18066 +a(g100 +VnumArgs +p18067 +tp18068 +a(g6 +V\u000a +p18069 +tp18070 +a(g84 +VifTrue: +p18071 +tp18072 +a(g6 +V +tp18073 +a(g6 +V[ +tp18074 +a(g6 +V +tp18075 +a(g6 +V +tp18076 +a(g353 +V^ +tp18077 +a(g87 +Vself +p18078 +tp18079 +a(g6 +V +tp18080 +a(g48 +Vperform: +p18081 +tp18082 +a(g6 +V +tp18083 +a(g100 +Vselector +p18084 +tp18085 +a(g6 +V +tp18086 +a(g48 +VwithArguments: +p18087 +tp18088 +a(g6 +V +tp18089 +a(g100 +VanArray +p18090 +tp18091 +a(g6 +V +tp18092 +a(g48 +VasArray +p18093 +tp18094 +a(g6 +V +tp18095 +a(g6 +V] +tp18096 +a(g212 +V. +tp18097 +a(g6 +V\u000a\u000a +p18098 +tp18099 +a(g100 +Vargs +p18100 +tp18101 +a(g6 +V +tp18102 +a(g353 +V_ +tp18103 +a(g6 +V +tp18104 +a(g133 +VArray +p18105 +tp18106 +a(g6 +V +tp18107 +a(g48 +Vnew: +p18108 +tp18109 +a(g6 +V +tp18110 +a(g100 +VnumArgs +p18111 +tp18112 +a(g212 +V. +tp18113 +a(g6 +V\u000a +p18114 +tp18115 +a(g100 +Vargs +p18116 +tp18117 +a(g6 +V +tp18118 +a(g48 +VreplaceFrom: +p18119 +tp18120 +a(g6 +V +tp18121 +a(g223 +V1 +tp18122 +a(g6 +V\u000a +p18123 +tp18124 +a(g48 +Vto: +p18125 +tp18126 +a(g6 +V +tp18127 +a(g6 +V( +tp18128 +a(g100 +VanArray +p18129 +tp18130 +a(g6 +V +tp18131 +a(g48 +Vsize +p18132 +tp18133 +a(g6 +V +tp18134 +a(g48 +Vmin: +p18135 +tp18136 +a(g6 +V +tp18137 +a(g100 +Vargs +p18138 +tp18139 +a(g6 +V +tp18140 +a(g48 +Vsize +p18141 +tp18142 +a(g6 +V) +tp18143 +a(g6 +V\u000a +p18144 +tp18145 +a(g48 +Vwith: +p18146 +tp18147 +a(g6 +V +tp18148 +a(g100 +VanArray +p18149 +tp18150 +a(g6 +V\u000a +p18151 +tp18152 +a(g48 +VstartingAt: +p18153 +tp18154 +a(g6 +V +tp18155 +a(g223 +V1 +tp18156 +a(g212 +V. +tp18157 +a(g6 +V\u000a\u000a +p18158 +tp18159 +a(g353 +V^ +tp18160 +a(g6 +V +tp18161 +a(g87 +Vself +p18162 +tp18163 +a(g6 +V +tp18164 +a(g48 +Vperform: +p18165 +tp18166 +a(g6 +V +tp18167 +a(g100 +Vselector +p18168 +tp18169 +a(g6 +V +tp18170 +a(g48 +VwithArguments: +p18171 +tp18172 +a(g6 +V +tp18173 +a(g100 +Vargs +p18174 +tp18175 +a(g138 +V! ! +p18176 +tp18177 +a(g6 +V\u000a\u000a +p18178 +tp18179 +a(g138 +V! +tp18180 +a(g133 +VObject +p18181 +tp18182 +a(g138 +V methodsFor: +p18183 +tp18184 +a(g236 +V'message handling' +p18185 +tp18186 +a(g138 +V stamp: 'di 3/26/1999 07:52'! +p18187 +tp18188 +a(g6 +V\u000a +tp18189 +a(g48 +Vperform: +p18190 +tp18191 +a(g6 +V +tp18192 +a(g100 +VaSymbol +p18193 +tp18194 +a(g6 +V +tp18195 +a(g48 +Vwith: +p18196 +tp18197 +a(g6 +V +tp18198 +a(g100 +VanObject +p18199 +tp18200 +a(g6 +V \u000a +p18201 +tp18202 +a(g24 +V"Send the selector, aSymbol, to the receiver with anObject as its argument.\u000a Fail if the number of arguments expected by the selector is not one.\u000a Primitive. Optional. See Object documentation whatIsAPrimitive." +p18203 +tp18204 +a(g6 +V\u000a\u000a +p18205 +tp18206 +a(g6 +V< +tp18207 +a(g138 +Vprimitive: +p18208 +tp18209 +a(g6 +V 83 +p18210 +tp18211 +a(g6 +V> +tp18212 +a(g6 +V\u000a +p18213 +tp18214 +a(g353 +V^ +tp18215 +a(g6 +V +tp18216 +a(g87 +Vself +p18217 +tp18218 +a(g6 +V +tp18219 +a(g48 +Vperform: +p18220 +tp18221 +a(g6 +V +tp18222 +a(g100 +VaSymbol +p18223 +tp18224 +a(g6 +V +tp18225 +a(g48 +VwithArguments: +p18226 +tp18227 +a(g6 +V +tp18228 +a(g6 +V( +tp18229 +a(g133 +VArray +p18230 +tp18231 +a(g6 +V +tp18232 +a(g48 +Vwith: +p18233 +tp18234 +a(g6 +V +tp18235 +a(g100 +VanObject +p18236 +tp18237 +a(g6 +V) +tp18238 +a(g138 +V! ! +p18239 +tp18240 +a(g6 +V\u000a\u000a +p18241 +tp18242 +a(g138 +V! +tp18243 +a(g133 +VObject +p18244 +tp18245 +a(g138 +V methodsFor: +p18246 +tp18247 +a(g236 +V'message handling' +p18248 +tp18249 +a(g138 +V stamp: 'di 3/26/1999 07:52'! +p18250 +tp18251 +a(g6 +V\u000a +tp18252 +a(g48 +Vperform: +p18253 +tp18254 +a(g6 +V +tp18255 +a(g100 +VaSymbol +p18256 +tp18257 +a(g6 +V +tp18258 +a(g48 +Vwith: +p18259 +tp18260 +a(g6 +V +tp18261 +a(g100 +VfirstObject +p18262 +tp18263 +a(g6 +V +tp18264 +a(g48 +Vwith: +p18265 +tp18266 +a(g6 +V +tp18267 +a(g100 +VsecondObject +p18268 +tp18269 +a(g6 +V \u000a +p18270 +tp18271 +a(g24 +V"Send the selector, aSymbol, to the receiver with the given arguments.\u000a Fail if the number of arguments expected by the selector is not two.\u000a Primitive. Optional. See Object documentation whatIsAPrimitive." +p18272 +tp18273 +a(g6 +V\u000a\u000a +p18274 +tp18275 +a(g6 +V< +tp18276 +a(g138 +Vprimitive: +p18277 +tp18278 +a(g6 +V 83 +p18279 +tp18280 +a(g6 +V> +tp18281 +a(g6 +V\u000a +p18282 +tp18283 +a(g353 +V^ +tp18284 +a(g6 +V +tp18285 +a(g87 +Vself +p18286 +tp18287 +a(g6 +V +tp18288 +a(g48 +Vperform: +p18289 +tp18290 +a(g6 +V +tp18291 +a(g100 +VaSymbol +p18292 +tp18293 +a(g6 +V +tp18294 +a(g48 +VwithArguments: +p18295 +tp18296 +a(g6 +V +tp18297 +a(g6 +V( +tp18298 +a(g133 +VArray +p18299 +tp18300 +a(g6 +V +tp18301 +a(g48 +Vwith: +p18302 +tp18303 +a(g6 +V +tp18304 +a(g100 +VfirstObject +p18305 +tp18306 +a(g6 +V +tp18307 +a(g48 +Vwith: +p18308 +tp18309 +a(g6 +V +tp18310 +a(g100 +VsecondObject +p18311 +tp18312 +a(g6 +V) +tp18313 +a(g138 +V! ! +p18314 +tp18315 +a(g6 +V\u000a\u000a +p18316 +tp18317 +a(g138 +V! +tp18318 +a(g133 +VObject +p18319 +tp18320 +a(g138 +V methodsFor: +p18321 +tp18322 +a(g236 +V'message handling' +p18323 +tp18324 +a(g138 +V stamp: 'di 3/26/1999 07:51'! +p18325 +tp18326 +a(g6 +V\u000a +tp18327 +a(g48 +Vperform: +p18328 +tp18329 +a(g6 +V +tp18330 +a(g100 +VaSymbol +p18331 +tp18332 +a(g6 +V +tp18333 +a(g48 +Vwith: +p18334 +tp18335 +a(g6 +V +tp18336 +a(g100 +VfirstObject +p18337 +tp18338 +a(g6 +V +tp18339 +a(g48 +Vwith: +p18340 +tp18341 +a(g6 +V +tp18342 +a(g100 +VsecondObject +p18343 +tp18344 +a(g6 +V +tp18345 +a(g48 +Vwith: +p18346 +tp18347 +a(g6 +V +tp18348 +a(g100 +VthirdObject +p18349 +tp18350 +a(g6 +V \u000a +p18351 +tp18352 +a(g24 +V"Send the selector, aSymbol, to the receiver with the given arguments.\u000a Fail if the number of arguments expected by the selector is not three.\u000a Primitive. Optional. See Object documentation whatIsAPrimitive." +p18353 +tp18354 +a(g6 +V\u000a\u000a +p18355 +tp18356 +a(g6 +V< +tp18357 +a(g138 +Vprimitive: +p18358 +tp18359 +a(g6 +V 83 +p18360 +tp18361 +a(g6 +V> +tp18362 +a(g6 +V\u000a +p18363 +tp18364 +a(g353 +V^ +tp18365 +a(g6 +V +tp18366 +a(g87 +Vself +p18367 +tp18368 +a(g6 +V +tp18369 +a(g48 +Vperform: +p18370 +tp18371 +a(g6 +V +tp18372 +a(g100 +VaSymbol +p18373 +tp18374 +a(g6 +V\u000a +p18375 +tp18376 +a(g48 +VwithArguments: +p18377 +tp18378 +a(g6 +V +tp18379 +a(g6 +V( +tp18380 +a(g133 +VArray +p18381 +tp18382 +a(g6 +V +tp18383 +a(g48 +Vwith: +p18384 +tp18385 +a(g6 +V +tp18386 +a(g100 +VfirstObject +p18387 +tp18388 +a(g6 +V +tp18389 +a(g48 +Vwith: +p18390 +tp18391 +a(g6 +V +tp18392 +a(g100 +VsecondObject +p18393 +tp18394 +a(g6 +V +tp18395 +a(g48 +Vwith: +p18396 +tp18397 +a(g6 +V +tp18398 +a(g100 +VthirdObject +p18399 +tp18400 +a(g6 +V) +tp18401 +a(g138 +V! ! +p18402 +tp18403 +a(g6 +V\u000a\u000a +p18404 +tp18405 +a(g138 +V! +tp18406 +a(g133 +VObject +p18407 +tp18408 +a(g138 +V methodsFor: +p18409 +tp18410 +a(g236 +V'message handling' +p18411 +tp18412 +a(g138 +V stamp: 'NS 1/28/2004 11:19'! +p18413 +tp18414 +a(g6 +V\u000a +tp18415 +a(g48 +VwithArgs: +p18416 +tp18417 +a(g6 +V +tp18418 +a(g100 +VargArray +p18419 +tp18420 +a(g6 +V +tp18421 +a(g48 +VexecuteMethod: +p18422 +tp18423 +a(g6 +V +tp18424 +a(g100 +VcompiledMethod +p18425 +tp18426 +a(g6 +V\u000a +p18427 +tp18428 +a(g24 +V"Execute compiledMethod against the receiver and args in argArray" +p18429 +tp18430 +a(g6 +V\u000a\u000a +p18431 +tp18432 +a(g353 +V| +tp18433 +a(g100 +V selector +p18434 +tp18435 +a(g353 +V| +tp18436 +a(g6 +V\u000a +p18437 +tp18438 +a(g6 +V< +tp18439 +a(g138 +Vprimitive: +p18440 +tp18441 +a(g6 +V 188 +p18442 +tp18443 +a(g6 +V> +tp18444 +a(g6 +V\u000a +p18445 +tp18446 +a(g100 +Vselector +p18447 +tp18448 +a(g6 +V +tp18449 +a(g353 +V_ +tp18450 +a(g6 +V +tp18451 +a(g133 +VSymbol +p18452 +tp18453 +a(g6 +V +tp18454 +a(g84 +Vnew +p18455 +tp18456 +a(g212 +V. +tp18457 +a(g6 +V\u000a +p18458 +tp18459 +a(g87 +Vself +p18460 +tp18461 +a(g6 +V +tp18462 +a(g48 +Vclass +p18463 +tp18464 +a(g6 +V +tp18465 +a(g48 +VaddSelectorSilently: +p18466 +tp18467 +a(g6 +V +tp18468 +a(g100 +Vselector +p18469 +tp18470 +a(g6 +V +tp18471 +a(g48 +VwithMethod: +p18472 +tp18473 +a(g6 +V +tp18474 +a(g100 +VcompiledMethod +p18475 +tp18476 +a(g212 +V. +tp18477 +a(g6 +V\u000a +p18478 +tp18479 +a(g353 +V^ +tp18480 +a(g6 +V +tp18481 +a(g6 +V[ +tp18482 +a(g6 +V +tp18483 +a(g87 +Vself +p18484 +tp18485 +a(g6 +V +tp18486 +a(g48 +Vperform: +p18487 +tp18488 +a(g6 +V +tp18489 +a(g100 +Vselector +p18490 +tp18491 +a(g6 +V +tp18492 +a(g48 +VwithArguments: +p18493 +tp18494 +a(g6 +V +tp18495 +a(g100 +VargArray +p18496 +tp18497 +a(g6 +V] +tp18498 +a(g6 +V\u000a +p18499 +tp18500 +a(g48 +Vensure: +p18501 +tp18502 +a(g6 +V +tp18503 +a(g6 +V[ +tp18504 +a(g6 +V +tp18505 +a(g87 +Vself +p18506 +tp18507 +a(g6 +V +tp18508 +a(g48 +Vclass +p18509 +tp18510 +a(g6 +V +tp18511 +a(g48 +VbasicRemoveSelector: +p18512 +tp18513 +a(g6 +V +tp18514 +a(g100 +Vselector +p18515 +tp18516 +a(g6 +V] +tp18517 +a(g138 +V! ! +p18518 +tp18519 +a(g6 +V\u000a\u000a +p18520 +tp18521 +a(g138 +V! +tp18522 +a(g133 +VObject +p18523 +tp18524 +a(g138 +V methodsFor: +p18525 +tp18526 +a(g236 +V'message handling' +p18527 +tp18528 +a(g138 +V stamp: 'md 1/20/2006 16:28'! +p18529 +tp18530 +a(g6 +V\u000a +tp18531 +a(g48 +Vwith: +p18532 +tp18533 +a(g6 +V +tp18534 +a(g100 +Varg1 +p18535 +tp18536 +a(g6 +V +tp18537 +a(g48 +VexecuteMethod: +p18538 +tp18539 +a(g6 +V +tp18540 +a(g100 +VcompiledMethod +p18541 +tp18542 +a(g6 +V\u000a +p18543 +tp18544 +a(g24 +V"Execute compiledMethod against the receiver and arg1" +p18545 +tp18546 +a(g6 +V\u000a\u000a +p18547 +tp18548 +a(g24 +V"" +p18549 +tp18550 +a(g6 +V +tp18551 +a(g24 +V"uncomment once prim 189 is in VM" +p18552 +tp18553 +a(g6 +V\u000a +p18554 +tp18555 +a(g353 +V^ +tp18556 +a(g6 +V +tp18557 +a(g87 +Vself +p18558 +tp18559 +a(g6 +V +tp18560 +a(g48 +VwithArgs: +p18561 +tp18562 +a(g6 +V +tp18563 +a(g6 +V{ +tp18564 +a(g100 +Varg1 +p18565 +tp18566 +a(g6 +V} +tp18567 +a(g6 +V +tp18568 +a(g48 +VexecuteMethod: +p18569 +tp18570 +a(g6 +V +tp18571 +a(g100 +VcompiledMethod +p18572 +tp18573 +a(g138 +V! ! +p18574 +tp18575 +a(g6 +V\u000a\u000a +p18576 +tp18577 +a(g138 +V! +tp18578 +a(g133 +VObject +p18579 +tp18580 +a(g138 +V methodsFor: +p18581 +tp18582 +a(g236 +V'message handling' +p18583 +tp18584 +a(g138 +V stamp: 'md 1/20/2006 16:28'! +p18585 +tp18586 +a(g6 +V\u000a +tp18587 +a(g48 +Vwith: +p18588 +tp18589 +a(g6 +V +tp18590 +a(g100 +Varg1 +p18591 +tp18592 +a(g6 +V +tp18593 +a(g48 +Vwith: +p18594 +tp18595 +a(g6 +V +tp18596 +a(g100 +Varg2 +p18597 +tp18598 +a(g6 +V +tp18599 +a(g48 +VexecuteMethod: +p18600 +tp18601 +a(g6 +V +tp18602 +a(g100 +VcompiledMethod +p18603 +tp18604 +a(g6 +V\u000a +p18605 +tp18606 +a(g24 +V"Execute compiledMethod against the receiver and arg1 & arg2" +p18607 +tp18608 +a(g6 +V\u000a\u000a +p18609 +tp18610 +a(g24 +V"" +p18611 +tp18612 +a(g6 +V +tp18613 +a(g24 +V"uncomment once prim 189 is in VM" +p18614 +tp18615 +a(g6 +V\u000a +p18616 +tp18617 +a(g353 +V^ +tp18618 +a(g6 +V +tp18619 +a(g87 +Vself +p18620 +tp18621 +a(g6 +V +tp18622 +a(g48 +VwithArgs: +p18623 +tp18624 +a(g6 +V +tp18625 +a(g6 +V{ +tp18626 +a(g100 +Varg1 +p18627 +tp18628 +a(g212 +V. +tp18629 +a(g6 +V +tp18630 +a(g100 +Varg2 +p18631 +tp18632 +a(g6 +V} +tp18633 +a(g6 +V +tp18634 +a(g48 +VexecuteMethod: +p18635 +tp18636 +a(g6 +V +tp18637 +a(g100 +VcompiledMethod +p18638 +tp18639 +a(g138 +V! ! +p18640 +tp18641 +a(g6 +V\u000a\u000a +p18642 +tp18643 +a(g138 +V! +tp18644 +a(g133 +VObject +p18645 +tp18646 +a(g138 +V methodsFor: +p18647 +tp18648 +a(g236 +V'message handling' +p18649 +tp18650 +a(g138 +V stamp: 'md 1/20/2006 16:28'! +p18651 +tp18652 +a(g6 +V\u000a +tp18653 +a(g48 +Vwith: +p18654 +tp18655 +a(g6 +V +tp18656 +a(g100 +Varg1 +p18657 +tp18658 +a(g6 +V +tp18659 +a(g48 +Vwith: +p18660 +tp18661 +a(g6 +V +tp18662 +a(g100 +Varg2 +p18663 +tp18664 +a(g6 +V +tp18665 +a(g48 +Vwith: +p18666 +tp18667 +a(g6 +V +tp18668 +a(g100 +Varg3 +p18669 +tp18670 +a(g6 +V +tp18671 +a(g48 +VexecuteMethod: +p18672 +tp18673 +a(g6 +V +tp18674 +a(g100 +VcompiledMethod +p18675 +tp18676 +a(g6 +V\u000a +p18677 +tp18678 +a(g24 +V"Execute compiledMethod against the receiver and arg1, arg2, & arg3" +p18679 +tp18680 +a(g6 +V\u000a\u000a +p18681 +tp18682 +a(g24 +V"" +p18683 +tp18684 +a(g6 +V +tp18685 +a(g24 +V"uncomment once prim 189 is in VM" +p18686 +tp18687 +a(g6 +V\u000a +p18688 +tp18689 +a(g353 +V^ +tp18690 +a(g6 +V +tp18691 +a(g87 +Vself +p18692 +tp18693 +a(g6 +V +tp18694 +a(g48 +VwithArgs: +p18695 +tp18696 +a(g6 +V +tp18697 +a(g6 +V{ +tp18698 +a(g100 +Varg1 +p18699 +tp18700 +a(g212 +V. +tp18701 +a(g6 +V +tp18702 +a(g100 +Varg2 +p18703 +tp18704 +a(g212 +V. +tp18705 +a(g6 +V +tp18706 +a(g100 +Varg3 +p18707 +tp18708 +a(g6 +V} +tp18709 +a(g6 +V +tp18710 +a(g48 +VexecuteMethod: +p18711 +tp18712 +a(g6 +V +tp18713 +a(g100 +VcompiledMethod +p18714 +tp18715 +a(g138 +V! ! +p18716 +tp18717 +a(g6 +V\u000a\u000a +p18718 +tp18719 +a(g138 +V! +tp18720 +a(g133 +VObject +p18721 +tp18722 +a(g138 +V methodsFor: +p18723 +tp18724 +a(g236 +V'message handling' +p18725 +tp18726 +a(g138 +V stamp: 'md 1/20/2006 16:28'! +p18727 +tp18728 +a(g6 +V\u000a +tp18729 +a(g48 +Vwith: +p18730 +tp18731 +a(g6 +V +tp18732 +a(g100 +Varg1 +p18733 +tp18734 +a(g6 +V +tp18735 +a(g48 +Vwith: +p18736 +tp18737 +a(g6 +V +tp18738 +a(g100 +Varg2 +p18739 +tp18740 +a(g6 +V +tp18741 +a(g48 +Vwith: +p18742 +tp18743 +a(g6 +V +tp18744 +a(g100 +Varg3 +p18745 +tp18746 +a(g6 +V +tp18747 +a(g48 +Vwith: +p18748 +tp18749 +a(g6 +V +tp18750 +a(g100 +Varg4 +p18751 +tp18752 +a(g6 +V +tp18753 +a(g48 +VexecuteMethod: +p18754 +tp18755 +a(g6 +V +tp18756 +a(g100 +VcompiledMethod +p18757 +tp18758 +a(g6 +V\u000a +p18759 +tp18760 +a(g24 +V"Execute compiledMethod against the receiver and arg1, arg2, arg3, & arg4" +p18761 +tp18762 +a(g6 +V\u000a\u000a +p18763 +tp18764 +a(g24 +V"" +p18765 +tp18766 +a(g6 +V +tp18767 +a(g24 +V"uncomment once prim 189 is in VM" +p18768 +tp18769 +a(g6 +V\u000a +p18770 +tp18771 +a(g353 +V^ +tp18772 +a(g6 +V +tp18773 +a(g87 +Vself +p18774 +tp18775 +a(g6 +V +tp18776 +a(g48 +VwithArgs: +p18777 +tp18778 +a(g6 +V +tp18779 +a(g6 +V{ +tp18780 +a(g100 +Varg1 +p18781 +tp18782 +a(g212 +V. +tp18783 +a(g6 +V +tp18784 +a(g100 +Varg2 +p18785 +tp18786 +a(g212 +V. +tp18787 +a(g6 +V +tp18788 +a(g100 +Varg3 +p18789 +tp18790 +a(g212 +V. +tp18791 +a(g6 +V +tp18792 +a(g100 +Varg4 +p18793 +tp18794 +a(g6 +V} +tp18795 +a(g6 +V +tp18796 +a(g48 +VexecuteMethod: +p18797 +tp18798 +a(g6 +V +tp18799 +a(g100 +VcompiledMethod +p18800 +tp18801 +a(g138 +V! ! +p18802 +tp18803 +a(g6 +V\u000a\u000a\u000a +p18804 +tp18805 +a(g138 +V! +tp18806 +a(g133 +VObject +p18807 +tp18808 +a(g138 +V methodsFor: +p18809 +tp18810 +a(g236 +V'objects from disk' +p18811 +tp18812 +a(g138 +V stamp: 'tk 4/8/1999 12:46'! +p18813 +tp18814 +a(g6 +V\u000a +tp18815 +a(g48 +VcomeFullyUpOnReload: +p18816 +tp18817 +a(g6 +V +tp18818 +a(g100 +VsmartRefStream +p18819 +tp18820 +a(g6 +V\u000a +p18821 +tp18822 +a(g24 +V"Normally this read-in object is exactly what we want to store. 7/26/96 tk" +p18823 +tp18824 +a(g6 +V\u000a\u000a +p18825 +tp18826 +a(g353 +V^ +tp18827 +a(g6 +V +tp18828 +a(g87 +Vself +p18829 +tp18830 +a(g138 +V! ! +p18831 +tp18832 +a(g6 +V\u000a\u000a +p18833 +tp18834 +a(g138 +V! +tp18835 +a(g133 +VObject +p18836 +tp18837 +a(g138 +V methodsFor: +p18838 +tp18839 +a(g236 +V'objects from disk' +p18840 +tp18841 +a(g138 +V stamp: 'RAA 12/20/2000 16:51'! +p18842 +tp18843 +a(g6 +V\u000a +tp18844 +a(g48 +VconvertToCurrentVersion: +p18845 +tp18846 +a(g6 +V +tp18847 +a(g100 +VvarDict +p18848 +tp18849 +a(g6 +V +tp18850 +a(g48 +VrefStream: +p18851 +tp18852 +a(g6 +V +tp18853 +a(g100 +VsmartRefStrm +p18854 +tp18855 +a(g6 +V\u000a\u000a +p18856 +tp18857 +a(g24 +V"subclasses should implement if they wish to convert old instances to modern ones" +p18858 +tp18859 +a(g138 +V! ! +p18860 +tp18861 +a(g6 +V\u000a\u000a +p18862 +tp18863 +a(g138 +V! +tp18864 +a(g133 +VObject +p18865 +tp18866 +a(g138 +V methodsFor: +p18867 +tp18868 +a(g236 +V'objects from disk' +p18869 +tp18870 +a(g138 +V stamp: 'tk 11/29/2004 15:04'! +p18871 +tp18872 +a(g6 +V\u000a +tp18873 +a(g48 +VfixUponLoad: +p18874 +tp18875 +a(g6 +V +tp18876 +a(g100 +VaProject +p18877 +tp18878 +a(g6 +V +tp18879 +a(g48 +Vseg: +p18880 +tp18881 +a(g6 +V +tp18882 +a(g100 +VanImageSegment +p18883 +tp18884 +a(g6 +V\u000a +p18885 +tp18886 +a(g24 +V"change the object due to conventions that have changed on\u000athe project level. (sent to all objects in the incoming project).\u000aSpecific classes should reimplement this." +p18887 +tp18888 +a(g138 +V! ! +p18889 +tp18890 +a(g6 +V\u000a\u000a +p18891 +tp18892 +a(g138 +V! +tp18893 +a(g133 +VObject +p18894 +tp18895 +a(g138 +V methodsFor: +p18896 +tp18897 +a(g236 +V'objects from disk' +p18898 +tp18899 +a(g138 +V stamp: 'RAA 1/10/2001 14:02'! +p18900 +tp18901 +a(g6 +V\u000a +tp18902 +a(g48 +VindexIfCompact +p18903 +tp18904 +a(g6 +V\u000a +tp18905 +a(g6 +V\u000a +p18906 +tp18907 +a(g353 +V^ +tp18908 +a(g223 +V0 +tp18909 +a(g6 +V +p18910 +tp18911 +a(g24 +V"helps avoid a #respondsTo: in publishing" +p18912 +tp18913 +a(g138 +V! ! +p18914 +tp18915 +a(g6 +V\u000a\u000a +p18916 +tp18917 +a(g138 +V! +tp18918 +a(g133 +VObject +p18919 +tp18920 +a(g138 +V methodsFor: +p18921 +tp18922 +a(g236 +V'objects from disk' +p18923 +tp18924 +a(g138 +V stamp: 'tk 2/24/1999 11:08'! +p18925 +tp18926 +a(g6 +V\u000a +tp18927 +a(g48 +VobjectForDataStream: +p18928 +tp18929 +a(g6 +V +tp18930 +a(g100 +VrefStrm +p18931 +tp18932 +a(g6 +V\u000a +p18933 +tp18934 +a(g24 +V"Return an object to store on an external data stream." +p18935 +tp18936 +a(g6 +V\u000a\u000a +p18937 +tp18938 +a(g353 +V^ +tp18939 +a(g6 +V +tp18940 +a(g87 +Vself +p18941 +tp18942 +a(g138 +V! ! +p18943 +tp18944 +a(g6 +V\u000a\u000a +p18945 +tp18946 +a(g138 +V! +tp18947 +a(g133 +VObject +p18948 +tp18949 +a(g138 +V methodsFor: +p18950 +tp18951 +a(g236 +V'objects from disk' +p18952 +tp18953 +a(g138 +V stamp: 'tk 4/8/1999 12:05'! +p18954 +tp18955 +a(g6 +V\u000a +tp18956 +a(g48 +VreadDataFrom: +p18957 +tp18958 +a(g6 +V +tp18959 +a(g100 +VaDataStream +p18960 +tp18961 +a(g6 +V +tp18962 +a(g48 +Vsize: +p18963 +tp18964 +a(g6 +V +tp18965 +a(g100 +VvarsOnDisk +p18966 +tp18967 +a(g6 +V\u000a +p18968 +tp18969 +a(g24 +V"Fill in the fields of self based on the contents of aDataStream. Return self.\u000a Read in the instance-variables written by Object>>storeDataOn:.\u000a NOTE: This method must send beginReference: before reading any objects from aDataStream that might reference it.\u000a Allow aDataStream to have fewer inst vars. See SmartRefStream." +p18970 +tp18971 +a(g6 +V\u000a +p18972 +tp18973 +a(g353 +V| +tp18974 +a(g100 +V cntInstVars cntIndexedVars +p18975 +tp18976 +a(g353 +V| +tp18977 +a(g6 +V\u000a\u000a +p18978 +tp18979 +a(g100 +VcntInstVars +p18980 +tp18981 +a(g6 +V +tp18982 +a(g353 +V_ +tp18983 +a(g6 +V +tp18984 +a(g87 +Vself +p18985 +tp18986 +a(g6 +V +tp18987 +a(g48 +Vclass +p18988 +tp18989 +a(g6 +V +tp18990 +a(g48 +VinstSize +p18991 +tp18992 +a(g212 +V. +tp18993 +a(g6 +V\u000a +p18994 +tp18995 +a(g87 +Vself +p18996 +tp18997 +a(g6 +V +tp18998 +a(g48 +Vclass +p18999 +tp19000 +a(g6 +V +tp19001 +a(g48 +VisVariable +p19002 +tp19003 +a(g6 +V\u000a +p19004 +tp19005 +a(g84 +VifTrue: +p19006 +tp19007 +a(g6 +V +tp19008 +a(g6 +V[ +tp19009 +a(g6 +V +tp19010 +a(g100 +VcntIndexedVars +p19011 +tp19012 +a(g6 +V +tp19013 +a(g353 +V_ +tp19014 +a(g6 +V +tp19015 +a(g100 +VvarsOnDisk +p19016 +tp19017 +a(g6 +V +tp19018 +a(g48 +V- +tp19019 +a(g6 +V +tp19020 +a(g100 +VcntInstVars +p19021 +tp19022 +a(g212 +V. +tp19023 +a(g6 +V\u000a +p19024 +tp19025 +a(g100 +VcntIndexedVars +p19026 +tp19027 +a(g6 +V +tp19028 +a(g48 +V< +tp19029 +a(g6 +V +tp19030 +a(g223 +V0 +tp19031 +a(g6 +V +tp19032 +a(g84 +VifTrue: +p19033 +tp19034 +a(g6 +V +tp19035 +a(g6 +V[ +tp19036 +a(g6 +V\u000a +p19037 +tp19038 +a(g6 +V +tp19039 +a(g87 +Vself +p19040 +tp19041 +a(g6 +V +tp19042 +a(g48 +Verror: +p19043 +tp19044 +a(g6 +V +tp19045 +a(g236 +V'Class has changed too much. Define a convertxxx method' +p19046 +tp19047 +a(g6 +V] +tp19048 +a(g6 +V] +tp19049 +a(g6 +V\u000a +p19050 +tp19051 +a(g84 +VifFalse: +p19052 +tp19053 +a(g6 +V +tp19054 +a(g6 +V[ +tp19055 +a(g6 +V +tp19056 +a(g100 +VcntIndexedVars +p19057 +tp19058 +a(g6 +V +tp19059 +a(g353 +V_ +tp19060 +a(g6 +V +tp19061 +a(g223 +V0 +tp19062 +a(g212 +V. +tp19063 +a(g6 +V\u000a +p19064 +tp19065 +a(g100 +VcntInstVars +p19066 +tp19067 +a(g6 +V +tp19068 +a(g353 +V_ +tp19069 +a(g6 +V +tp19070 +a(g100 +VvarsOnDisk +p19071 +tp19072 +a(g6 +V] +tp19073 +a(g212 +V. +tp19074 +a(g6 +V +p19075 +tp19076 +a(g24 +V"OK if fewer than now" +p19077 +tp19078 +a(g6 +V\u000a\u000a +p19079 +tp19080 +a(g100 +VaDataStream +p19081 +tp19082 +a(g6 +V +tp19083 +a(g48 +VbeginReference: +p19084 +tp19085 +a(g6 +V +tp19086 +a(g87 +Vself +p19087 +tp19088 +a(g212 +V. +tp19089 +a(g6 +V\u000a +p19090 +tp19091 +a(g223 +V1 +tp19092 +a(g6 +V +tp19093 +a(g48 +Vto: +p19094 +tp19095 +a(g6 +V +tp19096 +a(g100 +VcntInstVars +p19097 +tp19098 +a(g6 +V +tp19099 +a(g48 +Vdo: +p19100 +tp19101 +a(g6 +V\u000a +p19102 +tp19103 +a(g6 +V[ +tp19104 +a(g353 +V: +tp19105 +a(g100 +Vi +tp19106 +a(g6 +V +tp19107 +a(g353 +V| +tp19108 +a(g6 +V +tp19109 +a(g87 +Vself +p19110 +tp19111 +a(g6 +V +tp19112 +a(g48 +VinstVarAt: +p19113 +tp19114 +a(g6 +V +tp19115 +a(g100 +Vi +tp19116 +a(g6 +V +tp19117 +a(g48 +Vput: +p19118 +tp19119 +a(g6 +V +tp19120 +a(g100 +VaDataStream +p19121 +tp19122 +a(g6 +V +tp19123 +a(g48 +Vnext +p19124 +tp19125 +a(g6 +V] +tp19126 +a(g212 +V. +tp19127 +a(g6 +V\u000a +p19128 +tp19129 +a(g223 +V1 +tp19130 +a(g6 +V +tp19131 +a(g48 +Vto: +p19132 +tp19133 +a(g6 +V +tp19134 +a(g100 +VcntIndexedVars +p19135 +tp19136 +a(g6 +V +tp19137 +a(g48 +Vdo: +p19138 +tp19139 +a(g6 +V\u000a +p19140 +tp19141 +a(g6 +V[ +tp19142 +a(g353 +V: +tp19143 +a(g100 +Vi +tp19144 +a(g6 +V +tp19145 +a(g353 +V| +tp19146 +a(g6 +V +tp19147 +a(g87 +Vself +p19148 +tp19149 +a(g6 +V +tp19150 +a(g48 +VbasicAt: +p19151 +tp19152 +a(g6 +V +tp19153 +a(g100 +Vi +tp19154 +a(g6 +V +tp19155 +a(g48 +Vput: +p19156 +tp19157 +a(g6 +V +tp19158 +a(g100 +VaDataStream +p19159 +tp19160 +a(g6 +V +tp19161 +a(g48 +Vnext +p19162 +tp19163 +a(g6 +V] +tp19164 +a(g212 +V. +tp19165 +a(g6 +V\u000a +p19166 +tp19167 +a(g24 +V"Total number read MUST be equal to varsOnDisk!!" +p19168 +tp19169 +a(g6 +V\u000a +p19170 +tp19171 +a(g353 +V^ +tp19172 +a(g6 +V +tp19173 +a(g87 +Vself +p19174 +tp19175 +a(g6 +V +tp19176 +a(g24 +V"If we ever return something other than self, fix calls \u000a on (super readDataFrom: aDataStream size: anInteger)" +p19177 +tp19178 +a(g138 +V! ! +p19179 +tp19180 +a(g6 +V\u000a\u000a +p19181 +tp19182 +a(g138 +V! +tp19183 +a(g133 +VObject +p19184 +tp19185 +a(g138 +V methodsFor: +p19186 +tp19187 +a(g236 +V'objects from disk' +p19188 +tp19189 +a(g138 +V stamp: 'CdG 10/17/2005 20:32'! +p19190 +tp19191 +a(g6 +V\u000a +tp19192 +a(g48 +VsaveOnFile +p19193 +tp19194 +a(g6 +V\u000a +p19195 +tp19196 +a(g24 +V"Ask the user for a filename and save myself on a SmartReferenceStream file. Writes out the version and class structure. The file is fileIn-able. Does not file out the class of the object. tk 6/26/97 13:48" +p19197 +tp19198 +a(g6 +V\u000a\u000a +p19199 +tp19200 +a(g353 +V| +tp19201 +a(g100 +V aFileName fileStream +p19202 +tp19203 +a(g353 +V| +tp19204 +a(g6 +V\u000a +p19205 +tp19206 +a(g100 +VaFileName +p19207 +tp19208 +a(g6 +V +tp19209 +a(g353 +V:= +p19210 +tp19211 +a(g6 +V +tp19212 +a(g87 +Vself +p19213 +tp19214 +a(g6 +V +tp19215 +a(g48 +Vclass +p19216 +tp19217 +a(g6 +V +tp19218 +a(g48 +Vname +p19219 +tp19220 +a(g6 +V +tp19221 +a(g48 +VasFileName +p19222 +tp19223 +a(g212 +V. +tp19224 +a(g6 +V +tp19225 +a(g24 +V"do better?" +p19226 +tp19227 +a(g6 +V\u000a +p19228 +tp19229 +a(g100 +VaFileName +p19230 +tp19231 +a(g6 +V +tp19232 +a(g353 +V:= +p19233 +tp19234 +a(g6 +V +tp19235 +a(g133 +VUIManager +p19236 +tp19237 +a(g6 +V +tp19238 +a(g48 +Vdefault +p19239 +tp19240 +a(g6 +V \u000a +p19241 +tp19242 +a(g48 +Vrequest: +p19243 +tp19244 +a(g6 +V +tp19245 +a(g236 +V'File name?' +p19246 +tp19247 +a(g6 +V +tp19248 +a(g48 +Vtranslated +p19249 +tp19250 +a(g6 +V +tp19251 +a(g48 +VinitialAnswer: +p19252 +tp19253 +a(g6 +V +tp19254 +a(g100 +VaFileName +p19255 +tp19256 +a(g212 +V. +tp19257 +a(g6 +V\u000a +p19258 +tp19259 +a(g100 +VaFileName +p19260 +tp19261 +a(g6 +V +tp19262 +a(g48 +Vsize +p19263 +tp19264 +a(g6 +V +tp19265 +a(g48 +V== +p19266 +tp19267 +a(g6 +V +tp19268 +a(g223 +V0 +tp19269 +a(g6 +V +tp19270 +a(g84 +VifTrue: +p19271 +tp19272 +a(g6 +V +tp19273 +a(g6 +V[ +tp19274 +a(g6 +V +tp19275 +a(g353 +V^ +tp19276 +a(g6 +V +tp19277 +a(g133 +VBeeper +p19278 +tp19279 +a(g6 +V +tp19280 +a(g48 +Vbeep +p19281 +tp19282 +a(g6 +V] +tp19283 +a(g212 +V. +tp19284 +a(g6 +V\u000a\u000a +p19285 +tp19286 +a(g100 +VfileStream +p19287 +tp19288 +a(g6 +V +tp19289 +a(g353 +V:= +p19290 +tp19291 +a(g6 +V +tp19292 +a(g133 +VFileStream +p19293 +tp19294 +a(g6 +V +tp19295 +a(g48 +VnewFileNamed: +p19296 +tp19297 +a(g6 +V +tp19298 +a(g100 +VaFileName +p19299 +tp19300 +a(g6 +V +tp19301 +a(g48 +VasFileName +p19302 +tp19303 +a(g212 +V. +tp19304 +a(g6 +V\u000a +p19305 +tp19306 +a(g100 +VfileStream +p19307 +tp19308 +a(g6 +V +tp19309 +a(g48 +VfileOutClass: +p19310 +tp19311 +a(g6 +V +tp19312 +a(g87 +Vnil +p19313 +tp19314 +a(g6 +V +tp19315 +a(g48 +VandObject: +p19316 +tp19317 +a(g6 +V +tp19318 +a(g87 +Vself +p19319 +tp19320 +a(g212 +V. +tp19321 +a(g138 +V! ! +p19322 +tp19323 +a(g6 +V\u000a\u000a +p19324 +tp19325 +a(g138 +V! +tp19326 +a(g133 +VObject +p19327 +tp19328 +a(g138 +V methodsFor: +p19329 +tp19330 +a(g236 +V'objects from disk' +p19331 +tp19332 +a(g138 +V stamp: 'tk 8/9/2001 15:40'! +p19333 +tp19334 +a(g6 +V\u000a +tp19335 +a(g48 +VstoreDataOn: +p19336 +tp19337 +a(g6 +V +tp19338 +a(g100 +VaDataStream +p19339 +tp19340 +a(g6 +V\u000a +p19341 +tp19342 +a(g24 +V"Store myself on a DataStream. Answer self. This is a low-level DataStream/ReferenceStream method. See also objectToStoreOnDataStream. NOTE: This method must send 'aDataStream beginInstance:size:' and then (nextPut:/nextPutWeak:) its subobjects. readDataFrom:size: reads back what we write here." +p19343 +tp19344 +a(g6 +V\u000a +p19345 +tp19346 +a(g353 +V| +tp19347 +a(g100 +V cntInstVars cntIndexedVars +p19348 +tp19349 +a(g353 +V| +tp19350 +a(g6 +V\u000a\u000a +p19351 +tp19352 +a(g100 +VcntInstVars +p19353 +tp19354 +a(g6 +V +tp19355 +a(g353 +V_ +tp19356 +a(g6 +V +tp19357 +a(g87 +Vself +p19358 +tp19359 +a(g6 +V +tp19360 +a(g48 +Vclass +p19361 +tp19362 +a(g6 +V +tp19363 +a(g48 +VinstSize +p19364 +tp19365 +a(g212 +V. +tp19366 +a(g6 +V\u000a +p19367 +tp19368 +a(g100 +VcntIndexedVars +p19369 +tp19370 +a(g6 +V +tp19371 +a(g353 +V_ +tp19372 +a(g6 +V +tp19373 +a(g87 +Vself +p19374 +tp19375 +a(g6 +V +tp19376 +a(g48 +VbasicSize +p19377 +tp19378 +a(g212 +V. +tp19379 +a(g6 +V\u000a +p19380 +tp19381 +a(g100 +VaDataStream +p19382 +tp19383 +a(g6 +V\u000a +p19384 +tp19385 +a(g48 +VbeginInstance: +p19386 +tp19387 +a(g6 +V +tp19388 +a(g87 +Vself +p19389 +tp19390 +a(g6 +V +tp19391 +a(g48 +Vclass +p19392 +tp19393 +a(g6 +V\u000a +p19394 +tp19395 +a(g48 +Vsize: +p19396 +tp19397 +a(g6 +V +tp19398 +a(g100 +VcntInstVars +p19399 +tp19400 +a(g6 +V +tp19401 +a(g48 +V+ +tp19402 +a(g6 +V +tp19403 +a(g100 +VcntIndexedVars +p19404 +tp19405 +a(g212 +V. +tp19406 +a(g6 +V\u000a +p19407 +tp19408 +a(g223 +V1 +tp19409 +a(g6 +V +tp19410 +a(g48 +Vto: +p19411 +tp19412 +a(g6 +V +tp19413 +a(g100 +VcntInstVars +p19414 +tp19415 +a(g6 +V +tp19416 +a(g48 +Vdo: +p19417 +tp19418 +a(g6 +V\u000a +p19419 +tp19420 +a(g6 +V[ +tp19421 +a(g353 +V: +tp19422 +a(g100 +Vi +tp19423 +a(g6 +V +tp19424 +a(g353 +V| +tp19425 +a(g6 +V +tp19426 +a(g100 +VaDataStream +p19427 +tp19428 +a(g6 +V +tp19429 +a(g48 +VnextPut: +p19430 +tp19431 +a(g6 +V +tp19432 +a(g6 +V( +tp19433 +a(g87 +Vself +p19434 +tp19435 +a(g6 +V +tp19436 +a(g48 +VinstVarAt: +p19437 +tp19438 +a(g6 +V +tp19439 +a(g100 +Vi +tp19440 +a(g6 +V) +tp19441 +a(g6 +V] +tp19442 +a(g212 +V. +tp19443 +a(g6 +V\u000a\u000a +p19444 +tp19445 +a(g24 +V"Write fields of a variable length object. When writing to a dummy \u000a stream, don't bother to write the bytes" +p19446 +tp19447 +a(g6 +V\u000a +p19448 +tp19449 +a(g6 +V( +tp19450 +a(g6 +V( +tp19451 +a(g100 +VaDataStream +p19452 +tp19453 +a(g6 +V +tp19454 +a(g48 +VbyteStream +p19455 +tp19456 +a(g6 +V +tp19457 +a(g48 +Vclass +p19458 +tp19459 +a(g6 +V +tp19460 +a(g48 +V== +p19461 +tp19462 +a(g6 +V +tp19463 +a(g133 +VDummyStream +p19464 +tp19465 +a(g6 +V) +tp19466 +a(g6 +V +tp19467 +a(g48 +Vand: +p19468 +tp19469 +a(g6 +V +tp19470 +a(g6 +V[ +tp19471 +a(g6 +V +tp19472 +a(g87 +Vself +p19473 +tp19474 +a(g6 +V +tp19475 +a(g48 +Vclass +p19476 +tp19477 +a(g6 +V +tp19478 +a(g48 +VisBits +p19479 +tp19480 +a(g6 +V] +tp19481 +a(g6 +V) +tp19482 +a(g6 +V +tp19483 +a(g84 +VifFalse: +p19484 +tp19485 +a(g6 +V +tp19486 +a(g6 +V[ +tp19487 +a(g6 +V\u000a +p19488 +tp19489 +a(g6 +V +tp19490 +a(g223 +V1 +tp19491 +a(g6 +V +tp19492 +a(g48 +Vto: +p19493 +tp19494 +a(g6 +V +tp19495 +a(g100 +VcntIndexedVars +p19496 +tp19497 +a(g6 +V +tp19498 +a(g48 +Vdo: +p19499 +tp19500 +a(g6 +V\u000a +p19501 +tp19502 +a(g6 +V[ +tp19503 +a(g353 +V: +tp19504 +a(g100 +Vi +tp19505 +a(g6 +V +tp19506 +a(g353 +V| +tp19507 +a(g6 +V +tp19508 +a(g100 +VaDataStream +p19509 +tp19510 +a(g6 +V +tp19511 +a(g48 +VnextPut: +p19512 +tp19513 +a(g6 +V +tp19514 +a(g6 +V( +tp19515 +a(g87 +Vself +p19516 +tp19517 +a(g6 +V +tp19518 +a(g48 +VbasicAt: +p19519 +tp19520 +a(g6 +V +tp19521 +a(g100 +Vi +tp19522 +a(g6 +V) +tp19523 +a(g6 +V] +tp19524 +a(g6 +V] +tp19525 +a(g212 +V. +tp19526 +a(g6 +V\u000a +tp19527 +a(g138 +V! ! +p19528 +tp19529 +a(g6 +V\u000a\u000a\u000a +p19530 +tp19531 +a(g138 +V! +tp19532 +a(g133 +VObject +p19533 +tp19534 +a(g138 +V methodsFor: +p19535 +tp19536 +a(g236 +V'parts bin' +p19537 +tp19538 +a(g138 +V stamp: 'sw 10/24/2001 16:34'! +p19539 +tp19540 +a(g6 +V\u000a +tp19541 +a(g48 +VdescriptionForPartsBin +p19542 +tp19543 +a(g6 +V\u000a +p19544 +tp19545 +a(g24 +V"If the receiver is a member of a class that would like to be represented in a parts bin, answer the name by which it should be known, and a documentation string to be provided, for example, as balloon help. When the 'nativitySelector' is sent to the 'globalReceiver', it is expected that some kind of Morph will result. The parameters used in the implementation below are for documentation purposes only!!" +p19546 +tp19547 +a(g6 +V\u000a\u000a +p19548 +tp19549 +a(g353 +V^ +tp19550 +a(g6 +V +tp19551 +a(g133 +VDescriptionForPartsBin +p19552 +tp19553 +a(g6 +V\u000a +p19554 +tp19555 +a(g48 +VformalName: +p19556 +tp19557 +a(g6 +V +tp19558 +a(g236 +V'PutFormalNameHere' +p19559 +tp19560 +a(g6 +V\u000a +p19561 +tp19562 +a(g48 +VcategoryList: +p19563 +tp19564 +a(g6 +V +tp19565 +a(g258 +V#( +p19566 +tp19567 +a(g258 +VPutACategoryHere +p19568 +tp19569 +a(g6 +V +tp19570 +a(g258 +VMaybePutAnotherCategoryHere +p19571 +tp19572 +a(g258 +V) +tp19573 +a(g6 +V\u000a +p19574 +tp19575 +a(g48 +Vdocumentation: +p19576 +tp19577 +a(g6 +V +tp19578 +a(g236 +V'Put the balloon help here' +p19579 +tp19580 +a(g6 +V\u000a +p19581 +tp19582 +a(g48 +VglobalReceiverSymbol: +p19583 +tp19584 +a(g6 +V +tp19585 +a(g258 +V#PutAGlobalHere +p19586 +tp19587 +a(g6 +V\u000a +p19588 +tp19589 +a(g48 +VnativitySelector: +p19590 +tp19591 +a(g6 +V +tp19592 +a(g258 +V#PutASelectorHere +p19593 +tp19594 +a(g138 +V! ! +p19595 +tp19596 +a(g6 +V\u000a\u000a\u000a +p19597 +tp19598 +a(g138 +V! +tp19599 +a(g133 +VObject +p19600 +tp19601 +a(g138 +V methodsFor: +p19602 +tp19603 +a(g236 +V'printing' +p19604 +tp19605 +a(g138 +V stamp: 'di 6/20/97 08:57'! +p19606 +tp19607 +a(g6 +V\u000a +tp19608 +a(g48 +VfullPrintString +p19609 +tp19610 +a(g6 +V\u000a +p19611 +tp19612 +a(g24 +V"Answer a String whose characters are a description of the receiver." +p19613 +tp19614 +a(g6 +V\u000a\u000a +p19615 +tp19616 +a(g353 +V^ +tp19617 +a(g6 +V +tp19618 +a(g133 +VString +p19619 +tp19620 +a(g6 +V +tp19621 +a(g48 +VstreamContents: +p19622 +tp19623 +a(g6 +V +tp19624 +a(g6 +V[ +tp19625 +a(g353 +V: +tp19626 +a(g100 +Vs +tp19627 +a(g6 +V +tp19628 +a(g353 +V| +tp19629 +a(g6 +V +tp19630 +a(g87 +Vself +p19631 +tp19632 +a(g6 +V +tp19633 +a(g48 +VprintOn: +p19634 +tp19635 +a(g6 +V +tp19636 +a(g100 +Vs +tp19637 +a(g6 +V] +tp19638 +a(g138 +V! ! +p19639 +tp19640 +a(g6 +V\u000a\u000a +p19641 +tp19642 +a(g138 +V! +tp19643 +a(g133 +VObject +p19644 +tp19645 +a(g138 +V methodsFor: +p19646 +tp19647 +a(g236 +V'printing' +p19648 +tp19649 +a(g138 +V! +tp19650 +a(g6 +V\u000a +tp19651 +a(g48 +VisLiteral +p19652 +tp19653 +a(g6 +V\u000a +p19654 +tp19655 +a(g24 +V"Answer whether the receiver has a literal text form recognized by the \u000a compiler." +p19656 +tp19657 +a(g6 +V\u000a\u000a +p19658 +tp19659 +a(g353 +V^ +tp19660 +a(g87 +Vfalse +p19661 +tp19662 +a(g138 +V! ! +p19663 +tp19664 +a(g6 +V\u000a\u000a +p19665 +tp19666 +a(g138 +V! +tp19667 +a(g133 +VObject +p19668 +tp19669 +a(g138 +V methodsFor: +p19670 +tp19671 +a(g236 +V'printing' +p19672 +tp19673 +a(g138 +V stamp: 'sma 6/1/2000 09:28'! +p19674 +tp19675 +a(g6 +V\u000a +tp19676 +a(g48 +VlongPrintOn: +p19677 +tp19678 +a(g6 +V +tp19679 +a(g100 +VaStream +p19680 +tp19681 +a(g6 +V\u000a +p19682 +tp19683 +a(g24 +V"Append to the argument, aStream, the names and values of all \u000a of the receiver's instance variables." +p19684 +tp19685 +a(g6 +V\u000a\u000a +p19686 +tp19687 +a(g87 +Vself +p19688 +tp19689 +a(g6 +V +tp19690 +a(g48 +Vclass +p19691 +tp19692 +a(g6 +V +tp19693 +a(g48 +VallInstVarNames +p19694 +tp19695 +a(g6 +V +tp19696 +a(g48 +VdoWithIndex: +p19697 +tp19698 +a(g6 +V\u000a +p19699 +tp19700 +a(g6 +V[ +tp19701 +a(g353 +V: +tp19702 +a(g100 +Vtitle +p19703 +tp19704 +a(g6 +V +tp19705 +a(g353 +V: +tp19706 +a(g100 +Vindex +p19707 +tp19708 +a(g6 +V +tp19709 +a(g353 +V| +tp19710 +a(g6 +V\u000a +p19711 +tp19712 +a(g100 +VaStream +p19713 +tp19714 +a(g6 +V +tp19715 +a(g48 +VnextPutAll: +p19716 +tp19717 +a(g6 +V +tp19718 +a(g100 +Vtitle +p19719 +tp19720 +a(g212 +V; +tp19721 +a(g6 +V\u000a +p19722 +tp19723 +a(g48 +VnextPut: +p19724 +tp19725 +a(g6 +V +tp19726 +a(g278 +V$: +p19727 +tp19728 +a(g212 +V; +tp19729 +a(g6 +V\u000a +p19730 +tp19731 +a(g48 +Vspace +p19732 +tp19733 +a(g212 +V; +tp19734 +a(g6 +V\u000a +p19735 +tp19736 +a(g48 +Vtab +p19737 +tp19738 +a(g212 +V; +tp19739 +a(g6 +V\u000a +p19740 +tp19741 +a(g48 +Vprint: +p19742 +tp19743 +a(g6 +V +tp19744 +a(g6 +V( +tp19745 +a(g87 +Vself +p19746 +tp19747 +a(g6 +V +tp19748 +a(g48 +VinstVarAt: +p19749 +tp19750 +a(g6 +V +tp19751 +a(g100 +Vindex +p19752 +tp19753 +a(g6 +V) +tp19754 +a(g212 +V; +tp19755 +a(g6 +V\u000a +p19756 +tp19757 +a(g48 +Vcr +p19758 +tp19759 +a(g6 +V] +tp19760 +a(g138 +V! ! +p19761 +tp19762 +a(g6 +V\u000a\u000a +p19763 +tp19764 +a(g138 +V! +tp19765 +a(g133 +VObject +p19766 +tp19767 +a(g138 +V methodsFor: +p19768 +tp19769 +a(g236 +V'printing' +p19770 +tp19771 +a(g138 +V stamp: 'tk 10/19/2001 11:18'! +p19772 +tp19773 +a(g6 +V\u000a +tp19774 +a(g48 +VlongPrintOn: +p19775 +tp19776 +a(g6 +V +tp19777 +a(g100 +VaStream +p19778 +tp19779 +a(g6 +V +tp19780 +a(g48 +VlimitedTo: +p19781 +tp19782 +a(g6 +V +tp19783 +a(g100 +VsizeLimit +p19784 +tp19785 +a(g6 +V +tp19786 +a(g48 +Vindent: +p19787 +tp19788 +a(g6 +V +tp19789 +a(g100 +Vindent +p19790 +tp19791 +a(g6 +V\u000a +p19792 +tp19793 +a(g24 +V"Append to the argument, aStream, the names and values of all of the receiver's instance variables. Limit is the length limit for each inst var." +p19794 +tp19795 +a(g6 +V\u000a\u000a +p19796 +tp19797 +a(g87 +Vself +p19798 +tp19799 +a(g6 +V +tp19800 +a(g48 +Vclass +p19801 +tp19802 +a(g6 +V +tp19803 +a(g48 +VallInstVarNames +p19804 +tp19805 +a(g6 +V +tp19806 +a(g48 +VdoWithIndex: +p19807 +tp19808 +a(g6 +V\u000a +p19809 +tp19810 +a(g6 +V[ +tp19811 +a(g353 +V: +tp19812 +a(g100 +Vtitle +p19813 +tp19814 +a(g6 +V +tp19815 +a(g353 +V: +tp19816 +a(g100 +Vindex +p19817 +tp19818 +a(g6 +V +tp19819 +a(g353 +V| +tp19820 +a(g6 +V\u000a +p19821 +tp19822 +a(g100 +Vindent +p19823 +tp19824 +a(g6 +V +tp19825 +a(g84 +VtimesRepeat: +p19826 +tp19827 +a(g6 +V +tp19828 +a(g6 +V[ +tp19829 +a(g6 +V +tp19830 +a(g100 +VaStream +p19831 +tp19832 +a(g6 +V +tp19833 +a(g48 +Vtab +p19834 +tp19835 +a(g6 +V] +tp19836 +a(g212 +V. +tp19837 +a(g6 +V\u000a +p19838 +tp19839 +a(g100 +VaStream +p19840 +tp19841 +a(g6 +V +tp19842 +a(g48 +VnextPutAll: +p19843 +tp19844 +a(g6 +V +tp19845 +a(g100 +Vtitle +p19846 +tp19847 +a(g212 +V; +tp19848 +a(g6 +V\u000a +p19849 +tp19850 +a(g48 +VnextPut: +p19851 +tp19852 +a(g6 +V +tp19853 +a(g278 +V$: +p19854 +tp19855 +a(g212 +V; +tp19856 +a(g6 +V\u000a +p19857 +tp19858 +a(g48 +Vspace +p19859 +tp19860 +a(g212 +V; +tp19861 +a(g6 +V\u000a +p19862 +tp19863 +a(g48 +Vtab +p19864 +tp19865 +a(g212 +V; +tp19866 +a(g6 +V\u000a +p19867 +tp19868 +a(g48 +VnextPutAll: +p19869 +tp19870 +a(g6 +V \u000a +p19871 +tp19872 +a(g6 +V( +tp19873 +a(g6 +V( +tp19874 +a(g87 +Vself +p19875 +tp19876 +a(g6 +V +tp19877 +a(g48 +VinstVarAt: +p19878 +tp19879 +a(g6 +V +tp19880 +a(g100 +Vindex +p19881 +tp19882 +a(g6 +V) +tp19883 +a(g6 +V +tp19884 +a(g48 +VprintStringLimitedTo: +p19885 +tp19886 +a(g6 +V +tp19887 +a(g6 +V( +tp19888 +a(g100 +VsizeLimit +p19889 +tp19890 +a(g6 +V +tp19891 +a(g48 +V- +tp19892 +a(g223 +V3 +tp19893 +a(g6 +V +tp19894 +a(g48 +V- +tp19895 +a(g100 +Vtitle +p19896 +tp19897 +a(g6 +V +tp19898 +a(g48 +Vsize +p19899 +tp19900 +a(g6 +V +tp19901 +a(g48 +Vmax: +p19902 +tp19903 +a(g6 +V +tp19904 +a(g223 +V1 +tp19905 +a(g6 +V) +tp19906 +a(g6 +V) +tp19907 +a(g212 +V; +tp19908 +a(g6 +V\u000a +p19909 +tp19910 +a(g48 +Vcr +p19911 +tp19912 +a(g6 +V] +tp19913 +a(g138 +V! ! +p19914 +tp19915 +a(g6 +V\u000a\u000a +p19916 +tp19917 +a(g138 +V! +tp19918 +a(g133 +VObject +p19919 +tp19920 +a(g138 +V methodsFor: +p19921 +tp19922 +a(g236 +V'printing' +p19923 +tp19924 +a(g138 +V stamp: 'tk 10/16/2001 19:41'! +p19925 +tp19926 +a(g6 +V\u000a +tp19927 +a(g48 +VlongPrintString +p19928 +tp19929 +a(g6 +V\u000a +p19930 +tp19931 +a(g24 +V"Answer a String whose characters are a description of the receiver." +p19932 +tp19933 +a(g6 +V\u000a \u000a +p19934 +tp19935 +a(g353 +V| +tp19936 +a(g100 +V str +p19937 +tp19938 +a(g353 +V| +tp19939 +a(g6 +V\u000a +p19940 +tp19941 +a(g100 +Vstr +p19942 +tp19943 +a(g6 +V +tp19944 +a(g353 +V_ +tp19945 +a(g6 +V +tp19946 +a(g133 +VString +p19947 +tp19948 +a(g6 +V +tp19949 +a(g48 +VstreamContents: +p19950 +tp19951 +a(g6 +V +tp19952 +a(g6 +V[ +tp19953 +a(g353 +V: +tp19954 +a(g100 +VaStream +p19955 +tp19956 +a(g6 +V +tp19957 +a(g353 +V| +tp19958 +a(g6 +V +tp19959 +a(g87 +Vself +p19960 +tp19961 +a(g6 +V +tp19962 +a(g48 +VlongPrintOn: +p19963 +tp19964 +a(g6 +V +tp19965 +a(g100 +VaStream +p19966 +tp19967 +a(g6 +V] +tp19968 +a(g212 +V. +tp19969 +a(g6 +V\u000a +p19970 +tp19971 +a(g24 +V"Objects without inst vars should return something" +p19972 +tp19973 +a(g6 +V\u000a +p19974 +tp19975 +a(g353 +V^ +tp19976 +a(g6 +V +tp19977 +a(g100 +Vstr +p19978 +tp19979 +a(g6 +V +tp19980 +a(g48 +VisEmpty +p19981 +tp19982 +a(g6 +V +tp19983 +a(g84 +VifTrue: +p19984 +tp19985 +a(g6 +V +tp19986 +a(g6 +V[ +tp19987 +a(g6 +V +tp19988 +a(g87 +Vself +p19989 +tp19990 +a(g6 +V +tp19991 +a(g48 +VprintString +p19992 +tp19993 +a(g48 +V, +tp19994 +a(g6 +V +tp19995 +a(g133 +VString +p19996 +tp19997 +a(g6 +V +tp19998 +a(g48 +Vcr +p19999 +tp20000 +a(g6 +V] +tp20001 +a(g6 +V +tp20002 +a(g84 +VifFalse: +p20003 +tp20004 +a(g6 +V +tp20005 +a(g6 +V[ +tp20006 +a(g6 +V +tp20007 +a(g100 +Vstr +p20008 +tp20009 +a(g6 +V] +tp20010 +a(g138 +V! ! +p20011 +tp20012 +a(g6 +V\u000a\u000a +p20013 +tp20014 +a(g138 +V! +tp20015 +a(g133 +VObject +p20016 +tp20017 +a(g138 +V methodsFor: +p20018 +tp20019 +a(g236 +V'printing' +p20020 +tp20021 +a(g138 +V stamp: 'BG 11/7/2004 13:39'! +p20022 +tp20023 +a(g6 +V\u000a +tp20024 +a(g48 +VlongPrintStringLimitedTo: +p20025 +tp20026 +a(g6 +V +tp20027 +a(g100 +VaLimitValue +p20028 +tp20029 +a(g6 +V\u000a +p20030 +tp20031 +a(g24 +V"Answer a String whose characters are a description of the receiver." +p20032 +tp20033 +a(g6 +V\u000a \u000a +p20034 +tp20035 +a(g353 +V| +tp20036 +a(g100 +V str +p20037 +tp20038 +a(g353 +V| +tp20039 +a(g6 +V\u000a +p20040 +tp20041 +a(g100 +Vstr +p20042 +tp20043 +a(g6 +V +tp20044 +a(g353 +V_ +tp20045 +a(g6 +V +tp20046 +a(g133 +VString +p20047 +tp20048 +a(g6 +V +tp20049 +a(g48 +VstreamContents: +p20050 +tp20051 +a(g6 +V +tp20052 +a(g6 +V[ +tp20053 +a(g353 +V: +tp20054 +a(g100 +VaStream +p20055 +tp20056 +a(g6 +V +tp20057 +a(g353 +V| +tp20058 +a(g6 +V +tp20059 +a(g87 +Vself +p20060 +tp20061 +a(g6 +V +tp20062 +a(g48 +VlongPrintOn: +p20063 +tp20064 +a(g6 +V +tp20065 +a(g100 +VaStream +p20066 +tp20067 +a(g6 +V +tp20068 +a(g48 +VlimitedTo: +p20069 +tp20070 +a(g6 +V +tp20071 +a(g100 +VaLimitValue +p20072 +tp20073 +a(g6 +V +tp20074 +a(g48 +Vindent: +p20075 +tp20076 +a(g6 +V +tp20077 +a(g223 +V0 +tp20078 +a(g6 +V] +tp20079 +a(g212 +V. +tp20080 +a(g6 +V\u000a +p20081 +tp20082 +a(g24 +V"Objects without inst vars should return something" +p20083 +tp20084 +a(g6 +V\u000a +p20085 +tp20086 +a(g353 +V^ +tp20087 +a(g6 +V +tp20088 +a(g100 +Vstr +p20089 +tp20090 +a(g6 +V +tp20091 +a(g48 +VisEmpty +p20092 +tp20093 +a(g6 +V +tp20094 +a(g84 +VifTrue: +p20095 +tp20096 +a(g6 +V +tp20097 +a(g6 +V[ +tp20098 +a(g6 +V +tp20099 +a(g87 +Vself +p20100 +tp20101 +a(g6 +V +tp20102 +a(g48 +VprintString +p20103 +tp20104 +a(g48 +V, +tp20105 +a(g6 +V +tp20106 +a(g133 +VString +p20107 +tp20108 +a(g6 +V +tp20109 +a(g48 +Vcr +p20110 +tp20111 +a(g6 +V] +tp20112 +a(g6 +V +tp20113 +a(g84 +VifFalse: +p20114 +tp20115 +a(g6 +V +tp20116 +a(g6 +V[ +tp20117 +a(g6 +V +tp20118 +a(g100 +Vstr +p20119 +tp20120 +a(g6 +V] +tp20121 +a(g138 +V! ! +p20122 +tp20123 +a(g6 +V\u000a\u000a +p20124 +tp20125 +a(g138 +V! +tp20126 +a(g133 +VObject +p20127 +tp20128 +a(g138 +V methodsFor: +p20129 +tp20130 +a(g236 +V'printing' +p20131 +tp20132 +a(g138 +V stamp: 'sw 3/7/2001 13:14'! +p20133 +tp20134 +a(g6 +V\u000a +tp20135 +a(g48 +VnominallyUnsent: +p20136 +tp20137 +a(g6 +V +tp20138 +a(g100 +VaSelectorSymbol +p20139 +tp20140 +a(g6 +V\u000a +p20141 +tp20142 +a(g24 +V"From within the body of a method which is not formally sent within the system, but which you intend to have remain in the system (for potential manual invocation, or for documentation, or perhaps because it's sent by commented-out-code that you anticipate uncommenting out someday, send this message, with the selector itself as the argument.\u000a\u000aThis will serve two purposes:\u000a\u000a (1) The method will not be returned by searches for unsent selectors (because it, in a manner of speaking, sends itself).\u000a (2) You can locate all such methods by browsing senders of #nominallyUnsent:" +p20143 +tp20144 +a(g6 +V\u000a\u000a +p20145 +tp20146 +a(g87 +Vfalse +p20147 +tp20148 +a(g6 +V +tp20149 +a(g84 +VifTrue: +p20150 +tp20151 +a(g6 +V +tp20152 +a(g6 +V[ +tp20153 +a(g6 +V +tp20154 +a(g87 +Vself +p20155 +tp20156 +a(g6 +V +tp20157 +a(g48 +Vflag: +p20158 +tp20159 +a(g6 +V +tp20160 +a(g258 +V#nominallyUnsent: +p20161 +tp20162 +a(g6 +V] +tp20163 +a(g6 +V +p20164 +tp20165 +a(g24 +V"So that this method itself will appear to be sent" +p20166 +tp20167 +a(g6 +V\u000a +tp20168 +a(g138 +V! ! +p20169 +tp20170 +a(g6 +V\u000a\u000a +p20171 +tp20172 +a(g138 +V! +tp20173 +a(g133 +VObject +p20174 +tp20175 +a(g138 +V methodsFor: +p20176 +tp20177 +a(g236 +V'printing' +p20178 +tp20179 +a(g138 +V stamp: 'sma 6/1/2000 09:31'! +p20180 +tp20181 +a(g6 +V\u000a +tp20182 +a(g48 +VprintOn: +p20183 +tp20184 +a(g6 +V +tp20185 +a(g100 +VaStream +p20186 +tp20187 +a(g6 +V\u000a +p20188 +tp20189 +a(g24 +V"Append to the argument, aStream, a sequence of characters that \u000a identifies the receiver." +p20190 +tp20191 +a(g6 +V\u000a\u000a +p20192 +tp20193 +a(g353 +V| +tp20194 +a(g100 +V title +p20195 +tp20196 +a(g353 +V| +tp20197 +a(g6 +V\u000a +p20198 +tp20199 +a(g100 +Vtitle +p20200 +tp20201 +a(g6 +V +tp20202 +a(g353 +V_ +tp20203 +a(g6 +V +tp20204 +a(g87 +Vself +p20205 +tp20206 +a(g6 +V +tp20207 +a(g48 +Vclass +p20208 +tp20209 +a(g6 +V +tp20210 +a(g48 +Vname +p20211 +tp20212 +a(g212 +V. +tp20213 +a(g6 +V\u000a +p20214 +tp20215 +a(g100 +VaStream +p20216 +tp20217 +a(g6 +V\u000a +p20218 +tp20219 +a(g48 +VnextPutAll: +p20220 +tp20221 +a(g6 +V +tp20222 +a(g6 +V( +tp20223 +a(g100 +Vtitle +p20224 +tp20225 +a(g6 +V +tp20226 +a(g48 +Vfirst +p20227 +tp20228 +a(g6 +V +tp20229 +a(g48 +VisVowel +p20230 +tp20231 +a(g6 +V +tp20232 +a(g84 +VifTrue: +p20233 +tp20234 +a(g6 +V +tp20235 +a(g6 +V[ +tp20236 +a(g6 +V +tp20237 +a(g236 +V'an ' +p20238 +tp20239 +a(g6 +V] +tp20240 +a(g6 +V +tp20241 +a(g84 +VifFalse: +p20242 +tp20243 +a(g6 +V +tp20244 +a(g6 +V[ +tp20245 +a(g6 +V +tp20246 +a(g236 +V'a ' +p20247 +tp20248 +a(g6 +V] +tp20249 +a(g6 +V) +tp20250 +a(g212 +V; +tp20251 +a(g6 +V\u000a +p20252 +tp20253 +a(g48 +VnextPutAll: +p20254 +tp20255 +a(g6 +V +tp20256 +a(g100 +Vtitle +p20257 +tp20258 +a(g138 +V! ! +p20259 +tp20260 +a(g6 +V\u000a\u000a +p20261 +tp20262 +a(g138 +V! +tp20263 +a(g133 +VObject +p20264 +tp20265 +a(g138 +V methodsFor: +p20266 +tp20267 +a(g236 +V'printing' +p20268 +tp20269 +a(g138 +V stamp: 'sma 6/1/2000 09:22'! +p20270 +tp20271 +a(g6 +V\u000a +tp20272 +a(g48 +VprintString +p20273 +tp20274 +a(g6 +V\u000a +p20275 +tp20276 +a(g24 +V"Answer a String whose characters are a description of the receiver. \u000a If you want to print without a character limit, use fullPrintString." +p20277 +tp20278 +a(g6 +V\u000a\u000a +p20279 +tp20280 +a(g353 +V^ +tp20281 +a(g6 +V +tp20282 +a(g87 +Vself +p20283 +tp20284 +a(g6 +V +tp20285 +a(g48 +VprintStringLimitedTo: +p20286 +tp20287 +a(g6 +V +tp20288 +a(g223 +V50000 +p20289 +tp20290 +a(g138 +V! ! +p20291 +tp20292 +a(g6 +V\u000a\u000a +p20293 +tp20294 +a(g138 +V! +tp20295 +a(g133 +VObject +p20296 +tp20297 +a(g138 +V methodsFor: +p20298 +tp20299 +a(g236 +V'printing' +p20300 +tp20301 +a(g138 +V stamp: 'tk 5/7/1999 16:20'! +p20302 +tp20303 +a(g6 +V\u000a +tp20304 +a(g48 +VprintStringLimitedTo: +p20305 +tp20306 +a(g6 +V +tp20307 +a(g100 +Vlimit +p20308 +tp20309 +a(g6 +V\u000a +p20310 +tp20311 +a(g24 +V"Answer a String whose characters are a description of the receiver.\u000a If you want to print without a character limit, use fullPrintString." +p20312 +tp20313 +a(g6 +V\u000a +p20314 +tp20315 +a(g353 +V| +tp20316 +a(g100 +V limitedString +p20317 +tp20318 +a(g353 +V| +tp20319 +a(g6 +V\u000a +p20320 +tp20321 +a(g100 +VlimitedString +p20322 +tp20323 +a(g6 +V +tp20324 +a(g353 +V_ +tp20325 +a(g6 +V +tp20326 +a(g133 +VString +p20327 +tp20328 +a(g6 +V +tp20329 +a(g48 +VstreamContents: +p20330 +tp20331 +a(g6 +V +tp20332 +a(g6 +V[ +tp20333 +a(g353 +V: +tp20334 +a(g100 +Vs +tp20335 +a(g6 +V +tp20336 +a(g353 +V| +tp20337 +a(g6 +V +tp20338 +a(g87 +Vself +p20339 +tp20340 +a(g6 +V +tp20341 +a(g48 +VprintOn: +p20342 +tp20343 +a(g6 +V +tp20344 +a(g100 +Vs +tp20345 +a(g6 +V] +tp20346 +a(g6 +V +tp20347 +a(g48 +VlimitedTo: +p20348 +tp20349 +a(g6 +V +tp20350 +a(g100 +Vlimit +p20351 +tp20352 +a(g212 +V. +tp20353 +a(g6 +V\u000a +p20354 +tp20355 +a(g100 +VlimitedString +p20356 +tp20357 +a(g6 +V +tp20358 +a(g48 +Vsize +p20359 +tp20360 +a(g6 +V +tp20361 +a(g48 +V< +tp20362 +a(g6 +V +tp20363 +a(g100 +Vlimit +p20364 +tp20365 +a(g6 +V +tp20366 +a(g84 +VifTrue: +p20367 +tp20368 +a(g6 +V +tp20369 +a(g6 +V[ +tp20370 +a(g6 +V +tp20371 +a(g353 +V^ +tp20372 +a(g6 +V +tp20373 +a(g100 +VlimitedString +p20374 +tp20375 +a(g6 +V] +tp20376 +a(g212 +V. +tp20377 +a(g6 +V\u000a +p20378 +tp20379 +a(g353 +V^ +tp20380 +a(g6 +V +tp20381 +a(g100 +VlimitedString +p20382 +tp20383 +a(g6 +V +tp20384 +a(g48 +V, +tp20385 +a(g6 +V +tp20386 +a(g236 +V'...etc...' +p20387 +tp20388 +a(g138 +V! ! +p20389 +tp20390 +a(g6 +V\u000a\u000a +p20391 +tp20392 +a(g138 +V! +tp20393 +a(g133 +VObject +p20394 +tp20395 +a(g138 +V methodsFor: +p20396 +tp20397 +a(g236 +V'printing' +p20398 +tp20399 +a(g138 +V stamp: 'MPW 1/1/1901 00:30'! +p20400 +tp20401 +a(g6 +V\u000a +tp20402 +a(g48 +VpropertyList +p20403 +tp20404 +a(g6 +V\u000a +p20405 +tp20406 +a(g24 +V"Answer a String whose characters are a property-list description of the receiver." +p20407 +tp20408 +a(g6 +V\u000a\u000a +p20409 +tp20410 +a(g353 +V^ +tp20411 +a(g6 +V +tp20412 +a(g133 +VPropertyListEncoder +p20413 +tp20414 +a(g6 +V +tp20415 +a(g48 +Vprocess: +p20416 +tp20417 +a(g87 +Vself +p20418 +tp20419 +a(g212 +V. +tp20420 +a(g6 +V\u000a +tp20421 +a(g138 +V! ! +p20422 +tp20423 +a(g6 +V\u000a\u000a +p20424 +tp20425 +a(g138 +V! +tp20426 +a(g133 +VObject +p20427 +tp20428 +a(g138 +V methodsFor: +p20429 +tp20430 +a(g236 +V'printing' +p20431 +tp20432 +a(g138 +V stamp: 'sw 10/17/2000 11:16'! +p20433 +tp20434 +a(g6 +V\u000a +tp20435 +a(g48 +VreportableSize +p20436 +tp20437 +a(g6 +V\u000a +p20438 +tp20439 +a(g24 +V"Answer a string that reports the size of the receiver -- useful for showing in a list view, for example" +p20440 +tp20441 +a(g6 +V\u000a\u000a +p20442 +tp20443 +a(g353 +V^ +tp20444 +a(g6 +V +tp20445 +a(g6 +V( +tp20446 +a(g87 +Vself +p20447 +tp20448 +a(g6 +V +tp20449 +a(g48 +VbasicSize +p20450 +tp20451 +a(g6 +V +tp20452 +a(g48 +V+ +tp20453 +a(g6 +V +tp20454 +a(g87 +Vself +p20455 +tp20456 +a(g6 +V +tp20457 +a(g48 +Vclass +p20458 +tp20459 +a(g6 +V +tp20460 +a(g48 +VinstSize +p20461 +tp20462 +a(g6 +V) +tp20463 +a(g6 +V +tp20464 +a(g48 +VprintString +p20465 +tp20466 +a(g138 +V! ! +p20467 +tp20468 +a(g6 +V\u000a\u000a +p20469 +tp20470 +a(g138 +V! +tp20471 +a(g133 +VObject +p20472 +tp20473 +a(g138 +V methodsFor: +p20474 +tp20475 +a(g236 +V'printing' +p20476 +tp20477 +a(g138 +V! +tp20478 +a(g6 +V\u000a +tp20479 +a(g48 +VstoreOn: +p20480 +tp20481 +a(g6 +V +tp20482 +a(g100 +VaStream +p20483 +tp20484 +a(g6 +V \u000a +p20485 +tp20486 +a(g24 +V"Append to the argument aStream a sequence of characters that is an \u000a expression whose evaluation creates an object similar to the receiver." +p20487 +tp20488 +a(g6 +V\u000a\u000a +p20489 +tp20490 +a(g100 +VaStream +p20491 +tp20492 +a(g6 +V +tp20493 +a(g48 +VnextPut: +p20494 +tp20495 +a(g6 +V +tp20496 +a(g278 +V$( +p20497 +tp20498 +a(g212 +V. +tp20499 +a(g6 +V\u000a +p20500 +tp20501 +a(g87 +Vself +p20502 +tp20503 +a(g6 +V +tp20504 +a(g48 +Vclass +p20505 +tp20506 +a(g6 +V +tp20507 +a(g48 +VisVariable +p20508 +tp20509 +a(g6 +V\u000a +p20510 +tp20511 +a(g84 +VifTrue: +p20512 +tp20513 +a(g6 +V +tp20514 +a(g6 +V[ +tp20515 +a(g6 +V +tp20516 +a(g100 +VaStream +p20517 +tp20518 +a(g6 +V +tp20519 +a(g48 +VnextPutAll: +p20520 +tp20521 +a(g6 +V +tp20522 +a(g236 +V'(' +p20523 +tp20524 +a(g48 +V, +tp20525 +a(g6 +V +tp20526 +a(g87 +Vself +p20527 +tp20528 +a(g6 +V +tp20529 +a(g48 +Vclass +p20530 +tp20531 +a(g6 +V +tp20532 +a(g48 +Vname +p20533 +tp20534 +a(g48 +V, +tp20535 +a(g6 +V +tp20536 +a(g236 +V' basicNew: ' +p20537 +tp20538 +a(g212 +V; +tp20539 +a(g6 +V\u000a +p20540 +tp20541 +a(g48 +Vstore: +p20542 +tp20543 +a(g6 +V +tp20544 +a(g87 +Vself +p20545 +tp20546 +a(g6 +V +tp20547 +a(g48 +VbasicSize +p20548 +tp20549 +a(g212 +V; +tp20550 +a(g6 +V\u000a +p20551 +tp20552 +a(g48 +VnextPutAll: +p20553 +tp20554 +a(g6 +V +tp20555 +a(g236 +V') ' +p20556 +tp20557 +a(g6 +V] +tp20558 +a(g6 +V\u000a +p20559 +tp20560 +a(g84 +VifFalse: +p20561 +tp20562 +a(g6 +V +tp20563 +a(g6 +V[ +tp20564 +a(g6 +V +tp20565 +a(g100 +VaStream +p20566 +tp20567 +a(g6 +V +tp20568 +a(g48 +VnextPutAll: +p20569 +tp20570 +a(g6 +V +tp20571 +a(g87 +Vself +p20572 +tp20573 +a(g6 +V +tp20574 +a(g48 +Vclass +p20575 +tp20576 +a(g6 +V +tp20577 +a(g48 +Vname +p20578 +tp20579 +a(g48 +V, +tp20580 +a(g6 +V +tp20581 +a(g236 +V' basicNew' +p20582 +tp20583 +a(g6 +V] +tp20584 +a(g212 +V. +tp20585 +a(g6 +V\u000a +p20586 +tp20587 +a(g223 +V1 +tp20588 +a(g6 +V +tp20589 +a(g48 +Vto: +p20590 +tp20591 +a(g6 +V +tp20592 +a(g87 +Vself +p20593 +tp20594 +a(g6 +V +tp20595 +a(g48 +Vclass +p20596 +tp20597 +a(g6 +V +tp20598 +a(g48 +VinstSize +p20599 +tp20600 +a(g6 +V +tp20601 +a(g48 +Vdo: +p20602 +tp20603 +a(g6 +V\u000a +p20604 +tp20605 +a(g6 +V[ +tp20606 +a(g353 +V: +tp20607 +a(g100 +Vi +tp20608 +a(g6 +V +tp20609 +a(g353 +V| +tp20610 +a(g6 +V\u000a +p20611 +tp20612 +a(g100 +VaStream +p20613 +tp20614 +a(g6 +V +tp20615 +a(g48 +VnextPutAll: +p20616 +tp20617 +a(g6 +V +tp20618 +a(g236 +V' instVarAt: ' +p20619 +tp20620 +a(g212 +V; +tp20621 +a(g6 +V\u000a +p20622 +tp20623 +a(g48 +Vstore: +p20624 +tp20625 +a(g6 +V +tp20626 +a(g100 +Vi +tp20627 +a(g212 +V; +tp20628 +a(g6 +V\u000a +p20629 +tp20630 +a(g48 +VnextPutAll: +p20631 +tp20632 +a(g6 +V +tp20633 +a(g236 +V' put: ' +p20634 +tp20635 +a(g212 +V; +tp20636 +a(g6 +V\u000a +p20637 +tp20638 +a(g48 +Vstore: +p20639 +tp20640 +a(g6 +V +tp20641 +a(g6 +V( +tp20642 +a(g87 +Vself +p20643 +tp20644 +a(g6 +V +tp20645 +a(g48 +VinstVarAt: +p20646 +tp20647 +a(g6 +V +tp20648 +a(g100 +Vi +tp20649 +a(g6 +V) +tp20650 +a(g212 +V; +tp20651 +a(g6 +V\u000a +p20652 +tp20653 +a(g48 +VnextPut: +p20654 +tp20655 +a(g6 +V +tp20656 +a(g278 +V$; +p20657 +tp20658 +a(g6 +V] +tp20659 +a(g212 +V. +tp20660 +a(g6 +V\u000a +p20661 +tp20662 +a(g223 +V1 +tp20663 +a(g6 +V +tp20664 +a(g48 +Vto: +p20665 +tp20666 +a(g6 +V +tp20667 +a(g87 +Vself +p20668 +tp20669 +a(g6 +V +tp20670 +a(g48 +VbasicSize +p20671 +tp20672 +a(g6 +V +tp20673 +a(g48 +Vdo: +p20674 +tp20675 +a(g6 +V\u000a +p20676 +tp20677 +a(g6 +V[ +tp20678 +a(g353 +V: +tp20679 +a(g100 +Vi +tp20680 +a(g6 +V +tp20681 +a(g353 +V| +tp20682 +a(g6 +V\u000a +p20683 +tp20684 +a(g100 +VaStream +p20685 +tp20686 +a(g6 +V +tp20687 +a(g48 +VnextPutAll: +p20688 +tp20689 +a(g6 +V +tp20690 +a(g236 +V' basicAt: ' +p20691 +tp20692 +a(g212 +V; +tp20693 +a(g6 +V\u000a +p20694 +tp20695 +a(g48 +Vstore: +p20696 +tp20697 +a(g6 +V +tp20698 +a(g100 +Vi +tp20699 +a(g212 +V; +tp20700 +a(g6 +V\u000a +p20701 +tp20702 +a(g48 +VnextPutAll: +p20703 +tp20704 +a(g6 +V +tp20705 +a(g236 +V' put: ' +p20706 +tp20707 +a(g212 +V; +tp20708 +a(g6 +V\u000a +p20709 +tp20710 +a(g48 +Vstore: +p20711 +tp20712 +a(g6 +V +tp20713 +a(g6 +V( +tp20714 +a(g87 +Vself +p20715 +tp20716 +a(g6 +V +tp20717 +a(g48 +VbasicAt: +p20718 +tp20719 +a(g6 +V +tp20720 +a(g100 +Vi +tp20721 +a(g6 +V) +tp20722 +a(g212 +V; +tp20723 +a(g6 +V\u000a +p20724 +tp20725 +a(g48 +VnextPut: +p20726 +tp20727 +a(g6 +V +tp20728 +a(g278 +V$; +p20729 +tp20730 +a(g6 +V] +tp20731 +a(g212 +V. +tp20732 +a(g6 +V\u000a +p20733 +tp20734 +a(g100 +VaStream +p20735 +tp20736 +a(g6 +V +tp20737 +a(g48 +VnextPutAll: +p20738 +tp20739 +a(g6 +V +tp20740 +a(g236 +V' yourself)' +p20741 +tp20742 +a(g6 +V\u000a +tp20743 +a(g138 +V! ! +p20744 +tp20745 +a(g6 +V\u000a\u000a +p20746 +tp20747 +a(g138 +V! +tp20748 +a(g133 +VObject +p20749 +tp20750 +a(g138 +V methodsFor: +p20751 +tp20752 +a(g236 +V'printing' +p20753 +tp20754 +a(g138 +V stamp: 'di 6/20/97 09:12'! +p20755 +tp20756 +a(g6 +V\u000a +tp20757 +a(g48 +VstoreString +p20758 +tp20759 +a(g6 +V\u000a +p20760 +tp20761 +a(g24 +V"Answer a String representation of the receiver from which the receiver \u000a can be reconstructed." +p20762 +tp20763 +a(g6 +V\u000a\u000a +p20764 +tp20765 +a(g353 +V^ +tp20766 +a(g6 +V +tp20767 +a(g133 +VString +p20768 +tp20769 +a(g6 +V +tp20770 +a(g48 +VstreamContents: +p20771 +tp20772 +a(g6 +V +tp20773 +a(g6 +V[ +tp20774 +a(g353 +V: +tp20775 +a(g100 +Vs +tp20776 +a(g6 +V +tp20777 +a(g353 +V| +tp20778 +a(g6 +V +tp20779 +a(g87 +Vself +p20780 +tp20781 +a(g6 +V +tp20782 +a(g48 +VstoreOn: +p20783 +tp20784 +a(g6 +V +tp20785 +a(g100 +Vs +tp20786 +a(g6 +V] +tp20787 +a(g138 +V! ! +p20788 +tp20789 +a(g6 +V\u000a\u000a +p20790 +tp20791 +a(g138 +V! +tp20792 +a(g133 +VObject +p20793 +tp20794 +a(g138 +V methodsFor: +p20795 +tp20796 +a(g236 +V'printing' +p20797 +tp20798 +a(g138 +V stamp: 'sw 5/2/1998 13:55'! +p20799 +tp20800 +a(g6 +V\u000a +tp20801 +a(g48 +VstringForReadout +p20802 +tp20803 +a(g6 +V\u000a +p20804 +tp20805 +a(g353 +V^ +tp20806 +a(g6 +V +tp20807 +a(g87 +Vself +p20808 +tp20809 +a(g6 +V +tp20810 +a(g48 +VstringRepresentation +p20811 +tp20812 +a(g138 +V! ! +p20813 +tp20814 +a(g6 +V\u000a\u000a +p20815 +tp20816 +a(g138 +V! +tp20817 +a(g133 +VObject +p20818 +tp20819 +a(g138 +V methodsFor: +p20820 +tp20821 +a(g236 +V'printing' +p20822 +tp20823 +a(g138 +V! +tp20824 +a(g6 +V\u000a +tp20825 +a(g48 +VstringRepresentation +p20826 +tp20827 +a(g6 +V\u000a +p20828 +tp20829 +a(g24 +V"Answer a string that represents the receiver. For most objects this is simply its printString, but for strings themselves, it's themselves. 6/12/96 sw" +p20830 +tp20831 +a(g6 +V\u000a\u000a +p20832 +tp20833 +a(g353 +V^ +tp20834 +a(g6 +V +tp20835 +a(g87 +Vself +p20836 +tp20837 +a(g6 +V +tp20838 +a(g48 +VprintString +p20839 +tp20840 +a(g6 +V +tp20841 +a(g138 +V! ! +p20842 +tp20843 +a(g6 +V\u000a\u000a\u000a +p20844 +tp20845 +a(g138 +V! +tp20846 +a(g133 +VObject +p20847 +tp20848 +a(g138 +V methodsFor: +p20849 +tp20850 +a(g236 +V'scripting' +p20851 +tp20852 +a(g138 +V stamp: 'ar 3/17/2001 20:11'! +p20853 +tp20854 +a(g6 +V\u000a +tp20855 +a(g48 +VadaptedToWorld: +p20856 +tp20857 +a(g6 +V +tp20858 +a(g100 +VaWorld +p20859 +tp20860 +a(g6 +V\u000a +p20861 +tp20862 +a(g24 +V"If I refer to a world or a hand, return the corresponding items in the new world." +p20863 +tp20864 +a(g6 +V\u000a +p20865 +tp20866 +a(g353 +V^ +tp20867 +a(g87 +Vself +p20868 +tp20869 +a(g138 +V! ! +p20870 +tp20871 +a(g6 +V\u000a\u000a +p20872 +tp20873 +a(g138 +V! +tp20874 +a(g133 +VObject +p20875 +tp20876 +a(g138 +V methodsFor: +p20877 +tp20878 +a(g236 +V'scripting' +p20879 +tp20880 +a(g138 +V stamp: 'sw 3/10/2000 13:57'! +p20881 +tp20882 +a(g6 +V\u000a +tp20883 +a(g48 +VdefaultFloatPrecisionFor: +p20884 +tp20885 +a(g6 +V +tp20886 +a(g100 +VaGetSelector +p20887 +tp20888 +a(g6 +V\u000a +p20889 +tp20890 +a(g24 +V"Answer a number indicating the default float precision to be used in a numeric readout for which the receiver is the model." +p20891 +tp20892 +a(g6 +V\u000a\u000a +p20893 +tp20894 +a(g353 +V^ +tp20895 +a(g6 +V +tp20896 +a(g223 +V1 +tp20897 +a(g138 +V! ! +p20898 +tp20899 +a(g6 +V\u000a\u000a +p20900 +tp20901 +a(g138 +V! +tp20902 +a(g133 +VObject +p20903 +tp20904 +a(g138 +V methodsFor: +p20905 +tp20906 +a(g236 +V'scripting' +p20907 +tp20908 +a(g138 +V stamp: 'RAA 3/9/2001 17:08'! +p20909 +tp20910 +a(g6 +V\u000a +tp20911 +a(g48 +VevaluateUnloggedForSelf: +p20912 +tp20913 +a(g6 +V +tp20914 +a(g100 +VaCodeString +p20915 +tp20916 +a(g6 +V\u000a\u000a +p20917 +tp20918 +a(g353 +V^ +tp20919 +a(g133 +VCompiler +p20920 +tp20921 +a(g6 +V +tp20922 +a(g48 +Vevaluate: +p20923 +tp20924 +a(g6 +V\u000a +p20925 +tp20926 +a(g100 +VaCodeString +p20927 +tp20928 +a(g6 +V\u000a +p20929 +tp20930 +a(g48 +Vfor: +p20931 +tp20932 +a(g6 +V +tp20933 +a(g87 +Vself +p20934 +tp20935 +a(g6 +V\u000a +p20936 +tp20937 +a(g48 +Vlogged: +p20938 +tp20939 +a(g6 +V +tp20940 +a(g87 +Vfalse +p20941 +tp20942 +a(g138 +V! ! +p20943 +tp20944 +a(g6 +V\u000a\u000a +p20945 +tp20946 +a(g138 +V! +tp20947 +a(g133 +VObject +p20948 +tp20949 +a(g138 +V methodsFor: +p20950 +tp20951 +a(g236 +V'scripting' +p20952 +tp20953 +a(g138 +V stamp: 'yo 12/25/2003 16:43'! +p20954 +tp20955 +a(g6 +V\u000a +tp20956 +a(g48 +VmethodInterfacesForCategory: +p20957 +tp20958 +a(g6 +V +tp20959 +a(g100 +VaCategorySymbol +p20960 +tp20961 +a(g6 +V +tp20962 +a(g48 +VinVocabulary: +p20963 +tp20964 +a(g6 +V +tp20965 +a(g100 +VaVocabulary +p20966 +tp20967 +a(g6 +V +tp20968 +a(g48 +VlimitClass: +p20969 +tp20970 +a(g6 +V +tp20971 +a(g100 +VaLimitClass +p20972 +tp20973 +a(g6 +V\u000a +p20974 +tp20975 +a(g24 +V"Return a list of methodInterfaces for the receiver in the given category, given a vocabulary. aCategorySymbol is the inherent category symbol, not necessarily the wording as expressed in the vocabulary." +p20976 +tp20977 +a(g6 +V\u000a\u000a +p20978 +tp20979 +a(g353 +V| +tp20980 +a(g100 +V categorySymbol +p20981 +tp20982 +a(g353 +V| +tp20983 +a(g6 +V\u000a +p20984 +tp20985 +a(g100 +VcategorySymbol +p20986 +tp20987 +a(g6 +V +tp20988 +a(g353 +V_ +tp20989 +a(g6 +V +tp20990 +a(g100 +VaCategorySymbol +p20991 +tp20992 +a(g6 +V +tp20993 +a(g48 +VasSymbol +p20994 +tp20995 +a(g212 +V. +tp20996 +a(g6 +V\u000a\u000a +p20997 +tp20998 +a(g6 +V( +tp20999 +a(g100 +VcategorySymbol +p21000 +tp21001 +a(g6 +V +tp21002 +a(g48 +V== +p21003 +tp21004 +a(g6 +V +tp21005 +a(g133 +VScriptingSystem +p21006 +tp21007 +a(g6 +V +tp21008 +a(g48 +VnameForInstanceVariablesCategory +p21009 +tp21010 +a(g6 +V) +tp21011 +a(g6 +V +tp21012 +a(g84 +VifTrue: +p21013 +tp21014 +a(g6 +V +tp21015 +a(g6 +V[ +tp21016 +a(g6 +V\u000a +p21017 +tp21018 +a(g24 +V"user-defined instance variables" +p21019 +tp21020 +a(g6 +V\u000a +p21021 +tp21022 +a(g6 +V +tp21023 +a(g353 +V^ +tp21024 +a(g6 +V +tp21025 +a(g87 +Vself +p21026 +tp21027 +a(g6 +V +tp21028 +a(g48 +VmethodInterfacesForInstanceVariablesCategoryIn: +p21029 +tp21030 +a(g6 +V +tp21031 +a(g100 +VaVocabulary +p21032 +tp21033 +a(g6 +V] +tp21034 +a(g212 +V. +tp21035 +a(g6 +V\u000a +p21036 +tp21037 +a(g6 +V( +tp21038 +a(g100 +VcategorySymbol +p21039 +tp21040 +a(g6 +V +tp21041 +a(g48 +V== +p21042 +tp21043 +a(g6 +V +tp21044 +a(g133 +VScriptingSystem +p21045 +tp21046 +a(g6 +V +tp21047 +a(g48 +VnameForScriptsCategory +p21048 +tp21049 +a(g6 +V) +tp21050 +a(g6 +V +tp21051 +a(g84 +VifTrue: +p21052 +tp21053 +a(g6 +V +tp21054 +a(g6 +V[ +tp21055 +a(g6 +V\u000a +p21056 +tp21057 +a(g24 +V"user-defined scripts" +p21058 +tp21059 +a(g6 +V\u000a +p21060 +tp21061 +a(g6 +V +tp21062 +a(g353 +V^ +tp21063 +a(g6 +V +tp21064 +a(g87 +Vself +p21065 +tp21066 +a(g6 +V +tp21067 +a(g48 +VmethodInterfacesForScriptsCategoryIn: +p21068 +tp21069 +a(g6 +V +tp21070 +a(g100 +VaVocabulary +p21071 +tp21072 +a(g6 +V] +tp21073 +a(g212 +V. +tp21074 +a(g6 +V\u000a +p21075 +tp21076 +a(g24 +V"all others" +p21077 +tp21078 +a(g6 +V\u000a +p21079 +tp21080 +a(g353 +V^ +tp21081 +a(g6 +V +tp21082 +a(g87 +Vself +p21083 +tp21084 +a(g6 +V +tp21085 +a(g48 +VusableMethodInterfacesIn: +p21086 +tp21087 +a(g6 +V +tp21088 +a(g6 +V( +tp21089 +a(g100 +VaVocabulary +p21090 +tp21091 +a(g6 +V +tp21092 +a(g48 +VmethodInterfacesInCategory: +p21093 +tp21094 +a(g6 +V +tp21095 +a(g100 +VcategorySymbol +p21096 +tp21097 +a(g6 +V\u000a +p21098 +tp21099 +a(g48 +VforInstance: +p21100 +tp21101 +a(g6 +V +tp21102 +a(g87 +Vself +p21103 +tp21104 +a(g6 +V\u000a +p21105 +tp21106 +a(g48 +VofClass: +p21107 +tp21108 +a(g6 +V +tp21109 +a(g87 +Vself +p21110 +tp21111 +a(g6 +V +tp21112 +a(g48 +Vclass +p21113 +tp21114 +a(g6 +V\u000a +p21115 +tp21116 +a(g48 +VlimitClass: +p21117 +tp21118 +a(g6 +V +tp21119 +a(g100 +VaLimitClass +p21120 +tp21121 +a(g6 +V) +tp21122 +a(g6 +V\u000a +tp21123 +a(g138 +V! ! +p21124 +tp21125 +a(g6 +V\u000a\u000a +p21126 +tp21127 +a(g138 +V! +tp21128 +a(g133 +VObject +p21129 +tp21130 +a(g138 +V methodsFor: +p21131 +tp21132 +a(g236 +V'scripting' +p21133 +tp21134 +a(g138 +V stamp: 'sw 8/3/2001 13:54'! +p21135 +tp21136 +a(g6 +V\u000a +tp21137 +a(g48 +VmethodInterfacesForInstanceVariablesCategoryIn: +p21138 +tp21139 +a(g6 +V +tp21140 +a(g100 +VaVocabulary +p21141 +tp21142 +a(g6 +V\u000a +p21143 +tp21144 +a(g24 +V"Return a collection of methodInterfaces for the instance-variables category. The vocabulary parameter, at present anyway, is not used. And for non-players, the method is at present vacuous in any case" +p21145 +tp21146 +a(g6 +V\u000a\u000a +p21147 +tp21148 +a(g353 +V^ +tp21149 +a(g6 +V +p21150 +tp21151 +a(g133 +VOrderedCollection +p21152 +tp21153 +a(g6 +V +tp21154 +a(g84 +Vnew +p21155 +tp21156 +a(g138 +V! ! +p21157 +tp21158 +a(g6 +V\u000a\u000a +p21159 +tp21160 +a(g138 +V! +tp21161 +a(g133 +VObject +p21162 +tp21163 +a(g138 +V methodsFor: +p21164 +tp21165 +a(g236 +V'scripting' +p21166 +tp21167 +a(g138 +V stamp: 'sw 8/3/2001 13:53'! +p21168 +tp21169 +a(g6 +V\u000a +tp21170 +a(g48 +VmethodInterfacesForScriptsCategoryIn: +p21171 +tp21172 +a(g6 +V +tp21173 +a(g100 +VaVocabulary +p21174 +tp21175 +a(g6 +V\u000a +p21176 +tp21177 +a(g24 +V"Answer a list of method interfaces for the category #scripts, as seen in a viewer or other tool. The vocabulary argument is not presently used. Also, at present, only Players really do anyting interesting here." +p21178 +tp21179 +a(g6 +V\u000a\u000a +p21180 +tp21181 +a(g353 +V^ +tp21182 +a(g6 +V +tp21183 +a(g133 +VOrderedCollection +p21184 +tp21185 +a(g6 +V +tp21186 +a(g84 +Vnew +p21187 +tp21188 +a(g138 +V! ! +p21189 +tp21190 +a(g6 +V\u000a\u000a +p21191 +tp21192 +a(g138 +V! +tp21193 +a(g133 +VObject +p21194 +tp21195 +a(g138 +V methodsFor: +p21196 +tp21197 +a(g236 +V'scripting' +p21198 +tp21199 +a(g138 +V stamp: 'RAA 2/16/2001 19:37'! +p21200 +tp21201 +a(g6 +V\u000a +tp21202 +a(g48 +VselfWrittenAsIll +p21203 +tp21204 +a(g6 +V\u000a +tp21205 +a(g6 +V\u000a +p21206 +tp21207 +a(g353 +V^ +tp21208 +a(g87 +Vself +p21209 +tp21210 +a(g138 +V! ! +p21211 +tp21212 +a(g6 +V\u000a\u000a +p21213 +tp21214 +a(g138 +V! +tp21215 +a(g133 +VObject +p21216 +tp21217 +a(g138 +V methodsFor: +p21218 +tp21219 +a(g236 +V'scripting' +p21220 +tp21221 +a(g138 +V stamp: 'RAA 2/16/2001 19:38'! +p21222 +tp21223 +a(g6 +V\u000a +tp21224 +a(g48 +VselfWrittenAsIm +p21225 +tp21226 +a(g6 +V\u000a +tp21227 +a(g6 +V\u000a +p21228 +tp21229 +a(g353 +V^ +tp21230 +a(g87 +Vself +p21231 +tp21232 +a(g138 +V! ! +p21233 +tp21234 +a(g6 +V\u000a\u000a +p21235 +tp21236 +a(g138 +V! +tp21237 +a(g133 +VObject +p21238 +tp21239 +a(g138 +V methodsFor: +p21240 +tp21241 +a(g236 +V'scripting' +p21242 +tp21243 +a(g138 +V stamp: 'RAA 2/16/2001 19:37'! +p21244 +tp21245 +a(g6 +V\u000a +tp21246 +a(g48 +VselfWrittenAsMe +p21247 +tp21248 +a(g6 +V\u000a +tp21249 +a(g6 +V\u000a +p21250 +tp21251 +a(g353 +V^ +tp21252 +a(g87 +Vself +p21253 +tp21254 +a(g138 +V! ! +p21255 +tp21256 +a(g6 +V\u000a\u000a +p21257 +tp21258 +a(g138 +V! +tp21259 +a(g133 +VObject +p21260 +tp21261 +a(g138 +V methodsFor: +p21262 +tp21263 +a(g236 +V'scripting' +p21264 +tp21265 +a(g138 +V stamp: 'RAA 2/16/2001 19:37'! +p21266 +tp21267 +a(g6 +V\u000a +tp21268 +a(g48 +VselfWrittenAsMy +p21269 +tp21270 +a(g6 +V\u000a +tp21271 +a(g6 +V\u000a +p21272 +tp21273 +a(g353 +V^ +tp21274 +a(g87 +Vself +p21275 +tp21276 +a(g138 +V! ! +p21277 +tp21278 +a(g6 +V\u000a\u000a +p21279 +tp21280 +a(g138 +V! +tp21281 +a(g133 +VObject +p21282 +tp21283 +a(g138 +V methodsFor: +p21284 +tp21285 +a(g236 +V'scripting' +p21286 +tp21287 +a(g138 +V stamp: 'RAA 2/16/2001 19:38'! +p21288 +tp21289 +a(g6 +V\u000a +tp21290 +a(g48 +VselfWrittenAsThis +p21291 +tp21292 +a(g6 +V\u000a +tp21293 +a(g6 +V\u000a +p21294 +tp21295 +a(g353 +V^ +tp21296 +a(g87 +Vself +p21297 +tp21298 +a(g138 +V! ! +p21299 +tp21300 +a(g6 +V\u000a\u000a\u000a +p21301 +tp21302 +a(g138 +V! +tp21303 +a(g133 +VObject +p21304 +tp21305 +a(g138 +V methodsFor: +p21306 +tp21307 +a(g236 +V'scripts-kernel' +p21308 +tp21309 +a(g138 +V stamp: 'nk 10/14/2004 10:55'! +p21310 +tp21311 +a(g6 +V\u000a +tp21312 +a(g48 +VuniversalTilesForGetterOf: +p21313 +tp21314 +a(g6 +V +tp21315 +a(g100 +VaMethodInterface +p21316 +tp21317 +a(g6 +V\u000a +p21318 +tp21319 +a(g24 +V"Return universal tiles for a getter on the given method interface." +p21320 +tp21321 +a(g6 +V\u000a\u000a +p21322 +tp21323 +a(g353 +V| +tp21324 +a(g100 +V ms argTile argArray itsSelector +p21325 +tp21326 +a(g353 +V| +tp21327 +a(g6 +V\u000a +p21328 +tp21329 +a(g100 +VitsSelector +p21330 +tp21331 +a(g6 +V +tp21332 +a(g353 +V_ +tp21333 +a(g6 +V +tp21334 +a(g100 +VaMethodInterface +p21335 +tp21336 +a(g6 +V +tp21337 +a(g48 +Vselector +p21338 +tp21339 +a(g212 +V. +tp21340 +a(g6 +V\u000a +p21341 +tp21342 +a(g100 +VargArray +p21343 +tp21344 +a(g6 +V +tp21345 +a(g353 +V_ +tp21346 +a(g6 +V +tp21347 +a(g258 +V#( +p21348 +tp21349 +a(g258 +V) +tp21350 +a(g212 +V. +tp21351 +a(g6 +V\u000a\u000a +p21352 +tp21353 +a(g24 +V"Four gratuituous special cases..." +p21354 +tp21355 +a(g6 +V\u000a\u000a +p21356 +tp21357 +a(g6 +V( +tp21358 +a(g100 +VitsSelector +p21359 +tp21360 +a(g6 +V +tp21361 +a(g48 +V== +p21362 +tp21363 +a(g6 +V +tp21364 +a(g258 +V#color:sees: +p21365 +tp21366 +a(g6 +V) +tp21367 +a(g6 +V +tp21368 +a(g84 +VifTrue: +p21369 +tp21370 +a(g6 +V\u000a +p21371 +tp21372 +a(g6 +V[ +tp21373 +a(g6 +V +tp21374 +a(g100 +VargTile +p21375 +tp21376 +a(g6 +V +tp21377 +a(g353 +V_ +tp21378 +a(g6 +V +tp21379 +a(g133 +VScriptingSystem +p21380 +tp21381 +a(g6 +V +tp21382 +a(g48 +VtileForArgType: +p21383 +tp21384 +a(g6 +V +tp21385 +a(g258 +V#Color +p21386 +tp21387 +a(g212 +V. +tp21388 +a(g6 +V\u000a +p21389 +tp21390 +a(g100 +VargArray +p21391 +tp21392 +a(g6 +V +tp21393 +a(g353 +V_ +tp21394 +a(g6 +V +tp21395 +a(g133 +VArray +p21396 +tp21397 +a(g6 +V +tp21398 +a(g48 +Vwith: +p21399 +tp21400 +a(g6 +V +tp21401 +a(g100 +VargTile +p21402 +tp21403 +a(g6 +V +tp21404 +a(g48 +VcolorSwatch +p21405 +tp21406 +a(g6 +V +tp21407 +a(g48 +Vcolor +p21408 +tp21409 +a(g6 +V +tp21410 +a(g48 +Vwith: +p21411 +tp21412 +a(g6 +V +tp21413 +a(g100 +VargTile +p21414 +tp21415 +a(g6 +V +tp21416 +a(g48 +VcolorSwatch +p21417 +tp21418 +a(g6 +V +tp21419 +a(g48 +Vcolor +p21420 +tp21421 +a(g6 +V +tp21422 +a(g48 +Vcopy +p21423 +tp21424 +a(g6 +V] +tp21425 +a(g212 +V. +tp21426 +a(g6 +V\u000a\u000a +p21427 +tp21428 +a(g100 +VitsSelector +p21429 +tp21430 +a(g6 +V +tp21431 +a(g48 +V== +p21432 +tp21433 +a(g6 +V +tp21434 +a(g258 +V#seesColor: +p21435 +tp21436 +a(g6 +V +tp21437 +a(g84 +VifTrue: +p21438 +tp21439 +a(g6 +V\u000a +p21440 +tp21441 +a(g6 +V[ +tp21442 +a(g6 +V +tp21443 +a(g100 +VargTile +p21444 +tp21445 +a(g6 +V +tp21446 +a(g353 +V_ +tp21447 +a(g6 +V +tp21448 +a(g133 +VScriptingSystem +p21449 +tp21450 +a(g6 +V +tp21451 +a(g48 +VtileForArgType: +p21452 +tp21453 +a(g6 +V +tp21454 +a(g258 +V#Color +p21455 +tp21456 +a(g212 +V. +tp21457 +a(g6 +V\u000a +p21458 +tp21459 +a(g100 +VargArray +p21460 +tp21461 +a(g6 +V +tp21462 +a(g353 +V_ +tp21463 +a(g6 +V +p21464 +tp21465 +a(g133 +VArray +p21466 +tp21467 +a(g6 +V +tp21468 +a(g48 +Vwith: +p21469 +tp21470 +a(g6 +V +tp21471 +a(g100 +VargTile +p21472 +tp21473 +a(g6 +V +tp21474 +a(g48 +VcolorSwatch +p21475 +tp21476 +a(g6 +V +tp21477 +a(g48 +Vcolor +p21478 +tp21479 +a(g6 +V] +tp21480 +a(g212 +V. +tp21481 +a(g6 +V\u000a\u000a +p21482 +tp21483 +a(g6 +V( +tp21484 +a(g258 +V#( +p21485 +tp21486 +a(g258 +VtouchesA: +p21487 +tp21488 +a(g6 +V +tp21489 +a(g258 +Voverlaps: +p21490 +tp21491 +a(g6 +V +tp21492 +a(g258 +VoverlapsAny: +p21493 +tp21494 +a(g258 +V) +tp21495 +a(g6 +V +tp21496 +a(g48 +Vincludes: +p21497 +tp21498 +a(g6 +V +tp21499 +a(g100 +VitsSelector +p21500 +tp21501 +a(g6 +V) +tp21502 +a(g6 +V +tp21503 +a(g84 +VifTrue: +p21504 +tp21505 +a(g6 +V\u000a +p21506 +tp21507 +a(g6 +V[ +tp21508 +a(g6 +V +tp21509 +a(g100 +VargTile +p21510 +tp21511 +a(g6 +V +tp21512 +a(g353 +V_ +tp21513 +a(g6 +V +tp21514 +a(g133 +VScriptingSystem +p21515 +tp21516 +a(g6 +V +tp21517 +a(g48 +VtileForArgType: +p21518 +tp21519 +a(g6 +V +tp21520 +a(g258 +V#Player +p21521 +tp21522 +a(g212 +V. +tp21523 +a(g6 +V\u000a +p21524 +tp21525 +a(g100 +VargArray +p21526 +tp21527 +a(g6 +V +tp21528 +a(g353 +V_ +tp21529 +a(g6 +V +tp21530 +a(g133 +VArray +p21531 +tp21532 +a(g6 +V +tp21533 +a(g48 +Vwith: +p21534 +tp21535 +a(g6 +V +tp21536 +a(g100 +VargTile +p21537 +tp21538 +a(g6 +V +tp21539 +a(g48 +VactualObject +p21540 +tp21541 +a(g6 +V] +tp21542 +a(g212 +V. +tp21543 +a(g6 +V\u000a\u000a +p21544 +tp21545 +a(g100 +Vms +p21546 +tp21547 +a(g6 +V +tp21548 +a(g353 +V_ +tp21549 +a(g6 +V +tp21550 +a(g133 +VMessageSend +p21551 +tp21552 +a(g6 +V +tp21553 +a(g48 +Vreceiver: +p21554 +tp21555 +a(g6 +V +tp21556 +a(g87 +Vself +p21557 +tp21558 +a(g6 +V +tp21559 +a(g48 +Vselector: +p21560 +tp21561 +a(g6 +V +tp21562 +a(g100 +VitsSelector +p21563 +tp21564 +a(g6 +V +tp21565 +a(g48 +Varguments: +p21566 +tp21567 +a(g6 +V +tp21568 +a(g100 +VargArray +p21569 +tp21570 +a(g212 +V. +tp21571 +a(g6 +V\u000a +p21572 +tp21573 +a(g353 +V^ +tp21574 +a(g6 +V +tp21575 +a(g100 +Vms +p21576 +tp21577 +a(g6 +V +tp21578 +a(g48 +VasTilesIn: +p21579 +tp21580 +a(g6 +V +tp21581 +a(g87 +Vself +p21582 +tp21583 +a(g6 +V +tp21584 +a(g48 +Vclass +p21585 +tp21586 +a(g6 +V +tp21587 +a(g48 +VglobalNames: +p21588 +tp21589 +a(g6 +V +tp21590 +a(g6 +V( +tp21591 +a(g87 +Vself +p21592 +tp21593 +a(g6 +V +tp21594 +a(g48 +Vclass +p21595 +tp21596 +a(g6 +V +tp21597 +a(g48 +VofficialClass +p21598 +tp21599 +a(g6 +V +tp21600 +a(g48 +V~~ +p21601 +tp21602 +a(g6 +V +tp21603 +a(g133 +VCardPlayer +p21604 +tp21605 +a(g6 +V) +tp21606 +a(g6 +V\u000a +p21607 +tp21608 +a(g24 +V"For CardPlayers, use 'self'. For others, name it, and use its name." +p21609 +tp21610 +a(g138 +V! ! +p21611 +tp21612 +a(g6 +V\u000a\u000a +p21613 +tp21614 +a(g138 +V! +tp21615 +a(g133 +VObject +p21616 +tp21617 +a(g138 +V methodsFor: +p21618 +tp21619 +a(g236 +V'scripts-kernel' +p21620 +tp21621 +a(g138 +V stamp: 'tk 9/28/2001 13:30'! +p21622 +tp21623 +a(g6 +V\u000a +tp21624 +a(g48 +VuniversalTilesForInterface: +p21625 +tp21626 +a(g6 +V +tp21627 +a(g100 +VaMethodInterface +p21628 +tp21629 +a(g6 +V\u000a +p21630 +tp21631 +a(g24 +V"Return universal tiles for the given method interface. Record who self is." +p21632 +tp21633 +a(g6 +V\u000a\u000a +p21634 +tp21635 +a(g353 +V| +tp21636 +a(g100 +V ms argTile itsSelector aType argList +p21637 +tp21638 +a(g353 +V| +tp21639 +a(g6 +V\u000a +p21640 +tp21641 +a(g100 +VitsSelector +p21642 +tp21643 +a(g6 +V +tp21644 +a(g353 +V_ +tp21645 +a(g6 +V +tp21646 +a(g100 +VaMethodInterface +p21647 +tp21648 +a(g6 +V +tp21649 +a(g48 +Vselector +p21650 +tp21651 +a(g212 +V. +tp21652 +a(g6 +V\u000a +p21653 +tp21654 +a(g100 +VargList +p21655 +tp21656 +a(g6 +V +tp21657 +a(g353 +V_ +tp21658 +a(g6 +V +tp21659 +a(g133 +VOrderedCollection +p21660 +tp21661 +a(g6 +V +tp21662 +a(g84 +Vnew +p21663 +tp21664 +a(g212 +V. +tp21665 +a(g6 +V\u000a +p21666 +tp21667 +a(g100 +VaMethodInterface +p21668 +tp21669 +a(g6 +V +tp21670 +a(g48 +VargumentVariables +p21671 +tp21672 +a(g6 +V +tp21673 +a(g48 +VdoWithIndex: +p21674 +tp21675 +a(g6 +V\u000a +p21676 +tp21677 +a(g6 +V[ +tp21678 +a(g353 +V: +tp21679 +a(g100 +VanArgumentVariable +p21680 +tp21681 +a(g6 +V +tp21682 +a(g353 +V: +tp21683 +a(g100 +VanIndex +p21684 +tp21685 +a(g6 +V +tp21686 +a(g353 +V| +tp21687 +a(g6 +V \u000a +p21688 +tp21689 +a(g100 +VargTile +p21690 +tp21691 +a(g6 +V +tp21692 +a(g353 +V_ +tp21693 +a(g6 +V +tp21694 +a(g133 +VScriptingSystem +p21695 +tp21696 +a(g6 +V +tp21697 +a(g48 +VtileForArgType: +p21698 +tp21699 +a(g6 +V +tp21700 +a(g6 +V( +tp21701 +a(g100 +VaType +p21702 +tp21703 +a(g6 +V +tp21704 +a(g353 +V_ +tp21705 +a(g6 +V +tp21706 +a(g100 +VaMethodInterface +p21707 +tp21708 +a(g6 +V +tp21709 +a(g48 +VtypeForArgumentNumber: +p21710 +tp21711 +a(g6 +V +tp21712 +a(g100 +VanIndex +p21713 +tp21714 +a(g6 +V) +tp21715 +a(g212 +V. +tp21716 +a(g6 +V\u000a +p21717 +tp21718 +a(g100 +VargList +p21719 +tp21720 +a(g6 +V +tp21721 +a(g48 +Vadd: +p21722 +tp21723 +a(g6 +V +tp21724 +a(g6 +V( +tp21725 +a(g100 +VaType +p21726 +tp21727 +a(g6 +V +tp21728 +a(g48 +V== +p21729 +tp21730 +a(g6 +V +tp21731 +a(g258 +V#Player +p21732 +tp21733 +a(g6 +V \u000a +p21734 +tp21735 +a(g84 +VifTrue: +p21736 +tp21737 +a(g6 +V +tp21738 +a(g6 +V[ +tp21739 +a(g6 +V +tp21740 +a(g100 +VargTile +p21741 +tp21742 +a(g6 +V +tp21743 +a(g48 +VactualObject +p21744 +tp21745 +a(g6 +V] +tp21746 +a(g6 +V\u000a +p21747 +tp21748 +a(g84 +VifFalse: +p21749 +tp21750 +a(g6 +V +tp21751 +a(g6 +V[ +tp21752 +a(g6 +V +tp21753 +a(g100 +VargTile +p21754 +tp21755 +a(g6 +V +tp21756 +a(g48 +Vliteral +p21757 +tp21758 +a(g6 +V] +tp21759 +a(g6 +V) +tp21760 +a(g212 +V. +tp21761 +a(g6 +V +tp21762 +a(g24 +V"default value for each type" +p21763 +tp21764 +a(g6 +V] +tp21765 +a(g212 +V. +tp21766 +a(g6 +V\u000a\u000a +p21767 +tp21768 +a(g100 +Vms +p21769 +tp21770 +a(g6 +V +tp21771 +a(g353 +V_ +tp21772 +a(g6 +V +tp21773 +a(g133 +VMessageSend +p21774 +tp21775 +a(g6 +V +tp21776 +a(g48 +Vreceiver: +p21777 +tp21778 +a(g6 +V +tp21779 +a(g87 +Vself +p21780 +tp21781 +a(g6 +V +tp21782 +a(g48 +Vselector: +p21783 +tp21784 +a(g6 +V +tp21785 +a(g100 +VitsSelector +p21786 +tp21787 +a(g6 +V +tp21788 +a(g48 +Varguments: +p21789 +tp21790 +a(g6 +V +tp21791 +a(g100 +VargList +p21792 +tp21793 +a(g6 +V +tp21794 +a(g48 +VasArray +p21795 +tp21796 +a(g212 +V. +tp21797 +a(g6 +V\u000a +p21798 +tp21799 +a(g353 +V^ +tp21800 +a(g6 +V +tp21801 +a(g100 +Vms +p21802 +tp21803 +a(g6 +V +tp21804 +a(g48 +VasTilesIn: +p21805 +tp21806 +a(g6 +V +tp21807 +a(g87 +Vself +p21808 +tp21809 +a(g6 +V +tp21810 +a(g48 +Vclass +p21811 +tp21812 +a(g6 +V +tp21813 +a(g48 +VglobalNames: +p21814 +tp21815 +a(g6 +V +tp21816 +a(g6 +V( +tp21817 +a(g87 +Vself +p21818 +tp21819 +a(g6 +V +tp21820 +a(g48 +Vclass +p21821 +tp21822 +a(g6 +V +tp21823 +a(g48 +VofficialClass +p21824 +tp21825 +a(g6 +V +tp21826 +a(g48 +V~~ +p21827 +tp21828 +a(g6 +V +tp21829 +a(g133 +VCardPlayer +p21830 +tp21831 +a(g6 +V) +tp21832 +a(g6 +V\u000a +p21833 +tp21834 +a(g24 +V"For CardPlayers, use 'self'. For others, name it, and use its name." +p21835 +tp21836 +a(g138 +V! ! +p21837 +tp21838 +a(g6 +V\u000a\u000a\u000a +p21839 +tp21840 +a(g138 +V! +tp21841 +a(g133 +VObject +p21842 +tp21843 +a(g138 +V methodsFor: +p21844 +tp21845 +a(g236 +V'self evaluating' +p21846 +tp21847 +a(g138 +V stamp: 'sd 7/31/2005 21:47'! +p21848 +tp21849 +a(g6 +V\u000a +tp21850 +a(g48 +VisSelfEvaluating +p21851 +tp21852 +a(g6 +V\u000a +p21853 +tp21854 +a(g353 +V^ +tp21855 +a(g6 +V +tp21856 +a(g87 +Vself +p21857 +tp21858 +a(g6 +V +tp21859 +a(g48 +VisLiteral +p21860 +tp21861 +a(g138 +V! ! +p21862 +tp21863 +a(g6 +V\u000a\u000a\u000a +p21864 +tp21865 +a(g138 +V! +tp21866 +a(g133 +VObject +p21867 +tp21868 +a(g138 +V methodsFor: +p21869 +tp21870 +a(g236 +V'system primitives' +p21871 +tp21872 +a(g138 +V! +tp21873 +a(g6 +V\u000a +tp21874 +a(g48 +VasOop +p21875 +tp21876 +a(g6 +V\u000a +p21877 +tp21878 +a(g24 +V"Primitive. Answer a SmallInteger whose value is half of the receiver's \u000a object pointer (interpreting object pointers as 16-bit signed quantities). \u000a Fail if the receiver is a SmallInteger. Essential. See Object documentation \u000a whatIsAPrimitive." +p21879 +tp21880 +a(g6 +V\u000a\u000a +p21881 +tp21882 +a(g6 +V< +tp21883 +a(g138 +Vprimitive: +p21884 +tp21885 +a(g6 +V 75 +p21886 +tp21887 +a(g6 +V> +tp21888 +a(g6 +V\u000a +p21889 +tp21890 +a(g87 +Vself +p21891 +tp21892 +a(g6 +V +tp21893 +a(g48 +VprimitiveFailed +p21894 +tp21895 +a(g138 +V! ! +p21896 +tp21897 +a(g6 +V\u000a\u000a +p21898 +tp21899 +a(g138 +V! +tp21900 +a(g133 +VObject +p21901 +tp21902 +a(g138 +V methodsFor: +p21903 +tp21904 +a(g236 +V'system primitives' +p21905 +tp21906 +a(g138 +V stamp: 'di 1/9/1999 15:19'! +p21907 +tp21908 +a(g6 +V\u000a +tp21909 +a(g48 +VbecomeForward: +p21910 +tp21911 +a(g6 +V +tp21912 +a(g100 +VotherObject +p21913 +tp21914 +a(g6 +V \u000a +p21915 +tp21916 +a(g24 +V"Primitive. All variables in the entire system that used to point\u000a to the receiver now point to the argument.\u000a Fails if either argument is a SmallInteger." +p21917 +tp21918 +a(g6 +V\u000a\u000a +p21919 +tp21920 +a(g6 +V( +tp21921 +a(g133 +VArray +p21922 +tp21923 +a(g6 +V +tp21924 +a(g48 +Vwith: +p21925 +tp21926 +a(g6 +V +tp21927 +a(g87 +Vself +p21928 +tp21929 +a(g6 +V) +tp21930 +a(g6 +V\u000a +p21931 +tp21932 +a(g48 +VelementsForwardIdentityTo: +p21933 +tp21934 +a(g6 +V\u000a +p21935 +tp21936 +a(g6 +V( +tp21937 +a(g133 +VArray +p21938 +tp21939 +a(g6 +V +tp21940 +a(g48 +Vwith: +p21941 +tp21942 +a(g6 +V +tp21943 +a(g100 +VotherObject +p21944 +tp21945 +a(g6 +V) +tp21946 +a(g138 +V! ! +p21947 +tp21948 +a(g6 +V\u000a\u000a +p21949 +tp21950 +a(g138 +V! +tp21951 +a(g133 +VObject +p21952 +tp21953 +a(g138 +V methodsFor: +p21954 +tp21955 +a(g236 +V'system primitives' +p21956 +tp21957 +a(g138 +V stamp: 'zz 3/3/2004 23:53'! +p21958 +tp21959 +a(g6 +V\u000a +tp21960 +a(g48 +VbecomeForward: +p21961 +tp21962 +a(g6 +V +tp21963 +a(g100 +VotherObject +p21964 +tp21965 +a(g6 +V +tp21966 +a(g48 +VcopyHash: +p21967 +tp21968 +a(g6 +V +tp21969 +a(g100 +VcopyHash +p21970 +tp21971 +a(g6 +V\u000a +p21972 +tp21973 +a(g24 +V"Primitive. All variables in the entire system that used to point to the receiver now point to the argument.\u000a If copyHash is true, the argument's identity hash bits will be set to those of the receiver.\u000a Fails if either argument is a SmallInteger." +p21974 +tp21975 +a(g6 +V\u000a\u000a +p21976 +tp21977 +a(g6 +V( +tp21978 +a(g133 +VArray +p21979 +tp21980 +a(g6 +V +tp21981 +a(g48 +Vwith: +p21982 +tp21983 +a(g6 +V +tp21984 +a(g87 +Vself +p21985 +tp21986 +a(g6 +V) +tp21987 +a(g6 +V\u000a +p21988 +tp21989 +a(g48 +VelementsForwardIdentityTo: +p21990 +tp21991 +a(g6 +V\u000a +p21992 +tp21993 +a(g6 +V( +tp21994 +a(g133 +VArray +p21995 +tp21996 +a(g6 +V +tp21997 +a(g48 +Vwith: +p21998 +tp21999 +a(g6 +V +tp22000 +a(g100 +VotherObject +p22001 +tp22002 +a(g6 +V) +tp22003 +a(g6 +V\u000a +p22004 +tp22005 +a(g48 +VcopyHash: +p22006 +tp22007 +a(g6 +V +tp22008 +a(g100 +VcopyHash +p22009 +tp22010 +a(g138 +V! ! +p22011 +tp22012 +a(g6 +V\u000a\u000a +p22013 +tp22014 +a(g138 +V! +tp22015 +a(g133 +VObject +p22016 +tp22017 +a(g138 +V methodsFor: +p22018 +tp22019 +a(g236 +V'system primitives' +p22020 +tp22021 +a(g138 +V stamp: 'sw 10/16/2000 10:59'! +p22022 +tp22023 +a(g6 +V\u000a +tp22024 +a(g48 +VclassName +p22025 +tp22026 +a(g6 +V\u000a +p22027 +tp22028 +a(g24 +V"Answer a string characterizing the receiver's class, for use in list views for example" +p22029 +tp22030 +a(g6 +V\u000a\u000a +p22031 +tp22032 +a(g353 +V^ +tp22033 +a(g6 +V +tp22034 +a(g87 +Vself +p22035 +tp22036 +a(g6 +V +tp22037 +a(g48 +Vclass +p22038 +tp22039 +a(g6 +V +tp22040 +a(g48 +Vname +p22041 +tp22042 +a(g6 +V +tp22043 +a(g48 +VasString +p22044 +tp22045 +a(g138 +V! ! +p22046 +tp22047 +a(g6 +V\u000a\u000a +p22048 +tp22049 +a(g138 +V! +tp22050 +a(g133 +VObject +p22051 +tp22052 +a(g138 +V methodsFor: +p22053 +tp22054 +a(g236 +V'system primitives' +p22055 +tp22056 +a(g138 +V stamp: 'sw 10/16/2000 11:04'! +p22057 +tp22058 +a(g6 +V\u000a +tp22059 +a(g48 +VcreationStamp +p22060 +tp22061 +a(g6 +V\u000a +p22062 +tp22063 +a(g24 +V"Answer a string which reports the creation particulars of the receiver. Intended perhaps for list views, but this is presently a feature not easily accessible" +p22064 +tp22065 +a(g6 +V\u000a\u000a +p22066 +tp22067 +a(g353 +V^ +tp22068 +a(g6 +V +tp22069 +a(g236 +V'' +p22070 +tp22071 +a(g138 +V! ! +p22072 +tp22073 +a(g6 +V\u000a\u000a +p22074 +tp22075 +a(g138 +V! +tp22076 +a(g133 +VObject +p22077 +tp22078 +a(g138 +V methodsFor: +p22079 +tp22080 +a(g236 +V'system primitives' +p22081 +tp22082 +a(g138 +V! +tp22083 +a(g6 +V\u000a +tp22084 +a(g48 +VinstVarAt: +p22085 +tp22086 +a(g6 +V +tp22087 +a(g100 +Vindex +p22088 +tp22089 +a(g6 +V \u000a +p22090 +tp22091 +a(g24 +V"Primitive. Answer a fixed variable in an object. The numbering of the \u000a variables corresponds to the named instance variables. Fail if the index \u000a is not an Integer or is not the index of a fixed variable. Essential. See \u000a Object documentation whatIsAPrimitive." +p22092 +tp22093 +a(g6 +V\u000a\u000a +p22094 +tp22095 +a(g6 +V< +tp22096 +a(g138 +Vprimitive: +p22097 +tp22098 +a(g6 +V 73 +p22099 +tp22100 +a(g6 +V> +tp22101 +a(g6 +V\u000a +p22102 +tp22103 +a(g24 +V"Access beyond fixed variables." +p22104 +tp22105 +a(g6 +V\u000a +p22106 +tp22107 +a(g353 +V^ +tp22108 +a(g87 +Vself +p22109 +tp22110 +a(g6 +V +tp22111 +a(g48 +VbasicAt: +p22112 +tp22113 +a(g6 +V +tp22114 +a(g100 +Vindex +p22115 +tp22116 +a(g6 +V +tp22117 +a(g48 +V- +tp22118 +a(g6 +V +tp22119 +a(g87 +Vself +p22120 +tp22121 +a(g6 +V +tp22122 +a(g48 +Vclass +p22123 +tp22124 +a(g6 +V +tp22125 +a(g48 +VinstSize +p22126 +tp22127 +a(g6 +V +p22128 +tp22129 +a(g138 +V! ! +p22130 +tp22131 +a(g6 +V\u000a\u000a +p22132 +tp22133 +a(g138 +V! +tp22134 +a(g133 +VObject +p22135 +tp22136 +a(g138 +V methodsFor: +p22137 +tp22138 +a(g236 +V'system primitives' +p22139 +tp22140 +a(g138 +V! +tp22141 +a(g6 +V\u000a +tp22142 +a(g48 +VinstVarAt: +p22143 +tp22144 +a(g6 +V +tp22145 +a(g100 +VanInteger +p22146 +tp22147 +a(g6 +V +tp22148 +a(g48 +Vput: +p22149 +tp22150 +a(g6 +V +tp22151 +a(g100 +VanObject +p22152 +tp22153 +a(g6 +V \u000a +p22154 +tp22155 +a(g24 +V"Primitive. Store a value into a fixed variable in the receiver. The \u000a numbering of the variables corresponds to the named instance variables. \u000a Fail if the index is not an Integer or is not the index of a fixed variable. \u000a Answer the value stored as the result. Using this message violates the \u000a principle that each object has sovereign control over the storing of \u000a values into its instance variables. Essential. See Object documentation \u000a whatIsAPrimitive." +p22156 +tp22157 +a(g6 +V\u000a\u000a +p22158 +tp22159 +a(g6 +V< +tp22160 +a(g138 +Vprimitive: +p22161 +tp22162 +a(g6 +V 74 +p22163 +tp22164 +a(g6 +V> +tp22165 +a(g6 +V\u000a +p22166 +tp22167 +a(g24 +V"Access beyond fixed fields" +p22168 +tp22169 +a(g6 +V\u000a +p22170 +tp22171 +a(g353 +V^ +tp22172 +a(g87 +Vself +p22173 +tp22174 +a(g6 +V +tp22175 +a(g48 +VbasicAt: +p22176 +tp22177 +a(g6 +V +tp22178 +a(g100 +VanInteger +p22179 +tp22180 +a(g6 +V +tp22181 +a(g48 +V- +tp22182 +a(g6 +V +tp22183 +a(g87 +Vself +p22184 +tp22185 +a(g6 +V +tp22186 +a(g48 +Vclass +p22187 +tp22188 +a(g6 +V +tp22189 +a(g48 +VinstSize +p22190 +tp22191 +a(g6 +V +tp22192 +a(g48 +Vput: +p22193 +tp22194 +a(g6 +V +tp22195 +a(g100 +VanObject +p22196 +tp22197 +a(g138 +V! ! +p22198 +tp22199 +a(g6 +V\u000a\u000a +p22200 +tp22201 +a(g138 +V! +tp22202 +a(g133 +VObject +p22203 +tp22204 +a(g138 +V methodsFor: +p22205 +tp22206 +a(g236 +V'system primitives' +p22207 +tp22208 +a(g138 +V stamp: 'sw 10/16/2000 11:09'! +p22209 +tp22210 +a(g6 +V\u000a +tp22211 +a(g48 +VinstVarNamed: +p22212 +tp22213 +a(g6 +V +tp22214 +a(g100 +VaString +p22215 +tp22216 +a(g6 +V\u000a +p22217 +tp22218 +a(g24 +V"Return the value of the instance variable in me with that name. Slow and unclean, but very useful. " +p22219 +tp22220 +a(g6 +V\u000a\u000a +p22221 +tp22222 +a(g353 +V^ +tp22223 +a(g6 +V +tp22224 +a(g87 +Vself +p22225 +tp22226 +a(g6 +V +tp22227 +a(g48 +VinstVarAt: +p22228 +tp22229 +a(g6 +V +tp22230 +a(g6 +V( +tp22231 +a(g87 +Vself +p22232 +tp22233 +a(g6 +V +tp22234 +a(g48 +Vclass +p22235 +tp22236 +a(g6 +V +tp22237 +a(g48 +VallInstVarNames +p22238 +tp22239 +a(g6 +V +tp22240 +a(g48 +VindexOf: +p22241 +tp22242 +a(g6 +V +tp22243 +a(g100 +VaString +p22244 +tp22245 +a(g6 +V +tp22246 +a(g48 +VasString +p22247 +tp22248 +a(g6 +V) +tp22249 +a(g6 +V\u000a\u000a\u000a +p22250 +tp22251 +a(g138 +V! ! +p22252 +tp22253 +a(g6 +V\u000a\u000a +p22254 +tp22255 +a(g138 +V! +tp22256 +a(g133 +VObject +p22257 +tp22258 +a(g138 +V methodsFor: +p22259 +tp22260 +a(g236 +V'system primitives' +p22261 +tp22262 +a(g138 +V stamp: 'sw 10/16/2000 11:10'! +p22263 +tp22264 +a(g6 +V\u000a +tp22265 +a(g48 +VinstVarNamed: +p22266 +tp22267 +a(g6 +V +tp22268 +a(g100 +VaString +p22269 +tp22270 +a(g6 +V +tp22271 +a(g48 +Vput: +p22272 +tp22273 +a(g6 +V +tp22274 +a(g100 +VaValue +p22275 +tp22276 +a(g6 +V\u000a +p22277 +tp22278 +a(g24 +V"Store into the value of the instance variable in me of that name. Slow and unclean, but very useful. " +p22279 +tp22280 +a(g6 +V\u000a\u000a +p22281 +tp22282 +a(g353 +V^ +tp22283 +a(g6 +V +tp22284 +a(g87 +Vself +p22285 +tp22286 +a(g6 +V +tp22287 +a(g48 +VinstVarAt: +p22288 +tp22289 +a(g6 +V +tp22290 +a(g6 +V( +tp22291 +a(g87 +Vself +p22292 +tp22293 +a(g6 +V +tp22294 +a(g48 +Vclass +p22295 +tp22296 +a(g6 +V +tp22297 +a(g48 +VallInstVarNames +p22298 +tp22299 +a(g6 +V +tp22300 +a(g48 +VindexOf: +p22301 +tp22302 +a(g6 +V +tp22303 +a(g100 +VaString +p22304 +tp22305 +a(g6 +V +tp22306 +a(g48 +VasString +p22307 +tp22308 +a(g6 +V) +tp22309 +a(g6 +V +tp22310 +a(g48 +Vput: +p22311 +tp22312 +a(g6 +V +tp22313 +a(g100 +VaValue +p22314 +tp22315 +a(g6 +V\u000a +tp22316 +a(g138 +V! ! +p22317 +tp22318 +a(g6 +V\u000a\u000a +p22319 +tp22320 +a(g138 +V! +tp22321 +a(g133 +VObject +p22322 +tp22323 +a(g138 +V methodsFor: +p22324 +tp22325 +a(g236 +V'system primitives' +p22326 +tp22327 +a(g138 +V stamp: 'sw 10/17/2000 11:12'! +p22328 +tp22329 +a(g6 +V\u000a +tp22330 +a(g48 +VoopString +p22331 +tp22332 +a(g6 +V\u000a +p22333 +tp22334 +a(g24 +V"Answer a string that represents the oop of the receiver" +p22335 +tp22336 +a(g6 +V\u000a\u000a +p22337 +tp22338 +a(g353 +V^ +tp22339 +a(g6 +V +tp22340 +a(g87 +Vself +p22341 +tp22342 +a(g6 +V +tp22343 +a(g48 +VasOop +p22344 +tp22345 +a(g6 +V +tp22346 +a(g48 +VprintString +p22347 +tp22348 +a(g138 +V! ! +p22349 +tp22350 +a(g6 +V\u000a\u000a +p22351 +tp22352 +a(g138 +V! +tp22353 +a(g133 +VObject +p22354 +tp22355 +a(g138 +V methodsFor: +p22356 +tp22357 +a(g236 +V'system primitives' +p22358 +tp22359 +a(g138 +V stamp: 'ar 3/2/2001 01:34'! +p22360 +tp22361 +a(g6 +V\u000a +tp22362 +a(g48 +VprimitiveChangeClassTo: +p22363 +tp22364 +a(g6 +V +tp22365 +a(g100 +VanObject +p22366 +tp22367 +a(g6 +V\u000a +p22368 +tp22369 +a(g24 +V"Primitive. Change the class of the receiver into the class of the argument given that the format of the receiver matches the format of the argument's class. Fail if receiver or argument are SmallIntegers, or the receiver is an instance of a compact class and the argument isn't, or when the argument's class is compact and the receiver isn't, or when the format of the receiver is different from the format of the argument's class, or when the arguments class is fixed and the receiver's size differs from the size that an instance of the argument's class should have.\u000a Note: The primitive will fail in most cases that you think might work. This is mostly because of a) the difference between compact and non-compact classes, and b) because of differences in the format. As an example, '(Array new: 3) primitiveChangeClassTo: Morph basicNew' would fail for three of the reasons mentioned above. Array is compact, Morph is not (failure #1). Array is variable and Morph is fixed (different format - failure #2). Morph is a fixed-field-only object and the array is too short (failure #3).\u000a The facility is really provided for certain, very specific applications (mostly related to classes changing shape) and not for casual use." +p22370 +tp22371 +a(g6 +V\u000a\u000a +p22372 +tp22373 +a(g6 +V< +tp22374 +a(g138 +Vprimitive: +p22375 +tp22376 +a(g6 +V 115 +p22377 +tp22378 +a(g6 +V> +tp22379 +a(g6 +V\u000a +p22380 +tp22381 +a(g87 +Vself +p22382 +tp22383 +a(g6 +V +tp22384 +a(g48 +VprimitiveFailed +p22385 +tp22386 +a(g138 +V! ! +p22387 +tp22388 +a(g6 +V\u000a\u000a +p22389 +tp22390 +a(g138 +V! +tp22391 +a(g133 +VObject +p22392 +tp22393 +a(g138 +V methodsFor: +p22394 +tp22395 +a(g236 +V'system primitives' +p22396 +tp22397 +a(g138 +V stamp: 'di 3/27/1999 12:21'! +p22398 +tp22399 +a(g6 +V\u000a +tp22400 +a(g48 +VrootStubInImageSegment: +p22401 +tp22402 +a(g6 +V +tp22403 +a(g100 +VimageSegment +p22404 +tp22405 +a(g6 +V\u000a\u000a +p22406 +tp22407 +a(g353 +V^ +tp22408 +a(g6 +V +tp22409 +a(g133 +VImageSegmentRootStub +p22410 +tp22411 +a(g6 +V +tp22412 +a(g84 +Vnew +p22413 +tp22414 +a(g6 +V\u000a +p22415 +tp22416 +a(g48 +VxxSuperclass: +p22417 +tp22418 +a(g6 +V +tp22419 +a(g87 +Vnil +p22420 +tp22421 +a(g6 +V\u000a +p22422 +tp22423 +a(g48 +Vformat: +p22424 +tp22425 +a(g6 +V +tp22426 +a(g87 +Vnil +p22427 +tp22428 +a(g6 +V\u000a +p22429 +tp22430 +a(g48 +Vsegment: +p22431 +tp22432 +a(g6 +V +tp22433 +a(g100 +VimageSegment +p22434 +tp22435 +a(g138 +V! ! +p22436 +tp22437 +a(g6 +V\u000a\u000a +p22438 +tp22439 +a(g138 +V! +tp22440 +a(g133 +VObject +p22441 +tp22442 +a(g138 +V methodsFor: +p22443 +tp22444 +a(g236 +V'system primitives' +p22445 +tp22446 +a(g138 +V! +tp22447 +a(g6 +V\u000a +tp22448 +a(g48 +VsomeObject +p22449 +tp22450 +a(g6 +V\u000a +p22451 +tp22452 +a(g24 +V"Primitive. Answer the first object in the enumeration of all\u000a objects." +p22453 +tp22454 +a(g6 +V\u000a\u000a +p22455 +tp22456 +a(g6 +V< +tp22457 +a(g138 +Vprimitive: +p22458 +tp22459 +a(g6 +V 138 +p22460 +tp22461 +a(g6 +V> +tp22462 +a(g6 +V\u000a +p22463 +tp22464 +a(g87 +Vself +p22465 +tp22466 +a(g6 +V +tp22467 +a(g48 +VprimitiveFailed +p22468 +tp22469 +a(g212 +V. +tp22470 +a(g138 +V! ! +p22471 +tp22472 +a(g6 +V\u000a\u000a\u000a +p22473 +tp22474 +a(g138 +V! +tp22475 +a(g133 +VObject +p22476 +tp22477 +a(g138 +V methodsFor: +p22478 +tp22479 +a(g236 +V'testing' +p22480 +tp22481 +a(g138 +V stamp: 'sw 9/26/2001 11:58'! +p22482 +tp22483 +a(g6 +V\u000a +tp22484 +a(g48 +VbasicType +p22485 +tp22486 +a(g6 +V\u000a +p22487 +tp22488 +a(g24 +V"Answer a symbol representing the inherent type of the receiver" +p22489 +tp22490 +a(g6 +V\u000a\u000a +p22491 +tp22492 +a(g353 +V^ +tp22493 +a(g6 +V +tp22494 +a(g258 +V#Object +p22495 +tp22496 +a(g138 +V! ! +p22497 +tp22498 +a(g6 +V\u000a\u000a +p22499 +tp22500 +a(g138 +V! +tp22501 +a(g133 +VObject +p22502 +tp22503 +a(g138 +V methodsFor: +p22504 +tp22505 +a(g236 +V'testing' +p22506 +tp22507 +a(g138 +V stamp: 'sw 5/3/2001 16:19'! +p22508 +tp22509 +a(g6 +V\u000a +tp22510 +a(g48 +VbeViewed +p22511 +tp22512 +a(g6 +V\u000a +p22513 +tp22514 +a(g24 +V"Open up a viewer on the receiver. The Presenter is invited to decide just how to present this viewer" +p22515 +tp22516 +a(g6 +V\u000a\u000a +p22517 +tp22518 +a(g87 +Vself +p22519 +tp22520 +a(g6 +V +tp22521 +a(g48 +VuniqueNameForReference +p22522 +tp22523 +a(g212 +V. +tp22524 +a(g6 +V +p22525 +tp22526 +a(g24 +V"So the viewer will have something nice to refer to" +p22527 +tp22528 +a(g6 +V\u000a +p22529 +tp22530 +a(g87 +Vself +p22531 +tp22532 +a(g6 +V +tp22533 +a(g48 +Vpresenter +p22534 +tp22535 +a(g6 +V +tp22536 +a(g48 +VviewObject: +p22537 +tp22538 +a(g6 +V +tp22539 +a(g87 +Vself +p22540 +tp22541 +a(g138 +V! ! +p22542 +tp22543 +a(g6 +V\u000a\u000a +p22544 +tp22545 +a(g138 +V! +tp22546 +a(g133 +VObject +p22547 +tp22548 +a(g138 +V methodsFor: +p22549 +tp22550 +a(g236 +V'testing' +p22551 +tp22552 +a(g138 +V stamp: 'sw 10/16/2000 11:01'! +p22553 +tp22554 +a(g6 +V\u000a +tp22555 +a(g48 +Vcostumes +p22556 +tp22557 +a(g6 +V\u000a +p22558 +tp22559 +a(g24 +V"Answer a list of costumes associated with the receiver. The appearance of this method in class Object serves only as a backstop, probably only transitionally" +p22560 +tp22561 +a(g6 +V\u000a\u000a +p22562 +tp22563 +a(g353 +V^ +tp22564 +a(g6 +V +tp22565 +a(g87 +Vnil +p22566 +tp22567 +a(g138 +V! ! +p22568 +tp22569 +a(g6 +V\u000a\u000a +p22570 +tp22571 +a(g138 +V! +tp22572 +a(g133 +VObject +p22573 +tp22574 +a(g138 +V methodsFor: +p22575 +tp22576 +a(g236 +V'testing' +p22577 +tp22578 +a(g138 +V stamp: 'sw 1/12/98 18:09'! +p22579 +tp22580 +a(g6 +V\u000a +tp22581 +a(g100 +VhaltIfNil +p22582 +tp22583 +a(g138 +V! ! +p22584 +tp22585 +a(g6 +V\u000a\u000a +p22586 +tp22587 +a(g138 +V! +tp22588 +a(g133 +VObject +p22589 +tp22590 +a(g138 +V methodsFor: +p22591 +tp22592 +a(g236 +V'testing' +p22593 +tp22594 +a(g138 +V stamp: 'md 1/20/2006 17:09'! +p22595 +tp22596 +a(g6 +V\u000a +tp22597 +a(g48 +VhasLiteralSuchThat: +p22598 +tp22599 +a(g6 +V +tp22600 +a(g100 +VtestBlock +p22601 +tp22602 +a(g6 +V\u000a +p22603 +tp22604 +a(g24 +V"This is the end of the imbedded structure path so return false." +p22605 +tp22606 +a(g6 +V\u000a\u000a +p22607 +tp22608 +a(g353 +V^ +tp22609 +a(g6 +V +tp22610 +a(g87 +Vfalse +p22611 +tp22612 +a(g138 +V! ! +p22613 +tp22614 +a(g6 +V\u000a\u000a +p22615 +tp22616 +a(g138 +V! +tp22617 +a(g133 +VObject +p22618 +tp22619 +a(g138 +V methodsFor: +p22620 +tp22621 +a(g236 +V'testing' +p22622 +tp22623 +a(g138 +V stamp: 'md 1/20/2006 17:10'! +p22624 +tp22625 +a(g6 +V\u000a +tp22626 +a(g48 +VhasLiteralThorough: +p22627 +tp22628 +a(g6 +V +tp22629 +a(g100 +Vliteral +p22630 +tp22631 +a(g6 +V\u000a +p22632 +tp22633 +a(g24 +V"Answer true if literal is identical to any literal in this array, even if imbedded in further structures. This is the end of the imbedded structure path so return false." +p22634 +tp22635 +a(g6 +V\u000a\u000a +p22636 +tp22637 +a(g353 +V^ +tp22638 +a(g6 +V +tp22639 +a(g87 +Vfalse +p22640 +tp22641 +a(g138 +V! ! +p22642 +tp22643 +a(g6 +V\u000a\u000a +p22644 +tp22645 +a(g138 +V! +tp22646 +a(g133 +VObject +p22647 +tp22648 +a(g138 +V methodsFor: +p22649 +tp22650 +a(g236 +V'testing' +p22651 +tp22652 +a(g138 +V stamp: 'sw 1/30/2001 22:24'! +p22653 +tp22654 +a(g6 +V\u000a +tp22655 +a(g48 +VhaveFullProtocolBrowsed +p22656 +tp22657 +a(g6 +V\u000a +p22658 +tp22659 +a(g24 +V"Open up a Lexicon on the receiver" +p22660 +tp22661 +a(g6 +V\u000a\u000a +p22662 +tp22663 +a(g353 +V^ +tp22664 +a(g6 +V +tp22665 +a(g87 +Vself +p22666 +tp22667 +a(g6 +V +tp22668 +a(g48 +VhaveFullProtocolBrowsedShowingSelector: +p22669 +tp22670 +a(g6 +V +tp22671 +a(g87 +Vnil +p22672 +tp22673 +a(g6 +V\u000a\u000a +p22674 +tp22675 +a(g24 +V"(2@3) haveFullProtocolBrowsed" +p22676 +tp22677 +a(g6 +V\u000a +tp22678 +a(g138 +V! ! +p22679 +tp22680 +a(g6 +V\u000a\u000a +p22681 +tp22682 +a(g138 +V! +tp22683 +a(g133 +VObject +p22684 +tp22685 +a(g138 +V methodsFor: +p22686 +tp22687 +a(g236 +V'testing' +p22688 +tp22689 +a(g138 +V stamp: 'ar 9/27/2005 21:04'! +p22690 +tp22691 +a(g6 +V\u000a +tp22692 +a(g48 +VhaveFullProtocolBrowsedShowingSelector: +p22693 +tp22694 +a(g6 +V +tp22695 +a(g100 +VaSelector +p22696 +tp22697 +a(g6 +V\u000a +p22698 +tp22699 +a(g24 +V"Open up a Lexicon on the receiver, having it open up showing aSelector, which may be nil" +p22700 +tp22701 +a(g6 +V\u000a\u000a +p22702 +tp22703 +a(g353 +V| +tp22704 +a(g100 +V aBrowser +p22705 +tp22706 +a(g353 +V| +tp22707 +a(g6 +V\u000a +p22708 +tp22709 +a(g100 +VaBrowser +p22710 +tp22711 +a(g6 +V +tp22712 +a(g353 +V:= +p22713 +tp22714 +a(g6 +V +tp22715 +a(g6 +V( +tp22716 +a(g133 +VSmalltalk +p22717 +tp22718 +a(g6 +V +tp22719 +a(g48 +Vat: +p22720 +tp22721 +a(g6 +V +tp22722 +a(g258 +V#InstanceBrowser +p22723 +tp22724 +a(g6 +V +tp22725 +a(g48 +VifAbsent: +p22726 +tp22727 +a(g6 +V[ +tp22728 +a(g6 +V +tp22729 +a(g353 +V^ +tp22730 +a(g87 +Vnil +p22731 +tp22732 +a(g6 +V] +tp22733 +a(g6 +V) +tp22734 +a(g6 +V +tp22735 +a(g84 +Vnew +p22736 +tp22737 +a(g6 +V +tp22738 +a(g48 +VuseVocabulary: +p22739 +tp22740 +a(g6 +V +tp22741 +a(g133 +VVocabulary +p22742 +tp22743 +a(g6 +V +tp22744 +a(g48 +VfullVocabulary +p22745 +tp22746 +a(g212 +V. +tp22747 +a(g6 +V\u000a +p22748 +tp22749 +a(g100 +VaBrowser +p22750 +tp22751 +a(g6 +V +tp22752 +a(g48 +VopenOnObject: +p22753 +tp22754 +a(g6 +V +tp22755 +a(g87 +Vself +p22756 +tp22757 +a(g6 +V +tp22758 +a(g48 +VinWorld: +p22759 +tp22760 +a(g6 +V +tp22761 +a(g133 +VActiveWorld +p22762 +tp22763 +a(g6 +V +tp22764 +a(g48 +VshowingSelector: +p22765 +tp22766 +a(g6 +V +tp22767 +a(g100 +VaSelector +p22768 +tp22769 +a(g6 +V\u000a\u000a +p22770 +tp22771 +a(g24 +V"(2@3) haveFullProtocolBrowsed" +p22772 +tp22773 +a(g138 +V! ! +p22774 +tp22775 +a(g6 +V\u000a\u000a +p22776 +tp22777 +a(g138 +V! +tp22778 +a(g133 +VObject +p22779 +tp22780 +a(g138 +V methodsFor: +p22781 +tp22782 +a(g236 +V'testing' +p22783 +tp22784 +a(g138 +V stamp: 'md 7/30/2005 21:21'! +p22785 +tp22786 +a(g6 +V\u000a +tp22787 +a(g48 +VisArray +p22788 +tp22789 +a(g6 +V\u000a +p22790 +tp22791 +a(g353 +V^ +tp22792 +a(g87 +Vfalse +p22793 +tp22794 +a(g138 +V! ! +p22795 +tp22796 +a(g6 +V\u000a\u000a +p22797 +tp22798 +a(g138 +V! +tp22799 +a(g133 +VObject +p22800 +tp22801 +a(g138 +V methodsFor: +p22802 +tp22803 +a(g236 +V'testing' +p22804 +tp22805 +a(g138 +V stamp: 'ar 7/9/1999 18:18'! +p22806 +tp22807 +a(g6 +V\u000a +tp22808 +a(g48 +VisBehavior +p22809 +tp22810 +a(g6 +V\u000a +p22811 +tp22812 +a(g24 +V"Return true if the receiver is a behavior.\u000a Note: Do not override in any class except behavior." +p22813 +tp22814 +a(g6 +V\u000a +p22815 +tp22816 +a(g353 +V^ +tp22817 +a(g87 +Vfalse +p22818 +tp22819 +a(g138 +V! ! +p22820 +tp22821 +a(g6 +V\u000a\u000a +p22822 +tp22823 +a(g138 +V! +tp22824 +a(g133 +VObject +p22825 +tp22826 +a(g138 +V methodsFor: +p22827 +tp22828 +a(g236 +V'testing' +p22829 +tp22830 +a(g138 +V stamp: 'ajh 1/21/2003 13:15'! +p22831 +tp22832 +a(g6 +V\u000a +tp22833 +a(g48 +VisBlock +p22834 +tp22835 +a(g6 +V\u000a +tp22836 +a(g6 +V\u000a +p22837 +tp22838 +a(g353 +V^ +tp22839 +a(g6 +V +tp22840 +a(g87 +Vfalse +p22841 +tp22842 +a(g138 +V! ! +p22843 +tp22844 +a(g6 +V\u000a\u000a +p22845 +tp22846 +a(g138 +V! +tp22847 +a(g133 +VObject +p22848 +tp22849 +a(g138 +V methodsFor: +p22850 +tp22851 +a(g236 +V'testing' +p22852 +tp22853 +a(g138 +V stamp: 'md 11/21/2003 12:14'! +p22854 +tp22855 +a(g6 +V\u000a +tp22856 +a(g48 +VisBlockClosure +p22857 +tp22858 +a(g6 +V\u000a +tp22859 +a(g6 +V\u000a +p22860 +tp22861 +a(g353 +V^ +tp22862 +a(g6 +V +tp22863 +a(g87 +Vfalse +p22864 +tp22865 +a(g138 +V! ! +p22866 +tp22867 +a(g6 +V\u000a\u000a +p22868 +tp22869 +a(g138 +V! +tp22870 +a(g133 +VObject +p22871 +tp22872 +a(g138 +V methodsFor: +p22873 +tp22874 +a(g236 +V'testing' +p22875 +tp22876 +a(g138 +V stamp: 'yo 8/28/2002 13:41'! +p22877 +tp22878 +a(g6 +V\u000a +tp22879 +a(g48 +VisCharacter +p22880 +tp22881 +a(g6 +V\u000a +tp22882 +a(g6 +V\u000a +p22883 +tp22884 +a(g353 +V^ +tp22885 +a(g6 +V +tp22886 +a(g87 +Vfalse +p22887 +tp22888 +a(g212 +V. +tp22889 +a(g6 +V\u000a +tp22890 +a(g138 +V! ! +p22891 +tp22892 +a(g6 +V\u000a\u000a +p22893 +tp22894 +a(g138 +V! +tp22895 +a(g133 +VObject +p22896 +tp22897 +a(g138 +V methodsFor: +p22898 +tp22899 +a(g236 +V'testing' +p22900 +tp22901 +a(g138 +V stamp: 'ar 8/17/1999 19:43'! +p22902 +tp22903 +a(g6 +V\u000a +tp22904 +a(g48 +VisCollection +p22905 +tp22906 +a(g6 +V\u000a +p22907 +tp22908 +a(g24 +V"Return true if the receiver is some sort of Collection and responds to basic collection messages such as #size and #do:" +p22909 +tp22910 +a(g6 +V\u000a +p22911 +tp22912 +a(g353 +V^ +tp22913 +a(g87 +Vfalse +p22914 +tp22915 +a(g138 +V! ! +p22916 +tp22917 +a(g6 +V\u000a\u000a +p22918 +tp22919 +a(g138 +V! +tp22920 +a(g133 +VObject +p22921 +tp22922 +a(g138 +V methodsFor: +p22923 +tp22924 +a(g236 +V'testing' +p22925 +tp22926 +a(g138 +V! +tp22927 +a(g6 +V\u000a +tp22928 +a(g48 +VisColor +p22929 +tp22930 +a(g6 +V\u000a +p22931 +tp22932 +a(g24 +V"Answer true if receiver is a Color. False by default." +p22933 +tp22934 +a(g6 +V\u000a\u000a +p22935 +tp22936 +a(g353 +V^ +tp22937 +a(g6 +V +tp22938 +a(g87 +Vfalse +p22939 +tp22940 +a(g6 +V\u000a +tp22941 +a(g138 +V! ! +p22942 +tp22943 +a(g6 +V\u000a\u000a +p22944 +tp22945 +a(g138 +V! +tp22946 +a(g133 +VObject +p22947 +tp22948 +a(g138 +V methodsFor: +p22949 +tp22950 +a(g236 +V'testing' +p22951 +tp22952 +a(g138 +V stamp: 'nk 4/17/2004 19:43'! +p22953 +tp22954 +a(g6 +V\u000a +tp22955 +a(g48 +VisColorForm +p22956 +tp22957 +a(g6 +V\u000a +p22958 +tp22959 +a(g353 +V^ +tp22960 +a(g87 +Vfalse +p22961 +tp22962 +a(g138 +V! ! +p22963 +tp22964 +a(g6 +V\u000a\u000a +p22965 +tp22966 +a(g138 +V! +tp22967 +a(g133 +VObject +p22968 +tp22969 +a(g138 +V methodsFor: +p22970 +tp22971 +a(g236 +V'testing' +p22972 +tp22973 +a(g138 +V stamp: 'md 11/21/2003 12:14'! +p22974 +tp22975 +a(g6 +V\u000a +tp22976 +a(g48 +VisCompiledMethod +p22977 +tp22978 +a(g6 +V\u000a +tp22979 +a(g6 +V\u000a +p22980 +tp22981 +a(g353 +V^ +tp22982 +a(g6 +V +tp22983 +a(g87 +Vfalse +p22984 +tp22985 +a(g138 +V! ! +p22986 +tp22987 +a(g6 +V\u000a\u000a +p22988 +tp22989 +a(g138 +V! +tp22990 +a(g133 +VObject +p22991 +tp22992 +a(g138 +V methodsFor: +p22993 +tp22994 +a(g236 +V'testing' +p22995 +tp22996 +a(g138 +V stamp: 'mk 10/27/2003 17:33'! +p22997 +tp22998 +a(g6 +V\u000a +tp22999 +a(g48 +VisComplex +p23000 +tp23001 +a(g6 +V\u000a +p23002 +tp23003 +a(g24 +V"Answer true if receiver is a Complex number. False by default." +p23004 +tp23005 +a(g6 +V\u000a\u000a +p23006 +tp23007 +a(g353 +V^ +tp23008 +a(g6 +V +tp23009 +a(g87 +Vfalse +p23010 +tp23011 +a(g6 +V\u000a +tp23012 +a(g138 +V! ! +p23013 +tp23014 +a(g6 +V\u000a\u000a +p23015 +tp23016 +a(g138 +V! +tp23017 +a(g133 +VObject +p23018 +tp23019 +a(g138 +V methodsFor: +p23020 +tp23021 +a(g236 +V'testing' +p23022 +tp23023 +a(g138 +V stamp: 'md 8/11/2005 16:45'! +p23024 +tp23025 +a(g6 +V\u000a +tp23026 +a(g48 +VisDictionary +p23027 +tp23028 +a(g6 +V\u000a +p23029 +tp23030 +a(g353 +V^ +tp23031 +a(g87 +Vfalse +p23032 +tp23033 +a(g138 +V! ! +p23034 +tp23035 +a(g6 +V\u000a\u000a +p23036 +tp23037 +a(g138 +V! +tp23038 +a(g133 +VObject +p23039 +tp23040 +a(g138 +V methodsFor: +p23041 +tp23042 +a(g236 +V'testing' +p23043 +tp23044 +a(g138 +V stamp: 'di 11/9/1998 09:38'! +p23045 +tp23046 +a(g6 +V\u000a +tp23047 +a(g48 +VisFloat +p23048 +tp23049 +a(g6 +V\u000a +p23050 +tp23051 +a(g24 +V"Overridden to return true in Float, natch" +p23052 +tp23053 +a(g6 +V\u000a +p23054 +tp23055 +a(g353 +V^ +tp23056 +a(g6 +V +tp23057 +a(g87 +Vfalse +p23058 +tp23059 +a(g138 +V! ! +p23060 +tp23061 +a(g6 +V\u000a\u000a +p23062 +tp23063 +a(g138 +V! +tp23064 +a(g133 +VObject +p23065 +tp23066 +a(g138 +V methodsFor: +p23067 +tp23068 +a(g236 +V'testing' +p23069 +tp23070 +a(g138 +V stamp: 'ar 10/30/2000 23:22'! +p23071 +tp23072 +a(g6 +V\u000a +tp23073 +a(g48 +VisForm +p23074 +tp23075 +a(g6 +V\u000a +p23076 +tp23077 +a(g353 +V^ +tp23078 +a(g87 +Vfalse +p23079 +tp23080 +a(g138 +V! ! +p23081 +tp23082 +a(g6 +V\u000a\u000a +p23083 +tp23084 +a(g138 +V! +tp23085 +a(g133 +VObject +p23086 +tp23087 +a(g138 +V methodsFor: +p23088 +tp23089 +a(g236 +V'testing' +p23090 +tp23091 +a(g138 +V stamp: 'len 1/13/98 21:18'! +p23092 +tp23093 +a(g6 +V\u000a +tp23094 +a(g48 +VisFraction +p23095 +tp23096 +a(g6 +V\u000a +p23097 +tp23098 +a(g24 +V"Answer true if the receiver is a Fraction." +p23099 +tp23100 +a(g6 +V\u000a\u000a +p23101 +tp23102 +a(g353 +V^ +tp23103 +a(g6 +V +tp23104 +a(g87 +Vfalse +p23105 +tp23106 +a(g138 +V! ! +p23107 +tp23108 +a(g6 +V\u000a\u000a +p23109 +tp23110 +a(g138 +V! +tp23111 +a(g133 +VObject +p23112 +tp23113 +a(g138 +V methodsFor: +p23114 +tp23115 +a(g236 +V'testing' +p23116 +tp23117 +a(g138 +V stamp: 'rhi 8/14/2003 08:51'! +p23118 +tp23119 +a(g6 +V\u000a +tp23120 +a(g48 +VisHeap +p23121 +tp23122 +a(g6 +V\u000a +tp23123 +a(g6 +V\u000a +p23124 +tp23125 +a(g353 +V^ +tp23126 +a(g6 +V +tp23127 +a(g87 +Vfalse +p23128 +tp23129 +a(g138 +V! ! +p23130 +tp23131 +a(g6 +V\u000a\u000a +p23132 +tp23133 +a(g138 +V! +tp23134 +a(g133 +VObject +p23135 +tp23136 +a(g138 +V methodsFor: +p23137 +tp23138 +a(g236 +V'testing' +p23139 +tp23140 +a(g138 +V! +tp23141 +a(g6 +V\u000a +tp23142 +a(g48 +VisInteger +p23143 +tp23144 +a(g6 +V\u000a +p23145 +tp23146 +a(g24 +V"Overridden to return true in Integer." +p23147 +tp23148 +a(g6 +V\u000a\u000a +p23149 +tp23150 +a(g353 +V^ +tp23151 +a(g6 +V +tp23152 +a(g87 +Vfalse +p23153 +tp23154 +a(g138 +V! ! +p23155 +tp23156 +a(g6 +V\u000a\u000a +p23157 +tp23158 +a(g138 +V! +tp23159 +a(g133 +VObject +p23160 +tp23161 +a(g138 +V methodsFor: +p23162 +tp23163 +a(g236 +V'testing' +p23164 +tp23165 +a(g138 +V stamp: 'rhi 8/12/2003 09:52'! +p23166 +tp23167 +a(g6 +V\u000a +tp23168 +a(g48 +VisInterval +p23169 +tp23170 +a(g6 +V\u000a +tp23171 +a(g6 +V\u000a +p23172 +tp23173 +a(g353 +V^ +tp23174 +a(g6 +V +tp23175 +a(g87 +Vfalse +p23176 +tp23177 +a(g138 +V! ! +p23178 +tp23179 +a(g6 +V\u000a\u000a +p23180 +tp23181 +a(g138 +V! +tp23182 +a(g133 +VObject +p23183 +tp23184 +a(g138 +V methodsFor: +p23185 +tp23186 +a(g236 +V'testing' +p23187 +tp23188 +a(g138 +V stamp: 'nk 4/25/2002 08:04'! +p23189 +tp23190 +a(g6 +V\u000a +tp23191 +a(g48 +VisMessageSend +p23192 +tp23193 +a(g6 +V\u000a +p23194 +tp23195 +a(g353 +V^ +tp23196 +a(g87 +Vfalse +p23197 +tp23198 +a(g6 +V\u000a +tp23199 +a(g138 +V! ! +p23200 +tp23201 +a(g6 +V\u000a\u000a +p23202 +tp23203 +a(g138 +V! +tp23204 +a(g133 +VObject +p23205 +tp23206 +a(g138 +V methodsFor: +p23207 +tp23208 +a(g236 +V'testing' +p23209 +tp23210 +a(g138 +V stamp: 'md 2/19/2006 11:24'! +p23211 +tp23212 +a(g6 +V\u000a +tp23213 +a(g48 +VisMethodProperties +p23214 +tp23215 +a(g6 +V\u000a +p23216 +tp23217 +a(g353 +V^ +tp23218 +a(g87 +Vfalse +p23219 +tp23220 +a(g138 +V! ! +p23221 +tp23222 +a(g6 +V\u000a\u000a +p23223 +tp23224 +a(g138 +V! +tp23225 +a(g133 +VObject +p23226 +tp23227 +a(g138 +V methodsFor: +p23228 +tp23229 +a(g236 +V'testing' +p23230 +tp23231 +a(g138 +V! +tp23232 +a(g6 +V\u000a +tp23233 +a(g48 +VisMorph +p23234 +tp23235 +a(g6 +V\u000a +tp23236 +a(g6 +V\u000a +p23237 +tp23238 +a(g353 +V^ +tp23239 +a(g6 +V +tp23240 +a(g87 +Vfalse +p23241 +tp23242 +a(g138 +V! ! +p23243 +tp23244 +a(g6 +V\u000a\u000a +p23245 +tp23246 +a(g138 +V! +tp23247 +a(g133 +VObject +p23248 +tp23249 +a(g138 +V methodsFor: +p23250 +tp23251 +a(g236 +V'testing' +p23252 +tp23253 +a(g138 +V stamp: 'ar 9/13/2000 15:37'! +p23254 +tp23255 +a(g6 +V\u000a +tp23256 +a(g48 +VisMorphicEvent +p23257 +tp23258 +a(g6 +V\u000a +p23259 +tp23260 +a(g353 +V^ +tp23261 +a(g87 +Vfalse +p23262 +tp23263 +a(g138 +V! ! +p23264 +tp23265 +a(g6 +V\u000a\u000a +p23266 +tp23267 +a(g138 +V! +tp23268 +a(g133 +VObject +p23269 +tp23270 +a(g138 +V methodsFor: +p23271 +tp23272 +a(g236 +V'testing' +p23273 +tp23274 +a(g138 +V stamp: 'gm 2/22/2003 12:56'! +p23275 +tp23276 +a(g6 +V\u000a +tp23277 +a(g48 +VisMorphicModel +p23278 +tp23279 +a(g6 +V\u000a +p23280 +tp23281 +a(g24 +V"Return true if the receiver is a morphic model" +p23282 +tp23283 +a(g6 +V\u000a +p23284 +tp23285 +a(g353 +V^ +tp23286 +a(g87 +Vfalse +p23287 +tp23288 +a(g6 +V\u000a +tp23289 +a(g138 +V! ! +p23290 +tp23291 +a(g6 +V\u000a\u000a +p23292 +tp23293 +a(g138 +V! +tp23294 +a(g133 +VObject +p23295 +tp23296 +a(g138 +V methodsFor: +p23297 +tp23298 +a(g236 +V'testing' +p23299 +tp23300 +a(g138 +V! +tp23301 +a(g6 +V\u000a +tp23302 +a(g48 +VisNumber +p23303 +tp23304 +a(g6 +V\u000a +p23305 +tp23306 +a(g24 +V"Overridden to return true in Number, natch" +p23307 +tp23308 +a(g6 +V\u000a +p23309 +tp23310 +a(g353 +V^ +tp23311 +a(g6 +V +tp23312 +a(g87 +Vfalse +p23313 +tp23314 +a(g138 +V! ! +p23315 +tp23316 +a(g6 +V\u000a\u000a +p23317 +tp23318 +a(g138 +V! +tp23319 +a(g133 +VObject +p23320 +tp23321 +a(g138 +V methodsFor: +p23322 +tp23323 +a(g236 +V'testing' +p23324 +tp23325 +a(g138 +V stamp: 'di 11/6/1998 08:04'! +p23326 +tp23327 +a(g6 +V\u000a +tp23328 +a(g48 +VisPoint +p23329 +tp23330 +a(g6 +V\u000a +p23331 +tp23332 +a(g24 +V"Overridden to return true in Point." +p23333 +tp23334 +a(g6 +V\u000a\u000a +p23335 +tp23336 +a(g353 +V^ +tp23337 +a(g6 +V +tp23338 +a(g87 +Vfalse +p23339 +tp23340 +a(g138 +V! ! +p23341 +tp23342 +a(g6 +V\u000a\u000a +p23343 +tp23344 +a(g138 +V! +tp23345 +a(g133 +VObject +p23346 +tp23347 +a(g138 +V methodsFor: +p23348 +tp23349 +a(g236 +V'testing' +p23350 +tp23351 +a(g138 +V stamp: 'ikp 9/26/97 14:45'! +p23352 +tp23353 +a(g6 +V\u000a +tp23354 +a(g48 +VisPseudoContext +p23355 +tp23356 +a(g6 +V\u000a +p23357 +tp23358 +a(g353 +V^ +tp23359 +a(g87 +Vfalse +p23360 +tp23361 +a(g138 +V! ! +p23362 +tp23363 +a(g6 +V\u000a\u000a +p23364 +tp23365 +a(g138 +V! +tp23366 +a(g133 +VObject +p23367 +tp23368 +a(g138 +V methodsFor: +p23369 +tp23370 +a(g236 +V'testing' +p23371 +tp23372 +a(g138 +V stamp: 'md 10/2/2005 21:52'! +p23373 +tp23374 +a(g6 +V\u000a +tp23375 +a(g48 +VisRectangle +p23376 +tp23377 +a(g6 +V\u000a +p23378 +tp23379 +a(g353 +V^ +tp23380 +a(g87 +Vfalse +p23381 +tp23382 +a(g138 +V! ! +p23383 +tp23384 +a(g6 +V\u000a\u000a +p23385 +tp23386 +a(g138 +V! +tp23387 +a(g133 +VObject +p23388 +tp23389 +a(g138 +V methodsFor: +p23390 +tp23391 +a(g236 +V'testing' +p23392 +tp23393 +a(g138 +V stamp: 'nk 6/14/2004 16:49'! +p23394 +tp23395 +a(g6 +V\u000a +tp23396 +a(g48 +VisSketchMorph +p23397 +tp23398 +a(g6 +V\u000a +p23399 +tp23400 +a(g353 +V^ +tp23401 +a(g87 +Vfalse +p23402 +tp23403 +a(g138 +V! ! +p23404 +tp23405 +a(g6 +V\u000a\u000a +p23406 +tp23407 +a(g138 +V! +tp23408 +a(g133 +VObject +p23409 +tp23410 +a(g138 +V methodsFor: +p23411 +tp23412 +a(g236 +V'testing' +p23413 +tp23414 +a(g138 +V stamp: 'ar 12/23/1999 15:43'! +p23415 +tp23416 +a(g6 +V\u000a +tp23417 +a(g48 +VisStream +p23418 +tp23419 +a(g6 +V\u000a +p23420 +tp23421 +a(g24 +V"Return true if the receiver responds to the stream protocol" +p23422 +tp23423 +a(g6 +V\u000a +p23424 +tp23425 +a(g353 +V^ +tp23426 +a(g87 +Vfalse +p23427 +tp23428 +a(g6 +V\u000a +tp23429 +a(g138 +V! ! +p23430 +tp23431 +a(g6 +V\u000a\u000a +p23432 +tp23433 +a(g138 +V! +tp23434 +a(g133 +VObject +p23435 +tp23436 +a(g138 +V methodsFor: +p23437 +tp23438 +a(g236 +V'testing' +p23439 +tp23440 +a(g138 +V stamp: 'sma 6/15/2000 15:48'! +p23441 +tp23442 +a(g6 +V\u000a +tp23443 +a(g48 +VisString +p23444 +tp23445 +a(g6 +V\u000a +p23446 +tp23447 +a(g24 +V"Overridden to return true in String, natch" +p23448 +tp23449 +a(g6 +V\u000a +p23450 +tp23451 +a(g353 +V^ +tp23452 +a(g6 +V +tp23453 +a(g87 +Vfalse +p23454 +tp23455 +a(g138 +V! ! +p23456 +tp23457 +a(g6 +V\u000a\u000a +p23458 +tp23459 +a(g138 +V! +tp23460 +a(g133 +VObject +p23461 +tp23462 +a(g138 +V methodsFor: +p23463 +tp23464 +a(g236 +V'testing' +p23465 +tp23466 +a(g138 +V stamp: 'md 4/30/2003 15:30'! +p23467 +tp23468 +a(g6 +V\u000a +tp23469 +a(g48 +VisSymbol +p23470 +tp23471 +a(g6 +V\u000a +p23472 +tp23473 +a(g353 +V^ +tp23474 +a(g6 +V +tp23475 +a(g87 +Vfalse +p23476 +tp23477 +a(g6 +V +tp23478 +a(g138 +V! ! +p23479 +tp23480 +a(g6 +V\u000a\u000a +p23481 +tp23482 +a(g138 +V! +tp23483 +a(g133 +VObject +p23484 +tp23485 +a(g138 +V methodsFor: +p23486 +tp23487 +a(g236 +V'testing' +p23488 +tp23489 +a(g138 +V stamp: 'jam 3/9/2003 15:10'! +p23490 +tp23491 +a(g6 +V\u000a +tp23492 +a(g48 +VisSystemWindow +p23493 +tp23494 +a(g6 +V\u000a +tp23495 +a(g24 +V"answer whatever the receiver is a SystemWindow" +p23496 +tp23497 +a(g6 +V\u000a +p23498 +tp23499 +a(g353 +V^ +tp23500 +a(g6 +V +tp23501 +a(g87 +Vfalse +p23502 +tp23503 +a(g138 +V! ! +p23504 +tp23505 +a(g6 +V\u000a\u000a +p23506 +tp23507 +a(g138 +V! +tp23508 +a(g133 +VObject +p23509 +tp23510 +a(g138 +V methodsFor: +p23511 +tp23512 +a(g236 +V'testing' +p23513 +tp23514 +a(g138 +V! +tp23515 +a(g6 +V\u000a +tp23516 +a(g48 +VisText +p23517 +tp23518 +a(g6 +V\u000a +p23519 +tp23520 +a(g353 +V^ +tp23521 +a(g6 +V +tp23522 +a(g87 +Vfalse +p23523 +tp23524 +a(g138 +V! ! +p23525 +tp23526 +a(g6 +V\u000a\u000a +p23527 +tp23528 +a(g138 +V! +tp23529 +a(g133 +VObject +p23530 +tp23531 +a(g138 +V methodsFor: +p23532 +tp23533 +a(g236 +V'testing' +p23534 +tp23535 +a(g138 +V stamp: 'pmm 7/6/2006 20:46'! +p23536 +tp23537 +a(g6 +V\u000a +tp23538 +a(g48 +VisTrait +p23539 +tp23540 +a(g6 +V\u000a +p23541 +tp23542 +a(g24 +V"Return true if the receiver is a trait.\u000a Note: Do not override in any class except TraitBehavior." +p23543 +tp23544 +a(g6 +V\u000a +p23545 +tp23546 +a(g353 +V^ +tp23547 +a(g87 +Vfalse +p23548 +tp23549 +a(g138 +V! ! +p23550 +tp23551 +a(g6 +V\u000a\u000a +p23552 +tp23553 +a(g138 +V! +tp23554 +a(g133 +VObject +p23555 +tp23556 +a(g138 +V methodsFor: +p23557 +tp23558 +a(g236 +V'testing' +p23559 +tp23560 +a(g138 +V stamp: 'tk 10/21/97 12:45'! +p23561 +tp23562 +a(g6 +V\u000a +tp23563 +a(g48 +VisTransparent +p23564 +tp23565 +a(g6 +V\u000a +p23566 +tp23567 +a(g353 +V^ +tp23568 +a(g6 +V +tp23569 +a(g87 +Vfalse +p23570 +tp23571 +a(g138 +V! ! +p23572 +tp23573 +a(g6 +V\u000a\u000a +p23574 +tp23575 +a(g138 +V! +tp23576 +a(g133 +VObject +p23577 +tp23578 +a(g138 +V methodsFor: +p23579 +tp23580 +a(g236 +V'testing' +p23581 +tp23582 +a(g138 +V stamp: 'ar 8/14/2001 23:19'! +p23583 +tp23584 +a(g6 +V\u000a +tp23585 +a(g48 +VisVariableBinding +p23586 +tp23587 +a(g6 +V\u000a +p23588 +tp23589 +a(g24 +V"Return true if I represent a literal variable binding" +p23590 +tp23591 +a(g6 +V\u000a +p23592 +tp23593 +a(g353 +V^ +tp23594 +a(g87 +Vfalse +p23595 +tp23596 +a(g6 +V\u000a +p23597 +tp23598 +a(g138 +V! ! +p23599 +tp23600 +a(g6 +V\u000a\u000a +p23601 +tp23602 +a(g138 +V! +tp23603 +a(g133 +VObject +p23604 +tp23605 +a(g138 +V methodsFor: +p23606 +tp23607 +a(g236 +V'testing' +p23608 +tp23609 +a(g138 +V stamp: 'ls 7/14/1998 21:45'! +p23610 +tp23611 +a(g6 +V\u000a +tp23612 +a(g48 +VisWebBrowser +p23613 +tp23614 +a(g6 +V\u000a +p23615 +tp23616 +a(g24 +V"whether this object is a web browser. See class: Scamper" +p23617 +tp23618 +a(g6 +V\u000a +p23619 +tp23620 +a(g353 +V^ +tp23621 +a(g87 +Vfalse +p23622 +tp23623 +a(g138 +V! ! +p23624 +tp23625 +a(g6 +V\u000a\u000a +p23626 +tp23627 +a(g138 +V! +tp23628 +a(g133 +VObject +p23629 +tp23630 +a(g138 +V methodsFor: +p23631 +tp23632 +a(g236 +V'testing' +p23633 +tp23634 +a(g138 +V stamp: 'sw 10/27/2000 06:58'! +p23635 +tp23636 +a(g6 +V\u000a +tp23637 +a(g48 +VknownName +p23638 +tp23639 +a(g6 +V\u000a +p23640 +tp23641 +a(g24 +V"If a formal name has been handed out for this object, answer it, else nil" +p23642 +tp23643 +a(g6 +V\u000a \u000a +p23644 +tp23645 +a(g353 +V^ +tp23646 +a(g6 +V +tp23647 +a(g133 +VPreferences +p23648 +tp23649 +a(g6 +V +tp23650 +a(g48 +VcapitalizedReferences +p23651 +tp23652 +a(g6 +V\u000a +p23653 +tp23654 +a(g84 +VifTrue: +p23655 +tp23656 +a(g6 +V\u000a +p23657 +tp23658 +a(g6 +V[ +tp23659 +a(g6 +V +tp23660 +a(g133 +VReferences +p23661 +tp23662 +a(g6 +V +tp23663 +a(g48 +VkeyAtValue: +p23664 +tp23665 +a(g6 +V +tp23666 +a(g87 +Vself +p23667 +tp23668 +a(g6 +V +tp23669 +a(g48 +VifAbsent: +p23670 +tp23671 +a(g6 +V +tp23672 +a(g6 +V[ +tp23673 +a(g6 +V +tp23674 +a(g87 +Vnil +p23675 +tp23676 +a(g6 +V] +tp23677 +a(g6 +V] +tp23678 +a(g6 +V\u000a +p23679 +tp23680 +a(g84 +VifFalse: +p23681 +tp23682 +a(g6 +V\u000a +p23683 +tp23684 +a(g6 +V[ +tp23685 +a(g6 +V +tp23686 +a(g87 +Vnil +p23687 +tp23688 +a(g6 +V] +tp23689 +a(g138 +V! ! +p23690 +tp23691 +a(g6 +V\u000a\u000a +p23692 +tp23693 +a(g138 +V! +tp23694 +a(g133 +VObject +p23695 +tp23696 +a(g138 +V methodsFor: +p23697 +tp23698 +a(g236 +V'testing' +p23699 +tp23700 +a(g138 +V stamp: 'sw 9/27/96'! +p23701 +tp23702 +a(g6 +V\u000a +tp23703 +a(g48 +Vname +p23704 +tp23705 +a(g6 +V\u000a +p23706 +tp23707 +a(g24 +V"Answer a name for the receiver. This is used generically in the title of certain inspectors, such as the referred-to inspector, and specificially by various subsystems. By default, we let the object just print itself out.. " +p23708 +tp23709 +a(g6 +V\u000a\u000a +p23710 +tp23711 +a(g353 +V^ +tp23712 +a(g6 +V +tp23713 +a(g87 +Vself +p23714 +tp23715 +a(g6 +V +tp23716 +a(g48 +VprintString +p23717 +tp23718 +a(g138 +V! ! +p23719 +tp23720 +a(g6 +V\u000a\u000a +p23721 +tp23722 +a(g138 +V! +tp23723 +a(g133 +VObject +p23724 +tp23725 +a(g138 +V methodsFor: +p23726 +tp23727 +a(g236 +V'testing' +p23728 +tp23729 +a(g138 +V stamp: 'sw 11/19/2001 13:28'! +p23730 +tp23731 +a(g6 +V\u000a +tp23732 +a(g48 +VnameForViewer +p23733 +tp23734 +a(g6 +V\u000a +p23735 +tp23736 +a(g24 +V"Answer a name to be shown in a Viewer that is viewing the receiver" +p23737 +tp23738 +a(g6 +V\u000a\u000a +p23739 +tp23740 +a(g353 +V| +tp23741 +a(g100 +V aName +p23742 +tp23743 +a(g353 +V| +tp23744 +a(g6 +V\u000a +p23745 +tp23746 +a(g6 +V( +tp23747 +a(g100 +VaName +p23748 +tp23749 +a(g6 +V +tp23750 +a(g353 +V_ +tp23751 +a(g6 +V +tp23752 +a(g87 +Vself +p23753 +tp23754 +a(g6 +V +tp23755 +a(g48 +VuniqueNameForReferenceOrNil +p23756 +tp23757 +a(g6 +V) +tp23758 +a(g6 +V +tp23759 +a(g48 +VifNotNil: +p23760 +tp23761 +a(g6 +V +tp23762 +a(g6 +V[ +tp23763 +a(g6 +V +tp23764 +a(g353 +V^ +tp23765 +a(g6 +V +tp23766 +a(g100 +VaName +p23767 +tp23768 +a(g6 +V] +tp23769 +a(g212 +V. +tp23770 +a(g6 +V\u000a +p23771 +tp23772 +a(g6 +V( +tp23773 +a(g100 +VaName +p23774 +tp23775 +a(g6 +V +tp23776 +a(g353 +V_ +tp23777 +a(g6 +V +tp23778 +a(g87 +Vself +p23779 +tp23780 +a(g6 +V +tp23781 +a(g48 +VknownName +p23782 +tp23783 +a(g6 +V) +tp23784 +a(g6 +V +tp23785 +a(g48 +VifNotNil: +p23786 +tp23787 +a(g6 +V +tp23788 +a(g6 +V[ +tp23789 +a(g6 +V +tp23790 +a(g353 +V^ +tp23791 +a(g6 +V +tp23792 +a(g100 +VaName +p23793 +tp23794 +a(g6 +V] +tp23795 +a(g212 +V. +tp23796 +a(g6 +V\u000a\u000a +p23797 +tp23798 +a(g353 +V^ +tp23799 +a(g6 +V +tp23800 +a(g6 +V[ +tp23801 +a(g6 +V +tp23802 +a(g6 +V( +tp23803 +a(g87 +Vself +p23804 +tp23805 +a(g6 +V +tp23806 +a(g48 +VasString +p23807 +tp23808 +a(g6 +V +tp23809 +a(g48 +VcopyWithout: +p23810 +tp23811 +a(g6 +V +tp23812 +a(g133 +VCharacter +p23813 +tp23814 +a(g6 +V +tp23815 +a(g48 +Vcr +p23816 +tp23817 +a(g6 +V) +tp23818 +a(g6 +V +tp23819 +a(g48 +VtruncateTo: +p23820 +tp23821 +a(g6 +V +p23822 +tp23823 +a(g223 +V27 +p23824 +tp23825 +a(g6 +V] +tp23826 +a(g6 +V +tp23827 +a(g48 +VifError: +p23828 +tp23829 +a(g6 +V\u000a +p23830 +tp23831 +a(g6 +V[ +tp23832 +a(g353 +V: +tp23833 +a(g100 +Vmsg +p23834 +tp23835 +a(g6 +V +tp23836 +a(g353 +V: +tp23837 +a(g100 +Vrcvr +p23838 +tp23839 +a(g6 +V +tp23840 +a(g353 +V| +tp23841 +a(g6 +V +tp23842 +a(g353 +V^ +tp23843 +a(g6 +V +tp23844 +a(g87 +Vself +p23845 +tp23846 +a(g6 +V +tp23847 +a(g48 +Vclass +p23848 +tp23849 +a(g6 +V +tp23850 +a(g48 +Vname +p23851 +tp23852 +a(g6 +V +tp23853 +a(g48 +VprintString +p23854 +tp23855 +a(g6 +V] +tp23856 +a(g138 +V! ! +p23857 +tp23858 +a(g6 +V\u000a\u000a +p23859 +tp23860 +a(g138 +V! +tp23861 +a(g133 +VObject +p23862 +tp23863 +a(g138 +V methodsFor: +p23864 +tp23865 +a(g236 +V'testing' +p23866 +tp23867 +a(g138 +V! +tp23868 +a(g6 +V\u000a +tp23869 +a(g48 +VnotNil +p23870 +tp23871 +a(g6 +V\u000a +p23872 +tp23873 +a(g24 +V"Coerces nil to false and everything else to true." +p23874 +tp23875 +a(g6 +V\u000a\u000a +p23876 +tp23877 +a(g353 +V^ +tp23878 +a(g87 +Vtrue +p23879 +tp23880 +a(g138 +V! ! +p23881 +tp23882 +a(g6 +V\u000a\u000a +p23883 +tp23884 +a(g138 +V! +tp23885 +a(g133 +VObject +p23886 +tp23887 +a(g138 +V methodsFor: +p23888 +tp23889 +a(g236 +V'testing' +p23890 +tp23891 +a(g138 +V stamp: 'tk 9/6/2001 19:15'! +p23892 +tp23893 +a(g6 +V\u000a +tp23894 +a(g48 +VopenInstanceBrowserWithTiles +p23895 +tp23896 +a(g6 +V\u000a +p23897 +tp23898 +a(g24 +V"Open up an instance browser on me with tiles as the code type, and with the search level as desired." +p23899 +tp23900 +a(g6 +V\u000a\u000a +p23901 +tp23902 +a(g353 +V| +tp23903 +a(g100 +V aBrowser +p23904 +tp23905 +a(g353 +V| +tp23906 +a(g6 +V\u000a +p23907 +tp23908 +a(g100 +VaBrowser +p23909 +tp23910 +a(g6 +V +tp23911 +a(g353 +V_ +tp23912 +a(g6 +V +tp23913 +a(g133 +VInstanceBrowser +p23914 +tp23915 +a(g6 +V +tp23916 +a(g84 +Vnew +p23917 +tp23918 +a(g212 +V. +tp23919 +a(g6 +V\u000a +p23920 +tp23921 +a(g100 +VaBrowser +p23922 +tp23923 +a(g6 +V +tp23924 +a(g48 +VuseVocabulary: +p23925 +tp23926 +a(g6 +V +tp23927 +a(g133 +VVocabulary +p23928 +tp23929 +a(g6 +V +tp23930 +a(g48 +VfullVocabulary +p23931 +tp23932 +a(g212 +V. +tp23933 +a(g6 +V\u000a +p23934 +tp23935 +a(g100 +VaBrowser +p23936 +tp23937 +a(g6 +V +tp23938 +a(g48 +VlimitClass: +p23939 +tp23940 +a(g6 +V +tp23941 +a(g87 +Vself +p23942 +tp23943 +a(g6 +V +tp23944 +a(g48 +Vclass +p23945 +tp23946 +a(g212 +V. +tp23947 +a(g6 +V\u000a +p23948 +tp23949 +a(g100 +VaBrowser +p23950 +tp23951 +a(g6 +V +tp23952 +a(g48 +VcontentsSymbol: +p23953 +tp23954 +a(g6 +V +tp23955 +a(g258 +V#tiles +p23956 +tp23957 +a(g212 +V. +tp23958 +a(g6 +V +p23959 +tp23960 +a(g24 +V"preset it to make extra buttons (tile menus)" +p23961 +tp23962 +a(g6 +V\u000a +p23963 +tp23964 +a(g100 +VaBrowser +p23965 +tp23966 +a(g6 +V +tp23967 +a(g48 +VopenOnObject: +p23968 +tp23969 +a(g6 +V +tp23970 +a(g87 +Vself +p23971 +tp23972 +a(g6 +V +tp23973 +a(g48 +VinWorld: +p23974 +tp23975 +a(g6 +V +tp23976 +a(g133 +VActiveWorld +p23977 +tp23978 +a(g6 +V +tp23979 +a(g48 +VshowingSelector: +p23980 +tp23981 +a(g6 +V +tp23982 +a(g87 +Vnil +p23983 +tp23984 +a(g212 +V. +tp23985 +a(g6 +V\u000a +p23986 +tp23987 +a(g100 +VaBrowser +p23988 +tp23989 +a(g6 +V +tp23990 +a(g48 +VcontentsSymbol: +p23991 +tp23992 +a(g6 +V +tp23993 +a(g258 +V#source +p23994 +tp23995 +a(g212 +V. +tp23996 +a(g6 +V\u000a +p23997 +tp23998 +a(g100 +VaBrowser +p23999 +tp24000 +a(g6 +V +tp24001 +a(g48 +VtoggleShowingTiles +p24002 +tp24003 +a(g212 +V. +tp24004 +a(g6 +V\u000a\u000a +p24005 +tp24006 +a(g24 +V"\u000a(2@3) openInstanceBrowserWithTiles.\u000aWatchMorph new openInstanceBrowserWithTiles\u000a" +p24007 +tp24008 +a(g138 +V! ! +p24009 +tp24010 +a(g6 +V\u000a\u000a +p24011 +tp24012 +a(g138 +V! +tp24013 +a(g133 +VObject +p24014 +tp24015 +a(g138 +V methodsFor: +p24016 +tp24017 +a(g236 +V'testing' +p24018 +tp24019 +a(g138 +V stamp: 'tk 7/28/2005 04:50'! +p24020 +tp24021 +a(g6 +V\u000a +tp24022 +a(g48 +VrenameInternal: +p24023 +tp24024 +a(g6 +V +tp24025 +a(g100 +VnewName +p24026 +tp24027 +a(g6 +V \u000a +p24028 +tp24029 +a(g24 +V"Change the internal name (because of a conflict) but leave the external name unchanged. Change Player class name, but do not change the names that appear in tiles. Any object that might be pointed to in the References dictionary might get this message sent to it upon reload" +p24030 +tp24031 +a(g6 +V\u000a\u000a +p24032 +tp24033 +a(g353 +V^ +tp24034 +a(g6 +V +tp24035 +a(g87 +Vnil +p24036 +tp24037 +a(g6 +V +tp24038 +a(g24 +V"caller will renameTo:. new name may be different" +p24039 +tp24040 +a(g138 +V! ! +p24041 +tp24042 +a(g6 +V\u000a\u000a +p24043 +tp24044 +a(g138 +V! +tp24045 +a(g133 +VObject +p24046 +tp24047 +a(g138 +V methodsFor: +p24048 +tp24049 +a(g236 +V'testing' +p24050 +tp24051 +a(g138 +V stamp: 'sw 2/27/2002 14:55'! +p24052 +tp24053 +a(g6 +V\u000a +tp24054 +a(g48 +VrenameTo: +p24055 +tp24056 +a(g6 +V +tp24057 +a(g100 +VnewName +p24058 +tp24059 +a(g6 +V\u000a +p24060 +tp24061 +a(g24 +V"If the receiver has an inherent idea about its own name, it should take action here. Any object that might be pointed to in the References dictionary might get this message sent to it upon reload" +p24062 +tp24063 +a(g138 +V! ! +p24064 +tp24065 +a(g6 +V\u000a\u000a +p24066 +tp24067 +a(g138 +V! +tp24068 +a(g133 +VObject +p24069 +tp24070 +a(g138 +V methodsFor: +p24071 +tp24072 +a(g236 +V'testing' +p24073 +tp24074 +a(g138 +V stamp: 'sw 1/18/2001 13:43'! +p24075 +tp24076 +a(g6 +V\u000a +tp24077 +a(g48 +VshowDiffs +p24078 +tp24079 +a(g6 +V\u000a +p24080 +tp24081 +a(g24 +V"Answer whether the receiver, serving as the model of a text-bearing entity, is 'showing differences' -- if it is, the editor may wish to show special feedback" +p24082 +tp24083 +a(g6 +V\u000a\u000a +p24084 +tp24085 +a(g353 +V^ +tp24086 +a(g6 +V +tp24087 +a(g87 +Vfalse +p24088 +tp24089 +a(g138 +V! ! +p24090 +tp24091 +a(g6 +V\u000a\u000a +p24092 +tp24093 +a(g138 +V! +tp24094 +a(g133 +VObject +p24095 +tp24096 +a(g138 +V methodsFor: +p24097 +tp24098 +a(g236 +V'testing' +p24099 +tp24100 +a(g138 +V stamp: 'sw 10/20/1999 14:52'! +p24101 +tp24102 +a(g6 +V\u000a +tp24103 +a(g48 +VstepAt: +p24104 +tp24105 +a(g6 +V +tp24106 +a(g100 +VmillisecondClockValue +p24107 +tp24108 +a(g6 +V +tp24109 +a(g48 +Vin: +p24110 +tp24111 +a(g6 +V +tp24112 +a(g100 +VaWindow +p24113 +tp24114 +a(g6 +V\u000a\u000a +p24115 +tp24116 +a(g353 +V^ +tp24117 +a(g6 +V +tp24118 +a(g87 +Vself +p24119 +tp24120 +a(g6 +V +tp24121 +a(g48 +VstepIn: +p24122 +tp24123 +a(g6 +V +tp24124 +a(g100 +VaWindow +p24125 +tp24126 +a(g138 +V! ! +p24127 +tp24128 +a(g6 +V\u000a\u000a +p24129 +tp24130 +a(g138 +V! +tp24131 +a(g133 +VObject +p24132 +tp24133 +a(g138 +V methodsFor: +p24134 +tp24135 +a(g236 +V'testing' +p24136 +tp24137 +a(g138 +V stamp: 'sw 10/19/1999 08:16'! +p24138 +tp24139 +a(g6 +V\u000a +tp24140 +a(g48 +VstepIn: +p24141 +tp24142 +a(g6 +V +tp24143 +a(g100 +VaWindow +p24144 +tp24145 +a(g6 +V\u000a\u000a +p24146 +tp24147 +a(g353 +V^ +tp24148 +a(g6 +V +tp24149 +a(g87 +Vself +p24150 +tp24151 +a(g6 +V +tp24152 +a(g48 +Vstep +p24153 +tp24154 +a(g138 +V! ! +p24155 +tp24156 +a(g6 +V\u000a\u000a +p24157 +tp24158 +a(g138 +V! +tp24159 +a(g133 +VObject +p24160 +tp24161 +a(g138 +V methodsFor: +p24162 +tp24163 +a(g236 +V'testing' +p24164 +tp24165 +a(g138 +V stamp: 'sw 10/19/1999 08:21'! +p24166 +tp24167 +a(g6 +V\u000a +tp24168 +a(g48 +VstepTime +p24169 +tp24170 +a(g6 +V\u000a +p24171 +tp24172 +a(g6 +V\u000a +p24173 +tp24174 +a(g353 +V^ +tp24175 +a(g6 +V +tp24176 +a(g223 +V1000 +p24177 +tp24178 +a(g6 +V +tp24179 +a(g24 +V"milliseconds -- default backstop for objects serving as models of system windows" +p24180 +tp24181 +a(g138 +V! ! +p24182 +tp24183 +a(g6 +V\u000a\u000a +p24184 +tp24185 +a(g138 +V! +tp24186 +a(g133 +VObject +p24187 +tp24188 +a(g138 +V methodsFor: +p24189 +tp24190 +a(g236 +V'testing' +p24191 +tp24192 +a(g138 +V stamp: 'sw 10/19/1999 08:22'! +p24193 +tp24194 +a(g6 +V\u000a +tp24195 +a(g48 +VstepTimeIn: +p24196 +tp24197 +a(g6 +V +tp24198 +a(g100 +VaSystemWindow +p24199 +tp24200 +a(g6 +V\u000a \u000a +p24201 +tp24202 +a(g353 +V^ +tp24203 +a(g6 +V +tp24204 +a(g223 +V1000 +p24205 +tp24206 +a(g6 +V +tp24207 +a(g24 +V"milliseconds -- default backstop for objects serving as models of system windows" +p24208 +tp24209 +a(g138 +V! ! +p24210 +tp24211 +a(g6 +V\u000a\u000a +p24212 +tp24213 +a(g138 +V! +tp24214 +a(g133 +VObject +p24215 +tp24216 +a(g138 +V methodsFor: +p24217 +tp24218 +a(g236 +V'testing' +p24219 +tp24220 +a(g138 +V stamp: 'sw 5/3/2001 18:22'! +p24221 +tp24222 +a(g6 +V\u000a +tp24223 +a(g48 +VvocabularyDemanded +p24224 +tp24225 +a(g6 +V\u000a +p24226 +tp24227 +a(g24 +V"Answer a vocabulary that the receiver insists be used when it is looked at in a Viewer. This allows specific classes to insist on specific custom vocabularies" +p24228 +tp24229 +a(g6 +V\u000a\u000a +p24230 +tp24231 +a(g353 +V^ +tp24232 +a(g6 +V +tp24233 +a(g87 +Vnil +p24234 +tp24235 +a(g138 +V! ! +p24236 +tp24237 +a(g6 +V\u000a\u000a +p24238 +tp24239 +a(g138 +V! +tp24240 +a(g133 +VObject +p24241 +tp24242 +a(g138 +V methodsFor: +p24243 +tp24244 +a(g236 +V'testing' +p24245 +tp24246 +a(g138 +V stamp: 'sw 11/13/2001 07:26'! +p24247 +tp24248 +a(g6 +V\u000a +tp24249 +a(g48 +VwantsDiffFeedback +p24250 +tp24251 +a(g6 +V\u000a +p24252 +tp24253 +a(g24 +V"Answer whether the receiver, serving as the model of a text-bearing entity, would like for 'diffs' green pane-border feedback to be shown" +p24254 +tp24255 +a(g6 +V\u000a\u000a +p24256 +tp24257 +a(g353 +V^ +tp24258 +a(g6 +V +tp24259 +a(g87 +Vfalse +p24260 +tp24261 +a(g138 +V! ! +p24262 +tp24263 +a(g6 +V\u000a\u000a +p24264 +tp24265 +a(g138 +V! +tp24266 +a(g133 +VObject +p24267 +tp24268 +a(g138 +V methodsFor: +p24269 +tp24270 +a(g236 +V'testing' +p24271 +tp24272 +a(g138 +V stamp: 'di 1/8/1999 15:04'! +p24273 +tp24274 +a(g6 +V\u000a +tp24275 +a(g48 +VwantsSteps +p24276 +tp24277 +a(g6 +V\u000a +p24278 +tp24279 +a(g24 +V"Overridden by morphic classes whose instances want to be stepped,\u000a or by model classes who want their morphic views to be stepped." +p24280 +tp24281 +a(g6 +V\u000a\u000a +p24282 +tp24283 +a(g353 +V^ +tp24284 +a(g6 +V +tp24285 +a(g87 +Vfalse +p24286 +tp24287 +a(g138 +V! ! +p24288 +tp24289 +a(g6 +V\u000a\u000a +p24290 +tp24291 +a(g138 +V! +tp24292 +a(g133 +VObject +p24293 +tp24294 +a(g138 +V methodsFor: +p24295 +tp24296 +a(g236 +V'testing' +p24297 +tp24298 +a(g138 +V stamp: 'sw 10/19/1999 08:26'! +p24299 +tp24300 +a(g6 +V\u000a +tp24301 +a(g48 +VwantsStepsIn: +p24302 +tp24303 +a(g6 +V +tp24304 +a(g100 +VaSystemWindow +p24305 +tp24306 +a(g6 +V\u000a \u000a +p24307 +tp24308 +a(g353 +V^ +tp24309 +a(g6 +V +tp24310 +a(g87 +Vself +p24311 +tp24312 +a(g6 +V +tp24313 +a(g48 +VwantsSteps +p24314 +tp24315 +a(g138 +V! ! +p24316 +tp24317 +a(g6 +V\u000a\u000a\u000a +p24318 +tp24319 +a(g138 +V! +tp24320 +a(g133 +VObject +p24321 +tp24322 +a(g138 +V methodsFor: +p24323 +tp24324 +a(g236 +V'thumbnail' +p24325 +tp24326 +a(g138 +V stamp: 'dgd 9/25/2004 23:17'! +p24327 +tp24328 +a(g6 +V\u000a +tp24329 +a(g48 +ViconOrThumbnailOfSize: +p24330 +tp24331 +a(g6 +V +tp24332 +a(g100 +VaNumberOrPoint +p24333 +tp24334 +a(g6 +V \u000a +p24335 +tp24336 +a(g24 +V"Answer an appropiate form to represent the receiver" +p24337 +tp24338 +a(g6 +V\u000a +p24339 +tp24340 +a(g353 +V^ +tp24341 +a(g6 +V +tp24342 +a(g87 +Vnil +p24343 +tp24344 +a(g138 +V! ! +p24345 +tp24346 +a(g6 +V\u000a\u000a\u000a +p24347 +tp24348 +a(g138 +V! +tp24349 +a(g133 +VObject +p24350 +tp24351 +a(g138 +V methodsFor: +p24352 +tp24353 +a(g236 +V'translation support' +p24354 +tp24355 +a(g138 +V! +tp24356 +a(g6 +V\u000a +tp24357 +a(g48 +Vinline: +p24358 +tp24359 +a(g6 +V +tp24360 +a(g100 +VinlineFlag +p24361 +tp24362 +a(g6 +V\u000a +p24363 +tp24364 +a(g24 +V"For translation only; noop when running in Smalltalk." +p24365 +tp24366 +a(g138 +V! ! +p24367 +tp24368 +a(g6 +V\u000a\u000a +p24369 +tp24370 +a(g138 +V! +tp24371 +a(g133 +VObject +p24372 +tp24373 +a(g138 +V methodsFor: +p24374 +tp24375 +a(g236 +V'translation support' +p24376 +tp24377 +a(g138 +V! +tp24378 +a(g6 +V\u000a +tp24379 +a(g48 +Vvar: +p24380 +tp24381 +a(g6 +V +tp24382 +a(g100 +VvarSymbol +p24383 +tp24384 +a(g6 +V +tp24385 +a(g48 +VdeclareC: +p24386 +tp24387 +a(g6 +V +tp24388 +a(g100 +VdeclString +p24389 +tp24390 +a(g6 +V\u000a +p24391 +tp24392 +a(g24 +V"For translation only; noop when running in Smalltalk." +p24393 +tp24394 +a(g138 +V! ! +p24395 +tp24396 +a(g6 +V\u000a\u000a\u000a +p24397 +tp24398 +a(g138 +V! +tp24399 +a(g133 +VObject +p24400 +tp24401 +a(g138 +V methodsFor: +p24402 +tp24403 +a(g236 +V'undo' +p24404 +tp24405 +a(g138 +V stamp: 'di 9/11/2000 20:32'! +p24406 +tp24407 +a(g6 +V\u000a +tp24408 +a(g48 +VcapturedState +p24409 +tp24410 +a(g6 +V\u000a +p24411 +tp24412 +a(g24 +V"May be overridden in subclasses." +p24413 +tp24414 +a(g6 +V\u000a\u000a +p24415 +tp24416 +a(g353 +V^ +tp24417 +a(g6 +V +tp24418 +a(g87 +Vself +p24419 +tp24420 +a(g6 +V +tp24421 +a(g48 +VshallowCopy +p24422 +tp24423 +a(g6 +V\u000a +tp24424 +a(g138 +V! ! +p24425 +tp24426 +a(g6 +V\u000a\u000a +p24427 +tp24428 +a(g138 +V! +tp24429 +a(g133 +VObject +p24430 +tp24431 +a(g138 +V methodsFor: +p24432 +tp24433 +a(g236 +V'undo' +p24434 +tp24435 +a(g138 +V stamp: 'di 9/11/2000 20:29'! +p24436 +tp24437 +a(g6 +V\u000a +tp24438 +a(g48 +VcommandHistory +p24439 +tp24440 +a(g6 +V\u000a +p24441 +tp24442 +a(g24 +V"Return the command history for the receiver" +p24443 +tp24444 +a(g6 +V\u000a +p24445 +tp24446 +a(g353 +V| +tp24447 +a(g100 +V w +p24448 +tp24449 +a(g353 +V| +tp24450 +a(g6 +V\u000a +p24451 +tp24452 +a(g6 +V( +tp24453 +a(g100 +Vw +tp24454 +a(g6 +V +tp24455 +a(g353 +V_ +tp24456 +a(g6 +V +tp24457 +a(g87 +Vself +p24458 +tp24459 +a(g6 +V +tp24460 +a(g48 +VcurrentWorld +p24461 +tp24462 +a(g6 +V) +tp24463 +a(g6 +V +tp24464 +a(g48 +VifNotNil: +p24465 +tp24466 +a(g6 +V +tp24467 +a(g6 +V[ +tp24468 +a(g6 +V +tp24469 +a(g353 +V^ +tp24470 +a(g6 +V +tp24471 +a(g100 +Vw +tp24472 +a(g6 +V +tp24473 +a(g48 +VcommandHistory +p24474 +tp24475 +a(g6 +V] +tp24476 +a(g212 +V. +tp24477 +a(g6 +V\u000a +p24478 +tp24479 +a(g353 +V^ +tp24480 +a(g6 +V +tp24481 +a(g133 +VCommandHistory +p24482 +tp24483 +a(g6 +V +tp24484 +a(g84 +Vnew +p24485 +tp24486 +a(g212 +V. +tp24487 +a(g6 +V +tp24488 +a(g24 +V"won't really record anything but prevent breaking things" +p24489 +tp24490 +a(g138 +V! ! +p24491 +tp24492 +a(g6 +V\u000a\u000a +p24493 +tp24494 +a(g138 +V! +tp24495 +a(g133 +VObject +p24496 +tp24497 +a(g138 +V methodsFor: +p24498 +tp24499 +a(g236 +V'undo' +p24500 +tp24501 +a(g138 +V stamp: 'di 12/12/2000 15:01'! +p24502 +tp24503 +a(g6 +V\u000a +tp24504 +a(g48 +VpurgeAllCommands +p24505 +tp24506 +a(g6 +V\u000a +p24507 +tp24508 +a(g24 +V"Purge all commands for this object" +p24509 +tp24510 +a(g6 +V\u000a +p24511 +tp24512 +a(g133 +VPreferences +p24513 +tp24514 +a(g6 +V +tp24515 +a(g48 +VuseUndo +p24516 +tp24517 +a(g6 +V +tp24518 +a(g84 +VifFalse: +p24519 +tp24520 +a(g6 +V +tp24521 +a(g6 +V[ +tp24522 +a(g6 +V +tp24523 +a(g353 +V^ +tp24524 +a(g6 +V +tp24525 +a(g87 +Vself +p24526 +tp24527 +a(g6 +V] +tp24528 +a(g212 +V. +tp24529 +a(g6 +V +tp24530 +a(g24 +V"get out quickly" +p24531 +tp24532 +a(g6 +V\u000a +p24533 +tp24534 +a(g87 +Vself +p24535 +tp24536 +a(g6 +V +tp24537 +a(g48 +VcommandHistory +p24538 +tp24539 +a(g6 +V +tp24540 +a(g48 +VpurgeAllCommandsSuchThat: +p24541 +tp24542 +a(g6 +V +tp24543 +a(g6 +V[ +tp24544 +a(g353 +V: +tp24545 +a(g100 +Vcmd +p24546 +tp24547 +a(g6 +V +tp24548 +a(g353 +V| +tp24549 +a(g6 +V +tp24550 +a(g100 +Vcmd +p24551 +tp24552 +a(g6 +V +tp24553 +a(g48 +VundoTarget +p24554 +tp24555 +a(g6 +V +tp24556 +a(g48 +V== +p24557 +tp24558 +a(g6 +V +tp24559 +a(g87 +Vself +p24560 +tp24561 +a(g6 +V] +tp24562 +a(g212 +V. +tp24563 +a(g6 +V\u000a +tp24564 +a(g138 +V! ! +p24565 +tp24566 +a(g6 +V\u000a\u000a +p24567 +tp24568 +a(g138 +V! +tp24569 +a(g133 +VObject +p24570 +tp24571 +a(g138 +V methodsFor: +p24572 +tp24573 +a(g236 +V'undo' +p24574 +tp24575 +a(g138 +V stamp: 'di 9/12/2000 08:15'! +p24576 +tp24577 +a(g6 +V\u000a +tp24578 +a(g48 +VredoFromCapturedState: +p24579 +tp24580 +a(g6 +V +tp24581 +a(g100 +Vst +p24582 +tp24583 +a(g6 +V \u000a +p24584 +tp24585 +a(g24 +V"May be overridden in subclasses. See also capturedState" +p24586 +tp24587 +a(g6 +V\u000a\u000a +p24588 +tp24589 +a(g87 +Vself +p24590 +tp24591 +a(g6 +V +tp24592 +a(g48 +VundoFromCapturedState: +p24593 +tp24594 +a(g6 +V +tp24595 +a(g100 +Vst +p24596 +tp24597 +a(g6 +V +p24598 +tp24599 +a(g24 +V"Simple cases are symmetric" +p24600 +tp24601 +a(g6 +V\u000a +tp24602 +a(g138 +V! ! +p24603 +tp24604 +a(g6 +V\u000a\u000a +p24605 +tp24606 +a(g138 +V! +tp24607 +a(g133 +VObject +p24608 +tp24609 +a(g138 +V methodsFor: +p24610 +tp24611 +a(g236 +V'undo' +p24612 +tp24613 +a(g138 +V stamp: 'sw 11/16/2000 14:42'! +p24614 +tp24615 +a(g6 +V\u000a +tp24616 +a(g48 +VrefineRedoTarget: +p24617 +tp24618 +a(g6 +V +tp24619 +a(g100 +Vtarget +p24620 +tp24621 +a(g6 +V +tp24622 +a(g48 +Vselector: +p24623 +tp24624 +a(g6 +V +tp24625 +a(g100 +VaSymbol +p24626 +tp24627 +a(g6 +V +tp24628 +a(g48 +Varguments: +p24629 +tp24630 +a(g6 +V +tp24631 +a(g100 +Varguments +p24632 +tp24633 +a(g6 +V +tp24634 +a(g48 +Vin: +p24635 +tp24636 +a(g6 +V +tp24637 +a(g100 +VrefineBlock +p24638 +tp24639 +a(g6 +V \u000a +p24640 +tp24641 +a(g24 +V"Any object can override this method to refine its redo specification" +p24642 +tp24643 +a(g6 +V\u000a\u000a +p24644 +tp24645 +a(g353 +V^ +tp24646 +a(g6 +V +tp24647 +a(g100 +VrefineBlock +p24648 +tp24649 +a(g6 +V\u000a +p24650 +tp24651 +a(g48 +Vvalue: +p24652 +tp24653 +a(g6 +V +tp24654 +a(g100 +Vtarget +p24655 +tp24656 +a(g6 +V\u000a +p24657 +tp24658 +a(g48 +Vvalue: +p24659 +tp24660 +a(g6 +V +tp24661 +a(g100 +VaSymbol +p24662 +tp24663 +a(g6 +V\u000a +p24664 +tp24665 +a(g48 +Vvalue: +p24666 +tp24667 +a(g6 +V +tp24668 +a(g100 +Varguments +p24669 +tp24670 +a(g138 +V! ! +p24671 +tp24672 +a(g6 +V\u000a\u000a +p24673 +tp24674 +a(g138 +V! +tp24675 +a(g133 +VObject +p24676 +tp24677 +a(g138 +V methodsFor: +p24678 +tp24679 +a(g236 +V'undo' +p24680 +tp24681 +a(g138 +V stamp: 'sw 11/16/2000 14:42'! +p24682 +tp24683 +a(g6 +V\u000a +tp24684 +a(g48 +VrefineUndoTarget: +p24685 +tp24686 +a(g6 +V +tp24687 +a(g100 +Vtarget +p24688 +tp24689 +a(g6 +V +tp24690 +a(g48 +Vselector: +p24691 +tp24692 +a(g6 +V +tp24693 +a(g100 +VaSymbol +p24694 +tp24695 +a(g6 +V +tp24696 +a(g48 +Varguments: +p24697 +tp24698 +a(g6 +V +tp24699 +a(g100 +Varguments +p24700 +tp24701 +a(g6 +V +tp24702 +a(g48 +Vin: +p24703 +tp24704 +a(g6 +V +tp24705 +a(g100 +VrefineBlock +p24706 +tp24707 +a(g6 +V \u000a +p24708 +tp24709 +a(g24 +V"Any object can override this method to refine its undo specification" +p24710 +tp24711 +a(g6 +V\u000a\u000a +p24712 +tp24713 +a(g353 +V^ +tp24714 +a(g6 +V +tp24715 +a(g100 +VrefineBlock +p24716 +tp24717 +a(g6 +V\u000a +p24718 +tp24719 +a(g48 +Vvalue: +p24720 +tp24721 +a(g6 +V +tp24722 +a(g100 +Vtarget +p24723 +tp24724 +a(g6 +V\u000a +p24725 +tp24726 +a(g48 +Vvalue: +p24727 +tp24728 +a(g6 +V +tp24729 +a(g100 +VaSymbol +p24730 +tp24731 +a(g6 +V\u000a +p24732 +tp24733 +a(g48 +Vvalue: +p24734 +tp24735 +a(g6 +V +tp24736 +a(g100 +Varguments +p24737 +tp24738 +a(g138 +V! ! +p24739 +tp24740 +a(g6 +V\u000a\u000a +p24741 +tp24742 +a(g138 +V! +tp24743 +a(g133 +VObject +p24744 +tp24745 +a(g138 +V methodsFor: +p24746 +tp24747 +a(g236 +V'undo' +p24748 +tp24749 +a(g138 +V stamp: 'di 9/11/2000 20:30'! +p24750 +tp24751 +a(g6 +V\u000a +tp24752 +a(g48 +VrememberCommand: +p24753 +tp24754 +a(g6 +V +tp24755 +a(g100 +VaCommand +p24756 +tp24757 +a(g6 +V\u000a +p24758 +tp24759 +a(g24 +V"Remember the given command for undo" +p24760 +tp24761 +a(g6 +V\u000a +p24762 +tp24763 +a(g133 +VPreferences +p24764 +tp24765 +a(g6 +V +tp24766 +a(g48 +VuseUndo +p24767 +tp24768 +a(g6 +V +tp24769 +a(g84 +VifFalse: +p24770 +tp24771 +a(g6 +V +tp24772 +a(g6 +V[ +tp24773 +a(g6 +V +tp24774 +a(g353 +V^ +tp24775 +a(g6 +V +tp24776 +a(g87 +Vself +p24777 +tp24778 +a(g6 +V] +tp24779 +a(g212 +V. +tp24780 +a(g6 +V +tp24781 +a(g24 +V"get out quickly" +p24782 +tp24783 +a(g6 +V\u000a +p24784 +tp24785 +a(g353 +V^ +tp24786 +a(g6 +V +tp24787 +a(g87 +Vself +p24788 +tp24789 +a(g6 +V +tp24790 +a(g48 +VcommandHistory +p24791 +tp24792 +a(g6 +V +tp24793 +a(g48 +VrememberCommand: +p24794 +tp24795 +a(g6 +V +tp24796 +a(g100 +VaCommand +p24797 +tp24798 +a(g138 +V! ! +p24799 +tp24800 +a(g6 +V\u000a\u000a +p24801 +tp24802 +a(g138 +V! +tp24803 +a(g133 +VObject +p24804 +tp24805 +a(g138 +V methodsFor: +p24806 +tp24807 +a(g236 +V'undo' +p24808 +tp24809 +a(g138 +V stamp: 'di 9/11/2000 20:30'! +p24810 +tp24811 +a(g6 +V\u000a +tp24812 +a(g48 +VrememberUndoableAction: +p24813 +tp24814 +a(g6 +V +tp24815 +a(g100 +VactionBlock +p24816 +tp24817 +a(g6 +V +tp24818 +a(g48 +Vnamed: +p24819 +tp24820 +a(g6 +V +tp24821 +a(g100 +Vcaption +p24822 +tp24823 +a(g6 +V\u000a +p24824 +tp24825 +a(g353 +V| +tp24826 +a(g100 +V cmd result +p24827 +tp24828 +a(g353 +V| +tp24829 +a(g6 +V\u000a +p24830 +tp24831 +a(g100 +Vcmd +p24832 +tp24833 +a(g6 +V +tp24834 +a(g353 +V_ +tp24835 +a(g6 +V +tp24836 +a(g133 +VCommand +p24837 +tp24838 +a(g6 +V +tp24839 +a(g84 +Vnew +p24840 +tp24841 +a(g6 +V +tp24842 +a(g48 +VcmdWording: +p24843 +tp24844 +a(g6 +V +tp24845 +a(g100 +Vcaption +p24846 +tp24847 +a(g212 +V. +tp24848 +a(g6 +V\u000a +p24849 +tp24850 +a(g100 +Vcmd +p24851 +tp24852 +a(g6 +V +tp24853 +a(g48 +VundoTarget: +p24854 +tp24855 +a(g6 +V +tp24856 +a(g87 +Vself +p24857 +tp24858 +a(g6 +V +tp24859 +a(g48 +Vselector: +p24860 +tp24861 +a(g6 +V +tp24862 +a(g258 +V#undoFromCapturedState: +p24863 +tp24864 +a(g6 +V +tp24865 +a(g48 +Vargument: +p24866 +tp24867 +a(g6 +V +tp24868 +a(g87 +Vself +p24869 +tp24870 +a(g6 +V +tp24871 +a(g48 +VcapturedState +p24872 +tp24873 +a(g212 +V. +tp24874 +a(g6 +V\u000a +p24875 +tp24876 +a(g100 +Vresult +p24877 +tp24878 +a(g6 +V +tp24879 +a(g353 +V_ +tp24880 +a(g6 +V +tp24881 +a(g100 +VactionBlock +p24882 +tp24883 +a(g6 +V +tp24884 +a(g48 +Vvalue +p24885 +tp24886 +a(g212 +V. +tp24887 +a(g6 +V\u000a +p24888 +tp24889 +a(g100 +Vcmd +p24890 +tp24891 +a(g6 +V +tp24892 +a(g48 +VredoTarget: +p24893 +tp24894 +a(g6 +V +tp24895 +a(g87 +Vself +p24896 +tp24897 +a(g6 +V +tp24898 +a(g48 +Vselector: +p24899 +tp24900 +a(g6 +V +tp24901 +a(g258 +V#redoFromCapturedState: +p24902 +tp24903 +a(g6 +V +tp24904 +a(g48 +Vargument: +p24905 +tp24906 +a(g6 +V +tp24907 +a(g87 +Vself +p24908 +tp24909 +a(g6 +V +tp24910 +a(g48 +VcapturedState +p24911 +tp24912 +a(g212 +V. +tp24913 +a(g6 +V\u000a +p24914 +tp24915 +a(g87 +Vself +p24916 +tp24917 +a(g6 +V +tp24918 +a(g48 +VrememberCommand: +p24919 +tp24920 +a(g6 +V +tp24921 +a(g100 +Vcmd +p24922 +tp24923 +a(g212 +V. +tp24924 +a(g6 +V\u000a +p24925 +tp24926 +a(g353 +V^ +tp24927 +a(g6 +V +tp24928 +a(g100 +Vresult +p24929 +tp24930 +a(g138 +V! ! +p24931 +tp24932 +a(g6 +V\u000a\u000a +p24933 +tp24934 +a(g138 +V! +tp24935 +a(g133 +VObject +p24936 +tp24937 +a(g138 +V methodsFor: +p24938 +tp24939 +a(g236 +V'undo' +p24940 +tp24941 +a(g138 +V stamp: 'di 9/11/2000 20:32'! +p24942 +tp24943 +a(g6 +V\u000a +tp24944 +a(g48 +VundoFromCapturedState: +p24945 +tp24946 +a(g6 +V +tp24947 +a(g100 +Vst +p24948 +tp24949 +a(g6 +V \u000a +p24950 +tp24951 +a(g24 +V"May be overridden in subclasses. See also capturedState" +p24952 +tp24953 +a(g6 +V\u000a\u000a +p24954 +tp24955 +a(g87 +Vself +p24956 +tp24957 +a(g6 +V +tp24958 +a(g48 +VcopyFrom: +p24959 +tp24960 +a(g6 +V +tp24961 +a(g100 +Vst +p24962 +tp24963 +a(g6 +V\u000a +tp24964 +a(g138 +V! ! +p24965 +tp24966 +a(g6 +V\u000a\u000a\u000a +p24967 +tp24968 +a(g138 +V! +tp24969 +a(g133 +VObject +p24970 +tp24971 +a(g138 +V methodsFor: +p24972 +tp24973 +a(g236 +V'updating' +p24974 +tp24975 +a(g138 +V! +tp24976 +a(g6 +V\u000a +tp24977 +a(g48 +Vchanged +p24978 +tp24979 +a(g6 +V\u000a +p24980 +tp24981 +a(g24 +V"Receiver changed in a general way; inform all the dependents by \u000a sending each dependent an update: message." +p24982 +tp24983 +a(g6 +V\u000a\u000a +p24984 +tp24985 +a(g87 +Vself +p24986 +tp24987 +a(g6 +V +tp24988 +a(g48 +Vchanged: +p24989 +tp24990 +a(g6 +V +tp24991 +a(g87 +Vself +p24992 +tp24993 +a(g138 +V! ! +p24994 +tp24995 +a(g6 +V\u000a\u000a +p24996 +tp24997 +a(g138 +V! +tp24998 +a(g133 +VObject +p24999 +tp25000 +a(g138 +V methodsFor: +p25001 +tp25002 +a(g236 +V'updating' +p25003 +tp25004 +a(g138 +V! +tp25005 +a(g6 +V\u000a +tp25006 +a(g48 +Vchanged: +p25007 +tp25008 +a(g6 +V +tp25009 +a(g100 +VaParameter +p25010 +tp25011 +a(g6 +V \u000a +p25012 +tp25013 +a(g24 +V"Receiver changed. The change is denoted by the argument aParameter. \u000a Usually the argument is a Symbol that is part of the dependent's change \u000a protocol. Inform all of the dependents." +p25014 +tp25015 +a(g6 +V\u000a\u000a +p25016 +tp25017 +a(g87 +Vself +p25018 +tp25019 +a(g6 +V +tp25020 +a(g48 +Vdependents +p25021 +tp25022 +a(g6 +V +tp25023 +a(g48 +Vdo: +p25024 +tp25025 +a(g6 +V +tp25026 +a(g6 +V[ +tp25027 +a(g353 +V: +tp25028 +a(g100 +VaDependent +p25029 +tp25030 +a(g6 +V +tp25031 +a(g353 +V| +tp25032 +a(g6 +V +tp25033 +a(g100 +VaDependent +p25034 +tp25035 +a(g6 +V +tp25036 +a(g48 +Vupdate: +p25037 +tp25038 +a(g6 +V +tp25039 +a(g100 +VaParameter +p25040 +tp25041 +a(g6 +V] +tp25042 +a(g138 +V! ! +p25043 +tp25044 +a(g6 +V\u000a\u000a +p25045 +tp25046 +a(g138 +V! +tp25047 +a(g133 +VObject +p25048 +tp25049 +a(g138 +V methodsFor: +p25050 +tp25051 +a(g236 +V'updating' +p25052 +tp25053 +a(g138 +V stamp: 'nk 2/17/2004 11:12'! +p25054 +tp25055 +a(g6 +V\u000a +tp25056 +a(g48 +Vchanged: +p25057 +tp25058 +a(g6 +V +tp25059 +a(g100 +VanAspect +p25060 +tp25061 +a(g6 +V +tp25062 +a(g48 +Vwith: +p25063 +tp25064 +a(g6 +V +tp25065 +a(g100 +VanObject +p25066 +tp25067 +a(g6 +V\u000a +p25068 +tp25069 +a(g24 +V"Receiver changed. The change is denoted by the argument anAspect. \u000a Usually the argument is a Symbol that is part of the dependent's change \u000a protocol. Inform all of the dependents. Also pass anObject for additional information." +p25070 +tp25071 +a(g6 +V\u000a\u000a +p25072 +tp25073 +a(g87 +Vself +p25074 +tp25075 +a(g6 +V +tp25076 +a(g48 +Vdependents +p25077 +tp25078 +a(g6 +V +tp25079 +a(g48 +Vdo: +p25080 +tp25081 +a(g6 +V +tp25082 +a(g6 +V[ +tp25083 +a(g353 +V: +tp25084 +a(g100 +VaDependent +p25085 +tp25086 +a(g6 +V +tp25087 +a(g353 +V| +tp25088 +a(g6 +V +tp25089 +a(g100 +VaDependent +p25090 +tp25091 +a(g6 +V +tp25092 +a(g48 +Vupdate: +p25093 +tp25094 +a(g6 +V +tp25095 +a(g100 +VanAspect +p25096 +tp25097 +a(g6 +V +tp25098 +a(g48 +Vwith: +p25099 +tp25100 +a(g6 +V +tp25101 +a(g100 +VanObject +p25102 +tp25103 +a(g6 +V] +tp25104 +a(g138 +V! ! +p25105 +tp25106 +a(g6 +V\u000a\u000a +p25107 +tp25108 +a(g138 +V! +tp25109 +a(g133 +VObject +p25110 +tp25111 +a(g138 +V methodsFor: +p25112 +tp25113 +a(g236 +V'updating' +p25114 +tp25115 +a(g138 +V stamp: 'sw 10/12/1999 18:15'! +p25116 +tp25117 +a(g6 +V\u000a +tp25118 +a(g48 +VhandledListVerification +p25119 +tp25120 +a(g6 +V\u000a +p25121 +tp25122 +a(g24 +V"When a self-updating PluggableListMorph lazily checks to see the state of affairs, it first gives its model an opportunity to handle the list verification itself (this is appropriate for some models, such as VersionsBrowser); if a list's model has indeed handled things itself, it returns true here" +p25123 +tp25124 +a(g6 +V\u000a\u000a +p25125 +tp25126 +a(g353 +V^ +tp25127 +a(g6 +V +tp25128 +a(g87 +Vfalse +p25129 +tp25130 +a(g138 +V! ! +p25131 +tp25132 +a(g6 +V\u000a\u000a +p25133 +tp25134 +a(g138 +V! +tp25135 +a(g133 +VObject +p25136 +tp25137 +a(g138 +V methodsFor: +p25138 +tp25139 +a(g236 +V'updating' +p25140 +tp25141 +a(g138 +V stamp: 'sw 10/31/1999 00:15'! +p25142 +tp25143 +a(g6 +V\u000a +tp25144 +a(g48 +VnoteSelectionIndex: +p25145 +tp25146 +a(g6 +V +tp25147 +a(g100 +VanInteger +p25148 +tp25149 +a(g6 +V +tp25150 +a(g48 +Vfor: +p25151 +tp25152 +a(g6 +V +tp25153 +a(g100 +VaSymbol +p25154 +tp25155 +a(g6 +V\u000a +p25156 +tp25157 +a(g24 +V"backstop" +p25158 +tp25159 +a(g138 +V! ! +p25160 +tp25161 +a(g6 +V\u000a\u000a +p25162 +tp25163 +a(g138 +V! +tp25164 +a(g133 +VObject +p25165 +tp25166 +a(g138 +V methodsFor: +p25167 +tp25168 +a(g236 +V'updating' +p25169 +tp25170 +a(g138 +V! +tp25171 +a(g6 +V\u000a +tp25172 +a(g48 +VokToChange +p25173 +tp25174 +a(g6 +V\u000a +p25175 +tp25176 +a(g24 +V"Allows a controller to ask this of any model" +p25177 +tp25178 +a(g6 +V\u000a +p25179 +tp25180 +a(g353 +V^ +tp25181 +a(g6 +V +tp25182 +a(g87 +Vtrue +p25183 +tp25184 +a(g138 +V! ! +p25185 +tp25186 +a(g6 +V\u000a\u000a +p25187 +tp25188 +a(g138 +V! +tp25189 +a(g133 +VObject +p25190 +tp25191 +a(g138 +V methodsFor: +p25192 +tp25193 +a(g236 +V'updating' +p25194 +tp25195 +a(g138 +V stamp: 'sw 10/19/1999 14:39'! +p25196 +tp25197 +a(g6 +V\u000a +tp25198 +a(g48 +VupdateListsAndCodeIn: +p25199 +tp25200 +a(g6 +V +tp25201 +a(g100 +VaWindow +p25202 +tp25203 +a(g6 +V\u000a +p25204 +tp25205 +a(g87 +Vself +p25206 +tp25207 +a(g6 +V +tp25208 +a(g48 +VcanDiscardEdits +p25209 +tp25210 +a(g6 +V +tp25211 +a(g84 +VifFalse: +p25212 +tp25213 +a(g6 +V +tp25214 +a(g6 +V[ +tp25215 +a(g6 +V +tp25216 +a(g353 +V^ +tp25217 +a(g6 +V +tp25218 +a(g87 +Vself +p25219 +tp25220 +a(g6 +V] +tp25221 +a(g212 +V. +tp25222 +a(g6 +V\u000a +p25223 +tp25224 +a(g100 +VaWindow +p25225 +tp25226 +a(g6 +V +tp25227 +a(g48 +VupdatablePanes +p25228 +tp25229 +a(g6 +V +tp25230 +a(g48 +Vdo: +p25231 +tp25232 +a(g6 +V +tp25233 +a(g6 +V[ +tp25234 +a(g353 +V: +tp25235 +a(g100 +VaPane +p25236 +tp25237 +a(g6 +V +tp25238 +a(g353 +V| +tp25239 +a(g6 +V +tp25240 +a(g100 +VaPane +p25241 +tp25242 +a(g6 +V +tp25243 +a(g48 +VverifyContents +p25244 +tp25245 +a(g6 +V] +tp25246 +a(g138 +V! ! +p25247 +tp25248 +a(g6 +V\u000a\u000a +p25249 +tp25250 +a(g138 +V! +tp25251 +a(g133 +VObject +p25252 +tp25253 +a(g138 +V methodsFor: +p25254 +tp25255 +a(g236 +V'updating' +p25256 +tp25257 +a(g138 +V stamp: 'sma 2/29/2000 20:05'! +p25258 +tp25259 +a(g6 +V\u000a +tp25260 +a(g48 +Vupdate: +p25261 +tp25262 +a(g6 +V +tp25263 +a(g100 +VaParameter +p25264 +tp25265 +a(g6 +V \u000a +p25266 +tp25267 +a(g24 +V"Receive a change notice from an object of whom the receiver is a \u000a dependent. The default behavior is to do nothing; a subclass might want \u000a to change itself in some way." +p25268 +tp25269 +a(g6 +V\u000a\u000a +p25270 +tp25271 +a(g353 +V^ +tp25272 +a(g6 +V +tp25273 +a(g87 +Vself +p25274 +tp25275 +a(g138 +V! ! +p25276 +tp25277 +a(g6 +V\u000a\u000a +p25278 +tp25279 +a(g138 +V! +tp25280 +a(g133 +VObject +p25281 +tp25282 +a(g138 +V methodsFor: +p25283 +tp25284 +a(g236 +V'updating' +p25285 +tp25286 +a(g138 +V stamp: 'nk 2/17/2004 11:13'! +p25287 +tp25288 +a(g6 +V\u000a +tp25289 +a(g48 +Vupdate: +p25290 +tp25291 +a(g6 +V +tp25292 +a(g100 +VanAspect +p25293 +tp25294 +a(g6 +V +tp25295 +a(g48 +Vwith: +p25296 +tp25297 +a(g6 +V +tp25298 +a(g100 +VanObject +p25299 +tp25300 +a(g6 +V\u000a +p25301 +tp25302 +a(g24 +V"Receive a change notice from an object of whom the receiver is a \u000a dependent. The default behavior is to call update:,\u000a which by default does nothing; a subclass might want \u000a to change itself in some way." +p25303 +tp25304 +a(g6 +V\u000a\u000a +p25305 +tp25306 +a(g353 +V^ +tp25307 +a(g6 +V +tp25308 +a(g87 +Vself +p25309 +tp25310 +a(g6 +V +tp25311 +a(g48 +Vupdate: +p25312 +tp25313 +a(g6 +V +tp25314 +a(g100 +VanAspect +p25315 +tp25316 +a(g138 +V! ! +p25317 +tp25318 +a(g6 +V\u000a\u000a +p25319 +tp25320 +a(g138 +V! +tp25321 +a(g133 +VObject +p25322 +tp25323 +a(g138 +V methodsFor: +p25324 +tp25325 +a(g236 +V'updating' +p25326 +tp25327 +a(g138 +V stamp: 'jm 8/20/1998 18:26'! +p25328 +tp25329 +a(g6 +V\u000a +tp25330 +a(g48 +VwindowIsClosing +p25331 +tp25332 +a(g6 +V\u000a +p25333 +tp25334 +a(g24 +V"This message is used to inform a models that its window is closing. Most models do nothing, but some, such as the Debugger, must do some cleanup. Note that this mechanism must be used with care by models that support multiple views, since one view may be closed while others left open." +p25335 +tp25336 +a(g6 +V\u000a +tp25337 +a(g138 +V! ! +p25338 +tp25339 +a(g6 +V\u000a\u000a\u000a +p25340 +tp25341 +a(g138 +V! +tp25342 +a(g133 +VObject +p25343 +tp25344 +a(g138 +V methodsFor: +p25345 +tp25346 +a(g236 +V'user interface' +p25347 +tp25348 +a(g138 +V stamp: 'sw 10/4/1999 08:13'! +p25349 +tp25350 +a(g6 +V\u000a +tp25351 +a(g48 +VaddModelItemsToWindowMenu: +p25352 +tp25353 +a(g6 +V +tp25354 +a(g100 +VaMenu +p25355 +tp25356 +a(g6 +V\u000a +p25357 +tp25358 +a(g24 +V"aMenu is being constructed to be presented to the user in response to the user's pressing on the menu widget in the title bar of a morphic window. Here, the model is given the opportunity to add any model-specific items to the menu, whose default target is the SystemWindow itself." +p25359 +tp25360 +a(g138 +V! ! +p25361 +tp25362 +a(g6 +V\u000a\u000a +p25363 +tp25364 +a(g138 +V! +tp25365 +a(g133 +VObject +p25366 +tp25367 +a(g138 +V methodsFor: +p25368 +tp25369 +a(g236 +V'user interface' +p25370 +tp25371 +a(g138 +V stamp: 'sw 10/5/1998 14:39'! +p25372 +tp25373 +a(g6 +V\u000a +tp25374 +a(g48 +VaddModelMenuItemsTo: +p25375 +tp25376 +a(g6 +V +tp25377 +a(g100 +VaCustomMenu +p25378 +tp25379 +a(g6 +V +tp25380 +a(g48 +VforMorph: +p25381 +tp25382 +a(g6 +V +tp25383 +a(g100 +VaMorph +p25384 +tp25385 +a(g6 +V +tp25386 +a(g48 +Vhand: +p25387 +tp25388 +a(g6 +V +tp25389 +a(g100 +VaHandMorph +p25390 +tp25391 +a(g6 +V \u000a +p25392 +tp25393 +a(g24 +V"The receiver serves as the model for aMorph; a menu is being constructed for the morph, and here the receiver is able to add its own items" +p25394 +tp25395 +a(g6 +V\u000a +tp25396 +a(g138 +V! ! +p25397 +tp25398 +a(g6 +V\u000a\u000a +p25399 +tp25400 +a(g138 +V! +tp25401 +a(g133 +VObject +p25402 +tp25403 +a(g138 +V methodsFor: +p25404 +tp25405 +a(g236 +V'user interface' +p25406 +tp25407 +a(g138 +V stamp: 'sma 11/12/2000 11:43'! +p25408 +tp25409 +a(g6 +V\u000a +tp25410 +a(g48 +VasExplorerString +p25411 +tp25412 +a(g6 +V\u000a +p25413 +tp25414 +a(g353 +V^ +tp25415 +a(g6 +V +tp25416 +a(g87 +Vself +p25417 +tp25418 +a(g6 +V +tp25419 +a(g48 +VprintString +p25420 +tp25421 +a(g138 +V! ! +p25422 +tp25423 +a(g6 +V\u000a\u000a +p25424 +tp25425 +a(g138 +V! +tp25426 +a(g133 +VObject +p25427 +tp25428 +a(g138 +V methodsFor: +p25429 +tp25430 +a(g236 +V'user interface' +p25431 +tp25432 +a(g138 +V stamp: 'sw 7/13/1999 15:53'! +p25433 +tp25434 +a(g6 +V\u000a +tp25435 +a(g48 +VdefaultBackgroundColor +p25436 +tp25437 +a(g6 +V\u000a +p25438 +tp25439 +a(g24 +V"Answer the color to be used as the base window color for a window whose model is an object of the receiver's class" +p25440 +tp25441 +a(g6 +V\u000a \u000a +p25442 +tp25443 +a(g353 +V^ +tp25444 +a(g6 +V +tp25445 +a(g133 +VPreferences +p25446 +tp25447 +a(g6 +V +tp25448 +a(g48 +VwindowColorFor: +p25449 +tp25450 +a(g6 +V +tp25451 +a(g87 +Vself +p25452 +tp25453 +a(g6 +V +tp25454 +a(g48 +Vclass +p25455 +tp25456 +a(g6 +V +tp25457 +a(g48 +Vname +p25458 +tp25459 +a(g138 +V! ! +p25460 +tp25461 +a(g6 +V\u000a\u000a +p25462 +tp25463 +a(g138 +V! +tp25464 +a(g133 +VObject +p25465 +tp25466 +a(g138 +V methodsFor: +p25467 +tp25468 +a(g236 +V'user interface' +p25469 +tp25470 +a(g138 +V! +tp25471 +a(g6 +V\u000a +tp25472 +a(g48 +VdefaultLabelForInspector +p25473 +tp25474 +a(g6 +V\u000a +p25475 +tp25476 +a(g24 +V"Answer the default label to be used for an Inspector window on the receiver." +p25477 +tp25478 +a(g6 +V\u000a\u000a +p25479 +tp25480 +a(g353 +V^ +tp25481 +a(g6 +V +tp25482 +a(g87 +Vself +p25483 +tp25484 +a(g6 +V +tp25485 +a(g48 +Vclass +p25486 +tp25487 +a(g6 +V +tp25488 +a(g48 +Vname +p25489 +tp25490 +a(g138 +V! ! +p25491 +tp25492 +a(g6 +V\u000a\u000a +p25493 +tp25494 +a(g138 +V! +tp25495 +a(g133 +VObject +p25496 +tp25497 +a(g138 +V methodsFor: +p25498 +tp25499 +a(g236 +V'user interface' +p25500 +tp25501 +a(g138 +V stamp: 'RAA 7/10/2000 08:11'! +p25502 +tp25503 +a(g6 +V\u000a +tp25504 +a(g48 +VeToyStreamedRepresentationNotifying: +p25505 +tp25506 +a(g6 +V +tp25507 +a(g100 +VaWidget +p25508 +tp25509 +a(g6 +V\u000a\u000a +p25510 +tp25511 +a(g353 +V| +tp25512 +a(g100 +V outData +p25513 +tp25514 +a(g353 +V| +tp25515 +a(g6 +V\u000a +p25516 +tp25517 +a(g6 +V[ +tp25518 +a(g6 +V +tp25519 +a(g6 +V +tp25520 +a(g100 +VoutData +p25521 +tp25522 +a(g6 +V +tp25523 +a(g353 +V_ +tp25524 +a(g6 +V +tp25525 +a(g133 +VSmartRefStream +p25526 +tp25527 +a(g6 +V +tp25528 +a(g48 +VstreamedRepresentationOf: +p25529 +tp25530 +a(g6 +V +tp25531 +a(g87 +Vself +p25532 +tp25533 +a(g6 +V +tp25534 +a(g6 +V] +tp25535 +a(g6 +V \u000a +p25536 +tp25537 +a(g48 +Von: +p25538 +tp25539 +a(g6 +V +tp25540 +a(g133 +VProgressInitiationException +p25541 +tp25542 +a(g6 +V\u000a +p25543 +tp25544 +a(g48 +Vdo: +p25545 +tp25546 +a(g6 +V +tp25547 +a(g6 +V[ +tp25548 +a(g6 +V +tp25549 +a(g353 +V: +tp25550 +a(g100 +Vex +p25551 +tp25552 +a(g6 +V +tp25553 +a(g353 +V| +tp25554 +a(g6 +V \u000a +p25555 +tp25556 +a(g100 +Vex +p25557 +tp25558 +a(g6 +V +tp25559 +a(g48 +VsendNotificationsTo: +p25560 +tp25561 +a(g6 +V +tp25562 +a(g6 +V[ +tp25563 +a(g6 +V +tp25564 +a(g353 +V: +tp25565 +a(g100 +Vmin +p25566 +tp25567 +a(g6 +V +tp25568 +a(g353 +V: +tp25569 +a(g100 +Vmax +p25570 +tp25571 +a(g6 +V +tp25572 +a(g353 +V: +tp25573 +a(g100 +Vcurr +p25574 +tp25575 +a(g6 +V +tp25576 +a(g353 +V| +tp25577 +a(g6 +V\u000a +p25578 +tp25579 +a(g100 +VaWidget +p25580 +tp25581 +a(g6 +V +tp25582 +a(g48 +VifNotNil: +p25583 +tp25584 +a(g6 +V +tp25585 +a(g6 +V[ +tp25586 +a(g6 +V +tp25587 +a(g100 +VaWidget +p25588 +tp25589 +a(g6 +V +tp25590 +a(g48 +VflashIndicator: +p25591 +tp25592 +a(g6 +V +tp25593 +a(g258 +V#working +p25594 +tp25595 +a(g6 +V] +tp25596 +a(g212 +V. +tp25597 +a(g6 +V\u000a +p25598 +tp25599 +a(g6 +V] +tp25600 +a(g212 +V. +tp25601 +a(g6 +V\u000a +p25602 +tp25603 +a(g6 +V] +tp25604 +a(g212 +V. +tp25605 +a(g6 +V\u000a +p25606 +tp25607 +a(g353 +V^ +tp25608 +a(g100 +VoutData +p25609 +tp25610 +a(g6 +V\u000a +tp25611 +a(g138 +V! ! +p25612 +tp25613 +a(g6 +V\u000a\u000a +p25614 +tp25615 +a(g138 +V! +tp25616 +a(g133 +VObject +p25617 +tp25618 +a(g138 +V methodsFor: +p25619 +tp25620 +a(g236 +V'user interface' +p25621 +tp25622 +a(g138 +V stamp: 'ar 9/27/2005 20:29'! +p25623 +tp25624 +a(g6 +V\u000a +tp25625 +a(g48 +Vexplore +p25626 +tp25627 +a(g6 +V\u000a +p25628 +tp25629 +a(g353 +V^ +tp25630 +a(g133 +VToolSet +p25631 +tp25632 +a(g6 +V +tp25633 +a(g48 +Vexplore: +p25634 +tp25635 +a(g6 +V +tp25636 +a(g87 +Vself +p25637 +tp25638 +a(g138 +V! ! +p25639 +tp25640 +a(g6 +V\u000a\u000a +p25641 +tp25642 +a(g138 +V! +tp25643 +a(g133 +VObject +p25644 +tp25645 +a(g138 +V methodsFor: +p25646 +tp25647 +a(g236 +V'user interface' +p25648 +tp25649 +a(g138 +V stamp: 'sw 8/15/97 17:25'! +p25650 +tp25651 +a(g6 +V\u000a +tp25652 +a(g48 +VfullScreenSize +p25653 +tp25654 +a(g6 +V\u000a +p25655 +tp25656 +a(g24 +V"Answer the size to which a window displaying the receiver should be set" +p25657 +tp25658 +a(g6 +V\u000a +p25659 +tp25660 +a(g353 +V| +tp25661 +a(g100 +V adj +p25662 +tp25663 +a(g353 +V| +tp25664 +a(g6 +V\u000a +p25665 +tp25666 +a(g100 +Vadj +p25667 +tp25668 +a(g6 +V +tp25669 +a(g353 +V_ +tp25670 +a(g6 +V +tp25671 +a(g6 +V( +tp25672 +a(g223 +V3 +tp25673 +a(g6 +V +tp25674 +a(g48 +V* +tp25675 +a(g6 +V +tp25676 +a(g133 +VPreferences +p25677 +tp25678 +a(g6 +V +tp25679 +a(g48 +VscrollBarWidth +p25680 +tp25681 +a(g6 +V) +tp25682 +a(g6 +V +tp25683 +a(g48 +V@ +tp25684 +a(g6 +V +tp25685 +a(g223 +V0 +tp25686 +a(g212 +V. +tp25687 +a(g6 +V\u000a +p25688 +tp25689 +a(g353 +V^ +tp25690 +a(g6 +V +tp25691 +a(g133 +VRectangle +p25692 +tp25693 +a(g6 +V +tp25694 +a(g48 +Vorigin: +p25695 +tp25696 +a(g6 +V +tp25697 +a(g100 +Vadj +p25698 +tp25699 +a(g6 +V +tp25700 +a(g48 +Vextent: +p25701 +tp25702 +a(g6 +V +tp25703 +a(g6 +V( +tp25704 +a(g133 +VDisplayScreen +p25705 +tp25706 +a(g6 +V +tp25707 +a(g48 +VactualScreenSize +p25708 +tp25709 +a(g6 +V +tp25710 +a(g48 +V- +tp25711 +a(g6 +V +tp25712 +a(g100 +Vadj +p25713 +tp25714 +a(g6 +V) +tp25715 +a(g138 +V! ! +p25716 +tp25717 +a(g6 +V\u000a\u000a +p25718 +tp25719 +a(g138 +V! +tp25720 +a(g133 +VObject +p25721 +tp25722 +a(g138 +V methodsFor: +p25723 +tp25724 +a(g236 +V'user interface' +p25725 +tp25726 +a(g138 +V stamp: 'RAA 6/21/1999 11:27'! +p25727 +tp25728 +a(g6 +V\u000a +tp25729 +a(g48 +VhasContentsInExplorer +p25730 +tp25731 +a(g6 +V\u000a +tp25732 +a(g6 +V\u000a +p25733 +tp25734 +a(g353 +V^ +tp25735 +a(g87 +Vself +p25736 +tp25737 +a(g6 +V +tp25738 +a(g48 +VbasicSize +p25739 +tp25740 +a(g6 +V +tp25741 +a(g48 +V> +tp25742 +a(g6 +V +tp25743 +a(g223 +V0 +tp25744 +a(g6 +V +tp25745 +a(g48 +Vor: +p25746 +tp25747 +a(g6 +V +tp25748 +a(g6 +V[ +tp25749 +a(g6 +V +tp25750 +a(g87 +Vself +p25751 +tp25752 +a(g6 +V +tp25753 +a(g48 +Vclass +p25754 +tp25755 +a(g6 +V +tp25756 +a(g48 +VallInstVarNames +p25757 +tp25758 +a(g6 +V +tp25759 +a(g48 +VisEmpty +p25760 +tp25761 +a(g6 +V +tp25762 +a(g48 +Vnot +p25763 +tp25764 +a(g6 +V] +tp25765 +a(g6 +V\u000a +tp25766 +a(g138 +V! ! +p25767 +tp25768 +a(g6 +V\u000a\u000a +p25769 +tp25770 +a(g138 +V! +tp25771 +a(g133 +VObject +p25772 +tp25773 +a(g138 +V methodsFor: +p25774 +tp25775 +a(g236 +V'user interface' +p25776 +tp25777 +a(g138 +V stamp: 'rbb 3/1/2005 09:28'! +p25778 +tp25779 +a(g6 +V\u000a +tp25780 +a(g48 +Vinform: +p25781 +tp25782 +a(g6 +V +tp25783 +a(g100 +VaString +p25784 +tp25785 +a(g6 +V\u000a +p25786 +tp25787 +a(g24 +V"Display a message for the user to read and then dismiss. 6/9/96 sw" +p25788 +tp25789 +a(g6 +V\u000a\u000a +p25790 +tp25791 +a(g100 +VaString +p25792 +tp25793 +a(g6 +V +tp25794 +a(g48 +VisEmptyOrNil +p25795 +tp25796 +a(g6 +V +tp25797 +a(g84 +VifFalse: +p25798 +tp25799 +a(g6 +V +tp25800 +a(g6 +V[ +tp25801 +a(g6 +V +tp25802 +a(g133 +VUIManager +p25803 +tp25804 +a(g6 +V +tp25805 +a(g48 +Vdefault +p25806 +tp25807 +a(g6 +V +tp25808 +a(g48 +Vinform: +p25809 +tp25810 +a(g6 +V +tp25811 +a(g100 +VaString +p25812 +tp25813 +a(g6 +V] +tp25814 +a(g138 +V! ! +p25815 +tp25816 +a(g6 +V\u000a\u000a +p25817 +tp25818 +a(g138 +V! +tp25819 +a(g133 +VObject +p25820 +tp25821 +a(g138 +V methodsFor: +p25822 +tp25823 +a(g236 +V'user interface' +p25824 +tp25825 +a(g138 +V! +tp25826 +a(g6 +V\u000a +tp25827 +a(g48 +VinitialExtent +p25828 +tp25829 +a(g6 +V\u000a +p25830 +tp25831 +a(g24 +V"Answer the desired extent for the receiver when a view on it is first opened on the screen. \u000a 5/22/96 sw: in the absence of any override, obtain from RealEstateAgent" +p25832 +tp25833 +a(g6 +V\u000a\u000a +p25834 +tp25835 +a(g353 +V^ +tp25836 +a(g6 +V +tp25837 +a(g133 +VRealEstateAgent +p25838 +tp25839 +a(g6 +V +tp25840 +a(g48 +VstandardWindowExtent +p25841 +tp25842 +a(g138 +V! ! +p25843 +tp25844 +a(g6 +V\u000a\u000a +p25845 +tp25846 +a(g138 +V! +tp25847 +a(g133 +VObject +p25848 +tp25849 +a(g138 +V methodsFor: +p25850 +tp25851 +a(g236 +V'user interface' +p25852 +tp25853 +a(g138 +V stamp: 'ar 9/27/2005 20:30'! +p25854 +tp25855 +a(g6 +V\u000a +tp25856 +a(g48 +VinspectWithLabel: +p25857 +tp25858 +a(g6 +V +tp25859 +a(g100 +VaLabel +p25860 +tp25861 +a(g6 +V\u000a +p25862 +tp25863 +a(g24 +V"Create and schedule an Inspector in which the user can examine the receiver's variables." +p25864 +tp25865 +a(g6 +V\u000a +p25866 +tp25867 +a(g353 +V^ +tp25868 +a(g133 +VToolSet +p25869 +tp25870 +a(g6 +V +tp25871 +a(g48 +Vinspect: +p25872 +tp25873 +a(g6 +V +tp25874 +a(g87 +Vself +p25875 +tp25876 +a(g6 +V +tp25877 +a(g48 +Vlabel: +p25878 +tp25879 +a(g6 +V +tp25880 +a(g100 +VaLabel +p25881 +tp25882 +a(g138 +V! ! +p25883 +tp25884 +a(g6 +V\u000a\u000a +p25885 +tp25886 +a(g138 +V! +tp25887 +a(g133 +VObject +p25888 +tp25889 +a(g138 +V methodsFor: +p25890 +tp25891 +a(g236 +V'user interface' +p25892 +tp25893 +a(g138 +V stamp: 'sw 6/12/2001 11:09'! +p25894 +tp25895 +a(g6 +V\u000a +tp25896 +a(g48 +VlaunchPartVia: +p25897 +tp25898 +a(g6 +V +tp25899 +a(g100 +VaSelector +p25900 +tp25901 +a(g6 +V\u000a +p25902 +tp25903 +a(g24 +V"Obtain a morph by sending aSelector to self, and attach it to the morphic hand. This provides a general protocol for parts bins" +p25904 +tp25905 +a(g6 +V\u000a\u000a +p25906 +tp25907 +a(g353 +V| +tp25908 +a(g100 +V aMorph +p25909 +tp25910 +a(g353 +V| +tp25911 +a(g6 +V\u000a +p25912 +tp25913 +a(g100 +VaMorph +p25914 +tp25915 +a(g6 +V +tp25916 +a(g353 +V_ +tp25917 +a(g6 +V +tp25918 +a(g87 +Vself +p25919 +tp25920 +a(g6 +V +tp25921 +a(g48 +Vperform: +p25922 +tp25923 +a(g6 +V +tp25924 +a(g100 +VaSelector +p25925 +tp25926 +a(g212 +V. +tp25927 +a(g6 +V\u000a +p25928 +tp25929 +a(g100 +VaMorph +p25930 +tp25931 +a(g6 +V +tp25932 +a(g48 +VsetProperty: +p25933 +tp25934 +a(g6 +V +tp25935 +a(g258 +V#beFullyVisibleAfterDrop +p25936 +tp25937 +a(g6 +V +tp25938 +a(g48 +VtoValue: +p25939 +tp25940 +a(g6 +V +tp25941 +a(g87 +Vtrue +p25942 +tp25943 +a(g212 +V. +tp25944 +a(g6 +V\u000a +p25945 +tp25946 +a(g100 +VaMorph +p25947 +tp25948 +a(g6 +V +tp25949 +a(g48 +VopenInHand +p25950 +tp25951 +a(g138 +V! ! +p25952 +tp25953 +a(g6 +V\u000a\u000a +p25954 +tp25955 +a(g138 +V! +tp25956 +a(g133 +VObject +p25957 +tp25958 +a(g138 +V methodsFor: +p25959 +tp25960 +a(g236 +V'user interface' +p25961 +tp25962 +a(g138 +V stamp: 'sw 6/17/2004 01:47'! +p25963 +tp25964 +a(g6 +V\u000a +tp25965 +a(g48 +VlaunchPartVia: +p25966 +tp25967 +a(g6 +V +tp25968 +a(g100 +VaSelector +p25969 +tp25970 +a(g6 +V +tp25971 +a(g48 +Vlabel: +p25972 +tp25973 +a(g6 +V +tp25974 +a(g100 +VaString +p25975 +tp25976 +a(g6 +V\u000a +p25977 +tp25978 +a(g24 +V"Obtain a morph by sending aSelector to self, and attach it to the morphic hand. This provides a general protocol for parts bins" +p25979 +tp25980 +a(g6 +V\u000a\u000a +p25981 +tp25982 +a(g353 +V| +tp25983 +a(g100 +V aMorph +p25984 +tp25985 +a(g353 +V| +tp25986 +a(g6 +V\u000a +p25987 +tp25988 +a(g100 +VaMorph +p25989 +tp25990 +a(g6 +V +tp25991 +a(g353 +V_ +tp25992 +a(g6 +V +tp25993 +a(g87 +Vself +p25994 +tp25995 +a(g6 +V +tp25996 +a(g48 +Vperform: +p25997 +tp25998 +a(g6 +V +tp25999 +a(g100 +VaSelector +p26000 +tp26001 +a(g212 +V. +tp26002 +a(g6 +V\u000a +p26003 +tp26004 +a(g100 +VaMorph +p26005 +tp26006 +a(g6 +V +tp26007 +a(g48 +VsetNameTo: +p26008 +tp26009 +a(g6 +V +tp26010 +a(g6 +V( +tp26011 +a(g133 +VActiveWorld +p26012 +tp26013 +a(g6 +V +tp26014 +a(g48 +VunusedMorphNameLike: +p26015 +tp26016 +a(g6 +V +tp26017 +a(g100 +VaString +p26018 +tp26019 +a(g6 +V) +tp26020 +a(g212 +V. +tp26021 +a(g6 +V\u000a +p26022 +tp26023 +a(g100 +VaMorph +p26024 +tp26025 +a(g6 +V +tp26026 +a(g48 +VsetProperty: +p26027 +tp26028 +a(g6 +V +tp26029 +a(g258 +V#beFullyVisibleAfterDrop +p26030 +tp26031 +a(g6 +V +tp26032 +a(g48 +VtoValue: +p26033 +tp26034 +a(g6 +V +tp26035 +a(g87 +Vtrue +p26036 +tp26037 +a(g212 +V. +tp26038 +a(g6 +V\u000a +p26039 +tp26040 +a(g100 +VaMorph +p26041 +tp26042 +a(g6 +V +tp26043 +a(g48 +VopenInHand +p26044 +tp26045 +a(g138 +V! ! +p26046 +tp26047 +a(g6 +V\u000a\u000a +p26048 +tp26049 +a(g138 +V! +tp26050 +a(g133 +VObject +p26051 +tp26052 +a(g138 +V methodsFor: +p26053 +tp26054 +a(g236 +V'user interface' +p26055 +tp26056 +a(g138 +V stamp: 'sw 10/16/2000 11:11'! +p26057 +tp26058 +a(g6 +V\u000a +tp26059 +a(g48 +VlaunchTileToRefer +p26060 +tp26061 +a(g6 +V\u000a +p26062 +tp26063 +a(g24 +V"Create a tile to reference the receiver, and attach it to the hand" +p26064 +tp26065 +a(g6 +V\u000a\u000a +p26066 +tp26067 +a(g87 +Vself +p26068 +tp26069 +a(g6 +V +tp26070 +a(g48 +VcurrentHand +p26071 +tp26072 +a(g6 +V +tp26073 +a(g48 +VattachMorph: +p26074 +tp26075 +a(g6 +V +tp26076 +a(g87 +Vself +p26077 +tp26078 +a(g6 +V +tp26079 +a(g48 +VtileToRefer +p26080 +tp26081 +a(g138 +V! ! +p26082 +tp26083 +a(g6 +V\u000a\u000a +p26084 +tp26085 +a(g138 +V! +tp26086 +a(g133 +VObject +p26087 +tp26088 +a(g138 +V methodsFor: +p26089 +tp26090 +a(g236 +V'user interface' +p26091 +tp26092 +a(g138 +V stamp: 'di 5/11/1999 22:26'! +p26093 +tp26094 +a(g6 +V\u000a +tp26095 +a(g48 +VmodelSleep +p26096 +tp26097 +a(g6 +V\u000a +p26098 +tp26099 +a(g24 +V"A window with me as model is being exited or collapsed or closed.\u000a Default response is no-op" +p26100 +tp26101 +a(g6 +V +tp26102 +a(g138 +V! ! +p26103 +tp26104 +a(g6 +V\u000a\u000a +p26105 +tp26106 +a(g138 +V! +tp26107 +a(g133 +VObject +p26108 +tp26109 +a(g138 +V methodsFor: +p26110 +tp26111 +a(g236 +V'user interface' +p26112 +tp26113 +a(g138 +V stamp: 'di 5/11/1999 22:01'! +p26114 +tp26115 +a(g6 +V\u000a +tp26116 +a(g48 +VmodelWakeUp +p26117 +tp26118 +a(g6 +V\u000a +p26119 +tp26120 +a(g24 +V"A window with me as model is being entered or expanded. Default response is no-op" +p26121 +tp26122 +a(g6 +V +tp26123 +a(g138 +V! ! +p26124 +tp26125 +a(g6 +V\u000a\u000a +p26126 +tp26127 +a(g138 +V! +tp26128 +a(g133 +VObject +p26129 +tp26130 +a(g138 +V methodsFor: +p26131 +tp26132 +a(g236 +V'user interface' +p26133 +tp26134 +a(g138 +V stamp: 'sw 10/16/1999 22:45'! +p26135 +tp26136 +a(g6 +V\u000a +tp26137 +a(g48 +VmodelWakeUpIn: +p26138 +tp26139 +a(g6 +V +tp26140 +a(g100 +VaWindow +p26141 +tp26142 +a(g6 +V\u000a +p26143 +tp26144 +a(g24 +V"A window with me as model is being entered or expanded. Default response is no-op" +p26145 +tp26146 +a(g6 +V \u000a +p26147 +tp26148 +a(g87 +Vself +p26149 +tp26150 +a(g6 +V +tp26151 +a(g48 +VmodelWakeUp +p26152 +tp26153 +a(g138 +V! ! +p26154 +tp26155 +a(g6 +V\u000a\u000a +p26156 +tp26157 +a(g138 +V! +tp26158 +a(g133 +VObject +p26159 +tp26160 +a(g138 +V methodsFor: +p26161 +tp26162 +a(g236 +V'user interface' +p26163 +tp26164 +a(g138 +V stamp: 'sw 3/8/1999 15:27'! +p26165 +tp26166 +a(g6 +V\u000a +tp26167 +a(g48 +VmouseUpBalk: +p26168 +tp26169 +a(g6 +V +tp26170 +a(g100 +Vevt +p26171 +tp26172 +a(g6 +V\u000a +p26173 +tp26174 +a(g24 +V"A button I own got a mouseDown, but the user moved out before letting up. Certain kinds of objects (so-called 'radio buttons', for example, and other structures that must always have some selection, e.g. PaintBoxMorph) wish to take special action in this case; this default does nothing." +p26175 +tp26176 +a(g6 +V\u000a +tp26177 +a(g138 +V! ! +p26178 +tp26179 +a(g6 +V\u000a\u000a +p26180 +tp26181 +a(g138 +V! +tp26182 +a(g133 +VObject +p26183 +tp26184 +a(g138 +V methodsFor: +p26185 +tp26186 +a(g236 +V'user interface' +p26187 +tp26188 +a(g138 +V stamp: 'sw 8/22/97 13:14'! +p26189 +tp26190 +a(g6 +V\u000a +tp26191 +a(g48 +VnewTileMorphRepresentative +p26192 +tp26193 +a(g6 +V\u000a +p26194 +tp26195 +a(g353 +V^ +tp26196 +a(g6 +V +tp26197 +a(g133 +VTileMorph +p26198 +tp26199 +a(g6 +V +tp26200 +a(g84 +Vnew +p26201 +tp26202 +a(g6 +V +tp26203 +a(g48 +VsetLiteral: +p26204 +tp26205 +a(g6 +V +tp26206 +a(g87 +Vself +p26207 +tp26208 +a(g138 +V! ! +p26209 +tp26210 +a(g6 +V\u000a\u000a +p26211 +tp26212 +a(g138 +V! +tp26213 +a(g133 +VObject +p26214 +tp26215 +a(g138 +V methodsFor: +p26216 +tp26217 +a(g236 +V'user interface' +p26218 +tp26219 +a(g138 +V stamp: 'jcg 11/1/2001 13:13'! +p26220 +tp26221 +a(g6 +V\u000a +tp26222 +a(g48 +VnotYetImplemented +p26223 +tp26224 +a(g6 +V\u000a +p26225 +tp26226 +a(g87 +Vself +p26227 +tp26228 +a(g6 +V +tp26229 +a(g48 +Vinform: +p26230 +tp26231 +a(g6 +V +tp26232 +a(g236 +V'Not yet implemented (' +p26233 +tp26234 +a(g48 +V, +tp26235 +a(g6 +V +tp26236 +a(g87 +VthisContext +p26237 +tp26238 +a(g6 +V +tp26239 +a(g48 +Vsender +p26240 +tp26241 +a(g6 +V +tp26242 +a(g48 +VprintString +p26243 +tp26244 +a(g48 +V, +tp26245 +a(g6 +V +tp26246 +a(g236 +V')' +p26247 +tp26248 +a(g138 +V! ! +p26249 +tp26250 +a(g6 +V\u000a\u000a +p26251 +tp26252 +a(g138 +V! +tp26253 +a(g133 +VObject +p26254 +tp26255 +a(g138 +V methodsFor: +p26256 +tp26257 +a(g236 +V'user interface' +p26258 +tp26259 +a(g138 +V stamp: 'di 6/10/1998 15:06'! +p26260 +tp26261 +a(g6 +V\u000a +tp26262 +a(g48 +VwindowReqNewLabel: +p26263 +tp26264 +a(g6 +V +tp26265 +a(g100 +VlabelString +p26266 +tp26267 +a(g6 +V\u000a +p26268 +tp26269 +a(g24 +V"My window's title has been edited.\u000a Return true if this is OK, and override for further behavior." +p26270 +tp26271 +a(g6 +V\u000a\u000a +p26272 +tp26273 +a(g353 +V^ +tp26274 +a(g6 +V +tp26275 +a(g87 +Vtrue +p26276 +tp26277 +a(g138 +V! ! +p26278 +tp26279 +a(g6 +V\u000a\u000a\u000a +p26280 +tp26281 +a(g138 +V! +tp26282 +a(g133 +VObject +p26283 +tp26284 +a(g138 +V methodsFor: +p26285 +tp26286 +a(g236 +V'viewer' +p26287 +tp26288 +a(g138 +V stamp: 'sw 10/16/2000 10:35'! +p26289 +tp26290 +a(g6 +V\u000a +tp26291 +a(g48 +VassureUniClass +p26292 +tp26293 +a(g6 +V\u000a +p26294 +tp26295 +a(g24 +V"If the receiver is not yet an instance of a uniclass, create a uniclass for it and make the receiver become an instance of that class." +p26296 +tp26297 +a(g6 +V\u000a\u000a +p26298 +tp26299 +a(g353 +V| +tp26300 +a(g100 +V anInstance +p26301 +tp26302 +a(g353 +V| +tp26303 +a(g6 +V\u000a +p26304 +tp26305 +a(g87 +Vself +p26306 +tp26307 +a(g6 +V +tp26308 +a(g48 +VbelongsToUniClass +p26309 +tp26310 +a(g6 +V +tp26311 +a(g84 +VifTrue: +p26312 +tp26313 +a(g6 +V +tp26314 +a(g6 +V[ +tp26315 +a(g6 +V +tp26316 +a(g353 +V^ +tp26317 +a(g6 +V +tp26318 +a(g87 +Vself +p26319 +tp26320 +a(g6 +V] +tp26321 +a(g212 +V. +tp26322 +a(g6 +V\u000a +p26323 +tp26324 +a(g100 +VanInstance +p26325 +tp26326 +a(g6 +V +tp26327 +a(g353 +V_ +tp26328 +a(g6 +V +tp26329 +a(g87 +Vself +p26330 +tp26331 +a(g6 +V +tp26332 +a(g48 +Vclass +p26333 +tp26334 +a(g6 +V +tp26335 +a(g48 +VinstanceOfUniqueClass +p26336 +tp26337 +a(g212 +V. +tp26338 +a(g6 +V\u000a +p26339 +tp26340 +a(g87 +Vself +p26341 +tp26342 +a(g6 +V +tp26343 +a(g48 +Vbecome: +p26344 +tp26345 +a(g6 +V +tp26346 +a(g6 +V( +tp26347 +a(g87 +Vself +p26348 +tp26349 +a(g6 +V +tp26350 +a(g48 +Vas: +p26351 +tp26352 +a(g6 +V +tp26353 +a(g100 +VanInstance +p26354 +tp26355 +a(g6 +V +tp26356 +a(g48 +Vclass +p26357 +tp26358 +a(g6 +V) +tp26359 +a(g212 +V. +tp26360 +a(g6 +V\u000a +p26361 +tp26362 +a(g353 +V^ +tp26363 +a(g6 +V +tp26364 +a(g100 +VanInstance +p26365 +tp26366 +a(g138 +V! ! +p26367 +tp26368 +a(g6 +V\u000a\u000a +p26369 +tp26370 +a(g138 +V! +tp26371 +a(g133 +VObject +p26372 +tp26373 +a(g138 +V methodsFor: +p26374 +tp26375 +a(g236 +V'viewer' +p26376 +tp26377 +a(g138 +V stamp: 'sw 10/16/2000 10:41'! +p26378 +tp26379 +a(g6 +V\u000a +tp26380 +a(g48 +VbelongsToUniClass +p26381 +tp26382 +a(g6 +V\u000a +p26383 +tp26384 +a(g24 +V"Answer whether the receiver belongs to a uniclass. For the moment (this is not entirely satisfactory) this is precisely equated with the classname ending in a digit" +p26385 +tp26386 +a(g6 +V\u000a\u000a +p26387 +tp26388 +a(g353 +V^ +tp26389 +a(g6 +V +tp26390 +a(g87 +Vself +p26391 +tp26392 +a(g6 +V +tp26393 +a(g48 +Vclass +p26394 +tp26395 +a(g6 +V +tp26396 +a(g48 +Vname +p26397 +tp26398 +a(g6 +V +tp26399 +a(g48 +VendsWithDigit +p26400 +tp26401 +a(g138 +V! ! +p26402 +tp26403 +a(g6 +V\u000a\u000a +p26404 +tp26405 +a(g138 +V! +tp26406 +a(g133 +VObject +p26407 +tp26408 +a(g138 +V methodsFor: +p26409 +tp26410 +a(g236 +V'viewer' +p26411 +tp26412 +a(g138 +V stamp: 'sw 12/11/2000 15:37'! +p26413 +tp26414 +a(g6 +V\u000a +tp26415 +a(g48 +VbrowseOwnClassSubProtocol +p26416 +tp26417 +a(g6 +V\u000a +p26418 +tp26419 +a(g24 +V"Open up a ProtocolBrowser on the subprotocol of the receiver" +p26420 +tp26421 +a(g6 +V\u000a\u000a +p26422 +tp26423 +a(g133 +VProtocolBrowser +p26424 +tp26425 +a(g6 +V +tp26426 +a(g48 +VopenSubProtocolForClass: +p26427 +tp26428 +a(g6 +V +tp26429 +a(g87 +Vself +p26430 +tp26431 +a(g6 +V +tp26432 +a(g48 +Vclass +p26433 +tp26434 +a(g6 +V\u000a +tp26435 +a(g138 +V! ! +p26436 +tp26437 +a(g6 +V\u000a\u000a +p26438 +tp26439 +a(g138 +V! +tp26440 +a(g133 +VObject +p26441 +tp26442 +a(g138 +V methodsFor: +p26443 +tp26444 +a(g236 +V'viewer' +p26445 +tp26446 +a(g138 +V stamp: 'sw 8/4/2001 00:51'! +p26447 +tp26448 +a(g6 +V\u000a +tp26449 +a(g48 +VcategoriesForViewer: +p26450 +tp26451 +a(g6 +V +tp26452 +a(g100 +VaViewer +p26453 +tp26454 +a(g6 +V\u000a +p26455 +tp26456 +a(g24 +V"Answer a list of categories to offer in the given viewer" +p26457 +tp26458 +a(g6 +V\u000a\u000a +p26459 +tp26460 +a(g353 +V^ +tp26461 +a(g6 +V +tp26462 +a(g100 +VaViewer +p26463 +tp26464 +a(g6 +V +tp26465 +a(g48 +VcurrentVocabulary +p26466 +tp26467 +a(g6 +V +tp26468 +a(g48 +VcategoryListForInstance: +p26469 +tp26470 +a(g6 +V +tp26471 +a(g87 +Vself +p26472 +tp26473 +a(g6 +V +tp26474 +a(g48 +VofClass: +p26475 +tp26476 +a(g6 +V +tp26477 +a(g87 +Vself +p26478 +tp26479 +a(g6 +V +tp26480 +a(g48 +Vclass +p26481 +tp26482 +a(g6 +V +tp26483 +a(g48 +VlimitClass: +p26484 +tp26485 +a(g6 +V +tp26486 +a(g100 +VaViewer +p26487 +tp26488 +a(g6 +V +tp26489 +a(g48 +VlimitClass +p26490 +tp26491 +a(g138 +V! ! +p26492 +tp26493 +a(g6 +V\u000a\u000a +p26494 +tp26495 +a(g138 +V! +tp26496 +a(g133 +VObject +p26497 +tp26498 +a(g138 +V methodsFor: +p26499 +tp26500 +a(g236 +V'viewer' +p26501 +tp26502 +a(g138 +V stamp: 'sw 8/3/2001 22:08'! +p26503 +tp26504 +a(g6 +V\u000a +tp26505 +a(g48 +VcategoriesForVocabulary: +p26506 +tp26507 +a(g6 +V +tp26508 +a(g100 +VaVocabulary +p26509 +tp26510 +a(g6 +V +tp26511 +a(g48 +VlimitClass: +p26512 +tp26513 +a(g6 +V +tp26514 +a(g100 +VaLimitClass +p26515 +tp26516 +a(g6 +V\u000a +p26517 +tp26518 +a(g24 +V"Answer a list of categories of methods for the receiver when using the given vocabulary, given that one considers only methods that are implemented not further away than aLimitClass" +p26519 +tp26520 +a(g6 +V\u000a\u000a +p26521 +tp26522 +a(g353 +V^ +tp26523 +a(g6 +V +tp26524 +a(g100 +VaVocabulary +p26525 +tp26526 +a(g6 +V +tp26527 +a(g48 +VcategoryListForInstance: +p26528 +tp26529 +a(g6 +V +tp26530 +a(g87 +Vself +p26531 +tp26532 +a(g6 +V +tp26533 +a(g48 +VofClass: +p26534 +tp26535 +a(g6 +V +tp26536 +a(g87 +Vself +p26537 +tp26538 +a(g6 +V +tp26539 +a(g48 +Vclass +p26540 +tp26541 +a(g6 +V +tp26542 +a(g48 +VlimitClass: +p26543 +tp26544 +a(g6 +V +tp26545 +a(g100 +VaLimitClass +p26546 +tp26547 +a(g138 +V! ! +p26548 +tp26549 +a(g6 +V\u000a\u000a +p26550 +tp26551 +a(g138 +V! +tp26552 +a(g133 +VObject +p26553 +tp26554 +a(g138 +V methodsFor: +p26555 +tp26556 +a(g236 +V'viewer' +p26557 +tp26558 +a(g138 +V stamp: 'sw 10/25/2000 07:20'! +p26559 +tp26560 +a(g6 +V\u000a +tp26561 +a(g48 +VchooseNewNameForReference +p26562 +tp26563 +a(g6 +V\u000a +p26564 +tp26565 +a(g24 +V"Offer an opportunity for the receiver, presumed already to be known in the References registry, to be renamed" +p26566 +tp26567 +a(g6 +V\u000a\u000a +p26568 +tp26569 +a(g353 +V| +tp26570 +a(g100 +V nameSym current newName +p26571 +tp26572 +a(g353 +V| +tp26573 +a(g6 +V\u000a +p26574 +tp26575 +a(g100 +Vcurrent +p26576 +tp26577 +a(g6 +V +tp26578 +a(g353 +V_ +tp26579 +a(g6 +V +tp26580 +a(g133 +VReferences +p26581 +tp26582 +a(g6 +V +tp26583 +a(g48 +VkeyAtValue: +p26584 +tp26585 +a(g6 +V +tp26586 +a(g87 +Vself +p26587 +tp26588 +a(g6 +V +tp26589 +a(g48 +VifAbsent: +p26590 +tp26591 +a(g6 +V +tp26592 +a(g6 +V[ +tp26593 +a(g6 +V +tp26594 +a(g353 +V^ +tp26595 +a(g6 +V +tp26596 +a(g87 +Vself +p26597 +tp26598 +a(g6 +V +tp26599 +a(g48 +Verror: +p26600 +tp26601 +a(g6 +V +tp26602 +a(g236 +V'not found in References' +p26603 +tp26604 +a(g6 +V] +tp26605 +a(g212 +V. +tp26606 +a(g6 +V\u000a\u000a +p26607 +tp26608 +a(g100 +VnewName +p26609 +tp26610 +a(g6 +V +tp26611 +a(g353 +V_ +tp26612 +a(g6 +V +tp26613 +a(g133 +VFillInTheBlank +p26614 +tp26615 +a(g6 +V +tp26616 +a(g48 +Vrequest: +p26617 +tp26618 +a(g6 +V +tp26619 +a(g236 +V'Please enter new name' +p26620 +tp26621 +a(g6 +V +tp26622 +a(g48 +VinitialAnswer: +p26623 +tp26624 +a(g6 +V +tp26625 +a(g100 +Vcurrent +p26626 +tp26627 +a(g212 +V. +tp26628 +a(g6 +V\u000a +p26629 +tp26630 +a(g24 +V"Want to user some better way of determining the validity of the chosen identifier, and also want to give more precise diagnostic if the string the user types in is not acceptable. Work to be done here." +p26631 +tp26632 +a(g6 +V\u000a\u000a +p26633 +tp26634 +a(g100 +VnewName +p26635 +tp26636 +a(g6 +V +tp26637 +a(g48 +VisEmpty +p26638 +tp26639 +a(g6 +V +tp26640 +a(g84 +VifTrue: +p26641 +tp26642 +a(g6 +V +tp26643 +a(g6 +V[ +tp26644 +a(g6 +V +tp26645 +a(g353 +V^ +tp26646 +a(g6 +V +tp26647 +a(g87 +Vnil +p26648 +tp26649 +a(g6 +V] +tp26650 +a(g212 +V. +tp26651 +a(g6 +V\u000a +p26652 +tp26653 +a(g6 +V( +tp26654 +a(g6 +V( +tp26655 +a(g133 +VScanner +p26656 +tp26657 +a(g6 +V +tp26658 +a(g48 +VisLiteralSymbol: +p26659 +tp26660 +a(g6 +V +tp26661 +a(g100 +VnewName +p26662 +tp26663 +a(g6 +V) +tp26664 +a(g6 +V +tp26665 +a(g48 +Vand: +p26666 +tp26667 +a(g6 +V +tp26668 +a(g6 +V[ +tp26669 +a(g6 +V +tp26670 +a(g6 +V( +tp26671 +a(g100 +VnewName +p26672 +tp26673 +a(g6 +V +tp26674 +a(g48 +Vincludes: +p26675 +tp26676 +a(g6 +V +tp26677 +a(g278 +V$: +p26678 +tp26679 +a(g6 +V) +tp26680 +a(g6 +V +tp26681 +a(g48 +Vnot +p26682 +tp26683 +a(g6 +V] +tp26684 +a(g6 +V) +tp26685 +a(g6 +V\u000a +p26686 +tp26687 +a(g84 +VifTrue: +p26688 +tp26689 +a(g6 +V\u000a +p26690 +tp26691 +a(g6 +V[ +tp26692 +a(g6 +V +tp26693 +a(g100 +VnameSym +p26694 +tp26695 +a(g6 +V +tp26696 +a(g353 +V_ +tp26697 +a(g6 +V +tp26698 +a(g100 +VnewName +p26699 +tp26700 +a(g6 +V +tp26701 +a(g48 +Vcapitalized +p26702 +tp26703 +a(g6 +V +tp26704 +a(g48 +VasSymbol +p26705 +tp26706 +a(g212 +V. +tp26707 +a(g6 +V\u000a +p26708 +tp26709 +a(g6 +V( +tp26710 +a(g6 +V( +tp26711 +a(g6 +V( +tp26712 +a(g133 +VReferences +p26713 +tp26714 +a(g6 +V +tp26715 +a(g48 +VincludesKey: +p26716 +tp26717 +a(g6 +V +p26718 +tp26719 +a(g100 +VnameSym +p26720 +tp26721 +a(g6 +V) +tp26722 +a(g6 +V +tp26723 +a(g48 +Vnot +p26724 +tp26725 +a(g6 +V +tp26726 +a(g48 +Vand: +p26727 +tp26728 +a(g6 +V\u000a +p26729 +tp26730 +a(g6 +V[ +tp26731 +a(g6 +V +tp26732 +a(g6 +V( +tp26733 +a(g133 +VSmalltalk +p26734 +tp26735 +a(g6 +V +tp26736 +a(g48 +VincludesKey: +p26737 +tp26738 +a(g6 +V +tp26739 +a(g100 +VnameSym +p26740 +tp26741 +a(g6 +V) +tp26742 +a(g6 +V +tp26743 +a(g48 +Vnot +p26744 +tp26745 +a(g6 +V] +tp26746 +a(g6 +V) +tp26747 +a(g6 +V +tp26748 +a(g48 +Vand: +p26749 +tp26750 +a(g6 +V\u000a +p26751 +tp26752 +a(g6 +V[ +tp26753 +a(g6 +V +tp26754 +a(g6 +V( +tp26755 +a(g133 +VScriptingSystem +p26756 +tp26757 +a(g6 +V +tp26758 +a(g48 +VallKnownClassVariableNames +p26759 +tp26760 +a(g6 +V +tp26761 +a(g48 +Vincludes: +p26762 +tp26763 +a(g6 +V +tp26764 +a(g100 +VnameSym +p26765 +tp26766 +a(g6 +V) +tp26767 +a(g6 +V +tp26768 +a(g48 +Vnot +p26769 +tp26770 +a(g6 +V] +tp26771 +a(g6 +V) +tp26772 +a(g6 +V\u000a +p26773 +tp26774 +a(g84 +VifTrue: +p26775 +tp26776 +a(g6 +V\u000a +p26777 +tp26778 +a(g6 +V[ +tp26779 +a(g6 +V +tp26780 +a(g6 +V( +tp26781 +a(g133 +VReferences +p26782 +tp26783 +a(g6 +V +tp26784 +a(g48 +VassociationAt: +p26785 +tp26786 +a(g6 +V +tp26787 +a(g100 +Vcurrent +p26788 +tp26789 +a(g6 +V) +tp26790 +a(g6 +V +tp26791 +a(g48 +Vkey: +p26792 +tp26793 +a(g6 +V +tp26794 +a(g100 +VnameSym +p26795 +tp26796 +a(g212 +V. +tp26797 +a(g6 +V\u000a +p26798 +tp26799 +a(g133 +VReferences +p26800 +tp26801 +a(g6 +V +tp26802 +a(g48 +Vrehash +p26803 +tp26804 +a(g212 +V. +tp26805 +a(g6 +V\u000a +p26806 +tp26807 +a(g353 +V^ +tp26808 +a(g6 +V +tp26809 +a(g100 +VnameSym +p26810 +tp26811 +a(g6 +V] +tp26812 +a(g6 +V] +tp26813 +a(g212 +V. +tp26814 +a(g6 +V\u000a +p26815 +tp26816 +a(g87 +Vself +p26817 +tp26818 +a(g6 +V +tp26819 +a(g48 +Vinform: +p26820 +tp26821 +a(g6 +V +tp26822 +a(g236 +V'Sorry, that name is not available.' +p26823 +tp26824 +a(g212 +V. +tp26825 +a(g6 +V\u000a +p26826 +tp26827 +a(g353 +V^ +tp26828 +a(g6 +V +tp26829 +a(g87 +Vnil +p26830 +tp26831 +a(g138 +V! ! +p26832 +tp26833 +a(g6 +V\u000a\u000a +p26834 +tp26835 +a(g138 +V! +tp26836 +a(g133 +VObject +p26837 +tp26838 +a(g138 +V methodsFor: +p26839 +tp26840 +a(g236 +V'viewer' +p26841 +tp26842 +a(g138 +V stamp: 'sw 8/3/2001 21:22'! +p26843 +tp26844 +a(g6 +V\u000a +tp26845 +a(g48 +VdefaultLimitClassForVocabulary: +p26846 +tp26847 +a(g6 +V +tp26848 +a(g100 +VaVocabulary +p26849 +tp26850 +a(g6 +V\u000a +p26851 +tp26852 +a(g24 +V"Answer the class to use, by default, as the limit class on a protocol browser or viewer opened up on the receiver, within the purview of the Vocabulary provided" +p26853 +tp26854 +a(g6 +V\u000a\u000a +p26855 +tp26856 +a(g353 +V^ +tp26857 +a(g6 +V +tp26858 +a(g6 +V( +tp26859 +a(g100 +VaVocabulary +p26860 +tp26861 +a(g6 +V +tp26862 +a(g48 +VisKindOf: +p26863 +tp26864 +a(g6 +V +tp26865 +a(g133 +VFullVocabulary +p26866 +tp26867 +a(g6 +V) +tp26868 +a(g6 +V\u000a +p26869 +tp26870 +a(g84 +VifTrue: +p26871 +tp26872 +a(g6 +V\u000a +p26873 +tp26874 +a(g6 +V[ +tp26875 +a(g6 +V +tp26876 +a(g87 +Vself +p26877 +tp26878 +a(g6 +V +tp26879 +a(g48 +Vclass +p26880 +tp26881 +a(g6 +V +tp26882 +a(g48 +Vsuperclass +p26883 +tp26884 +a(g6 +V +tp26885 +a(g48 +V== +p26886 +tp26887 +a(g6 +V +tp26888 +a(g133 +VObject +p26889 +tp26890 +a(g6 +V\u000a +p26891 +tp26892 +a(g84 +VifTrue: +p26893 +tp26894 +a(g6 +V\u000a +p26895 +tp26896 +a(g6 +V[ +tp26897 +a(g6 +V +tp26898 +a(g87 +Vself +p26899 +tp26900 +a(g6 +V +tp26901 +a(g48 +Vclass +p26902 +tp26903 +a(g6 +V] +tp26904 +a(g6 +V\u000a +p26905 +tp26906 +a(g84 +VifFalse: +p26907 +tp26908 +a(g6 +V\u000a +p26909 +tp26910 +a(g6 +V[ +tp26911 +a(g6 +V +tp26912 +a(g87 +Vself +p26913 +tp26914 +a(g6 +V +tp26915 +a(g48 +Vclass +p26916 +tp26917 +a(g6 +V +tp26918 +a(g48 +Vsuperclass +p26919 +tp26920 +a(g6 +V] +tp26921 +a(g6 +V] +tp26922 +a(g6 +V\u000a +p26923 +tp26924 +a(g84 +VifFalse: +p26925 +tp26926 +a(g6 +V\u000a +p26927 +tp26928 +a(g6 +V[ +tp26929 +a(g6 +V +tp26930 +a(g133 +VProtoObject +p26931 +tp26932 +a(g6 +V] +tp26933 +a(g138 +V! ! +p26934 +tp26935 +a(g6 +V\u000a\u000a +p26936 +tp26937 +a(g138 +V! +tp26938 +a(g133 +VObject +p26939 +tp26940 +a(g138 +V methodsFor: +p26941 +tp26942 +a(g236 +V'viewer' +p26943 +tp26944 +a(g138 +V stamp: 'sw 2/14/2000 14:24'! +p26945 +tp26946 +a(g6 +V\u000a +tp26947 +a(g48 +VdefaultNameStemForInstances +p26948 +tp26949 +a(g6 +V\u000a +p26950 +tp26951 +a(g24 +V"Answer a basis for names of default instances of the receiver. The default is to let the class specify, but certain instances will want to override. (PasteUpMorphs serving as Worlds come to mind" +p26952 +tp26953 +a(g6 +V\u000a\u000a +p26954 +tp26955 +a(g353 +V^ +tp26956 +a(g6 +V +tp26957 +a(g87 +Vself +p26958 +tp26959 +a(g6 +V +tp26960 +a(g48 +Vclass +p26961 +tp26962 +a(g6 +V +tp26963 +a(g48 +VdefaultNameStemForInstances +p26964 +tp26965 +a(g138 +V! ! +p26966 +tp26967 +a(g6 +V\u000a\u000a +p26968 +tp26969 +a(g138 +V! +tp26970 +a(g133 +VObject +p26971 +tp26972 +a(g138 +V methodsFor: +p26973 +tp26974 +a(g236 +V'viewer' +p26975 +tp26976 +a(g138 +V stamp: 'sw 5/22/2001 16:53'! +p26977 +tp26978 +a(g6 +V\u000a +tp26979 +a(g48 +VelementTypeFor: +p26980 +tp26981 +a(g6 +V +tp26982 +a(g100 +VaStringOrSymbol +p26983 +tp26984 +a(g6 +V +tp26985 +a(g48 +Vvocabulary: +p26986 +tp26987 +a(g6 +V +tp26988 +a(g100 +VaVocabulary +p26989 +tp26990 +a(g6 +V\u000a +p26991 +tp26992 +a(g24 +V"Answer a symbol characterizing what kind of element aStringOrSymbol represents. Realistically, at present, this always just returns #systemScript; a prototyped but not-incorporated architecture supported use of a leading colon to characterize an inst var of a system class, and for the moment we still see its remnant here." +p26993 +tp26994 +a(g6 +V\u000a\u000a +p26995 +tp26996 +a(g87 +Vself +p26997 +tp26998 +a(g6 +V +tp26999 +a(g48 +Vflag: +p27000 +tp27001 +a(g6 +V +tp27002 +a(g258 +V#deferred +p27003 +tp27004 +a(g212 +V. +tp27005 +a(g6 +V +p27006 +tp27007 +a(g24 +V"a loose end in the non-player case" +p27008 +tp27009 +a(g6 +V\u000a +p27010 +tp27011 +a(g353 +V^ +tp27012 +a(g6 +V +tp27013 +a(g258 +V#systemScript +p27014 +tp27015 +a(g138 +V! ! +p27016 +tp27017 +a(g6 +V\u000a\u000a +p27018 +tp27019 +a(g138 +V! +tp27020 +a(g133 +VObject +p27021 +tp27022 +a(g138 +V methodsFor: +p27023 +tp27024 +a(g236 +V'viewer' +p27025 +tp27026 +a(g138 +V stamp: 'sw 5/4/2001 07:04'! +p27027 +tp27028 +a(g6 +V\u000a +tp27029 +a(g48 +VexternalName +p27030 +tp27031 +a(g6 +V\u000a +p27032 +tp27033 +a(g24 +V"Answer an external name by which the receiver is known. Generic implementation here is a transitional backstop. probably" +p27034 +tp27035 +a(g6 +V\u000a\u000a +p27036 +tp27037 +a(g353 +V^ +tp27038 +a(g6 +V +tp27039 +a(g87 +Vself +p27040 +tp27041 +a(g6 +V +tp27042 +a(g48 +VnameForViewer +p27043 +tp27044 +a(g138 +V! ! +p27045 +tp27046 +a(g6 +V\u000a\u000a +p27047 +tp27048 +a(g138 +V! +tp27049 +a(g133 +VObject +p27050 +tp27051 +a(g138 +V methodsFor: +p27052 +tp27053 +a(g236 +V'viewer' +p27054 +tp27055 +a(g138 +V stamp: 'sw 5/4/2001 07:06'! +p27056 +tp27057 +a(g6 +V\u000a +tp27058 +a(g48 +VgraphicForViewerTab +p27059 +tp27060 +a(g6 +V\u000a +p27061 +tp27062 +a(g24 +V"When a Viewer is open on the receiver, its tab needs some graphic to show to the user. Answer a form or a morph to serve that purpose. A generic image is used for arbitrary objects, but note my reimplementors" +p27063 +tp27064 +a(g6 +V\u000a \u000a +p27065 +tp27066 +a(g353 +V^ +tp27067 +a(g6 +V +tp27068 +a(g133 +VScriptingSystem +p27069 +tp27070 +a(g6 +V +tp27071 +a(g48 +VformAtKey: +p27072 +tp27073 +a(g6 +V +tp27074 +a(g236 +V'Image' +p27075 +tp27076 +a(g138 +V! ! +p27077 +tp27078 +a(g6 +V\u000a\u000a +p27079 +tp27080 +a(g138 +V! +tp27081 +a(g133 +VObject +p27082 +tp27083 +a(g138 +V methodsFor: +p27084 +tp27085 +a(g236 +V'viewer' +p27086 +tp27087 +a(g138 +V stamp: 'sw 5/4/2001 07:08'! +p27088 +tp27089 +a(g6 +V\u000a +tp27090 +a(g48 +VhasUserDefinedSlots +p27091 +tp27092 +a(g6 +V\u000a +p27093 +tp27094 +a(g24 +V"Answer whether the receiver has any user-defined slots, in the omniuser sense of the term. This is needed to allow Viewers to look at any object, not just at Players." +p27095 +tp27096 +a(g6 +V\u000a\u000a +p27097 +tp27098 +a(g353 +V^ +tp27099 +a(g6 +V +tp27100 +a(g87 +Vfalse +p27101 +tp27102 +a(g138 +V! ! +p27103 +tp27104 +a(g6 +V\u000a\u000a +p27105 +tp27106 +a(g138 +V! +tp27107 +a(g133 +VObject +p27108 +tp27109 +a(g138 +V methodsFor: +p27110 +tp27111 +a(g236 +V'viewer' +p27112 +tp27113 +a(g138 +V stamp: 'sw 8/22/2002 14:07'! +p27114 +tp27115 +a(g6 +V\u000a +tp27116 +a(g48 +VinfoFor: +p27117 +tp27118 +a(g6 +V +tp27119 +a(g100 +VanElement +p27120 +tp27121 +a(g6 +V +tp27122 +a(g48 +VinViewer: +p27123 +tp27124 +a(g6 +V +tp27125 +a(g100 +VaViewer +p27126 +tp27127 +a(g6 +V\u000a +p27128 +tp27129 +a(g24 +V"The user made a gesture asking for info/menu relating to me. Some of the messages dispatched here are not yet available in this image" +p27130 +tp27131 +a(g6 +V\u000a\u000a +p27132 +tp27133 +a(g353 +V| +tp27134 +a(g100 +V aMenu elementType +p27135 +tp27136 +a(g353 +V| +tp27137 +a(g6 +V\u000a +p27138 +tp27139 +a(g100 +VelementType +p27140 +tp27141 +a(g6 +V +tp27142 +a(g353 +V_ +tp27143 +a(g6 +V +tp27144 +a(g87 +Vself +p27145 +tp27146 +a(g6 +V +tp27147 +a(g48 +VelementTypeFor: +p27148 +tp27149 +a(g6 +V +tp27150 +a(g100 +VanElement +p27151 +tp27152 +a(g6 +V +tp27153 +a(g48 +Vvocabulary: +p27154 +tp27155 +a(g6 +V +tp27156 +a(g100 +VaViewer +p27157 +tp27158 +a(g6 +V +tp27159 +a(g48 +VcurrentVocabulary +p27160 +tp27161 +a(g212 +V. +tp27162 +a(g6 +V\u000a +p27163 +tp27164 +a(g6 +V( +tp27165 +a(g6 +V( +tp27166 +a(g100 +VelementType +p27167 +tp27168 +a(g6 +V +tp27169 +a(g48 +V= +tp27170 +a(g6 +V +tp27171 +a(g258 +V#systemSlot +p27172 +tp27173 +a(g6 +V) +tp27174 +a(g6 +V +tp27175 +a(g48 +V| +tp27176 +a(g6 +V +tp27177 +a(g6 +V( +tp27178 +a(g100 +VelementType +p27179 +tp27180 +a(g6 +V +tp27181 +a(g48 +V== +p27182 +tp27183 +a(g6 +V +tp27184 +a(g258 +V#userSlot +p27185 +tp27186 +a(g6 +V) +tp27187 +a(g6 +V) +tp27188 +a(g6 +V\u000a +p27189 +tp27190 +a(g84 +VifTrue: +p27191 +tp27192 +a(g6 +V +tp27193 +a(g6 +V[ +tp27194 +a(g6 +V +tp27195 +a(g353 +V^ +tp27196 +a(g6 +V +tp27197 +a(g87 +Vself +p27198 +tp27199 +a(g6 +V +tp27200 +a(g48 +VslotInfoButtonHitFor: +p27201 +tp27202 +a(g6 +V +tp27203 +a(g100 +VanElement +p27204 +tp27205 +a(g6 +V +tp27206 +a(g48 +VinViewer: +p27207 +tp27208 +a(g6 +V +tp27209 +a(g100 +VaViewer +p27210 +tp27211 +a(g6 +V] +tp27212 +a(g212 +V. +tp27213 +a(g6 +V\u000a +p27214 +tp27215 +a(g87 +Vself +p27216 +tp27217 +a(g6 +V +tp27218 +a(g48 +Vflag: +p27219 +tp27220 +a(g6 +V +tp27221 +a(g258 +V#deferred +p27222 +tp27223 +a(g212 +V. +tp27224 +a(g6 +V +p27225 +tp27226 +a(g24 +V"Use a traditional MenuMorph, and reinstate the pacify thing" +p27227 +tp27228 +a(g6 +V\u000a +p27229 +tp27230 +a(g100 +VaMenu +p27231 +tp27232 +a(g6 +V +tp27233 +a(g353 +V_ +tp27234 +a(g6 +V +tp27235 +a(g133 +VMenuMorph +p27236 +tp27237 +a(g6 +V +tp27238 +a(g84 +Vnew +p27239 +tp27240 +a(g6 +V +tp27241 +a(g48 +VdefaultTarget: +p27242 +tp27243 +a(g6 +V +tp27244 +a(g100 +VaViewer +p27245 +tp27246 +a(g212 +V. +tp27247 +a(g6 +V\u000a +p27248 +tp27249 +a(g258 +V#( +p27250 +tp27251 +a(g6 +V +tp27252 +a(g258 +V( +tp27253 +a(g236 +V'implementors' +p27254 +tp27255 +a(g6 +V +p27256 +tp27257 +a(g258 +VbrowseImplementorsOf: +p27258 +tp27259 +a(g258 +V) +tp27260 +a(g6 +V\u000a +p27261 +tp27262 +a(g258 +V( +tp27263 +a(g236 +V'senders' +p27264 +tp27265 +a(g6 +V +p27266 +tp27267 +a(g258 +VbrowseSendersOf: +p27268 +tp27269 +a(g258 +V) +tp27270 +a(g6 +V\u000a +p27271 +tp27272 +a(g258 +V( +tp27273 +a(g236 +V'versions' +p27274 +tp27275 +a(g6 +V +p27276 +tp27277 +a(g258 +VbrowseVersionsOf: +p27278 +tp27279 +a(g258 +V) +tp27280 +a(g6 +V\u000a +p27281 +tp27282 +a(g258 +V- +tp27283 +a(g6 +V\u000a +p27284 +tp27285 +a(g258 +V( +tp27286 +a(g236 +V'browse full' +p27287 +tp27288 +a(g6 +V +p27289 +tp27290 +a(g258 +VbrowseMethodFull: +p27291 +tp27292 +a(g258 +V) +tp27293 +a(g6 +V\u000a +p27294 +tp27295 +a(g258 +V( +tp27296 +a(g236 +V'inheritance' +p27297 +tp27298 +a(g6 +V +p27299 +tp27300 +a(g258 +VbrowseMethodInheritance: +p27301 +tp27302 +a(g258 +V) +tp27303 +a(g6 +V\u000a +p27304 +tp27305 +a(g258 +V- +tp27306 +a(g6 +V\u000a +p27307 +tp27308 +a(g258 +V( +tp27309 +a(g236 +V'about this method' +p27310 +tp27311 +a(g6 +V +p27312 +tp27313 +a(g258 +VaboutMethod: +p27314 +tp27315 +a(g258 +V) +tp27316 +a(g258 +V) +tp27317 +a(g6 +V +tp27318 +a(g48 +Vdo: +p27319 +tp27320 +a(g6 +V\u000a\u000a +p27321 +tp27322 +a(g6 +V[ +tp27323 +a(g353 +V: +tp27324 +a(g100 +Vpair +p27325 +tp27326 +a(g6 +V +tp27327 +a(g353 +V| +tp27328 +a(g6 +V\u000a +p27329 +tp27330 +a(g100 +Vpair +p27331 +tp27332 +a(g6 +V +tp27333 +a(g48 +V= +tp27334 +a(g6 +V +tp27335 +a(g236 +V'-' +p27336 +tp27337 +a(g6 +V\u000a +p27338 +tp27339 +a(g84 +VifTrue: +p27340 +tp27341 +a(g6 +V\u000a +p27342 +tp27343 +a(g6 +V[ +tp27344 +a(g6 +V +tp27345 +a(g100 +VaMenu +p27346 +tp27347 +a(g6 +V +tp27348 +a(g48 +VaddLine +p27349 +tp27350 +a(g6 +V] +tp27351 +a(g6 +V\u000a +p27352 +tp27353 +a(g84 +VifFalse: +p27354 +tp27355 +a(g6 +V\u000a +p27356 +tp27357 +a(g6 +V[ +tp27358 +a(g6 +V +tp27359 +a(g100 +VaMenu +p27360 +tp27361 +a(g6 +V +tp27362 +a(g48 +Vadd: +p27363 +tp27364 +a(g6 +V +tp27365 +a(g100 +Vpair +p27366 +tp27367 +a(g6 +V +tp27368 +a(g48 +Vfirst +p27369 +tp27370 +a(g6 +V +tp27371 +a(g48 +Vtarget: +p27372 +tp27373 +a(g6 +V +tp27374 +a(g100 +VaViewer +p27375 +tp27376 +a(g6 +V +tp27377 +a(g48 +Vselector: +p27378 +tp27379 +a(g6 +V +tp27380 +a(g100 +Vpair +p27381 +tp27382 +a(g6 +V +tp27383 +a(g48 +Vsecond +p27384 +tp27385 +a(g6 +V +tp27386 +a(g48 +Vargument: +p27387 +tp27388 +a(g6 +V +tp27389 +a(g100 +VanElement +p27390 +tp27391 +a(g6 +V] +tp27392 +a(g6 +V] +tp27393 +a(g212 +V. +tp27394 +a(g6 +V\u000a +p27395 +tp27396 +a(g100 +VaMenu +p27397 +tp27398 +a(g6 +V +tp27399 +a(g48 +VaddLine +p27400 +tp27401 +a(g212 +V. +tp27402 +a(g6 +V\u000a +p27403 +tp27404 +a(g100 +VaMenu +p27405 +tp27406 +a(g6 +V +tp27407 +a(g48 +VdefaultTarget: +p27408 +tp27409 +a(g6 +V +tp27410 +a(g87 +Vself +p27411 +tp27412 +a(g212 +V. +tp27413 +a(g6 +V\u000a +p27414 +tp27415 +a(g258 +V#( +p27416 +tp27417 +a(g6 +V +tp27418 +a(g258 +V( +tp27419 +a(g236 +V'destroy script' +p27420 +tp27421 +a(g6 +V +p27422 +tp27423 +a(g258 +VremoveScript: +p27424 +tp27425 +a(g258 +V) +tp27426 +a(g6 +V\u000a +p27427 +tp27428 +a(g258 +V( +tp27429 +a(g236 +V'rename script' +p27430 +tp27431 +a(g6 +V +p27432 +tp27433 +a(g258 +VrenameScript: +p27434 +tp27435 +a(g258 +V) +tp27436 +a(g6 +V\u000a +p27437 +tp27438 +a(g258 +V( +tp27439 +a(g236 +V'pacify script' +p27440 +tp27441 +a(g6 +V +p27442 +tp27443 +a(g258 +VpacifyScript: +p27444 +tp27445 +a(g258 +V) +tp27446 +a(g258 +V) +tp27447 +a(g6 +V +tp27448 +a(g48 +Vdo: +p27449 +tp27450 +a(g6 +V\u000a +p27451 +tp27452 +a(g6 +V[ +tp27453 +a(g353 +V: +tp27454 +a(g100 +Vpair +p27455 +tp27456 +a(g6 +V +tp27457 +a(g353 +V| +tp27458 +a(g6 +V\u000a +p27459 +tp27460 +a(g100 +VaMenu +p27461 +tp27462 +a(g6 +V +tp27463 +a(g48 +Vadd: +p27464 +tp27465 +a(g6 +V +tp27466 +a(g100 +Vpair +p27467 +tp27468 +a(g6 +V +tp27469 +a(g48 +Vfirst +p27470 +tp27471 +a(g6 +V +tp27472 +a(g48 +Vtarget: +p27473 +tp27474 +a(g6 +V +tp27475 +a(g87 +Vself +p27476 +tp27477 +a(g6 +V +tp27478 +a(g48 +Vselector: +p27479 +tp27480 +a(g6 +V +tp27481 +a(g100 +Vpair +p27482 +tp27483 +a(g6 +V +tp27484 +a(g48 +Vsecond +p27485 +tp27486 +a(g6 +V +tp27487 +a(g48 +Vargument: +p27488 +tp27489 +a(g6 +V +tp27490 +a(g100 +VanElement +p27491 +tp27492 +a(g6 +V] +tp27493 +a(g212 +V. +tp27494 +a(g6 +V\u000a\u000a +p27495 +tp27496 +a(g100 +VaMenu +p27497 +tp27498 +a(g6 +V +tp27499 +a(g48 +VaddLine +p27500 +tp27501 +a(g212 +V. +tp27502 +a(g6 +V\u000a +p27503 +tp27504 +a(g100 +VaMenu +p27505 +tp27506 +a(g6 +V +p27507 +tp27508 +a(g48 +Vadd: +p27509 +tp27510 +a(g6 +V +tp27511 +a(g236 +V'show categories....' +p27512 +tp27513 +a(g6 +V +tp27514 +a(g48 +Vtarget: +p27515 +tp27516 +a(g6 +V +tp27517 +a(g100 +VaViewer +p27518 +tp27519 +a(g6 +V +tp27520 +a(g48 +Vselector: +p27521 +tp27522 +a(g6 +V +tp27523 +a(g258 +V#showCategoriesFor: +p27524 +tp27525 +a(g6 +V +tp27526 +a(g48 +Vargument: +p27527 +tp27528 +a(g6 +V +tp27529 +a(g100 +VanElement +p27530 +tp27531 +a(g212 +V. +tp27532 +a(g6 +V\u000a +p27533 +tp27534 +a(g100 +VaMenu +p27535 +tp27536 +a(g6 +V +tp27537 +a(g48 +Vitems +p27538 +tp27539 +a(g6 +V +tp27540 +a(g48 +Vsize +p27541 +tp27542 +a(g6 +V +tp27543 +a(g48 +V== +p27544 +tp27545 +a(g6 +V +tp27546 +a(g223 +V0 +tp27547 +a(g6 +V +tp27548 +a(g84 +VifTrue: +p27549 +tp27550 +a(g6 +V +p27551 +tp27552 +a(g24 +V"won't happen at the moment a/c the above" +p27553 +tp27554 +a(g6 +V\u000a +p27555 +tp27556 +a(g6 +V[ +tp27557 +a(g6 +V +tp27558 +a(g100 +VaMenu +p27559 +tp27560 +a(g6 +V +tp27561 +a(g48 +Vadd: +p27562 +tp27563 +a(g6 +V +tp27564 +a(g236 +V'ok' +p27565 +tp27566 +a(g6 +V +tp27567 +a(g48 +Vaction: +p27568 +tp27569 +a(g6 +V +tp27570 +a(g87 +Vnil +p27571 +tp27572 +a(g6 +V] +tp27573 +a(g212 +V. +tp27574 +a(g6 +V +p27575 +tp27576 +a(g24 +V"in case it was a slot -- weird, transitional" +p27577 +tp27578 +a(g6 +V\u000a\u000a +p27579 +tp27580 +a(g100 +VaMenu +p27581 +tp27582 +a(g6 +V +tp27583 +a(g48 +VaddTitle: +p27584 +tp27585 +a(g6 +V +tp27586 +a(g100 +VanElement +p27587 +tp27588 +a(g6 +V +tp27589 +a(g48 +VasString +p27590 +tp27591 +a(g48 +V, +tp27592 +a(g6 +V +tp27593 +a(g236 +V' (' +p27594 +tp27595 +a(g48 +V, +tp27596 +a(g6 +V +tp27597 +a(g100 +VelementType +p27598 +tp27599 +a(g48 +V, +tp27600 +a(g6 +V +tp27601 +a(g236 +V')' +p27602 +tp27603 +a(g212 +V. +tp27604 +a(g6 +V\u000a\u000a +p27605 +tp27606 +a(g100 +VaMenu +p27607 +tp27608 +a(g6 +V +tp27609 +a(g48 +VpopUpInWorld: +p27610 +tp27611 +a(g6 +V +tp27612 +a(g87 +Vself +p27613 +tp27614 +a(g6 +V +tp27615 +a(g48 +VcurrentWorld +p27616 +tp27617 +a(g212 +V. +tp27618 +a(g6 +V\u000a +p27619 +tp27620 +a(g138 +V! ! +p27621 +tp27622 +a(g6 +V\u000a\u000a +p27623 +tp27624 +a(g138 +V! +tp27625 +a(g133 +VObject +p27626 +tp27627 +a(g138 +V methodsFor: +p27628 +tp27629 +a(g236 +V'viewer' +p27630 +tp27631 +a(g138 +V stamp: 'sw 9/26/2001 11:58'! +p27632 +tp27633 +a(g6 +V\u000a +tp27634 +a(g48 +VinitialTypeForSlotNamed: +p27635 +tp27636 +a(g6 +V +tp27637 +a(g100 +VaName +p27638 +tp27639 +a(g6 +V\u000a +p27640 +tp27641 +a(g24 +V"Answer the initial type to be ascribed to the given instance variable" +p27642 +tp27643 +a(g6 +V\u000a\u000a +p27644 +tp27645 +a(g353 +V^ +tp27646 +a(g6 +V +tp27647 +a(g258 +V#Object +p27648 +tp27649 +a(g138 +V! ! +p27650 +tp27651 +a(g6 +V\u000a\u000a +p27652 +tp27653 +a(g138 +V! +tp27654 +a(g133 +VObject +p27655 +tp27656 +a(g138 +V methodsFor: +p27657 +tp27658 +a(g236 +V'viewer' +p27659 +tp27660 +a(g138 +V stamp: 'ar 5/26/2001 16:13'! +p27661 +tp27662 +a(g6 +V\u000a +tp27663 +a(g48 +VisPlayerLike +p27664 +tp27665 +a(g6 +V\u000a +p27666 +tp27667 +a(g24 +V"Return true if the receiver is a player-like object" +p27668 +tp27669 +a(g6 +V\u000a +p27670 +tp27671 +a(g353 +V^ +tp27672 +a(g87 +Vfalse +p27673 +tp27674 +a(g138 +V! ! +p27675 +tp27676 +a(g6 +V\u000a\u000a +p27677 +tp27678 +a(g138 +V! +tp27679 +a(g133 +VObject +p27680 +tp27681 +a(g138 +V methodsFor: +p27682 +tp27683 +a(g236 +V'viewer' +p27684 +tp27685 +a(g138 +V stamp: 'nk 9/11/2004 16:53'! +p27686 +tp27687 +a(g6 +V\u000a +tp27688 +a(g48 +VmethodInterfacesInPresentationOrderFrom: +p27689 +tp27690 +a(g6 +V +tp27691 +a(g100 +VinterfaceList +p27692 +tp27693 +a(g6 +V +tp27694 +a(g48 +VforCategory: +p27695 +tp27696 +a(g6 +V +tp27697 +a(g100 +VaCategory +p27698 +tp27699 +a(g6 +V \u000a +p27700 +tp27701 +a(g24 +V"Answer the interface list sorted in desired presentation order, using a \u000a static master-ordering list, q.v. The category parameter allows an \u000a escape in case one wants to apply different order strategies in different \u000a categories, but for now a single master-priority-ordering is used -- see \u000a the comment in method EToyVocabulary.masterOrderingOfPhraseSymbols" +p27702 +tp27703 +a(g6 +V\u000a\u000a +p27704 +tp27705 +a(g353 +V| +tp27706 +a(g100 +V masterOrder ordered unordered index +p27707 +tp27708 +a(g353 +V| +tp27709 +a(g6 +V\u000a +p27710 +tp27711 +a(g100 +VmasterOrder +p27712 +tp27713 +a(g6 +V +tp27714 +a(g353 +V:= +p27715 +tp27716 +a(g6 +V +tp27717 +a(g133 +VVocabulary +p27718 +tp27719 +a(g6 +V +tp27720 +a(g48 +VeToyVocabulary +p27721 +tp27722 +a(g6 +V +tp27723 +a(g48 +VmasterOrderingOfPhraseSymbols +p27724 +tp27725 +a(g212 +V. +tp27726 +a(g6 +V\u000a +p27727 +tp27728 +a(g100 +Vordered +p27729 +tp27730 +a(g6 +V +tp27731 +a(g353 +V:= +p27732 +tp27733 +a(g6 +V +tp27734 +a(g133 +VSortedCollection +p27735 +tp27736 +a(g6 +V +tp27737 +a(g48 +VsortBlock: +p27738 +tp27739 +a(g6 +V +tp27740 +a(g6 +V[ +tp27741 +a(g353 +V: +tp27742 +a(g100 +Va +tp27743 +a(g6 +V +tp27744 +a(g353 +V: +tp27745 +a(g100 +Vb +tp27746 +a(g6 +V +tp27747 +a(g353 +V| +tp27748 +a(g6 +V +tp27749 +a(g100 +Va +tp27750 +a(g6 +V +tp27751 +a(g48 +Vkey +p27752 +tp27753 +a(g6 +V +tp27754 +a(g48 +V< +tp27755 +a(g6 +V +tp27756 +a(g100 +Vb +tp27757 +a(g6 +V +tp27758 +a(g48 +Vkey +p27759 +tp27760 +a(g6 +V] +tp27761 +a(g212 +V. +tp27762 +a(g6 +V\u000a +p27763 +tp27764 +a(g100 +Vunordered +p27765 +tp27766 +a(g6 +V +tp27767 +a(g353 +V:= +p27768 +tp27769 +a(g6 +V +tp27770 +a(g133 +VSortedCollection +p27771 +tp27772 +a(g6 +V +tp27773 +a(g48 +VsortBlock: +p27774 +tp27775 +a(g6 +V +tp27776 +a(g6 +V[ +tp27777 +a(g353 +V: +tp27778 +a(g100 +Va +tp27779 +a(g6 +V +tp27780 +a(g353 +V: +tp27781 +a(g100 +Vb +tp27782 +a(g6 +V +tp27783 +a(g353 +V| +tp27784 +a(g6 +V +tp27785 +a(g100 +Va +tp27786 +a(g6 +V +tp27787 +a(g48 +Vwording +p27788 +tp27789 +a(g6 +V +tp27790 +a(g48 +V< +tp27791 +a(g6 +V +tp27792 +a(g100 +Vb +tp27793 +a(g6 +V +tp27794 +a(g48 +Vwording +p27795 +tp27796 +a(g6 +V] +tp27797 +a(g212 +V. +tp27798 +a(g6 +V\u000a\u000a +p27799 +tp27800 +a(g100 +VinterfaceList +p27801 +tp27802 +a(g6 +V +tp27803 +a(g48 +Vdo: +p27804 +tp27805 +a(g6 +V +tp27806 +a(g6 +V[ +tp27807 +a(g353 +V: +tp27808 +a(g100 +Vinterface +p27809 +tp27810 +a(g6 +V +tp27811 +a(g353 +V| +tp27812 +a(g6 +V \u000a +p27813 +tp27814 +a(g100 +Vindex +p27815 +tp27816 +a(g6 +V +tp27817 +a(g353 +V:= +p27818 +tp27819 +a(g6 +V +tp27820 +a(g100 +VmasterOrder +p27821 +tp27822 +a(g6 +V +tp27823 +a(g48 +VindexOf: +p27824 +tp27825 +a(g6 +V +tp27826 +a(g100 +Vinterface +p27827 +tp27828 +a(g6 +V +tp27829 +a(g48 +VelementSymbol +p27830 +tp27831 +a(g212 +V. +tp27832 +a(g6 +V\u000a +p27833 +tp27834 +a(g100 +Vindex +p27835 +tp27836 +a(g6 +V +tp27837 +a(g48 +VisZero +p27838 +tp27839 +a(g6 +V\u000a +p27840 +tp27841 +a(g84 +VifTrue: +p27842 +tp27843 +a(g6 +V +tp27844 +a(g6 +V[ +tp27845 +a(g6 +V +tp27846 +a(g100 +Vunordered +p27847 +tp27848 +a(g6 +V +tp27849 +a(g48 +Vadd: +p27850 +tp27851 +a(g6 +V +tp27852 +a(g100 +Vinterface +p27853 +tp27854 +a(g6 +V] +tp27855 +a(g6 +V\u000a +p27856 +tp27857 +a(g84 +VifFalse: +p27858 +tp27859 +a(g6 +V +tp27860 +a(g6 +V[ +tp27861 +a(g6 +V +tp27862 +a(g100 +Vordered +p27863 +tp27864 +a(g6 +V +tp27865 +a(g48 +Vadd: +p27866 +tp27867 +a(g6 +V +tp27868 +a(g100 +Vindex +p27869 +tp27870 +a(g6 +V +tp27871 +a(g48 +V-> +p27872 +tp27873 +a(g6 +V +tp27874 +a(g100 +Vinterface +p27875 +tp27876 +a(g6 +V] +tp27877 +a(g6 +V] +tp27878 +a(g212 +V. +tp27879 +a(g6 +V\u000a\u000a +p27880 +tp27881 +a(g353 +V^ +tp27882 +a(g6 +V +tp27883 +a(g133 +VArray +p27884 +tp27885 +a(g6 +V\u000a +p27886 +tp27887 +a(g48 +VstreamContents: +p27888 +tp27889 +a(g6 +V +tp27890 +a(g6 +V[ +tp27891 +a(g353 +V: +tp27892 +a(g100 +Vstream +p27893 +tp27894 +a(g6 +V +tp27895 +a(g353 +V| +tp27896 +a(g6 +V \u000a +p27897 +tp27898 +a(g100 +Vordered +p27899 +tp27900 +a(g6 +V +tp27901 +a(g48 +Vdo: +p27902 +tp27903 +a(g6 +V +tp27904 +a(g6 +V[ +tp27905 +a(g353 +V: +tp27906 +a(g100 +Vassoc +p27907 +tp27908 +a(g6 +V +tp27909 +a(g353 +V| +tp27910 +a(g6 +V +tp27911 +a(g100 +Vstream +p27912 +tp27913 +a(g6 +V +tp27914 +a(g48 +VnextPut: +p27915 +tp27916 +a(g6 +V +tp27917 +a(g100 +Vassoc +p27918 +tp27919 +a(g6 +V +tp27920 +a(g48 +Vvalue +p27921 +tp27922 +a(g6 +V] +tp27923 +a(g212 +V. +tp27924 +a(g6 +V\u000a +p27925 +tp27926 +a(g100 +Vstream +p27927 +tp27928 +a(g6 +V +tp27929 +a(g48 +VnextPutAll: +p27930 +tp27931 +a(g6 +V +tp27932 +a(g100 +Vunordered +p27933 +tp27934 +a(g6 +V] +tp27935 +a(g138 +V! ! +p27936 +tp27937 +a(g6 +V\u000a\u000a +p27938 +tp27939 +a(g138 +V! +tp27940 +a(g133 +VObject +p27941 +tp27942 +a(g138 +V methodsFor: +p27943 +tp27944 +a(g236 +V'viewer' +p27945 +tp27946 +a(g138 +V stamp: 'sw 10/24/2000 11:36'! +p27947 +tp27948 +a(g6 +V\u000a +tp27949 +a(g48 +VnewScriptorAround: +p27950 +tp27951 +a(g6 +V +tp27952 +a(g100 +VaPhraseTileMorph +p27953 +tp27954 +a(g6 +V\u000a +p27955 +tp27956 +a(g24 +V"Sprout a scriptor around aPhraseTileMorph, thus making a new script. This is where generalized scriptors will be threaded in" +p27957 +tp27958 +a(g6 +V\u000a\u000a +p27959 +tp27960 +a(g353 +V^ +tp27961 +a(g6 +V +tp27962 +a(g87 +Vnil +p27963 +tp27964 +a(g138 +V! ! +p27965 +tp27966 +a(g6 +V\u000a\u000a +p27967 +tp27968 +a(g138 +V! +tp27969 +a(g133 +VObject +p27970 +tp27971 +a(g138 +V methodsFor: +p27972 +tp27973 +a(g236 +V'viewer' +p27974 +tp27975 +a(g138 +V stamp: 'sw 10/25/2000 17:42'! +p27976 +tp27977 +a(g6 +V\u000a +tp27978 +a(g48 +VofferViewerMenuForEvt: +p27979 +tp27980 +a(g6 +V +tp27981 +a(g100 +VanEvent +p27982 +tp27983 +a(g6 +V +tp27984 +a(g48 +Vmorph: +p27985 +tp27986 +a(g6 +V +tp27987 +a(g100 +VaMorph +p27988 +tp27989 +a(g6 +V\u000a +p27990 +tp27991 +a(g24 +V"Offer the viewer's primary menu to the user. aMorph is some morph within the viewer itself, the one within which a mousedown triggered the need for this menu, and it is used only to retrieve the Viewer itself" +p27992 +tp27993 +a(g6 +V\u000a\u000a +p27994 +tp27995 +a(g87 +Vself +p27996 +tp27997 +a(g6 +V +tp27998 +a(g48 +VofferViewerMenuFor: +p27999 +tp28000 +a(g6 +V +tp28001 +a(g6 +V( +tp28002 +a(g100 +VaMorph +p28003 +tp28004 +a(g6 +V +tp28005 +a(g48 +VownerThatIsA: +p28006 +tp28007 +a(g6 +V +tp28008 +a(g133 +VStandardViewer +p28009 +tp28010 +a(g6 +V) +tp28011 +a(g6 +V +tp28012 +a(g48 +Vevent: +p28013 +tp28014 +a(g6 +V +tp28015 +a(g100 +VanEvent +p28016 +tp28017 +a(g138 +V! ! +p28018 +tp28019 +a(g6 +V\u000a\u000a +p28020 +tp28021 +a(g138 +V! +tp28022 +a(g133 +VObject +p28023 +tp28024 +a(g138 +V methodsFor: +p28025 +tp28026 +a(g236 +V'viewer' +p28027 +tp28028 +a(g138 +V stamp: 'sw 8/11/2002 02:03'! +p28029 +tp28030 +a(g6 +V\u000a +tp28031 +a(g48 +VofferViewerMenuFor: +p28032 +tp28033 +a(g6 +V +tp28034 +a(g100 +VaViewer +p28035 +tp28036 +a(g6 +V +tp28037 +a(g48 +Vevent: +p28038 +tp28039 +a(g6 +V +tp28040 +a(g100 +Vevt +p28041 +tp28042 +a(g6 +V\u000a +p28043 +tp28044 +a(g24 +V"Offer the primary Viewer menu to the user. Copied up from Player code, but most of the functions suggested here don't work for non-Player objects, many aren't even defined, some relate to exploratory sw work not yet reflected in the current corpus. We are early in the life cycle of this method..." +p28045 +tp28046 +a(g6 +V\u000a\u000a +p28047 +tp28048 +a(g353 +V| +tp28049 +a(g100 +V aMenu +p28050 +tp28051 +a(g353 +V| +tp28052 +a(g6 +V\u000a +p28053 +tp28054 +a(g100 +VaMenu +p28055 +tp28056 +a(g6 +V +tp28057 +a(g353 +V_ +tp28058 +a(g6 +V +tp28059 +a(g133 +VMenuMorph +p28060 +tp28061 +a(g6 +V +tp28062 +a(g84 +Vnew +p28063 +tp28064 +a(g6 +V +tp28065 +a(g48 +VdefaultTarget: +p28066 +tp28067 +a(g6 +V +tp28068 +a(g87 +Vself +p28069 +tp28070 +a(g212 +V. +tp28071 +a(g6 +V\u000a +p28072 +tp28073 +a(g100 +VaMenu +p28074 +tp28075 +a(g6 +V +tp28076 +a(g48 +VaddStayUpItem +p28077 +tp28078 +a(g212 +V. +tp28079 +a(g6 +V\u000a +p28080 +tp28081 +a(g100 +VaMenu +p28082 +tp28083 +a(g6 +V +tp28084 +a(g48 +Vtitle: +p28085 +tp28086 +a(g6 +V +tp28087 +a(g236 +V'**CAUTION -- UNDER CONSTRUCTION!!**\u000aMany things may not work!!\u000a' +p28088 +tp28089 +a(g48 +V, +tp28090 +a(g6 +V +tp28091 +a(g87 +Vself +p28092 +tp28093 +a(g6 +V +tp28094 +a(g48 +VnameForViewer +p28095 +tp28096 +a(g212 +V. +tp28097 +a(g6 +V\u000a +p28098 +tp28099 +a(g6 +V( +tp28100 +a(g100 +VaViewer +p28101 +tp28102 +a(g6 +V +tp28103 +a(g48 +VaffordsUniclass +p28104 +tp28105 +a(g6 +V +tp28106 +a(g48 +Vand: +p28107 +tp28108 +a(g6 +V +tp28109 +a(g6 +V[ +tp28110 +a(g6 +V +tp28111 +a(g87 +Vself +p28112 +tp28113 +a(g6 +V +tp28114 +a(g48 +VbelongsToUniClass +p28115 +tp28116 +a(g6 +V +tp28117 +a(g48 +Vnot +p28118 +tp28119 +a(g6 +V] +tp28120 +a(g6 +V) +tp28121 +a(g6 +V +tp28122 +a(g84 +VifTrue: +p28123 +tp28124 +a(g6 +V\u000a +p28125 +tp28126 +a(g6 +V[ +tp28127 +a(g6 +V +tp28128 +a(g100 +VaMenu +p28129 +tp28130 +a(g6 +V +tp28131 +a(g48 +Vadd: +p28132 +tp28133 +a(g6 +V +tp28134 +a(g236 +V'give me a Uniclass' +p28135 +tp28136 +a(g6 +V +tp28137 +a(g48 +Vaction: +p28138 +tp28139 +a(g6 +V +tp28140 +a(g258 +V#assureUniClass +p28141 +tp28142 +a(g212 +V. +tp28143 +a(g6 +V\u000a +p28144 +tp28145 +a(g100 +VaMenu +p28146 +tp28147 +a(g6 +V +tp28148 +a(g48 +VaddLine +p28149 +tp28150 +a(g6 +V] +tp28151 +a(g212 +V. +tp28152 +a(g6 +V\u000a +p28153 +tp28154 +a(g100 +VaMenu +p28155 +tp28156 +a(g6 +V +tp28157 +a(g48 +Vadd: +p28158 +tp28159 +a(g6 +V +tp28160 +a(g236 +V'choose vocabulary...' +p28161 +tp28162 +a(g6 +V +tp28163 +a(g48 +Vtarget: +p28164 +tp28165 +a(g6 +V +tp28166 +a(g100 +VaViewer +p28167 +tp28168 +a(g6 +V +tp28169 +a(g48 +Vaction: +p28170 +tp28171 +a(g6 +V +tp28172 +a(g258 +V#chooseVocabulary +p28173 +tp28174 +a(g212 +V. +tp28175 +a(g6 +V\u000a +p28176 +tp28177 +a(g100 +VaMenu +p28178 +tp28179 +a(g6 +V +tp28180 +a(g48 +Vadd: +p28181 +tp28182 +a(g6 +V +tp28183 +a(g236 +V'choose limit class...' +p28184 +tp28185 +a(g6 +V +tp28186 +a(g48 +Vtarget: +p28187 +tp28188 +a(g6 +V +tp28189 +a(g100 +VaViewer +p28190 +tp28191 +a(g6 +V +tp28192 +a(g48 +Vaction: +p28193 +tp28194 +a(g6 +V +tp28195 +a(g258 +V#chooseLimitClass +p28196 +tp28197 +a(g212 +V. +tp28198 +a(g6 +V\u000a +p28199 +tp28200 +a(g100 +VaMenu +p28201 +tp28202 +a(g6 +V +tp28203 +a(g48 +Vadd: +p28204 +tp28205 +a(g6 +V +tp28206 +a(g236 +V'add search pane' +p28207 +tp28208 +a(g6 +V +tp28209 +a(g48 +Vtarget: +p28210 +tp28211 +a(g6 +V +tp28212 +a(g100 +VaViewer +p28213 +tp28214 +a(g6 +V +tp28215 +a(g48 +Vaction: +p28216 +tp28217 +a(g6 +V +tp28218 +a(g258 +V#addSearchPane +p28219 +tp28220 +a(g212 +V. +tp28221 +a(g6 +V\u000a +p28222 +tp28223 +a(g100 +VaMenu +p28224 +tp28225 +a(g6 +V +tp28226 +a(g48 +VballoonTextForLastItem: +p28227 +tp28228 +a(g6 +V +tp28229 +a(g236 +V'Specify which class should be the most generic one to have its methods shown in this Viewer' +p28230 +tp28231 +a(g212 +V. +tp28232 +a(g6 +V\u000a +p28233 +tp28234 +a(g100 +VaMenu +p28235 +tp28236 +a(g6 +V +tp28237 +a(g48 +VaddLine +p28238 +tp28239 +a(g212 +V. +tp28240 +a(g6 +V\u000a\u000a +p28241 +tp28242 +a(g87 +Vself +p28243 +tp28244 +a(g6 +V +tp28245 +a(g48 +VbelongsToUniClass +p28246 +tp28247 +a(g6 +V +tp28248 +a(g84 +VifTrue: +p28249 +tp28250 +a(g6 +V\u000a +p28251 +tp28252 +a(g6 +V[ +tp28253 +a(g6 +V +tp28254 +a(g100 +VaMenu +p28255 +tp28256 +a(g6 +V +tp28257 +a(g48 +Vadd: +p28258 +tp28259 +a(g6 +V +tp28260 +a(g236 +V'add a new instance variable' +p28261 +tp28262 +a(g6 +V +tp28263 +a(g48 +Vtarget: +p28264 +tp28265 +a(g6 +V +tp28266 +a(g87 +Vself +p28267 +tp28268 +a(g6 +V +tp28269 +a(g48 +Vselector: +p28270 +tp28271 +a(g6 +V +tp28272 +a(g258 +V#addInstanceVariableIn: +p28273 +tp28274 +a(g6 +V +tp28275 +a(g48 +Vargument: +p28276 +tp28277 +a(g6 +V +tp28278 +a(g100 +VaViewer +p28279 +tp28280 +a(g212 +V. +tp28281 +a(g6 +V\u000a +p28282 +tp28283 +a(g100 +VaMenu +p28284 +tp28285 +a(g6 +V +tp28286 +a(g48 +Vadd: +p28287 +tp28288 +a(g6 +V +tp28289 +a(g236 +V'add a new script' +p28290 +tp28291 +a(g6 +V +tp28292 +a(g48 +Vtarget: +p28293 +tp28294 +a(g6 +V +tp28295 +a(g100 +VaViewer +p28296 +tp28297 +a(g6 +V +tp28298 +a(g48 +Vselector: +p28299 +tp28300 +a(g6 +V +tp28301 +a(g258 +V#newPermanentScriptIn: +p28302 +tp28303 +a(g6 +V +tp28304 +a(g48 +Vargument: +p28305 +tp28306 +a(g6 +V +tp28307 +a(g100 +VaViewer +p28308 +tp28309 +a(g212 +V. +tp28310 +a(g6 +V\u000a +p28311 +tp28312 +a(g100 +VaMenu +p28313 +tp28314 +a(g6 +V +tp28315 +a(g48 +VaddLine +p28316 +tp28317 +a(g212 +V. +tp28318 +a(g6 +V\u000a +p28319 +tp28320 +a(g100 +VaMenu +p28321 +tp28322 +a(g6 +V +tp28323 +a(g48 +Vadd: +p28324 +tp28325 +a(g6 +V +tp28326 +a(g236 +V'make my class be first-class' +p28327 +tp28328 +a(g6 +V +tp28329 +a(g48 +Vtarget: +p28330 +tp28331 +a(g6 +V +tp28332 +a(g87 +Vself +p28333 +tp28334 +a(g6 +V +tp28335 +a(g48 +Vselector: +p28336 +tp28337 +a(g6 +V +tp28338 +a(g258 +V#makeFirstClassClassIn: +p28339 +tp28340 +a(g6 +V +tp28341 +a(g48 +Vargument: +p28342 +tp28343 +a(g6 +V +tp28344 +a(g100 +VaViewer +p28345 +tp28346 +a(g212 +V. +tp28347 +a(g6 +V\u000a +p28348 +tp28349 +a(g100 +VaMenu +p28350 +tp28351 +a(g6 +V +tp28352 +a(g48 +Vadd: +p28353 +tp28354 +a(g6 +V +tp28355 +a(g236 +V'move my changes up to my superclass' +p28356 +tp28357 +a(g6 +V +tp28358 +a(g48 +Vtarget: +p28359 +tp28360 +a(g6 +V +tp28361 +a(g87 +Vself +p28362 +tp28363 +a(g6 +V +tp28364 +a(g48 +Vaction: +p28365 +tp28366 +a(g6 +V +tp28367 +a(g258 +V#promoteChangesToSuperclass +p28368 +tp28369 +a(g212 +V. +tp28370 +a(g6 +V\u000a +p28371 +tp28372 +a(g100 +VaMenu +p28373 +tp28374 +a(g6 +V +tp28375 +a(g48 +VaddLine +p28376 +tp28377 +a(g6 +V] +tp28378 +a(g212 +V. +tp28379 +a(g6 +V\u000a\u000a +p28380 +tp28381 +a(g100 +VaMenu +p28382 +tp28383 +a(g6 +V +tp28384 +a(g48 +Vadd: +p28385 +tp28386 +a(g6 +V +tp28387 +a(g236 +V'tear off a tile' +p28388 +tp28389 +a(g6 +V +tp28390 +a(g48 +Vtarget: +p28391 +tp28392 +a(g6 +V +tp28393 +a(g87 +Vself +p28394 +tp28395 +a(g6 +V +tp28396 +a(g48 +Vselector: +p28397 +tp28398 +a(g6 +V +tp28399 +a(g258 +V#launchTileToRefer +p28400 +tp28401 +a(g212 +V. +tp28402 +a(g6 +V\u000a +p28403 +tp28404 +a(g100 +VaMenu +p28405 +tp28406 +a(g6 +V +tp28407 +a(g48 +VaddLine +p28408 +tp28409 +a(g212 +V. +tp28410 +a(g6 +V\u000a\u000a +p28411 +tp28412 +a(g100 +VaMenu +p28413 +tp28414 +a(g6 +V +tp28415 +a(g48 +Vadd: +p28416 +tp28417 +a(g6 +V +tp28418 +a(g236 +V'inspect me' +p28419 +tp28420 +a(g6 +V +tp28421 +a(g48 +Vtarget: +p28422 +tp28423 +a(g6 +V +tp28424 +a(g87 +Vself +p28425 +tp28426 +a(g6 +V +tp28427 +a(g48 +Vselector: +p28428 +tp28429 +a(g6 +V +tp28430 +a(g258 +V#inspect +p28431 +tp28432 +a(g212 +V. +tp28433 +a(g6 +V\u000a +p28434 +tp28435 +a(g100 +VaMenu +p28436 +tp28437 +a(g6 +V +tp28438 +a(g48 +Vadd: +p28439 +tp28440 +a(g6 +V +tp28441 +a(g236 +V'inspect my class' +p28442 +tp28443 +a(g6 +V +tp28444 +a(g48 +Vtarget: +p28445 +tp28446 +a(g6 +V +tp28447 +a(g87 +Vself +p28448 +tp28449 +a(g6 +V +tp28450 +a(g48 +Vclass +p28451 +tp28452 +a(g6 +V +tp28453 +a(g48 +Vaction: +p28454 +tp28455 +a(g6 +V +tp28456 +a(g258 +V#inspect +p28457 +tp28458 +a(g212 +V. +tp28459 +a(g6 +V\u000a +p28460 +tp28461 +a(g100 +VaMenu +p28462 +tp28463 +a(g6 +V +tp28464 +a(g48 +VaddLine +p28465 +tp28466 +a(g212 +V. +tp28467 +a(g6 +V\u000a\u000a +p28468 +tp28469 +a(g100 +VaMenu +p28470 +tp28471 +a(g6 +V +tp28472 +a(g48 +Vadd: +p28473 +tp28474 +a(g6 +V +tp28475 +a(g236 +V'browse vocabulary' +p28476 +tp28477 +a(g6 +V +tp28478 +a(g48 +Vaction: +p28479 +tp28480 +a(g6 +V +tp28481 +a(g258 +V#haveFullProtocolBrowsed +p28482 +tp28483 +a(g212 +V. +tp28484 +a(g6 +V\u000a +p28485 +tp28486 +a(g100 +VaMenu +p28487 +tp28488 +a(g6 +V +tp28489 +a(g48 +Vadd: +p28490 +tp28491 +a(g6 +V +tp28492 +a(g236 +V'inspect this Viewer' +p28493 +tp28494 +a(g6 +V +tp28495 +a(g48 +Vtarget: +p28496 +tp28497 +a(g6 +V +tp28498 +a(g100 +VaViewer +p28499 +tp28500 +a(g6 +V +tp28501 +a(g48 +Vaction: +p28502 +tp28503 +a(g6 +V +tp28504 +a(g258 +V#inspect +p28505 +tp28506 +a(g212 +V. +tp28507 +a(g6 +V\u000a\u000a +p28508 +tp28509 +a(g100 +VaMenu +p28510 +tp28511 +a(g6 +V +tp28512 +a(g48 +VpopUpEvent: +p28513 +tp28514 +a(g6 +V +tp28515 +a(g100 +Vevt +p28516 +tp28517 +a(g6 +V +tp28518 +a(g48 +Vin: +p28519 +tp28520 +a(g6 +V +tp28521 +a(g100 +VaViewer +p28522 +tp28523 +a(g6 +V +tp28524 +a(g48 +VcurrentWorld +p28525 +tp28526 +a(g6 +V\u000a\u000a +p28527 +tp28528 +a(g24 +V"\u000a aMenu add: 'references to me' target: aViewer action: #browseReferencesToObject.\u000a aMenu add: 'toggle scratch pane' target: aViewer selector: #toggleScratchPane.\u000a aMenu add: 'make a nascent script for me' target: aViewer selector: #makeNascentScript.\u000a aMenu add: 'rename me' target: aViewer selector: #chooseNewNameForReference.\u000a aMenu add: 'browse full' action: #browseOwnClassFull.\u000a aMenu add: 'browse hierarchy' action: #browseOwnClassHierarchy.\u000a aMenu add: 'set user level...' target: aViewer action: #setUserLevel.\u000a aMenu add: 'browse sub-protocol' action: #browseOwnClassSubProtocol.\u000a aMenu addLine.\u000a\u000a" +p28529 +tp28530 +a(g138 +V! ! +p28531 +tp28532 +a(g6 +V\u000a\u000a +p28533 +tp28534 +a(g138 +V! +tp28535 +a(g133 +VObject +p28536 +tp28537 +a(g138 +V methodsFor: +p28538 +tp28539 +a(g236 +V'viewer' +p28540 +tp28541 +a(g138 +V stamp: 'sw 1/22/2001 15:20'! +p28542 +tp28543 +a(g6 +V\u000a +tp28544 +a(g48 +VrenameScript: +p28545 +tp28546 +a(g6 +V +tp28547 +a(g100 +VoldSelector +p28548 +tp28549 +a(g6 +V\u000a +p28550 +tp28551 +a(g24 +V"prompt the user for a new selector and apply it. Presently only works for players" +p28552 +tp28553 +a(g6 +V\u000a\u000a +p28554 +tp28555 +a(g87 +Vself +p28556 +tp28557 +a(g6 +V +tp28558 +a(g48 +VnotYetImplemented +p28559 +tp28560 +a(g138 +V! ! +p28561 +tp28562 +a(g6 +V\u000a\u000a +p28563 +tp28564 +a(g138 +V! +tp28565 +a(g133 +VObject +p28566 +tp28567 +a(g138 +V methodsFor: +p28568 +tp28569 +a(g236 +V'viewer' +p28570 +tp28571 +a(g138 +V stamp: 'sw 8/10/2004 11:53'! +p28572 +tp28573 +a(g6 +V\u000a +tp28574 +a(g48 +VtilePhrasesForCategory: +p28575 +tp28576 +a(g6 +V +tp28577 +a(g100 +VaCategorySymbol +p28578 +tp28579 +a(g6 +V +tp28580 +a(g48 +VinViewer: +p28581 +tp28582 +a(g6 +V +tp28583 +a(g100 +VaViewer +p28584 +tp28585 +a(g6 +V\u000a +p28586 +tp28587 +a(g24 +V"Return a collection of phrases for the category." +p28588 +tp28589 +a(g6 +V\u000a\u000a +p28590 +tp28591 +a(g353 +V| +tp28592 +a(g100 +V interfaces +p28593 +tp28594 +a(g353 +V| +tp28595 +a(g6 +V\u000a +p28596 +tp28597 +a(g100 +Vinterfaces +p28598 +tp28599 +a(g6 +V +tp28600 +a(g353 +V_ +tp28601 +a(g6 +V +tp28602 +a(g87 +Vself +p28603 +tp28604 +a(g6 +V +tp28605 +a(g48 +VmethodInterfacesForCategory: +p28606 +tp28607 +a(g6 +V +tp28608 +a(g100 +VaCategorySymbol +p28609 +tp28610 +a(g6 +V +tp28611 +a(g48 +VinVocabulary: +p28612 +tp28613 +a(g6 +V +tp28614 +a(g100 +VaViewer +p28615 +tp28616 +a(g6 +V +tp28617 +a(g48 +VcurrentVocabulary +p28618 +tp28619 +a(g6 +V +tp28620 +a(g48 +VlimitClass: +p28621 +tp28622 +a(g6 +V +tp28623 +a(g100 +VaViewer +p28624 +tp28625 +a(g6 +V +tp28626 +a(g48 +VlimitClass +p28627 +tp28628 +a(g212 +V. +tp28629 +a(g6 +V\u000a +p28630 +tp28631 +a(g100 +Vinterfaces +p28632 +tp28633 +a(g6 +V +tp28634 +a(g353 +V_ +tp28635 +a(g6 +V +tp28636 +a(g87 +Vself +p28637 +tp28638 +a(g6 +V +tp28639 +a(g48 +VmethodInterfacesInPresentationOrderFrom: +p28640 +tp28641 +a(g6 +V +tp28642 +a(g100 +Vinterfaces +p28643 +tp28644 +a(g6 +V +tp28645 +a(g48 +VforCategory: +p28646 +tp28647 +a(g6 +V +tp28648 +a(g100 +VaCategorySymbol +p28649 +tp28650 +a(g212 +V. +tp28651 +a(g6 +V\u000a +p28652 +tp28653 +a(g353 +V^ +tp28654 +a(g6 +V +tp28655 +a(g87 +Vself +p28656 +tp28657 +a(g6 +V +tp28658 +a(g48 +VtilePhrasesForMethodInterfaces: +p28659 +tp28660 +a(g6 +V +tp28661 +a(g100 +Vinterfaces +p28662 +tp28663 +a(g6 +V +tp28664 +a(g48 +VinViewer: +p28665 +tp28666 +a(g6 +V +tp28667 +a(g100 +VaViewer +p28668 +tp28669 +a(g138 +V! ! +p28670 +tp28671 +a(g6 +V\u000a\u000a +p28672 +tp28673 +a(g138 +V! +tp28674 +a(g133 +VObject +p28675 +tp28676 +a(g138 +V methodsFor: +p28677 +tp28678 +a(g236 +V'viewer' +p28679 +tp28680 +a(g138 +V stamp: 'sw 8/10/2004 11:53'! +p28681 +tp28682 +a(g6 +V\u000a +tp28683 +a(g48 +VtilePhrasesForMethodInterfaces: +p28684 +tp28685 +a(g6 +V +tp28686 +a(g100 +VmethodInterfaceList +p28687 +tp28688 +a(g6 +V +tp28689 +a(g48 +VinViewer: +p28690 +tp28691 +a(g6 +V +tp28692 +a(g100 +VaViewer +p28693 +tp28694 +a(g6 +V\u000a +p28695 +tp28696 +a(g24 +V"Return a collection of ViewerLine objects corresponding to the method-interface list provided. The resulting list will be in the same order as the incoming list, but may be smaller if the viewer's vocbulary suppresses some of the methods, or if, in classic tiles mode, the selector requires more arguments than can be handled." +p28697 +tp28698 +a(g6 +V\u000a\u000a +p28699 +tp28700 +a(g353 +V| +tp28701 +a(g100 +V toSuppress interfaces resultType itsSelector +p28702 +tp28703 +a(g353 +V| +tp28704 +a(g6 +V\u000a +p28705 +tp28706 +a(g100 +VtoSuppress +p28707 +tp28708 +a(g6 +V +tp28709 +a(g353 +V_ +tp28710 +a(g6 +V +tp28711 +a(g100 +VaViewer +p28712 +tp28713 +a(g6 +V +tp28714 +a(g48 +VcurrentVocabulary +p28715 +tp28716 +a(g6 +V +tp28717 +a(g48 +VphraseSymbolsToSuppress +p28718 +tp28719 +a(g212 +V. +tp28720 +a(g6 +V\u000a +p28721 +tp28722 +a(g100 +Vinterfaces +p28723 +tp28724 +a(g6 +V +tp28725 +a(g353 +V_ +tp28726 +a(g6 +V +tp28727 +a(g100 +VmethodInterfaceList +p28728 +tp28729 +a(g6 +V +tp28730 +a(g48 +Vreject: +p28731 +tp28732 +a(g6 +V +tp28733 +a(g6 +V[ +tp28734 +a(g353 +V: +tp28735 +a(g100 +Vint +p28736 +tp28737 +a(g6 +V +tp28738 +a(g353 +V| +tp28739 +a(g6 +V +tp28740 +a(g100 +VtoSuppress +p28741 +tp28742 +a(g6 +V +tp28743 +a(g48 +Vincludes: +p28744 +tp28745 +a(g6 +V +tp28746 +a(g100 +Vint +p28747 +tp28748 +a(g6 +V +tp28749 +a(g48 +Vselector +p28750 +tp28751 +a(g6 +V] +tp28752 +a(g212 +V. +tp28753 +a(g6 +V\u000a +p28754 +tp28755 +a(g133 +VPreferences +p28756 +tp28757 +a(g6 +V +tp28758 +a(g48 +VuniversalTiles +p28759 +tp28760 +a(g6 +V +tp28761 +a(g84 +VifFalse: +p28762 +tp28763 +a(g6 +V +p28764 +tp28765 +a(g24 +V"Classic tiles have their limitations..." +p28766 +tp28767 +a(g6 +V\u000a +p28768 +tp28769 +a(g6 +V[ +tp28770 +a(g6 +V +tp28771 +a(g100 +Vinterfaces +p28772 +tp28773 +a(g6 +V +tp28774 +a(g353 +V_ +tp28775 +a(g6 +V +tp28776 +a(g100 +Vinterfaces +p28777 +tp28778 +a(g6 +V +tp28779 +a(g48 +Vselect: +p28780 +tp28781 +a(g6 +V\u000a +p28782 +tp28783 +a(g6 +V[ +tp28784 +a(g353 +V: +tp28785 +a(g100 +Vint +p28786 +tp28787 +a(g6 +V +tp28788 +a(g353 +V| +tp28789 +a(g6 +V\u000a +p28790 +tp28791 +a(g100 +VitsSelector +p28792 +tp28793 +a(g6 +V +tp28794 +a(g353 +V_ +tp28795 +a(g6 +V +tp28796 +a(g100 +Vint +p28797 +tp28798 +a(g6 +V +tp28799 +a(g48 +Vselector +p28800 +tp28801 +a(g212 +V. +tp28802 +a(g6 +V\u000a +p28803 +tp28804 +a(g100 +VitsSelector +p28805 +tp28806 +a(g6 +V +tp28807 +a(g48 +VnumArgs +p28808 +tp28809 +a(g6 +V +tp28810 +a(g48 +V< +tp28811 +a(g6 +V +tp28812 +a(g223 +V2 +tp28813 +a(g6 +V +tp28814 +a(g48 +Vor: +p28815 +tp28816 +a(g6 +V\u000a +p28817 +tp28818 +a(g24 +V"The lone two-arg loophole in classic tiles" +p28819 +tp28820 +a(g6 +V\u000a +p28821 +tp28822 +a(g6 +V[ +tp28823 +a(g6 +V +tp28824 +a(g258 +V#( +p28825 +tp28826 +a(g258 +Vcolor:sees: +p28827 +tp28828 +a(g258 +V) +tp28829 +a(g6 +V +tp28830 +a(g48 +Vincludes: +p28831 +tp28832 +a(g6 +V +tp28833 +a(g100 +VitsSelector +p28834 +tp28835 +a(g6 +V] +tp28836 +a(g6 +V] +tp28837 +a(g6 +V] +tp28838 +a(g212 +V. +tp28839 +a(g6 +V\u000a\u000a +p28840 +tp28841 +a(g353 +V^ +tp28842 +a(g6 +V +tp28843 +a(g100 +Vinterfaces +p28844 +tp28845 +a(g6 +V +tp28846 +a(g48 +Vcollect: +p28847 +tp28848 +a(g6 +V\u000a +p28849 +tp28850 +a(g6 +V[ +tp28851 +a(g353 +V: +tp28852 +a(g100 +VaMethodInterface +p28853 +tp28854 +a(g6 +V +tp28855 +a(g353 +V| +tp28856 +a(g6 +V\u000a +p28857 +tp28858 +a(g6 +V( +tp28859 +a(g6 +V( +tp28860 +a(g100 +VresultType +p28861 +tp28862 +a(g6 +V +tp28863 +a(g353 +V_ +tp28864 +a(g6 +V +tp28865 +a(g100 +VaMethodInterface +p28866 +tp28867 +a(g6 +V +tp28868 +a(g48 +VresultType +p28869 +tp28870 +a(g6 +V) +tp28871 +a(g6 +V +tp28872 +a(g48 +VnotNil +p28873 +tp28874 +a(g6 +V +tp28875 +a(g48 +Vand: +p28876 +tp28877 +a(g6 +V +tp28878 +a(g6 +V[ +tp28879 +a(g6 +V +tp28880 +a(g100 +VresultType +p28881 +tp28882 +a(g6 +V +tp28883 +a(g48 +V~~ +p28884 +tp28885 +a(g6 +V +tp28886 +a(g258 +V#unknown +p28887 +tp28888 +a(g6 +V] +tp28889 +a(g6 +V) +tp28890 +a(g6 +V \u000a +p28891 +tp28892 +a(g84 +VifTrue: +p28893 +tp28894 +a(g6 +V\u000a +p28895 +tp28896 +a(g6 +V[ +tp28897 +a(g6 +V +tp28898 +a(g100 +VaViewer +p28899 +tp28900 +a(g6 +V +tp28901 +a(g48 +VphraseForVariableFrom: +p28902 +tp28903 +a(g6 +V +tp28904 +a(g100 +VaMethodInterface +p28905 +tp28906 +a(g6 +V] +tp28907 +a(g6 +V\u000a +p28908 +tp28909 +a(g84 +VifFalse: +p28910 +tp28911 +a(g6 +V\u000a +p28912 +tp28913 +a(g6 +V[ +tp28914 +a(g6 +V +tp28915 +a(g100 +VaViewer +p28916 +tp28917 +a(g6 +V +tp28918 +a(g48 +VphraseForCommandFrom: +p28919 +tp28920 +a(g6 +V +tp28921 +a(g100 +VaMethodInterface +p28922 +tp28923 +a(g6 +V] +tp28924 +a(g6 +V] +tp28925 +a(g138 +V! ! +p28926 +tp28927 +a(g6 +V\u000a\u000a +p28928 +tp28929 +a(g138 +V! +tp28930 +a(g133 +VObject +p28931 +tp28932 +a(g138 +V methodsFor: +p28933 +tp28934 +a(g236 +V'viewer' +p28935 +tp28936 +a(g138 +V stamp: 'sw 8/10/2004 12:23'! +p28937 +tp28938 +a(g6 +V\u000a +tp28939 +a(g48 +VtilePhrasesForSelectorList: +p28940 +tp28941 +a(g6 +V +tp28942 +a(g100 +VaList +p28943 +tp28944 +a(g6 +V +tp28945 +a(g48 +VinViewer: +p28946 +tp28947 +a(g6 +V +tp28948 +a(g100 +VaViewer +p28949 +tp28950 +a(g6 +V\u000a +p28951 +tp28952 +a(g24 +V"Particular to the search facility in viewers. Answer a list, in appropriate order, of ViewerLine objects to put into the viewer." +p28953 +tp28954 +a(g6 +V\u000a\u000a +p28955 +tp28956 +a(g353 +V| +tp28957 +a(g100 +V interfaces aVocab +p28958 +tp28959 +a(g353 +V| +tp28960 +a(g6 +V\u000a +p28961 +tp28962 +a(g100 +VaVocab +p28963 +tp28964 +a(g6 +V +tp28965 +a(g353 +V_ +tp28966 +a(g6 +V +tp28967 +a(g100 +VaViewer +p28968 +tp28969 +a(g6 +V +tp28970 +a(g48 +VcurrentVocabulary +p28971 +tp28972 +a(g212 +V. +tp28973 +a(g6 +V\u000a +p28974 +tp28975 +a(g100 +Vinterfaces +p28976 +tp28977 +a(g6 +V +tp28978 +a(g353 +V_ +tp28979 +a(g6 +V +tp28980 +a(g87 +Vself +p28981 +tp28982 +a(g6 +V\u000a +p28983 +tp28984 +a(g48 +VmethodInterfacesInPresentationOrderFrom: +p28985 +tp28986 +a(g6 +V\u000a +p28987 +tp28988 +a(g6 +V( +tp28989 +a(g100 +VaList +p28990 +tp28991 +a(g6 +V +tp28992 +a(g48 +Vcollect: +p28993 +tp28994 +a(g6 +V +tp28995 +a(g6 +V[ +tp28996 +a(g353 +V: +tp28997 +a(g100 +VaSel +p28998 +tp28999 +a(g6 +V +tp29000 +a(g353 +V| +tp29001 +a(g6 +V +tp29002 +a(g100 +VaVocab +p29003 +tp29004 +a(g6 +V +tp29005 +a(g48 +VmethodInterfaceForSelector: +p29006 +tp29007 +a(g6 +V +tp29008 +a(g100 +VaSel +p29009 +tp29010 +a(g6 +V +tp29011 +a(g48 +Vclass: +p29012 +tp29013 +a(g6 +V +tp29014 +a(g87 +Vself +p29015 +tp29016 +a(g6 +V +tp29017 +a(g48 +Vclass +p29018 +tp29019 +a(g6 +V] +tp29020 +a(g6 +V) +tp29021 +a(g6 +V\u000a +p29022 +tp29023 +a(g48 +VforCategory: +p29024 +tp29025 +a(g6 +V +tp29026 +a(g258 +V#search +p29027 +tp29028 +a(g212 +V. +tp29029 +a(g6 +V\u000a +p29030 +tp29031 +a(g353 +V^ +tp29032 +a(g6 +V +tp29033 +a(g87 +Vself +p29034 +tp29035 +a(g6 +V +tp29036 +a(g48 +VtilePhrasesForMethodInterfaces: +p29037 +tp29038 +a(g6 +V +tp29039 +a(g100 +Vinterfaces +p29040 +tp29041 +a(g6 +V +tp29042 +a(g48 +VinViewer: +p29043 +tp29044 +a(g6 +V +tp29045 +a(g100 +VaViewer +p29046 +tp29047 +a(g138 +V! ! +p29048 +tp29049 +a(g6 +V\u000a\u000a +p29050 +tp29051 +a(g138 +V! +tp29052 +a(g133 +VObject +p29053 +tp29054 +a(g138 +V methodsFor: +p29055 +tp29056 +a(g236 +V'viewer' +p29057 +tp29058 +a(g138 +V stamp: 'sw 5/4/2001 04:51'! +p29059 +tp29060 +a(g6 +V\u000a +tp29061 +a(g48 +VtileToRefer +p29062 +tp29063 +a(g6 +V\u000a +p29064 +tp29065 +a(g24 +V"Answer a reference tile that comprises an alias to me" +p29066 +tp29067 +a(g6 +V\u000a\u000a +p29068 +tp29069 +a(g353 +V^ +tp29070 +a(g6 +V +tp29071 +a(g133 +VTileMorph +p29072 +tp29073 +a(g6 +V +tp29074 +a(g84 +Vnew +p29075 +tp29076 +a(g6 +V +tp29077 +a(g48 +VsetToReferTo: +p29078 +tp29079 +a(g6 +V +tp29080 +a(g87 +Vself +p29081 +tp29082 +a(g138 +V! ! +p29083 +tp29084 +a(g6 +V\u000a\u000a +p29085 +tp29086 +a(g138 +V! +tp29087 +a(g133 +VObject +p29088 +tp29089 +a(g138 +V methodsFor: +p29090 +tp29091 +a(g236 +V'viewer' +p29092 +tp29093 +a(g138 +V stamp: 'sd 3/30/2005 22:04'! +p29094 +tp29095 +a(g6 +V\u000a +tp29096 +a(g48 +VuniqueInstanceVariableNameLike: +p29097 +tp29098 +a(g6 +V +tp29099 +a(g100 +VaString +p29100 +tp29101 +a(g6 +V +tp29102 +a(g48 +Vexcluding: +p29103 +tp29104 +a(g6 +V +tp29105 +a(g100 +VtakenNames +p29106 +tp29107 +a(g6 +V\u000a +p29108 +tp29109 +a(g24 +V"Answer a nice instance-variable name to be added to the receiver which resembles aString, making sure it does not coincide with any element in takenNames" +p29110 +tp29111 +a(g6 +V\u000a\u000a +p29112 +tp29113 +a(g353 +V| +tp29114 +a(g100 +V okBase uniqueName usedNames +p29115 +tp29116 +a(g353 +V| +tp29117 +a(g6 +V\u000a +p29118 +tp29119 +a(g100 +VusedNames +p29120 +tp29121 +a(g6 +V +tp29122 +a(g353 +V_ +tp29123 +a(g6 +V +tp29124 +a(g87 +Vself +p29125 +tp29126 +a(g6 +V +tp29127 +a(g48 +Vclass +p29128 +tp29129 +a(g6 +V +tp29130 +a(g48 +VallInstVarNamesEverywhere +p29131 +tp29132 +a(g212 +V. +tp29133 +a(g6 +V\u000a +p29134 +tp29135 +a(g100 +VusedNames +p29136 +tp29137 +a(g6 +V +tp29138 +a(g48 +VremoveAllFoundIn: +p29139 +tp29140 +a(g6 +V +tp29141 +a(g87 +Vself +p29142 +tp29143 +a(g6 +V +tp29144 +a(g48 +Vclass +p29145 +tp29146 +a(g6 +V +tp29147 +a(g48 +VinstVarNames +p29148 +tp29149 +a(g212 +V. +tp29150 +a(g6 +V\u000a +p29151 +tp29152 +a(g100 +VusedNames +p29153 +tp29154 +a(g6 +V +tp29155 +a(g48 +VaddAll: +p29156 +tp29157 +a(g6 +V +tp29158 +a(g100 +VtakenNames +p29159 +tp29160 +a(g212 +V. +tp29161 +a(g6 +V\u000a +p29162 +tp29163 +a(g100 +VokBase +p29164 +tp29165 +a(g6 +V +tp29166 +a(g353 +V_ +tp29167 +a(g6 +V +tp29168 +a(g133 +VScanner +p29169 +tp29170 +a(g6 +V +tp29171 +a(g48 +VwellFormedInstanceVariableNameFrom: +p29172 +tp29173 +a(g6 +V +tp29174 +a(g100 +VaString +p29175 +tp29176 +a(g212 +V. +tp29177 +a(g6 +V\u000a\u000a +p29178 +tp29179 +a(g100 +VuniqueName +p29180 +tp29181 +a(g6 +V +tp29182 +a(g353 +V_ +tp29183 +a(g6 +V +tp29184 +a(g133 +VUtilities +p29185 +tp29186 +a(g6 +V +tp29187 +a(g48 +VkeyLike: +p29188 +tp29189 +a(g6 +V +tp29190 +a(g100 +VokBase +p29191 +tp29192 +a(g6 +V +tp29193 +a(g48 +Vsatisfying: +p29194 +tp29195 +a(g6 +V \u000a +p29196 +tp29197 +a(g6 +V[ +tp29198 +a(g353 +V: +tp29199 +a(g100 +VaKey +p29200 +tp29201 +a(g6 +V +tp29202 +a(g353 +V| +tp29203 +a(g6 +V +tp29204 +a(g6 +V( +tp29205 +a(g100 +VusedNames +p29206 +tp29207 +a(g6 +V +tp29208 +a(g48 +Vincludes: +p29209 +tp29210 +a(g6 +V +tp29211 +a(g100 +VaKey +p29212 +tp29213 +a(g6 +V) +tp29214 +a(g6 +V +tp29215 +a(g48 +Vnot +p29216 +tp29217 +a(g6 +V] +tp29218 +a(g212 +V. +tp29219 +a(g6 +V\u000a\u000a +p29220 +tp29221 +a(g353 +V^ +tp29222 +a(g6 +V +tp29223 +a(g100 +VuniqueName +p29224 +tp29225 +a(g138 +V! ! +p29226 +tp29227 +a(g6 +V\u000a\u000a +p29228 +tp29229 +a(g138 +V! +tp29230 +a(g133 +VObject +p29231 +tp29232 +a(g138 +V methodsFor: +p29233 +tp29234 +a(g236 +V'viewer' +p29235 +tp29236 +a(g138 +V stamp: 'sw 11/21/2001 15:16'! +p29237 +tp29238 +a(g6 +V\u000a +tp29239 +a(g48 +VuniqueNameForReference +p29240 +tp29241 +a(g6 +V\u000a +p29242 +tp29243 +a(g24 +V"Answer a nice name by which the receiver can be referred to by other objects. At present this uses a global References dictionary to hold the database of references, but in due course this will need to acquire some locality" +p29244 +tp29245 +a(g6 +V\u000a\u000a +p29246 +tp29247 +a(g353 +V| +tp29248 +a(g100 +V aName nameSym stem knownClassVars +p29249 +tp29250 +a(g353 +V| +tp29251 +a(g6 +V\u000a +p29252 +tp29253 +a(g6 +V( +tp29254 +a(g100 +VaName +p29255 +tp29256 +a(g6 +V +tp29257 +a(g353 +V_ +tp29258 +a(g6 +V +tp29259 +a(g87 +Vself +p29260 +tp29261 +a(g6 +V +tp29262 +a(g48 +VuniqueNameForReferenceOrNil +p29263 +tp29264 +a(g6 +V) +tp29265 +a(g6 +V +tp29266 +a(g48 +VifNotNil: +p29267 +tp29268 +a(g6 +V +tp29269 +a(g6 +V[ +tp29270 +a(g6 +V +tp29271 +a(g353 +V^ +tp29272 +a(g6 +V +tp29273 +a(g100 +VaName +p29274 +tp29275 +a(g6 +V] +tp29276 +a(g212 +V. +tp29277 +a(g6 +V\u000a +p29278 +tp29279 +a(g6 +V( +tp29280 +a(g100 +Vstem +p29281 +tp29282 +a(g6 +V +tp29283 +a(g353 +V_ +tp29284 +a(g6 +V +tp29285 +a(g87 +Vself +p29286 +tp29287 +a(g6 +V +tp29288 +a(g48 +VknownName +p29289 +tp29290 +a(g6 +V) +tp29291 +a(g6 +V +tp29292 +a(g48 +VifNil: +p29293 +tp29294 +a(g6 +V\u000a +p29295 +tp29296 +a(g6 +V[ +tp29297 +a(g6 +V +tp29298 +a(g100 +Vstem +p29299 +tp29300 +a(g6 +V +tp29301 +a(g353 +V_ +tp29302 +a(g6 +V +tp29303 +a(g87 +Vself +p29304 +tp29305 +a(g6 +V +tp29306 +a(g48 +VdefaultNameStemForInstances +p29307 +tp29308 +a(g6 +V +tp29309 +a(g48 +VasString +p29310 +tp29311 +a(g6 +V] +tp29312 +a(g212 +V. +tp29313 +a(g6 +V\u000a +p29314 +tp29315 +a(g100 +Vstem +p29316 +tp29317 +a(g6 +V +tp29318 +a(g353 +V_ +tp29319 +a(g6 +V +tp29320 +a(g100 +Vstem +p29321 +tp29322 +a(g6 +V +tp29323 +a(g48 +Vselect: +p29324 +tp29325 +a(g6 +V +tp29326 +a(g6 +V[ +tp29327 +a(g353 +V: +tp29328 +a(g100 +Vch +p29329 +tp29330 +a(g6 +V +tp29331 +a(g353 +V| +tp29332 +a(g6 +V +tp29333 +a(g100 +Vch +p29334 +tp29335 +a(g6 +V +tp29336 +a(g48 +VisLetter +p29337 +tp29338 +a(g6 +V +tp29339 +a(g48 +Vor: +p29340 +tp29341 +a(g6 +V +tp29342 +a(g6 +V[ +tp29343 +a(g6 +V +tp29344 +a(g100 +Vch +p29345 +tp29346 +a(g6 +V +tp29347 +a(g48 +VisDigit +p29348 +tp29349 +a(g6 +V] +tp29350 +a(g6 +V] +tp29351 +a(g212 +V. +tp29352 +a(g6 +V\u000a +p29353 +tp29354 +a(g100 +Vstem +p29355 +tp29356 +a(g6 +V +tp29357 +a(g48 +Vsize +p29358 +tp29359 +a(g6 +V +tp29360 +a(g48 +V== +p29361 +tp29362 +a(g6 +V +tp29363 +a(g223 +V0 +tp29364 +a(g6 +V +tp29365 +a(g84 +VifTrue: +p29366 +tp29367 +a(g6 +V +tp29368 +a(g6 +V[ +tp29369 +a(g6 +V +tp29370 +a(g100 +Vstem +p29371 +tp29372 +a(g6 +V +tp29373 +a(g353 +V_ +tp29374 +a(g6 +V +tp29375 +a(g236 +V'A' +p29376 +tp29377 +a(g6 +V] +tp29378 +a(g212 +V. +tp29379 +a(g6 +V\u000a +p29380 +tp29381 +a(g100 +Vstem +p29382 +tp29383 +a(g6 +V +tp29384 +a(g48 +Vfirst +p29385 +tp29386 +a(g6 +V +tp29387 +a(g48 +VisLetter +p29388 +tp29389 +a(g6 +V +tp29390 +a(g84 +VifFalse: +p29391 +tp29392 +a(g6 +V\u000a +p29393 +tp29394 +a(g6 +V[ +tp29395 +a(g6 +V +tp29396 +a(g100 +Vstem +p29397 +tp29398 +a(g6 +V +tp29399 +a(g353 +V_ +tp29400 +a(g6 +V +tp29401 +a(g236 +V'A' +p29402 +tp29403 +a(g48 +V, +tp29404 +a(g6 +V +tp29405 +a(g100 +Vstem +p29406 +tp29407 +a(g6 +V] +tp29408 +a(g212 +V. +tp29409 +a(g6 +V\u000a +p29410 +tp29411 +a(g100 +Vstem +p29412 +tp29413 +a(g6 +V +tp29414 +a(g353 +V_ +tp29415 +a(g6 +V +tp29416 +a(g100 +Vstem +p29417 +tp29418 +a(g6 +V +tp29419 +a(g48 +Vcapitalized +p29420 +tp29421 +a(g212 +V. +tp29422 +a(g6 +V\u000a +p29423 +tp29424 +a(g100 +VknownClassVars +p29425 +tp29426 +a(g6 +V +tp29427 +a(g353 +V_ +tp29428 +a(g6 +V +tp29429 +a(g133 +VScriptingSystem +p29430 +tp29431 +a(g6 +V +tp29432 +a(g48 +VallKnownClassVariableNames +p29433 +tp29434 +a(g212 +V. +tp29435 +a(g6 +V\u000a +p29436 +tp29437 +a(g100 +VaName +p29438 +tp29439 +a(g6 +V +tp29440 +a(g353 +V_ +tp29441 +a(g6 +V +tp29442 +a(g133 +VUtilities +p29443 +tp29444 +a(g6 +V +tp29445 +a(g48 +VkeyLike: +p29446 +tp29447 +a(g6 +V +p29448 +tp29449 +a(g100 +Vstem +p29450 +tp29451 +a(g6 +V +tp29452 +a(g48 +Vsatisfying: +p29453 +tp29454 +a(g6 +V\u000a +p29455 +tp29456 +a(g6 +V[ +tp29457 +a(g353 +V: +tp29458 +a(g100 +VjinaLake +p29459 +tp29460 +a(g6 +V +tp29461 +a(g353 +V| +tp29462 +a(g6 +V\u000a +p29463 +tp29464 +a(g100 +VnameSym +p29465 +tp29466 +a(g6 +V +tp29467 +a(g353 +V_ +tp29468 +a(g6 +V +tp29469 +a(g100 +VjinaLake +p29470 +tp29471 +a(g6 +V +tp29472 +a(g48 +VasSymbol +p29473 +tp29474 +a(g212 +V. +tp29475 +a(g6 +V\u000a +p29476 +tp29477 +a(g6 +V( +tp29478 +a(g6 +V( +tp29479 +a(g133 +VReferences +p29480 +tp29481 +a(g6 +V +tp29482 +a(g48 +VincludesKey: +p29483 +tp29484 +a(g6 +V +p29485 +tp29486 +a(g100 +VnameSym +p29487 +tp29488 +a(g6 +V) +tp29489 +a(g6 +V +tp29490 +a(g48 +Vnot +p29491 +tp29492 +a(g6 +V +tp29493 +a(g48 +Vand: +p29494 +tp29495 +a(g6 +V\u000a +p29496 +tp29497 +a(g6 +V[ +tp29498 +a(g6 +V +tp29499 +a(g6 +V( +tp29500 +a(g133 +VSmalltalk +p29501 +tp29502 +a(g6 +V +tp29503 +a(g48 +VincludesKey: +p29504 +tp29505 +a(g6 +V +tp29506 +a(g100 +VnameSym +p29507 +tp29508 +a(g6 +V) +tp29509 +a(g6 +V +tp29510 +a(g48 +Vnot +p29511 +tp29512 +a(g6 +V] +tp29513 +a(g6 +V) +tp29514 +a(g6 +V +tp29515 +a(g48 +Vand: +p29516 +tp29517 +a(g6 +V\u000a +p29518 +tp29519 +a(g6 +V[ +tp29520 +a(g6 +V +tp29521 +a(g6 +V( +tp29522 +a(g100 +VknownClassVars +p29523 +tp29524 +a(g6 +V +tp29525 +a(g48 +Vincludes: +p29526 +tp29527 +a(g6 +V +tp29528 +a(g100 +VnameSym +p29529 +tp29530 +a(g6 +V) +tp29531 +a(g6 +V +tp29532 +a(g48 +Vnot +p29533 +tp29534 +a(g6 +V] +tp29535 +a(g6 +V] +tp29536 +a(g212 +V. +tp29537 +a(g6 +V\u000a\u000a +p29538 +tp29539 +a(g133 +VReferences +p29540 +tp29541 +a(g6 +V +tp29542 +a(g48 +Vat: +p29543 +tp29544 +a(g6 +V +tp29545 +a(g6 +V( +tp29546 +a(g100 +VaName +p29547 +tp29548 +a(g6 +V +tp29549 +a(g353 +V_ +tp29550 +a(g6 +V +tp29551 +a(g100 +VaName +p29552 +tp29553 +a(g6 +V +tp29554 +a(g48 +VasSymbol +p29555 +tp29556 +a(g6 +V) +tp29557 +a(g6 +V +tp29558 +a(g48 +Vput: +p29559 +tp29560 +a(g6 +V +tp29561 +a(g87 +Vself +p29562 +tp29563 +a(g212 +V. +tp29564 +a(g6 +V\u000a +p29565 +tp29566 +a(g353 +V^ +tp29567 +a(g6 +V +tp29568 +a(g100 +VaName +p29569 +tp29570 +a(g138 +V! ! +p29571 +tp29572 +a(g6 +V\u000a\u000a +p29573 +tp29574 +a(g138 +V! +tp29575 +a(g133 +VObject +p29576 +tp29577 +a(g138 +V methodsFor: +p29578 +tp29579 +a(g236 +V'viewer' +p29580 +tp29581 +a(g138 +V stamp: 'md 1/17/2006 17:58'! +p29582 +tp29583 +a(g6 +V\u000a +tp29584 +a(g48 +VuniqueNameForReferenceFrom: +p29585 +tp29586 +a(g6 +V +tp29587 +a(g100 +VproposedName +p29588 +tp29589 +a(g6 +V\u000a +p29590 +tp29591 +a(g24 +V"Answer a satisfactory symbol, similar to the proposedName but obeying the rules, to represent the receiver" +p29592 +tp29593 +a(g6 +V\u000a\u000a +p29594 +tp29595 +a(g353 +V| +tp29596 +a(g100 +V aName nameSym stem okay +p29597 +tp29598 +a(g353 +V| +tp29599 +a(g6 +V\u000a +p29600 +tp29601 +a(g100 +VproposedName +p29602 +tp29603 +a(g6 +V +tp29604 +a(g48 +V= +tp29605 +a(g6 +V +tp29606 +a(g87 +Vself +p29607 +tp29608 +a(g6 +V +tp29609 +a(g48 +VuniqueNameForReferenceOrNil +p29610 +tp29611 +a(g6 +V \u000a +p29612 +tp29613 +a(g84 +VifTrue: +p29614 +tp29615 +a(g6 +V +tp29616 +a(g6 +V[ +tp29617 +a(g6 +V +tp29618 +a(g353 +V^ +tp29619 +a(g6 +V +tp29620 +a(g100 +VproposedName +p29621 +tp29622 +a(g6 +V] +tp29623 +a(g212 +V. +tp29624 +a(g6 +V +p29625 +tp29626 +a(g24 +V"No change" +p29627 +tp29628 +a(g6 +V\u000a\u000a +p29629 +tp29630 +a(g100 +Vstem +p29631 +tp29632 +a(g6 +V +tp29633 +a(g353 +V_ +tp29634 +a(g6 +V +tp29635 +a(g100 +VproposedName +p29636 +tp29637 +a(g6 +V +tp29638 +a(g48 +Vselect: +p29639 +tp29640 +a(g6 +V +tp29641 +a(g6 +V[ +tp29642 +a(g353 +V: +tp29643 +a(g100 +Vch +p29644 +tp29645 +a(g6 +V +tp29646 +a(g353 +V| +tp29647 +a(g6 +V +tp29648 +a(g100 +Vch +p29649 +tp29650 +a(g6 +V +tp29651 +a(g48 +VisLetter +p29652 +tp29653 +a(g6 +V +tp29654 +a(g48 +Vor: +p29655 +tp29656 +a(g6 +V +tp29657 +a(g6 +V[ +tp29658 +a(g6 +V +tp29659 +a(g100 +Vch +p29660 +tp29661 +a(g6 +V +tp29662 +a(g48 +VisDigit +p29663 +tp29664 +a(g6 +V] +tp29665 +a(g6 +V] +tp29666 +a(g212 +V. +tp29667 +a(g6 +V\u000a +p29668 +tp29669 +a(g100 +Vstem +p29670 +tp29671 +a(g6 +V +tp29672 +a(g48 +Vsize +p29673 +tp29674 +a(g6 +V +tp29675 +a(g48 +V== +p29676 +tp29677 +a(g6 +V +tp29678 +a(g223 +V0 +tp29679 +a(g6 +V +tp29680 +a(g84 +VifTrue: +p29681 +tp29682 +a(g6 +V +tp29683 +a(g6 +V[ +tp29684 +a(g6 +V +tp29685 +a(g100 +Vstem +p29686 +tp29687 +a(g6 +V +tp29688 +a(g353 +V_ +tp29689 +a(g6 +V +tp29690 +a(g236 +V'A' +p29691 +tp29692 +a(g6 +V] +tp29693 +a(g212 +V. +tp29694 +a(g6 +V\u000a +p29695 +tp29696 +a(g100 +Vstem +p29697 +tp29698 +a(g6 +V +tp29699 +a(g48 +Vfirst +p29700 +tp29701 +a(g6 +V +tp29702 +a(g48 +VisLetter +p29703 +tp29704 +a(g6 +V +tp29705 +a(g84 +VifFalse: +p29706 +tp29707 +a(g6 +V\u000a +p29708 +tp29709 +a(g6 +V[ +tp29710 +a(g6 +V +tp29711 +a(g100 +Vstem +p29712 +tp29713 +a(g6 +V +tp29714 +a(g353 +V_ +tp29715 +a(g6 +V +tp29716 +a(g236 +V'A' +p29717 +tp29718 +a(g48 +V, +tp29719 +a(g6 +V +tp29720 +a(g100 +Vstem +p29721 +tp29722 +a(g6 +V] +tp29723 +a(g212 +V. +tp29724 +a(g6 +V\u000a +p29725 +tp29726 +a(g100 +Vstem +p29727 +tp29728 +a(g6 +V +tp29729 +a(g353 +V_ +tp29730 +a(g6 +V +tp29731 +a(g100 +Vstem +p29732 +tp29733 +a(g6 +V +tp29734 +a(g48 +Vcapitalized +p29735 +tp29736 +a(g212 +V. +tp29737 +a(g6 +V\u000a +p29738 +tp29739 +a(g100 +VaName +p29740 +tp29741 +a(g6 +V +tp29742 +a(g353 +V_ +tp29743 +a(g6 +V +tp29744 +a(g133 +VUtilities +p29745 +tp29746 +a(g6 +V +tp29747 +a(g48 +VkeyLike: +p29748 +tp29749 +a(g6 +V +tp29750 +a(g100 +Vstem +p29751 +tp29752 +a(g6 +V +tp29753 +a(g48 +Vsatisfying: +p29754 +tp29755 +a(g6 +V\u000a +p29756 +tp29757 +a(g6 +V[ +tp29758 +a(g353 +V: +tp29759 +a(g100 +VjinaLake +p29760 +tp29761 +a(g6 +V +tp29762 +a(g353 +V| +tp29763 +a(g6 +V\u000a +p29764 +tp29765 +a(g100 +VnameSym +p29766 +tp29767 +a(g6 +V +tp29768 +a(g353 +V_ +tp29769 +a(g6 +V +tp29770 +a(g100 +VjinaLake +p29771 +tp29772 +a(g6 +V +tp29773 +a(g48 +VasSymbol +p29774 +tp29775 +a(g212 +V. +tp29776 +a(g6 +V\u000a +p29777 +tp29778 +a(g100 +Vokay +p29779 +tp29780 +a(g6 +V +tp29781 +a(g353 +V_ +tp29782 +a(g6 +V +tp29783 +a(g87 +Vtrue +p29784 +tp29785 +a(g212 +V. +tp29786 +a(g6 +V\u000a +p29787 +tp29788 +a(g6 +V( +tp29789 +a(g87 +Vself +p29790 +tp29791 +a(g6 +V +tp29792 +a(g48 +Vclass +p29793 +tp29794 +a(g6 +V +tp29795 +a(g48 +VbindingOf: +p29796 +tp29797 +a(g6 +V +tp29798 +a(g100 +VnameSym +p29799 +tp29800 +a(g6 +V) +tp29801 +a(g6 +V +tp29802 +a(g48 +VifNotNil: +p29803 +tp29804 +a(g6 +V +tp29805 +a(g6 +V[ +tp29806 +a(g6 +V +tp29807 +a(g100 +Vokay +p29808 +tp29809 +a(g6 +V +tp29810 +a(g353 +V_ +tp29811 +a(g6 +V +tp29812 +a(g87 +Vfalse +p29813 +tp29814 +a(g6 +V +tp29815 +a(g24 +V"don't use it" +p29816 +tp29817 +a(g6 +V] +tp29818 +a(g212 +V. +tp29819 +a(g6 +V\u000a +p29820 +tp29821 +a(g100 +Vokay +p29822 +tp29823 +a(g6 +V] +tp29824 +a(g212 +V. +tp29825 +a(g6 +V\u000a +p29826 +tp29827 +a(g353 +V^ +tp29828 +a(g6 +V +tp29829 +a(g100 +VaName +p29830 +tp29831 +a(g6 +V +tp29832 +a(g48 +VasSymbol +p29833 +tp29834 +a(g138 +V! ! +p29835 +tp29836 +a(g6 +V\u000a\u000a +p29837 +tp29838 +a(g138 +V! +tp29839 +a(g133 +VObject +p29840 +tp29841 +a(g138 +V methodsFor: +p29842 +tp29843 +a(g236 +V'viewer' +p29844 +tp29845 +a(g138 +V stamp: 'sw 3/15/2004 23:01'! +p29846 +tp29847 +a(g6 +V\u000a +tp29848 +a(g48 +VuniqueNameForReferenceOrNil +p29849 +tp29850 +a(g6 +V\u000a +p29851 +tp29852 +a(g24 +V"If the receiver has a unique name for reference, return it here, else return nil" +p29853 +tp29854 +a(g6 +V\u000a\u000a +p29855 +tp29856 +a(g353 +V^ +tp29857 +a(g6 +V +tp29858 +a(g133 +VReferences +p29859 +tp29860 +a(g6 +V +tp29861 +a(g48 +VkeyAtValue: +p29862 +tp29863 +a(g6 +V +tp29864 +a(g87 +Vself +p29865 +tp29866 +a(g6 +V +tp29867 +a(g48 +VifAbsent: +p29868 +tp29869 +a(g6 +V +tp29870 +a(g6 +V[ +tp29871 +a(g6 +V +tp29872 +a(g87 +Vnil +p29873 +tp29874 +a(g6 +V] +tp29875 +a(g138 +V! ! +p29876 +tp29877 +a(g6 +V\u000a\u000a +p29878 +tp29879 +a(g138 +V! +tp29880 +a(g133 +VObject +p29881 +tp29882 +a(g138 +V methodsFor: +p29883 +tp29884 +a(g236 +V'viewer' +p29885 +tp29886 +a(g138 +V stamp: 'ar 5/16/2001 01:40'! +p29887 +tp29888 +a(g6 +V\u000a +tp29889 +a(g48 +VupdateThresholdForGraphicInViewerTab +p29890 +tp29891 +a(g6 +V\u000a +p29892 +tp29893 +a(g24 +V"When a Viewer is open on the receiver, its tab needs some graphic to show to the user. Computing this graphic can take quite some time so we want to make the update frequency depending on how long it takes to compute the thumbnail. The threshold returned by this method defines that the viewer will update at most every 'threshold * timeItTakesToDraw' milliseconds. Thus, if the time for computing the receiver's thumbnail is 200 msecs and the the threshold is 10, the viewer will update at most every two seconds." +p29894 +tp29895 +a(g6 +V\u000a +p29896 +tp29897 +a(g353 +V^ +tp29898 +a(g223 +V20 +p29899 +tp29900 +a(g6 +V +tp29901 +a(g24 +V"seems to be a pretty good general choice" +p29902 +tp29903 +a(g138 +V! ! +p29904 +tp29905 +a(g6 +V\u000a\u000a +p29906 +tp29907 +a(g138 +V! +tp29908 +a(g133 +VObject +p29909 +tp29910 +a(g138 +V methodsFor: +p29911 +tp29912 +a(g236 +V'viewer' +p29913 +tp29914 +a(g138 +V stamp: 'sw 3/9/2001 13:48'! +p29915 +tp29916 +a(g6 +V\u000a +tp29917 +a(g48 +VusableMethodInterfacesIn: +p29918 +tp29919 +a(g6 +V +tp29920 +a(g100 +VaListOfMethodInterfaces +p29921 +tp29922 +a(g6 +V\u000a +p29923 +tp29924 +a(g24 +V"Filter aList, returning a subset list of apt phrases" +p29925 +tp29926 +a(g6 +V\u000a\u000a +p29927 +tp29928 +a(g353 +V^ +tp29929 +a(g6 +V +tp29930 +a(g100 +VaListOfMethodInterfaces +p29931 +tp29932 +a(g6 +V\u000a +tp29933 +a(g138 +V! ! +p29934 +tp29935 +a(g6 +V\u000a\u000a\u000a +p29936 +tp29937 +a(g138 +V! +tp29938 +a(g133 +VObject +p29939 +tp29940 +a(g138 +V methodsFor: +p29941 +tp29942 +a(g236 +V'world hacking' +p29943 +tp29944 +a(g138 +V stamp: 'ar 3/17/2001 23:45'! +p29945 +tp29946 +a(g6 +V\u000a +tp29947 +a(g48 +VcouldOpenInMorphic +p29948 +tp29949 +a(g6 +V\u000a +tp29950 +a(g6 +V\u000a +p29951 +tp29952 +a(g24 +V"is there an obvious morphic world in which to open a new morph?" +p29953 +tp29954 +a(g6 +V\u000a\u000a +p29955 +tp29956 +a(g353 +V^ +tp29957 +a(g133 +VWorld +p29958 +tp29959 +a(g6 +V +tp29960 +a(g48 +VnotNil +p29961 +tp29962 +a(g6 +V +tp29963 +a(g48 +Vor: +p29964 +tp29965 +a(g6 +V +tp29966 +a(g6 +V[ +tp29967 +a(g6 +V +tp29968 +a(g133 +VActiveWorld +p29969 +tp29970 +a(g6 +V +tp29971 +a(g48 +VnotNil +p29972 +tp29973 +a(g6 +V] +tp29974 +a(g138 +V! ! +p29975 +tp29976 +a(g6 +V\u000a\u000a\u000a +p29977 +tp29978 +a(g138 +V! +tp29979 +a(g133 +VObject +p29980 +tp29981 +a(g138 +V methodsFor: +p29982 +tp29983 +a(g236 +V'private' +p29984 +tp29985 +a(g138 +V! +tp29986 +a(g6 +V\u000a +tp29987 +a(g48 +VerrorImproperStore +p29988 +tp29989 +a(g6 +V\u000a +p29990 +tp29991 +a(g24 +V"Create an error notification that an improper store was attempted." +p29992 +tp29993 +a(g6 +V\u000a\u000a +p29994 +tp29995 +a(g87 +Vself +p29996 +tp29997 +a(g6 +V +tp29998 +a(g48 +Verror: +p29999 +tp30000 +a(g6 +V +tp30001 +a(g236 +V'Improper store into indexable object' +p30002 +tp30003 +a(g138 +V! ! +p30004 +tp30005 +a(g6 +V\u000a\u000a +p30006 +tp30007 +a(g138 +V! +tp30008 +a(g133 +VObject +p30009 +tp30010 +a(g138 +V methodsFor: +p30011 +tp30012 +a(g236 +V'private' +p30013 +tp30014 +a(g138 +V! +tp30015 +a(g6 +V\u000a +tp30016 +a(g48 +VerrorNonIntegerIndex +p30017 +tp30018 +a(g6 +V\u000a +p30019 +tp30020 +a(g24 +V"Create an error notification that an improper object was used as an index." +p30021 +tp30022 +a(g6 +V\u000a\u000a +p30023 +tp30024 +a(g87 +Vself +p30025 +tp30026 +a(g6 +V +tp30027 +a(g48 +Verror: +p30028 +tp30029 +a(g6 +V +tp30030 +a(g236 +V'only integers should be used as indices' +p30031 +tp30032 +a(g138 +V! ! +p30033 +tp30034 +a(g6 +V\u000a\u000a +p30035 +tp30036 +a(g138 +V! +tp30037 +a(g133 +VObject +p30038 +tp30039 +a(g138 +V methodsFor: +p30040 +tp30041 +a(g236 +V'private' +p30042 +tp30043 +a(g138 +V stamp: 'yo 6/29/2004 11:37'! +p30044 +tp30045 +a(g6 +V\u000a +tp30046 +a(g48 +VerrorNotIndexable +p30047 +tp30048 +a(g6 +V\u000a +p30049 +tp30050 +a(g24 +V"Create an error notification that the receiver is not indexable." +p30051 +tp30052 +a(g6 +V\u000a\u000a +p30053 +tp30054 +a(g87 +Vself +p30055 +tp30056 +a(g6 +V +tp30057 +a(g48 +Verror: +p30058 +tp30059 +a(g6 +V +tp30060 +a(g6 +V( +tp30061 +a(g236 +V'Instances of {1} are not indexable' +p30062 +tp30063 +a(g6 +V +tp30064 +a(g48 +Vtranslated +p30065 +tp30066 +a(g6 +V +tp30067 +a(g48 +Vformat: +p30068 +tp30069 +a(g6 +V +tp30070 +a(g6 +V{ +tp30071 +a(g87 +Vself +p30072 +tp30073 +a(g6 +V +tp30074 +a(g48 +Vclass +p30075 +tp30076 +a(g6 +V +tp30077 +a(g48 +Vname +p30078 +tp30079 +a(g6 +V} +tp30080 +a(g6 +V) +tp30081 +a(g138 +V! ! +p30082 +tp30083 +a(g6 +V\u000a\u000a +p30084 +tp30085 +a(g138 +V! +tp30086 +a(g133 +VObject +p30087 +tp30088 +a(g138 +V methodsFor: +p30089 +tp30090 +a(g236 +V'private' +p30091 +tp30092 +a(g138 +V! +tp30093 +a(g6 +V\u000a +tp30094 +a(g48 +VerrorSubscriptBounds: +p30095 +tp30096 +a(g6 +V +tp30097 +a(g100 +Vindex +p30098 +tp30099 +a(g6 +V \u000a +p30100 +tp30101 +a(g24 +V"Create an error notification that an improper integer was used as an index." +p30102 +tp30103 +a(g6 +V\u000a\u000a +p30104 +tp30105 +a(g87 +Vself +p30106 +tp30107 +a(g6 +V +tp30108 +a(g48 +Verror: +p30109 +tp30110 +a(g6 +V +tp30111 +a(g236 +V'subscript is out of bounds: ' +p30112 +tp30113 +a(g6 +V +tp30114 +a(g48 +V, +tp30115 +a(g6 +V +tp30116 +a(g100 +Vindex +p30117 +tp30118 +a(g6 +V +tp30119 +a(g48 +VprintString +p30120 +tp30121 +a(g138 +V! ! +p30122 +tp30123 +a(g6 +V\u000a\u000a +p30124 +tp30125 +a(g138 +V! +tp30126 +a(g133 +VObject +p30127 +tp30128 +a(g138 +V methodsFor: +p30129 +tp30130 +a(g236 +V'private' +p30131 +tp30132 +a(g138 +V stamp: 'ar 2/6/2004 14:47'! +p30133 +tp30134 +a(g6 +V\u000a +tp30135 +a(g48 +VprimitiveError: +p30136 +tp30137 +a(g6 +V +tp30138 +a(g100 +VaString +p30139 +tp30140 +a(g6 +V \u000a +p30141 +tp30142 +a(g24 +V"This method is called when the error handling results in a recursion in \u000a calling on error: or halt or halt:." +p30143 +tp30144 +a(g6 +V\u000a\u000a +p30145 +tp30146 +a(g353 +V| +tp30147 +a(g100 +V context +p30148 +tp30149 +a(g353 +V| +tp30150 +a(g6 +V\u000a +p30151 +tp30152 +a(g6 +V( +tp30153 +a(g133 +VString +p30154 +tp30155 +a(g6 +V\u000a +p30156 +tp30157 +a(g48 +VstreamContents: +p30158 +tp30159 +a(g6 +V \u000a +p30160 +tp30161 +a(g6 +V[ +tp30162 +a(g353 +V: +tp30163 +a(g100 +Vs +tp30164 +a(g6 +V +tp30165 +a(g353 +V| +tp30166 +a(g6 +V\u000a +p30167 +tp30168 +a(g100 +Vs +tp30169 +a(g6 +V +tp30170 +a(g48 +VnextPutAll: +p30171 +tp30172 +a(g6 +V +tp30173 +a(g236 +V'***System error handling failed***' +p30174 +tp30175 +a(g212 +V. +tp30176 +a(g6 +V\u000a +p30177 +tp30178 +a(g100 +Vs +tp30179 +a(g6 +V +tp30180 +a(g48 +Vcr +p30181 +tp30182 +a(g212 +V; +tp30183 +a(g6 +V +tp30184 +a(g48 +VnextPutAll: +p30185 +tp30186 +a(g6 +V +tp30187 +a(g100 +VaString +p30188 +tp30189 +a(g212 +V. +tp30190 +a(g6 +V\u000a +p30191 +tp30192 +a(g100 +Vcontext +p30193 +tp30194 +a(g6 +V +tp30195 +a(g353 +V_ +tp30196 +a(g6 +V +tp30197 +a(g87 +VthisContext +p30198 +tp30199 +a(g6 +V +tp30200 +a(g48 +Vsender +p30201 +tp30202 +a(g6 +V +tp30203 +a(g48 +Vsender +p30204 +tp30205 +a(g212 +V. +tp30206 +a(g6 +V\u000a +p30207 +tp30208 +a(g223 +V20 +p30209 +tp30210 +a(g6 +V +tp30211 +a(g84 +VtimesRepeat: +p30212 +tp30213 +a(g6 +V +tp30214 +a(g6 +V[ +tp30215 +a(g6 +V +tp30216 +a(g100 +Vcontext +p30217 +tp30218 +a(g6 +V +tp30219 +a(g48 +V== +p30220 +tp30221 +a(g6 +V +tp30222 +a(g87 +Vnil +p30223 +tp30224 +a(g6 +V +tp30225 +a(g84 +VifFalse: +p30226 +tp30227 +a(g6 +V +tp30228 +a(g6 +V[ +tp30229 +a(g6 +V +tp30230 +a(g100 +Vs +tp30231 +a(g6 +V +tp30232 +a(g48 +Vcr +p30233 +tp30234 +a(g212 +V; +tp30235 +a(g6 +V +tp30236 +a(g48 +Vprint: +p30237 +tp30238 +a(g6 +V +tp30239 +a(g6 +V( +tp30240 +a(g100 +Vcontext +p30241 +tp30242 +a(g6 +V +tp30243 +a(g353 +V_ +tp30244 +a(g6 +V +tp30245 +a(g100 +Vcontext +p30246 +tp30247 +a(g6 +V +tp30248 +a(g48 +Vsender +p30249 +tp30250 +a(g6 +V) +tp30251 +a(g6 +V] +tp30252 +a(g6 +V] +tp30253 +a(g212 +V. +tp30254 +a(g6 +V\u000a +p30255 +tp30256 +a(g100 +Vs +tp30257 +a(g6 +V +tp30258 +a(g48 +Vcr +p30259 +tp30260 +a(g212 +V; +tp30261 +a(g6 +V +tp30262 +a(g48 +VnextPutAll: +p30263 +tp30264 +a(g6 +V +tp30265 +a(g236 +V'-------------------------------' +p30266 +tp30267 +a(g212 +V. +tp30268 +a(g6 +V\u000a +p30269 +tp30270 +a(g100 +Vs +tp30271 +a(g6 +V +tp30272 +a(g48 +Vcr +p30273 +tp30274 +a(g212 +V; +tp30275 +a(g6 +V +tp30276 +a(g48 +VnextPutAll: +p30277 +tp30278 +a(g6 +V +tp30279 +a(g236 +V'Type CR to enter an emergency evaluator.' +p30280 +tp30281 +a(g212 +V. +tp30282 +a(g6 +V\u000a +p30283 +tp30284 +a(g100 +Vs +tp30285 +a(g6 +V +tp30286 +a(g48 +Vcr +p30287 +tp30288 +a(g212 +V; +tp30289 +a(g6 +V +tp30290 +a(g48 +VnextPutAll: +p30291 +tp30292 +a(g6 +V +tp30293 +a(g236 +V'Type any other character to restart.' +p30294 +tp30295 +a(g6 +V] +tp30296 +a(g6 +V) +tp30297 +a(g6 +V\u000a +p30298 +tp30299 +a(g48 +VdisplayAt: +p30300 +tp30301 +a(g6 +V +tp30302 +a(g223 +V0 +tp30303 +a(g6 +V +tp30304 +a(g48 +V@ +tp30305 +a(g6 +V +tp30306 +a(g223 +V0 +tp30307 +a(g212 +V. +tp30308 +a(g6 +V\u000a +p30309 +tp30310 +a(g6 +V[ +tp30311 +a(g6 +V +tp30312 +a(g133 +VSensor +p30313 +tp30314 +a(g6 +V +tp30315 +a(g48 +VkeyboardPressed +p30316 +tp30317 +a(g6 +V] +tp30318 +a(g6 +V +tp30319 +a(g48 +VwhileFalse +p30320 +tp30321 +a(g212 +V. +tp30322 +a(g6 +V\u000a +p30323 +tp30324 +a(g133 +VSensor +p30325 +tp30326 +a(g6 +V +tp30327 +a(g48 +Vkeyboard +p30328 +tp30329 +a(g6 +V +tp30330 +a(g48 +V= +tp30331 +a(g6 +V +tp30332 +a(g133 +VCharacter +p30333 +tp30334 +a(g6 +V +tp30335 +a(g48 +Vcr +p30336 +tp30337 +a(g6 +V +tp30338 +a(g84 +VifTrue: +p30339 +tp30340 +a(g6 +V +tp30341 +a(g6 +V[ +tp30342 +a(g6 +V +tp30343 +a(g133 +VTranscripter +p30344 +tp30345 +a(g6 +V +tp30346 +a(g48 +VemergencyEvaluator +p30347 +tp30348 +a(g6 +V] +tp30349 +a(g212 +V. +tp30350 +a(g6 +V\u000a +p30351 +tp30352 +a(g133 +VSmalltalk +p30353 +tp30354 +a(g6 +V +tp30355 +a(g48 +VisMorphic +p30356 +tp30357 +a(g6 +V\u000a +p30358 +tp30359 +a(g84 +VifTrue: +p30360 +tp30361 +a(g6 +V +tp30362 +a(g6 +V[ +tp30363 +a(g6 +V +tp30364 +a(g133 +VWorld +p30365 +tp30366 +a(g6 +V +tp30367 +a(g48 +Vinstall +p30368 +tp30369 +a(g6 +V +tp30370 +a(g24 +V"init hands and redisplay" +p30371 +tp30372 +a(g6 +V] +tp30373 +a(g6 +V\u000a +p30374 +tp30375 +a(g84 +VifFalse: +p30376 +tp30377 +a(g6 +V +tp30378 +a(g6 +V[ +tp30379 +a(g6 +V +tp30380 +a(g133 +VScheduledControllers +p30381 +tp30382 +a(g6 +V +tp30383 +a(g48 +VsearchForActiveController +p30384 +tp30385 +a(g6 +V] +tp30386 +a(g138 +V! ! +p30387 +tp30388 +a(g6 +V\u000a\u000a +p30389 +tp30390 +a(g138 +V! +tp30391 +a(g133 +VObject +p30392 +tp30393 +a(g138 +V methodsFor: +p30394 +tp30395 +a(g236 +V'private' +p30396 +tp30397 +a(g138 +V! +tp30398 +a(g6 +V\u000a +tp30399 +a(g48 +Vspecies +p30400 +tp30401 +a(g6 +V\u000a +p30402 +tp30403 +a(g24 +V"Answer the preferred class for reconstructing the receiver. For example, \u000a collections create new collections whenever enumeration messages such as \u000a collect: or select: are invoked. The new kind of collection is determined by \u000a the species of the original collection. Species and class are not always the \u000a same. For example, the species of Interval is Array." +p30404 +tp30405 +a(g6 +V\u000a\u000a +p30406 +tp30407 +a(g353 +V^ +tp30408 +a(g87 +Vself +p30409 +tp30410 +a(g6 +V +tp30411 +a(g48 +Vclass +p30412 +tp30413 +a(g138 +V! ! +p30414 +tp30415 +a(g6 +V\u000a\u000a +p30416 +tp30417 +a(g138 +V! +tp30418 +a(g133 +VObject +p30419 +tp30420 +a(g138 +V methodsFor: +p30421 +tp30422 +a(g236 +V'private' +p30423 +tp30424 +a(g138 +V! +tp30425 +a(g6 +V\u000a +tp30426 +a(g48 +VstoreAt: +p30427 +tp30428 +a(g6 +V +tp30429 +a(g100 +Voffset +p30430 +tp30431 +a(g6 +V +tp30432 +a(g48 +VinTempFrame: +p30433 +tp30434 +a(g6 +V +tp30435 +a(g100 +VaContext +p30436 +tp30437 +a(g6 +V\u000a +p30438 +tp30439 +a(g24 +V"This message had to get sent to an expression already on the stack\u000a as a Block argument being accessed by the debugger.\u000a Just re-route it to the temp frame." +p30440 +tp30441 +a(g6 +V\u000a +p30442 +tp30443 +a(g353 +V^ +tp30444 +a(g6 +V +tp30445 +a(g100 +VaContext +p30446 +tp30447 +a(g6 +V +tp30448 +a(g48 +VtempAt: +p30449 +tp30450 +a(g6 +V +tp30451 +a(g100 +Voffset +p30452 +tp30453 +a(g6 +V +tp30454 +a(g48 +Vput: +p30455 +tp30456 +a(g6 +V +tp30457 +a(g87 +Vself +p30458 +tp30459 +a(g138 +V! ! +p30460 +tp30461 +a(g6 +V\u000a\u000a +p30462 +tp30463 +a(g138 +V"-- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- -- "! +p30464 +tp30465 +a(g6 +V\u000a\u000a +p30466 +tp30467 +a(g133 +VObject class +p30468 +tp30469 +a(g138 +V\u000a instanceVariableNames: +p30470 +tp30471 +a(g236 +V'' +p30472 +tp30473 +a(g138 +V! +tp30474 +a(g6 +V\u000a\u000a +p30475 +tp30476 +a(g138 +V! +tp30477 +a(g133 +VObject class +p30478 +tp30479 +a(g138 +V methodsFor: +p30480 +tp30481 +a(g236 +V'*Pinesoft-Widgets' +p30482 +tp30483 +a(g138 +V stamp: 'gvc 4/17/2007 17:40'! +p30484 +tp30485 +a(g6 +V\u000a +tp30486 +a(g48 +VtaskbarIcon +p30487 +tp30488 +a(g6 +V\u000a +p30489 +tp30490 +a(g24 +V"Answer the icon for an instance of the receiver in a task bar\u000a or nil for the default." +p30491 +tp30492 +a(g6 +V\u000a\u000a +p30493 +tp30494 +a(g353 +V^ +tp30495 +a(g87 +Vnil +p30496 +tp30497 +a(g138 +V! ! +p30498 +tp30499 +a(g6 +V\u000a\u000a\u000a +p30500 +tp30501 +a(g138 +V! +tp30502 +a(g133 +VObject class +p30503 +tp30504 +a(g138 +V methodsFor: +p30505 +tp30506 +a(g236 +V'*magritte-model-accessing' +p30507 +tp30508 +a(g138 +V stamp: 'lr 3/27/2006 15:47'! +p30509 +tp30510 +a(g6 +V\u000a +tp30511 +a(g48 +Vdescription +p30512 +tp30513 +a(g6 +V\u000a +p30514 +tp30515 +a(g353 +V^ +tp30516 +a(g6 +V +tp30517 +a(g133 +VMADescriptionBuilder +p30518 +tp30519 +a(g6 +V +tp30520 +a(g48 +Vfor: +p30521 +tp30522 +a(g6 +V +tp30523 +a(g87 +Vself +p30524 +tp30525 +a(g138 +V! ! +p30526 +tp30527 +a(g6 +V\u000a\u000a\u000a +p30528 +tp30529 +a(g138 +V! +tp30530 +a(g133 +VObject class +p30531 +tp30532 +a(g138 +V methodsFor: +p30533 +tp30534 +a(g236 +V'class initialization' +p30535 +tp30536 +a(g138 +V stamp: 'ar 2/11/2001 02:00'! +p30537 +tp30538 +a(g6 +V\u000a +tp30539 +a(g48 +VflushDependents +p30540 +tp30541 +a(g6 +V\u000a +p30542 +tp30543 +a(g133 +VDependentsFields +p30544 +tp30545 +a(g6 +V +tp30546 +a(g48 +VkeysAndValuesDo: +p30547 +tp30548 +a(g6 +V[ +tp30549 +a(g353 +V: +tp30550 +a(g100 +Vkey +p30551 +tp30552 +a(g6 +V +tp30553 +a(g353 +V: +tp30554 +a(g100 +Vdep +p30555 +tp30556 +a(g353 +V| +tp30557 +a(g6 +V\u000a +p30558 +tp30559 +a(g100 +Vkey +p30560 +tp30561 +a(g6 +V +tp30562 +a(g48 +VifNotNil: +p30563 +tp30564 +a(g6 +V[ +tp30565 +a(g6 +V +tp30566 +a(g100 +Vkey +p30567 +tp30568 +a(g6 +V +tp30569 +a(g48 +VremoveDependent: +p30570 +tp30571 +a(g6 +V +tp30572 +a(g87 +Vnil +p30573 +tp30574 +a(g6 +V] +tp30575 +a(g212 +V. +tp30576 +a(g6 +V\u000a +p30577 +tp30578 +a(g6 +V] +tp30579 +a(g212 +V. +tp30580 +a(g6 +V\u000a +p30581 +tp30582 +a(g133 +VDependentsFields +p30583 +tp30584 +a(g6 +V +tp30585 +a(g48 +VfinalizeValues +p30586 +tp30587 +a(g212 +V. +tp30588 +a(g138 +V! ! +p30589 +tp30590 +a(g6 +V\u000a\u000a +p30591 +tp30592 +a(g138 +V! +tp30593 +a(g133 +VObject class +p30594 +tp30595 +a(g138 +V methodsFor: +p30596 +tp30597 +a(g236 +V'class initialization' +p30598 +tp30599 +a(g138 +V stamp: 'rw 2/10/2002 13:09'! +p30600 +tp30601 +a(g6 +V\u000a +tp30602 +a(g48 +VflushEvents +p30603 +tp30604 +a(g6 +V\u000a +p30605 +tp30606 +a(g24 +V"Object flushEvents" +p30607 +tp30608 +a(g6 +V\u000a\u000a +p30609 +tp30610 +a(g133 +VEventManager +p30611 +tp30612 +a(g6 +V +tp30613 +a(g48 +VflushEvents +p30614 +tp30615 +a(g212 +V. +tp30616 +a(g6 +V +tp30617 +a(g138 +V! ! +p30618 +tp30619 +a(g6 +V\u000a\u000a +p30620 +tp30621 +a(g138 +V! +tp30622 +a(g133 +VObject class +p30623 +tp30624 +a(g138 +V methodsFor: +p30625 +tp30626 +a(g236 +V'class initialization' +p30627 +tp30628 +a(g138 +V stamp: 'rww 10/2/2001 07:35'! +p30629 +tp30630 +a(g6 +V\u000a +tp30631 +a(g48 +Vinitialize +p30632 +tp30633 +a(g6 +V\u000a +p30634 +tp30635 +a(g24 +V"Object initialize" +p30636 +tp30637 +a(g6 +V\u000a +p30638 +tp30639 +a(g133 +VDependentsFields +p30640 +tp30641 +a(g6 +V +tp30642 +a(g48 +VifNil: +p30643 +tp30644 +a(g6 +V[ +tp30645 +a(g6 +V +tp30646 +a(g87 +Vself +p30647 +tp30648 +a(g6 +V +tp30649 +a(g48 +VinitializeDependentsFields +p30650 +tp30651 +a(g6 +V] +tp30652 +a(g212 +V. +tp30653 +a(g138 +V! ! +p30654 +tp30655 +a(g6 +V\u000a\u000a +p30656 +tp30657 +a(g138 +V! +tp30658 +a(g133 +VObject class +p30659 +tp30660 +a(g138 +V methodsFor: +p30661 +tp30662 +a(g236 +V'class initialization' +p30663 +tp30664 +a(g138 +V stamp: 'ar 2/11/2001 01:41'! +p30665 +tp30666 +a(g6 +V\u000a +tp30667 +a(g48 +VinitializeDependentsFields +p30668 +tp30669 +a(g6 +V\u000a +p30670 +tp30671 +a(g24 +V"Object initialize" +p30672 +tp30673 +a(g6 +V\u000a +p30674 +tp30675 +a(g133 +VDependentsFields +p30676 +tp30677 +a(g6 +V +tp30678 +a(g353 +V_ +tp30679 +a(g6 +V +tp30680 +a(g133 +VWeakIdentityKeyDictionary +p30681 +tp30682 +a(g6 +V +tp30683 +a(g84 +Vnew +p30684 +tp30685 +a(g212 +V. +tp30686 +a(g6 +V\u000a +tp30687 +a(g138 +V! ! +p30688 +tp30689 +a(g6 +V\u000a\u000a +p30690 +tp30691 +a(g138 +V! +tp30692 +a(g133 +VObject class +p30693 +tp30694 +a(g138 +V methodsFor: +p30695 +tp30696 +a(g236 +V'class initialization' +p30697 +tp30698 +a(g138 +V stamp: 'ar 2/11/2001 01:45'! +p30699 +tp30700 +a(g6 +V\u000a +tp30701 +a(g48 +VreInitializeDependentsFields +p30702 +tp30703 +a(g6 +V\u000a +p30704 +tp30705 +a(g24 +V"Object reInitializeDependentsFields" +p30706 +tp30707 +a(g6 +V\u000a +p30708 +tp30709 +a(g353 +V| +tp30710 +a(g100 +V oldFields +p30711 +tp30712 +a(g353 +V| +tp30713 +a(g6 +V\u000a +p30714 +tp30715 +a(g100 +VoldFields +p30716 +tp30717 +a(g6 +V +tp30718 +a(g353 +V_ +tp30719 +a(g6 +V +tp30720 +a(g133 +VDependentsFields +p30721 +tp30722 +a(g212 +V. +tp30723 +a(g6 +V\u000a +p30724 +tp30725 +a(g133 +VDependentsFields +p30726 +tp30727 +a(g6 +V +tp30728 +a(g353 +V_ +tp30729 +a(g6 +V +tp30730 +a(g133 +VWeakIdentityKeyDictionary +p30731 +tp30732 +a(g6 +V +tp30733 +a(g84 +Vnew +p30734 +tp30735 +a(g212 +V. +tp30736 +a(g6 +V\u000a +p30737 +tp30738 +a(g100 +VoldFields +p30739 +tp30740 +a(g6 +V +tp30741 +a(g48 +VkeysAndValuesDo: +p30742 +tp30743 +a(g6 +V[ +tp30744 +a(g353 +V: +tp30745 +a(g100 +Vobj +p30746 +tp30747 +a(g6 +V +tp30748 +a(g353 +V: +tp30749 +a(g100 +Vdeps +p30750 +tp30751 +a(g353 +V| +tp30752 +a(g6 +V\u000a +p30753 +tp30754 +a(g100 +Vdeps +p30755 +tp30756 +a(g6 +V +tp30757 +a(g48 +Vdo: +p30758 +tp30759 +a(g6 +V[ +tp30760 +a(g353 +V: +tp30761 +a(g100 +Vd +tp30762 +a(g353 +V| +tp30763 +a(g6 +V +tp30764 +a(g100 +Vobj +p30765 +tp30766 +a(g6 +V +tp30767 +a(g48 +VaddDependent: +p30768 +tp30769 +a(g6 +V +tp30770 +a(g100 +Vd +tp30771 +a(g6 +V] +tp30772 +a(g6 +V] +tp30773 +a(g212 +V. +tp30774 +a(g6 +V\u000a +tp30775 +a(g138 +V! ! +p30776 +tp30777 +a(g6 +V\u000a\u000a\u000a +p30778 +tp30779 +a(g138 +V! +tp30780 +a(g133 +VObject class +p30781 +tp30782 +a(g138 +V methodsFor: +p30783 +tp30784 +a(g236 +V'documentation' +p30785 +tp30786 +a(g138 +V! +tp30787 +a(g6 +V\u000a +tp30788 +a(g48 +VhowToModifyPrimitives +p30789 +tp30790 +a(g6 +V\u000a +p30791 +tp30792 +a(g24 +V"You are allowed to write methods which specify primitives, but please use \u000a caution. If you make a subclass of a class which contains a primitive method, \u000a the subclass inherits the primitive. The message which is implemented \u000a primitively may be overridden in the subclass (E.g., see at:put: in String's \u000a subclass Symbol). The primitive behavior can be invoked using super (see \u000a Symbol string:). \u000a \u000a A class which attempts to mimic the behavior of another class without being \u000a its subclass may or may not be able to use the primitives of the original class. \u000a In general, if the instance variables read or written by a primitive have the \u000a same meanings and are in the same fields in both classes, the primitive will \u000a work. \u000a\u000a For certain frequently used 'special selectors', the compiler emits a \u000a send-special-selector bytecode instead of a send-message bytecode. \u000a Special selectors were created because they offer two advantages. Code \u000a which sends special selectors compiles into fewer bytes than normal. For \u000a some pairs of receiver classes and special selectors, the interpreter jumps \u000a directly to a primitive routine without looking up the method in the class. \u000a This is much faster than a normal message lookup. \u000a \u000a A selector which is a special selector solely in order to save space has a \u000a normal behavior. Methods whose selectors are special in order to \u000a gain speed contain the comment, 'No Lookup'. When the interpreter \u000a encounters a send-special-selector bytecode, it checks the class of the \u000a receiver and the selector. If the class-selector pair is a no-lookup pair, \u000a then the interpreter swiftly jumps to the routine which implements the \u000a corresponding primitive. (A special selector whose receiver is not of the \u000a right class to make a no-lookup pair, is looked up normally). The pairs are \u000a listed below. No-lookup methods contain a primitive number specification, \u000a , which is redundant. Since the method is not normally looked \u000a up, deleting the primitive number specification cannot prevent this \u000a primitive from running. If a no-lookup primitive fails, the method is looked \u000a up normally, and the expressions in it are executed. \u000a \u000a No Lookup pairs of (class, selector) \u000a \u000a SmallInteger with any of + - * / \u005c\u005c bitOr: bitShift: bitAnd: // \u000a SmallInteger with any of = ~= > < >= <= \u000a Any class with == \u000a Any class with @ \u000a Point with either of x y \u000a ContextPart with blockCopy: \u000a BlockContext with either of value value:\u000a " +p30793 +tp30794 +a(g6 +V\u000a\u000a +p30795 +tp30796 +a(g87 +Vself +p30797 +tp30798 +a(g6 +V +tp30799 +a(g48 +Verror: +p30800 +tp30801 +a(g6 +V +tp30802 +a(g236 +V'comment only' +p30803 +tp30804 +a(g138 +V! ! +p30805 +tp30806 +a(g6 +V\u000a\u000a +p30807 +tp30808 +a(g138 +V! +tp30809 +a(g133 +VObject class +p30810 +tp30811 +a(g138 +V methodsFor: +p30812 +tp30813 +a(g236 +V'documentation' +p30814 +tp30815 +a(g138 +V! +tp30816 +a(g6 +V\u000a +tp30817 +a(g48 +VwhatIsAPrimitive +p30818 +tp30819 +a(g6 +V\u000a +p30820 +tp30821 +a(g24 +V"Some messages in the system are responded to primitively. A primitive \u000a response is performed directly by the interpreter rather than by evaluating \u000a expressions in a method. The methods for these messages indicate the \u000a presence of a primitive response by including before the \u000a first expression in the method. \u000a \u000a Primitives exist for several reasons. Certain basic or 'primitive' \u000a operations cannot be performed in any other way. Smalltalk without \u000a primitives can move values from one variable to another, but cannot add two \u000a SmallIntegers together. Many methods for arithmetic and comparison \u000a between numbers are primitives. Some primitives allow Smalltalk to \u000a communicate with I/O devices such as the disk, the display, and the keyboard. \u000a Some primitives exist only to make the system run faster; each does the same \u000a thing as a certain Smalltalk method, and its implementation as a primitive is \u000a optional. \u000a \u000a When the Smalltalk interpreter begins to execute a method which specifies a \u000a primitive response, it tries to perform the primitive action and to return a \u000a result. If the routine in the interpreter for this primitive is successful, \u000a it will return a value and the expressions in the method will not be evaluated. \u000a If the primitive routine is not successful, the primitive 'fails', and the \u000a Smalltalk expressions in the method are executed instead. These \u000a expressions are evaluated as though the primitive routine had not been \u000a called. \u000a \u000a The Smalltalk code that is evaluated when a primitive fails usually \u000a anticipates why that primitive might fail. If the primitive is optional, the \u000a expressions in the method do exactly what the primitive would have done (See \u000a Number @). If the primitive only works on certain classes of arguments, the \u000a Smalltalk code tries to coerce the argument or appeals to a superclass to find \u000a a more general way of doing the operation (see SmallInteger +). If the \u000a primitive is never supposed to fail, the expressions signal an error (see \u000a SmallInteger asFloat). \u000a \u000a Each method that specifies a primitive has a comment in it. If the primitive is \u000a optional, the comment will say 'Optional'. An optional primitive that is not \u000a implemented always fails, and the Smalltalk expressions do the work \u000a instead. \u000a \u000a If a primitive is not optional, the comment will say, 'Essential'. Some \u000a methods will have the comment, 'No Lookup'. See Object \u000a howToModifyPrimitives for an explanation of special selectors which are \u000a not looked up. \u000a \u000a For the primitives for +, -, *, and bitShift: in SmallInteger, and truncated \u000a in Float, the primitive constructs and returns a 16-bit \u000a LargePositiveInteger when the result warrants it. Returning 16-bit \u000a LargePositiveIntegers from these primitives instead of failing is \u000a optional in the same sense that the LargePositiveInteger arithmetic \u000a primitives are optional. The comments in the SmallInteger primitives say, \u000a 'Fails if result is not a SmallInteger', even though the implementor has the \u000a option to construct a LargePositiveInteger. For further information on \u000a primitives, see the 'Primitive Methods' part of the chapter on the formal \u000a specification of the interpreter in the Smalltalk book." +p30822 +tp30823 +a(g6 +V\u000a\u000a +p30824 +tp30825 +a(g87 +Vself +p30826 +tp30827 +a(g6 +V +tp30828 +a(g48 +Verror: +p30829 +tp30830 +a(g6 +V +tp30831 +a(g236 +V'comment only' +p30832 +tp30833 +a(g138 +V! ! +p30834 +tp30835 +a(g6 +V\u000a\u000a\u000a +p30836 +tp30837 +a(g138 +V! +tp30838 +a(g133 +VObject class +p30839 +tp30840 +a(g138 +V methodsFor: +p30841 +tp30842 +a(g236 +V'file list services' +p30843 +tp30844 +a(g138 +V stamp: 'nk 6/12/2004 11:41'! +p30845 +tp30846 +a(g6 +V\u000a +tp30847 +a(g48 +VfileReaderServicesForDirectory: +p30848 +tp30849 +a(g6 +V +tp30850 +a(g100 +VaFileDirectory +p30851 +tp30852 +a(g6 +V\u000a +p30853 +tp30854 +a(g24 +V"Backstop" +p30855 +tp30856 +a(g6 +V\u000a +p30857 +tp30858 +a(g353 +V^ +tp30859 +a(g258 +V#( +p30860 +tp30861 +a(g258 +V) +tp30862 +a(g138 +V! ! +p30863 +tp30864 +a(g6 +V\u000a\u000a +p30865 +tp30866 +a(g138 +V! +tp30867 +a(g133 +VObject class +p30868 +tp30869 +a(g138 +V methodsFor: +p30870 +tp30871 +a(g236 +V'file list services' +p30872 +tp30873 +a(g138 +V stamp: 'nk 6/12/2004 11:30'! +p30874 +tp30875 +a(g6 +V\u000a +tp30876 +a(g48 +VfileReaderServicesForFile: +p30877 +tp30878 +a(g6 +V +tp30879 +a(g100 +VfullName +p30880 +tp30881 +a(g6 +V +tp30882 +a(g48 +Vsuffix: +p30883 +tp30884 +a(g6 +V +tp30885 +a(g100 +Vsuffix +p30886 +tp30887 +a(g6 +V\u000a +p30888 +tp30889 +a(g24 +V"Backstop" +p30890 +tp30891 +a(g6 +V\u000a +p30892 +tp30893 +a(g353 +V^ +tp30894 +a(g258 +V#( +p30895 +tp30896 +a(g258 +V) +tp30897 +a(g138 +V! ! +p30898 +tp30899 +a(g6 +V\u000a\u000a +p30900 +tp30901 +a(g138 +V! +tp30902 +a(g133 +VObject class +p30903 +tp30904 +a(g138 +V methodsFor: +p30905 +tp30906 +a(g236 +V'file list services' +p30907 +tp30908 +a(g138 +V stamp: 'md 2/15/2006 17:20'! +p30909 +tp30910 +a(g6 +V\u000a +tp30911 +a(g48 +Vservices +p30912 +tp30913 +a(g6 +V\u000a +p30914 +tp30915 +a(g24 +V"Backstop" +p30916 +tp30917 +a(g6 +V\u000a +p30918 +tp30919 +a(g353 +V^ +tp30920 +a(g258 +V#( +p30921 +tp30922 +a(g258 +V) +tp30923 +a(g138 +V! ! +p30924 +tp30925 +a(g6 +V\u000a\u000a\u000a +p30926 +tp30927 +a(g138 +V! +tp30928 +a(g133 +VObject class +p30929 +tp30930 +a(g138 +V methodsFor: +p30931 +tp30932 +a(g236 +V'instance creation' +p30933 +tp30934 +a(g138 +V stamp: 'sw 1/23/2003 09:45'! +p30935 +tp30936 +a(g6 +V\u000a +tp30937 +a(g48 +VcategoryForUniclasses +p30938 +tp30939 +a(g6 +V\u000a +p30940 +tp30941 +a(g24 +V"Answer the default system category into which to place unique-class instances" +p30942 +tp30943 +a(g6 +V\u000a\u000a +p30944 +tp30945 +a(g353 +V^ +tp30946 +a(g6 +V +tp30947 +a(g236 +V'UserObjects' +p30948 +tp30949 +a(g138 +V! ! +p30950 +tp30951 +a(g6 +V\u000a\u000a +p30952 +tp30953 +a(g138 +V! +tp30954 +a(g133 +VObject class +p30955 +tp30956 +a(g138 +V methodsFor: +p30957 +tp30958 +a(g236 +V'instance creation' +p30959 +tp30960 +a(g138 +V stamp: 'sw 7/28/97 15:56'! +p30961 +tp30962 +a(g6 +V\u000a +tp30963 +a(g48 +VchooseUniqueClassName +p30964 +tp30965 +a(g6 +V\u000a +p30966 +tp30967 +a(g353 +V| +tp30968 +a(g100 +V i className +p30969 +tp30970 +a(g353 +V| +tp30971 +a(g6 +V\u000a +p30972 +tp30973 +a(g100 +Vi +tp30974 +a(g6 +V +tp30975 +a(g353 +V_ +tp30976 +a(g6 +V +tp30977 +a(g223 +V1 +tp30978 +a(g212 +V. +tp30979 +a(g6 +V\u000a +p30980 +tp30981 +a(g6 +V[ +tp30982 +a(g6 +V +tp30983 +a(g100 +VclassName +p30984 +tp30985 +a(g6 +V +tp30986 +a(g353 +V_ +tp30987 +a(g6 +V +tp30988 +a(g6 +V( +tp30989 +a(g87 +Vself +p30990 +tp30991 +a(g6 +V +tp30992 +a(g48 +Vname +p30993 +tp30994 +a(g6 +V +tp30995 +a(g48 +V, +tp30996 +a(g6 +V +tp30997 +a(g100 +Vi +tp30998 +a(g6 +V +tp30999 +a(g48 +VprintString +p31000 +tp31001 +a(g6 +V) +tp31002 +a(g6 +V +tp31003 +a(g48 +VasSymbol +p31004 +tp31005 +a(g212 +V. +tp31006 +a(g6 +V\u000a +p31007 +tp31008 +a(g133 +VSmalltalk +p31009 +tp31010 +a(g6 +V +tp31011 +a(g48 +VincludesKey: +p31012 +tp31013 +a(g6 +V +tp31014 +a(g100 +VclassName +p31015 +tp31016 +a(g6 +V] +tp31017 +a(g6 +V\u000a +p31018 +tp31019 +a(g84 +VwhileTrue: +p31020 +tp31021 +a(g6 +V +tp31022 +a(g6 +V[ +tp31023 +a(g6 +V +tp31024 +a(g100 +Vi +tp31025 +a(g6 +V +tp31026 +a(g353 +V_ +tp31027 +a(g6 +V +tp31028 +a(g100 +Vi +tp31029 +a(g6 +V +tp31030 +a(g48 +V+ +tp31031 +a(g6 +V +tp31032 +a(g223 +V1 +tp31033 +a(g6 +V] +tp31034 +a(g212 +V. +tp31035 +a(g6 +V\u000a +p31036 +tp31037 +a(g353 +V^ +tp31038 +a(g6 +V +tp31039 +a(g100 +VclassName +p31040 +tp31041 +a(g138 +V! ! +p31042 +tp31043 +a(g6 +V\u000a\u000a +p31044 +tp31045 +a(g138 +V! +tp31046 +a(g133 +VObject class +p31047 +tp31048 +a(g138 +V methodsFor: +p31049 +tp31050 +a(g236 +V'instance creation' +p31051 +tp31052 +a(g138 +V stamp: 'tk 8/22/1998 08:22'! +p31053 +tp31054 +a(g6 +V\u000a +tp31055 +a(g48 +VinitialInstance +p31056 +tp31057 +a(g6 +V\u000a +p31058 +tp31059 +a(g24 +V"Answer the first instance of the receiver, generate an error if there is one already" +p31060 +tp31061 +a(g6 +V\u000a +p31062 +tp31063 +a(g24 +V"self instanceCount > 0 ifTrue: [self error: 'instance(s) already exist.']." +p31064 +tp31065 +a(g6 +V\u000a +p31066 +tp31067 +a(g24 +V"Debugging test that is very slow" +p31068 +tp31069 +a(g6 +V\u000a +p31070 +tp31071 +a(g353 +V^ +tp31072 +a(g6 +V +tp31073 +a(g87 +Vself +p31074 +tp31075 +a(g6 +V +tp31076 +a(g84 +Vnew +p31077 +tp31078 +a(g138 +V! ! +p31079 +tp31080 +a(g6 +V\u000a\u000a +p31081 +tp31082 +a(g138 +V! +tp31083 +a(g133 +VObject class +p31084 +tp31085 +a(g138 +V methodsFor: +p31086 +tp31087 +a(g236 +V'instance creation' +p31088 +tp31089 +a(g138 +V stamp: 'sw 5/5/2000 09:30'! +p31090 +tp31091 +a(g6 +V\u000a +tp31092 +a(g48 +VinitializedInstance +p31093 +tp31094 +a(g6 +V\u000a +p31095 +tp31096 +a(g353 +V^ +tp31097 +a(g6 +V +tp31098 +a(g87 +Vself +p31099 +tp31100 +a(g6 +V +tp31101 +a(g84 +Vnew +p31102 +tp31103 +a(g138 +V! ! +p31104 +tp31105 +a(g6 +V\u000a\u000a +p31106 +tp31107 +a(g138 +V! +tp31108 +a(g133 +VObject class +p31109 +tp31110 +a(g138 +V methodsFor: +p31111 +tp31112 +a(g236 +V'instance creation' +p31113 +tp31114 +a(g138 +V stamp: 'sw 10/16/2000 10:58'! +p31115 +tp31116 +a(g6 +V\u000a +tp31117 +a(g48 +VinstanceOfUniqueClass +p31118 +tp31119 +a(g6 +V\u000a +p31120 +tp31121 +a(g24 +V"Answer an instance of a unique subclass of the receiver" +p31122 +tp31123 +a(g6 +V\u000a\u000a +p31124 +tp31125 +a(g353 +V^ +tp31126 +a(g6 +V +tp31127 +a(g87 +Vself +p31128 +tp31129 +a(g6 +V +tp31130 +a(g48 +VinstanceOfUniqueClassWithInstVarString: +p31131 +tp31132 +a(g6 +V +tp31133 +a(g236 +V'' +p31134 +tp31135 +a(g6 +V +tp31136 +a(g48 +VandClassInstVarString: +p31137 +tp31138 +a(g6 +V +tp31139 +a(g236 +V'' +p31140 +tp31141 +a(g138 +V! ! +p31142 +tp31143 +a(g6 +V\u000a\u000a +p31144 +tp31145 +a(g138 +V! +tp31146 +a(g133 +VObject class +p31147 +tp31148 +a(g138 +V methodsFor: +p31149 +tp31150 +a(g236 +V'instance creation' +p31151 +tp31152 +a(g138 +V stamp: 'tk 8/22/1998 08:27'! +p31153 +tp31154 +a(g6 +V\u000a +tp31155 +a(g48 +VinstanceOfUniqueClassWithInstVarString: +p31156 +tp31157 +a(g6 +V +tp31158 +a(g100 +VinstVarString +p31159 +tp31160 +a(g6 +V +tp31161 +a(g48 +VandClassInstVarString: +p31162 +tp31163 +a(g6 +V +tp31164 +a(g100 +VclassInstVarString +p31165 +tp31166 +a(g6 +V\u000a +p31167 +tp31168 +a(g24 +V"Create a unique class for the receiver, and answer an instance of it" +p31169 +tp31170 +a(g6 +V\u000a\u000a +p31171 +tp31172 +a(g353 +V^ +tp31173 +a(g6 +V +tp31174 +a(g6 +V( +tp31175 +a(g87 +Vself +p31176 +tp31177 +a(g6 +V +tp31178 +a(g48 +VnewUniqueClassInstVars: +p31179 +tp31180 +a(g6 +V +tp31181 +a(g100 +VinstVarString +p31182 +tp31183 +a(g6 +V \u000a +p31184 +tp31185 +a(g48 +VclassInstVars: +p31186 +tp31187 +a(g6 +V +tp31188 +a(g100 +VclassInstVarString +p31189 +tp31190 +a(g6 +V) +tp31191 +a(g6 +V +tp31192 +a(g48 +VinitialInstance +p31193 +tp31194 +a(g138 +V! ! +p31195 +tp31196 +a(g6 +V\u000a\u000a +p31197 +tp31198 +a(g138 +V! +tp31199 +a(g133 +VObject class +p31200 +tp31201 +a(g138 +V methodsFor: +p31202 +tp31203 +a(g236 +V'instance creation' +p31204 +tp31205 +a(g138 +V stamp: 'sw 10/23/1999 22:51'! +p31206 +tp31207 +a(g6 +V\u000a +tp31208 +a(g48 +VisUniClass +p31209 +tp31210 +a(g6 +V\u000a +p31211 +tp31212 +a(g353 +V^ +tp31213 +a(g6 +V +tp31214 +a(g87 +Vfalse +p31215 +tp31216 +a(g138 +V! ! +p31217 +tp31218 +a(g6 +V\u000a\u000a +p31219 +tp31220 +a(g138 +V! +tp31221 +a(g133 +VObject class +p31222 +tp31223 +a(g138 +V methodsFor: +p31224 +tp31225 +a(g236 +V'instance creation' +p31226 +tp31227 +a(g138 +V stamp: 'ajh 5/23/2002 00:35'! +p31228 +tp31229 +a(g6 +V\u000a +tp31230 +a(g48 +VnewFrom: +p31231 +tp31232 +a(g6 +V +tp31233 +a(g100 +VaSimilarObject +p31234 +tp31235 +a(g6 +V\u000a +p31236 +tp31237 +a(g24 +V"Create an object that has similar contents to aSimilarObject.\u000a If the classes have any instance varaibles with the same names, copy them across.\u000a If this is bad for a class, override this method." +p31238 +tp31239 +a(g6 +V\u000a\u000a +p31240 +tp31241 +a(g353 +V^ +tp31242 +a(g6 +V +tp31243 +a(g6 +V( +tp31244 +a(g87 +Vself +p31245 +tp31246 +a(g6 +V +tp31247 +a(g48 +VisVariable +p31248 +tp31249 +a(g6 +V\u000a +p31250 +tp31251 +a(g84 +VifTrue: +p31252 +tp31253 +a(g6 +V +tp31254 +a(g6 +V[ +tp31255 +a(g6 +V +tp31256 +a(g87 +Vself +p31257 +tp31258 +a(g6 +V +tp31259 +a(g48 +VbasicNew: +p31260 +tp31261 +a(g6 +V +tp31262 +a(g100 +VaSimilarObject +p31263 +tp31264 +a(g6 +V +tp31265 +a(g48 +VbasicSize +p31266 +tp31267 +a(g6 +V] +tp31268 +a(g6 +V\u000a +p31269 +tp31270 +a(g84 +VifFalse: +p31271 +tp31272 +a(g6 +V +tp31273 +a(g6 +V[ +tp31274 +a(g6 +V +tp31275 +a(g87 +Vself +p31276 +tp31277 +a(g6 +V +tp31278 +a(g48 +VbasicNew +p31279 +tp31280 +a(g6 +V] +tp31281 +a(g6 +V\u000a +p31282 +tp31283 +a(g6 +V) +tp31284 +a(g6 +V +tp31285 +a(g48 +VcopySameFrom: +p31286 +tp31287 +a(g6 +V +tp31288 +a(g100 +VaSimilarObject +p31289 +tp31290 +a(g138 +V! ! +p31291 +tp31292 +a(g6 +V\u000a\u000a +p31293 +tp31294 +a(g138 +V! +tp31295 +a(g133 +VObject class +p31296 +tp31297 +a(g138 +V methodsFor: +p31298 +tp31299 +a(g236 +V'instance creation' +p31300 +tp31301 +a(g138 +V stamp: 'tk 6/29/1998 12:11'! +p31302 +tp31303 +a(g6 +V\u000a +tp31304 +a(g48 +VnewUniqueClassInstVars: +p31305 +tp31306 +a(g6 +V +tp31307 +a(g100 +VinstVarString +p31308 +tp31309 +a(g6 +V +tp31310 +a(g48 +VclassInstVars: +p31311 +tp31312 +a(g6 +V +tp31313 +a(g100 +VclassInstVarString +p31314 +tp31315 +a(g6 +V\u000a +p31316 +tp31317 +a(g24 +V"Create a unique class for the receiver" +p31318 +tp31319 +a(g6 +V\u000a\u000a +p31320 +tp31321 +a(g353 +V| +tp31322 +a(g100 +V aName aClass +p31323 +tp31324 +a(g353 +V| +tp31325 +a(g6 +V\u000a +p31326 +tp31327 +a(g87 +Vself +p31328 +tp31329 +a(g6 +V +tp31330 +a(g48 +VisSystemDefined +p31331 +tp31332 +a(g6 +V +tp31333 +a(g84 +VifFalse: +p31334 +tp31335 +a(g6 +V\u000a +p31336 +tp31337 +a(g6 +V[ +tp31338 +a(g6 +V +tp31339 +a(g353 +V^ +tp31340 +a(g6 +V +tp31341 +a(g100 +Vsuperclass +p31342 +tp31343 +a(g6 +V +tp31344 +a(g48 +VnewUniqueClassInstVars: +p31345 +tp31346 +a(g6 +V +tp31347 +a(g100 +VinstVarString +p31348 +tp31349 +a(g6 +V +tp31350 +a(g48 +VclassInstVars: +p31351 +tp31352 +a(g6 +V +tp31353 +a(g100 +VclassInstVarString +p31354 +tp31355 +a(g6 +V] +tp31356 +a(g212 +V. +tp31357 +a(g6 +V\u000a +p31358 +tp31359 +a(g100 +VaName +p31360 +tp31361 +a(g6 +V +tp31362 +a(g353 +V_ +tp31363 +a(g6 +V +tp31364 +a(g87 +Vself +p31365 +tp31366 +a(g6 +V +tp31367 +a(g48 +VchooseUniqueClassName +p31368 +tp31369 +a(g212 +V. +tp31370 +a(g6 +V\u000a +p31371 +tp31372 +a(g100 +VaClass +p31373 +tp31374 +a(g6 +V +tp31375 +a(g353 +V_ +tp31376 +a(g6 +V +tp31377 +a(g87 +Vself +p31378 +tp31379 +a(g6 +V +tp31380 +a(g48 +Vsubclass: +p31381 +tp31382 +a(g6 +V +tp31383 +a(g100 +VaName +p31384 +tp31385 +a(g6 +V +tp31386 +a(g48 +VinstanceVariableNames: +p31387 +tp31388 +a(g6 +V +tp31389 +a(g100 +VinstVarString +p31390 +tp31391 +a(g6 +V \u000a +p31392 +tp31393 +a(g48 +VclassVariableNames: +p31394 +tp31395 +a(g6 +V +tp31396 +a(g236 +V'' +p31397 +tp31398 +a(g6 +V +tp31399 +a(g48 +VpoolDictionaries: +p31400 +tp31401 +a(g6 +V +tp31402 +a(g236 +V'' +p31403 +tp31404 +a(g6 +V +tp31405 +a(g48 +Vcategory: +p31406 +tp31407 +a(g6 +V +tp31408 +a(g87 +Vself +p31409 +tp31410 +a(g6 +V +tp31411 +a(g48 +VcategoryForUniclasses +p31412 +tp31413 +a(g212 +V. +tp31414 +a(g6 +V\u000a +p31415 +tp31416 +a(g100 +VclassInstVarString +p31417 +tp31418 +a(g6 +V +tp31419 +a(g48 +Vsize +p31420 +tp31421 +a(g6 +V +tp31422 +a(g48 +V> +tp31423 +a(g6 +V +tp31424 +a(g223 +V0 +tp31425 +a(g6 +V +tp31426 +a(g84 +VifTrue: +p31427 +tp31428 +a(g6 +V\u000a +p31429 +tp31430 +a(g6 +V[ +tp31431 +a(g6 +V +tp31432 +a(g100 +VaClass +p31433 +tp31434 +a(g6 +V +tp31435 +a(g48 +Vclass +p31436 +tp31437 +a(g6 +V +tp31438 +a(g48 +VinstanceVariableNames: +p31439 +tp31440 +a(g6 +V +tp31441 +a(g100 +VclassInstVarString +p31442 +tp31443 +a(g6 +V] +tp31444 +a(g212 +V. +tp31445 +a(g6 +V\u000a +p31446 +tp31447 +a(g353 +V^ +tp31448 +a(g6 +V +tp31449 +a(g100 +VaClass +p31450 +tp31451 +a(g138 +V! ! +p31452 +tp31453 +a(g6 +V\u000a\u000a +p31454 +tp31455 +a(g138 +V! +tp31456 +a(g133 +VObject class +p31457 +tp31458 +a(g138 +V methodsFor: +p31459 +tp31460 +a(g236 +V'instance creation' +p31461 +tp31462 +a(g138 +V stamp: 'sw 7/28/97 15:56'! +p31463 +tp31464 +a(g6 +V\u000a +tp31465 +a(g48 +VnewUserInstance +p31466 +tp31467 +a(g6 +V\u000a +p31468 +tp31469 +a(g24 +V"Answer an instance of an appropriate class to serve as a user object in the containment hierarchy" +p31470 +tp31471 +a(g6 +V\u000a\u000a +p31472 +tp31473 +a(g353 +V^ +tp31474 +a(g6 +V +tp31475 +a(g87 +Vself +p31476 +tp31477 +a(g6 +V +tp31478 +a(g48 +VinstanceOfUniqueClass +p31479 +tp31480 +a(g138 +V! ! +p31481 +tp31482 +a(g6 +V\u000a\u000a +p31483 +tp31484 +a(g138 +V! +tp31485 +a(g133 +VObject class +p31486 +tp31487 +a(g138 +V methodsFor: +p31488 +tp31489 +a(g236 +V'instance creation' +p31490 +tp31491 +a(g138 +V stamp: 'nk 8/30/2004 07:57'! +p31492 +tp31493 +a(g6 +V\u000a +tp31494 +a(g48 +VreadCarefullyFrom: +p31495 +tp31496 +a(g6 +V +tp31497 +a(g100 +VtextStringOrStream +p31498 +tp31499 +a(g6 +V\u000a +p31500 +tp31501 +a(g24 +V"Create an object based on the contents of textStringOrStream. Return an error instead of putting up a SyntaxError window." +p31502 +tp31503 +a(g6 +V\u000a\u000a +p31504 +tp31505 +a(g353 +V| +tp31506 +a(g100 +V object +p31507 +tp31508 +a(g353 +V| +tp31509 +a(g6 +V\u000a +p31510 +tp31511 +a(g6 +V( +tp31512 +a(g133 +VCompiler +p31513 +tp31514 +a(g6 +V +tp31515 +a(g48 +VcouldEvaluate: +p31516 +tp31517 +a(g6 +V +tp31518 +a(g100 +VtextStringOrStream +p31519 +tp31520 +a(g6 +V) +tp31521 +a(g6 +V\u000a +p31522 +tp31523 +a(g84 +VifFalse: +p31524 +tp31525 +a(g6 +V +tp31526 +a(g6 +V[ +tp31527 +a(g6 +V +tp31528 +a(g353 +V^ +tp31529 +a(g6 +V +tp31530 +a(g87 +Vself +p31531 +tp31532 +a(g6 +V +tp31533 +a(g48 +Verror: +p31534 +tp31535 +a(g6 +V +tp31536 +a(g236 +V'expected String, Stream, or Text' +p31537 +tp31538 +a(g6 +V] +tp31539 +a(g212 +V. +tp31540 +a(g6 +V\u000a +p31541 +tp31542 +a(g100 +Vobject +p31543 +tp31544 +a(g6 +V +tp31545 +a(g353 +V_ +tp31546 +a(g6 +V +tp31547 +a(g133 +VCompiler +p31548 +tp31549 +a(g6 +V +tp31550 +a(g48 +Vevaluate: +p31551 +tp31552 +a(g6 +V +tp31553 +a(g100 +VtextStringOrStream +p31554 +tp31555 +a(g6 +V +tp31556 +a(g48 +Vfor: +p31557 +tp31558 +a(g6 +V +tp31559 +a(g87 +Vnil +p31560 +tp31561 +a(g6 +V \u000a +p31562 +tp31563 +a(g48 +Vnotifying: +p31564 +tp31565 +a(g6 +V +tp31566 +a(g258 +V#error: +p31567 +tp31568 +a(g6 +V +tp31569 +a(g24 +V"signal we want errors" +p31570 +tp31571 +a(g6 +V +tp31572 +a(g48 +Vlogged: +p31573 +tp31574 +a(g6 +V +tp31575 +a(g87 +Vfalse +p31576 +tp31577 +a(g212 +V. +tp31578 +a(g6 +V\u000a +p31579 +tp31580 +a(g6 +V( +tp31581 +a(g100 +Vobject +p31582 +tp31583 +a(g6 +V +tp31584 +a(g48 +VisKindOf: +p31585 +tp31586 +a(g6 +V +tp31587 +a(g87 +Vself +p31588 +tp31589 +a(g6 +V) +tp31590 +a(g6 +V +tp31591 +a(g84 +VifFalse: +p31592 +tp31593 +a(g6 +V +tp31594 +a(g6 +V[ +tp31595 +a(g6 +V +tp31596 +a(g87 +Vself +p31597 +tp31598 +a(g6 +V +tp31599 +a(g48 +Verror: +p31600 +tp31601 +a(g6 +V +tp31602 +a(g87 +Vself +p31603 +tp31604 +a(g6 +V +tp31605 +a(g48 +Vname +p31606 +tp31607 +a(g48 +V, +tp31608 +a(g6 +V +tp31609 +a(g236 +V' expected' +p31610 +tp31611 +a(g6 +V] +tp31612 +a(g212 +V. +tp31613 +a(g6 +V\u000a +p31614 +tp31615 +a(g353 +V^ +tp31616 +a(g100 +Vobject +p31617 +tp31618 +a(g138 +V! ! +p31619 +tp31620 +a(g6 +V\u000a\u000a +p31621 +tp31622 +a(g138 +V! +tp31623 +a(g133 +VObject class +p31624 +tp31625 +a(g138 +V methodsFor: +p31626 +tp31627 +a(g236 +V'instance creation' +p31628 +tp31629 +a(g138 +V stamp: 'nk 8/30/2004 07:57'! +p31630 +tp31631 +a(g6 +V\u000a +tp31632 +a(g48 +VreadFrom: +p31633 +tp31634 +a(g6 +V +tp31635 +a(g100 +VtextStringOrStream +p31636 +tp31637 +a(g6 +V\u000a +p31638 +tp31639 +a(g24 +V"Create an object based on the contents of textStringOrStream." +p31640 +tp31641 +a(g6 +V\u000a\u000a +p31642 +tp31643 +a(g353 +V| +tp31644 +a(g100 +V object +p31645 +tp31646 +a(g353 +V| +tp31647 +a(g6 +V\u000a +p31648 +tp31649 +a(g6 +V( +tp31650 +a(g133 +VCompiler +p31651 +tp31652 +a(g6 +V +tp31653 +a(g48 +VcouldEvaluate: +p31654 +tp31655 +a(g6 +V +tp31656 +a(g100 +VtextStringOrStream +p31657 +tp31658 +a(g6 +V) +tp31659 +a(g6 +V\u000a +p31660 +tp31661 +a(g84 +VifFalse: +p31662 +tp31663 +a(g6 +V +tp31664 +a(g6 +V[ +tp31665 +a(g6 +V +tp31666 +a(g353 +V^ +tp31667 +a(g6 +V +tp31668 +a(g87 +Vself +p31669 +tp31670 +a(g6 +V +tp31671 +a(g48 +Verror: +p31672 +tp31673 +a(g6 +V +tp31674 +a(g236 +V'expected String, Stream, or Text' +p31675 +tp31676 +a(g6 +V] +tp31677 +a(g212 +V. +tp31678 +a(g6 +V\u000a +p31679 +tp31680 +a(g100 +Vobject +p31681 +tp31682 +a(g6 +V +tp31683 +a(g353 +V_ +tp31684 +a(g6 +V +tp31685 +a(g133 +VCompiler +p31686 +tp31687 +a(g6 +V +tp31688 +a(g48 +Vevaluate: +p31689 +tp31690 +a(g6 +V +tp31691 +a(g100 +VtextStringOrStream +p31692 +tp31693 +a(g212 +V. +tp31694 +a(g6 +V\u000a +p31695 +tp31696 +a(g6 +V( +tp31697 +a(g100 +Vobject +p31698 +tp31699 +a(g6 +V +tp31700 +a(g48 +VisKindOf: +p31701 +tp31702 +a(g6 +V +tp31703 +a(g87 +Vself +p31704 +tp31705 +a(g6 +V) +tp31706 +a(g6 +V +tp31707 +a(g84 +VifFalse: +p31708 +tp31709 +a(g6 +V +tp31710 +a(g6 +V[ +tp31711 +a(g6 +V +tp31712 +a(g87 +Vself +p31713 +tp31714 +a(g6 +V +tp31715 +a(g48 +Verror: +p31716 +tp31717 +a(g6 +V +tp31718 +a(g87 +Vself +p31719 +tp31720 +a(g6 +V +tp31721 +a(g48 +Vname +p31722 +tp31723 +a(g48 +V, +tp31724 +a(g6 +V +tp31725 +a(g236 +V' expected' +p31726 +tp31727 +a(g6 +V] +tp31728 +a(g212 +V. +tp31729 +a(g6 +V\u000a +p31730 +tp31731 +a(g353 +V^ +tp31732 +a(g100 +Vobject +p31733 +tp31734 +a(g138 +V! ! +p31735 +tp31736 +a(g6 +V\u000a\u000a\u000a +p31737 +tp31738 +a(g138 +V! +tp31739 +a(g133 +VObject class +p31740 +tp31741 +a(g138 +V methodsFor: +p31742 +tp31743 +a(g236 +V'objects from disk' +p31744 +tp31745 +a(g138 +V stamp: 'tk 1/8/97'! +p31746 +tp31747 +a(g6 +V\u000a +tp31748 +a(g48 +VcreateFrom: +p31749 +tp31750 +a(g6 +V +tp31751 +a(g100 +VaSmartRefStream +p31752 +tp31753 +a(g6 +V +tp31754 +a(g48 +Vsize: +p31755 +tp31756 +a(g6 +V +tp31757 +a(g100 +VvarsOnDisk +p31758 +tp31759 +a(g6 +V +tp31760 +a(g48 +Vversion: +p31761 +tp31762 +a(g6 +V +tp31763 +a(g100 +VinstVarList +p31764 +tp31765 +a(g6 +V\u000a +p31766 +tp31767 +a(g24 +V"Create an instance of me so objects on the disk can be read in. Tricky part is computing the size if variable. Inst vars will be filled in later. " +p31768 +tp31769 +a(g6 +V\u000a\u000a +p31770 +tp31771 +a(g353 +V^ +tp31772 +a(g6 +V +tp31773 +a(g87 +Vself +p31774 +tp31775 +a(g6 +V +tp31776 +a(g48 +VisVariable +p31777 +tp31778 +a(g6 +V\u000a +p31779 +tp31780 +a(g84 +VifFalse: +p31781 +tp31782 +a(g6 +V +tp31783 +a(g6 +V[ +tp31784 +a(g6 +V +tp31785 +a(g87 +Vself +p31786 +tp31787 +a(g6 +V +tp31788 +a(g48 +VbasicNew +p31789 +tp31790 +a(g6 +V] +tp31791 +a(g6 +V\u000a +p31792 +tp31793 +a(g84 +VifTrue: +p31794 +tp31795 +a(g6 +V +tp31796 +a(g6 +V[ +tp31797 +a(g24 +V"instVarList is names of old class's inst vars plus a version number" +p31798 +tp31799 +a(g6 +V \u000a +p31800 +tp31801 +a(g6 +V +tp31802 +a(g87 +Vself +p31803 +tp31804 +a(g6 +V +tp31805 +a(g48 +VbasicNew: +p31806 +tp31807 +a(g6 +V +tp31808 +a(g6 +V( +tp31809 +a(g100 +VvarsOnDisk +p31810 +tp31811 +a(g6 +V +tp31812 +a(g48 +V- +tp31813 +a(g6 +V +tp31814 +a(g6 +V( +tp31815 +a(g100 +VinstVarList +p31816 +tp31817 +a(g6 +V +tp31818 +a(g48 +Vsize +p31819 +tp31820 +a(g6 +V +tp31821 +a(g48 +V- +tp31822 +a(g6 +V +tp31823 +a(g223 +V1 +tp31824 +a(g6 +V) +tp31825 +a(g6 +V) +tp31826 +a(g6 +V] +tp31827 +a(g6 +V\u000a +tp31828 +a(g138 +V! ! +p31829 +tp31830 +a(g6 +V\u000a\u000a\u000a +p31831 +tp31832 +a(g138 +V! +tp31833 +a(g133 +VObject class +p31834 +tp31835 +a(g138 +V methodsFor: +p31836 +tp31837 +a(g236 +V'window color' +p31838 +tp31839 +a(g138 +V stamp: 'nk 6/10/2004 08:10'! +p31840 +tp31841 +a(g6 +V\u000a +tp31842 +a(g48 +VwindowColorSpecification +p31843 +tp31844 +a(g6 +V\u000a +p31845 +tp31846 +a(g24 +V"Answer a WindowColorSpec object that declares my preference.\u000a This is a backstop for classes that don't otherwise define a preference." +p31847 +tp31848 +a(g6 +V\u000a\u000a +p31849 +tp31850 +a(g353 +V^ +tp31851 +a(g6 +V +tp31852 +a(g133 +VWindowColorSpec +p31853 +tp31854 +a(g6 +V +tp31855 +a(g48 +VclassSymbol: +p31856 +tp31857 +a(g6 +V +tp31858 +a(g87 +Vself +p31859 +tp31860 +a(g6 +V +tp31861 +a(g48 +Vname +p31862 +tp31863 +a(g6 +V\u000a +p31864 +tp31865 +a(g48 +Vwording: +p31866 +tp31867 +a(g6 +V +tp31868 +a(g236 +V'Default' +p31869 +tp31870 +a(g6 +V +tp31871 +a(g48 +VbrightColor: +p31872 +tp31873 +a(g6 +V +tp31874 +a(g258 +V#white +p31875 +tp31876 +a(g6 +V\u000a +p31877 +tp31878 +a(g48 +VpastelColor: +p31879 +tp31880 +a(g6 +V +tp31881 +a(g258 +V#white +p31882 +tp31883 +a(g6 +V\u000a +p31884 +tp31885 +a(g48 +VhelpMessage: +p31886 +tp31887 +a(g6 +V +tp31888 +a(g236 +V'Other windows without color preferences.' +p31889 +tp31890 +a(g138 +V! ! +p31891 +tp31892 +a(g6 +V\u000a\u000a\u000a +p31893 +tp31894 +a(g138 +V! +tp31895 +a(g133 +VObject class +p31896 +tp31897 +a(g138 +V methodsFor: +p31898 +tp31899 +a(g236 +V'private' +p31900 +tp31901 +a(g138 +V stamp: 'mir 8/22/2001 15:20'! +p31902 +tp31903 +a(g6 +V\u000a +tp31904 +a(g48 +VreleaseExternalSettings +p31905 +tp31906 +a(g6 +V\u000a +p31907 +tp31908 +a(g24 +V"Do nothing as a default" +p31909 +tp31910 +a(g138 +V! ! +p31911 +tp31912 +a(g6 +V\u000a\u000a\u000a +p31913 +tp31914 +a(g133 +VObject +p31915 +tp31916 +a(g6 +V +tp31917 +a(g48 +Vinitialize +p31918 +tp31919 +a(g48 +V! +tp31920 +a(g6 +V\u000a +tp31921 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/OrderedMap.hx b/tests/examplefiles/output/OrderedMap.hx new file mode 100644 index 0000000..f9c43f1 --- /dev/null +++ b/tests/examplefiles/output/OrderedMap.hx @@ -0,0 +1,13625 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +S'Namespace' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Pervasive' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsS'Constant' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g9 +g10 +((ltRp22 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp23 +(dp24 +S'Comment' +p25 +g2 +(g3 +g4 +(g25 +ttRp26 +(dp27 +g12 +g23 +sS'Preproc' +p28 +g2 +(g3 +g4 +(g25 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g26 +sbsS'Single' +p32 +g2 +(g3 +g4 +(g25 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g26 +sbsS'Multiline' +p36 +g2 +(g3 +g4 +(g25 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g26 +sbsg9 +g10 +((lp40 +g2 +(g3 +g4 +(g25 +S'Special' +p41 +ttRp42 +(dp43 +g9 +g10 +((ltRp44 +sg12 +g26 +sbag29 +ag33 +ag37 +atRp45 +sg41 +g42 +sbsS'Name' +p46 +g2 +(g3 +g4 +(g46 +ttRp47 +(dp48 +S'Function' +p49 +g2 +(g3 +g4 +(g46 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g47 +sbsS'Exception' +p53 +g2 +(g3 +g4 +(g46 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g47 +sbsS'Tag' +p57 +g2 +(g3 +g4 +(g46 +g57 +ttRp58 +(dp59 +g9 +g10 +((ltRp60 +sg12 +g47 +sbsg19 +g2 +(g3 +g4 +(g46 +g19 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g47 +sbsg12 +g23 +sS'Pseudo' +p64 +g2 +(g3 +g4 +(g46 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g47 +sbsS'Attribute' +p68 +g2 +(g3 +g4 +(g46 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g47 +sbsS'Label' +p72 +g2 +(g3 +g4 +(g46 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g47 +sbsS'Blubb' +p76 +g2 +(g3 +g4 +(g46 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g47 +sbsS'Entity' +p80 +g2 +(g3 +g4 +(g46 +g80 +ttRp81 +(dp82 +g9 +g10 +((ltRp83 +sg12 +g47 +sbsS'Builtin' +p84 +g2 +(g3 +g4 +(g46 +g84 +ttRp85 +(dp86 +g9 +g10 +((lp87 +g2 +(g3 +g4 +(g46 +g84 +g64 +ttRp88 +(dp89 +g9 +g10 +((ltRp90 +sg12 +g85 +sbatRp91 +sg64 +g88 +sg12 +g47 +sbsS'Other' +p92 +g2 +(g3 +g4 +(g46 +g92 +ttRp93 +(dp94 +g9 +g10 +((ltRp95 +sg12 +g47 +sbsS'Identifier' +p96 +g2 +(g3 +g4 +(g46 +g96 +ttRp97 +(dp98 +g9 +g10 +((ltRp99 +sg12 +g47 +sbsS'Variable' +p100 +g2 +(g3 +g4 +(g46 +g100 +ttRp101 +(dp102 +g12 +g47 +sS'Global' +p103 +g2 +(g3 +g4 +(g46 +g100 +g103 +ttRp104 +(dp105 +g9 +g10 +((ltRp106 +sg12 +g101 +sbsS'Instance' +p107 +g2 +(g3 +g4 +(g46 +g100 +g107 +ttRp108 +(dp109 +g9 +g10 +((ltRp110 +sg12 +g101 +sbsS'Anonymous' +p111 +g2 +(g3 +g4 +(g46 +g100 +g111 +ttRp112 +(dp113 +g9 +g10 +((ltRp114 +sg12 +g101 +sbsg9 +g10 +((lp115 +g112 +ag108 +ag104 +ag2 +(g3 +g4 +(g46 +g100 +S'Class' +p116 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g101 +sbatRp120 +sg116 +g117 +sbsg9 +g10 +((lp121 +g2 +(g3 +g4 +(g46 +S'Decorator' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g47 +sbag69 +ag61 +ag65 +ag2 +(g3 +g4 +(g46 +g6 +ttRp126 +(dp127 +g9 +g10 +((ltRp128 +sg12 +g47 +sbag97 +ag85 +ag101 +ag93 +ag77 +ag81 +ag50 +ag2 +(g3 +g4 +(g46 +S'Property' +p129 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g47 +sbag73 +ag58 +ag54 +ag2 +(g3 +g4 +(g46 +g116 +ttRp133 +(dp134 +g9 +g10 +((ltRp135 +sg12 +g47 +sbatRp136 +sg129 +g130 +sg116 +g133 +sg122 +g123 +sg6 +g126 +sbsg5 +g13 +sS'Generic' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Prompt' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g9 +g10 +((ltRp143 +sg12 +g138 +sbsg12 +g23 +sS'Deleted' +p144 +g2 +(g3 +g4 +(g137 +g144 +ttRp145 +(dp146 +g9 +g10 +((ltRp147 +sg12 +g138 +sbsS'Traceback' +p148 +g2 +(g3 +g4 +(g137 +g148 +ttRp149 +(dp150 +g9 +g10 +((ltRp151 +sg12 +g138 +sbsS'Emph' +p152 +g2 +(g3 +g4 +(g137 +g152 +ttRp153 +(dp154 +g9 +g10 +((ltRp155 +sg12 +g138 +sbsS'Output' +p156 +g2 +(g3 +g4 +(g137 +g156 +ttRp157 +(dp158 +g9 +g10 +((ltRp159 +sg12 +g138 +sbsS'Subheading' +p160 +g2 +(g3 +g4 +(g137 +g160 +ttRp161 +(dp162 +g9 +g10 +((ltRp163 +sg12 +g138 +sbsS'Error' +p164 +g2 +(g3 +g4 +(g137 +g164 +ttRp165 +(dp166 +g9 +g10 +((ltRp167 +sg12 +g138 +sbsg9 +g10 +((lp168 +g157 +ag153 +ag165 +ag161 +ag149 +ag145 +ag2 +(g3 +g4 +(g137 +S'Heading' +p169 +ttRp170 +(dp171 +g9 +g10 +((ltRp172 +sg12 +g138 +sbag2 +(g3 +g4 +(g137 +S'Inserted' +p173 +ttRp174 +(dp175 +g9 +g10 +((ltRp176 +sg12 +g138 +sbag2 +(g3 +g4 +(g137 +S'Strong' +p177 +ttRp178 +(dp179 +g9 +g10 +((ltRp180 +sg12 +g138 +sbag141 +atRp181 +sg177 +g178 +sg173 +g174 +sg169 +g170 +sbsS'Text' +p182 +g2 +(g3 +g4 +(g182 +ttRp183 +(dp184 +g9 +g10 +((lp185 +g2 +(g3 +g4 +(g182 +S'Symbol' +p186 +ttRp187 +(dp188 +g9 +g10 +((ltRp189 +sg12 +g183 +sbag2 +(g3 +g4 +(g182 +S'Whitespace' +p190 +ttRp191 +(dp192 +g9 +g10 +((ltRp193 +sg12 +g183 +sbatRp194 +sg186 +g187 +sg190 +g191 +sg12 +g23 +sbsS'Punctuation' +p195 +g2 +(g3 +g4 +(g195 +ttRp196 +(dp197 +g9 +g10 +((lp198 +g2 +(g3 +g4 +(g195 +S'Indicator' +p199 +ttRp200 +(dp201 +g9 +g10 +((ltRp202 +sg12 +g196 +sbatRp203 +sg199 +g200 +sg12 +g23 +sbsS'Token' +p204 +g23 +sS'Number' +p205 +g2 +(g3 +g4 +(S'Literal' +p206 +g205 +ttRp207 +(dp208 +S'Bin' +p209 +g2 +(g3 +g4 +(g206 +g205 +g209 +ttRp210 +(dp211 +g9 +g10 +((ltRp212 +sg12 +g207 +sbsS'Binary' +p213 +g2 +(g3 +g4 +(g206 +g205 +g213 +ttRp214 +(dp215 +g9 +g10 +((ltRp216 +sg12 +g207 +sbsg12 +g2 +(g3 +g4 +(g206 +ttRp217 +(dp218 +S'String' +p219 +g2 +(g3 +g4 +(g206 +g219 +ttRp220 +(dp221 +S'Regex' +p222 +g2 +(g3 +g4 +(g206 +g219 +g222 +ttRp223 +(dp224 +g9 +g10 +((ltRp225 +sg12 +g220 +sbsS'Interpol' +p226 +g2 +(g3 +g4 +(g206 +g219 +g226 +ttRp227 +(dp228 +g9 +g10 +((ltRp229 +sg12 +g220 +sbsS'Regexp' +p230 +g2 +(g3 +g4 +(g206 +g219 +g230 +ttRp231 +(dp232 +g9 +g10 +((ltRp233 +sg12 +g220 +sbsg12 +g217 +sS'Heredoc' +p234 +g2 +(g3 +g4 +(g206 +g219 +g234 +ttRp235 +(dp236 +g9 +g10 +((ltRp237 +sg12 +g220 +sbsS'Double' +p238 +g2 +(g3 +g4 +(g206 +g219 +g238 +ttRp239 +(dp240 +g9 +g10 +((ltRp241 +sg12 +g220 +sbsg186 +g2 +(g3 +g4 +(g206 +g219 +g186 +ttRp242 +(dp243 +g9 +g10 +((ltRp244 +sg12 +g220 +sbsS'Escape' +p245 +g2 +(g3 +g4 +(g206 +g219 +g245 +ttRp246 +(dp247 +g9 +g10 +((ltRp248 +sg12 +g220 +sbsS'Character' +p249 +g2 +(g3 +g4 +(g206 +g219 +g249 +ttRp250 +(dp251 +g9 +g10 +((ltRp252 +sg12 +g220 +sbsS'Interp' +p253 +g2 +(g3 +g4 +(g206 +g219 +g253 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g220 +sbsS'Backtick' +p257 +g2 +(g3 +g4 +(g206 +g219 +g257 +ttRp258 +(dp259 +g9 +g10 +((ltRp260 +sg12 +g220 +sbsS'Char' +p261 +g2 +(g3 +g4 +(g206 +g219 +g261 +ttRp262 +(dp263 +g9 +g10 +((ltRp264 +sg12 +g220 +sbsg32 +g2 +(g3 +g4 +(g206 +g219 +g32 +ttRp265 +(dp266 +g9 +g10 +((ltRp267 +sg12 +g220 +sbsg92 +g2 +(g3 +g4 +(g206 +g219 +g92 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g220 +sbsS'Doc' +p271 +g2 +(g3 +g4 +(g206 +g219 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g220 +sbsg9 +g10 +((lp275 +g268 +ag2 +(g3 +g4 +(g206 +g219 +S'Atom' +p276 +ttRp277 +(dp278 +g9 +g10 +((ltRp279 +sg12 +g220 +sbag239 +ag262 +ag254 +ag272 +ag235 +ag258 +ag227 +ag242 +ag231 +ag223 +ag265 +ag250 +ag246 +atRp280 +sg276 +g277 +sbsg12 +g23 +sg205 +g207 +sS'Scalar' +p281 +g2 +(g3 +g4 +(g206 +g281 +ttRp282 +(dp283 +g9 +g10 +((lp284 +g2 +(g3 +g4 +(g206 +g281 +S'Plain' +p285 +ttRp286 +(dp287 +g9 +g10 +((ltRp288 +sg12 +g282 +sbatRp289 +sg12 +g217 +sg285 +g286 +sbsg92 +g2 +(g3 +g4 +(g206 +g92 +ttRp290 +(dp291 +g9 +g10 +((ltRp292 +sg12 +g217 +sbsS'Date' +p293 +g2 +(g3 +g4 +(g206 +g293 +ttRp294 +(dp295 +g9 +g10 +((ltRp296 +sg12 +g217 +sbsg9 +g10 +((lp297 +g294 +ag220 +ag290 +ag207 +ag282 +atRp298 +sbsS'Decimal' +p299 +g2 +(g3 +g4 +(g206 +g205 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g207 +sbsS'Float' +p303 +g2 +(g3 +g4 +(g206 +g205 +g303 +ttRp304 +(dp305 +g9 +g10 +((ltRp306 +sg12 +g207 +sbsS'Hex' +p307 +g2 +(g3 +g4 +(g206 +g205 +g307 +ttRp308 +(dp309 +g9 +g10 +((ltRp310 +sg12 +g207 +sbsS'Integer' +p311 +g2 +(g3 +g4 +(g206 +g205 +g311 +ttRp312 +(dp313 +g9 +g10 +((lp314 +g2 +(g3 +g4 +(g206 +g205 +g311 +S'Long' +p315 +ttRp316 +(dp317 +g9 +g10 +((ltRp318 +sg12 +g312 +sbatRp319 +sg315 +g316 +sg12 +g207 +sbsS'Octal' +p320 +g2 +(g3 +g4 +(g206 +g205 +g320 +ttRp321 +(dp322 +g9 +g10 +((ltRp323 +sg12 +g207 +sbsg9 +g10 +((lp324 +g210 +ag214 +ag321 +ag300 +ag2 +(g3 +g4 +(g206 +g205 +S'Oct' +p325 +ttRp326 +(dp327 +g9 +g10 +((ltRp328 +sg12 +g207 +sbag312 +ag304 +ag308 +atRp329 +sg325 +g326 +sbsg206 +g217 +sg92 +g2 +(g3 +g4 +(g92 +ttRp330 +(dp331 +g9 +g10 +((ltRp332 +sg12 +g23 +sbsg164 +g2 +(g3 +g4 +(g164 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g23 +sbsS'Operator' +p336 +g2 +(g3 +g4 +(g336 +ttRp337 +(dp338 +g9 +g10 +((lp339 +g2 +(g3 +g4 +(g336 +S'Word' +p340 +ttRp341 +(dp342 +g9 +g10 +((ltRp343 +sg12 +g337 +sbatRp344 +sg340 +g341 +sg12 +g23 +sbsg9 +g10 +((lp345 +g26 +ag333 +ag138 +ag183 +ag47 +ag196 +ag13 +ag217 +ag337 +ag330 +atRp346 +sg219 +g220 +sbsg6 +g7 +sg64 +g2 +(g3 +g4 +(g5 +g64 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g13 +sbsS'Reserved' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbsS'Declaration' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg100 +g2 +(g3 +g4 +(g5 +g100 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g20 +ag351 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag16 +ag355 +ag358 +ag7 +ag347 +atRp366 +sg362 +g363 +sbsbVpackage +p367 +tp368 +a(g183 +V +tp369 +a(g126 +Vutil +p370 +tp371 +a(g196 +V; +tp372 +a(g183 +V\u000a\u000a +p373 +tp374 +a(g7 +Vimport +p375 +tp376 +a(g183 +V +tp377 +a(g126 +Vutil.Map +p378 +tp379 +a(g196 +V; +tp380 +a(g183 +V\u000a +tp381 +a(g7 +Vimport +p382 +tp383 +a(g183 +V +tp384 +a(g126 +Vutil.Collection +p385 +tp386 +a(g196 +V; +tp387 +a(g183 +V\u000a +tp388 +a(g7 +Vimport +p389 +tp390 +a(g183 +V +tp391 +a(g126 +Vutil.Set +p392 +tp393 +a(g196 +V; +tp394 +a(g183 +V\u000a +tp395 +a(g7 +Vimport +p396 +tp397 +a(g183 +V +tp398 +a(g126 +Vutil.Option +p399 +tp400 +a(g196 +V; +tp401 +a(g183 +V\u000a +tp402 +a(g7 +Vimport +p403 +tp404 +a(g183 +V +tp405 +a(g126 +Vutil.Debug +p406 +tp407 +a(g196 +V; +tp408 +a(g183 +V\u000a +tp409 +a(g7 +Vimport +p410 +tp411 +a(g183 +V +tp412 +a(g126 +Vutil.Throwable +p413 +tp414 +a(g196 +V; +tp415 +a(g183 +V\u000a\u000a +p416 +tp417 +a(g7 +Vusing +p418 +tp419 +a(g183 +V +tp420 +a(g126 +Vutil.StringFormat +p421 +tp422 +a(g196 +V; +tp423 +a(g183 +V\u000a\u000a +p424 +tp425 +a(g37 +V/**\u000a * An ordered map of (key,value) pairs. The key ordering is defined by\u000a * a comparison function specified at construction. Duplicate keys\u000a * are not allowed.\u000a *\u000a * Worst Case Time and Space Complexities:\u000a * [operation] [time] [space]\u000a * insert O(lg(n)) O(lg(n))\u000a * find O(lg(n)) O(1)\u000a * delete O(lg(n)) O(lg(n))\u000a * range-query O(lg(n))* O(lg(n))\u000a * iteration O(n)** O(lg(n))\u000a * *range-query returns an iterator over elements in the range\u000a * **total cost of iterating over the entire map\u000a *\u000a * The map is backed by a Left-Leaning Red-Black 2-3 Tree\u000a * adapted from Robert Sedgewick (2008) (http://www.cs.princeton.edu/~rs/)\u000a *\u000a * Implementation choices (let size of tree be n)\u000a * - Parent Pointers\u000a * - This implementation omits parent pointers.\u000a * - Omitting parent pointers saves n words of persistent memory\u000a * at the expense of lg(n) stack space per operation.\u000a * - Without parent pointers, most operations in the tree must\u000a * either use recursion, or simulate recursion by saving a history\u000a * of nodes via a stack. For example, each iterator will require\u000a * lg(n) extra space to track progress through the tree. Insertions\u000a * and deletions into the tree will also invalidate any existing\u000a * iterators.\u000a * - Node Size Information\u000a * - This implementation omits the size of each node.\u000a * - Omitting size information saves n words of long-term memory at\u000a * the expense of not providing a find-kth operation.\u000a * - This seems like a reasonable trade-off as range queries are\u000a * generally more common than find-kth operations. The implementation\u000a * used below could easily be modified to provide a version with\u000a * size information should find-kth be of specific interest.\u000a * - Recursive vs. Iterative\u000a * - This implementation uses recursive algorithms.\u000a * - The recursive implementations allow the code to remain compact and\u000a * understandable. Since the height of LLRB 2-3 Trees is gaurenteed\u000a * to be at most 2lg(n), stack overflow is typically not a concern.\u000a * Unlike the standard single-rotation red-black algorithm, LLRB\u000a * operations are not tail-recursive, so even an iterative\u000a * version will require lg(n) extra memory.\u000a */ +p426 +tp427 +a(g183 +V\u000a +tp428 +a(g355 +Vclass +p429 +tp430 +a(g183 +V +tp431 +a(g133 +VOrderedMap +p432 +tp433 +a(g196 +V< +tp434 +a(g133 +VK +tp435 +a(g196 +V, +tp436 +a(g133 +VV +tp437 +a(g196 +V> +tp438 +a(g183 +V\u000a +tp439 +a(g183 +V +tp440 +a(g196 +V{ +tp441 +a(g183 +V\u000a +p442 +tp443 +a(g355 +Vprivate +p444 +tp445 +a(g183 +V +tp446 +a(g355 +Vvar +p447 +tp448 +a(g183 +V +tp449 +a(g108 +Vroot +p450 +tp451 +a(g183 +V +p452 +tp453 +a(g196 +V: +tp454 +a(g133 +VNull +p455 +tp456 +a(g196 +V< +tp457 +a(g133 +VNode +p458 +tp459 +a(g196 +V< +tp460 +a(g133 +VK +tp461 +a(g196 +V, +tp462 +a(g133 +VV +tp463 +a(g196 +V> +tp464 +a(g196 +V> +tp465 +a(g183 +V +tp466 +a(g196 +V; +tp467 +a(g183 +V\u000a +p468 +tp469 +a(g355 +Vprivate +p470 +tp471 +a(g183 +V +tp472 +a(g355 +Vvar +p473 +tp474 +a(g183 +V +tp475 +a(g108 +VnodeCount +p476 +tp477 +a(g183 +V +tp478 +a(g196 +V: +tp479 +a(g133 +VInt +p480 +tp481 +a(g183 +V +tp482 +a(g196 +V; +tp483 +a(g183 +V\u000a +p484 +tp485 +a(g355 +Vprivate +p486 +tp487 +a(g183 +V +tp488 +a(g355 +Vvar +p489 +tp490 +a(g183 +V +tp491 +a(g108 +Vcomp +p492 +tp493 +a(g183 +V +p494 +tp495 +a(g196 +V: +tp496 +a(g133 +VK +tp497 +a(g183 +V +tp498 +a(g363 +V-> +p499 +tp500 +a(g183 +V +tp501 +a(g133 +VK +tp502 +a(g183 +V +tp503 +a(g363 +V-> +p504 +tp505 +a(g183 +V +tp506 +a(g133 +VInt +p507 +tp508 +a(g183 +V +tp509 +a(g196 +V; +tp510 +a(g183 +V\u000a\u000a +p511 +tp512 +a(g355 +Vpublic +p513 +tp514 +a(g183 +V +tp515 +a(g355 +Vfunction +p516 +tp517 +a(g183 +V +tp518 +a(g50 +Vnew +p519 +tp520 +a(g196 +V( +tp521 +a(g183 +V +tp522 +a(g101 +VkeyComp +p523 +tp524 +a(g183 +V +tp525 +a(g196 +V: +tp526 +a(g133 +VK +tp527 +a(g183 +V +tp528 +a(g363 +V-> +p529 +tp530 +a(g183 +V +tp531 +a(g133 +VK +tp532 +a(g183 +V +tp533 +a(g363 +V-> +p534 +tp535 +a(g183 +V +tp536 +a(g133 +VInt +p537 +tp538 +a(g183 +V +tp539 +a(g183 +V +tp540 +a(g196 +V) +tp541 +a(g183 +V\u000a +p542 +tp543 +a(g196 +V{ +tp544 +a(g183 +V\u000a +p545 +tp546 +a(g47 +Vroot +p547 +tp548 +a(g183 +V +tp549 +a(g337 +V= +tp550 +a(g183 +V +tp551 +a(g20 +Vnull +p552 +tp553 +a(g196 +V; +tp554 +a(g183 +V\u000a +p555 +tp556 +a(g47 +Vcomp +p557 +tp558 +a(g183 +V +tp559 +a(g337 +V= +tp560 +a(g183 +V +tp561 +a(g47 +VkeyComp +p562 +tp563 +a(g196 +V; +tp564 +a(g183 +V\u000a +p565 +tp566 +a(g47 +VnodeCount +p567 +tp568 +a(g183 +V +tp569 +a(g337 +V= +tp570 +a(g183 +V +tp571 +a(g312 +V0 +tp572 +a(g196 +V; +tp573 +a(g183 +V\u000a +p574 +tp575 +a(g47 +VassertInvariants +p576 +tp577 +a(g196 +V( +tp578 +a(g196 +V) +tp579 +a(g196 +V; +tp580 +a(g183 +V\u000a +p581 +tp582 +a(g196 +V} +tp583 +a(g183 +V\u000a\u000a +p584 +tp585 +a(g37 +V/**\u000a * @returns Some(v) if (\u005ckey,v) is in the map, None otherwise.\u000a */ +p586 +tp587 +a(g183 +V\u000a +p588 +tp589 +a(g355 +Vpublic +p590 +tp591 +a(g183 +V +tp592 +a(g355 +Vfunction +p593 +tp594 +a(g183 +V +tp595 +a(g50 +Vget +p596 +tp597 +a(g196 +V( +tp598 +a(g101 +Vkey +p599 +tp600 +a(g183 +V +tp601 +a(g196 +V: +tp602 +a(g133 +VK +tp603 +a(g183 +V +tp604 +a(g196 +V) +tp605 +a(g183 +V +tp606 +a(g196 +V: +tp607 +a(g133 +VOption +p608 +tp609 +a(g196 +V< +tp610 +a(g133 +VV +tp611 +a(g196 +V> +tp612 +a(g183 +V\u000a +p613 +tp614 +a(g183 +V +tp615 +a(g196 +V{ +tp616 +a(g183 +V\u000a +p617 +tp618 +a(g33 +V//normal BST search\u000a +p619 +tp620 +a(g183 +V +p621 +tp622 +a(g355 +Vvar +p623 +tp624 +a(g183 +V +tp625 +a(g101 +Vn +tp626 +a(g183 +V +tp627 +a(g337 +V= +tp628 +a(g183 +V +tp629 +a(g47 +Vroot +p630 +tp631 +a(g196 +V; +tp632 +a(g183 +V\u000a +p633 +tp634 +a(g351 +Vwhile +p635 +tp636 +a(g196 +V( +tp637 +a(g183 +V +tp638 +a(g47 +Vn +tp639 +a(g183 +V +tp640 +a(g337 +V!= +p641 +tp642 +a(g183 +V +tp643 +a(g20 +Vnull +p644 +tp645 +a(g183 +V +tp646 +a(g196 +V) +tp647 +a(g183 +V\u000a +p648 +tp649 +a(g196 +V{ +tp650 +a(g183 +V\u000a +p651 +tp652 +a(g355 +Vvar +p653 +tp654 +a(g183 +V +tp655 +a(g101 +Vcmp +p656 +tp657 +a(g183 +V +tp658 +a(g337 +V= +tp659 +a(g183 +V +tp660 +a(g47 +Vcomp +p661 +tp662 +a(g196 +V( +tp663 +a(g47 +Vkey +p664 +tp665 +a(g196 +V, +tp666 +a(g47 +Vn +tp667 +a(g337 +V. +tp668 +a(g47 +Vkey +p669 +tp670 +a(g196 +V) +tp671 +a(g196 +V; +tp672 +a(g183 +V\u000a +p673 +tp674 +a(g351 +Vif +p675 +tp676 +a(g196 +V( +tp677 +a(g183 +V +tp678 +a(g47 +Vcmp +p679 +tp680 +a(g183 +V +tp681 +a(g337 +V< +tp682 +a(g183 +V +tp683 +a(g312 +V0 +tp684 +a(g183 +V +tp685 +a(g196 +V) +tp686 +a(g183 +V\u000a +p687 +tp688 +a(g196 +V{ +tp689 +a(g183 +V\u000a +p690 +tp691 +a(g47 +Vn +tp692 +a(g183 +V +tp693 +a(g337 +V= +tp694 +a(g183 +V +tp695 +a(g47 +Vn +tp696 +a(g337 +V. +tp697 +a(g47 +Vleft +p698 +tp699 +a(g196 +V; +tp700 +a(g183 +V\u000a +p701 +tp702 +a(g196 +V} +tp703 +a(g183 +V\u000a +p704 +tp705 +a(g351 +Velse +p706 +tp707 +a(g183 +V +tp708 +a(g351 +Vif +p709 +tp710 +a(g183 +V +tp711 +a(g196 +V( +tp712 +a(g183 +V +tp713 +a(g47 +Vcmp +p714 +tp715 +a(g183 +V +tp716 +a(g337 +V> +tp717 +a(g183 +V +tp718 +a(g312 +V0 +tp719 +a(g183 +V +tp720 +a(g196 +V) +tp721 +a(g183 +V\u000a +p722 +tp723 +a(g196 +V{ +tp724 +a(g183 +V\u000a +p725 +tp726 +a(g47 +Vn +tp727 +a(g183 +V +tp728 +a(g337 +V= +tp729 +a(g183 +V +tp730 +a(g47 +Vn +tp731 +a(g337 +V. +tp732 +a(g47 +Vright +p733 +tp734 +a(g196 +V; +tp735 +a(g183 +V\u000a +p736 +tp737 +a(g196 +V} +tp738 +a(g183 +V\u000a +p739 +tp740 +a(g351 +Velse +p741 +tp742 +a(g183 +V\u000a +p743 +tp744 +a(g196 +V{ +tp745 +a(g183 +V\u000a +p746 +tp747 +a(g351 +Vreturn +p748 +tp749 +a(g183 +V +tp750 +a(g47 +VSome +p751 +tp752 +a(g196 +V( +tp753 +a(g47 +Vn +tp754 +a(g337 +V. +tp755 +a(g47 +Vval +p756 +tp757 +a(g196 +V) +tp758 +a(g196 +V; +tp759 +a(g183 +V\u000a +p760 +tp761 +a(g196 +V} +tp762 +a(g183 +V\u000a +p763 +tp764 +a(g196 +V} +tp765 +a(g183 +V\u000a +p766 +tp767 +a(g351 +Vreturn +p768 +tp769 +a(g183 +V +tp770 +a(g47 +VNone +p771 +tp772 +a(g196 +V; +tp773 +a(g183 +V\u000a +p774 +tp775 +a(g196 +V} +tp776 +a(g183 +V\u000a\u000a +p777 +tp778 +a(g37 +V/**\u000a * Puts (\u005ckey,\u005cval) into the map or replaces the current value of \u005ckey\u000a * with \u005cval.\u000a *\u000a * @return None if \u005ckey currently is not in the map, or Some(v) if (\u005ckey,v)\u000a * was in the map before the put operation.\u000a */ +p779 +tp780 +a(g183 +V\u000a +p781 +tp782 +a(g355 +Vpublic +p783 +tp784 +a(g183 +V +tp785 +a(g355 +Vfunction +p786 +tp787 +a(g183 +V +tp788 +a(g50 +Vset +p789 +tp790 +a(g196 +V( +tp791 +a(g101 +Vkey +p792 +tp793 +a(g183 +V +tp794 +a(g196 +V: +tp795 +a(g133 +VK +tp796 +a(g183 +V +tp797 +a(g196 +V, +tp798 +a(g183 +V +tp799 +a(g101 +Vval +p800 +tp801 +a(g183 +V +tp802 +a(g196 +V: +tp803 +a(g133 +VV +tp804 +a(g183 +V +tp805 +a(g196 +V) +tp806 +a(g183 +V +tp807 +a(g196 +V: +tp808 +a(g133 +VOption +p809 +tp810 +a(g196 +V< +tp811 +a(g133 +VV +tp812 +a(g196 +V> +tp813 +a(g183 +V\u000a +p814 +tp815 +a(g183 +V +tp816 +a(g196 +V{ +tp817 +a(g183 +V\u000a +p818 +tp819 +a(g355 +Vvar +p820 +tp821 +a(g183 +V +tp822 +a(g101 +Vret +p823 +tp824 +a(g183 +V +tp825 +a(g337 +V= +tp826 +a(g183 +V +tp827 +a(g13 +Vnew +p828 +tp829 +a(g183 +V +tp830 +a(g133 +VRef +p831 +tp832 +a(g196 +V< +tp833 +a(g133 +VV +tp834 +a(g196 +V> +tp835 +a(g183 +V +tp836 +a(g196 +V( +tp837 +a(g20 +Vnull +p838 +tp839 +a(g196 +V) +tp840 +a(g196 +V; +tp841 +a(g183 +V\u000a +p842 +tp843 +a(g47 +Vroot +p844 +tp845 +a(g183 +V +tp846 +a(g337 +V= +tp847 +a(g183 +V +tp848 +a(g47 +VinsertNode +p849 +tp850 +a(g196 +V( +tp851 +a(g47 +Vroot +p852 +tp853 +a(g196 +V, +tp854 +a(g47 +Vkey +p855 +tp856 +a(g196 +V, +tp857 +a(g47 +Vval +p858 +tp859 +a(g196 +V, +tp860 +a(g47 +Vret +p861 +tp862 +a(g196 +V) +tp863 +a(g196 +V; +tp864 +a(g183 +V\u000a +p865 +tp866 +a(g47 +Vroot +p867 +tp868 +a(g337 +V. +tp869 +a(g47 +Vcolor +p870 +tp871 +a(g183 +V +tp872 +a(g337 +V= +tp873 +a(g183 +V +tp874 +a(g47 +Vblack +p875 +tp876 +a(g196 +V; +tp877 +a(g183 +V\u000a\u000a +p878 +tp879 +a(g47 +VassertInvariants +p880 +tp881 +a(g196 +V( +tp882 +a(g196 +V) +tp883 +a(g196 +V; +tp884 +a(g183 +V\u000a\u000a +p885 +tp886 +a(g351 +Vif +p887 +tp888 +a(g196 +V( +tp889 +a(g183 +V +tp890 +a(g47 +Vret +p891 +tp892 +a(g337 +V. +tp893 +a(g47 +Vr +tp894 +a(g183 +V +tp895 +a(g337 +V= +tp896 +a(g337 +V= +tp897 +a(g183 +V +tp898 +a(g20 +Vnull +p899 +tp900 +a(g183 +V +tp901 +a(g196 +V) +tp902 +a(g183 +V\u000a +p903 +tp904 +a(g196 +V{ +tp905 +a(g183 +V\u000a +p906 +tp907 +a(g351 +Vreturn +p908 +tp909 +a(g183 +V +tp910 +a(g47 +VNone +p911 +tp912 +a(g196 +V; +tp913 +a(g183 +V\u000a +p914 +tp915 +a(g196 +V} +tp916 +a(g183 +V\u000a +p917 +tp918 +a(g351 +Vreturn +p919 +tp920 +a(g183 +V +tp921 +a(g47 +VSome +p922 +tp923 +a(g196 +V( +tp924 +a(g47 +Vret +p925 +tp926 +a(g337 +V. +tp927 +a(g47 +Vr +tp928 +a(g196 +V) +tp929 +a(g196 +V; +tp930 +a(g183 +V\u000a +p931 +tp932 +a(g196 +V} +tp933 +a(g183 +V\u000a\u000a +p934 +tp935 +a(g355 +Vprivate +p936 +tp937 +a(g183 +V +tp938 +a(g355 +Vfunction +p939 +tp940 +a(g183 +V +tp941 +a(g50 +VinsertNode +p942 +tp943 +a(g196 +V( +tp944 +a(g101 +Vn +tp945 +a(g183 +V +tp946 +a(g196 +V: +tp947 +a(g133 +VNode +p948 +tp949 +a(g196 +V< +tp950 +a(g133 +VK +tp951 +a(g196 +V, +tp952 +a(g133 +VV +tp953 +a(g196 +V> +tp954 +a(g183 +V +tp955 +a(g196 +V, +tp956 +a(g183 +V +tp957 +a(g101 +Vkey +p958 +tp959 +a(g183 +V +tp960 +a(g196 +V: +tp961 +a(g133 +VK +tp962 +a(g183 +V +tp963 +a(g196 +V, +tp964 +a(g183 +V +tp965 +a(g101 +Vval +p966 +tp967 +a(g183 +V +tp968 +a(g196 +V: +tp969 +a(g133 +VV +tp970 +a(g183 +V +tp971 +a(g196 +V, +tp972 +a(g183 +V +tp973 +a(g101 +Vret +p974 +tp975 +a(g183 +V +tp976 +a(g196 +V: +tp977 +a(g133 +VRef +p978 +tp979 +a(g196 +V< +tp980 +a(g133 +VV +tp981 +a(g196 +V> +tp982 +a(g183 +V +tp983 +a(g196 +V) +tp984 +a(g183 +V\u000a +p985 +tp986 +a(g196 +V{ +tp987 +a(g183 +V\u000a +p988 +tp989 +a(g33 +V//do the insertion at the leaf level\u000a +p990 +tp991 +a(g183 +V +p992 +tp993 +a(g351 +Vif +p994 +tp995 +a(g196 +V( +tp996 +a(g183 +V +tp997 +a(g47 +Vn +tp998 +a(g183 +V +tp999 +a(g337 +V= +tp1000 +a(g337 +V= +tp1001 +a(g183 +V +tp1002 +a(g20 +Vnull +p1003 +tp1004 +a(g183 +V +tp1005 +a(g196 +V) +tp1006 +a(g183 +V\u000a +p1007 +tp1008 +a(g196 +V{ +tp1009 +a(g183 +V\u000a +p1010 +tp1011 +a(g337 +V+ +tp1012 +a(g337 +V+ +tp1013 +a(g47 +VnodeCount +p1014 +tp1015 +a(g196 +V; +tp1016 +a(g183 +V\u000a +p1017 +tp1018 +a(g351 +Vreturn +p1019 +tp1020 +a(g183 +V +tp1021 +a(g13 +Vnew +p1022 +tp1023 +a(g183 +V +tp1024 +a(g133 +VNode +p1025 +tp1026 +a(g196 +V< +tp1027 +a(g133 +VK +tp1028 +a(g196 +V, +tp1029 +a(g133 +VV +tp1030 +a(g196 +V> +tp1031 +a(g183 +V +tp1032 +a(g196 +V( +tp1033 +a(g47 +Vkey +p1034 +tp1035 +a(g196 +V, +tp1036 +a(g47 +Vval +p1037 +tp1038 +a(g196 +V) +tp1039 +a(g196 +V; +tp1040 +a(g183 +V\u000a +p1041 +tp1042 +a(g196 +V} +tp1043 +a(g183 +V\u000a\u000a +p1044 +tp1045 +a(g33 +V//normal BST search\u000a +p1046 +tp1047 +a(g183 +V +p1048 +tp1049 +a(g355 +Vvar +p1050 +tp1051 +a(g183 +V +tp1052 +a(g101 +Vcmp +p1053 +tp1054 +a(g183 +V +tp1055 +a(g337 +V= +tp1056 +a(g183 +V +tp1057 +a(g47 +Vcomp +p1058 +tp1059 +a(g196 +V( +tp1060 +a(g47 +Vkey +p1061 +tp1062 +a(g196 +V, +tp1063 +a(g47 +Vn +tp1064 +a(g337 +V. +tp1065 +a(g47 +Vkey +p1066 +tp1067 +a(g196 +V) +tp1068 +a(g196 +V; +tp1069 +a(g183 +V\u000a +p1070 +tp1071 +a(g351 +Vif +p1072 +tp1073 +a(g196 +V( +tp1074 +a(g183 +V +tp1075 +a(g47 +Vcmp +p1076 +tp1077 +a(g183 +V +tp1078 +a(g337 +V< +tp1079 +a(g183 +V +tp1080 +a(g312 +V0 +tp1081 +a(g183 +V +tp1082 +a(g196 +V) +tp1083 +a(g183 +V\u000a +p1084 +tp1085 +a(g196 +V{ +tp1086 +a(g183 +V\u000a +p1087 +tp1088 +a(g47 +Vn +tp1089 +a(g337 +V. +tp1090 +a(g47 +Vleft +p1091 +tp1092 +a(g183 +V +tp1093 +a(g337 +V= +tp1094 +a(g183 +V +tp1095 +a(g47 +VinsertNode +p1096 +tp1097 +a(g196 +V( +tp1098 +a(g47 +Vn +tp1099 +a(g337 +V. +tp1100 +a(g47 +Vleft +p1101 +tp1102 +a(g196 +V, +tp1103 +a(g47 +Vkey +p1104 +tp1105 +a(g196 +V, +tp1106 +a(g47 +Vval +p1107 +tp1108 +a(g196 +V, +tp1109 +a(g47 +Vret +p1110 +tp1111 +a(g196 +V) +tp1112 +a(g196 +V; +tp1113 +a(g183 +V\u000a +p1114 +tp1115 +a(g196 +V} +tp1116 +a(g183 +V\u000a +p1117 +tp1118 +a(g351 +Velse +p1119 +tp1120 +a(g183 +V +tp1121 +a(g351 +Vif +p1122 +tp1123 +a(g196 +V( +tp1124 +a(g183 +V +tp1125 +a(g47 +Vcmp +p1126 +tp1127 +a(g183 +V +tp1128 +a(g337 +V> +tp1129 +a(g183 +V +tp1130 +a(g312 +V0 +tp1131 +a(g183 +V +tp1132 +a(g196 +V) +tp1133 +a(g183 +V\u000a +p1134 +tp1135 +a(g196 +V{ +tp1136 +a(g183 +V\u000a +p1137 +tp1138 +a(g47 +Vn +tp1139 +a(g337 +V. +tp1140 +a(g47 +Vright +p1141 +tp1142 +a(g183 +V +tp1143 +a(g337 +V= +tp1144 +a(g183 +V +tp1145 +a(g47 +VinsertNode +p1146 +tp1147 +a(g196 +V( +tp1148 +a(g47 +Vn +tp1149 +a(g337 +V. +tp1150 +a(g47 +Vright +p1151 +tp1152 +a(g196 +V, +tp1153 +a(g47 +Vkey +p1154 +tp1155 +a(g196 +V, +tp1156 +a(g47 +Vval +p1157 +tp1158 +a(g196 +V, +tp1159 +a(g47 +Vret +p1160 +tp1161 +a(g196 +V) +tp1162 +a(g196 +V; +tp1163 +a(g183 +V\u000a +p1164 +tp1165 +a(g196 +V} +tp1166 +a(g183 +V\u000a +p1167 +tp1168 +a(g351 +Velse +p1169 +tp1170 +a(g183 +V\u000a +p1171 +tp1172 +a(g196 +V{ +tp1173 +a(g183 +V\u000a +p1174 +tp1175 +a(g33 +V//the key is already in the map, update the value\u000a +p1176 +tp1177 +a(g183 +V +p1178 +tp1179 +a(g47 +Vret +p1180 +tp1181 +a(g337 +V. +tp1182 +a(g47 +Vr +tp1183 +a(g183 +V +tp1184 +a(g337 +V= +tp1185 +a(g183 +V +tp1186 +a(g47 +Vn +tp1187 +a(g337 +V. +tp1188 +a(g47 +Vval +p1189 +tp1190 +a(g196 +V; +tp1191 +a(g183 +V\u000a +p1192 +tp1193 +a(g47 +Vn +tp1194 +a(g337 +V. +tp1195 +a(g47 +Vval +p1196 +tp1197 +a(g183 +V +tp1198 +a(g337 +V= +tp1199 +a(g183 +V +tp1200 +a(g47 +Vval +p1201 +tp1202 +a(g196 +V; +tp1203 +a(g183 +V\u000a +p1204 +tp1205 +a(g196 +V} +tp1206 +a(g183 +V\u000a\u000a +p1207 +tp1208 +a(g351 +Vreturn +p1209 +tp1210 +a(g183 +V +tp1211 +a(g47 +VfixInvariants +p1212 +tp1213 +a(g196 +V( +tp1214 +a(g47 +Vn +tp1215 +a(g196 +V) +tp1216 +a(g196 +V; +tp1217 +a(g183 +V\u000a +p1218 +tp1219 +a(g196 +V} +tp1220 +a(g183 +V\u000a\u000a +p1221 +tp1222 +a(g37 +V/**\u000a * Removes (\u005ckey,v) from the map if it exists.\u000a *\u000a * @return None if (\u005ckey,v) wasn't in the map, Some(v) otherwise.\u000a */ +p1223 +tp1224 +a(g183 +V\u000a +p1225 +tp1226 +a(g355 +Vpublic +p1227 +tp1228 +a(g183 +V +tp1229 +a(g355 +Vfunction +p1230 +tp1231 +a(g183 +V +tp1232 +a(g50 +Vremove +p1233 +tp1234 +a(g196 +V( +tp1235 +a(g101 +Vkey +p1236 +tp1237 +a(g183 +V +tp1238 +a(g196 +V: +tp1239 +a(g133 +VK +tp1240 +a(g183 +V +tp1241 +a(g196 +V) +tp1242 +a(g183 +V +tp1243 +a(g196 +V: +tp1244 +a(g133 +VOption +p1245 +tp1246 +a(g196 +V< +tp1247 +a(g133 +VV +tp1248 +a(g196 +V> +tp1249 +a(g183 +V\u000a +p1250 +tp1251 +a(g183 +V +tp1252 +a(g196 +V{ +tp1253 +a(g183 +V\u000a +p1254 +tp1255 +a(g355 +Vvar +p1256 +tp1257 +a(g183 +V +tp1258 +a(g101 +Vret +p1259 +tp1260 +a(g183 +V +tp1261 +a(g337 +V= +tp1262 +a(g183 +V +tp1263 +a(g13 +Vnew +p1264 +tp1265 +a(g183 +V +tp1266 +a(g133 +VRef +p1267 +tp1268 +a(g196 +V< +tp1269 +a(g133 +VV +tp1270 +a(g196 +V> +tp1271 +a(g183 +V +tp1272 +a(g196 +V( +tp1273 +a(g20 +Vnull +p1274 +tp1275 +a(g196 +V) +tp1276 +a(g196 +V; +tp1277 +a(g183 +V\u000a +p1278 +tp1279 +a(g351 +Vif +p1280 +tp1281 +a(g196 +V( +tp1282 +a(g183 +V +tp1283 +a(g47 +Vroot +p1284 +tp1285 +a(g183 +V +tp1286 +a(g337 +V!= +p1287 +tp1288 +a(g183 +V +tp1289 +a(g20 +Vnull +p1290 +tp1291 +a(g183 +V +tp1292 +a(g196 +V) +tp1293 +a(g183 +V\u000a +p1294 +tp1295 +a(g196 +V{ +tp1296 +a(g183 +V\u000a +p1297 +tp1298 +a(g47 +Vroot +p1299 +tp1300 +a(g183 +V +tp1301 +a(g337 +V= +tp1302 +a(g183 +V +tp1303 +a(g47 +VdeleteNode +p1304 +tp1305 +a(g196 +V( +tp1306 +a(g47 +Vroot +p1307 +tp1308 +a(g196 +V, +tp1309 +a(g47 +Vkey +p1310 +tp1311 +a(g196 +V, +tp1312 +a(g47 +Vret +p1313 +tp1314 +a(g196 +V) +tp1315 +a(g196 +V; +tp1316 +a(g183 +V\u000a +p1317 +tp1318 +a(g351 +Vif +p1319 +tp1320 +a(g196 +V( +tp1321 +a(g183 +V +tp1322 +a(g47 +Vroot +p1323 +tp1324 +a(g183 +V +tp1325 +a(g337 +V!= +p1326 +tp1327 +a(g183 +V +tp1328 +a(g20 +Vnull +p1329 +tp1330 +a(g183 +V +tp1331 +a(g196 +V) +tp1332 +a(g183 +V\u000a +p1333 +tp1334 +a(g196 +V{ +tp1335 +a(g183 +V\u000a +p1336 +tp1337 +a(g47 +Vroot +p1338 +tp1339 +a(g337 +V. +tp1340 +a(g47 +Vcolor +p1341 +tp1342 +a(g183 +V +tp1343 +a(g337 +V= +tp1344 +a(g183 +V +tp1345 +a(g47 +Vblack +p1346 +tp1347 +a(g196 +V; +tp1348 +a(g183 +V\u000a +p1349 +tp1350 +a(g196 +V} +tp1351 +a(g183 +V\u000a +p1352 +tp1353 +a(g196 +V} +tp1354 +a(g183 +V\u000a\u000a +p1355 +tp1356 +a(g47 +VassertInvariants +p1357 +tp1358 +a(g196 +V( +tp1359 +a(g196 +V) +tp1360 +a(g196 +V; +tp1361 +a(g183 +V\u000a\u000a +p1362 +tp1363 +a(g351 +Vif +p1364 +tp1365 +a(g196 +V( +tp1366 +a(g183 +V +tp1367 +a(g47 +Vret +p1368 +tp1369 +a(g337 +V. +tp1370 +a(g47 +Vr +tp1371 +a(g183 +V +tp1372 +a(g337 +V= +tp1373 +a(g337 +V= +tp1374 +a(g183 +V +tp1375 +a(g20 +Vnull +p1376 +tp1377 +a(g183 +V +tp1378 +a(g196 +V) +tp1379 +a(g183 +V\u000a +p1380 +tp1381 +a(g196 +V{ +tp1382 +a(g183 +V\u000a +p1383 +tp1384 +a(g351 +Vreturn +p1385 +tp1386 +a(g183 +V +tp1387 +a(g47 +VNone +p1388 +tp1389 +a(g196 +V; +tp1390 +a(g183 +V\u000a +p1391 +tp1392 +a(g196 +V} +tp1393 +a(g183 +V\u000a +p1394 +tp1395 +a(g351 +Vreturn +p1396 +tp1397 +a(g183 +V +tp1398 +a(g47 +VSome +p1399 +tp1400 +a(g196 +V( +tp1401 +a(g47 +Vret +p1402 +tp1403 +a(g337 +V. +tp1404 +a(g47 +Vr +tp1405 +a(g196 +V) +tp1406 +a(g196 +V; +tp1407 +a(g183 +V\u000a +p1408 +tp1409 +a(g196 +V} +tp1410 +a(g183 +V\u000a\u000a +p1411 +tp1412 +a(g355 +Vprivate +p1413 +tp1414 +a(g183 +V +tp1415 +a(g355 +Vfunction +p1416 +tp1417 +a(g183 +V +tp1418 +a(g50 +VdeleteNode +p1419 +tp1420 +a(g196 +V( +tp1421 +a(g183 +V +tp1422 +a(g101 +Vn +tp1423 +a(g183 +V +tp1424 +a(g196 +V: +tp1425 +a(g133 +VNode +p1426 +tp1427 +a(g196 +V< +tp1428 +a(g133 +VK +tp1429 +a(g196 +V, +tp1430 +a(g133 +VV +tp1431 +a(g196 +V> +tp1432 +a(g183 +V +tp1433 +a(g196 +V, +tp1434 +a(g183 +V +tp1435 +a(g101 +Vkey +p1436 +tp1437 +a(g183 +V +tp1438 +a(g196 +V: +tp1439 +a(g133 +VK +tp1440 +a(g183 +V +tp1441 +a(g196 +V, +tp1442 +a(g183 +V +tp1443 +a(g101 +Vret +p1444 +tp1445 +a(g183 +V +tp1446 +a(g196 +V: +tp1447 +a(g133 +VRef +p1448 +tp1449 +a(g196 +V< +tp1450 +a(g133 +VV +tp1451 +a(g196 +V> +tp1452 +a(g183 +V +tp1453 +a(g183 +V +tp1454 +a(g196 +V) +tp1455 +a(g183 +V\u000a +p1456 +tp1457 +a(g196 +V{ +tp1458 +a(g183 +V\u000a +p1459 +tp1460 +a(g351 +Vif +p1461 +tp1462 +a(g196 +V( +tp1463 +a(g183 +V +tp1464 +a(g47 +Vcomp +p1465 +tp1466 +a(g196 +V( +tp1467 +a(g47 +Vkey +p1468 +tp1469 +a(g196 +V, +tp1470 +a(g47 +Vn +tp1471 +a(g337 +V. +tp1472 +a(g47 +Vkey +p1473 +tp1474 +a(g196 +V) +tp1475 +a(g183 +V +tp1476 +a(g337 +V< +tp1477 +a(g183 +V +tp1478 +a(g312 +V0 +tp1479 +a(g183 +V +tp1480 +a(g196 +V) +tp1481 +a(g183 +V\u000a +p1482 +tp1483 +a(g196 +V{ +tp1484 +a(g183 +V\u000a +p1485 +tp1486 +a(g351 +Vif +p1487 +tp1488 +a(g196 +V( +tp1489 +a(g183 +V +tp1490 +a(g47 +VisBlack +p1491 +tp1492 +a(g196 +V( +tp1493 +a(g47 +Vn +tp1494 +a(g337 +V. +tp1495 +a(g47 +Vleft +p1496 +tp1497 +a(g196 +V) +tp1498 +a(g183 +V +tp1499 +a(g337 +V&& +p1500 +tp1501 +a(g183 +V +tp1502 +a(g47 +VisBlack +p1503 +tp1504 +a(g196 +V( +tp1505 +a(g47 +Vn +tp1506 +a(g337 +V. +tp1507 +a(g47 +Vleft +p1508 +tp1509 +a(g337 +V. +tp1510 +a(g47 +Vleft +p1511 +tp1512 +a(g196 +V) +tp1513 +a(g183 +V +tp1514 +a(g196 +V) +tp1515 +a(g183 +V\u000a +p1516 +tp1517 +a(g196 +V{ +tp1518 +a(g183 +V\u000a +p1519 +tp1520 +a(g33 +V//ensure we move into a 3-node\u000a +p1521 +tp1522 +a(g183 +V +p1523 +tp1524 +a(g47 +Vn +tp1525 +a(g183 +V +tp1526 +a(g337 +V= +tp1527 +a(g183 +V +tp1528 +a(g47 +VmoveRedLeft +p1529 +tp1530 +a(g196 +V( +tp1531 +a(g47 +Vn +tp1532 +a(g196 +V) +tp1533 +a(g196 +V; +tp1534 +a(g183 +V\u000a +p1535 +tp1536 +a(g196 +V} +tp1537 +a(g183 +V\u000a +p1538 +tp1539 +a(g47 +Vn +tp1540 +a(g337 +V. +tp1541 +a(g47 +Vleft +p1542 +tp1543 +a(g183 +V +tp1544 +a(g337 +V= +tp1545 +a(g183 +V +tp1546 +a(g47 +VdeleteNode +p1547 +tp1548 +a(g196 +V( +tp1549 +a(g47 +Vn +tp1550 +a(g337 +V. +tp1551 +a(g47 +Vleft +p1552 +tp1553 +a(g196 +V, +tp1554 +a(g47 +Vkey +p1555 +tp1556 +a(g196 +V, +tp1557 +a(g47 +Vret +p1558 +tp1559 +a(g196 +V) +tp1560 +a(g196 +V; +tp1561 +a(g183 +V\u000a +p1562 +tp1563 +a(g196 +V} +tp1564 +a(g183 +V\u000a +p1565 +tp1566 +a(g351 +Velse +p1567 +tp1568 +a(g183 +V\u000a +p1569 +tp1570 +a(g196 +V{ +tp1571 +a(g183 +V\u000a +p1572 +tp1573 +a(g351 +Vif +p1574 +tp1575 +a(g196 +V( +tp1576 +a(g183 +V +tp1577 +a(g47 +VisRed +p1578 +tp1579 +a(g196 +V( +tp1580 +a(g47 +Vn +tp1581 +a(g337 +V. +tp1582 +a(g47 +Vleft +p1583 +tp1584 +a(g196 +V) +tp1585 +a(g183 +V +tp1586 +a(g196 +V) +tp1587 +a(g183 +V\u000a +p1588 +tp1589 +a(g196 +V{ +tp1590 +a(g183 +V\u000a +p1591 +tp1592 +a(g33 +V//ensure we move into a 3-node\u000a +p1593 +tp1594 +a(g183 +V +p1595 +tp1596 +a(g47 +Vn +tp1597 +a(g183 +V +tp1598 +a(g337 +V= +tp1599 +a(g183 +V +tp1600 +a(g47 +VrotateRight +p1601 +tp1602 +a(g196 +V( +tp1603 +a(g47 +Vn +tp1604 +a(g196 +V) +tp1605 +a(g196 +V; +tp1606 +a(g183 +V\u000a +p1607 +tp1608 +a(g196 +V} +tp1609 +a(g183 +V\u000a +p1610 +tp1611 +a(g351 +Vif +p1612 +tp1613 +a(g196 +V( +tp1614 +a(g183 +V +tp1615 +a(g47 +Vcomp +p1616 +tp1617 +a(g196 +V( +tp1618 +a(g47 +Vkey +p1619 +tp1620 +a(g196 +V, +tp1621 +a(g47 +Vn +tp1622 +a(g337 +V. +tp1623 +a(g47 +Vkey +p1624 +tp1625 +a(g196 +V) +tp1626 +a(g183 +V +tp1627 +a(g337 +V= +tp1628 +a(g337 +V= +tp1629 +a(g183 +V +tp1630 +a(g312 +V0 +tp1631 +a(g183 +V +tp1632 +a(g337 +V&& +p1633 +tp1634 +a(g183 +V +tp1635 +a(g47 +Vn +tp1636 +a(g337 +V. +tp1637 +a(g47 +Vright +p1638 +tp1639 +a(g183 +V +tp1640 +a(g337 +V= +tp1641 +a(g337 +V= +tp1642 +a(g183 +V +tp1643 +a(g20 +Vnull +p1644 +tp1645 +a(g183 +V +tp1646 +a(g196 +V) +tp1647 +a(g183 +V\u000a +p1648 +tp1649 +a(g196 +V{ +tp1650 +a(g183 +V\u000a +p1651 +tp1652 +a(g33 +V//delete the node\u000a +p1653 +tp1654 +a(g183 +V +p1655 +tp1656 +a(g47 +Vret +p1657 +tp1658 +a(g337 +V. +tp1659 +a(g47 +Vr +tp1660 +a(g183 +V +tp1661 +a(g337 +V= +tp1662 +a(g183 +V +tp1663 +a(g47 +Vn +tp1664 +a(g337 +V. +tp1665 +a(g47 +Vval +p1666 +tp1667 +a(g196 +V; +tp1668 +a(g183 +V\u000a +p1669 +tp1670 +a(g337 +V- +tp1671 +a(g337 +V- +tp1672 +a(g47 +VnodeCount +p1673 +tp1674 +a(g196 +V; +tp1675 +a(g183 +V\u000a +p1676 +tp1677 +a(g351 +Vreturn +p1678 +tp1679 +a(g183 +V +tp1680 +a(g20 +Vnull +p1681 +tp1682 +a(g196 +V; +tp1683 +a(g183 +V\u000a +p1684 +tp1685 +a(g196 +V} +tp1686 +a(g183 +V\u000a +p1687 +tp1688 +a(g351 +Vif +p1689 +tp1690 +a(g196 +V( +tp1691 +a(g183 +V +tp1692 +a(g47 +VisBlack +p1693 +tp1694 +a(g196 +V( +tp1695 +a(g47 +Vn +tp1696 +a(g337 +V. +tp1697 +a(g47 +Vright +p1698 +tp1699 +a(g196 +V) +tp1700 +a(g183 +V +tp1701 +a(g337 +V&& +p1702 +tp1703 +a(g183 +V +tp1704 +a(g47 +VisBlack +p1705 +tp1706 +a(g196 +V( +tp1707 +a(g47 +Vn +tp1708 +a(g337 +V. +tp1709 +a(g47 +Vright +p1710 +tp1711 +a(g337 +V. +tp1712 +a(g47 +Vleft +p1713 +tp1714 +a(g196 +V) +tp1715 +a(g183 +V +tp1716 +a(g196 +V) +tp1717 +a(g183 +V\u000a +p1718 +tp1719 +a(g196 +V{ +tp1720 +a(g183 +V\u000a +p1721 +tp1722 +a(g33 +V//ensure we move into a 3-node\u000a +p1723 +tp1724 +a(g183 +V +p1725 +tp1726 +a(g47 +Vn +tp1727 +a(g183 +V +tp1728 +a(g337 +V= +tp1729 +a(g183 +V +tp1730 +a(g47 +VmoveRedRight +p1731 +tp1732 +a(g196 +V( +tp1733 +a(g47 +Vn +tp1734 +a(g196 +V) +tp1735 +a(g196 +V; +tp1736 +a(g183 +V\u000a +p1737 +tp1738 +a(g196 +V} +tp1739 +a(g183 +V\u000a +p1740 +tp1741 +a(g351 +Vif +p1742 +tp1743 +a(g196 +V( +tp1744 +a(g183 +V +tp1745 +a(g47 +Vcomp +p1746 +tp1747 +a(g196 +V( +tp1748 +a(g47 +Vkey +p1749 +tp1750 +a(g196 +V, +tp1751 +a(g47 +Vn +tp1752 +a(g337 +V. +tp1753 +a(g47 +Vkey +p1754 +tp1755 +a(g196 +V) +tp1756 +a(g183 +V +tp1757 +a(g337 +V= +tp1758 +a(g337 +V= +tp1759 +a(g183 +V +tp1760 +a(g312 +V0 +tp1761 +a(g183 +V +tp1762 +a(g196 +V) +tp1763 +a(g183 +V\u000a +p1764 +tp1765 +a(g196 +V{ +tp1766 +a(g183 +V\u000a +p1767 +tp1768 +a(g47 +VDebug +p1769 +tp1770 +a(g337 +V. +tp1771 +a(g47 +Vassert +p1772 +tp1773 +a(g196 +V( +tp1774 +a(g47 +Vn +tp1775 +a(g337 +V. +tp1776 +a(g47 +Vright +p1777 +tp1778 +a(g183 +V +tp1779 +a(g337 +V!= +p1780 +tp1781 +a(g183 +V +tp1782 +a(g20 +Vnull +p1783 +tp1784 +a(g196 +V) +tp1785 +a(g196 +V; +tp1786 +a(g183 +V\u000a\u000a +p1787 +tp1788 +a(g47 +Vret +p1789 +tp1790 +a(g337 +V. +tp1791 +a(g47 +Vr +tp1792 +a(g183 +V +tp1793 +a(g337 +V= +tp1794 +a(g183 +V +tp1795 +a(g47 +Vn +tp1796 +a(g337 +V. +tp1797 +a(g47 +Vval +p1798 +tp1799 +a(g196 +V; +tp1800 +a(g183 +V\u000a\u000a +p1801 +tp1802 +a(g33 +V//ensure we are deleting a node with at most one child\u000a +p1803 +tp1804 +a(g183 +V +p1805 +tp1806 +a(g355 +Vvar +p1807 +tp1808 +a(g183 +V +tp1809 +a(g101 +Vmin +p1810 +tp1811 +a(g183 +V +tp1812 +a(g337 +V= +tp1813 +a(g183 +V +tp1814 +a(g47 +VminNode +p1815 +tp1816 +a(g196 +V( +tp1817 +a(g47 +Vn +tp1818 +a(g337 +V. +tp1819 +a(g47 +Vright +p1820 +tp1821 +a(g196 +V) +tp1822 +a(g196 +V; +tp1823 +a(g183 +V\u000a +p1824 +tp1825 +a(g47 +Vn +tp1826 +a(g337 +V. +tp1827 +a(g47 +Vval +p1828 +tp1829 +a(g183 +V +tp1830 +a(g337 +V= +tp1831 +a(g183 +V +tp1832 +a(g47 +Vmin +p1833 +tp1834 +a(g337 +V. +tp1835 +a(g47 +Vval +p1836 +tp1837 +a(g196 +V; +tp1838 +a(g183 +V\u000a +p1839 +tp1840 +a(g47 +Vn +tp1841 +a(g337 +V. +tp1842 +a(g47 +Vkey +p1843 +tp1844 +a(g183 +V +tp1845 +a(g337 +V= +tp1846 +a(g183 +V +tp1847 +a(g47 +Vmin +p1848 +tp1849 +a(g337 +V. +tp1850 +a(g47 +Vkey +p1851 +tp1852 +a(g196 +V; +tp1853 +a(g183 +V\u000a +p1854 +tp1855 +a(g47 +Vn +tp1856 +a(g337 +V. +tp1857 +a(g47 +Vright +p1858 +tp1859 +a(g183 +V +tp1860 +a(g337 +V= +tp1861 +a(g183 +V +tp1862 +a(g47 +VdeleteMinNode +p1863 +tp1864 +a(g196 +V( +tp1865 +a(g47 +Vn +tp1866 +a(g337 +V. +tp1867 +a(g47 +Vright +p1868 +tp1869 +a(g196 +V) +tp1870 +a(g196 +V; +tp1871 +a(g183 +V\u000a +p1872 +tp1873 +a(g196 +V} +tp1874 +a(g183 +V\u000a +p1875 +tp1876 +a(g351 +Velse +p1877 +tp1878 +a(g183 +V\u000a +p1879 +tp1880 +a(g196 +V{ +tp1881 +a(g183 +V\u000a +p1882 +tp1883 +a(g47 +Vn +tp1884 +a(g337 +V. +tp1885 +a(g47 +Vright +p1886 +tp1887 +a(g183 +V +tp1888 +a(g337 +V= +tp1889 +a(g183 +V +tp1890 +a(g47 +VdeleteNode +p1891 +tp1892 +a(g196 +V( +tp1893 +a(g47 +Vn +tp1894 +a(g337 +V. +tp1895 +a(g47 +Vright +p1896 +tp1897 +a(g196 +V, +tp1898 +a(g47 +Vkey +p1899 +tp1900 +a(g196 +V, +tp1901 +a(g47 +Vret +p1902 +tp1903 +a(g196 +V) +tp1904 +a(g196 +V; +tp1905 +a(g183 +V\u000a +p1906 +tp1907 +a(g196 +V} +tp1908 +a(g183 +V\u000a +p1909 +tp1910 +a(g196 +V} +tp1911 +a(g183 +V\u000a\u000a +p1912 +tp1913 +a(g351 +Vreturn +p1914 +tp1915 +a(g183 +V +tp1916 +a(g47 +VfixInvariants +p1917 +tp1918 +a(g196 +V( +tp1919 +a(g47 +Vn +tp1920 +a(g196 +V) +tp1921 +a(g196 +V; +tp1922 +a(g183 +V\u000a +p1923 +tp1924 +a(g196 +V} +tp1925 +a(g183 +V\u000a\u000a +p1926 +tp1927 +a(g37 +V/** returns a view of the set of keys in this TreeMap **/ +p1928 +tp1929 +a(g183 +V\u000a +p1930 +tp1931 +a(g355 +Vpublic +p1932 +tp1933 +a(g183 +V +tp1934 +a(g355 +Vfunction +p1935 +tp1936 +a(g183 +V +tp1937 +a(g50 +Vkeys +p1938 +tp1939 +a(g196 +V( +tp1940 +a(g196 +V) +tp1941 +a(g183 +V +tp1942 +a(g196 +V: +tp1943 +a(g133 +VSetView +p1944 +tp1945 +a(g196 +V< +tp1946 +a(g133 +VK +tp1947 +a(g196 +V> +tp1948 +a(g183 +V\u000a +p1949 +tp1950 +a(g183 +V +tp1951 +a(g196 +V{ +tp1952 +a(g183 +V\u000a +p1953 +tp1954 +a(g355 +Vvar +p1955 +tp1956 +a(g183 +V +tp1957 +a(g101 +V_this +p1958 +tp1959 +a(g183 +V +tp1960 +a(g337 +V= +tp1961 +a(g183 +V +tp1962 +a(g351 +Vthis +p1963 +tp1964 +a(g196 +V; +tp1965 +a(g183 +V\u000a\u000a +p1966 +tp1967 +a(g351 +Vreturn +p1968 +tp1969 +a(g183 +V +tp1970 +a(g196 +V{ +tp1971 +a(g183 +V\u000a +p1972 +tp1973 +a(g47 +Vsize +p1974 +tp1975 +a(g337 +V: +tp1976 +a(g183 +V +tp1977 +a(g355 +Vfunction +p1978 +tp1979 +a(g196 +V( +tp1980 +a(g196 +V) +tp1981 +a(g183 +V +tp1982 +a(g183 +V +tp1983 +a(g351 +Vreturn +p1984 +tp1985 +a(g183 +V +tp1986 +a(g47 +V_this +p1987 +tp1988 +a(g337 +V. +tp1989 +a(g47 +Vsize +p1990 +tp1991 +a(g196 +V( +tp1992 +a(g196 +V) +tp1993 +a(g196 +V, +tp1994 +a(g183 +V\u000a +p1995 +tp1996 +a(g47 +Viterator +p1997 +tp1998 +a(g337 +V: +tp1999 +a(g183 +V +tp2000 +a(g355 +Vfunction +p2001 +tp2002 +a(g196 +V( +tp2003 +a(g196 +V) +tp2004 +a(g183 +V +tp2005 +a(g183 +V +tp2006 +a(g351 +Vreturn +p2007 +tp2008 +a(g183 +V +tp2009 +a(g47 +VIterTools +p2010 +tp2011 +a(g337 +V. +tp2012 +a(g47 +VmapIter +p2013 +tp2014 +a(g196 +V( +tp2015 +a(g13 +Vnew +p2016 +tp2017 +a(g183 +V +tp2018 +a(g133 +VNodeIterator +p2019 +tp2020 +a(g183 +V +tp2021 +a(g196 +V( +tp2022 +a(g47 +V_this +p2023 +tp2024 +a(g337 +V. +tp2025 +a(g47 +Vroot +p2026 +tp2027 +a(g196 +V) +tp2028 +a(g196 +V, +tp2029 +a(g355 +Vfunction +p2030 +tp2031 +a(g196 +V( +tp2032 +a(g101 +Vx +tp2033 +a(g196 +V) +tp2034 +a(g183 +V +tp2035 +a(g183 +V +tp2036 +a(g351 +Vreturn +p2037 +tp2038 +a(g183 +V +tp2039 +a(g47 +Vx +tp2040 +a(g337 +V. +tp2041 +a(g47 +Vkey +p2042 +tp2043 +a(g196 +V) +tp2044 +a(g196 +V, +tp2045 +a(g183 +V\u000a +p2046 +tp2047 +a(g47 +Vexists +p2048 +tp2049 +a(g337 +V: +tp2050 +a(g183 +V +tp2051 +a(g355 +Vfunction +p2052 +tp2053 +a(g196 +V( +tp2054 +a(g101 +Vx +tp2055 +a(g196 +V) +tp2056 +a(g183 +V +tp2057 +a(g196 +V{ +tp2058 +a(g183 +V\u000a +p2059 +tp2060 +a(g351 +Vreturn +p2061 +tp2062 +a(g183 +V +tp2063 +a(g351 +Vswitch +p2064 +tp2065 +a(g196 +V( +tp2066 +a(g47 +V_this +p2067 +tp2068 +a(g337 +V. +tp2069 +a(g47 +Vget +p2070 +tp2071 +a(g196 +V( +tp2072 +a(g47 +Vx +tp2073 +a(g196 +V) +tp2074 +a(g196 +V) +tp2075 +a(g183 +V\u000a +p2076 +tp2077 +a(g196 +V{ +tp2078 +a(g183 +V\u000a +p2079 +tp2080 +a(g351 +Vcase +p2081 +tp2082 +a(g183 +V +tp2083 +a(g47 +VNone +p2084 +tp2085 +a(g337 +V: +tp2086 +a(g183 +V +tp2087 +a(g20 +Vfalse +p2088 +tp2089 +a(g196 +V; +tp2090 +a(g183 +V\u000a +p2091 +tp2092 +a(g351 +Vcase +p2093 +tp2094 +a(g183 +V +tp2095 +a(g47 +VSome +p2096 +tp2097 +a(g196 +V( +tp2098 +a(g101 +V_ +tp2099 +a(g196 +V) +tp2100 +a(g337 +V: +tp2101 +a(g183 +V +tp2102 +a(g20 +Vtrue +p2103 +tp2104 +a(g196 +V; +tp2105 +a(g183 +V\u000a +p2106 +tp2107 +a(g196 +V} +tp2108 +a(g196 +V; +tp2109 +a(g183 +V\u000a +p2110 +tp2111 +a(g196 +V} +tp2112 +a(g196 +V, +tp2113 +a(g183 +V\u000a +p2114 +tp2115 +a(g196 +V} +tp2116 +a(g196 +V; +tp2117 +a(g183 +V\u000a +p2118 +tp2119 +a(g196 +V} +tp2120 +a(g183 +V\u000a\u000a +p2121 +tp2122 +a(g37 +V/** returns a view of the collection of values in this TreeMap **/ +p2123 +tp2124 +a(g183 +V\u000a +p2125 +tp2126 +a(g355 +Vpublic +p2127 +tp2128 +a(g183 +V +tp2129 +a(g355 +Vfunction +p2130 +tp2131 +a(g183 +V +tp2132 +a(g50 +Vvalues +p2133 +tp2134 +a(g196 +V( +tp2135 +a(g196 +V) +tp2136 +a(g183 +V +tp2137 +a(g196 +V: +tp2138 +a(g133 +VCollectionView +p2139 +tp2140 +a(g196 +V< +tp2141 +a(g133 +VV +tp2142 +a(g196 +V> +tp2143 +a(g183 +V\u000a +p2144 +tp2145 +a(g183 +V +tp2146 +a(g196 +V{ +tp2147 +a(g183 +V\u000a +p2148 +tp2149 +a(g355 +Vvar +p2150 +tp2151 +a(g183 +V +tp2152 +a(g101 +V_this +p2153 +tp2154 +a(g183 +V +tp2155 +a(g337 +V= +tp2156 +a(g183 +V +tp2157 +a(g351 +Vthis +p2158 +tp2159 +a(g196 +V; +tp2160 +a(g183 +V\u000a\u000a +p2161 +tp2162 +a(g351 +Vreturn +p2163 +tp2164 +a(g183 +V +tp2165 +a(g196 +V{ +tp2166 +a(g183 +V\u000a +p2167 +tp2168 +a(g47 +Vsize +p2169 +tp2170 +a(g337 +V: +tp2171 +a(g183 +V +tp2172 +a(g355 +Vfunction +p2173 +tp2174 +a(g196 +V( +tp2175 +a(g196 +V) +tp2176 +a(g183 +V +tp2177 +a(g183 +V +tp2178 +a(g351 +Vreturn +p2179 +tp2180 +a(g183 +V +tp2181 +a(g47 +V_this +p2182 +tp2183 +a(g337 +V. +tp2184 +a(g47 +Vsize +p2185 +tp2186 +a(g196 +V( +tp2187 +a(g196 +V) +tp2188 +a(g196 +V, +tp2189 +a(g183 +V\u000a +p2190 +tp2191 +a(g47 +Viterator +p2192 +tp2193 +a(g337 +V: +tp2194 +a(g183 +V +tp2195 +a(g355 +Vfunction +p2196 +tp2197 +a(g196 +V( +tp2198 +a(g196 +V) +tp2199 +a(g183 +V +tp2200 +a(g183 +V +tp2201 +a(g351 +Vreturn +p2202 +tp2203 +a(g183 +V +tp2204 +a(g47 +VIterTools +p2205 +tp2206 +a(g337 +V. +tp2207 +a(g47 +VmapIter +p2208 +tp2209 +a(g196 +V( +tp2210 +a(g13 +Vnew +p2211 +tp2212 +a(g183 +V +tp2213 +a(g133 +VNodeIterator +p2214 +tp2215 +a(g183 +V +tp2216 +a(g196 +V( +tp2217 +a(g47 +V_this +p2218 +tp2219 +a(g337 +V. +tp2220 +a(g47 +Vroot +p2221 +tp2222 +a(g196 +V) +tp2223 +a(g196 +V, +tp2224 +a(g355 +Vfunction +p2225 +tp2226 +a(g196 +V( +tp2227 +a(g101 +Vx +tp2228 +a(g196 +V) +tp2229 +a(g183 +V +tp2230 +a(g183 +V +tp2231 +a(g351 +Vreturn +p2232 +tp2233 +a(g183 +V +tp2234 +a(g47 +Vx +tp2235 +a(g337 +V. +tp2236 +a(g47 +Vval +p2237 +tp2238 +a(g196 +V) +tp2239 +a(g196 +V, +tp2240 +a(g183 +V\u000a +p2241 +tp2242 +a(g196 +V} +tp2243 +a(g196 +V; +tp2244 +a(g183 +V\u000a +p2245 +tp2246 +a(g196 +V} +tp2247 +a(g183 +V\u000a\u000a +p2248 +tp2249 +a(g37 +V/** returns a view of the (key,value) pairs in this TreeMap **/ +p2250 +tp2251 +a(g183 +V\u000a +p2252 +tp2253 +a(g355 +Vpublic +p2254 +tp2255 +a(g183 +V +tp2256 +a(g355 +Vfunction +p2257 +tp2258 +a(g183 +V +tp2259 +a(g50 +Ventries +p2260 +tp2261 +a(g196 +V( +tp2262 +a(g196 +V) +tp2263 +a(g183 +V +tp2264 +a(g196 +V: +tp2265 +a(g133 +VCollectionView +p2266 +tp2267 +a(g196 +V< +tp2268 +a(g133 +VEntry +p2269 +tp2270 +a(g196 +V< +tp2271 +a(g133 +VK +tp2272 +a(g196 +V, +tp2273 +a(g133 +VV +tp2274 +a(g196 +V> +tp2275 +a(g196 +V> +tp2276 +a(g183 +V\u000a +p2277 +tp2278 +a(g183 +V +tp2279 +a(g196 +V{ +tp2280 +a(g183 +V\u000a +p2281 +tp2282 +a(g355 +Vvar +p2283 +tp2284 +a(g183 +V +tp2285 +a(g101 +V_this +p2286 +tp2287 +a(g183 +V +tp2288 +a(g337 +V= +tp2289 +a(g183 +V +tp2290 +a(g351 +Vthis +p2291 +tp2292 +a(g196 +V; +tp2293 +a(g183 +V\u000a\u000a +p2294 +tp2295 +a(g351 +Vreturn +p2296 +tp2297 +a(g183 +V +tp2298 +a(g196 +V{ +tp2299 +a(g183 +V\u000a +p2300 +tp2301 +a(g47 +Vsize +p2302 +tp2303 +a(g337 +V: +tp2304 +a(g183 +V +tp2305 +a(g355 +Vfunction +p2306 +tp2307 +a(g196 +V( +tp2308 +a(g196 +V) +tp2309 +a(g183 +V +tp2310 +a(g196 +V{ +tp2311 +a(g183 +V\u000a +p2312 +tp2313 +a(g351 +Vreturn +p2314 +tp2315 +a(g183 +V +tp2316 +a(g47 +V_this +p2317 +tp2318 +a(g337 +V. +tp2319 +a(g47 +Vsize +p2320 +tp2321 +a(g196 +V( +tp2322 +a(g196 +V) +tp2323 +a(g196 +V; +tp2324 +a(g183 +V\u000a +p2325 +tp2326 +a(g196 +V} +tp2327 +a(g196 +V, +tp2328 +a(g183 +V\u000a +p2329 +tp2330 +a(g47 +Viterator +p2331 +tp2332 +a(g337 +V: +tp2333 +a(g183 +V +tp2334 +a(g355 +Vfunction +p2335 +tp2336 +a(g196 +V( +tp2337 +a(g196 +V) +tp2338 +a(g183 +V +tp2339 +a(g196 +V{ +tp2340 +a(g183 +V\u000a +p2341 +tp2342 +a(g351 +Vreturn +p2343 +tp2344 +a(g183 +V +tp2345 +a(g351 +Vcast +p2346 +tp2347 +a(g183 +V +tp2348 +a(g13 +Vnew +p2349 +tp2350 +a(g183 +V +tp2351 +a(g133 +VNodeIterator +p2352 +tp2353 +a(g183 +V +tp2354 +a(g196 +V( +tp2355 +a(g47 +V_this +p2356 +tp2357 +a(g337 +V. +tp2358 +a(g47 +Vroot +p2359 +tp2360 +a(g196 +V) +tp2361 +a(g196 +V; +tp2362 +a(g183 +V\u000a +p2363 +tp2364 +a(g196 +V} +tp2365 +a(g196 +V, +tp2366 +a(g183 +V\u000a +p2367 +tp2368 +a(g196 +V} +tp2369 +a(g196 +V; +tp2370 +a(g183 +V\u000a +p2371 +tp2372 +a(g196 +V} +tp2373 +a(g183 +V\u000a\u000a +p2374 +tp2375 +a(g37 +V/** returns the number of (key,value) pairs in the map **/ +p2376 +tp2377 +a(g183 +V\u000a +p2378 +tp2379 +a(g355 +Vpublic +p2380 +tp2381 +a(g183 +V +tp2382 +a(g355 +Vfunction +p2383 +tp2384 +a(g183 +V +tp2385 +a(g50 +Vsize +p2386 +tp2387 +a(g196 +V( +tp2388 +a(g196 +V) +tp2389 +a(g183 +V +tp2390 +a(g196 +V: +tp2391 +a(g133 +VInt +p2392 +tp2393 +a(g183 +V\u000a +p2394 +tp2395 +a(g183 +V +tp2396 +a(g196 +V{ +tp2397 +a(g183 +V\u000a +p2398 +tp2399 +a(g351 +Vreturn +p2400 +tp2401 +a(g183 +V +tp2402 +a(g47 +VnodeCount +p2403 +tp2404 +a(g196 +V; +tp2405 +a(g183 +V\u000a +p2406 +tp2407 +a(g196 +V} +tp2408 +a(g183 +V\u000a\u000a +p2409 +tp2410 +a(g355 +Vpublic +p2411 +tp2412 +a(g183 +V +tp2413 +a(g355 +Vfunction +p2414 +tp2415 +a(g183 +V +tp2416 +a(g50 +VtoString +p2417 +tp2418 +a(g196 +V( +tp2419 +a(g196 +V) +tp2420 +a(g183 +V +tp2421 +a(g196 +V: +tp2422 +a(g133 +VString +p2423 +tp2424 +a(g183 +V\u000a +p2425 +tp2426 +a(g183 +V +tp2427 +a(g196 +V{ +tp2428 +a(g183 +V\u000a +p2429 +tp2430 +a(g355 +Vvar +p2431 +tp2432 +a(g183 +V +tp2433 +a(g101 +Vsb +p2434 +tp2435 +a(g183 +V +tp2436 +a(g337 +V= +tp2437 +a(g183 +V +tp2438 +a(g13 +Vnew +p2439 +tp2440 +a(g183 +V +tp2441 +a(g133 +VStringBuf +p2442 +tp2443 +a(g183 +V +tp2444 +a(g196 +V( +tp2445 +a(g196 +V) +tp2446 +a(g196 +V; +tp2447 +a(g183 +V\u000a\u000a +p2448 +tp2449 +a(g47 +Vsb +p2450 +tp2451 +a(g337 +V. +tp2452 +a(g47 +Vadd +p2453 +tp2454 +a(g196 +V( +tp2455 +a(g239 +V"{" +p2456 +tp2457 +a(g196 +V) +tp2458 +a(g196 +V; +tp2459 +a(g183 +V\u000a +p2460 +tp2461 +a(g351 +Vfor +p2462 +tp2463 +a(g196 +V( +tp2464 +a(g183 +V +tp2465 +a(g47 +Ventry +p2466 +tp2467 +a(g183 +V +tp2468 +a(g351 +Vin +p2469 +tp2470 +a(g183 +V +tp2471 +a(g351 +Vthis +p2472 +tp2473 +a(g337 +V. +tp2474 +a(g47 +Ventries +p2475 +tp2476 +a(g196 +V( +tp2477 +a(g196 +V) +tp2478 +a(g183 +V +tp2479 +a(g196 +V) +tp2480 +a(g183 +V\u000a +p2481 +tp2482 +a(g196 +V{ +tp2483 +a(g183 +V\u000a +p2484 +tp2485 +a(g47 +Vsb +p2486 +tp2487 +a(g337 +V. +tp2488 +a(g47 +Vadd +p2489 +tp2490 +a(g196 +V( +tp2491 +a(g239 +V"%y => %y, " +p2492 +tp2493 +a(g337 +V. +tp2494 +a(g47 +Vsprintf +p2495 +tp2496 +a(g196 +V( +tp2497 +a(g196 +V[ +tp2498 +a(g47 +Ventry +p2499 +tp2500 +a(g337 +V. +tp2501 +a(g47 +Vkey +p2502 +tp2503 +a(g196 +V, +tp2504 +a(g47 +Ventry +p2505 +tp2506 +a(g337 +V. +tp2507 +a(g47 +Vval +p2508 +tp2509 +a(g196 +V] +tp2510 +a(g196 +V) +tp2511 +a(g196 +V) +tp2512 +a(g196 +V; +tp2513 +a(g183 +V\u000a +p2514 +tp2515 +a(g196 +V} +tp2516 +a(g183 +V\u000a +p2517 +tp2518 +a(g47 +Vsb +p2519 +tp2520 +a(g337 +V. +tp2521 +a(g47 +Vadd +p2522 +tp2523 +a(g196 +V( +tp2524 +a(g239 +V"}" +p2525 +tp2526 +a(g196 +V) +tp2527 +a(g196 +V; +tp2528 +a(g183 +V\u000a\u000a +p2529 +tp2530 +a(g351 +Vreturn +p2531 +tp2532 +a(g183 +V +tp2533 +a(g47 +Vsb +p2534 +tp2535 +a(g337 +V. +tp2536 +a(g47 +VtoString +p2537 +tp2538 +a(g196 +V( +tp2539 +a(g196 +V) +tp2540 +a(g196 +V; +tp2541 +a(g183 +V\u000a +p2542 +tp2543 +a(g196 +V} +tp2544 +a(g183 +V\u000a\u000a +p2545 +tp2546 +a(g355 +Vprivate +p2547 +tp2548 +a(g183 +V +tp2549 +a(g355 +Vstatic +p2550 +tp2551 +a(g183 +V +tp2552 +a(g355 +Vfunction +p2553 +tp2554 +a(g183 +V +tp2555 +a(g50 +VisRed +p2556 +tp2557 +a(g196 +V< +tp2558 +a(g133 +VK +tp2559 +a(g196 +V, +tp2560 +a(g133 +VV +tp2561 +a(g196 +V> +tp2562 +a(g196 +V( +tp2563 +a(g183 +V +tp2564 +a(g101 +Vn +tp2565 +a(g183 +V +tp2566 +a(g196 +V: +tp2567 +a(g133 +VNode +p2568 +tp2569 +a(g196 +V< +tp2570 +a(g133 +VK +tp2571 +a(g196 +V, +tp2572 +a(g133 +VV +tp2573 +a(g196 +V> +tp2574 +a(g183 +V +tp2575 +a(g183 +V +tp2576 +a(g196 +V) +tp2577 +a(g183 +V\u000a +p2578 +tp2579 +a(g196 +V{ +tp2580 +a(g183 +V\u000a +p2581 +tp2582 +a(g351 +Vif +p2583 +tp2584 +a(g196 +V( +tp2585 +a(g183 +V +tp2586 +a(g47 +Vn +tp2587 +a(g183 +V +tp2588 +a(g337 +V= +tp2589 +a(g337 +V= +tp2590 +a(g183 +V +tp2591 +a(g20 +Vnull +p2592 +tp2593 +a(g183 +V +tp2594 +a(g196 +V) +tp2595 +a(g183 +V +tp2596 +a(g351 +Vreturn +p2597 +tp2598 +a(g183 +V +tp2599 +a(g20 +Vfalse +p2600 +tp2601 +a(g196 +V; +tp2602 +a(g183 +V\u000a +p2603 +tp2604 +a(g351 +Vreturn +p2605 +tp2606 +a(g183 +V +tp2607 +a(g351 +Vswitch +p2608 +tp2609 +a(g196 +V( +tp2610 +a(g47 +Vn +tp2611 +a(g337 +V. +tp2612 +a(g47 +Vcolor +p2613 +tp2614 +a(g196 +V) +tp2615 +a(g183 +V\u000a +p2616 +tp2617 +a(g196 +V{ +tp2618 +a(g183 +V\u000a +p2619 +tp2620 +a(g351 +Vcase +p2621 +tp2622 +a(g183 +V +tp2623 +a(g47 +Vred +p2624 +tp2625 +a(g337 +V: +tp2626 +a(g183 +V +tp2627 +a(g20 +Vtrue +p2628 +tp2629 +a(g196 +V; +tp2630 +a(g183 +V\u000a +p2631 +tp2632 +a(g351 +Vcase +p2633 +tp2634 +a(g183 +V +tp2635 +a(g47 +Vblack +p2636 +tp2637 +a(g337 +V: +tp2638 +a(g183 +V +tp2639 +a(g20 +Vfalse +p2640 +tp2641 +a(g196 +V; +tp2642 +a(g183 +V\u000a +p2643 +tp2644 +a(g196 +V} +tp2645 +a(g196 +V; +tp2646 +a(g183 +V\u000a +p2647 +tp2648 +a(g196 +V} +tp2649 +a(g183 +V\u000a\u000a +p2650 +tp2651 +a(g355 +Vprivate +p2652 +tp2653 +a(g183 +V +tp2654 +a(g355 +Vstatic +p2655 +tp2656 +a(g183 +V +tp2657 +a(g355 +Vinline +p2658 +tp2659 +a(g183 +V +tp2660 +a(g355 +Vfunction +p2661 +tp2662 +a(g183 +V +tp2663 +a(g50 +VisBlack +p2664 +tp2665 +a(g196 +V< +tp2666 +a(g133 +VK +tp2667 +a(g196 +V, +tp2668 +a(g133 +VV +tp2669 +a(g196 +V> +tp2670 +a(g196 +V( +tp2671 +a(g183 +V +tp2672 +a(g101 +Vn +tp2673 +a(g183 +V +tp2674 +a(g196 +V: +tp2675 +a(g133 +VNode +p2676 +tp2677 +a(g196 +V< +tp2678 +a(g133 +VK +tp2679 +a(g196 +V, +tp2680 +a(g133 +VV +tp2681 +a(g196 +V> +tp2682 +a(g183 +V +tp2683 +a(g183 +V +tp2684 +a(g196 +V) +tp2685 +a(g183 +V\u000a +p2686 +tp2687 +a(g196 +V{ +tp2688 +a(g183 +V\u000a +p2689 +tp2690 +a(g351 +Vreturn +p2691 +tp2692 +a(g183 +V +tp2693 +a(g337 +V! +tp2694 +a(g47 +VisRed +p2695 +tp2696 +a(g196 +V( +tp2697 +a(g47 +Vn +tp2698 +a(g196 +V) +tp2699 +a(g196 +V; +tp2700 +a(g183 +V\u000a +p2701 +tp2702 +a(g196 +V} +tp2703 +a(g183 +V\u000a\u000a +p2704 +tp2705 +a(g355 +Vprivate +p2706 +tp2707 +a(g183 +V +tp2708 +a(g355 +Vstatic +p2709 +tp2710 +a(g183 +V +tp2711 +a(g355 +Vfunction +p2712 +tp2713 +a(g183 +V +tp2714 +a(g50 +VcolorFlip +p2715 +tp2716 +a(g196 +V< +tp2717 +a(g133 +VK +tp2718 +a(g196 +V, +tp2719 +a(g133 +VV +tp2720 +a(g196 +V> +tp2721 +a(g196 +V( +tp2722 +a(g183 +V +tp2723 +a(g101 +Vn +tp2724 +a(g183 +V +tp2725 +a(g196 +V: +tp2726 +a(g133 +VNode +p2727 +tp2728 +a(g196 +V< +tp2729 +a(g133 +VK +tp2730 +a(g196 +V, +tp2731 +a(g133 +VV +tp2732 +a(g196 +V> +tp2733 +a(g183 +V +tp2734 +a(g183 +V +tp2735 +a(g196 +V) +tp2736 +a(g183 +V\u000a +p2737 +tp2738 +a(g196 +V{ +tp2739 +a(g183 +V\u000a +p2740 +tp2741 +a(g47 +Vn +tp2742 +a(g337 +V. +tp2743 +a(g47 +Vcolor +p2744 +tp2745 +a(g183 +V +tp2746 +a(g337 +V= +tp2747 +a(g183 +V +tp2748 +a(g47 +VoppositeColor +p2749 +tp2750 +a(g196 +V( +tp2751 +a(g47 +Vn +tp2752 +a(g337 +V. +tp2753 +a(g47 +Vcolor +p2754 +tp2755 +a(g196 +V) +tp2756 +a(g196 +V; +tp2757 +a(g183 +V\u000a +p2758 +tp2759 +a(g47 +Vn +tp2760 +a(g337 +V. +tp2761 +a(g47 +Vleft +p2762 +tp2763 +a(g337 +V. +tp2764 +a(g47 +Vcolor +p2765 +tp2766 +a(g183 +V +tp2767 +a(g337 +V= +tp2768 +a(g183 +V +tp2769 +a(g47 +VoppositeColor +p2770 +tp2771 +a(g196 +V( +tp2772 +a(g47 +Vn +tp2773 +a(g337 +V. +tp2774 +a(g47 +Vleft +p2775 +tp2776 +a(g337 +V. +tp2777 +a(g47 +Vcolor +p2778 +tp2779 +a(g196 +V) +tp2780 +a(g196 +V; +tp2781 +a(g183 +V\u000a +p2782 +tp2783 +a(g47 +Vn +tp2784 +a(g337 +V. +tp2785 +a(g47 +Vright +p2786 +tp2787 +a(g337 +V. +tp2788 +a(g47 +Vcolor +p2789 +tp2790 +a(g183 +V +tp2791 +a(g337 +V= +tp2792 +a(g183 +V +tp2793 +a(g47 +VoppositeColor +p2794 +tp2795 +a(g196 +V( +tp2796 +a(g47 +Vn +tp2797 +a(g337 +V. +tp2798 +a(g47 +Vright +p2799 +tp2800 +a(g337 +V. +tp2801 +a(g47 +Vcolor +p2802 +tp2803 +a(g196 +V) +tp2804 +a(g196 +V; +tp2805 +a(g183 +V\u000a +p2806 +tp2807 +a(g196 +V} +tp2808 +a(g183 +V\u000a\u000a +p2809 +tp2810 +a(g355 +Vprivate +p2811 +tp2812 +a(g183 +V +tp2813 +a(g355 +Vstatic +p2814 +tp2815 +a(g183 +V +tp2816 +a(g355 +Vinline +p2817 +tp2818 +a(g183 +V +tp2819 +a(g355 +Vfunction +p2820 +tp2821 +a(g183 +V +tp2822 +a(g50 +VoppositeColor +p2823 +tp2824 +a(g196 +V( +tp2825 +a(g183 +V +tp2826 +a(g101 +Vc +tp2827 +a(g183 +V +tp2828 +a(g196 +V: +tp2829 +a(g133 +VColor +p2830 +tp2831 +a(g183 +V +tp2832 +a(g183 +V +tp2833 +a(g196 +V) +tp2834 +a(g183 +V\u000a +p2835 +tp2836 +a(g196 +V{ +tp2837 +a(g183 +V\u000a +p2838 +tp2839 +a(g351 +Vreturn +p2840 +tp2841 +a(g183 +V +tp2842 +a(g351 +Vswitch +p2843 +tp2844 +a(g196 +V( +tp2845 +a(g47 +Vc +tp2846 +a(g196 +V) +tp2847 +a(g183 +V\u000a +p2848 +tp2849 +a(g196 +V{ +tp2850 +a(g183 +V\u000a +p2851 +tp2852 +a(g351 +Vcase +p2853 +tp2854 +a(g183 +V +tp2855 +a(g47 +Vred +p2856 +tp2857 +a(g337 +V: +tp2858 +a(g183 +V +tp2859 +a(g47 +Vblack +p2860 +tp2861 +a(g196 +V; +tp2862 +a(g183 +V\u000a +p2863 +tp2864 +a(g351 +Vcase +p2865 +tp2866 +a(g183 +V +tp2867 +a(g47 +Vblack +p2868 +tp2869 +a(g337 +V: +tp2870 +a(g183 +V +tp2871 +a(g47 +Vred +p2872 +tp2873 +a(g196 +V; +tp2874 +a(g183 +V\u000a +p2875 +tp2876 +a(g196 +V} +tp2877 +a(g196 +V; +tp2878 +a(g183 +V\u000a +p2879 +tp2880 +a(g196 +V} +tp2881 +a(g183 +V\u000a\u000a +p2882 +tp2883 +a(g355 +Vprivate +p2884 +tp2885 +a(g183 +V +tp2886 +a(g355 +Vstatic +p2887 +tp2888 +a(g183 +V +tp2889 +a(g355 +Vfunction +p2890 +tp2891 +a(g183 +V +tp2892 +a(g50 +VrotateLeft +p2893 +tp2894 +a(g196 +V< +tp2895 +a(g133 +VK +tp2896 +a(g196 +V, +tp2897 +a(g133 +VV +tp2898 +a(g196 +V> +tp2899 +a(g196 +V( +tp2900 +a(g183 +V +tp2901 +a(g101 +Vn +tp2902 +a(g183 +V +tp2903 +a(g196 +V: +tp2904 +a(g133 +VNode +p2905 +tp2906 +a(g196 +V< +tp2907 +a(g133 +VK +tp2908 +a(g196 +V, +tp2909 +a(g133 +VV +tp2910 +a(g196 +V> +tp2911 +a(g183 +V +tp2912 +a(g183 +V +tp2913 +a(g196 +V) +tp2914 +a(g183 +V\u000a +p2915 +tp2916 +a(g196 +V{ +tp2917 +a(g183 +V\u000a +p2918 +tp2919 +a(g47 +VDebug +p2920 +tp2921 +a(g337 +V. +tp2922 +a(g47 +Vassert +p2923 +tp2924 +a(g196 +V( +tp2925 +a(g47 +Vn +tp2926 +a(g183 +V +tp2927 +a(g337 +V!= +p2928 +tp2929 +a(g183 +V +tp2930 +a(g20 +Vnull +p2931 +tp2932 +a(g196 +V) +tp2933 +a(g196 +V; +tp2934 +a(g183 +V\u000a +p2935 +tp2936 +a(g47 +VDebug +p2937 +tp2938 +a(g337 +V. +tp2939 +a(g47 +Vassert +p2940 +tp2941 +a(g196 +V( +tp2942 +a(g47 +Vn +tp2943 +a(g337 +V. +tp2944 +a(g47 +Vright +p2945 +tp2946 +a(g183 +V +tp2947 +a(g337 +V!= +p2948 +tp2949 +a(g183 +V +tp2950 +a(g20 +Vnull +p2951 +tp2952 +a(g196 +V) +tp2953 +a(g196 +V; +tp2954 +a(g183 +V\u000a +p2955 +tp2956 +a(g37 +V/*\u000a n x\u000a / \u005c / \u005c\u000a a x => n c\u000a / \u005c / \u005c\u000a b c a b\u000a */ +p2957 +tp2958 +a(g183 +V\u000a +p2959 +tp2960 +a(g355 +Vvar +p2961 +tp2962 +a(g183 +V +tp2963 +a(g101 +Vx +tp2964 +a(g183 +V +tp2965 +a(g337 +V= +tp2966 +a(g183 +V +tp2967 +a(g47 +Vn +tp2968 +a(g337 +V. +tp2969 +a(g47 +Vright +p2970 +tp2971 +a(g196 +V; +tp2972 +a(g183 +V\u000a +p2973 +tp2974 +a(g47 +Vn +tp2975 +a(g337 +V. +tp2976 +a(g47 +Vright +p2977 +tp2978 +a(g183 +V +tp2979 +a(g337 +V= +tp2980 +a(g183 +V +tp2981 +a(g47 +Vx +tp2982 +a(g337 +V. +tp2983 +a(g47 +Vleft +p2984 +tp2985 +a(g196 +V; +tp2986 +a(g183 +V\u000a +p2987 +tp2988 +a(g47 +Vx +tp2989 +a(g337 +V. +tp2990 +a(g47 +Vleft +p2991 +tp2992 +a(g183 +V +p2993 +tp2994 +a(g337 +V= +tp2995 +a(g183 +V +tp2996 +a(g47 +Vn +tp2997 +a(g196 +V; +tp2998 +a(g183 +V\u000a +p2999 +tp3000 +a(g47 +Vx +tp3001 +a(g337 +V. +tp3002 +a(g47 +Vcolor +p3003 +tp3004 +a(g183 +V +tp3005 +a(g337 +V= +tp3006 +a(g183 +V +tp3007 +a(g47 +Vn +tp3008 +a(g337 +V. +tp3009 +a(g47 +Vcolor +p3010 +tp3011 +a(g196 +V; +tp3012 +a(g183 +V\u000a +p3013 +tp3014 +a(g47 +Vn +tp3015 +a(g337 +V. +tp3016 +a(g47 +Vcolor +p3017 +tp3018 +a(g183 +V +tp3019 +a(g337 +V= +tp3020 +a(g183 +V +tp3021 +a(g47 +Vred +p3022 +tp3023 +a(g196 +V; +tp3024 +a(g183 +V\u000a +p3025 +tp3026 +a(g351 +Vreturn +p3027 +tp3028 +a(g183 +V +tp3029 +a(g47 +Vx +tp3030 +a(g196 +V; +tp3031 +a(g183 +V\u000a +p3032 +tp3033 +a(g196 +V} +tp3034 +a(g183 +V\u000a\u000a +p3035 +tp3036 +a(g355 +Vprivate +p3037 +tp3038 +a(g183 +V +tp3039 +a(g355 +Vstatic +p3040 +tp3041 +a(g183 +V +tp3042 +a(g355 +Vfunction +p3043 +tp3044 +a(g183 +V +tp3045 +a(g50 +VrotateRight +p3046 +tp3047 +a(g196 +V< +tp3048 +a(g133 +VK +tp3049 +a(g196 +V, +tp3050 +a(g133 +VV +tp3051 +a(g196 +V> +tp3052 +a(g196 +V( +tp3053 +a(g183 +V +tp3054 +a(g101 +Vn +tp3055 +a(g183 +V +tp3056 +a(g196 +V: +tp3057 +a(g133 +VNode +p3058 +tp3059 +a(g196 +V< +tp3060 +a(g133 +VK +tp3061 +a(g196 +V, +tp3062 +a(g133 +VV +tp3063 +a(g196 +V> +tp3064 +a(g183 +V +tp3065 +a(g183 +V +tp3066 +a(g196 +V) +tp3067 +a(g183 +V\u000a +p3068 +tp3069 +a(g196 +V{ +tp3070 +a(g183 +V\u000a +p3071 +tp3072 +a(g47 +VDebug +p3073 +tp3074 +a(g337 +V. +tp3075 +a(g47 +Vassert +p3076 +tp3077 +a(g196 +V( +tp3078 +a(g183 +V +tp3079 +a(g47 +Vn +tp3080 +a(g183 +V +tp3081 +a(g337 +V!= +p3082 +tp3083 +a(g183 +V +tp3084 +a(g20 +Vnull +p3085 +tp3086 +a(g183 +V +tp3087 +a(g196 +V) +tp3088 +a(g196 +V; +tp3089 +a(g183 +V\u000a +p3090 +tp3091 +a(g47 +VDebug +p3092 +tp3093 +a(g337 +V. +tp3094 +a(g47 +Vassert +p3095 +tp3096 +a(g196 +V( +tp3097 +a(g183 +V +tp3098 +a(g47 +Vn +tp3099 +a(g337 +V. +tp3100 +a(g47 +Vleft +p3101 +tp3102 +a(g183 +V +tp3103 +a(g337 +V!= +p3104 +tp3105 +a(g183 +V +tp3106 +a(g20 +Vnull +p3107 +tp3108 +a(g183 +V +tp3109 +a(g196 +V) +tp3110 +a(g196 +V; +tp3111 +a(g183 +V\u000a +p3112 +tp3113 +a(g37 +V/*\u000a n x\u000a / \u005c / \u005c\u000a x c => a n\u000a / \u005c / \u005c\u000a a b b c\u000a */ +p3114 +tp3115 +a(g183 +V\u000a +p3116 +tp3117 +a(g355 +Vvar +p3118 +tp3119 +a(g183 +V +tp3120 +a(g101 +Vx +tp3121 +a(g183 +V +tp3122 +a(g337 +V= +tp3123 +a(g183 +V +tp3124 +a(g47 +Vn +tp3125 +a(g337 +V. +tp3126 +a(g47 +Vleft +p3127 +tp3128 +a(g196 +V; +tp3129 +a(g183 +V\u000a +p3130 +tp3131 +a(g47 +Vn +tp3132 +a(g337 +V. +tp3133 +a(g47 +Vleft +p3134 +tp3135 +a(g183 +V +tp3136 +a(g337 +V= +tp3137 +a(g183 +V +tp3138 +a(g47 +Vx +tp3139 +a(g337 +V. +tp3140 +a(g47 +Vright +p3141 +tp3142 +a(g196 +V; +tp3143 +a(g183 +V\u000a +p3144 +tp3145 +a(g47 +Vx +tp3146 +a(g337 +V. +tp3147 +a(g47 +Vright +p3148 +tp3149 +a(g183 +V +tp3150 +a(g337 +V= +tp3151 +a(g183 +V +tp3152 +a(g47 +Vn +tp3153 +a(g196 +V; +tp3154 +a(g183 +V\u000a +p3155 +tp3156 +a(g47 +Vx +tp3157 +a(g337 +V. +tp3158 +a(g47 +Vcolor +p3159 +tp3160 +a(g183 +V +tp3161 +a(g337 +V= +tp3162 +a(g183 +V +tp3163 +a(g47 +Vn +tp3164 +a(g337 +V. +tp3165 +a(g47 +Vcolor +p3166 +tp3167 +a(g196 +V; +tp3168 +a(g183 +V\u000a +p3169 +tp3170 +a(g47 +Vn +tp3171 +a(g337 +V. +tp3172 +a(g47 +Vcolor +p3173 +tp3174 +a(g183 +V +tp3175 +a(g337 +V= +tp3176 +a(g183 +V +tp3177 +a(g47 +Vred +p3178 +tp3179 +a(g196 +V; +tp3180 +a(g183 +V\u000a +p3181 +tp3182 +a(g351 +Vreturn +p3183 +tp3184 +a(g183 +V +tp3185 +a(g47 +Vx +tp3186 +a(g196 +V; +tp3187 +a(g183 +V\u000a +p3188 +tp3189 +a(g196 +V} +tp3190 +a(g183 +V\u000a\u000a +p3191 +tp3192 +a(g355 +Vprivate +p3193 +tp3194 +a(g183 +V +tp3195 +a(g355 +Vstatic +p3196 +tp3197 +a(g183 +V +tp3198 +a(g355 +Vfunction +p3199 +tp3200 +a(g183 +V +tp3201 +a(g50 +VmoveRedLeft +p3202 +tp3203 +a(g196 +V< +tp3204 +a(g133 +VK +tp3205 +a(g196 +V, +tp3206 +a(g133 +VV +tp3207 +a(g196 +V> +tp3208 +a(g196 +V( +tp3209 +a(g183 +V +tp3210 +a(g101 +Vn +tp3211 +a(g183 +V +tp3212 +a(g196 +V: +tp3213 +a(g133 +VNode +p3214 +tp3215 +a(g196 +V< +tp3216 +a(g133 +VK +tp3217 +a(g196 +V, +tp3218 +a(g133 +VV +tp3219 +a(g196 +V> +tp3220 +a(g183 +V +tp3221 +a(g183 +V +tp3222 +a(g196 +V) +tp3223 +a(g183 +V\u000a +p3224 +tp3225 +a(g196 +V{ +tp3226 +a(g183 +V\u000a +p3227 +tp3228 +a(g33 +V//borrow extra node from right child (which is a 3-node)\u000a +p3229 +tp3230 +a(g183 +V +p3231 +tp3232 +a(g47 +VcolorFlip +p3233 +tp3234 +a(g196 +V( +tp3235 +a(g47 +Vn +tp3236 +a(g196 +V) +tp3237 +a(g196 +V; +tp3238 +a(g183 +V\u000a +p3239 +tp3240 +a(g351 +Vif +p3241 +tp3242 +a(g196 +V( +tp3243 +a(g183 +V +tp3244 +a(g47 +VisRed +p3245 +tp3246 +a(g196 +V( +tp3247 +a(g47 +Vn +tp3248 +a(g337 +V. +tp3249 +a(g47 +Vright +p3250 +tp3251 +a(g337 +V. +tp3252 +a(g47 +Vleft +p3253 +tp3254 +a(g196 +V) +tp3255 +a(g183 +V +tp3256 +a(g196 +V) +tp3257 +a(g183 +V\u000a +p3258 +tp3259 +a(g196 +V{ +tp3260 +a(g183 +V\u000a +p3261 +tp3262 +a(g47 +Vn +tp3263 +a(g337 +V. +tp3264 +a(g47 +Vright +p3265 +tp3266 +a(g183 +V +tp3267 +a(g337 +V= +tp3268 +a(g183 +V +tp3269 +a(g47 +VrotateRight +p3270 +tp3271 +a(g196 +V( +tp3272 +a(g47 +Vn +tp3273 +a(g337 +V. +tp3274 +a(g47 +Vright +p3275 +tp3276 +a(g196 +V) +tp3277 +a(g196 +V; +tp3278 +a(g183 +V\u000a +p3279 +tp3280 +a(g47 +Vn +tp3281 +a(g183 +V +tp3282 +a(g337 +V= +tp3283 +a(g183 +V +tp3284 +a(g47 +VrotateLeft +p3285 +tp3286 +a(g196 +V( +tp3287 +a(g47 +Vn +tp3288 +a(g196 +V) +tp3289 +a(g196 +V; +tp3290 +a(g183 +V\u000a +p3291 +tp3292 +a(g47 +VcolorFlip +p3293 +tp3294 +a(g196 +V( +tp3295 +a(g47 +Vn +tp3296 +a(g196 +V) +tp3297 +a(g196 +V; +tp3298 +a(g183 +V\u000a +p3299 +tp3300 +a(g196 +V} +tp3301 +a(g183 +V\u000a +p3302 +tp3303 +a(g351 +Vreturn +p3304 +tp3305 +a(g183 +V +tp3306 +a(g47 +Vn +tp3307 +a(g196 +V; +tp3308 +a(g183 +V\u000a +p3309 +tp3310 +a(g196 +V} +tp3311 +a(g183 +V\u000a\u000a +p3312 +tp3313 +a(g355 +Vprivate +p3314 +tp3315 +a(g183 +V +tp3316 +a(g355 +Vstatic +p3317 +tp3318 +a(g183 +V +tp3319 +a(g355 +Vfunction +p3320 +tp3321 +a(g183 +V +tp3322 +a(g50 +VmoveRedRight +p3323 +tp3324 +a(g196 +V< +tp3325 +a(g133 +VK +tp3326 +a(g196 +V, +tp3327 +a(g133 +VV +tp3328 +a(g196 +V> +tp3329 +a(g196 +V( +tp3330 +a(g183 +V +tp3331 +a(g101 +Vn +tp3332 +a(g183 +V +tp3333 +a(g196 +V: +tp3334 +a(g133 +VNode +p3335 +tp3336 +a(g196 +V< +tp3337 +a(g133 +VK +tp3338 +a(g196 +V, +tp3339 +a(g133 +VV +tp3340 +a(g196 +V> +tp3341 +a(g183 +V +tp3342 +a(g183 +V +tp3343 +a(g196 +V) +tp3344 +a(g183 +V\u000a +p3345 +tp3346 +a(g196 +V{ +tp3347 +a(g183 +V\u000a +p3348 +tp3349 +a(g33 +V//borrow extra node from left child (which is a 3-node)\u000a +p3350 +tp3351 +a(g183 +V +p3352 +tp3353 +a(g47 +VcolorFlip +p3354 +tp3355 +a(g196 +V( +tp3356 +a(g47 +Vn +tp3357 +a(g196 +V) +tp3358 +a(g196 +V; +tp3359 +a(g183 +V\u000a +p3360 +tp3361 +a(g351 +Vif +p3362 +tp3363 +a(g196 +V( +tp3364 +a(g183 +V +tp3365 +a(g47 +VisRed +p3366 +tp3367 +a(g196 +V( +tp3368 +a(g47 +Vn +tp3369 +a(g337 +V. +tp3370 +a(g47 +Vleft +p3371 +tp3372 +a(g337 +V. +tp3373 +a(g47 +Vleft +p3374 +tp3375 +a(g196 +V) +tp3376 +a(g183 +V +tp3377 +a(g196 +V) +tp3378 +a(g183 +V\u000a +p3379 +tp3380 +a(g196 +V{ +tp3381 +a(g183 +V\u000a +p3382 +tp3383 +a(g47 +Vn +tp3384 +a(g183 +V +tp3385 +a(g337 +V= +tp3386 +a(g183 +V +tp3387 +a(g47 +VrotateRight +p3388 +tp3389 +a(g196 +V( +tp3390 +a(g47 +Vn +tp3391 +a(g196 +V) +tp3392 +a(g196 +V; +tp3393 +a(g183 +V\u000a +p3394 +tp3395 +a(g47 +VcolorFlip +p3396 +tp3397 +a(g196 +V( +tp3398 +a(g47 +Vn +tp3399 +a(g196 +V) +tp3400 +a(g196 +V; +tp3401 +a(g183 +V\u000a +p3402 +tp3403 +a(g196 +V} +tp3404 +a(g183 +V\u000a +p3405 +tp3406 +a(g351 +Vreturn +p3407 +tp3408 +a(g183 +V +tp3409 +a(g47 +Vn +tp3410 +a(g196 +V; +tp3411 +a(g183 +V\u000a +p3412 +tp3413 +a(g196 +V} +tp3414 +a(g183 +V\u000a\u000a +p3415 +tp3416 +a(g355 +Vprivate +p3417 +tp3418 +a(g183 +V +tp3419 +a(g355 +Vstatic +p3420 +tp3421 +a(g183 +V +tp3422 +a(g355 +Vfunction +p3423 +tp3424 +a(g183 +V +tp3425 +a(g50 +VfixInvariants +p3426 +tp3427 +a(g196 +V< +tp3428 +a(g133 +VK +tp3429 +a(g196 +V, +tp3430 +a(g133 +VV +tp3431 +a(g196 +V> +tp3432 +a(g196 +V( +tp3433 +a(g183 +V +tp3434 +a(g101 +Vn +tp3435 +a(g183 +V +tp3436 +a(g196 +V: +tp3437 +a(g133 +VNode +p3438 +tp3439 +a(g196 +V< +tp3440 +a(g133 +VK +tp3441 +a(g196 +V, +tp3442 +a(g133 +VV +tp3443 +a(g196 +V> +tp3444 +a(g183 +V +tp3445 +a(g183 +V +tp3446 +a(g196 +V) +tp3447 +a(g183 +V\u000a +p3448 +tp3449 +a(g196 +V{ +tp3450 +a(g183 +V\u000a +p3451 +tp3452 +a(g351 +Vif +p3453 +tp3454 +a(g196 +V( +tp3455 +a(g183 +V +tp3456 +a(g47 +VisRed +p3457 +tp3458 +a(g196 +V( +tp3459 +a(g47 +Vn +tp3460 +a(g337 +V. +tp3461 +a(g47 +Vright +p3462 +tp3463 +a(g196 +V) +tp3464 +a(g183 +V +tp3465 +a(g337 +V&& +p3466 +tp3467 +a(g183 +V +tp3468 +a(g47 +VisBlack +p3469 +tp3470 +a(g196 +V( +tp3471 +a(g47 +Vn +tp3472 +a(g337 +V. +tp3473 +a(g47 +Vleft +p3474 +tp3475 +a(g196 +V) +tp3476 +a(g183 +V +tp3477 +a(g196 +V) +tp3478 +a(g183 +V\u000a +p3479 +tp3480 +a(g196 +V{ +tp3481 +a(g183 +V\u000a +p3482 +tp3483 +a(g33 +V//ensure left-leaning property\u000a +p3484 +tp3485 +a(g183 +V +p3486 +tp3487 +a(g47 +Vn +tp3488 +a(g183 +V +tp3489 +a(g337 +V= +tp3490 +a(g183 +V +tp3491 +a(g47 +VrotateLeft +p3492 +tp3493 +a(g196 +V( +tp3494 +a(g47 +Vn +tp3495 +a(g196 +V) +tp3496 +a(g196 +V; +tp3497 +a(g183 +V\u000a +p3498 +tp3499 +a(g196 +V} +tp3500 +a(g183 +V\u000a +p3501 +tp3502 +a(g351 +Vif +p3503 +tp3504 +a(g196 +V( +tp3505 +a(g183 +V +tp3506 +a(g47 +VisRed +p3507 +tp3508 +a(g196 +V( +tp3509 +a(g47 +Vn +tp3510 +a(g337 +V. +tp3511 +a(g47 +Vleft +p3512 +tp3513 +a(g196 +V) +tp3514 +a(g183 +V +tp3515 +a(g337 +V&& +p3516 +tp3517 +a(g183 +V +tp3518 +a(g47 +VisRed +p3519 +tp3520 +a(g196 +V( +tp3521 +a(g47 +Vn +tp3522 +a(g337 +V. +tp3523 +a(g47 +Vleft +p3524 +tp3525 +a(g337 +V. +tp3526 +a(g47 +Vleft +p3527 +tp3528 +a(g196 +V) +tp3529 +a(g183 +V +tp3530 +a(g196 +V) +tp3531 +a(g183 +V\u000a +p3532 +tp3533 +a(g196 +V{ +tp3534 +a(g183 +V\u000a +p3535 +tp3536 +a(g33 +V//balance 4-node\u000a +p3537 +tp3538 +a(g183 +V +p3539 +tp3540 +a(g47 +Vn +tp3541 +a(g183 +V +tp3542 +a(g337 +V= +tp3543 +a(g183 +V +tp3544 +a(g47 +VrotateRight +p3545 +tp3546 +a(g196 +V( +tp3547 +a(g47 +Vn +tp3548 +a(g196 +V) +tp3549 +a(g196 +V; +tp3550 +a(g183 +V\u000a +p3551 +tp3552 +a(g196 +V} +tp3553 +a(g183 +V\u000a +p3554 +tp3555 +a(g351 +Vif +p3556 +tp3557 +a(g196 +V( +tp3558 +a(g183 +V +tp3559 +a(g47 +VisRed +p3560 +tp3561 +a(g196 +V( +tp3562 +a(g47 +Vn +tp3563 +a(g337 +V. +tp3564 +a(g47 +Vleft +p3565 +tp3566 +a(g196 +V) +tp3567 +a(g183 +V +tp3568 +a(g337 +V&& +p3569 +tp3570 +a(g183 +V +tp3571 +a(g47 +VisRed +p3572 +tp3573 +a(g196 +V( +tp3574 +a(g47 +Vn +tp3575 +a(g337 +V. +tp3576 +a(g47 +Vright +p3577 +tp3578 +a(g196 +V) +tp3579 +a(g183 +V +tp3580 +a(g196 +V) +tp3581 +a(g183 +V\u000a +p3582 +tp3583 +a(g196 +V{ +tp3584 +a(g183 +V\u000a +p3585 +tp3586 +a(g33 +V//split 4-node\u000a +p3587 +tp3588 +a(g183 +V +p3589 +tp3590 +a(g47 +VcolorFlip +p3591 +tp3592 +a(g196 +V( +tp3593 +a(g47 +Vn +tp3594 +a(g196 +V) +tp3595 +a(g196 +V; +tp3596 +a(g183 +V\u000a +p3597 +tp3598 +a(g196 +V} +tp3599 +a(g183 +V\u000a +p3600 +tp3601 +a(g351 +Vreturn +p3602 +tp3603 +a(g183 +V +tp3604 +a(g47 +Vn +tp3605 +a(g196 +V; +tp3606 +a(g183 +V\u000a +p3607 +tp3608 +a(g196 +V} +tp3609 +a(g183 +V\u000a\u000a +p3610 +tp3611 +a(g355 +Vprivate +p3612 +tp3613 +a(g183 +V +tp3614 +a(g355 +Vfunction +p3615 +tp3616 +a(g183 +V +tp3617 +a(g50 +VdeleteMinNode +p3618 +tp3619 +a(g196 +V< +tp3620 +a(g133 +VK +tp3621 +a(g196 +V, +tp3622 +a(g133 +VV +tp3623 +a(g196 +V> +tp3624 +a(g196 +V( +tp3625 +a(g183 +V +tp3626 +a(g101 +Vn +tp3627 +a(g183 +V +tp3628 +a(g196 +V: +tp3629 +a(g133 +VNode +p3630 +tp3631 +a(g196 +V< +tp3632 +a(g133 +VK +tp3633 +a(g196 +V, +tp3634 +a(g133 +VV +tp3635 +a(g196 +V> +tp3636 +a(g183 +V +tp3637 +a(g183 +V +tp3638 +a(g196 +V) +tp3639 +a(g183 +V\u000a +p3640 +tp3641 +a(g196 +V{ +tp3642 +a(g183 +V\u000a +p3643 +tp3644 +a(g351 +Vif +p3645 +tp3646 +a(g196 +V( +tp3647 +a(g183 +V +tp3648 +a(g47 +Vn +tp3649 +a(g337 +V. +tp3650 +a(g47 +Vleft +p3651 +tp3652 +a(g183 +V +tp3653 +a(g337 +V= +tp3654 +a(g337 +V= +tp3655 +a(g183 +V +tp3656 +a(g20 +Vnull +p3657 +tp3658 +a(g183 +V +tp3659 +a(g196 +V) +tp3660 +a(g183 +V\u000a +p3661 +tp3662 +a(g196 +V{ +tp3663 +a(g183 +V\u000a +p3664 +tp3665 +a(g33 +V//delete\u000a +p3666 +tp3667 +a(g183 +V +p3668 +tp3669 +a(g337 +V- +tp3670 +a(g337 +V- +tp3671 +a(g47 +VnodeCount +p3672 +tp3673 +a(g196 +V; +tp3674 +a(g183 +V\u000a +p3675 +tp3676 +a(g351 +Vreturn +p3677 +tp3678 +a(g183 +V +tp3679 +a(g20 +Vnull +p3680 +tp3681 +a(g196 +V; +tp3682 +a(g183 +V\u000a +p3683 +tp3684 +a(g196 +V} +tp3685 +a(g183 +V\u000a\u000a +p3686 +tp3687 +a(g351 +Vif +p3688 +tp3689 +a(g196 +V( +tp3690 +a(g183 +V +tp3691 +a(g47 +VisBlack +p3692 +tp3693 +a(g196 +V( +tp3694 +a(g47 +Vn +tp3695 +a(g337 +V. +tp3696 +a(g47 +Vleft +p3697 +tp3698 +a(g196 +V) +tp3699 +a(g183 +V +tp3700 +a(g337 +V&& +p3701 +tp3702 +a(g183 +V +tp3703 +a(g47 +VisBlack +p3704 +tp3705 +a(g196 +V( +tp3706 +a(g47 +Vn +tp3707 +a(g337 +V. +tp3708 +a(g47 +Vleft +p3709 +tp3710 +a(g337 +V. +tp3711 +a(g47 +Vleft +p3712 +tp3713 +a(g196 +V) +tp3714 +a(g183 +V +tp3715 +a(g196 +V) +tp3716 +a(g183 +V\u000a +p3717 +tp3718 +a(g196 +V{ +tp3719 +a(g183 +V\u000a +p3720 +tp3721 +a(g47 +Vn +tp3722 +a(g183 +V +tp3723 +a(g337 +V= +tp3724 +a(g183 +V +tp3725 +a(g47 +VmoveRedLeft +p3726 +tp3727 +a(g196 +V( +tp3728 +a(g47 +Vn +tp3729 +a(g196 +V) +tp3730 +a(g196 +V; +tp3731 +a(g183 +V\u000a +p3732 +tp3733 +a(g196 +V} +tp3734 +a(g183 +V\u000a\u000a +p3735 +tp3736 +a(g47 +Vn +tp3737 +a(g337 +V. +tp3738 +a(g47 +Vleft +p3739 +tp3740 +a(g183 +V +tp3741 +a(g337 +V= +tp3742 +a(g183 +V +tp3743 +a(g47 +VdeleteMinNode +p3744 +tp3745 +a(g196 +V( +tp3746 +a(g47 +Vn +tp3747 +a(g337 +V. +tp3748 +a(g47 +Vleft +p3749 +tp3750 +a(g196 +V) +tp3751 +a(g196 +V; +tp3752 +a(g183 +V\u000a\u000a +p3753 +tp3754 +a(g351 +Vreturn +p3755 +tp3756 +a(g183 +V +tp3757 +a(g47 +VfixInvariants +p3758 +tp3759 +a(g196 +V( +tp3760 +a(g47 +Vn +tp3761 +a(g196 +V) +tp3762 +a(g196 +V; +tp3763 +a(g183 +V\u000a +p3764 +tp3765 +a(g196 +V} +tp3766 +a(g183 +V\u000a\u000a +p3767 +tp3768 +a(g355 +Vprivate +p3769 +tp3770 +a(g183 +V +tp3771 +a(g355 +Vstatic +p3772 +tp3773 +a(g183 +V +tp3774 +a(g355 +Vfunction +p3775 +tp3776 +a(g183 +V +tp3777 +a(g50 +VminNode +p3778 +tp3779 +a(g196 +V< +tp3780 +a(g133 +VK +tp3781 +a(g196 +V, +tp3782 +a(g133 +VV +tp3783 +a(g196 +V> +tp3784 +a(g196 +V( +tp3785 +a(g183 +V +tp3786 +a(g101 +Vn +tp3787 +a(g183 +V +tp3788 +a(g196 +V: +tp3789 +a(g133 +VNode +p3790 +tp3791 +a(g196 +V< +tp3792 +a(g133 +VK +tp3793 +a(g196 +V, +tp3794 +a(g133 +VV +tp3795 +a(g196 +V> +tp3796 +a(g183 +V +tp3797 +a(g183 +V +tp3798 +a(g196 +V) +tp3799 +a(g183 +V\u000a +p3800 +tp3801 +a(g196 +V{ +tp3802 +a(g183 +V\u000a +p3803 +tp3804 +a(g47 +VDebug +p3805 +tp3806 +a(g337 +V. +tp3807 +a(g47 +Vassert +p3808 +tp3809 +a(g196 +V( +tp3810 +a(g47 +Vn +tp3811 +a(g183 +V +tp3812 +a(g337 +V!= +p3813 +tp3814 +a(g183 +V +tp3815 +a(g20 +Vnull +p3816 +tp3817 +a(g196 +V) +tp3818 +a(g196 +V; +tp3819 +a(g183 +V\u000a\u000a +p3820 +tp3821 +a(g351 +Vwhile +p3822 +tp3823 +a(g196 +V( +tp3824 +a(g183 +V +tp3825 +a(g47 +Vn +tp3826 +a(g337 +V. +tp3827 +a(g47 +Vleft +p3828 +tp3829 +a(g183 +V +tp3830 +a(g337 +V!= +p3831 +tp3832 +a(g183 +V +tp3833 +a(g20 +Vnull +p3834 +tp3835 +a(g183 +V +tp3836 +a(g196 +V) +tp3837 +a(g183 +V\u000a +p3838 +tp3839 +a(g196 +V{ +tp3840 +a(g183 +V\u000a +p3841 +tp3842 +a(g47 +Vn +tp3843 +a(g183 +V +tp3844 +a(g337 +V= +tp3845 +a(g183 +V +tp3846 +a(g47 +Vn +tp3847 +a(g337 +V. +tp3848 +a(g47 +Vleft +p3849 +tp3850 +a(g196 +V; +tp3851 +a(g183 +V\u000a +p3852 +tp3853 +a(g196 +V} +tp3854 +a(g183 +V\u000a +p3855 +tp3856 +a(g351 +Vreturn +p3857 +tp3858 +a(g183 +V +tp3859 +a(g47 +Vn +tp3860 +a(g196 +V; +tp3861 +a(g183 +V\u000a +p3862 +tp3863 +a(g196 +V} +tp3864 +a(g183 +V\u000a\u000a +p3865 +tp3866 +a(g355 +Vprivate +p3867 +tp3868 +a(g183 +V +tp3869 +a(g355 +Vstatic +p3870 +tp3871 +a(g183 +V +tp3872 +a(g355 +Vfunction +p3873 +tp3874 +a(g183 +V +tp3875 +a(g50 +VmaxNode +p3876 +tp3877 +a(g196 +V< +tp3878 +a(g133 +VK +tp3879 +a(g196 +V, +tp3880 +a(g133 +VV +tp3881 +a(g196 +V> +tp3882 +a(g196 +V( +tp3883 +a(g183 +V +tp3884 +a(g101 +Vn +tp3885 +a(g183 +V +tp3886 +a(g196 +V: +tp3887 +a(g133 +VNode +p3888 +tp3889 +a(g196 +V< +tp3890 +a(g133 +VK +tp3891 +a(g196 +V, +tp3892 +a(g133 +VV +tp3893 +a(g196 +V> +tp3894 +a(g183 +V +tp3895 +a(g183 +V +tp3896 +a(g196 +V) +tp3897 +a(g183 +V\u000a +p3898 +tp3899 +a(g196 +V{ +tp3900 +a(g183 +V\u000a +p3901 +tp3902 +a(g47 +VDebug +p3903 +tp3904 +a(g337 +V. +tp3905 +a(g47 +Vassert +p3906 +tp3907 +a(g196 +V( +tp3908 +a(g47 +Vn +tp3909 +a(g183 +V +tp3910 +a(g337 +V!= +p3911 +tp3912 +a(g183 +V +tp3913 +a(g20 +Vnull +p3914 +tp3915 +a(g196 +V) +tp3916 +a(g196 +V; +tp3917 +a(g183 +V\u000a\u000a +p3918 +tp3919 +a(g351 +Vwhile +p3920 +tp3921 +a(g196 +V( +tp3922 +a(g183 +V +tp3923 +a(g47 +Vn +tp3924 +a(g337 +V. +tp3925 +a(g47 +Vright +p3926 +tp3927 +a(g183 +V +tp3928 +a(g337 +V!= +p3929 +tp3930 +a(g183 +V +tp3931 +a(g20 +Vnull +p3932 +tp3933 +a(g183 +V +tp3934 +a(g196 +V) +tp3935 +a(g183 +V\u000a +p3936 +tp3937 +a(g196 +V{ +tp3938 +a(g183 +V\u000a +p3939 +tp3940 +a(g47 +Vn +tp3941 +a(g183 +V +tp3942 +a(g337 +V= +tp3943 +a(g183 +V +tp3944 +a(g47 +Vn +tp3945 +a(g337 +V. +tp3946 +a(g47 +Vright +p3947 +tp3948 +a(g196 +V; +tp3949 +a(g183 +V\u000a +p3950 +tp3951 +a(g196 +V} +tp3952 +a(g183 +V\u000a +p3953 +tp3954 +a(g351 +Vreturn +p3955 +tp3956 +a(g183 +V +tp3957 +a(g47 +Vn +tp3958 +a(g196 +V; +tp3959 +a(g183 +V\u000a +p3960 +tp3961 +a(g196 +V} +tp3962 +a(g183 +V\u000a\u000a +p3963 +tp3964 +a(g37 +V/** Used to verify that the invariants of the tree hold **/ +p3965 +tp3966 +a(g183 +V\u000a +p3967 +tp3968 +a(g355 +Vprivate +p3969 +tp3970 +a(g183 +V +tp3971 +a(g355 +Vinline +p3972 +tp3973 +a(g183 +V +tp3974 +a(g355 +Vfunction +p3975 +tp3976 +a(g183 +V +tp3977 +a(g50 +VassertInvariants +p3978 +tp3979 +a(g196 +V( +tp3980 +a(g196 +V) +tp3981 +a(g183 +V\u000a +p3982 +tp3983 +a(g196 +V{ +tp3984 +a(g183 +V\u000a +p3985 +tp3986 +a(g29 +V#if DEBUG +p3987 +tp3988 +a(g183 +V\u000a +p3989 +tp3990 +a(g47 +VDebug +p3991 +tp3992 +a(g337 +V. +tp3993 +a(g47 +Vassert +p3994 +tp3995 +a(g196 +V( +tp3996 +a(g183 +V +tp3997 +a(g47 +VisBlack +p3998 +tp3999 +a(g196 +V( +tp4000 +a(g47 +Vroot +p4001 +tp4002 +a(g196 +V) +tp4003 +a(g196 +V, +tp4004 +a(g183 +V +tp4005 +a(g239 +V"root is black: " +p4006 +tp4007 +a(g183 +V +tp4008 +a(g337 +V+ +tp4009 +a(g183 +V +tp4010 +a(g47 +Vroot +p4011 +tp4012 +a(g183 +V +tp4013 +a(g196 +V) +tp4014 +a(g196 +V; +tp4015 +a(g183 +V\u000a\u000a +p4016 +tp4017 +a(g47 +VassertIsTree +p4018 +tp4019 +a(g196 +V( +tp4020 +a(g47 +Vroot +p4021 +tp4022 +a(g196 +V, +tp4023 +a(g13 +Vnew +p4024 +tp4025 +a(g183 +V +tp4026 +a(g133 +VList +p4027 +tp4028 +a(g196 +V< +tp4029 +a(g133 +VNode +p4030 +tp4031 +a(g196 +V< +tp4032 +a(g133 +VK +tp4033 +a(g196 +V, +tp4034 +a(g133 +VV +tp4035 +a(g196 +V> +tp4036 +a(g196 +V> +tp4037 +a(g183 +V +tp4038 +a(g196 +V( +tp4039 +a(g196 +V) +tp4040 +a(g196 +V) +tp4041 +a(g196 +V; +tp4042 +a(g183 +V\u000a +p4043 +tp4044 +a(g47 +VassertBlackNodeCount +p4045 +tp4046 +a(g196 +V( +tp4047 +a(g47 +Vroot +p4048 +tp4049 +a(g196 +V) +tp4050 +a(g196 +V; +tp4051 +a(g183 +V\u000a +p4052 +tp4053 +a(g47 +VassertBSTOrdering +p4054 +tp4055 +a(g196 +V( +tp4056 +a(g47 +Vroot +p4057 +tp4058 +a(g196 +V, +tp4059 +a(g47 +Vcomp +p4060 +tp4061 +a(g196 +V) +tp4062 +a(g196 +V; +tp4063 +a(g183 +V\u000a +p4064 +tp4065 +a(g29 +V#end +p4066 +tp4067 +a(g183 +V\u000a +p4068 +tp4069 +a(g196 +V} +tp4070 +a(g183 +V\u000a\u000a +p4071 +tp4072 +a(g355 +Vprivate +p4073 +tp4074 +a(g183 +V +tp4075 +a(g355 +Vstatic +p4076 +tp4077 +a(g183 +V +tp4078 +a(g355 +Vfunction +p4079 +tp4080 +a(g183 +V +tp4081 +a(g50 +VassertIsTree +p4082 +tp4083 +a(g196 +V< +tp4084 +a(g133 +VK +tp4085 +a(g196 +V, +tp4086 +a(g133 +VV +tp4087 +a(g196 +V> +tp4088 +a(g196 +V( +tp4089 +a(g183 +V +tp4090 +a(g101 +Vn +tp4091 +a(g196 +V: +tp4092 +a(g183 +V +tp4093 +a(g133 +VNode +p4094 +tp4095 +a(g196 +V< +tp4096 +a(g133 +VK +tp4097 +a(g196 +V, +tp4098 +a(g133 +VV +tp4099 +a(g196 +V> +tp4100 +a(g183 +V +tp4101 +a(g196 +V, +tp4102 +a(g183 +V +tp4103 +a(g101 +Vvisited +p4104 +tp4105 +a(g183 +V +tp4106 +a(g196 +V: +tp4107 +a(g133 +VList +p4108 +tp4109 +a(g196 +V< +tp4110 +a(g133 +VNode +p4111 +tp4112 +a(g196 +V< +tp4113 +a(g133 +VK +tp4114 +a(g196 +V, +tp4115 +a(g133 +VV +tp4116 +a(g196 +V> +tp4117 +a(g196 +V> +tp4118 +a(g183 +V +tp4119 +a(g183 +V +tp4120 +a(g196 +V) +tp4121 +a(g183 +V\u000a +p4122 +tp4123 +a(g196 +V{ +tp4124 +a(g183 +V\u000a +p4125 +tp4126 +a(g351 +Vif +p4127 +tp4128 +a(g196 +V( +tp4129 +a(g183 +V +tp4130 +a(g47 +Vn +tp4131 +a(g183 +V +tp4132 +a(g337 +V= +tp4133 +a(g337 +V= +tp4134 +a(g183 +V +tp4135 +a(g20 +Vnull +p4136 +tp4137 +a(g183 +V +tp4138 +a(g196 +V) +tp4139 +a(g183 +V\u000a +p4140 +tp4141 +a(g196 +V{ +tp4142 +a(g183 +V\u000a +p4143 +tp4144 +a(g351 +Vreturn +p4145 +tp4146 +a(g196 +V; +tp4147 +a(g183 +V\u000a +p4148 +tp4149 +a(g196 +V} +tp4150 +a(g183 +V\u000a\u000a +p4151 +tp4152 +a(g351 +Vfor +p4153 +tp4154 +a(g196 +V( +tp4155 +a(g183 +V +tp4156 +a(g47 +Vr +tp4157 +a(g183 +V +tp4158 +a(g351 +Vin +p4159 +tp4160 +a(g183 +V +tp4161 +a(g47 +Vvisited +p4162 +tp4163 +a(g183 +V +tp4164 +a(g196 +V) +tp4165 +a(g183 +V\u000a +p4166 +tp4167 +a(g196 +V{ +tp4168 +a(g183 +V\u000a +p4169 +tp4170 +a(g47 +VDebug +p4171 +tp4172 +a(g337 +V. +tp4173 +a(g47 +Vassert +p4174 +tp4175 +a(g196 +V( +tp4176 +a(g183 +V +tp4177 +a(g47 +Vn +tp4178 +a(g183 +V +tp4179 +a(g337 +V!= +p4180 +tp4181 +a(g183 +V +tp4182 +a(g47 +Vr +tp4183 +a(g183 +V +tp4184 +a(g196 +V) +tp4185 +a(g196 +V; +tp4186 +a(g183 +V\u000a +p4187 +tp4188 +a(g196 +V} +tp4189 +a(g183 +V\u000a +p4190 +tp4191 +a(g47 +Vvisited +p4192 +tp4193 +a(g337 +V. +tp4194 +a(g47 +Vpush +p4195 +tp4196 +a(g196 +V( +tp4197 +a(g47 +Vn +tp4198 +a(g196 +V) +tp4199 +a(g196 +V; +tp4200 +a(g183 +V\u000a +p4201 +tp4202 +a(g47 +VassertIsTree +p4203 +tp4204 +a(g196 +V( +tp4205 +a(g47 +Vn +tp4206 +a(g337 +V. +tp4207 +a(g47 +Vleft +p4208 +tp4209 +a(g196 +V, +tp4210 +a(g47 +Vvisited +p4211 +tp4212 +a(g196 +V) +tp4213 +a(g196 +V; +tp4214 +a(g183 +V\u000a +p4215 +tp4216 +a(g47 +VassertIsTree +p4217 +tp4218 +a(g196 +V( +tp4219 +a(g47 +Vn +tp4220 +a(g337 +V. +tp4221 +a(g47 +Vright +p4222 +tp4223 +a(g196 +V, +tp4224 +a(g47 +Vvisited +p4225 +tp4226 +a(g196 +V) +tp4227 +a(g196 +V; +tp4228 +a(g183 +V\u000a +p4229 +tp4230 +a(g196 +V} +tp4231 +a(g183 +V\u000a\u000a +p4232 +tp4233 +a(g355 +Vprivate +p4234 +tp4235 +a(g183 +V +tp4236 +a(g355 +Vstatic +p4237 +tp4238 +a(g183 +V +tp4239 +a(g355 +Vfunction +p4240 +tp4241 +a(g183 +V +tp4242 +a(g50 +VassertBlackNodeCount +p4243 +tp4244 +a(g196 +V< +tp4245 +a(g133 +VK +tp4246 +a(g196 +V, +tp4247 +a(g133 +VV +tp4248 +a(g196 +V> +tp4249 +a(g196 +V( +tp4250 +a(g183 +V +tp4251 +a(g101 +Vn +tp4252 +a(g196 +V: +tp4253 +a(g183 +V +tp4254 +a(g133 +VNode +p4255 +tp4256 +a(g196 +V< +tp4257 +a(g133 +VK +tp4258 +a(g196 +V, +tp4259 +a(g133 +VV +tp4260 +a(g196 +V> +tp4261 +a(g183 +V +tp4262 +a(g183 +V +tp4263 +a(g196 +V) +tp4264 +a(g183 +V +tp4265 +a(g196 +V: +tp4266 +a(g133 +VInt +p4267 +tp4268 +a(g183 +V\u000a +p4269 +tp4270 +a(g183 +V +tp4271 +a(g196 +V{ +tp4272 +a(g183 +V\u000a +p4273 +tp4274 +a(g351 +Vif +p4275 +tp4276 +a(g196 +V( +tp4277 +a(g183 +V +tp4278 +a(g47 +Vn +tp4279 +a(g183 +V +tp4280 +a(g337 +V= +tp4281 +a(g337 +V= +tp4282 +a(g183 +V +tp4283 +a(g20 +Vnull +p4284 +tp4285 +a(g183 +V +tp4286 +a(g196 +V) +tp4287 +a(g183 +V\u000a +p4288 +tp4289 +a(g196 +V{ +tp4290 +a(g183 +V\u000a +p4291 +tp4292 +a(g351 +Vreturn +p4293 +tp4294 +a(g183 +V +tp4295 +a(g312 +V1 +tp4296 +a(g196 +V; +tp4297 +a(g183 +V\u000a +p4298 +tp4299 +a(g196 +V} +tp4300 +a(g183 +V\u000a\u000a +p4301 +tp4302 +a(g355 +Vvar +p4303 +tp4304 +a(g183 +V +tp4305 +a(g101 +VleftCount +p4306 +tp4307 +a(g183 +V +p4308 +tp4309 +a(g337 +V= +tp4310 +a(g183 +V +tp4311 +a(g47 +VassertBlackNodeCount +p4312 +tp4313 +a(g196 +V( +tp4314 +a(g47 +Vn +tp4315 +a(g337 +V. +tp4316 +a(g47 +Vleft +p4317 +tp4318 +a(g196 +V) +tp4319 +a(g196 +V; +tp4320 +a(g183 +V\u000a +p4321 +tp4322 +a(g355 +Vvar +p4323 +tp4324 +a(g183 +V +tp4325 +a(g101 +VrightCount +p4326 +tp4327 +a(g183 +V +tp4328 +a(g337 +V= +tp4329 +a(g183 +V +tp4330 +a(g47 +VassertBlackNodeCount +p4331 +tp4332 +a(g196 +V( +tp4333 +a(g47 +Vn +tp4334 +a(g337 +V. +tp4335 +a(g47 +Vright +p4336 +tp4337 +a(g196 +V) +tp4338 +a(g196 +V; +tp4339 +a(g183 +V\u000a\u000a +p4340 +tp4341 +a(g47 +VDebug +p4342 +tp4343 +a(g337 +V. +tp4344 +a(g47 +Vassert +p4345 +tp4346 +a(g196 +V( +tp4347 +a(g183 +V\u000a +p4348 +tp4349 +a(g47 +VleftCount +p4350 +tp4351 +a(g183 +V +tp4352 +a(g337 +V= +tp4353 +a(g337 +V= +tp4354 +a(g183 +V +tp4355 +a(g47 +VrightCount +p4356 +tp4357 +a(g196 +V, +tp4358 +a(g183 +V\u000a +p4359 +tp4360 +a(g239 +V"num of black nodes in all paths for left and right child not equal" +p4361 +tp4362 +a(g183 +V +tp4363 +a(g337 +V+ +tp4364 +a(g183 +V +tp4365 +a(g47 +Vn +tp4366 +a(g183 +V\u000a +p4367 +tp4368 +a(g196 +V) +tp4369 +a(g196 +V; +tp4370 +a(g183 +V\u000a\u000a +p4371 +tp4372 +a(g351 +Vreturn +p4373 +tp4374 +a(g183 +V +tp4375 +a(g47 +VleftCount +p4376 +tp4377 +a(g183 +V +tp4378 +a(g337 +V+ +tp4379 +a(g183 +V +tp4380 +a(g351 +Vswitch +p4381 +tp4382 +a(g196 +V( +tp4383 +a(g47 +Vn +tp4384 +a(g337 +V. +tp4385 +a(g47 +Vcolor +p4386 +tp4387 +a(g196 +V) +tp4388 +a(g183 +V +tp4389 +a(g196 +V{ +tp4390 +a(g183 +V\u000a +p4391 +tp4392 +a(g351 +Vcase +p4393 +tp4394 +a(g183 +V +tp4395 +a(g47 +Vred +p4396 +tp4397 +a(g337 +V: +tp4398 +a(g183 +V +tp4399 +a(g312 +V0 +tp4400 +a(g196 +V; +tp4401 +a(g183 +V\u000a +p4402 +tp4403 +a(g351 +Vcase +p4404 +tp4405 +a(g183 +V +tp4406 +a(g47 +Vblack +p4407 +tp4408 +a(g337 +V: +tp4409 +a(g183 +V +tp4410 +a(g312 +V1 +tp4411 +a(g196 +V; +tp4412 +a(g183 +V\u000a +p4413 +tp4414 +a(g196 +V} +tp4415 +a(g183 +V\u000a +p4416 +tp4417 +a(g196 +V} +tp4418 +a(g183 +V\u000a\u000a +p4419 +tp4420 +a(g355 +Vprivate +p4421 +tp4422 +a(g183 +V +tp4423 +a(g355 +Vstatic +p4424 +tp4425 +a(g183 +V +tp4426 +a(g355 +Vfunction +p4427 +tp4428 +a(g183 +V +tp4429 +a(g50 +VassertBSTOrdering +p4430 +tp4431 +a(g196 +V< +tp4432 +a(g133 +VK +tp4433 +a(g196 +V, +tp4434 +a(g133 +VV +tp4435 +a(g196 +V> +tp4436 +a(g196 +V( +tp4437 +a(g183 +V +tp4438 +a(g101 +Vn +tp4439 +a(g196 +V: +tp4440 +a(g183 +V +tp4441 +a(g133 +VNode +p4442 +tp4443 +a(g196 +V< +tp4444 +a(g133 +VK +tp4445 +a(g196 +V, +tp4446 +a(g133 +VV +tp4447 +a(g196 +V> +tp4448 +a(g183 +V +tp4449 +a(g196 +V, +tp4450 +a(g183 +V +tp4451 +a(g101 +VcompK +p4452 +tp4453 +a(g183 +V +tp4454 +a(g196 +V: +tp4455 +a(g133 +VK +tp4456 +a(g183 +V +tp4457 +a(g363 +V-> +p4458 +tp4459 +a(g183 +V +tp4460 +a(g133 +VK +tp4461 +a(g183 +V +tp4462 +a(g363 +V-> +p4463 +tp4464 +a(g183 +V +tp4465 +a(g133 +VInt +p4466 +tp4467 +a(g183 +V +tp4468 +a(g183 +V +tp4469 +a(g196 +V) +tp4470 +a(g183 +V +tp4471 +a(g196 +V: +tp4472 +a(g133 +VVoid +p4473 +tp4474 +a(g183 +V\u000a +p4475 +tp4476 +a(g183 +V +tp4477 +a(g196 +V{ +tp4478 +a(g183 +V\u000a +p4479 +tp4480 +a(g351 +Vif +p4481 +tp4482 +a(g196 +V( +tp4483 +a(g183 +V +tp4484 +a(g47 +Vn +tp4485 +a(g183 +V +tp4486 +a(g337 +V= +tp4487 +a(g337 +V= +tp4488 +a(g183 +V +tp4489 +a(g20 +Vnull +p4490 +tp4491 +a(g183 +V +tp4492 +a(g196 +V) +tp4493 +a(g183 +V\u000a +p4494 +tp4495 +a(g196 +V{ +tp4496 +a(g183 +V\u000a +p4497 +tp4498 +a(g351 +Vreturn +p4499 +tp4500 +a(g196 +V; +tp4501 +a(g183 +V\u000a +p4502 +tp4503 +a(g196 +V} +tp4504 +a(g183 +V\u000a\u000a +p4505 +tp4506 +a(g351 +Vif +p4507 +tp4508 +a(g196 +V( +tp4509 +a(g183 +V +tp4510 +a(g47 +Vn +tp4511 +a(g337 +V. +tp4512 +a(g47 +Vleft +p4513 +tp4514 +a(g183 +V +tp4515 +a(g337 +V!= +p4516 +tp4517 +a(g183 +V +tp4518 +a(g20 +Vnull +p4519 +tp4520 +a(g183 +V +tp4521 +a(g337 +V&& +p4522 +tp4523 +a(g183 +V +tp4524 +a(g47 +Vn +tp4525 +a(g337 +V. +tp4526 +a(g47 +Vleft +p4527 +tp4528 +a(g337 +V. +tp4529 +a(g47 +Vval +p4530 +tp4531 +a(g183 +V +tp4532 +a(g337 +V!= +p4533 +tp4534 +a(g183 +V +tp4535 +a(g20 +Vnull +p4536 +tp4537 +a(g183 +V +tp4538 +a(g196 +V) +tp4539 +a(g183 +V\u000a +p4540 +tp4541 +a(g196 +V{ +tp4542 +a(g183 +V\u000a +p4543 +tp4544 +a(g47 +VDebug +p4545 +tp4546 +a(g337 +V. +tp4547 +a(g47 +Vassert +p4548 +tp4549 +a(g196 +V( +tp4550 +a(g183 +V +tp4551 +a(g47 +VcompK +p4552 +tp4553 +a(g196 +V( +tp4554 +a(g47 +Vn +tp4555 +a(g337 +V. +tp4556 +a(g47 +Vleft +p4557 +tp4558 +a(g337 +V. +tp4559 +a(g47 +Vkey +p4560 +tp4561 +a(g196 +V, +tp4562 +a(g47 +Vn +tp4563 +a(g337 +V. +tp4564 +a(g47 +Vkey +p4565 +tp4566 +a(g196 +V) +tp4567 +a(g183 +V +tp4568 +a(g337 +V< +tp4569 +a(g183 +V +tp4570 +a(g312 +V0 +tp4571 +a(g196 +V, +tp4572 +a(g183 +V +tp4573 +a(g239 +V"left child not less than its parent" +p4574 +tp4575 +a(g183 +V +tp4576 +a(g337 +V+ +tp4577 +a(g183 +V +tp4578 +a(g47 +Vn +tp4579 +a(g183 +V +tp4580 +a(g196 +V) +tp4581 +a(g196 +V; +tp4582 +a(g183 +V\u000a +p4583 +tp4584 +a(g47 +VassertBSTOrdering +p4585 +tp4586 +a(g196 +V( +tp4587 +a(g47 +Vn +tp4588 +a(g337 +V. +tp4589 +a(g47 +Vleft +p4590 +tp4591 +a(g196 +V, +tp4592 +a(g47 +VcompK +p4593 +tp4594 +a(g196 +V) +tp4595 +a(g196 +V; +tp4596 +a(g183 +V\u000a +p4597 +tp4598 +a(g196 +V} +tp4599 +a(g183 +V\u000a\u000a +p4600 +tp4601 +a(g351 +Vif +p4602 +tp4603 +a(g196 +V( +tp4604 +a(g183 +V +tp4605 +a(g47 +Vn +tp4606 +a(g337 +V. +tp4607 +a(g47 +Vright +p4608 +tp4609 +a(g183 +V +tp4610 +a(g337 +V!= +p4611 +tp4612 +a(g183 +V +tp4613 +a(g20 +Vnull +p4614 +tp4615 +a(g183 +V +tp4616 +a(g337 +V&& +p4617 +tp4618 +a(g183 +V +tp4619 +a(g47 +Vn +tp4620 +a(g337 +V. +tp4621 +a(g47 +Vright +p4622 +tp4623 +a(g337 +V. +tp4624 +a(g47 +Vval +p4625 +tp4626 +a(g183 +V +tp4627 +a(g337 +V!= +p4628 +tp4629 +a(g183 +V +tp4630 +a(g20 +Vnull +p4631 +tp4632 +a(g183 +V +tp4633 +a(g196 +V) +tp4634 +a(g183 +V\u000a +p4635 +tp4636 +a(g196 +V{ +tp4637 +a(g183 +V\u000a +p4638 +tp4639 +a(g47 +VDebug +p4640 +tp4641 +a(g337 +V. +tp4642 +a(g47 +Vassert +p4643 +tp4644 +a(g196 +V( +tp4645 +a(g183 +V +tp4646 +a(g47 +VcompK +p4647 +tp4648 +a(g196 +V( +tp4649 +a(g47 +Vn +tp4650 +a(g337 +V. +tp4651 +a(g47 +Vkey +p4652 +tp4653 +a(g196 +V, +tp4654 +a(g47 +Vn +tp4655 +a(g337 +V. +tp4656 +a(g47 +Vright +p4657 +tp4658 +a(g337 +V. +tp4659 +a(g47 +Vkey +p4660 +tp4661 +a(g196 +V) +tp4662 +a(g183 +V +tp4663 +a(g337 +V< +tp4664 +a(g183 +V +tp4665 +a(g312 +V0 +tp4666 +a(g196 +V, +tp4667 +a(g183 +V +tp4668 +a(g239 +V"parent not less than its right child" +p4669 +tp4670 +a(g183 +V +tp4671 +a(g337 +V+ +tp4672 +a(g183 +V +tp4673 +a(g47 +Vn +tp4674 +a(g183 +V +tp4675 +a(g196 +V) +tp4676 +a(g196 +V; +tp4677 +a(g183 +V\u000a +p4678 +tp4679 +a(g47 +VassertBSTOrdering +p4680 +tp4681 +a(g196 +V( +tp4682 +a(g47 +Vn +tp4683 +a(g337 +V. +tp4684 +a(g47 +Vright +p4685 +tp4686 +a(g196 +V, +tp4687 +a(g47 +VcompK +p4688 +tp4689 +a(g196 +V) +tp4690 +a(g196 +V; +tp4691 +a(g183 +V\u000a +p4692 +tp4693 +a(g196 +V} +tp4694 +a(g183 +V\u000a +p4695 +tp4696 +a(g196 +V} +tp4697 +a(g183 +V\u000a +tp4698 +a(g196 +V} +tp4699 +a(g183 +V\u000a\u000a +p4700 +tp4701 +a(g355 +Vprivate +p4702 +tp4703 +a(g183 +V +tp4704 +a(g355 +Venum +p4705 +tp4706 +a(g183 +V +tp4707 +a(g133 +VColor +p4708 +tp4709 +a(g183 +V\u000a +tp4710 +a(g183 +V +tp4711 +a(g196 +V{ +tp4712 +a(g183 +V\u000a +p4713 +tp4714 +a(g108 +Vred +p4715 +tp4716 +a(g196 +V; +tp4717 +a(g183 +V\u000a +p4718 +tp4719 +a(g108 +Vblack +p4720 +tp4721 +a(g196 +V; +tp4722 +a(g183 +V\u000a +tp4723 +a(g196 +V} +tp4724 +a(g183 +V\u000a\u000a +p4725 +tp4726 +a(g355 +Vprivate +p4727 +tp4728 +a(g183 +V +tp4729 +a(g355 +Vclass +p4730 +tp4731 +a(g183 +V +tp4732 +a(g133 +VNode +p4733 +tp4734 +a(g196 +V< +tp4735 +a(g133 +VK +tp4736 +a(g196 +V, +tp4737 +a(g133 +VV +tp4738 +a(g196 +V> +tp4739 +a(g183 +V +tp4740 +a(g37 +V/*implements Entry*/ +p4741 +tp4742 +a(g183 +V\u000a +tp4743 +a(g183 +V +tp4744 +a(g196 +V{ +tp4745 +a(g183 +V\u000a +p4746 +tp4747 +a(g355 +Vpublic +p4748 +tp4749 +a(g183 +V +tp4750 +a(g355 +Vvar +p4751 +tp4752 +a(g183 +V +tp4753 +a(g108 +Vleft +p4754 +tp4755 +a(g183 +V +p4756 +tp4757 +a(g196 +V: +tp4758 +a(g133 +VNull +p4759 +tp4760 +a(g196 +V< +tp4761 +a(g133 +VNode +p4762 +tp4763 +a(g196 +V< +tp4764 +a(g133 +VK +tp4765 +a(g196 +V, +tp4766 +a(g133 +VV +tp4767 +a(g196 +V> +tp4768 +a(g196 +V> +tp4769 +a(g183 +V +tp4770 +a(g196 +V; +tp4771 +a(g183 +V\u000a +p4772 +tp4773 +a(g355 +Vpublic +p4774 +tp4775 +a(g183 +V +tp4776 +a(g355 +Vvar +p4777 +tp4778 +a(g183 +V +tp4779 +a(g108 +Vright +p4780 +tp4781 +a(g183 +V +p4782 +tp4783 +a(g196 +V: +tp4784 +a(g133 +VNull +p4785 +tp4786 +a(g196 +V< +tp4787 +a(g133 +VNode +p4788 +tp4789 +a(g196 +V< +tp4790 +a(g133 +VK +tp4791 +a(g196 +V, +tp4792 +a(g133 +VV +tp4793 +a(g196 +V> +tp4794 +a(g196 +V> +tp4795 +a(g183 +V +tp4796 +a(g196 +V; +tp4797 +a(g183 +V\u000a +p4798 +tp4799 +a(g355 +Vpublic +p4800 +tp4801 +a(g183 +V +tp4802 +a(g355 +Vvar +p4803 +tp4804 +a(g183 +V +tp4805 +a(g108 +Vcolor +p4806 +tp4807 +a(g183 +V +p4808 +tp4809 +a(g196 +V: +tp4810 +a(g133 +VColor +p4811 +tp4812 +a(g183 +V +tp4813 +a(g196 +V; +tp4814 +a(g183 +V\u000a\u000a +p4815 +tp4816 +a(g355 +Vpublic +p4817 +tp4818 +a(g183 +V +tp4819 +a(g355 +Vvar +p4820 +tp4821 +a(g183 +V +tp4822 +a(g108 +Vkey +p4823 +tp4824 +a(g183 +V +tp4825 +a(g196 +V: +tp4826 +a(g133 +VK +tp4827 +a(g183 +V +tp4828 +a(g196 +V; +tp4829 +a(g183 +V\u000a +p4830 +tp4831 +a(g355 +Vpublic +p4832 +tp4833 +a(g183 +V +tp4834 +a(g355 +Vvar +p4835 +tp4836 +a(g183 +V +tp4837 +a(g108 +Vval +p4838 +tp4839 +a(g183 +V +tp4840 +a(g196 +V: +tp4841 +a(g133 +VV +tp4842 +a(g183 +V +tp4843 +a(g196 +V; +tp4844 +a(g183 +V\u000a\u000a +p4845 +tp4846 +a(g355 +Vpublic +p4847 +tp4848 +a(g183 +V +tp4849 +a(g355 +Vfunction +p4850 +tp4851 +a(g183 +V +tp4852 +a(g50 +Vnew +p4853 +tp4854 +a(g196 +V( +tp4855 +a(g101 +Vk +tp4856 +a(g183 +V +tp4857 +a(g196 +V: +tp4858 +a(g133 +VK +tp4859 +a(g183 +V +tp4860 +a(g196 +V, +tp4861 +a(g183 +V +tp4862 +a(g101 +Vv +tp4863 +a(g183 +V +tp4864 +a(g196 +V: +tp4865 +a(g133 +VV +tp4866 +a(g183 +V +tp4867 +a(g196 +V) +tp4868 +a(g183 +V\u000a +p4869 +tp4870 +a(g196 +V{ +tp4871 +a(g183 +V\u000a +p4872 +tp4873 +a(g47 +Vkey +p4874 +tp4875 +a(g183 +V +tp4876 +a(g337 +V= +tp4877 +a(g183 +V +tp4878 +a(g47 +Vk +tp4879 +a(g196 +V; +tp4880 +a(g183 +V\u000a +p4881 +tp4882 +a(g47 +Vval +p4883 +tp4884 +a(g183 +V +tp4885 +a(g337 +V= +tp4886 +a(g183 +V +tp4887 +a(g47 +Vv +tp4888 +a(g196 +V; +tp4889 +a(g183 +V\u000a +p4890 +tp4891 +a(g47 +Vcolor +p4892 +tp4893 +a(g183 +V +tp4894 +a(g337 +V= +tp4895 +a(g183 +V +tp4896 +a(g47 +Vred +p4897 +tp4898 +a(g196 +V; +tp4899 +a(g183 +V\u000a +p4900 +tp4901 +a(g196 +V} +tp4902 +a(g183 +V\u000a +tp4903 +a(g196 +V} +tp4904 +a(g183 +V\u000a\u000a +p4905 +tp4906 +a(g355 +Vprivate +p4907 +tp4908 +a(g183 +V +tp4909 +a(g355 +Vclass +p4910 +tp4911 +a(g183 +V +tp4912 +a(g133 +VNodeIterator +p4913 +tp4914 +a(g196 +V< +tp4915 +a(g133 +VK +tp4916 +a(g196 +V, +tp4917 +a(g133 +VV +tp4918 +a(g196 +V> +tp4919 +a(g183 +V\u000a +tp4920 +a(g183 +V +tp4921 +a(g196 +V{ +tp4922 +a(g183 +V\u000a +p4923 +tp4924 +a(g355 +Vprivate +p4925 +tp4926 +a(g183 +V +tp4927 +a(g355 +Vvar +p4928 +tp4929 +a(g183 +V +tp4930 +a(g108 +Vcurr +p4931 +tp4932 +a(g183 +V +p4933 +tp4934 +a(g196 +V: +tp4935 +a(g133 +VNode +p4936 +tp4937 +a(g196 +V< +tp4938 +a(g133 +VK +tp4939 +a(g196 +V, +tp4940 +a(g133 +VV +tp4941 +a(g196 +V> +tp4942 +a(g183 +V +tp4943 +a(g196 +V; +tp4944 +a(g183 +V\u000a +p4945 +tp4946 +a(g355 +Vprivate +p4947 +tp4948 +a(g183 +V +tp4949 +a(g355 +Vvar +p4950 +tp4951 +a(g183 +V +tp4952 +a(g108 +Vfringe +p4953 +tp4954 +a(g183 +V +tp4955 +a(g196 +V: +tp4956 +a(g133 +VArray +p4957 +tp4958 +a(g196 +V< +tp4959 +a(g133 +VNode +p4960 +tp4961 +a(g196 +V< +tp4962 +a(g133 +VK +tp4963 +a(g196 +V, +tp4964 +a(g133 +VV +tp4965 +a(g196 +V> +tp4966 +a(g196 +V> +tp4967 +a(g183 +V +tp4968 +a(g196 +V; +tp4969 +a(g183 +V\u000a\u000a +p4970 +tp4971 +a(g355 +Vpublic +p4972 +tp4973 +a(g183 +V +tp4974 +a(g355 +Vfunction +p4975 +tp4976 +a(g183 +V +tp4977 +a(g50 +Vnew +p4978 +tp4979 +a(g196 +V( +tp4980 +a(g183 +V +tp4981 +a(g101 +Vroot +p4982 +tp4983 +a(g183 +V +tp4984 +a(g196 +V: +tp4985 +a(g133 +VNode +p4986 +tp4987 +a(g196 +V< +tp4988 +a(g133 +VK +tp4989 +a(g196 +V, +tp4990 +a(g133 +VV +tp4991 +a(g196 +V> +tp4992 +a(g183 +V +tp4993 +a(g183 +V +tp4994 +a(g196 +V) +tp4995 +a(g183 +V\u000a +p4996 +tp4997 +a(g196 +V{ +tp4998 +a(g183 +V\u000a +p4999 +tp5000 +a(g47 +Vfringe +p5001 +tp5002 +a(g183 +V +tp5003 +a(g337 +V= +tp5004 +a(g183 +V +tp5005 +a(g13 +Vnew +p5006 +tp5007 +a(g183 +V +tp5008 +a(g133 +VArray +p5009 +tp5010 +a(g196 +V< +tp5011 +a(g133 +VNode +p5012 +tp5013 +a(g196 +V< +tp5014 +a(g133 +VK +tp5015 +a(g196 +V, +tp5016 +a(g133 +VV +tp5017 +a(g196 +V> +tp5018 +a(g196 +V> +tp5019 +a(g183 +V +tp5020 +a(g196 +V( +tp5021 +a(g196 +V) +tp5022 +a(g196 +V; +tp5023 +a(g183 +V\u000a +p5024 +tp5025 +a(g47 +VtraverseToMin +p5026 +tp5027 +a(g196 +V( +tp5028 +a(g47 +Vroot +p5029 +tp5030 +a(g196 +V) +tp5031 +a(g196 +V; +tp5032 +a(g183 +V\u000a +p5033 +tp5034 +a(g47 +Vcurr +p5035 +tp5036 +a(g183 +V +tp5037 +a(g337 +V= +tp5038 +a(g183 +V +tp5039 +a(g47 +Vfringe +p5040 +tp5041 +a(g337 +V. +tp5042 +a(g47 +Vpop +p5043 +tp5044 +a(g196 +V( +tp5045 +a(g196 +V) +tp5046 +a(g196 +V; +tp5047 +a(g183 +V\u000a +p5048 +tp5049 +a(g196 +V} +tp5050 +a(g183 +V\u000a\u000a +p5051 +tp5052 +a(g355 +Vpublic +p5053 +tp5054 +a(g183 +V +tp5055 +a(g355 +Vinline +p5056 +tp5057 +a(g183 +V +tp5058 +a(g355 +Vfunction +p5059 +tp5060 +a(g183 +V +tp5061 +a(g50 +VhasNext +p5062 +tp5063 +a(g196 +V( +tp5064 +a(g196 +V) +tp5065 +a(g183 +V +tp5066 +a(g196 +V: +tp5067 +a(g133 +VBool +p5068 +tp5069 +a(g183 +V\u000a +p5070 +tp5071 +a(g183 +V +tp5072 +a(g196 +V{ +tp5073 +a(g183 +V\u000a +p5074 +tp5075 +a(g351 +Vreturn +p5076 +tp5077 +a(g183 +V +tp5078 +a(g47 +Vcurr +p5079 +tp5080 +a(g183 +V +tp5081 +a(g337 +V!= +p5082 +tp5083 +a(g183 +V +tp5084 +a(g20 +Vnull +p5085 +tp5086 +a(g196 +V; +tp5087 +a(g183 +V\u000a +p5088 +tp5089 +a(g196 +V} +tp5090 +a(g183 +V\u000a\u000a +p5091 +tp5092 +a(g355 +Vpublic +p5093 +tp5094 +a(g183 +V +tp5095 +a(g355 +Vfunction +p5096 +tp5097 +a(g183 +V +tp5098 +a(g50 +Vnext +p5099 +tp5100 +a(g196 +V( +tp5101 +a(g196 +V) +tp5102 +a(g183 +V +tp5103 +a(g196 +V: +tp5104 +a(g133 +VNode +p5105 +tp5106 +a(g196 +V< +tp5107 +a(g133 +VK +tp5108 +a(g196 +V, +tp5109 +a(g133 +VV +tp5110 +a(g196 +V> +tp5111 +a(g183 +V\u000a +p5112 +tp5113 +a(g183 +V +tp5114 +a(g196 +V{ +tp5115 +a(g183 +V\u000a +p5116 +tp5117 +a(g351 +Vif +p5118 +tp5119 +a(g196 +V( +tp5120 +a(g183 +V +tp5121 +a(g337 +V! +tp5122 +a(g47 +VhasNext +p5123 +tp5124 +a(g196 +V( +tp5125 +a(g196 +V) +tp5126 +a(g183 +V +tp5127 +a(g196 +V) +tp5128 +a(g183 +V\u000a +p5129 +tp5130 +a(g196 +V{ +tp5131 +a(g183 +V\u000a +p5132 +tp5133 +a(g351 +Vthrow +p5134 +tp5135 +a(g183 +V +tp5136 +a(g13 +Vnew +p5137 +tp5138 +a(g183 +V +tp5139 +a(g133 +VNoSuchElement +p5140 +tp5141 +a(g183 +V +tp5142 +a(g196 +V( +tp5143 +a(g196 +V) +tp5144 +a(g196 +V; +tp5145 +a(g183 +V\u000a +p5146 +tp5147 +a(g196 +V} +tp5148 +a(g183 +V\u000a +p5149 +tp5150 +a(g355 +Vvar +p5151 +tp5152 +a(g183 +V +tp5153 +a(g101 +Vret +p5154 +tp5155 +a(g183 +V +tp5156 +a(g337 +V= +tp5157 +a(g183 +V +tp5158 +a(g47 +Vcurr +p5159 +tp5160 +a(g196 +V; +tp5161 +a(g183 +V\u000a\u000a +p5162 +tp5163 +a(g351 +Vif +p5164 +tp5165 +a(g196 +V( +tp5166 +a(g183 +V +tp5167 +a(g47 +Vfringe +p5168 +tp5169 +a(g337 +V. +tp5170 +a(g47 +Vlength +p5171 +tp5172 +a(g183 +V +tp5173 +a(g337 +V> +tp5174 +a(g183 +V +tp5175 +a(g312 +V0 +tp5176 +a(g183 +V +tp5177 +a(g196 +V) +tp5178 +a(g183 +V\u000a +p5179 +tp5180 +a(g196 +V{ +tp5181 +a(g183 +V\u000a +p5182 +tp5183 +a(g47 +Vcurr +p5184 +tp5185 +a(g183 +V +tp5186 +a(g337 +V= +tp5187 +a(g183 +V +tp5188 +a(g47 +Vfringe +p5189 +tp5190 +a(g337 +V. +tp5191 +a(g47 +Vpop +p5192 +tp5193 +a(g196 +V( +tp5194 +a(g196 +V) +tp5195 +a(g196 +V; +tp5196 +a(g183 +V\u000a +p5197 +tp5198 +a(g47 +VtraverseToMin +p5199 +tp5200 +a(g196 +V( +tp5201 +a(g47 +Vcurr +p5202 +tp5203 +a(g337 +V. +tp5204 +a(g47 +Vright +p5205 +tp5206 +a(g196 +V) +tp5207 +a(g196 +V; +tp5208 +a(g183 +V\u000a +p5209 +tp5210 +a(g196 +V} +tp5211 +a(g183 +V\u000a +p5212 +tp5213 +a(g351 +Velse +p5214 +tp5215 +a(g183 +V\u000a +p5216 +tp5217 +a(g196 +V{ +tp5218 +a(g183 +V\u000a +p5219 +tp5220 +a(g47 +Vcurr +p5221 +tp5222 +a(g183 +V +tp5223 +a(g337 +V= +tp5224 +a(g183 +V +tp5225 +a(g20 +Vnull +p5226 +tp5227 +a(g196 +V; +tp5228 +a(g183 +V\u000a +p5229 +tp5230 +a(g196 +V} +tp5231 +a(g183 +V\u000a\u000a +p5232 +tp5233 +a(g351 +Vreturn +p5234 +tp5235 +a(g183 +V +tp5236 +a(g47 +Vret +p5237 +tp5238 +a(g196 +V; +tp5239 +a(g183 +V\u000a +p5240 +tp5241 +a(g196 +V} +tp5242 +a(g183 +V\u000a\u000a +p5243 +tp5244 +a(g355 +Vprivate +p5245 +tp5246 +a(g183 +V +tp5247 +a(g355 +Vfunction +p5248 +tp5249 +a(g183 +V +tp5250 +a(g50 +VtraverseToMin +p5251 +tp5252 +a(g196 +V( +tp5253 +a(g183 +V +tp5254 +a(g101 +Vn +tp5255 +a(g183 +V +tp5256 +a(g196 +V: +tp5257 +a(g133 +VNode +p5258 +tp5259 +a(g196 +V< +tp5260 +a(g133 +VK +tp5261 +a(g196 +V, +tp5262 +a(g133 +VV +tp5263 +a(g196 +V> +tp5264 +a(g183 +V +tp5265 +a(g183 +V +tp5266 +a(g196 +V) +tp5267 +a(g183 +V\u000a +p5268 +tp5269 +a(g196 +V{ +tp5270 +a(g183 +V\u000a +p5271 +tp5272 +a(g351 +Vwhile +p5273 +tp5274 +a(g196 +V( +tp5275 +a(g183 +V +tp5276 +a(g47 +Vn +tp5277 +a(g183 +V +tp5278 +a(g337 +V!= +p5279 +tp5280 +a(g183 +V +tp5281 +a(g20 +Vnull +p5282 +tp5283 +a(g183 +V +tp5284 +a(g196 +V) +tp5285 +a(g183 +V\u000a +p5286 +tp5287 +a(g196 +V{ +tp5288 +a(g183 +V\u000a +p5289 +tp5290 +a(g47 +Vfringe +p5291 +tp5292 +a(g337 +V. +tp5293 +a(g47 +Vpush +p5294 +tp5295 +a(g196 +V( +tp5296 +a(g47 +Vn +tp5297 +a(g196 +V) +tp5298 +a(g196 +V; +tp5299 +a(g183 +V\u000a +p5300 +tp5301 +a(g47 +Vn +tp5302 +a(g183 +V +tp5303 +a(g337 +V= +tp5304 +a(g183 +V +tp5305 +a(g47 +Vn +tp5306 +a(g337 +V. +tp5307 +a(g47 +Vleft +p5308 +tp5309 +a(g196 +V; +tp5310 +a(g183 +V\u000a +p5311 +tp5312 +a(g196 +V} +tp5313 +a(g183 +V\u000a +p5314 +tp5315 +a(g196 +V} +tp5316 +a(g183 +V\u000a +tp5317 +a(g196 +V} +tp5318 +a(g183 +V\u000a +tp5319 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/RegexMatcher.ns2 b/tests/examplefiles/output/RegexMatcher.ns2 new file mode 100644 index 0000000..9faf70a --- /dev/null +++ b/tests/examplefiles/output/RegexMatcher.ns2 @@ -0,0 +1,46545 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +S'Declaration' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Pervasive' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsS'Constant' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g9 +g10 +((ltRp22 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp23 +(dp24 +S'Comment' +p25 +g2 +(g3 +g4 +(g25 +ttRp26 +(dp27 +g12 +g23 +sS'Preproc' +p28 +g2 +(g3 +g4 +(g25 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g26 +sbsS'Single' +p32 +g2 +(g3 +g4 +(g25 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g26 +sbsS'Multiline' +p36 +g2 +(g3 +g4 +(g25 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g26 +sbsg9 +g10 +((lp40 +g2 +(g3 +g4 +(g25 +S'Special' +p41 +ttRp42 +(dp43 +g9 +g10 +((ltRp44 +sg12 +g26 +sbag29 +ag33 +ag37 +atRp45 +sg41 +g42 +sbsS'Name' +p46 +g2 +(g3 +g4 +(g46 +ttRp47 +(dp48 +S'Function' +p49 +g2 +(g3 +g4 +(g46 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g47 +sbsS'Exception' +p53 +g2 +(g3 +g4 +(g46 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g47 +sbsS'Tag' +p57 +g2 +(g3 +g4 +(g46 +g57 +ttRp58 +(dp59 +g9 +g10 +((ltRp60 +sg12 +g47 +sbsg19 +g2 +(g3 +g4 +(g46 +g19 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g47 +sbsg12 +g23 +sS'Pseudo' +p64 +g2 +(g3 +g4 +(g46 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g47 +sbsS'Attribute' +p68 +g2 +(g3 +g4 +(g46 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g47 +sbsS'Label' +p72 +g2 +(g3 +g4 +(g46 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g47 +sbsS'Blubb' +p76 +g2 +(g3 +g4 +(g46 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g47 +sbsS'Entity' +p80 +g2 +(g3 +g4 +(g46 +g80 +ttRp81 +(dp82 +g9 +g10 +((ltRp83 +sg12 +g47 +sbsS'Builtin' +p84 +g2 +(g3 +g4 +(g46 +g84 +ttRp85 +(dp86 +g9 +g10 +((lp87 +g2 +(g3 +g4 +(g46 +g84 +g64 +ttRp88 +(dp89 +g9 +g10 +((ltRp90 +sg12 +g85 +sbatRp91 +sg64 +g88 +sg12 +g47 +sbsS'Other' +p92 +g2 +(g3 +g4 +(g46 +g92 +ttRp93 +(dp94 +g9 +g10 +((ltRp95 +sg12 +g47 +sbsS'Identifier' +p96 +g2 +(g3 +g4 +(g46 +g96 +ttRp97 +(dp98 +g9 +g10 +((ltRp99 +sg12 +g47 +sbsS'Variable' +p100 +g2 +(g3 +g4 +(g46 +g100 +ttRp101 +(dp102 +g12 +g47 +sS'Global' +p103 +g2 +(g3 +g4 +(g46 +g100 +g103 +ttRp104 +(dp105 +g9 +g10 +((ltRp106 +sg12 +g101 +sbsS'Instance' +p107 +g2 +(g3 +g4 +(g46 +g100 +g107 +ttRp108 +(dp109 +g9 +g10 +((ltRp110 +sg12 +g101 +sbsS'Anonymous' +p111 +g2 +(g3 +g4 +(g46 +g100 +g111 +ttRp112 +(dp113 +g9 +g10 +((ltRp114 +sg12 +g101 +sbsg9 +g10 +((lp115 +g112 +ag108 +ag104 +ag2 +(g3 +g4 +(g46 +g100 +S'Class' +p116 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g101 +sbatRp120 +sg116 +g117 +sbsg9 +g10 +((lp121 +g2 +(g3 +g4 +(g46 +S'Decorator' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g47 +sbag69 +ag61 +ag65 +ag2 +(g3 +g4 +(g46 +S'Namespace' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g47 +sbag97 +ag85 +ag101 +ag93 +ag77 +ag81 +ag50 +ag2 +(g3 +g4 +(g46 +S'Property' +p130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g47 +sbag73 +ag58 +ag54 +ag2 +(g3 +g4 +(g46 +g116 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g47 +sbatRp137 +sg130 +g131 +sg116 +g134 +sg122 +g123 +sg126 +g127 +sbsg5 +g13 +sS'Generic' +p138 +g2 +(g3 +g4 +(g138 +ttRp139 +(dp140 +S'Prompt' +p141 +g2 +(g3 +g4 +(g138 +g141 +ttRp142 +(dp143 +g9 +g10 +((ltRp144 +sg12 +g139 +sbsg12 +g23 +sS'Deleted' +p145 +g2 +(g3 +g4 +(g138 +g145 +ttRp146 +(dp147 +g9 +g10 +((ltRp148 +sg12 +g139 +sbsS'Traceback' +p149 +g2 +(g3 +g4 +(g138 +g149 +ttRp150 +(dp151 +g9 +g10 +((ltRp152 +sg12 +g139 +sbsS'Emph' +p153 +g2 +(g3 +g4 +(g138 +g153 +ttRp154 +(dp155 +g9 +g10 +((ltRp156 +sg12 +g139 +sbsS'Output' +p157 +g2 +(g3 +g4 +(g138 +g157 +ttRp158 +(dp159 +g9 +g10 +((ltRp160 +sg12 +g139 +sbsS'Subheading' +p161 +g2 +(g3 +g4 +(g138 +g161 +ttRp162 +(dp163 +g9 +g10 +((ltRp164 +sg12 +g139 +sbsS'Error' +p165 +g2 +(g3 +g4 +(g138 +g165 +ttRp166 +(dp167 +g9 +g10 +((ltRp168 +sg12 +g139 +sbsg9 +g10 +((lp169 +g158 +ag154 +ag166 +ag162 +ag150 +ag146 +ag2 +(g3 +g4 +(g138 +S'Heading' +p170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g139 +sbag2 +(g3 +g4 +(g138 +S'Inserted' +p174 +ttRp175 +(dp176 +g9 +g10 +((ltRp177 +sg12 +g139 +sbag2 +(g3 +g4 +(g138 +S'Strong' +p178 +ttRp179 +(dp180 +g9 +g10 +((ltRp181 +sg12 +g139 +sbag142 +atRp182 +sg178 +g179 +sg174 +g175 +sg170 +g171 +sbsS'Text' +p183 +g2 +(g3 +g4 +(g183 +ttRp184 +(dp185 +g9 +g10 +((lp186 +g2 +(g3 +g4 +(g183 +S'Symbol' +p187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg12 +g184 +sbag2 +(g3 +g4 +(g183 +S'Whitespace' +p191 +ttRp192 +(dp193 +g9 +g10 +((ltRp194 +sg12 +g184 +sbatRp195 +sg187 +g188 +sg191 +g192 +sg12 +g23 +sbsS'Punctuation' +p196 +g2 +(g3 +g4 +(g196 +ttRp197 +(dp198 +g9 +g10 +((lp199 +g2 +(g3 +g4 +(g196 +S'Indicator' +p200 +ttRp201 +(dp202 +g9 +g10 +((ltRp203 +sg12 +g197 +sbatRp204 +sg200 +g201 +sg12 +g23 +sbsS'Token' +p205 +g23 +sS'Number' +p206 +g2 +(g3 +g4 +(S'Literal' +p207 +g206 +ttRp208 +(dp209 +S'Bin' +p210 +g2 +(g3 +g4 +(g207 +g206 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg12 +g208 +sbsS'Binary' +p214 +g2 +(g3 +g4 +(g207 +g206 +g214 +ttRp215 +(dp216 +g9 +g10 +((ltRp217 +sg12 +g208 +sbsg12 +g2 +(g3 +g4 +(g207 +ttRp218 +(dp219 +S'String' +p220 +g2 +(g3 +g4 +(g207 +g220 +ttRp221 +(dp222 +S'Regex' +p223 +g2 +(g3 +g4 +(g207 +g220 +g223 +ttRp224 +(dp225 +g9 +g10 +((ltRp226 +sg12 +g221 +sbsS'Interpol' +p227 +g2 +(g3 +g4 +(g207 +g220 +g227 +ttRp228 +(dp229 +g9 +g10 +((ltRp230 +sg12 +g221 +sbsS'Regexp' +p231 +g2 +(g3 +g4 +(g207 +g220 +g231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g221 +sbsg12 +g218 +sS'Heredoc' +p235 +g2 +(g3 +g4 +(g207 +g220 +g235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g221 +sbsS'Double' +p239 +g2 +(g3 +g4 +(g207 +g220 +g239 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g221 +sbsg187 +g2 +(g3 +g4 +(g207 +g220 +g187 +ttRp243 +(dp244 +g9 +g10 +((ltRp245 +sg12 +g221 +sbsS'Escape' +p246 +g2 +(g3 +g4 +(g207 +g220 +g246 +ttRp247 +(dp248 +g9 +g10 +((ltRp249 +sg12 +g221 +sbsS'Character' +p250 +g2 +(g3 +g4 +(g207 +g220 +g250 +ttRp251 +(dp252 +g9 +g10 +((ltRp253 +sg12 +g221 +sbsS'Interp' +p254 +g2 +(g3 +g4 +(g207 +g220 +g254 +ttRp255 +(dp256 +g9 +g10 +((ltRp257 +sg12 +g221 +sbsS'Backtick' +p258 +g2 +(g3 +g4 +(g207 +g220 +g258 +ttRp259 +(dp260 +g9 +g10 +((ltRp261 +sg12 +g221 +sbsS'Char' +p262 +g2 +(g3 +g4 +(g207 +g220 +g262 +ttRp263 +(dp264 +g9 +g10 +((ltRp265 +sg12 +g221 +sbsg32 +g2 +(g3 +g4 +(g207 +g220 +g32 +ttRp266 +(dp267 +g9 +g10 +((ltRp268 +sg12 +g221 +sbsg92 +g2 +(g3 +g4 +(g207 +g220 +g92 +ttRp269 +(dp270 +g9 +g10 +((ltRp271 +sg12 +g221 +sbsS'Doc' +p272 +g2 +(g3 +g4 +(g207 +g220 +g272 +ttRp273 +(dp274 +g9 +g10 +((ltRp275 +sg12 +g221 +sbsg9 +g10 +((lp276 +g269 +ag2 +(g3 +g4 +(g207 +g220 +S'Atom' +p277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g221 +sbag240 +ag263 +ag255 +ag273 +ag236 +ag259 +ag228 +ag243 +ag232 +ag224 +ag266 +ag251 +ag247 +atRp281 +sg277 +g278 +sbsg12 +g23 +sg206 +g208 +sS'Scalar' +p282 +g2 +(g3 +g4 +(g207 +g282 +ttRp283 +(dp284 +g9 +g10 +((lp285 +g2 +(g3 +g4 +(g207 +g282 +S'Plain' +p286 +ttRp287 +(dp288 +g9 +g10 +((ltRp289 +sg12 +g283 +sbatRp290 +sg12 +g218 +sg286 +g287 +sbsg92 +g2 +(g3 +g4 +(g207 +g92 +ttRp291 +(dp292 +g9 +g10 +((ltRp293 +sg12 +g218 +sbsS'Date' +p294 +g2 +(g3 +g4 +(g207 +g294 +ttRp295 +(dp296 +g9 +g10 +((ltRp297 +sg12 +g218 +sbsg9 +g10 +((lp298 +g295 +ag221 +ag291 +ag208 +ag283 +atRp299 +sbsS'Decimal' +p300 +g2 +(g3 +g4 +(g207 +g206 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g208 +sbsS'Float' +p304 +g2 +(g3 +g4 +(g207 +g206 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g208 +sbsS'Hex' +p308 +g2 +(g3 +g4 +(g207 +g206 +g308 +ttRp309 +(dp310 +g9 +g10 +((ltRp311 +sg12 +g208 +sbsS'Integer' +p312 +g2 +(g3 +g4 +(g207 +g206 +g312 +ttRp313 +(dp314 +g9 +g10 +((lp315 +g2 +(g3 +g4 +(g207 +g206 +g312 +S'Long' +p316 +ttRp317 +(dp318 +g9 +g10 +((ltRp319 +sg12 +g313 +sbatRp320 +sg316 +g317 +sg12 +g208 +sbsS'Octal' +p321 +g2 +(g3 +g4 +(g207 +g206 +g321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g208 +sbsg9 +g10 +((lp325 +g211 +ag215 +ag322 +ag301 +ag2 +(g3 +g4 +(g207 +g206 +S'Oct' +p326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g208 +sbag313 +ag305 +ag309 +atRp330 +sg326 +g327 +sbsg207 +g218 +sg92 +g2 +(g3 +g4 +(g92 +ttRp331 +(dp332 +g9 +g10 +((ltRp333 +sg12 +g23 +sbsg165 +g2 +(g3 +g4 +(g165 +ttRp334 +(dp335 +g9 +g10 +((ltRp336 +sg12 +g23 +sbsS'Operator' +p337 +g2 +(g3 +g4 +(g337 +ttRp338 +(dp339 +g9 +g10 +((lp340 +g2 +(g3 +g4 +(g337 +S'Word' +p341 +ttRp342 +(dp343 +g9 +g10 +((ltRp344 +sg12 +g338 +sbatRp345 +sg341 +g342 +sg12 +g23 +sbsg9 +g10 +((lp346 +g26 +ag334 +ag139 +ag184 +ag47 +ag197 +ag13 +ag218 +ag338 +ag331 +atRp347 +sg220 +g221 +sbsg126 +g2 +(g3 +g4 +(g5 +g126 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g13 +sbsg64 +g2 +(g3 +g4 +(g5 +g64 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbsS'Reserved' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg6 +g7 +sg100 +g2 +(g3 +g4 +(g5 +g100 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g20 +ag355 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag16 +ag7 +ag358 +ag348 +ag351 +atRp366 +sg362 +g363 +sbsbVNewsqueak2 +p367 +tp368 +a(g184 +V\u000a +tp369 +a(g221 +V'Regex' +p370 +tp371 +a(g184 +V\u000a\u000a +p372 +tp373 +a(g7 +Vclass +p374 +tp375 +a(g184 +V +tp376 +a(g134 +VRegexMatcher +p377 +tp378 +a(g184 +V +tp379 +a(g50 +Vmain: +p380 +tp381 +a(g184 +V +tp382 +a(g101 +Vplatform +p383 +tp384 +a(g184 +V +tp385 +a(g338 +V= +tp386 +a(g184 +V +tp387 +a(g101 +VNewspeakObject +p388 +tp389 +a(g184 +V +tp390 +a(g197 +V( +tp391 +a(g26 +V"Ported to NS2 by Ryan Macnak from:\u000a\u000aThe Regular Expression Matcher (''The Software'') is Copyright (C) 1996, 1999 Vassili Bykov. \u000aIt is provided to the Smalltalk community in hope it will be useful.\u000a\u000aThe software is provided free of charge ``as is'', in hope that it will be useful, with ABSOLUTELY NO WARRANTY. The entire risk and all responsibility for the use of the software is with you. Under no circumstances the author may be held responsible for loss of data, loss of profit, or any other damage resulting directly or indirectly from the use of the software, even if the damage is caused by defects in the software.\u000a\u000aYou may use this software in any applications you build.\u000a\u000aYou may distribute this software with the restrictions that no fee (with the exception of a reasonable fee to cover the cost of distribution media) may be charged for the distribution without a prior written consent of the author, and the software must be distributed with its documentation and copyright notices included and intact.\u000a\u000aYou may create and distribute modified versions of the software, such as ports to other Smalltalk dialects or derived work, provided that: \u000aa. any modified version is expressly marked as such and is not misrepresented as the original software; \u000ab. credit is given to the original software in the source code and documentation of the derived work; \u000ac. the copyright notice at the top of this document accompanies copyright notices of any modified version. " +p392 +tp393 +a(g184 +V\u000a +tp394 +a(g338 +V| +tp395 +a(g184 +V\u000a\u000a +p396 +tp397 +a(g69 +VOrderedCollection +p398 +tp399 +a(g184 +V +tp400 +a(g338 +V= +tp401 +a(g184 +V +tp402 +a(g101 +Vplatform +p403 +tp404 +a(g184 +V +tp405 +a(g101 +VOrderedCollection +p406 +tp407 +a(g197 +V. +tp408 +a(g184 +V\u000a +p409 +tp410 +a(g69 +VWriteStream +p411 +tp412 +a(g184 +V +tp413 +a(g338 +V= +tp414 +a(g184 +V +tp415 +a(g101 +Vplatform +p416 +tp417 +a(g184 +V +tp418 +a(g101 +VWriteStream +p419 +tp420 +a(g197 +V. +tp421 +a(g184 +V\u000a +p422 +tp423 +a(g69 +VReadStream +p424 +tp425 +a(g184 +V +tp426 +a(g338 +V= +tp427 +a(g184 +V +tp428 +a(g101 +Vplatform +p429 +tp430 +a(g184 +V +tp431 +a(g101 +VReadStream +p432 +tp433 +a(g197 +V. +tp434 +a(g184 +V\u000a +p435 +tp436 +a(g69 +VDictionary +p437 +tp438 +a(g184 +V +tp439 +a(g338 +V= +tp440 +a(g184 +V +tp441 +a(g101 +Vplatform +p442 +tp443 +a(g184 +V +tp444 +a(g101 +VDictionary +p445 +tp446 +a(g197 +V. +tp447 +a(g184 +V\u000a +p448 +tp449 +a(g69 +VAssociation +p450 +tp451 +a(g184 +V +tp452 +a(g338 +V= +tp453 +a(g184 +V +tp454 +a(g101 +Vplatform +p455 +tp456 +a(g184 +V +tp457 +a(g101 +VAssociation +p458 +tp459 +a(g197 +V. +tp460 +a(g184 +V\u000a +p461 +tp462 +a(g69 +VTranscript +p463 +tp464 +a(g184 +V +tp465 +a(g338 +V= +tp466 +a(g184 +V +tp467 +a(g101 +Vplatform +p468 +tp469 +a(g184 +V +tp470 +a(g101 +VTranscript +p471 +tp472 +a(g197 +V. +tp473 +a(g184 +V\u000a +p474 +tp475 +a(g69 +VSet +p476 +tp477 +a(g184 +V +tp478 +a(g338 +V= +tp479 +a(g184 +V +tp480 +a(g101 +Vplatform +p481 +tp482 +a(g184 +V +tp483 +a(g101 +VSet +p484 +tp485 +a(g197 +V. +tp486 +a(g184 +V\u000a +p487 +tp488 +a(g69 +VError +p489 +tp490 +a(g184 +V +tp491 +a(g338 +V= +tp492 +a(g184 +V +tp493 +a(g101 +Vplatform +p494 +tp495 +a(g184 +V +tp496 +a(g101 +VError +p497 +tp498 +a(g197 +V. +tp499 +a(g184 +V\u000a +p500 +tp501 +a(g69 +VMessageNotUnderstood +p502 +tp503 +a(g184 +V +tp504 +a(g338 +V= +tp505 +a(g184 +V +tp506 +a(g101 +Vplatform +p507 +tp508 +a(g184 +V +tp509 +a(g101 +VMessageNotUnderstood +p510 +tp511 +a(g197 +V. +tp512 +a(g184 +V\u000a\u000a +p513 +tp514 +a(g69 +VCr +p515 +tp516 +a(g184 +V +tp517 +a(g338 +V= +tp518 +a(g184 +V +tp519 +a(g101 +VCharacter +p520 +tp521 +a(g184 +V +tp522 +a(g101 +Vcr +p523 +tp524 +a(g197 +V. +tp525 +a(g184 +V\u000a +p526 +tp527 +a(g69 +VLf +p528 +tp529 +a(g184 +V +tp530 +a(g338 +V= +tp531 +a(g184 +V +tp532 +a(g101 +VCharacter +p533 +tp534 +a(g184 +V +tp535 +a(g101 +Vlf +p536 +tp537 +a(g197 +V. +tp538 +a(g184 +V\u000a +p539 +tp540 +a(g101 +VBackslashConstants +p541 +tp542 +a(g184 +V +tp543 +a(g338 +V: +tp544 +a(g338 +V: +tp545 +a(g338 +V= +tp546 +a(g184 +V +tp547 +a(g13 +Vnil +p548 +tp549 +a(g197 +V. +tp550 +a(g184 +V +tp551 +a(g26 +V"?????" +p552 +tp553 +a(g184 +V\u000a +p554 +tp555 +a(g101 +VBackslashSpecials +p556 +tp557 +a(g184 +V +tp558 +a(g338 +V: +tp559 +a(g338 +V: +tp560 +a(g338 +V= +tp561 +a(g184 +V +tp562 +a(g13 +Vnil +p563 +tp564 +a(g197 +V. +tp565 +a(g184 +V +tp566 +a(g26 +V"?????" +p567 +tp568 +a(g184 +V\u000a +p569 +tp570 +a(g101 +VEscapedLetterSelectors +p571 +tp572 +a(g184 +V +tp573 +a(g338 +V: +tp574 +a(g338 +V: +tp575 +a(g338 +V= +tp576 +a(g184 +V +tp577 +a(g13 +Vnil +p578 +tp579 +a(g197 +V. +tp580 +a(g184 +V\u000a +p581 +tp582 +a(g101 +VNamedClassSelectors +p583 +tp584 +a(g184 +V +tp585 +a(g338 +V: +tp586 +a(g338 +V: +tp587 +a(g338 +V= +tp588 +a(g184 +V +tp589 +a(g13 +Vnil +p590 +tp591 +a(g197 +V. +tp592 +a(g184 +V\u000a +tp593 +a(g338 +V| +tp594 +a(g184 +V\u000a +p595 +tp596 +a(g101 +VRxParser +p597 +tp598 +a(g184 +V +tp599 +a(g101 +Vinitialize +p600 +tp601 +a(g197 +V. +tp602 +a(g184 +V\u000a +p603 +tp604 +a(g101 +VRxsPredicate +p605 +tp606 +a(g184 +V +tp607 +a(g101 +Vinitialize +p608 +tp609 +a(g197 +V. +tp610 +a(g184 +V\u000a +tp611 +a(g197 +V) +tp612 +a(g184 +V\u000a +tp613 +a(g197 +V( +tp614 +a(g184 +V\u000a\u000a +p615 +tp616 +a(g7 +Vclass +p617 +tp618 +a(g184 +V +tp619 +a(g134 +VRxmSpecial +p620 +tp621 +a(g184 +V +tp622 +a(g338 +V= +tp623 +a(g184 +V +tp624 +a(g101 +VRxmLink +p625 +tp626 +a(g184 +V +tp627 +a(g197 +V( +tp628 +a(g184 +V\u000a +tp629 +a(g26 +V"A special node that matches a specific matcher state rather than any input character.\u000aThe state is either at-beginning-of-line or at-end-of-line." +p630 +tp631 +a(g184 +V\u000a +tp632 +a(g338 +V| +tp633 +a(g184 +V\u000a +p634 +tp635 +a(g101 +VmatchSelector +p636 +tp637 +a(g184 +V\u000a +tp638 +a(g338 +V| +tp639 +a(g184 +V\u000a +tp640 +a(g197 +V) +tp641 +a(g184 +V\u000a +tp642 +a(g197 +V( +tp643 +a(g221 +V'initialize-release' +p644 +tp645 +a(g184 +V\u000a +tp646 +a(g69 +VbeBeginningOfLine +p647 +tp648 +a(g184 +V +tp649 +a(g338 +V= +tp650 +a(g184 +V +tp651 +a(g197 +V( +tp652 +a(g184 +V\u000a\u000a +p653 +tp654 +a(g101 +VmatchSelector +p655 +tp656 +a(g338 +V:: +p657 +tp658 +a(g184 +V +tp659 +a(g243 +V#atBeginningOfLine +p660 +tp661 +a(g184 +V\u000a +tp662 +a(g197 +V) +tp663 +a(g184 +V\u000a\u000a +p664 +tp665 +a(g69 +VbeBeginningOfWord +p666 +tp667 +a(g184 +V +tp668 +a(g338 +V= +tp669 +a(g184 +V +tp670 +a(g197 +V( +tp671 +a(g184 +V\u000a\u000a +p672 +tp673 +a(g101 +VmatchSelector +p674 +tp675 +a(g338 +V:: +p676 +tp677 +a(g184 +V +tp678 +a(g243 +V#atBeginningOfWord +p679 +tp680 +a(g184 +V\u000a +tp681 +a(g197 +V) +tp682 +a(g184 +V\u000a\u000a +p683 +tp684 +a(g69 +VbeEndOfLine +p685 +tp686 +a(g184 +V +tp687 +a(g338 +V= +tp688 +a(g184 +V +tp689 +a(g197 +V( +tp690 +a(g184 +V\u000a\u000a +p691 +tp692 +a(g101 +VmatchSelector +p693 +tp694 +a(g338 +V:: +p695 +tp696 +a(g184 +V +tp697 +a(g243 +V#atEndOfLine +p698 +tp699 +a(g184 +V\u000a +tp700 +a(g197 +V) +tp701 +a(g184 +V\u000a\u000a +p702 +tp703 +a(g69 +VbeEndOfWord +p704 +tp705 +a(g184 +V +tp706 +a(g338 +V= +tp707 +a(g184 +V +tp708 +a(g197 +V( +tp709 +a(g184 +V\u000a +p710 +tp711 +a(g101 +VmatchSelector +p712 +tp713 +a(g338 +V:: +p714 +tp715 +a(g184 +V +tp716 +a(g243 +V#atEndOfWord +p717 +tp718 +a(g184 +V\u000a +tp719 +a(g197 +V) +tp720 +a(g184 +V\u000a\u000a +p721 +tp722 +a(g69 +VbeNotWordBoundary +p723 +tp724 +a(g184 +V +tp725 +a(g338 +V= +tp726 +a(g184 +V +tp727 +a(g197 +V( +tp728 +a(g184 +V\u000a +p729 +tp730 +a(g101 +VmatchSelector +p731 +tp732 +a(g338 +V:: +p733 +tp734 +a(g184 +V +tp735 +a(g243 +V#notAtWordBoundary +p736 +tp737 +a(g184 +V\u000a +tp738 +a(g197 +V) +tp739 +a(g184 +V\u000a\u000a +p740 +tp741 +a(g69 +VbeWordBoundary +p742 +tp743 +a(g184 +V +tp744 +a(g338 +V= +tp745 +a(g184 +V +tp746 +a(g197 +V( +tp747 +a(g184 +V\u000a +p748 +tp749 +a(g101 +VmatchSelector +p750 +tp751 +a(g338 +V:: +p752 +tp753 +a(g184 +V +tp754 +a(g243 +V#atWordBoundary +p755 +tp756 +a(g184 +V\u000a +tp757 +a(g197 +V) +tp758 +a(g184 +V\u000a\u000a +p759 +tp760 +a(g221 +V'matching' +p761 +tp762 +a(g184 +V\u000a +tp763 +a(g50 +VmatchAgainst: +p764 +tp765 +a(g184 +V +tp766 +a(g101 +VaMatcher +p767 +tp768 +a(g184 +V +tp769 +a(g338 +V= +tp770 +a(g184 +V +tp771 +a(g197 +V( +tp772 +a(g184 +V\u000a +p773 +tp774 +a(g26 +V"Match without consuming any input, if the matcher is\u000a in appropriate state." +p775 +tp776 +a(g184 +V\u000a\u000a +p777 +tp778 +a(g338 +V^ +tp779 +a(g197 +V( +tp780 +a(g101 +VaMatcher +p781 +tp782 +a(g184 +V +tp783 +a(g50 +Vperform: +p784 +tp785 +a(g184 +V +tp786 +a(g101 +VmatchSelector +p787 +tp788 +a(g197 +V) +tp789 +a(g184 +V\u000a +p790 +tp791 +a(g50 +Vand: +p792 +tp793 +a(g184 +V +tp794 +a(g197 +V[ +tp795 +a(g101 +Vnext +p796 +tp797 +a(g184 +V +tp798 +a(g50 +VmatchAgainst: +p799 +tp800 +a(g184 +V +tp801 +a(g101 +VaMatcher +p802 +tp803 +a(g197 +V] +tp804 +a(g184 +V\u000a +tp805 +a(g197 +V) +tp806 +a(g184 +V\u000a\u000a +p807 +tp808 +a(g197 +V) +tp809 +a(g184 +V\u000a\u000a +p810 +tp811 +a(g7 +Vclass +p812 +tp813 +a(g184 +V +tp814 +a(g134 +VCompilationError +p815 +tp816 +a(g184 +V +tp817 +a(g338 +V= +tp818 +a(g184 +V +tp819 +a(g101 +VRegexError +p820 +tp821 +a(g184 +V +tp822 +a(g197 +V( +tp823 +a(g26 +V"Regex compilation error" +p824 +tp825 +a(g197 +V) +tp826 +a(g184 +V\u000a +tp827 +a(g197 +V( +tp828 +a(g197 +V) +tp829 +a(g184 +V\u000a\u000a +p830 +tp831 +a(g7 +Vclass +p832 +tp833 +a(g184 +V +tp834 +a(g134 +VSyntaxError +p835 +tp836 +a(g184 +V +tp837 +a(g338 +V= +tp838 +a(g184 +V +tp839 +a(g101 +VRegexError +p840 +tp841 +a(g184 +V +tp842 +a(g197 +V( +tp843 +a(g26 +V"Regex syntax error" +p844 +tp845 +a(g197 +V) +tp846 +a(g184 +V\u000a +tp847 +a(g197 +V( +tp848 +a(g197 +V) +tp849 +a(g184 +V\u000a\u000a +p850 +tp851 +a(g7 +Vclass +p852 +tp853 +a(g184 +V +tp854 +a(g134 +VRxsContextCondition +p855 +tp856 +a(g184 +V +tp857 +a(g338 +V= +tp858 +a(g184 +V +tp859 +a(g101 +VRxsNode +p860 +tp861 +a(g184 +V +tp862 +a(g197 +V( +tp863 +a(g184 +V\u000a +tp864 +a(g26 +V"One of a few special nodes more often representing special state of the match rather than a predicate on a character. The ugly exception is the #any condition which *is* a predicate on a character.\u000a\u000aInstance variables:\u000a kind " +p865 +tp866 +a(g184 +V\u000a +tp867 +a(g338 +V| +tp868 +a(g184 +V\u000a +p869 +tp870 +a(g101 +Vkind +p871 +tp872 +a(g184 +V\u000a +tp873 +a(g338 +V| +tp874 +a(g184 +V\u000a +tp875 +a(g197 +V) +tp876 +a(g184 +V\u000a +tp877 +a(g197 +V( +tp878 +a(g221 +V'accessing' +p879 +tp880 +a(g184 +V\u000a +tp881 +a(g50 +VdispatchTo: +p882 +tp883 +a(g184 +V +tp884 +a(g101 +VaBuilder +p885 +tp886 +a(g184 +V +tp887 +a(g338 +V= +tp888 +a(g184 +V +tp889 +a(g197 +V( +tp890 +a(g184 +V\u000a\u000a +p891 +tp892 +a(g338 +V^ +tp893 +a(g101 +VaBuilder +p894 +tp895 +a(g184 +V +tp896 +a(g50 +Vperform: +p897 +tp898 +a(g184 +V +tp899 +a(g101 +Vkind +p900 +tp901 +a(g184 +V\u000a +tp902 +a(g197 +V) +tp903 +a(g184 +V\u000a\u000a +p904 +tp905 +a(g221 +V'testing' +p906 +tp907 +a(g184 +V\u000a +tp908 +a(g69 +VisNullable +p909 +tp910 +a(g184 +V +tp911 +a(g338 +V= +tp912 +a(g184 +V +tp913 +a(g197 +V( +tp914 +a(g184 +V\u000a\u000a +p915 +tp916 +a(g338 +V^ +tp917 +a(g243 +V#syntaxAny +p918 +tp919 +a(g184 +V +tp920 +a(g338 +V~ +tp921 +a(g338 +V~ +tp922 +a(g184 +V +tp923 +a(g101 +Vkind +p924 +tp925 +a(g184 +V\u000a +tp926 +a(g197 +V) +tp927 +a(g184 +V\u000a\u000a +p928 +tp929 +a(g221 +V'initialize-release' +p930 +tp931 +a(g184 +V\u000a +tp932 +a(g69 +VbeAny +p933 +tp934 +a(g184 +V +tp935 +a(g338 +V= +tp936 +a(g184 +V +tp937 +a(g197 +V( +tp938 +a(g184 +V\u000a +p939 +tp940 +a(g26 +V"Matches anything but a newline." +p941 +tp942 +a(g184 +V\u000a\u000a +p943 +tp944 +a(g101 +Vkind +p945 +tp946 +a(g338 +V:: +p947 +tp948 +a(g184 +V +tp949 +a(g243 +V#syntaxAny +p950 +tp951 +a(g184 +V\u000a +tp952 +a(g197 +V) +tp953 +a(g184 +V\u000a\u000a +p954 +tp955 +a(g69 +VbeBeginningOfLine +p956 +tp957 +a(g184 +V +tp958 +a(g338 +V= +tp959 +a(g184 +V +tp960 +a(g197 +V( +tp961 +a(g184 +V\u000a +p962 +tp963 +a(g26 +V"Matches empty string at the beginning of a line." +p964 +tp965 +a(g184 +V\u000a\u000a +p966 +tp967 +a(g101 +Vkind +p968 +tp969 +a(g338 +V:: +p970 +tp971 +a(g184 +V +tp972 +a(g243 +V#syntaxBeginningOfLine +p973 +tp974 +a(g184 +V\u000a +tp975 +a(g197 +V) +tp976 +a(g184 +V\u000a\u000a +p977 +tp978 +a(g69 +VbeBeginningOfWord +p979 +tp980 +a(g184 +V +tp981 +a(g338 +V= +tp982 +a(g184 +V +tp983 +a(g197 +V( +tp984 +a(g184 +V\u000a +p985 +tp986 +a(g26 +V"Matches empty string at the beginning of a word." +p987 +tp988 +a(g184 +V\u000a\u000a +p989 +tp990 +a(g101 +Vkind +p991 +tp992 +a(g338 +V:: +p993 +tp994 +a(g184 +V +tp995 +a(g243 +V#syntaxBeginningOfWord +p996 +tp997 +a(g184 +V\u000a +tp998 +a(g197 +V) +tp999 +a(g184 +V\u000a\u000a +p1000 +tp1001 +a(g69 +VbeEndOfLine +p1002 +tp1003 +a(g184 +V +tp1004 +a(g338 +V= +tp1005 +a(g184 +V +tp1006 +a(g197 +V( +tp1007 +a(g184 +V\u000a +p1008 +tp1009 +a(g26 +V"Matches empty string at the end of a line." +p1010 +tp1011 +a(g184 +V\u000a\u000a +p1012 +tp1013 +a(g101 +Vkind +p1014 +tp1015 +a(g338 +V:: +p1016 +tp1017 +a(g184 +V +tp1018 +a(g243 +V#syntaxEndOfLine +p1019 +tp1020 +a(g184 +V\u000a +tp1021 +a(g197 +V) +tp1022 +a(g184 +V\u000a\u000a +p1023 +tp1024 +a(g69 +VbeEndOfWord +p1025 +tp1026 +a(g184 +V +tp1027 +a(g338 +V= +tp1028 +a(g184 +V +tp1029 +a(g197 +V( +tp1030 +a(g184 +V\u000a +p1031 +tp1032 +a(g26 +V"Matches empty string at the end of a word." +p1033 +tp1034 +a(g184 +V\u000a\u000a +p1035 +tp1036 +a(g101 +Vkind +p1037 +tp1038 +a(g338 +V:: +p1039 +tp1040 +a(g184 +V +tp1041 +a(g243 +V#syntaxEndOfWord +p1042 +tp1043 +a(g184 +V\u000a +tp1044 +a(g197 +V) +tp1045 +a(g184 +V\u000a\u000a +p1046 +tp1047 +a(g69 +VbeNonWordBoundary +p1048 +tp1049 +a(g184 +V +tp1050 +a(g338 +V= +tp1051 +a(g184 +V +tp1052 +a(g197 +V( +tp1053 +a(g184 +V\u000a +p1054 +tp1055 +a(g26 +V"Analog of \u005cB." +p1056 +tp1057 +a(g184 +V\u000a\u000a +p1058 +tp1059 +a(g101 +Vkind +p1060 +tp1061 +a(g338 +V:: +p1062 +tp1063 +a(g184 +V +tp1064 +a(g243 +V#syntaxNonWordBoundary +p1065 +tp1066 +a(g184 +V\u000a +tp1067 +a(g197 +V) +tp1068 +a(g184 +V\u000a\u000a +p1069 +tp1070 +a(g69 +VbeWordBoundary +p1071 +tp1072 +a(g184 +V +tp1073 +a(g338 +V= +tp1074 +a(g184 +V +tp1075 +a(g197 +V( +tp1076 +a(g184 +V\u000a +p1077 +tp1078 +a(g26 +V"Analog of \u005cw (alphanumeric plus _)." +p1079 +tp1080 +a(g184 +V\u000a\u000a +p1081 +tp1082 +a(g101 +Vkind +p1083 +tp1084 +a(g338 +V:: +p1085 +tp1086 +a(g184 +V +tp1087 +a(g243 +V#syntaxWordBoundary +p1088 +tp1089 +a(g184 +V\u000a +tp1090 +a(g197 +V) +tp1091 +a(g184 +V\u000a\u000a +p1092 +tp1093 +a(g197 +V) +tp1094 +a(g184 +V\u000a\u000a +p1095 +tp1096 +a(g7 +Vclass +p1097 +tp1098 +a(g184 +V +tp1099 +a(g134 +VRxsBranch +p1100 +tp1101 +a(g184 +V +tp1102 +a(g50 +Vpiece: +p1103 +tp1104 +a(g184 +V +tp1105 +a(g101 +Vp +tp1106 +a(g184 +V +tp1107 +a(g50 +Vbranch: +p1108 +tp1109 +a(g184 +V +tp1110 +a(g69 +Vb +tp1111 +a(g184 +V +tp1112 +a(g338 +V= +tp1113 +a(g184 +V +tp1114 +a(g101 +VRxsNode +p1115 +tp1116 +a(g197 +V( +tp1117 +a(g184 +V\u000a +tp1118 +a(g26 +V"A Branch is a Piece followed by a Branch or an empty string.\u000a\u000aInstance variables:\u000a piece \u000a branch " +p1119 +tp1120 +a(g184 +V\u000a +tp1121 +a(g338 +V| +tp1122 +a(g184 +V\u000a +p1123 +tp1124 +a(g101 +Vpiece +p1125 +tp1126 +a(g338 +V:: +p1127 +tp1128 +a(g338 +V= +tp1129 +a(g184 +V +tp1130 +a(g101 +Vp +tp1131 +a(g197 +V. +tp1132 +a(g184 +V +tp1133 +a(g101 +Vbranch +p1134 +tp1135 +a(g338 +V:: +p1136 +tp1137 +a(g338 +V= +tp1138 +a(g184 +V +tp1139 +a(g101 +Vb +tp1140 +a(g197 +V. +tp1141 +a(g184 +V\u000a +tp1142 +a(g338 +V| +tp1143 +a(g184 +V\u000a +tp1144 +a(g197 +V) +tp1145 +a(g184 +V\u000a +tp1146 +a(g197 +V( +tp1147 +a(g221 +V'accessing' +p1148 +tp1149 +a(g184 +V\u000a +tp1150 +a(g50 +VdispatchTo: +p1151 +tp1152 +a(g184 +V +tp1153 +a(g101 +VaMatcher +p1154 +tp1155 +a(g184 +V +tp1156 +a(g338 +V= +tp1157 +a(g184 +V +tp1158 +a(g197 +V( +tp1159 +a(g184 +V\u000a +p1160 +tp1161 +a(g26 +V"Inform the matcher of the kind of the node, and it\u000a will do whatever it has to." +p1162 +tp1163 +a(g184 +V\u000a\u000a +p1164 +tp1165 +a(g338 +V^ +tp1166 +a(g101 +VaMatcher +p1167 +tp1168 +a(g184 +V +tp1169 +a(g50 +VsyntaxBranch: +p1170 +tp1171 +a(g184 +V +tp1172 +a(g101 +Vself +p1173 +tp1174 +a(g184 +V\u000a +tp1175 +a(g197 +V) +tp1176 +a(g184 +V\u000a\u000a +p1177 +tp1178 +a(g221 +V'optimization' +p1179 +tp1180 +a(g184 +V\u000a +tp1181 +a(g50 +VtryMergingInto: +p1182 +tp1183 +a(g184 +V +tp1184 +a(g101 +VaStream +p1185 +tp1186 +a(g184 +V +tp1187 +a(g338 +V= +tp1188 +a(g184 +V +tp1189 +a(g197 +V( +tp1190 +a(g184 +V\u000a +p1191 +tp1192 +a(g26 +V"Concatenation of a few simple characters can be optimized\u000a to be a plain substring match. Answer the node to resume\u000a syntax tree traversal at. Epsilon node used to terminate the branch\u000a will implement this to answer nil, thus indicating that the branch\u000a has ended." +p1193 +tp1194 +a(g184 +V\u000a\u000a +p1195 +tp1196 +a(g101 +Vpiece +p1197 +tp1198 +a(g184 +V +tp1199 +a(g101 +VisAtomic +p1200 +tp1201 +a(g184 +V +tp1202 +a(g50 +VifFalse: +p1203 +tp1204 +a(g184 +V +tp1205 +a(g197 +V[ +tp1206 +a(g338 +V^ +tp1207 +a(g13 +Vself +p1208 +tp1209 +a(g197 +V] +tp1210 +a(g197 +V. +tp1211 +a(g184 +V\u000a +p1212 +tp1213 +a(g101 +VaStream +p1214 +tp1215 +a(g184 +V +tp1216 +a(g50 +VnextPut: +p1217 +tp1218 +a(g184 +V +tp1219 +a(g101 +Vpiece +p1220 +tp1221 +a(g184 +V +tp1222 +a(g101 +Vcharacter +p1223 +tp1224 +a(g197 +V. +tp1225 +a(g184 +V\u000a +p1226 +tp1227 +a(g338 +V^ +tp1228 +a(g101 +Vbranch +p1229 +tp1230 +a(g184 +V +tp1231 +a(g101 +VisNil +p1232 +tp1233 +a(g184 +V\u000a +p1234 +tp1235 +a(g50 +VifTrue: +p1236 +tp1237 +a(g184 +V +tp1238 +a(g197 +V[ +tp1239 +a(g101 +Vbranch +p1240 +tp1241 +a(g197 +V] +tp1242 +a(g184 +V\u000a +p1243 +tp1244 +a(g50 +VifFalse: +p1245 +tp1246 +a(g184 +V +tp1247 +a(g197 +V[ +tp1248 +a(g101 +Vbranch +p1249 +tp1250 +a(g184 +V +tp1251 +a(g50 +VtryMergingInto: +p1252 +tp1253 +a(g184 +V +tp1254 +a(g101 +VaStream +p1255 +tp1256 +a(g197 +V] +tp1257 +a(g184 +V\u000a +tp1258 +a(g197 +V) +tp1259 +a(g184 +V\u000a\u000a +p1260 +tp1261 +a(g221 +V'testing' +p1262 +tp1263 +a(g184 +V\u000a +tp1264 +a(g69 +VisNullable +p1265 +tp1266 +a(g184 +V +tp1267 +a(g338 +V= +tp1268 +a(g184 +V +tp1269 +a(g197 +V( +tp1270 +a(g184 +V\u000a +p1271 +tp1272 +a(g338 +V^ +tp1273 +a(g101 +Vpiece +p1274 +tp1275 +a(g184 +V +tp1276 +a(g101 +VisNullable +p1277 +tp1278 +a(g184 +V +tp1279 +a(g50 +Vand: +p1280 +tp1281 +a(g184 +V +tp1282 +a(g197 +V[ +tp1283 +a(g101 +Vbranch +p1284 +tp1285 +a(g184 +V +tp1286 +a(g101 +VisNil +p1287 +tp1288 +a(g184 +V +tp1289 +a(g50 +Vor: +p1290 +tp1291 +a(g184 +V +tp1292 +a(g197 +V[ +tp1293 +a(g101 +Vbranch +p1294 +tp1295 +a(g184 +V +tp1296 +a(g101 +VisNullable +p1297 +tp1298 +a(g197 +V] +tp1299 +a(g197 +V] +tp1300 +a(g184 +V\u000a +tp1301 +a(g197 +V) +tp1302 +a(g184 +V\u000a\u000a +p1303 +tp1304 +a(g197 +V) +tp1305 +a(g184 +V\u000a\u000a +p1306 +tp1307 +a(g7 +Vclass +p1308 +tp1309 +a(g184 +V +tp1310 +a(g134 +VRxmMarker +p1311 +tp1312 +a(g184 +V +tp1313 +a(g338 +V= +tp1314 +a(g184 +V +tp1315 +a(g101 +VRxmLink +p1316 +tp1317 +a(g184 +V +tp1318 +a(g197 +V( +tp1319 +a(g184 +V\u000a +tp1320 +a(g26 +V"A marker is used to remember positions of match of certain points of a regular expression. The marker receives an identifying key from the Matcher and uses that key to report positions of successful matches to the Matcher.\u000a\u000aInstance variables:\u000a index Something that makes sense for the Matcher. Received from the latter during initalization and later passed to it to identify the receiver." +p1321 +tp1322 +a(g184 +V\u000a +tp1323 +a(g338 +V| +tp1324 +a(g184 +V\u000a +p1325 +tp1326 +a(g101 +Vindex +p1327 +tp1328 +a(g184 +V\u000a +tp1329 +a(g338 +V| +tp1330 +a(g184 +V\u000a +tp1331 +a(g197 +V) +tp1332 +a(g184 +V\u000a +tp1333 +a(g197 +V( +tp1334 +a(g221 +V'matching' +p1335 +tp1336 +a(g184 +V\u000a +tp1337 +a(g50 +VmatchAgainst: +p1338 +tp1339 +a(g184 +V +tp1340 +a(g101 +VaMatcher +p1341 +tp1342 +a(g184 +V +tp1343 +a(g338 +V= +tp1344 +a(g184 +V +tp1345 +a(g197 +V( +tp1346 +a(g184 +V\u000a +p1347 +tp1348 +a(g26 +V"If the rest of the link chain matches successfully, report the\u000a position of the stream *before* the match started to the matcher." +p1349 +tp1350 +a(g184 +V\u000a\u000a +p1351 +tp1352 +a(g338 +V| +tp1353 +a(g184 +V +tp1354 +a(g101 +VstartPosition +p1355 +tp1356 +a(g184 +V +tp1357 +a(g338 +V| +tp1358 +a(g184 +V\u000a +p1359 +tp1360 +a(g101 +VstartPosition +p1361 +tp1362 +a(g338 +V:: +p1363 +tp1364 +a(g184 +V +tp1365 +a(g101 +VaMatcher +p1366 +tp1367 +a(g184 +V +tp1368 +a(g101 +Vposition +p1369 +tp1370 +a(g197 +V. +tp1371 +a(g184 +V\u000a +p1372 +tp1373 +a(g197 +V( +tp1374 +a(g101 +Vnext +p1375 +tp1376 +a(g184 +V +tp1377 +a(g50 +VmatchAgainst: +p1378 +tp1379 +a(g184 +V +tp1380 +a(g101 +VaMatcher +p1381 +tp1382 +a(g197 +V) +tp1383 +a(g184 +V\u000a +p1384 +tp1385 +a(g50 +VifTrue: +p1386 +tp1387 +a(g184 +V\u000a +p1388 +tp1389 +a(g197 +V[ +tp1390 +a(g101 +VaMatcher +p1391 +tp1392 +a(g184 +V +tp1393 +a(g50 +VmarkerPositionAt: +p1394 +tp1395 +a(g184 +V +tp1396 +a(g101 +Vindex +p1397 +tp1398 +a(g184 +V +tp1399 +a(g50 +Vadd: +p1400 +tp1401 +a(g184 +V +tp1402 +a(g101 +VstartPosition +p1403 +tp1404 +a(g197 +V. +tp1405 +a(g184 +V\u000a +p1406 +tp1407 +a(g338 +V^ +tp1408 +a(g13 +Vtrue +p1409 +tp1410 +a(g197 +V] +tp1411 +a(g197 +V. +tp1412 +a(g184 +V\u000a +p1413 +tp1414 +a(g338 +V^ +tp1415 +a(g13 +Vfalse +p1416 +tp1417 +a(g184 +V\u000a +tp1418 +a(g197 +V) +tp1419 +a(g184 +V\u000a\u000a +p1420 +tp1421 +a(g197 +V) +tp1422 +a(g184 +V\u000a\u000a +p1423 +tp1424 +a(g7 +Vclass +p1425 +tp1426 +a(g184 +V +tp1427 +a(g134 +VRxMatcher +p1428 +tp1429 +a(g184 +V +tp1430 +a(g50 +Vfor: +p1431 +tp1432 +a(g184 +V +tp1433 +a(g101 +VsyntaxTreeRoot +p1434 +tp1435 +a(g184 +V +tp1436 +a(g50 +VignoreCase: +p1437 +tp1438 +a(g184 +V +tp1439 +a(g101 +VaBoolean +p1440 +tp1441 +a(g184 +V +p1442 +tp1443 +a(g338 +V= +tp1444 +a(g184 +V +tp1445 +a(g197 +V( +tp1446 +a(g184 +V\u000a +tp1447 +a(g26 +V"This is a recursive regex matcher. Not strikingly efficient, but simple. Also, keeps track of matched subexpressions. The life cycle goes as follows:\u000a\u000a1. Initialization. Accepts a syntax tree (presumably produced by RxParser) and compiles it into a matcher built of other classes in this category.\u000a\u000a2. Matching. Accepts a stream or a string and returns a boolean indicating whether the whole stream or its prefix -- depending on the message sent -- matches the regex.\u000a\u000a3. Subexpression query. After a successful match, and before any other match, the matcher may be queried about the range of specific stream (string) positions that matched to certain parenthesized subexpressions of the original expression.\u000a\u000aAny number of queries may follow a successful match, and any number or matches may follow a successful initialization.\u000a\u000aNote that `matcher' is actually a sort of a misnomer. The actual matcher is a web of Rxm* instances built by RxMatcher during initialization. RxMatcher is just the interface facade of this network. It is also a builder of it, and also provides a stream-like protocol to easily access the stream being matched.\u000a\u000aSlots:\u000a matcher The entry point into the actual matcher.\u000a stream The stream currently being matched against.\u000a markerPositions Positions of markers' matches.\u000a markerCount Number of markers.\u000a lastResult Whether the latest match attempt succeeded or not.\u000a lastChar character last seen in the matcher stream" +p1448 +tp1449 +a(g184 +V\u000a +tp1450 +a(g338 +V| +tp1451 +a(g184 +V\u000a +p1452 +tp1453 +a(g101 +Vmatcher +p1454 +tp1455 +a(g184 +V +tp1456 +a(g101 +VignoreCase +p1457 +tp1458 +a(g184 +V +tp1459 +a(g101 +VstartOptimizer +p1460 +tp1461 +a(g184 +V +tp1462 +a(g101 +Vstream +p1463 +tp1464 +a(g184 +V +tp1465 +a(g101 +VmarkerPositions +p1466 +tp1467 +a(g184 +V +tp1468 +a(g101 +VmarkerCount +p1469 +tp1470 +a(g184 +V +tp1471 +a(g101 +VlastResult +p1472 +tp1473 +a(g184 +V +tp1474 +a(g101 +VlastChar +p1475 +tp1476 +a(g184 +V\u000a +tp1477 +a(g338 +V| +tp1478 +a(g184 +V\u000a\u000a +p1479 +tp1480 +a(g26 +V"Compile thyself for the regex with the specified syntax tree.\u000a See comment and `building' protocol in this class and \u000a #dispatchTo: methods in syntax tree components for details \u000a on double-dispatch building. \u000a The argument is supposedly a RxsRegex." +p1481 +tp1482 +a(g184 +V\u000a\u000a +p1483 +tp1484 +a(g101 +VignoreCase +p1485 +tp1486 +a(g338 +V:: +p1487 +tp1488 +a(g184 +V +tp1489 +a(g101 +VaBoolean +p1490 +tp1491 +a(g197 +V. +tp1492 +a(g184 +V\u000a +p1493 +tp1494 +a(g13 +Vself +p1495 +tp1496 +a(g184 +V +tp1497 +a(g50 +VbuildFrom: +p1498 +tp1499 +a(g184 +V +tp1500 +a(g101 +VsyntaxTreeRoot +p1501 +tp1502 +a(g197 +V. +tp1503 +a(g184 +V\u000a +p1504 +tp1505 +a(g101 +VstartOptimizer +p1506 +tp1507 +a(g338 +V:: +p1508 +tp1509 +a(g184 +V +tp1510 +a(g101 +VRxMatchOptimizer +p1511 +tp1512 +a(g184 +V +tp1513 +a(g50 +Vfor: +p1514 +tp1515 +a(g184 +V +tp1516 +a(g101 +VsyntaxTreeRoot +p1517 +tp1518 +a(g184 +V +tp1519 +a(g50 +VignoreCase: +p1520 +tp1521 +a(g184 +V +tp1522 +a(g101 +VaBoolean +p1523 +tp1524 +a(g197 +V. +tp1525 +a(g184 +V \u000a\u000a +p1526 +tp1527 +a(g197 +V) +tp1528 +a(g184 +V\u000a +tp1529 +a(g197 +V( +tp1530 +a(g221 +V'private' +p1531 +tp1532 +a(g184 +V\u000a +tp1533 +a(g69 +VallocateMarker +p1534 +tp1535 +a(g184 +V +tp1536 +a(g338 +V= +tp1537 +a(g184 +V +tp1538 +a(g197 +V( +tp1539 +a(g184 +V\u000a +p1540 +tp1541 +a(g26 +V"Answer an integer to use as an index of the next marker." +p1542 +tp1543 +a(g184 +V\u000a\u000a +p1544 +tp1545 +a(g101 +VmarkerCount +p1546 +tp1547 +a(g338 +V:: +p1548 +tp1549 +a(g184 +V +tp1550 +a(g101 +VmarkerCount +p1551 +tp1552 +a(g184 +V +tp1553 +a(g338 +V+ +tp1554 +a(g184 +V +tp1555 +a(g305 +V1. +p1556 +tp1557 +a(g184 +V\u000a +p1558 +tp1559 +a(g338 +V^ +tp1560 +a(g101 +VmarkerCount +p1561 +tp1562 +a(g184 +V\u000a +tp1563 +a(g197 +V) +tp1564 +a(g184 +V\u000a\u000a +p1565 +tp1566 +a(g50 +VhookBranchOf: +p1567 +tp1568 +a(g184 +V +tp1569 +a(g101 +VregexNode +p1570 +tp1571 +a(g184 +V +tp1572 +a(g50 +Vonto: +p1573 +tp1574 +a(g184 +V +tp1575 +a(g101 +VendMarker +p1576 +tp1577 +a(g184 +V +tp1578 +a(g338 +V= +tp1579 +a(g184 +V +tp1580 +a(g197 +V( +tp1581 +a(g184 +V\u000a +p1582 +tp1583 +a(g26 +V"Private - Recurse down the chain of regexes starting at\u000a regexNode, compiling their branches and hooking their tails \u000a to the endMarker node." +p1584 +tp1585 +a(g184 +V\u000a\u000a +p1586 +tp1587 +a(g338 +V| +tp1588 +a(g184 +V +tp1589 +a(g101 +Vrest +p1590 +tp1591 +a(g184 +V +tp1592 +a(g338 +V| +tp1593 +a(g184 +V\u000a +p1594 +tp1595 +a(g101 +Vrest +p1596 +tp1597 +a(g338 +V:: +p1598 +tp1599 +a(g184 +V +tp1600 +a(g101 +VregexNode +p1601 +tp1602 +a(g184 +V +tp1603 +a(g101 +Vregex +p1604 +tp1605 +a(g184 +V +tp1606 +a(g101 +VisNil +p1607 +tp1608 +a(g184 +V\u000a +p1609 +tp1610 +a(g50 +VifTrue: +p1611 +tp1612 +a(g184 +V +tp1613 +a(g197 +V[ +tp1614 +a(g13 +Vnil +p1615 +tp1616 +a(g197 +V] +tp1617 +a(g184 +V\u000a +p1618 +tp1619 +a(g50 +VifFalse: +p1620 +tp1621 +a(g184 +V +tp1622 +a(g197 +V[ +tp1623 +a(g13 +Vself +p1624 +tp1625 +a(g184 +V +tp1626 +a(g50 +VhookBranchOf: +p1627 +tp1628 +a(g184 +V +tp1629 +a(g101 +VregexNode +p1630 +tp1631 +a(g184 +V +tp1632 +a(g101 +Vregex +p1633 +tp1634 +a(g184 +V +tp1635 +a(g50 +Vonto: +p1636 +tp1637 +a(g184 +V +tp1638 +a(g101 +VendMarker +p1639 +tp1640 +a(g197 +V] +tp1641 +a(g197 +V. +tp1642 +a(g184 +V\u000a +p1643 +tp1644 +a(g338 +V^ +tp1645 +a(g101 +VRxmBranch +p1646 +tp1647 +a(g184 +V +tp1648 +a(g101 +Vnew +p1649 +tp1650 +a(g184 +V\u000a +p1651 +tp1652 +a(g50 +Vnext: +p1653 +tp1654 +a(g184 +V +tp1655 +a(g197 +V( +tp1656 +a(g197 +V( +tp1657 +a(g101 +VregexNode +p1658 +tp1659 +a(g184 +V +tp1660 +a(g101 +Vbranch +p1661 +tp1662 +a(g184 +V +tp1663 +a(g50 +VdispatchTo: +p1664 +tp1665 +a(g184 +V +tp1666 +a(g101 +Vself +p1667 +tp1668 +a(g197 +V) +tp1669 +a(g184 +V\u000a +p1670 +tp1671 +a(g50 +VpointTailTo: +p1672 +tp1673 +a(g184 +V +tp1674 +a(g101 +VendMarker +p1675 +tp1676 +a(g197 +V; +tp1677 +a(g184 +V \u000a +p1678 +tp1679 +a(g101 +Vyourself +p1680 +tp1681 +a(g197 +V) +tp1682 +a(g197 +V; +tp1683 +a(g184 +V\u000a +p1684 +tp1685 +a(g50 +Valternative: +p1686 +tp1687 +a(g184 +V +tp1688 +a(g101 +Vrest +p1689 +tp1690 +a(g197 +V; +tp1691 +a(g184 +V\u000a +p1692 +tp1693 +a(g101 +Vyourself +p1694 +tp1695 +a(g184 +V\u000a +tp1696 +a(g197 +V) +tp1697 +a(g184 +V\u000a\u000a +p1698 +tp1699 +a(g50 +VisWordChar: +p1700 +tp1701 +a(g184 +V +tp1702 +a(g101 +VaCharacterOrNil +p1703 +tp1704 +a(g184 +V +tp1705 +a(g338 +V= +tp1706 +a(g184 +V +tp1707 +a(g197 +V( +tp1708 +a(g184 +V\u000a +p1709 +tp1710 +a(g26 +V"Answer whether the argument is a word constituent character:\u000a alphanumeric or _." +p1711 +tp1712 +a(g184 +V\u000a\u000a +p1713 +tp1714 +a(g338 +V^ +tp1715 +a(g101 +VaCharacterOrNil +p1716 +tp1717 +a(g184 +V +tp1718 +a(g338 +V~ +tp1719 +a(g338 +V~ +tp1720 +a(g184 +V +tp1721 +a(g13 +Vnil +p1722 +tp1723 +a(g184 +V\u000a +p1724 +tp1725 +a(g50 +Vand: +p1726 +tp1727 +a(g184 +V +tp1728 +a(g197 +V[ +tp1729 +a(g101 +VaCharacterOrNil +p1730 +tp1731 +a(g184 +V +tp1732 +a(g101 +VisAlphaNumeric +p1733 +tp1734 +a(g197 +V] +tp1735 +a(g184 +V\u000a +tp1736 +a(g197 +V) +tp1737 +a(g184 +V\u000a\u000a +p1738 +tp1739 +a(g50 +VmakeOptional: +p1740 +tp1741 +a(g184 +V +tp1742 +a(g101 +VaMatcher +p1743 +tp1744 +a(g184 +V +tp1745 +a(g338 +V= +tp1746 +a(g184 +V +tp1747 +a(g197 +V( +tp1748 +a(g184 +V\u000a +p1749 +tp1750 +a(g26 +V"Private - Wrap this matcher so that the result would match 0 or 1\u000a occurrences of the matcher." +p1751 +tp1752 +a(g184 +V\u000a\u000a +p1753 +tp1754 +a(g338 +V| +tp1755 +a(g184 +V +tp1756 +a(g101 +Vdummy +p1757 +tp1758 +a(g184 +V +tp1759 +a(g101 +Vbranch +p1760 +tp1761 +a(g184 +V +tp1762 +a(g338 +V| +tp1763 +a(g184 +V\u000a +p1764 +tp1765 +a(g101 +Vdummy +p1766 +tp1767 +a(g338 +V:: +p1768 +tp1769 +a(g184 +V +tp1770 +a(g101 +VRxmLink +p1771 +tp1772 +a(g184 +V +tp1773 +a(g101 +Vnew +p1774 +tp1775 +a(g197 +V. +tp1776 +a(g184 +V\u000a +p1777 +tp1778 +a(g101 +Vbranch +p1779 +tp1780 +a(g338 +V:: +p1781 +tp1782 +a(g184 +V +tp1783 +a(g197 +V( +tp1784 +a(g101 +VRxmBranch +p1785 +tp1786 +a(g184 +V +tp1787 +a(g101 +Vnew +p1788 +tp1789 +a(g184 +V +tp1790 +a(g101 +VbeLoopback +p1791 +tp1792 +a(g197 +V) +tp1793 +a(g184 +V\u000a +p1794 +tp1795 +a(g50 +Vnext: +p1796 +tp1797 +a(g184 +V +tp1798 +a(g101 +VaMatcher +p1799 +tp1800 +a(g197 +V; +tp1801 +a(g184 +V\u000a +p1802 +tp1803 +a(g50 +Valternative: +p1804 +tp1805 +a(g184 +V +tp1806 +a(g101 +Vdummy +p1807 +tp1808 +a(g197 +V. +tp1809 +a(g184 +V\u000a +p1810 +tp1811 +a(g101 +VaMatcher +p1812 +tp1813 +a(g184 +V +tp1814 +a(g50 +VpointTailTo: +p1815 +tp1816 +a(g184 +V +tp1817 +a(g101 +Vdummy +p1818 +tp1819 +a(g197 +V. +tp1820 +a(g184 +V\u000a +p1821 +tp1822 +a(g338 +V^ +tp1823 +a(g101 +Vbranch +p1824 +tp1825 +a(g184 +V\u000a +tp1826 +a(g197 +V) +tp1827 +a(g184 +V\u000a\u000a +p1828 +tp1829 +a(g50 +VmakePlus: +p1830 +tp1831 +a(g184 +V +tp1832 +a(g101 +VaMatcher +p1833 +tp1834 +a(g184 +V +tp1835 +a(g338 +V= +tp1836 +a(g184 +V +tp1837 +a(g197 +V( +tp1838 +a(g184 +V\u000a +p1839 +tp1840 +a(g26 +V"Private - Wrap this matcher so that the result would match 1 and more\u000a occurrences of the matcher." +p1841 +tp1842 +a(g184 +V\u000a\u000a +p1843 +tp1844 +a(g338 +V| +tp1845 +a(g184 +V +tp1846 +a(g101 +Vloopback +p1847 +tp1848 +a(g184 +V +tp1849 +a(g338 +V| +tp1850 +a(g184 +V\u000a +p1851 +tp1852 +a(g101 +Vloopback +p1853 +tp1854 +a(g338 +V:: +p1855 +tp1856 +a(g184 +V +tp1857 +a(g197 +V( +tp1858 +a(g101 +VRxmBranch +p1859 +tp1860 +a(g184 +V +tp1861 +a(g101 +Vnew +p1862 +tp1863 +a(g184 +V +tp1864 +a(g101 +VbeLoopback +p1865 +tp1866 +a(g197 +V) +tp1867 +a(g184 +V\u000a +p1868 +tp1869 +a(g50 +Vnext: +p1870 +tp1871 +a(g184 +V +tp1872 +a(g101 +VaMatcher +p1873 +tp1874 +a(g197 +V. +tp1875 +a(g184 +V\u000a +p1876 +tp1877 +a(g101 +VaMatcher +p1878 +tp1879 +a(g184 +V +tp1880 +a(g50 +VpointTailTo: +p1881 +tp1882 +a(g184 +V +tp1883 +a(g101 +Vloopback +p1884 +tp1885 +a(g197 +V. +tp1886 +a(g184 +V\u000a +p1887 +tp1888 +a(g338 +V^ +tp1889 +a(g101 +VaMatcher +p1890 +tp1891 +a(g184 +V\u000a +tp1892 +a(g197 +V) +tp1893 +a(g184 +V\u000a\u000a +p1894 +tp1895 +a(g50 +VmakeStar: +p1896 +tp1897 +a(g184 +V +tp1898 +a(g101 +VaMatcher +p1899 +tp1900 +a(g184 +V +tp1901 +a(g338 +V= +tp1902 +a(g184 +V +tp1903 +a(g197 +V( +tp1904 +a(g184 +V\u000a +p1905 +tp1906 +a(g26 +V"Private - Wrap this matcher so that the result would match 0 and more\u000a occurrences of the matcher." +p1907 +tp1908 +a(g184 +V\u000a\u000a +p1909 +tp1910 +a(g338 +V| +tp1911 +a(g184 +V +tp1912 +a(g101 +Vdummy +p1913 +tp1914 +a(g184 +V +tp1915 +a(g101 +Vdetour +p1916 +tp1917 +a(g184 +V +tp1918 +a(g101 +Vloopback +p1919 +tp1920 +a(g184 +V +tp1921 +a(g338 +V| +tp1922 +a(g184 +V\u000a +p1923 +tp1924 +a(g101 +Vdummy +p1925 +tp1926 +a(g338 +V:: +p1927 +tp1928 +a(g184 +V +tp1929 +a(g101 +VRxmLink +p1930 +tp1931 +a(g184 +V +tp1932 +a(g101 +Vnew +p1933 +tp1934 +a(g197 +V. +tp1935 +a(g184 +V\u000a +p1936 +tp1937 +a(g101 +Vdetour +p1938 +tp1939 +a(g338 +V:: +p1940 +tp1941 +a(g184 +V +tp1942 +a(g101 +VRxmBranch +p1943 +tp1944 +a(g184 +V +tp1945 +a(g101 +Vnew +p1946 +tp1947 +a(g184 +V\u000a +p1948 +tp1949 +a(g50 +Vnext: +p1950 +tp1951 +a(g184 +V +tp1952 +a(g101 +VaMatcher +p1953 +tp1954 +a(g197 +V; +tp1955 +a(g184 +V\u000a +p1956 +tp1957 +a(g50 +Valternative: +p1958 +tp1959 +a(g184 +V +tp1960 +a(g101 +Vdummy +p1961 +tp1962 +a(g197 +V. +tp1963 +a(g184 +V\u000a +p1964 +tp1965 +a(g101 +Vloopback +p1966 +tp1967 +a(g338 +V:: +p1968 +tp1969 +a(g184 +V +tp1970 +a(g197 +V( +tp1971 +a(g101 +VRxmBranch +p1972 +tp1973 +a(g184 +V +tp1974 +a(g101 +Vnew +p1975 +tp1976 +a(g184 +V +tp1977 +a(g101 +VbeLoopback +p1978 +tp1979 +a(g197 +V) +tp1980 +a(g184 +V\u000a +p1981 +tp1982 +a(g50 +Vnext: +p1983 +tp1984 +a(g184 +V +tp1985 +a(g101 +VaMatcher +p1986 +tp1987 +a(g197 +V; +tp1988 +a(g184 +V\u000a +p1989 +tp1990 +a(g50 +Valternative: +p1991 +tp1992 +a(g184 +V +tp1993 +a(g101 +Vdummy +p1994 +tp1995 +a(g197 +V. +tp1996 +a(g184 +V\u000a +p1997 +tp1998 +a(g101 +VaMatcher +p1999 +tp2000 +a(g184 +V +tp2001 +a(g50 +VpointTailTo: +p2002 +tp2003 +a(g184 +V +tp2004 +a(g101 +Vloopback +p2005 +tp2006 +a(g197 +V. +tp2007 +a(g184 +V\u000a +p2008 +tp2009 +a(g338 +V^ +tp2010 +a(g101 +Vdetour +p2011 +tp2012 +a(g184 +V\u000a +tp2013 +a(g197 +V) +tp2014 +a(g184 +V\u000a\u000a +p2015 +tp2016 +a(g50 +VproceedSearchingStream: +p2017 +tp2018 +a(g184 +V +tp2019 +a(g101 +VaStream +p2020 +tp2021 +a(g184 +V +tp2022 +a(g338 +V= +tp2023 +a(g184 +V +tp2024 +a(g197 +V( +tp2025 +a(g184 +V\u000a\u000a +p2026 +tp2027 +a(g338 +V| +tp2028 +a(g184 +V +tp2029 +a(g101 +Vposition +p2030 +tp2031 +a(g184 +V +tp2032 +a(g338 +V| +tp2033 +a(g184 +V\u000a +p2034 +tp2035 +a(g101 +Vposition +p2036 +tp2037 +a(g338 +V:: +p2038 +tp2039 +a(g184 +V +tp2040 +a(g101 +VaStream +p2041 +tp2042 +a(g184 +V +tp2043 +a(g101 +Vposition +p2044 +tp2045 +a(g197 +V. +tp2046 +a(g184 +V\u000a +p2047 +tp2048 +a(g197 +V[ +tp2049 +a(g101 +VaStream +p2050 +tp2051 +a(g184 +V +tp2052 +a(g101 +VatEnd +p2053 +tp2054 +a(g197 +V] +tp2055 +a(g184 +V +tp2056 +a(g50 +VwhileFalse: +p2057 +tp2058 +a(g184 +V\u000a +p2059 +tp2060 +a(g197 +V[ +tp2061 +a(g13 +Vself +p2062 +tp2063 +a(g184 +V +tp2064 +a(g101 +VtryMatch +p2065 +tp2066 +a(g184 +V +tp2067 +a(g50 +VifTrue: +p2068 +tp2069 +a(g184 +V +tp2070 +a(g197 +V[ +tp2071 +a(g338 +V^ +tp2072 +a(g13 +Vtrue +p2073 +tp2074 +a(g197 +V] +tp2075 +a(g197 +V. +tp2076 +a(g184 +V\u000a +p2077 +tp2078 +a(g101 +VaStream +p2079 +tp2080 +a(g184 +V +tp2081 +a(g50 +Vposition: +p2082 +tp2083 +a(g184 +V +tp2084 +a(g101 +Vposition +p2085 +tp2086 +a(g197 +V. +tp2087 +a(g184 +V\u000a +p2088 +tp2089 +a(g101 +VlastChar +p2090 +tp2091 +a(g338 +V:: +p2092 +tp2093 +a(g184 +V +tp2094 +a(g101 +VaStream +p2095 +tp2096 +a(g184 +V +tp2097 +a(g101 +Vnext +p2098 +tp2099 +a(g197 +V. +tp2100 +a(g184 +V\u000a +p2101 +tp2102 +a(g101 +Vposition +p2103 +tp2104 +a(g338 +V:: +p2105 +tp2106 +a(g184 +V +tp2107 +a(g101 +VaStream +p2108 +tp2109 +a(g184 +V +tp2110 +a(g101 +Vposition +p2111 +tp2112 +a(g197 +V] +tp2113 +a(g197 +V. +tp2114 +a(g184 +V\u000a +p2115 +tp2116 +a(g26 +V"Try match at the very stream end too!" +p2117 +tp2118 +a(g184 +V\u000a +p2119 +tp2120 +a(g13 +Vself +p2121 +tp2122 +a(g184 +V +tp2123 +a(g101 +VtryMatch +p2124 +tp2125 +a(g184 +V +tp2126 +a(g50 +VifTrue: +p2127 +tp2128 +a(g184 +V +tp2129 +a(g197 +V[ +tp2130 +a(g338 +V^ +tp2131 +a(g13 +Vtrue +p2132 +tp2133 +a(g197 +V] +tp2134 +a(g197 +V. +tp2135 +a(g184 +V \u000a +p2136 +tp2137 +a(g338 +V^ +tp2138 +a(g13 +Vfalse +p2139 +tp2140 +a(g184 +V\u000a +tp2141 +a(g197 +V) +tp2142 +a(g184 +V\u000a\u000a +p2143 +tp2144 +a(g69 +VtryMatch +p2145 +tp2146 +a(g184 +V +tp2147 +a(g338 +V= +tp2148 +a(g184 +V +tp2149 +a(g197 +V( +tp2150 +a(g184 +V\u000a +p2151 +tp2152 +a(g26 +V"Match thyself against the current stream." +p2153 +tp2154 +a(g184 +V\u000a\u000a +p2155 +tp2156 +a(g101 +VmarkerPositions +p2157 +tp2158 +a(g338 +V:: +p2159 +tp2160 +a(g184 +V +tp2161 +a(g101 +VArray +p2162 +tp2163 +a(g184 +V +tp2164 +a(g50 +Vnew: +p2165 +tp2166 +a(g184 +V +tp2167 +a(g101 +VmarkerCount +p2168 +tp2169 +a(g197 +V. +tp2170 +a(g184 +V\u000a +p2171 +tp2172 +a(g313 +V1 +tp2173 +a(g184 +V +tp2174 +a(g50 +Vto: +p2175 +tp2176 +a(g184 +V +tp2177 +a(g101 +VmarkerCount +p2178 +tp2179 +a(g184 +V +tp2180 +a(g50 +Vdo: +p2181 +tp2182 +a(g184 +V +tp2183 +a(g197 +V[ +tp2184 +a(g101 +V:i +p2185 +tp2186 +a(g184 +V +tp2187 +a(g338 +V| +tp2188 +a(g184 +V +tp2189 +a(g101 +VmarkerPositions +p2190 +tp2191 +a(g184 +V +tp2192 +a(g50 +Vat: +p2193 +tp2194 +a(g184 +V +tp2195 +a(g101 +Vi +tp2196 +a(g184 +V +tp2197 +a(g50 +Vput: +p2198 +tp2199 +a(g184 +V +tp2200 +a(g101 +VOrderedCollection +p2201 +tp2202 +a(g184 +V +tp2203 +a(g101 +Vnew +p2204 +tp2205 +a(g197 +V] +tp2206 +a(g197 +V. +tp2207 +a(g184 +V\u000a +p2208 +tp2209 +a(g69 +VstartOptimizer +p2210 +tp2211 +a(g184 +V +tp2212 +a(g338 +V= +tp2213 +a(g338 +V= +tp2214 +a(g184 +V +tp2215 +a(g13 +Vnil +p2216 +tp2217 +a(g184 +V\u000a +p2218 +tp2219 +a(g50 +VifTrue: +p2220 +tp2221 +a(g184 +V +tp2222 +a(g197 +V[ +tp2223 +a(g101 +VlastResult +p2224 +tp2225 +a(g338 +V:: +p2226 +tp2227 +a(g184 +V +tp2228 +a(g101 +Vmatcher +p2229 +tp2230 +a(g184 +V +tp2231 +a(g50 +VmatchAgainst: +p2232 +tp2233 +a(g184 +V +tp2234 +a(g101 +Vself +p2235 +tp2236 +a(g197 +V] +tp2237 +a(g184 +V\u000a +p2238 +tp2239 +a(g50 +VifFalse: +p2240 +tp2241 +a(g184 +V +tp2242 +a(g197 +V[ +tp2243 +a(g101 +VlastResult +p2244 +tp2245 +a(g338 +V:: +p2246 +tp2247 +a(g184 +V +tp2248 +a(g197 +V( +tp2249 +a(g101 +VstartOptimizer +p2250 +tp2251 +a(g184 +V +tp2252 +a(g50 +VcanStartMatch: +p2253 +tp2254 +a(g184 +V +tp2255 +a(g101 +Vstream +p2256 +tp2257 +a(g184 +V +tp2258 +a(g101 +Vpeek +p2259 +tp2260 +a(g184 +V +tp2261 +a(g50 +Vin: +p2262 +tp2263 +a(g184 +V +tp2264 +a(g101 +Vself +p2265 +tp2266 +a(g197 +V) +tp2267 +a(g184 +V\u000a +p2268 +tp2269 +a(g50 +Vand: +p2270 +tp2271 +a(g184 +V +tp2272 +a(g197 +V[ +tp2273 +a(g101 +Vmatcher +p2274 +tp2275 +a(g184 +V +tp2276 +a(g50 +VmatchAgainst: +p2277 +tp2278 +a(g184 +V +tp2279 +a(g101 +Vself +p2280 +tp2281 +a(g197 +V] +tp2282 +a(g197 +V] +tp2283 +a(g197 +V. +tp2284 +a(g184 +V\u000a +p2285 +tp2286 +a(g338 +V^ +tp2287 +a(g101 +VlastResult +p2288 +tp2289 +a(g184 +V\u000a +tp2290 +a(g197 +V) +tp2291 +a(g184 +V\u000a\u000a +p2292 +tp2293 +a(g221 +V'testing' +p2294 +tp2295 +a(g184 +V\u000a +tp2296 +a(g69 +VatBeginningOfLine +p2297 +tp2298 +a(g184 +V +tp2299 +a(g338 +V= +tp2300 +a(g184 +V +tp2301 +a(g197 +V( +tp2302 +a(g184 +V\u000a +p2303 +tp2304 +a(g338 +V^ +tp2305 +a(g13 +Vself +p2306 +tp2307 +a(g184 +V +tp2308 +a(g69 +Vposition +p2309 +tp2310 +a(g184 +V +tp2311 +a(g338 +V= +tp2312 +a(g184 +V +tp2313 +a(g313 +V0 +tp2314 +a(g184 +V +tp2315 +a(g50 +Vor: +p2316 +tp2317 +a(g184 +V +tp2318 +a(g197 +V[ +tp2319 +a(g69 +VlastChar +p2320 +tp2321 +a(g184 +V +tp2322 +a(g338 +V= +tp2323 +a(g184 +V +tp2324 +a(g101 +VCr +p2325 +tp2326 +a(g197 +V] +tp2327 +a(g184 +V\u000a +tp2328 +a(g197 +V) +tp2329 +a(g184 +V\u000a\u000a +p2330 +tp2331 +a(g69 +VatBeginningOfWord +p2332 +tp2333 +a(g184 +V +tp2334 +a(g338 +V= +tp2335 +a(g184 +V +tp2336 +a(g197 +V( +tp2337 +a(g184 +V\u000a +p2338 +tp2339 +a(g338 +V^ +tp2340 +a(g197 +V( +tp2341 +a(g13 +Vself +p2342 +tp2343 +a(g184 +V +tp2344 +a(g50 +VisWordChar: +p2345 +tp2346 +a(g184 +V +tp2347 +a(g101 +VlastChar +p2348 +tp2349 +a(g197 +V) +tp2350 +a(g184 +V +tp2351 +a(g101 +Vnot +p2352 +tp2353 +a(g184 +V\u000a +p2354 +tp2355 +a(g50 +Vand: +p2356 +tp2357 +a(g184 +V +tp2358 +a(g197 +V[ +tp2359 +a(g13 +Vself +p2360 +tp2361 +a(g184 +V +tp2362 +a(g50 +VisWordChar: +p2363 +tp2364 +a(g184 +V +tp2365 +a(g101 +Vstream +p2366 +tp2367 +a(g184 +V +tp2368 +a(g101 +Vpeek +p2369 +tp2370 +a(g197 +V] +tp2371 +a(g184 +V\u000a +tp2372 +a(g197 +V) +tp2373 +a(g184 +V\u000a\u000a +p2374 +tp2375 +a(g69 +VatEndOfLine +p2376 +tp2377 +a(g184 +V +tp2378 +a(g338 +V= +tp2379 +a(g184 +V +tp2380 +a(g197 +V( +tp2381 +a(g184 +V\u000a +p2382 +tp2383 +a(g338 +V^ +tp2384 +a(g13 +Vself +p2385 +tp2386 +a(g184 +V +tp2387 +a(g101 +VatEnd +p2388 +tp2389 +a(g184 +V +tp2390 +a(g50 +Vor: +p2391 +tp2392 +a(g184 +V +tp2393 +a(g197 +V[ +tp2394 +a(g101 +Vstream +p2395 +tp2396 +a(g184 +V +tp2397 +a(g69 +Vpeek +p2398 +tp2399 +a(g184 +V +tp2400 +a(g338 +V= +tp2401 +a(g184 +V +tp2402 +a(g101 +VCr +p2403 +tp2404 +a(g197 +V] +tp2405 +a(g184 +V\u000a +tp2406 +a(g197 +V) +tp2407 +a(g184 +V\u000a\u000a +p2408 +tp2409 +a(g69 +VatEndOfWord +p2410 +tp2411 +a(g184 +V +tp2412 +a(g338 +V= +tp2413 +a(g184 +V +tp2414 +a(g197 +V( +tp2415 +a(g184 +V\u000a +p2416 +tp2417 +a(g338 +V^ +tp2418 +a(g197 +V( +tp2419 +a(g13 +Vself +p2420 +tp2421 +a(g184 +V +tp2422 +a(g50 +VisWordChar: +p2423 +tp2424 +a(g184 +V +tp2425 +a(g101 +VlastChar +p2426 +tp2427 +a(g197 +V) +tp2428 +a(g184 +V\u000a +p2429 +tp2430 +a(g50 +Vand: +p2431 +tp2432 +a(g184 +V +tp2433 +a(g197 +V[ +tp2434 +a(g197 +V( +tp2435 +a(g13 +Vself +p2436 +tp2437 +a(g184 +V +tp2438 +a(g50 +VisWordChar: +p2439 +tp2440 +a(g184 +V +tp2441 +a(g101 +Vstream +p2442 +tp2443 +a(g184 +V +tp2444 +a(g101 +Vpeek +p2445 +tp2446 +a(g197 +V) +tp2447 +a(g184 +V +tp2448 +a(g101 +Vnot +p2449 +tp2450 +a(g197 +V] +tp2451 +a(g184 +V\u000a +tp2452 +a(g197 +V) +tp2453 +a(g184 +V\u000a\u000a +p2454 +tp2455 +a(g69 +VatWordBoundary +p2456 +tp2457 +a(g184 +V +tp2458 +a(g338 +V= +tp2459 +a(g184 +V +tp2460 +a(g197 +V( +tp2461 +a(g184 +V\u000a +p2462 +tp2463 +a(g338 +V^ +tp2464 +a(g197 +V( +tp2465 +a(g13 +Vself +p2466 +tp2467 +a(g184 +V +tp2468 +a(g50 +VisWordChar: +p2469 +tp2470 +a(g184 +V +tp2471 +a(g101 +VlastChar +p2472 +tp2473 +a(g197 +V) +tp2474 +a(g184 +V\u000a +p2475 +tp2476 +a(g50 +Vxor: +p2477 +tp2478 +a(g184 +V +tp2479 +a(g197 +V( +tp2480 +a(g13 +Vself +p2481 +tp2482 +a(g184 +V +tp2483 +a(g50 +VisWordChar: +p2484 +tp2485 +a(g184 +V +tp2486 +a(g101 +Vstream +p2487 +tp2488 +a(g184 +V +tp2489 +a(g101 +Vpeek +p2490 +tp2491 +a(g197 +V) +tp2492 +a(g184 +V\u000a +tp2493 +a(g197 +V) +tp2494 +a(g184 +V\u000a\u000a +p2495 +tp2496 +a(g69 +VnotAtWordBoundary +p2497 +tp2498 +a(g184 +V +tp2499 +a(g338 +V= +tp2500 +a(g184 +V +tp2501 +a(g197 +V( +tp2502 +a(g184 +V\u000a +p2503 +tp2504 +a(g338 +V^ +tp2505 +a(g13 +Vself +p2506 +tp2507 +a(g184 +V +tp2508 +a(g101 +VatWordBoundary +p2509 +tp2510 +a(g184 +V +tp2511 +a(g101 +Vnot +p2512 +tp2513 +a(g184 +V\u000a +tp2514 +a(g197 +V) +tp2515 +a(g184 +V\u000a\u000a +p2516 +tp2517 +a(g69 +VsupportsSubexpressions +p2518 +tp2519 +a(g184 +V +tp2520 +a(g338 +V= +tp2521 +a(g184 +V +tp2522 +a(g197 +V( +tp2523 +a(g184 +V\u000a +p2524 +tp2525 +a(g338 +V^ +tp2526 +a(g13 +Vtrue +p2527 +tp2528 +a(g184 +V\u000a +tp2529 +a(g197 +V) +tp2530 +a(g184 +V\u000a\u000a +p2531 +tp2532 +a(g221 +V'streaming' +p2533 +tp2534 +a(g184 +V\u000a +tp2535 +a(g69 +VatEnd +p2536 +tp2537 +a(g184 +V +tp2538 +a(g338 +V= +tp2539 +a(g184 +V +tp2540 +a(g197 +V( +tp2541 +a(g184 +V\u000a +p2542 +tp2543 +a(g338 +V^ +tp2544 +a(g101 +Vstream +p2545 +tp2546 +a(g184 +V +tp2547 +a(g101 +VatEnd +p2548 +tp2549 +a(g184 +V\u000a +tp2550 +a(g197 +V) +tp2551 +a(g184 +V\u000a\u000a +p2552 +tp2553 +a(g69 +Vnext +p2554 +tp2555 +a(g184 +V +tp2556 +a(g338 +V= +tp2557 +a(g184 +V +tp2558 +a(g197 +V( +tp2559 +a(g184 +V\u000a +p2560 +tp2561 +a(g101 +VlastChar +p2562 +tp2563 +a(g338 +V:: +p2564 +tp2565 +a(g184 +V +tp2566 +a(g101 +Vstream +p2567 +tp2568 +a(g184 +V +tp2569 +a(g101 +Vnext +p2570 +tp2571 +a(g197 +V. +tp2572 +a(g184 +V\u000a +p2573 +tp2574 +a(g338 +V^ +tp2575 +a(g101 +VlastChar +p2576 +tp2577 +a(g184 +V\u000a +tp2578 +a(g197 +V) +tp2579 +a(g184 +V\u000a\u000a +p2580 +tp2581 +a(g69 +Vposition +p2582 +tp2583 +a(g184 +V +tp2584 +a(g338 +V= +tp2585 +a(g184 +V +tp2586 +a(g197 +V( +tp2587 +a(g184 +V\u000a +p2588 +tp2589 +a(g338 +V^ +tp2590 +a(g101 +Vstream +p2591 +tp2592 +a(g184 +V +tp2593 +a(g101 +Vposition +p2594 +tp2595 +a(g184 +V\u000a +tp2596 +a(g197 +V) +tp2597 +a(g184 +V\u000a\u000a +p2598 +tp2599 +a(g221 +V'accessing' +p2600 +tp2601 +a(g184 +V\u000a +tp2602 +a(g50 +VbuildFrom: +p2603 +tp2604 +a(g184 +V +tp2605 +a(g101 +VaSyntaxTreeRoot +p2606 +tp2607 +a(g184 +V +tp2608 +a(g338 +V= +tp2609 +a(g184 +V +tp2610 +a(g197 +V( +tp2611 +a(g184 +V\u000a +p2612 +tp2613 +a(g26 +V"Private - Entry point of matcher build process." +p2614 +tp2615 +a(g184 +V\u000a\u000a +p2616 +tp2617 +a(g101 +VmarkerCount +p2618 +tp2619 +a(g338 +V:: +p2620 +tp2621 +a(g184 +V +tp2622 +a(g305 +V0. +p2623 +tp2624 +a(g184 +V +p2625 +tp2626 +a(g26 +V"must go before #dispatchTo: !" +p2627 +tp2628 +a(g184 +V\u000a +p2629 +tp2630 +a(g101 +Vmatcher +p2631 +tp2632 +a(g338 +V:: +p2633 +tp2634 +a(g184 +V +tp2635 +a(g101 +VaSyntaxTreeRoot +p2636 +tp2637 +a(g184 +V +tp2638 +a(g50 +VdispatchTo: +p2639 +tp2640 +a(g184 +V +tp2641 +a(g101 +Vself +p2642 +tp2643 +a(g197 +V. +tp2644 +a(g184 +V\u000a +p2645 +tp2646 +a(g101 +Vmatcher +p2647 +tp2648 +a(g184 +V +tp2649 +a(g50 +VterminateWith: +p2650 +tp2651 +a(g184 +V +tp2652 +a(g101 +VRxmTerminator +p2653 +tp2654 +a(g184 +V +tp2655 +a(g101 +Vnew +p2656 +tp2657 +a(g184 +V\u000a +tp2658 +a(g197 +V) +tp2659 +a(g184 +V\u000a\u000a +p2660 +tp2661 +a(g50 +Vmatches: +p2662 +tp2663 +a(g184 +V +tp2664 +a(g101 +VaString +p2665 +tp2666 +a(g184 +V +tp2667 +a(g338 +V= +tp2668 +a(g184 +V +tp2669 +a(g197 +V( +tp2670 +a(g184 +V\u000a +p2671 +tp2672 +a(g26 +V"Match against a string." +p2673 +tp2674 +a(g184 +V\u000a +p2675 +tp2676 +a(g338 +V^ +tp2677 +a(g13 +Vself +p2678 +tp2679 +a(g184 +V +tp2680 +a(g50 +VmatchesStream: +p2681 +tp2682 +a(g184 +V +tp2683 +a(g101 +VaString +p2684 +tp2685 +a(g184 +V +tp2686 +a(g101 +VreadStream +p2687 +tp2688 +a(g184 +V\u000a +tp2689 +a(g197 +V) +tp2690 +a(g184 +V\u000a\u000a +p2691 +tp2692 +a(g50 +VmatchesPrefix: +p2693 +tp2694 +a(g184 +V +tp2695 +a(g101 +VaString +p2696 +tp2697 +a(g184 +V +tp2698 +a(g338 +V= +tp2699 +a(g184 +V +tp2700 +a(g197 +V( +tp2701 +a(g184 +V\u000a +p2702 +tp2703 +a(g26 +V"Match against a string." +p2704 +tp2705 +a(g184 +V\u000a\u000a +p2706 +tp2707 +a(g338 +V^ +tp2708 +a(g13 +Vself +p2709 +tp2710 +a(g184 +V +tp2711 +a(g50 +VmatchesStreamPrefix: +p2712 +tp2713 +a(g184 +V +tp2714 +a(g101 +VaString +p2715 +tp2716 +a(g184 +V +tp2717 +a(g101 +VreadStream +p2718 +tp2719 +a(g184 +V\u000a +tp2720 +a(g197 +V) +tp2721 +a(g184 +V\u000a\u000a +p2722 +tp2723 +a(g50 +VmatchesStream: +p2724 +tp2725 +a(g184 +V +tp2726 +a(g101 +VtheStream +p2727 +tp2728 +a(g184 +V +tp2729 +a(g338 +V= +tp2730 +a(g184 +V +tp2731 +a(g197 +V( +tp2732 +a(g184 +V\u000a +p2733 +tp2734 +a(g26 +V"Match thyself against a positionable stream." +p2735 +tp2736 +a(g184 +V\u000a\u000a +p2737 +tp2738 +a(g338 +V^ +tp2739 +a(g197 +V( +tp2740 +a(g13 +Vself +p2741 +tp2742 +a(g184 +V +tp2743 +a(g50 +VmatchesStreamPrefix: +p2744 +tp2745 +a(g184 +V +tp2746 +a(g101 +VtheStream +p2747 +tp2748 +a(g197 +V) +tp2749 +a(g184 +V\u000a +p2750 +tp2751 +a(g50 +Vand: +p2752 +tp2753 +a(g184 +V +tp2754 +a(g197 +V[ +tp2755 +a(g101 +Vstream +p2756 +tp2757 +a(g184 +V +tp2758 +a(g101 +VatEnd +p2759 +tp2760 +a(g197 +V] +tp2761 +a(g184 +V\u000a +tp2762 +a(g197 +V) +tp2763 +a(g184 +V\u000a\u000a +p2764 +tp2765 +a(g50 +VmatchesStreamPrefix: +p2766 +tp2767 +a(g184 +V +tp2768 +a(g101 +VtheStream +p2769 +tp2770 +a(g184 +V +tp2771 +a(g338 +V= +tp2772 +a(g184 +V +tp2773 +a(g197 +V( +tp2774 +a(g184 +V\u000a +p2775 +tp2776 +a(g26 +V"Match thyself against a positionable stream." +p2777 +tp2778 +a(g184 +V\u000a\u000a +p2779 +tp2780 +a(g101 +Vstream +p2781 +tp2782 +a(g338 +V:: +p2783 +tp2784 +a(g184 +V +tp2785 +a(g101 +VtheStream +p2786 +tp2787 +a(g197 +V. +tp2788 +a(g184 +V\u000a +p2789 +tp2790 +a(g101 +VlastChar +p2791 +tp2792 +a(g338 +V:: +p2793 +tp2794 +a(g184 +V +tp2795 +a(g13 +Vnil +p2796 +tp2797 +a(g197 +V. +tp2798 +a(g184 +V\u000a +p2799 +tp2800 +a(g338 +V^ +tp2801 +a(g13 +Vself +p2802 +tp2803 +a(g184 +V +tp2804 +a(g101 +VtryMatch +p2805 +tp2806 +a(g184 +V\u000a +tp2807 +a(g197 +V) +tp2808 +a(g184 +V\u000a\u000a +p2809 +tp2810 +a(g50 +Vsearch: +p2811 +tp2812 +a(g184 +V +tp2813 +a(g101 +VaString +p2814 +tp2815 +a(g184 +V +tp2816 +a(g338 +V= +tp2817 +a(g184 +V +tp2818 +a(g197 +V( +tp2819 +a(g184 +V\u000a +p2820 +tp2821 +a(g26 +V"Search the string for occurrence of something matching myself.\u000a Answer a Boolean indicating success." +p2822 +tp2823 +a(g184 +V\u000a\u000a +p2824 +tp2825 +a(g338 +V^ +tp2826 +a(g13 +Vself +p2827 +tp2828 +a(g184 +V +tp2829 +a(g50 +VsearchStream: +p2830 +tp2831 +a(g184 +V +tp2832 +a(g101 +VaString +p2833 +tp2834 +a(g184 +V +tp2835 +a(g101 +VreadStream +p2836 +tp2837 +a(g184 +V\u000a +tp2838 +a(g197 +V) +tp2839 +a(g184 +V\u000a\u000a +p2840 +tp2841 +a(g50 +VsearchStream: +p2842 +tp2843 +a(g184 +V +tp2844 +a(g101 +VaStream +p2845 +tp2846 +a(g184 +V +tp2847 +a(g338 +V= +tp2848 +a(g184 +V +tp2849 +a(g197 +V( +tp2850 +a(g184 +V\u000a +p2851 +tp2852 +a(g26 +V"Search the stream for occurrence of something matching myself.\u000a After the search has occurred, stop positioned after the end of the\u000a matched substring. Answer a Boolean indicating success." +p2853 +tp2854 +a(g184 +V\u000a\u000a +p2855 +tp2856 +a(g338 +V| +tp2857 +a(g184 +V +tp2858 +a(g101 +Vposition +p2859 +tp2860 +a(g184 +V +tp2861 +a(g338 +V| +tp2862 +a(g184 +V\u000a +p2863 +tp2864 +a(g101 +Vstream +p2865 +tp2866 +a(g338 +V:: +p2867 +tp2868 +a(g184 +V +tp2869 +a(g101 +VaStream +p2870 +tp2871 +a(g197 +V. +tp2872 +a(g184 +V\u000a +p2873 +tp2874 +a(g101 +VlastChar +p2875 +tp2876 +a(g338 +V:: +p2877 +tp2878 +a(g184 +V +tp2879 +a(g13 +Vnil +p2880 +tp2881 +a(g197 +V. +tp2882 +a(g184 +V\u000a +p2883 +tp2884 +a(g101 +Vposition +p2885 +tp2886 +a(g338 +V:: +p2887 +tp2888 +a(g184 +V +tp2889 +a(g101 +VaStream +p2890 +tp2891 +a(g184 +V +tp2892 +a(g101 +Vposition +p2893 +tp2894 +a(g197 +V. +tp2895 +a(g184 +V\u000a +p2896 +tp2897 +a(g197 +V[ +tp2898 +a(g101 +VaStream +p2899 +tp2900 +a(g184 +V +tp2901 +a(g101 +VatEnd +p2902 +tp2903 +a(g197 +V] +tp2904 +a(g184 +V +tp2905 +a(g50 +VwhileFalse: +p2906 +tp2907 +a(g184 +V\u000a +p2908 +tp2909 +a(g197 +V[ +tp2910 +a(g13 +Vself +p2911 +tp2912 +a(g184 +V +tp2913 +a(g101 +VtryMatch +p2914 +tp2915 +a(g184 +V +tp2916 +a(g50 +VifTrue: +p2917 +tp2918 +a(g184 +V +tp2919 +a(g197 +V[ +tp2920 +a(g338 +V^ +tp2921 +a(g13 +Vtrue +p2922 +tp2923 +a(g197 +V] +tp2924 +a(g197 +V. +tp2925 +a(g184 +V\u000a +p2926 +tp2927 +a(g101 +VaStream +p2928 +tp2929 +a(g184 +V +tp2930 +a(g50 +Vposition: +p2931 +tp2932 +a(g184 +V +tp2933 +a(g101 +Vposition +p2934 +tp2935 +a(g197 +V. +tp2936 +a(g184 +V\u000a +p2937 +tp2938 +a(g101 +VlastChar +p2939 +tp2940 +a(g338 +V:: +p2941 +tp2942 +a(g184 +V +tp2943 +a(g101 +VaStream +p2944 +tp2945 +a(g184 +V +tp2946 +a(g101 +Vnext +p2947 +tp2948 +a(g197 +V. +tp2949 +a(g184 +V\u000a +p2950 +tp2951 +a(g101 +Vposition +p2952 +tp2953 +a(g338 +V:: +p2954 +tp2955 +a(g184 +V +tp2956 +a(g101 +VaStream +p2957 +tp2958 +a(g184 +V +tp2959 +a(g101 +Vposition +p2960 +tp2961 +a(g197 +V] +tp2962 +a(g197 +V. +tp2963 +a(g184 +V\u000a +p2964 +tp2965 +a(g26 +V"Try match at the very stream end too!" +p2966 +tp2967 +a(g184 +V\u000a +p2968 +tp2969 +a(g13 +Vself +p2970 +tp2971 +a(g184 +V +tp2972 +a(g101 +VtryMatch +p2973 +tp2974 +a(g184 +V +tp2975 +a(g50 +VifTrue: +p2976 +tp2977 +a(g184 +V +tp2978 +a(g197 +V[ +tp2979 +a(g338 +V^ +tp2980 +a(g13 +Vtrue +p2981 +tp2982 +a(g197 +V] +tp2983 +a(g197 +V. +tp2984 +a(g184 +V \u000a +p2985 +tp2986 +a(g338 +V^ +tp2987 +a(g13 +Vfalse +p2988 +tp2989 +a(g184 +V\u000a +tp2990 +a(g197 +V) +tp2991 +a(g184 +V\u000a\u000a +p2992 +tp2993 +a(g50 +VsubBeginning: +p2994 +tp2995 +a(g184 +V +tp2996 +a(g101 +VsubIndex +p2997 +tp2998 +a(g184 +V +tp2999 +a(g338 +V= +tp3000 +a(g184 +V +tp3001 +a(g197 +V( +tp3002 +a(g184 +V\u000a +p3003 +tp3004 +a(g338 +V^ +tp3005 +a(g101 +VmarkerPositions +p3006 +tp3007 +a(g184 +V +tp3008 +a(g50 +Vat: +p3009 +tp3010 +a(g184 +V +tp3011 +a(g101 +VsubIndex +p3012 +tp3013 +a(g184 +V +tp3014 +a(g338 +V* +tp3015 +a(g184 +V +tp3016 +a(g313 +V2 +tp3017 +a(g184 +V +tp3018 +a(g338 +V- +tp3019 +a(g184 +V +tp3020 +a(g313 +V1 +tp3021 +a(g184 +V\u000a +tp3022 +a(g197 +V) +tp3023 +a(g184 +V\u000a\u000a +p3024 +tp3025 +a(g50 +VsubEnd: +p3026 +tp3027 +a(g184 +V +tp3028 +a(g101 +VsubIndex +p3029 +tp3030 +a(g184 +V +tp3031 +a(g338 +V= +tp3032 +a(g184 +V +tp3033 +a(g197 +V( +tp3034 +a(g184 +V\u000a +p3035 +tp3036 +a(g338 +V^ +tp3037 +a(g101 +VmarkerPositions +p3038 +tp3039 +a(g184 +V +tp3040 +a(g50 +Vat: +p3041 +tp3042 +a(g184 +V +tp3043 +a(g101 +VsubIndex +p3044 +tp3045 +a(g184 +V +tp3046 +a(g338 +V* +tp3047 +a(g184 +V +tp3048 +a(g313 +V2 +tp3049 +a(g184 +V\u000a +tp3050 +a(g197 +V) +tp3051 +a(g184 +V\u000a\u000a +p3052 +tp3053 +a(g50 +Vsubexpression: +p3054 +tp3055 +a(g184 +V +tp3056 +a(g101 +VsubIndex +p3057 +tp3058 +a(g184 +V +tp3059 +a(g338 +V= +tp3060 +a(g184 +V +tp3061 +a(g197 +V( +tp3062 +a(g184 +V\u000a +p3063 +tp3064 +a(g26 +V"Answer a string that matched the subexpression at the given index.\u000a If there are multiple matches, answer the last one.\u000a If there are no matches, answer nil. \u000a (NB: it used to answer an empty string but I think nil makes more sense)." +p3065 +tp3066 +a(g184 +V\u000a\u000a +p3067 +tp3068 +a(g338 +V| +tp3069 +a(g184 +V +tp3070 +a(g101 +Vmatches +p3071 +tp3072 +a(g184 +V +tp3073 +a(g338 +V| +tp3074 +a(g184 +V\u000a +p3075 +tp3076 +a(g101 +Vmatches +p3077 +tp3078 +a(g338 +V:: +p3079 +tp3080 +a(g184 +V +tp3081 +a(g13 +Vself +p3082 +tp3083 +a(g184 +V +tp3084 +a(g50 +Vsubexpressions: +p3085 +tp3086 +a(g184 +V +tp3087 +a(g101 +VsubIndex +p3088 +tp3089 +a(g197 +V. +tp3090 +a(g184 +V\u000a +p3091 +tp3092 +a(g338 +V^ +tp3093 +a(g101 +Vmatches +p3094 +tp3095 +a(g184 +V +tp3096 +a(g101 +VisEmpty +p3097 +tp3098 +a(g184 +V +tp3099 +a(g50 +VifTrue: +p3100 +tp3101 +a(g184 +V +tp3102 +a(g197 +V[ +tp3103 +a(g13 +Vnil +p3104 +tp3105 +a(g197 +V] +tp3106 +a(g184 +V +tp3107 +a(g50 +VifFalse: +p3108 +tp3109 +a(g184 +V +tp3110 +a(g197 +V[ +tp3111 +a(g101 +Vmatches +p3112 +tp3113 +a(g184 +V +tp3114 +a(g101 +Vlast +p3115 +tp3116 +a(g197 +V] +tp3117 +a(g184 +V\u000a +tp3118 +a(g197 +V) +tp3119 +a(g184 +V\u000a\u000a +p3120 +tp3121 +a(g69 +VsubexpressionCount +p3122 +tp3123 +a(g184 +V +tp3124 +a(g338 +V= +tp3125 +a(g184 +V +tp3126 +a(g197 +V( +tp3127 +a(g184 +V\u000a +p3128 +tp3129 +a(g338 +V^ +tp3130 +a(g101 +VmarkerCount +p3131 +tp3132 +a(g184 +V +tp3133 +a(g338 +V/ +tp3134 +a(g338 +V/ +tp3135 +a(g184 +V +tp3136 +a(g313 +V2 +tp3137 +a(g184 +V\u000a +tp3138 +a(g197 +V) +tp3139 +a(g184 +V\u000a\u000a +p3140 +tp3141 +a(g50 +Vsubexpressions: +p3142 +tp3143 +a(g184 +V +tp3144 +a(g101 +VsubIndex +p3145 +tp3146 +a(g184 +V +tp3147 +a(g338 +V= +tp3148 +a(g184 +V +tp3149 +a(g197 +V( +tp3150 +a(g184 +V\u000a +p3151 +tp3152 +a(g26 +V"Answer an array of all matches of the subexpression at the given index.\u000a The answer is always an array; it is empty if there are no matches." +p3153 +tp3154 +a(g184 +V\u000a\u000a +p3155 +tp3156 +a(g338 +V| +tp3157 +a(g184 +V +tp3158 +a(g101 +VoriginalPosition +p3159 +tp3160 +a(g184 +V +tp3161 +a(g101 +VstartPositions +p3162 +tp3163 +a(g184 +V +tp3164 +a(g101 +VstopPositions +p3165 +tp3166 +a(g184 +V +tp3167 +a(g101 +Vreply +p3168 +tp3169 +a(g184 +V +tp3170 +a(g338 +V| +tp3171 +a(g184 +V\u000a +p3172 +tp3173 +a(g101 +VoriginalPosition +p3174 +tp3175 +a(g338 +V:: +p3176 +tp3177 +a(g184 +V +tp3178 +a(g101 +Vstream +p3179 +tp3180 +a(g184 +V +tp3181 +a(g101 +Vposition +p3182 +tp3183 +a(g197 +V. +tp3184 +a(g184 +V\u000a +p3185 +tp3186 +a(g101 +VstartPositions +p3187 +tp3188 +a(g338 +V:: +p3189 +tp3190 +a(g184 +V +tp3191 +a(g13 +Vself +p3192 +tp3193 +a(g184 +V +tp3194 +a(g50 +VsubBeginning: +p3195 +tp3196 +a(g184 +V +tp3197 +a(g101 +VsubIndex +p3198 +tp3199 +a(g197 +V. +tp3200 +a(g184 +V\u000a +p3201 +tp3202 +a(g101 +VstopPositions +p3203 +tp3204 +a(g338 +V:: +p3205 +tp3206 +a(g184 +V +tp3207 +a(g13 +Vself +p3208 +tp3209 +a(g184 +V +tp3210 +a(g50 +VsubEnd: +p3211 +tp3212 +a(g184 +V +tp3213 +a(g101 +VsubIndex +p3214 +tp3215 +a(g197 +V. +tp3216 +a(g184 +V\u000a +p3217 +tp3218 +a(g197 +V( +tp3219 +a(g101 +VstartPositions +p3220 +tp3221 +a(g184 +V +tp3222 +a(g101 +VisEmpty +p3223 +tp3224 +a(g184 +V +tp3225 +a(g50 +Vor: +p3226 +tp3227 +a(g184 +V +tp3228 +a(g197 +V[ +tp3229 +a(g101 +VstopPositions +p3230 +tp3231 +a(g184 +V +tp3232 +a(g101 +VisEmpty +p3233 +tp3234 +a(g197 +V] +tp3235 +a(g197 +V) +tp3236 +a(g184 +V +tp3237 +a(g50 +VifTrue: +p3238 +tp3239 +a(g184 +V +tp3240 +a(g197 +V[ +tp3241 +a(g338 +V^ +tp3242 +a(g101 +VArray +p3243 +tp3244 +a(g184 +V +tp3245 +a(g101 +Vnew +p3246 +tp3247 +a(g197 +V] +tp3248 +a(g197 +V. +tp3249 +a(g184 +V\u000a +p3250 +tp3251 +a(g101 +Vreply +p3252 +tp3253 +a(g338 +V:: +p3254 +tp3255 +a(g184 +V +tp3256 +a(g101 +VOrderedCollection +p3257 +tp3258 +a(g184 +V +tp3259 +a(g101 +Vnew +p3260 +tp3261 +a(g197 +V. +tp3262 +a(g184 +V\u000a +p3263 +tp3264 +a(g101 +VstartPositions +p3265 +tp3266 +a(g184 +V +tp3267 +a(g50 +Vwith: +p3268 +tp3269 +a(g184 +V +tp3270 +a(g101 +VstopPositions +p3271 +tp3272 +a(g184 +V +tp3273 +a(g50 +Vdo: +p3274 +tp3275 +a(g184 +V\u000a +p3276 +tp3277 +a(g197 +V[ +tp3278 +a(g101 +V:start +p3279 +tp3280 +a(g184 +V +tp3281 +a(g101 +V:stop +p3282 +tp3283 +a(g184 +V +tp3284 +a(g338 +V| +tp3285 +a(g184 +V\u000a +p3286 +tp3287 +a(g101 +Vstream +p3288 +tp3289 +a(g184 +V +tp3290 +a(g50 +Vposition: +p3291 +tp3292 +a(g184 +V +tp3293 +a(g101 +Vstart +p3294 +tp3295 +a(g197 +V. +tp3296 +a(g184 +V\u000a +p3297 +tp3298 +a(g101 +Vreply +p3299 +tp3300 +a(g184 +V +tp3301 +a(g50 +Vadd: +p3302 +tp3303 +a(g184 +V +tp3304 +a(g197 +V( +tp3305 +a(g101 +Vstream +p3306 +tp3307 +a(g184 +V +tp3308 +a(g50 +Vnext: +p3309 +tp3310 +a(g184 +V +tp3311 +a(g101 +Vstop +p3312 +tp3313 +a(g184 +V +tp3314 +a(g338 +V- +tp3315 +a(g184 +V +tp3316 +a(g101 +Vstart +p3317 +tp3318 +a(g197 +V) +tp3319 +a(g197 +V] +tp3320 +a(g197 +V. +tp3321 +a(g184 +V\u000a +p3322 +tp3323 +a(g101 +Vstream +p3324 +tp3325 +a(g184 +V +tp3326 +a(g50 +Vposition: +p3327 +tp3328 +a(g184 +V +tp3329 +a(g101 +VoriginalPosition +p3330 +tp3331 +a(g197 +V. +tp3332 +a(g184 +V\u000a +p3333 +tp3334 +a(g338 +V^ +tp3335 +a(g101 +Vreply +p3336 +tp3337 +a(g184 +V +tp3338 +a(g101 +VasArray +p3339 +tp3340 +a(g184 +V\u000a +tp3341 +a(g197 +V) +tp3342 +a(g184 +V\u000a\u000a +p3343 +tp3344 +a(g221 +V'match enumeration' +p3345 +tp3346 +a(g184 +V\u000a +tp3347 +a(g50 +Vcopy: +p3348 +tp3349 +a(g184 +V +tp3350 +a(g101 +VaString +p3351 +tp3352 +a(g184 +V +tp3353 +a(g50 +VreplacingMatchesWith: +p3354 +tp3355 +a(g184 +V +tp3356 +a(g101 +VreplacementString +p3357 +tp3358 +a(g184 +V +tp3359 +a(g338 +V= +tp3360 +a(g184 +V +tp3361 +a(g197 +V( +tp3362 +a(g184 +V\u000a +p3363 +tp3364 +a(g26 +V"Copy , except for the matches. Replace each match with ." +p3365 +tp3366 +a(g184 +V\u000a\u000a +p3367 +tp3368 +a(g338 +V| +tp3369 +a(g184 +V +tp3370 +a(g101 +Vanswer +p3371 +tp3372 +a(g184 +V +tp3373 +a(g338 +V| +tp3374 +a(g184 +V\u000a +p3375 +tp3376 +a(g101 +Vanswer +p3377 +tp3378 +a(g338 +V:: +p3379 +tp3380 +a(g184 +V +tp3381 +a(g197 +V( +tp3382 +a(g101 +VString +p3383 +tp3384 +a(g184 +V +tp3385 +a(g50 +Vnew: +p3386 +tp3387 +a(g184 +V +tp3388 +a(g313 +V40 +p3389 +tp3390 +a(g197 +V) +tp3391 +a(g184 +V +tp3392 +a(g101 +VwriteStream +p3393 +tp3394 +a(g197 +V. +tp3395 +a(g184 +V\u000a +p3396 +tp3397 +a(g13 +Vself +p3398 +tp3399 +a(g184 +V\u000a +p3400 +tp3401 +a(g50 +VcopyStream: +p3402 +tp3403 +a(g184 +V +tp3404 +a(g101 +VaString +p3405 +tp3406 +a(g184 +V +tp3407 +a(g101 +VreadStream +p3408 +tp3409 +a(g184 +V\u000a +p3410 +tp3411 +a(g50 +Vto: +p3412 +tp3413 +a(g184 +V +tp3414 +a(g101 +Vanswer +p3415 +tp3416 +a(g184 +V\u000a +p3417 +tp3418 +a(g50 +VreplacingMatchesWith: +p3419 +tp3420 +a(g184 +V +tp3421 +a(g101 +VreplacementString +p3422 +tp3423 +a(g197 +V. +tp3424 +a(g184 +V\u000a +p3425 +tp3426 +a(g338 +V^ +tp3427 +a(g101 +Vanswer +p3428 +tp3429 +a(g184 +V +tp3430 +a(g101 +Vcontents +p3431 +tp3432 +a(g184 +V\u000a +tp3433 +a(g197 +V) +tp3434 +a(g184 +V\u000a\u000a +p3435 +tp3436 +a(g50 +Vcopy: +p3437 +tp3438 +a(g184 +V +tp3439 +a(g101 +VaString +p3440 +tp3441 +a(g184 +V +tp3442 +a(g50 +VtranslatingMatchesUsing: +p3443 +tp3444 +a(g184 +V +tp3445 +a(g101 +VaBlock +p3446 +tp3447 +a(g184 +V +tp3448 +a(g338 +V= +tp3449 +a(g184 +V +tp3450 +a(g197 +V( +tp3451 +a(g184 +V\u000a +p3452 +tp3453 +a(g26 +V"Copy , except for the matches. For each match, evaluate passing the matched substring as the argument. Expect the block to answer a String, and replace the match with the answer." +p3454 +tp3455 +a(g184 +V\u000a\u000a +p3456 +tp3457 +a(g338 +V| +tp3458 +a(g184 +V +tp3459 +a(g101 +Vanswer +p3460 +tp3461 +a(g184 +V +tp3462 +a(g338 +V| +tp3463 +a(g184 +V\u000a +p3464 +tp3465 +a(g101 +Vanswer +p3466 +tp3467 +a(g338 +V:: +p3468 +tp3469 +a(g184 +V +tp3470 +a(g197 +V( +tp3471 +a(g101 +VString +p3472 +tp3473 +a(g184 +V +tp3474 +a(g50 +Vnew: +p3475 +tp3476 +a(g184 +V +tp3477 +a(g313 +V40 +p3478 +tp3479 +a(g197 +V) +tp3480 +a(g184 +V +tp3481 +a(g101 +VwriteStream +p3482 +tp3483 +a(g197 +V. +tp3484 +a(g184 +V\u000a +p3485 +tp3486 +a(g13 +Vself +p3487 +tp3488 +a(g184 +V +tp3489 +a(g50 +VcopyStream: +p3490 +tp3491 +a(g184 +V +tp3492 +a(g101 +VaString +p3493 +tp3494 +a(g184 +V +tp3495 +a(g101 +VreadStream +p3496 +tp3497 +a(g184 +V +tp3498 +a(g50 +Vto: +p3499 +tp3500 +a(g184 +V +tp3501 +a(g101 +Vanswer +p3502 +tp3503 +a(g184 +V +tp3504 +a(g50 +VtranslatingMatchesUsing: +p3505 +tp3506 +a(g184 +V +tp3507 +a(g101 +VaBlock +p3508 +tp3509 +a(g197 +V. +tp3510 +a(g184 +V\u000a +p3511 +tp3512 +a(g338 +V^ +tp3513 +a(g101 +Vanswer +p3514 +tp3515 +a(g184 +V +tp3516 +a(g101 +Vcontents +p3517 +tp3518 +a(g184 +V\u000a +tp3519 +a(g197 +V) +tp3520 +a(g184 +V\u000a\u000a +p3521 +tp3522 +a(g50 +VcopyStream: +p3523 +tp3524 +a(g184 +V +tp3525 +a(g101 +VaStream +p3526 +tp3527 +a(g184 +V +tp3528 +a(g50 +Vto: +p3529 +tp3530 +a(g184 +V +tp3531 +a(g101 +VwriteStream +p3532 +tp3533 +a(g184 +V +tp3534 +a(g50 +VreplacingMatchesWith: +p3535 +tp3536 +a(g184 +V +tp3537 +a(g101 +VaString +p3538 +tp3539 +a(g184 +V +tp3540 +a(g338 +V= +tp3541 +a(g184 +V +tp3542 +a(g197 +V( +tp3543 +a(g184 +V\u000a +p3544 +tp3545 +a(g26 +V"Copy the contents of on the , except for the matches. Replace each match with ." +p3546 +tp3547 +a(g184 +V\u000a\u000a +p3548 +tp3549 +a(g338 +V| +tp3550 +a(g184 +V +tp3551 +a(g101 +VsearchStart +p3552 +tp3553 +a(g184 +V +tp3554 +a(g101 +VmatchStart +p3555 +tp3556 +a(g184 +V +tp3557 +a(g101 +VmatchEnd +p3558 +tp3559 +a(g184 +V +tp3560 +a(g338 +V| +tp3561 +a(g184 +V\u000a +p3562 +tp3563 +a(g101 +Vstream +p3564 +tp3565 +a(g338 +V:: +p3566 +tp3567 +a(g184 +V +tp3568 +a(g101 +VaStream +p3569 +tp3570 +a(g197 +V. +tp3571 +a(g184 +V\u000a +p3572 +tp3573 +a(g101 +VlastChar +p3574 +tp3575 +a(g338 +V:: +p3576 +tp3577 +a(g184 +V +tp3578 +a(g13 +Vnil +p3579 +tp3580 +a(g197 +V. +tp3581 +a(g184 +V\u000a +p3582 +tp3583 +a(g197 +V[ +tp3584 +a(g101 +VsearchStart +p3585 +tp3586 +a(g338 +V:: +p3587 +tp3588 +a(g184 +V +tp3589 +a(g101 +VaStream +p3590 +tp3591 +a(g184 +V +tp3592 +a(g101 +Vposition +p3593 +tp3594 +a(g197 +V. +tp3595 +a(g184 +V\u000a +p3596 +tp3597 +a(g13 +Vself +p3598 +tp3599 +a(g184 +V +tp3600 +a(g50 +VproceedSearchingStream: +p3601 +tp3602 +a(g184 +V +tp3603 +a(g101 +VaStream +p3604 +tp3605 +a(g197 +V] +tp3606 +a(g184 +V +tp3607 +a(g50 +VwhileTrue: +p3608 +tp3609 +a(g184 +V\u000a +p3610 +tp3611 +a(g197 +V[ +tp3612 +a(g101 +VmatchStart +p3613 +tp3614 +a(g338 +V:: +p3615 +tp3616 +a(g184 +V +tp3617 +a(g197 +V( +tp3618 +a(g13 +Vself +p3619 +tp3620 +a(g184 +V +tp3621 +a(g50 +VsubBeginning: +p3622 +tp3623 +a(g184 +V +tp3624 +a(g313 +V1 +tp3625 +a(g197 +V) +tp3626 +a(g184 +V +tp3627 +a(g101 +Vfirst +p3628 +tp3629 +a(g197 +V. +tp3630 +a(g184 +V\u000a +p3631 +tp3632 +a(g101 +VmatchEnd +p3633 +tp3634 +a(g338 +V:: +p3635 +tp3636 +a(g184 +V +tp3637 +a(g197 +V( +tp3638 +a(g13 +Vself +p3639 +tp3640 +a(g184 +V +tp3641 +a(g50 +VsubEnd: +p3642 +tp3643 +a(g184 +V +tp3644 +a(g313 +V1 +tp3645 +a(g197 +V) +tp3646 +a(g184 +V +tp3647 +a(g101 +Vfirst +p3648 +tp3649 +a(g197 +V. +tp3650 +a(g184 +V\u000a +p3651 +tp3652 +a(g101 +VaStream +p3653 +tp3654 +a(g184 +V +tp3655 +a(g50 +Vposition: +p3656 +tp3657 +a(g184 +V +tp3658 +a(g101 +VsearchStart +p3659 +tp3660 +a(g197 +V. +tp3661 +a(g184 +V\u000a +p3662 +tp3663 +a(g101 +VsearchStart +p3664 +tp3665 +a(g184 +V +tp3666 +a(g50 +Vto: +p3667 +tp3668 +a(g184 +V +tp3669 +a(g101 +VmatchStart +p3670 +tp3671 +a(g184 +V +tp3672 +a(g338 +V- +tp3673 +a(g184 +V +tp3674 +a(g313 +V1 +tp3675 +a(g184 +V +tp3676 +a(g50 +Vdo: +p3677 +tp3678 +a(g184 +V\u000a +p3679 +tp3680 +a(g197 +V[ +tp3681 +a(g101 +V:ignoredPos +p3682 +tp3683 +a(g184 +V +tp3684 +a(g338 +V| +tp3685 +a(g184 +V +tp3686 +a(g101 +VwriteStream +p3687 +tp3688 +a(g184 +V +tp3689 +a(g50 +VnextPut: +p3690 +tp3691 +a(g184 +V +tp3692 +a(g101 +VaStream +p3693 +tp3694 +a(g184 +V +tp3695 +a(g101 +Vnext +p3696 +tp3697 +a(g197 +V] +tp3698 +a(g197 +V. +tp3699 +a(g184 +V\u000a +p3700 +tp3701 +a(g101 +VwriteStream +p3702 +tp3703 +a(g184 +V +tp3704 +a(g50 +VnextPutAll: +p3705 +tp3706 +a(g184 +V +tp3707 +a(g101 +VaString +p3708 +tp3709 +a(g197 +V. +tp3710 +a(g184 +V\u000a +p3711 +tp3712 +a(g101 +VaStream +p3713 +tp3714 +a(g184 +V +tp3715 +a(g50 +Vposition: +p3716 +tp3717 +a(g184 +V +tp3718 +a(g101 +VmatchEnd +p3719 +tp3720 +a(g197 +V. +tp3721 +a(g184 +V\u000a +p3722 +tp3723 +a(g26 +V"Be extra careful about successful matches which consume no input.\u000a After those, make sure to advance or finish if already at end." +p3724 +tp3725 +a(g184 +V\u000a +p3726 +tp3727 +a(g69 +VmatchEnd +p3728 +tp3729 +a(g184 +V +tp3730 +a(g338 +V= +tp3731 +a(g184 +V +tp3732 +a(g101 +VsearchStart +p3733 +tp3734 +a(g184 +V +tp3735 +a(g50 +VifTrue: +p3736 +tp3737 +a(g184 +V \u000a +p3738 +tp3739 +a(g197 +V[ +tp3740 +a(g101 +VaStream +p3741 +tp3742 +a(g184 +V +tp3743 +a(g101 +VatEnd +p3744 +tp3745 +a(g184 +V\u000a +p3746 +tp3747 +a(g50 +VifTrue: +p3748 +tp3749 +a(g184 +V +tp3750 +a(g197 +V[ +tp3751 +a(g338 +V^ +tp3752 +a(g13 +Vself +p3753 +tp3754 +a(g184 +V +tp3755 +a(g26 +V"rest after end of whileTrue: block is a no-op if atEnd" +p3756 +tp3757 +a(g197 +V] +tp3758 +a(g184 +V\u000a +p3759 +tp3760 +a(g50 +VifFalse: +p3761 +tp3762 +a(g184 +V +tp3763 +a(g197 +V[ +tp3764 +a(g101 +VwriteStream +p3765 +tp3766 +a(g184 +V +tp3767 +a(g50 +VnextPut: +p3768 +tp3769 +a(g184 +V +tp3770 +a(g101 +VaStream +p3771 +tp3772 +a(g184 +V +tp3773 +a(g101 +Vnext +p3774 +tp3775 +a(g197 +V] +tp3776 +a(g197 +V] +tp3777 +a(g197 +V] +tp3778 +a(g197 +V. +tp3779 +a(g184 +V\u000a +p3780 +tp3781 +a(g101 +VaStream +p3782 +tp3783 +a(g184 +V +tp3784 +a(g50 +Vposition: +p3785 +tp3786 +a(g184 +V +tp3787 +a(g101 +VsearchStart +p3788 +tp3789 +a(g197 +V. +tp3790 +a(g184 +V\u000a +p3791 +tp3792 +a(g197 +V[ +tp3793 +a(g101 +VaStream +p3794 +tp3795 +a(g184 +V +tp3796 +a(g101 +VatEnd +p3797 +tp3798 +a(g197 +V] +tp3799 +a(g184 +V +tp3800 +a(g50 +VwhileFalse: +p3801 +tp3802 +a(g184 +V +tp3803 +a(g197 +V[ +tp3804 +a(g101 +VwriteStream +p3805 +tp3806 +a(g184 +V +tp3807 +a(g50 +VnextPut: +p3808 +tp3809 +a(g184 +V +tp3810 +a(g101 +VaStream +p3811 +tp3812 +a(g184 +V +tp3813 +a(g101 +Vnext +p3814 +tp3815 +a(g197 +V] +tp3816 +a(g184 +V\u000a +tp3817 +a(g197 +V) +tp3818 +a(g184 +V\u000a\u000a +p3819 +tp3820 +a(g50 +VcopyStream: +p3821 +tp3822 +a(g184 +V +tp3823 +a(g101 +VaStream +p3824 +tp3825 +a(g184 +V +tp3826 +a(g50 +Vto: +p3827 +tp3828 +a(g184 +V +tp3829 +a(g101 +VwriteStream +p3830 +tp3831 +a(g184 +V +tp3832 +a(g50 +VtranslatingMatchesUsing: +p3833 +tp3834 +a(g184 +V +tp3835 +a(g101 +VaBlock +p3836 +tp3837 +a(g184 +V +tp3838 +a(g338 +V= +tp3839 +a(g184 +V +tp3840 +a(g197 +V( +tp3841 +a(g184 +V\u000a +p3842 +tp3843 +a(g26 +V"Copy the contents of on the , except for the matches. For each match, evaluate passing the matched substring as the argument. Expect the block to answer a String, and write the answer to in place of the match." +p3844 +tp3845 +a(g184 +V\u000a\u000a +p3846 +tp3847 +a(g338 +V| +tp3848 +a(g184 +V +tp3849 +a(g101 +VsearchStart +p3850 +tp3851 +a(g184 +V +tp3852 +a(g101 +VmatchStart +p3853 +tp3854 +a(g184 +V +tp3855 +a(g101 +VmatchEnd +p3856 +tp3857 +a(g184 +V +tp3858 +a(g101 +Vmatch +p3859 +tp3860 +a(g184 +V +tp3861 +a(g338 +V| +tp3862 +a(g184 +V\u000a +p3863 +tp3864 +a(g101 +Vstream +p3865 +tp3866 +a(g338 +V:: +p3867 +tp3868 +a(g184 +V +tp3869 +a(g101 +VaStream +p3870 +tp3871 +a(g197 +V. +tp3872 +a(g184 +V\u000a +p3873 +tp3874 +a(g101 +VlastChar +p3875 +tp3876 +a(g338 +V:: +p3877 +tp3878 +a(g184 +V +tp3879 +a(g13 +Vnil +p3880 +tp3881 +a(g197 +V. +tp3882 +a(g184 +V\u000a +p3883 +tp3884 +a(g197 +V[ +tp3885 +a(g101 +VsearchStart +p3886 +tp3887 +a(g338 +V:: +p3888 +tp3889 +a(g184 +V +tp3890 +a(g101 +VaStream +p3891 +tp3892 +a(g184 +V +tp3893 +a(g101 +Vposition +p3894 +tp3895 +a(g197 +V. +tp3896 +a(g184 +V\u000a +p3897 +tp3898 +a(g13 +Vself +p3899 +tp3900 +a(g184 +V +tp3901 +a(g50 +VproceedSearchingStream: +p3902 +tp3903 +a(g184 +V +tp3904 +a(g101 +VaStream +p3905 +tp3906 +a(g197 +V] +tp3907 +a(g184 +V +tp3908 +a(g50 +VwhileTrue: +p3909 +tp3910 +a(g184 +V\u000a +p3911 +tp3912 +a(g197 +V[ +tp3913 +a(g101 +VmatchStart +p3914 +tp3915 +a(g338 +V:: +p3916 +tp3917 +a(g184 +V +tp3918 +a(g197 +V( +tp3919 +a(g13 +Vself +p3920 +tp3921 +a(g184 +V +tp3922 +a(g50 +VsubBeginning: +p3923 +tp3924 +a(g184 +V +tp3925 +a(g313 +V1 +tp3926 +a(g197 +V) +tp3927 +a(g184 +V +tp3928 +a(g101 +Vfirst +p3929 +tp3930 +a(g197 +V. +tp3931 +a(g184 +V\u000a +p3932 +tp3933 +a(g101 +VmatchEnd +p3934 +tp3935 +a(g338 +V:: +p3936 +tp3937 +a(g184 +V +tp3938 +a(g197 +V( +tp3939 +a(g13 +Vself +p3940 +tp3941 +a(g184 +V +tp3942 +a(g50 +VsubEnd: +p3943 +tp3944 +a(g184 +V +tp3945 +a(g313 +V1 +tp3946 +a(g197 +V) +tp3947 +a(g184 +V +tp3948 +a(g101 +Vfirst +p3949 +tp3950 +a(g197 +V. +tp3951 +a(g184 +V\u000a +p3952 +tp3953 +a(g101 +VaStream +p3954 +tp3955 +a(g184 +V +tp3956 +a(g50 +Vposition: +p3957 +tp3958 +a(g184 +V +tp3959 +a(g101 +VsearchStart +p3960 +tp3961 +a(g197 +V. +tp3962 +a(g184 +V\u000a +p3963 +tp3964 +a(g101 +VsearchStart +p3965 +tp3966 +a(g184 +V +tp3967 +a(g50 +Vto: +p3968 +tp3969 +a(g184 +V +tp3970 +a(g101 +VmatchStart +p3971 +tp3972 +a(g184 +V +tp3973 +a(g338 +V- +tp3974 +a(g184 +V +tp3975 +a(g313 +V1 +tp3976 +a(g184 +V +tp3977 +a(g50 +Vdo: +p3978 +tp3979 +a(g184 +V\u000a +p3980 +tp3981 +a(g197 +V[ +tp3982 +a(g101 +V:ignoredPos +p3983 +tp3984 +a(g184 +V +tp3985 +a(g338 +V| +tp3986 +a(g184 +V +tp3987 +a(g101 +VwriteStream +p3988 +tp3989 +a(g184 +V +tp3990 +a(g50 +VnextPut: +p3991 +tp3992 +a(g184 +V +tp3993 +a(g101 +VaStream +p3994 +tp3995 +a(g184 +V +tp3996 +a(g101 +Vnext +p3997 +tp3998 +a(g197 +V] +tp3999 +a(g197 +V. +tp4000 +a(g184 +V\u000a +p4001 +tp4002 +a(g101 +Vmatch +p4003 +tp4004 +a(g338 +V:: +p4005 +tp4006 +a(g184 +V +tp4007 +a(g197 +V( +tp4008 +a(g101 +VString +p4009 +tp4010 +a(g184 +V +tp4011 +a(g50 +Vnew: +p4012 +tp4013 +a(g184 +V +tp4014 +a(g101 +VmatchEnd +p4015 +tp4016 +a(g184 +V +tp4017 +a(g338 +V- +tp4018 +a(g184 +V +tp4019 +a(g101 +VmatchStart +p4020 +tp4021 +a(g184 +V +tp4022 +a(g338 +V+ +tp4023 +a(g184 +V +tp4024 +a(g313 +V1 +tp4025 +a(g197 +V) +tp4026 +a(g184 +V +tp4027 +a(g101 +VwriteStream +p4028 +tp4029 +a(g197 +V. +tp4030 +a(g184 +V\u000a +p4031 +tp4032 +a(g101 +VmatchStart +p4033 +tp4034 +a(g184 +V +tp4035 +a(g50 +Vto: +p4036 +tp4037 +a(g184 +V +tp4038 +a(g101 +VmatchEnd +p4039 +tp4040 +a(g184 +V +tp4041 +a(g338 +V- +tp4042 +a(g184 +V +tp4043 +a(g313 +V1 +tp4044 +a(g184 +V +tp4045 +a(g50 +Vdo: +p4046 +tp4047 +a(g184 +V\u000a +p4048 +tp4049 +a(g197 +V[ +tp4050 +a(g101 +V:ignoredPos +p4051 +tp4052 +a(g184 +V +tp4053 +a(g338 +V| +tp4054 +a(g184 +V +tp4055 +a(g101 +Vmatch +p4056 +tp4057 +a(g184 +V +tp4058 +a(g50 +VnextPut: +p4059 +tp4060 +a(g184 +V +tp4061 +a(g101 +VaStream +p4062 +tp4063 +a(g184 +V +tp4064 +a(g101 +Vnext +p4065 +tp4066 +a(g197 +V] +tp4067 +a(g197 +V. +tp4068 +a(g184 +V\u000a +p4069 +tp4070 +a(g101 +VwriteStream +p4071 +tp4072 +a(g184 +V +tp4073 +a(g50 +VnextPutAll: +p4074 +tp4075 +a(g184 +V +tp4076 +a(g197 +V( +tp4077 +a(g101 +VaBlock +p4078 +tp4079 +a(g184 +V +tp4080 +a(g50 +Vvalue: +p4081 +tp4082 +a(g184 +V +tp4083 +a(g101 +Vmatch +p4084 +tp4085 +a(g184 +V +tp4086 +a(g101 +Vcontents +p4087 +tp4088 +a(g197 +V) +tp4089 +a(g197 +V. +tp4090 +a(g184 +V\u000a +p4091 +tp4092 +a(g26 +V"Be extra careful about successful matches which consume no input.\u000a After those, make sure to advance or finish if already at end." +p4093 +tp4094 +a(g184 +V\u000a +p4095 +tp4096 +a(g69 +VmatchEnd +p4097 +tp4098 +a(g184 +V +tp4099 +a(g338 +V= +tp4100 +a(g184 +V +tp4101 +a(g101 +VsearchStart +p4102 +tp4103 +a(g184 +V +tp4104 +a(g50 +VifTrue: +p4105 +tp4106 +a(g184 +V \u000a +p4107 +tp4108 +a(g197 +V[ +tp4109 +a(g101 +VaStream +p4110 +tp4111 +a(g184 +V +tp4112 +a(g101 +VatEnd +p4113 +tp4114 +a(g184 +V\u000a +p4115 +tp4116 +a(g50 +VifTrue: +p4117 +tp4118 +a(g184 +V +tp4119 +a(g197 +V[ +tp4120 +a(g338 +V^ +tp4121 +a(g13 +Vself +p4122 +tp4123 +a(g184 +V +tp4124 +a(g26 +V"rest after end of whileTrue: block is a no-op if atEnd" +p4125 +tp4126 +a(g197 +V] +tp4127 +a(g184 +V\u000a +p4128 +tp4129 +a(g50 +VifFalse: +p4130 +tp4131 +a(g184 +V +tp4132 +a(g197 +V[ +tp4133 +a(g101 +VwriteStream +p4134 +tp4135 +a(g184 +V +tp4136 +a(g50 +VnextPut: +p4137 +tp4138 +a(g184 +V +tp4139 +a(g101 +VaStream +p4140 +tp4141 +a(g184 +V +tp4142 +a(g101 +Vnext +p4143 +tp4144 +a(g197 +V] +tp4145 +a(g197 +V] +tp4146 +a(g197 +V] +tp4147 +a(g197 +V. +tp4148 +a(g184 +V\u000a +p4149 +tp4150 +a(g101 +VaStream +p4151 +tp4152 +a(g184 +V +tp4153 +a(g50 +Vposition: +p4154 +tp4155 +a(g184 +V +tp4156 +a(g101 +VsearchStart +p4157 +tp4158 +a(g197 +V. +tp4159 +a(g184 +V\u000a +p4160 +tp4161 +a(g197 +V[ +tp4162 +a(g101 +VaStream +p4163 +tp4164 +a(g184 +V +tp4165 +a(g101 +VatEnd +p4166 +tp4167 +a(g197 +V] +tp4168 +a(g184 +V +tp4169 +a(g50 +VwhileFalse: +p4170 +tp4171 +a(g184 +V +tp4172 +a(g197 +V[ +tp4173 +a(g101 +VwriteStream +p4174 +tp4175 +a(g184 +V +tp4176 +a(g50 +VnextPut: +p4177 +tp4178 +a(g184 +V +tp4179 +a(g101 +VaStream +p4180 +tp4181 +a(g184 +V +tp4182 +a(g101 +Vnext +p4183 +tp4184 +a(g197 +V] +tp4185 +a(g184 +V\u000a +tp4186 +a(g197 +V) +tp4187 +a(g184 +V\u000a\u000a +p4188 +tp4189 +a(g50 +VmatchesIn: +p4190 +tp4191 +a(g184 +V +tp4192 +a(g101 +VaString +p4193 +tp4194 +a(g184 +V +tp4195 +a(g338 +V= +tp4196 +a(g184 +V +tp4197 +a(g197 +V( +tp4198 +a(g184 +V\u000a +p4199 +tp4200 +a(g26 +V"Search aString repeatedly for the matches of the receiver. Answer an OrderedCollection of all matches (substrings)." +p4201 +tp4202 +a(g184 +V\u000a\u000a +p4203 +tp4204 +a(g338 +V| +tp4205 +a(g184 +V +tp4206 +a(g101 +Vresult +p4207 +tp4208 +a(g184 +V +tp4209 +a(g338 +V| +tp4210 +a(g184 +V\u000a +p4211 +tp4212 +a(g101 +Vresult +p4213 +tp4214 +a(g338 +V:: +p4215 +tp4216 +a(g184 +V +tp4217 +a(g101 +VOrderedCollection +p4218 +tp4219 +a(g184 +V +tp4220 +a(g101 +Vnew +p4221 +tp4222 +a(g197 +V. +tp4223 +a(g184 +V\u000a +p4224 +tp4225 +a(g13 +Vself +p4226 +tp4227 +a(g184 +V\u000a +p4228 +tp4229 +a(g50 +VmatchesOnStream: +p4230 +tp4231 +a(g184 +V +tp4232 +a(g101 +VaString +p4233 +tp4234 +a(g184 +V +tp4235 +a(g101 +VreadStream +p4236 +tp4237 +a(g184 +V\u000a +p4238 +tp4239 +a(g50 +Vdo: +p4240 +tp4241 +a(g184 +V +tp4242 +a(g197 +V[ +tp4243 +a(g101 +V:match +p4244 +tp4245 +a(g184 +V +tp4246 +a(g338 +V| +tp4247 +a(g184 +V +tp4248 +a(g101 +Vresult +p4249 +tp4250 +a(g184 +V +tp4251 +a(g50 +Vadd: +p4252 +tp4253 +a(g184 +V +tp4254 +a(g101 +Vmatch +p4255 +tp4256 +a(g197 +V] +tp4257 +a(g197 +V. +tp4258 +a(g184 +V\u000a +p4259 +tp4260 +a(g338 +V^ +tp4261 +a(g101 +Vresult +p4262 +tp4263 +a(g184 +V\u000a +tp4264 +a(g197 +V) +tp4265 +a(g184 +V\u000a\u000a +p4266 +tp4267 +a(g50 +VmatchesIn: +p4268 +tp4269 +a(g184 +V +tp4270 +a(g101 +VaString +p4271 +tp4272 +a(g184 +V +tp4273 +a(g50 +Vcollect: +p4274 +tp4275 +a(g184 +V +tp4276 +a(g101 +VaBlock +p4277 +tp4278 +a(g184 +V +tp4279 +a(g338 +V= +tp4280 +a(g184 +V +tp4281 +a(g197 +V( +tp4282 +a(g184 +V\u000a +p4283 +tp4284 +a(g26 +V"Search aString repeatedly for the matches of the receiver. Evaluate aBlock for each match passing the matched substring as the argument, collect evaluation results in an OrderedCollection, and return in. The following example shows how to use this message to split a string into words." +p4285 +tp4286 +a(g184 +V\u000a +p4287 +tp4288 +a(g26 +V"'\u005cw+' asRegex matchesIn: 'Now is the Time' collect: [:each | each asLowercase]" +p4289 +tp4290 +a(g184 +V\u000a\u000a +p4291 +tp4292 +a(g338 +V| +tp4293 +a(g184 +V +tp4294 +a(g101 +Vresult +p4295 +tp4296 +a(g184 +V +tp4297 +a(g338 +V| +tp4298 +a(g184 +V\u000a +p4299 +tp4300 +a(g101 +Vresult +p4301 +tp4302 +a(g338 +V:: +p4303 +tp4304 +a(g184 +V +tp4305 +a(g101 +VOrderedCollection +p4306 +tp4307 +a(g184 +V +tp4308 +a(g101 +Vnew +p4309 +tp4310 +a(g197 +V. +tp4311 +a(g184 +V\u000a +p4312 +tp4313 +a(g13 +Vself +p4314 +tp4315 +a(g184 +V\u000a +p4316 +tp4317 +a(g50 +VmatchesOnStream: +p4318 +tp4319 +a(g184 +V +tp4320 +a(g101 +VaString +p4321 +tp4322 +a(g184 +V +tp4323 +a(g101 +VreadStream +p4324 +tp4325 +a(g184 +V\u000a +p4326 +tp4327 +a(g50 +Vdo: +p4328 +tp4329 +a(g184 +V +tp4330 +a(g197 +V[ +tp4331 +a(g101 +V:match +p4332 +tp4333 +a(g184 +V +tp4334 +a(g338 +V| +tp4335 +a(g184 +V +tp4336 +a(g101 +Vresult +p4337 +tp4338 +a(g184 +V +tp4339 +a(g50 +Vadd: +p4340 +tp4341 +a(g184 +V +tp4342 +a(g197 +V( +tp4343 +a(g101 +VaBlock +p4344 +tp4345 +a(g184 +V +tp4346 +a(g50 +Vvalue: +p4347 +tp4348 +a(g184 +V +tp4349 +a(g101 +Vmatch +p4350 +tp4351 +a(g197 +V) +tp4352 +a(g197 +V] +tp4353 +a(g197 +V. +tp4354 +a(g184 +V\u000a +p4355 +tp4356 +a(g338 +V^ +tp4357 +a(g101 +Vresult +p4358 +tp4359 +a(g184 +V\u000a +tp4360 +a(g197 +V) +tp4361 +a(g184 +V\u000a\u000a +p4362 +tp4363 +a(g50 +VmatchesIn: +p4364 +tp4365 +a(g184 +V +tp4366 +a(g101 +VaString +p4367 +tp4368 +a(g184 +V +tp4369 +a(g50 +Vdo: +p4370 +tp4371 +a(g184 +V +tp4372 +a(g101 +VaBlock +p4373 +tp4374 +a(g184 +V +tp4375 +a(g338 +V= +tp4376 +a(g184 +V +tp4377 +a(g197 +V( +tp4378 +a(g184 +V\u000a +p4379 +tp4380 +a(g26 +V"Search aString repeatedly for the matches of the receiver.\u000a Evaluate aBlock for each match passing the matched substring\u000a as the argument." +p4381 +tp4382 +a(g184 +V\u000a\u000a +p4383 +tp4384 +a(g13 +Vself +p4385 +tp4386 +a(g184 +V\u000a +p4387 +tp4388 +a(g50 +VmatchesOnStream: +p4389 +tp4390 +a(g184 +V +tp4391 +a(g101 +VaString +p4392 +tp4393 +a(g184 +V +tp4394 +a(g101 +VreadStream +p4395 +tp4396 +a(g184 +V\u000a +p4397 +tp4398 +a(g50 +Vdo: +p4399 +tp4400 +a(g184 +V +tp4401 +a(g101 +VaBlock +p4402 +tp4403 +a(g184 +V\u000a +tp4404 +a(g197 +V) +tp4405 +a(g184 +V\u000a\u000a +p4406 +tp4407 +a(g50 +VmatchesOnStream: +p4408 +tp4409 +a(g184 +V +tp4410 +a(g101 +VaStream +p4411 +tp4412 +a(g184 +V +tp4413 +a(g338 +V= +tp4414 +a(g184 +V +tp4415 +a(g197 +V( +tp4416 +a(g184 +V\u000a\u000a +p4417 +tp4418 +a(g338 +V| +tp4419 +a(g184 +V +tp4420 +a(g101 +Vresult +p4421 +tp4422 +a(g184 +V +tp4423 +a(g338 +V| +tp4424 +a(g184 +V\u000a +p4425 +tp4426 +a(g101 +Vresult +p4427 +tp4428 +a(g338 +V:: +p4429 +tp4430 +a(g184 +V +tp4431 +a(g101 +VOrderedCollection +p4432 +tp4433 +a(g184 +V +tp4434 +a(g101 +Vnew +p4435 +tp4436 +a(g197 +V. +tp4437 +a(g184 +V\u000a +p4438 +tp4439 +a(g13 +Vself +p4440 +tp4441 +a(g184 +V\u000a +p4442 +tp4443 +a(g50 +VmatchesOnStream: +p4444 +tp4445 +a(g184 +V +tp4446 +a(g101 +VaStream +p4447 +tp4448 +a(g184 +V\u000a +p4449 +tp4450 +a(g50 +Vdo: +p4451 +tp4452 +a(g184 +V +tp4453 +a(g197 +V[ +tp4454 +a(g101 +V:match +p4455 +tp4456 +a(g184 +V +tp4457 +a(g338 +V| +tp4458 +a(g184 +V +tp4459 +a(g101 +Vresult +p4460 +tp4461 +a(g184 +V +tp4462 +a(g50 +Vadd: +p4463 +tp4464 +a(g184 +V +tp4465 +a(g101 +Vmatch +p4466 +tp4467 +a(g197 +V] +tp4468 +a(g197 +V. +tp4469 +a(g184 +V\u000a +p4470 +tp4471 +a(g338 +V^ +tp4472 +a(g101 +Vresult +p4473 +tp4474 +a(g184 +V\u000a +tp4475 +a(g197 +V) +tp4476 +a(g184 +V\u000a\u000a +p4477 +tp4478 +a(g50 +VmatchesOnStream: +p4479 +tp4480 +a(g184 +V +tp4481 +a(g101 +VaStream +p4482 +tp4483 +a(g184 +V +tp4484 +a(g50 +Vcollect: +p4485 +tp4486 +a(g184 +V +tp4487 +a(g101 +VaBlock +p4488 +tp4489 +a(g184 +V +tp4490 +a(g338 +V= +tp4491 +a(g184 +V +tp4492 +a(g197 +V( +tp4493 +a(g184 +V\u000a +p4494 +tp4495 +a(g338 +V| +tp4496 +a(g184 +V +tp4497 +a(g101 +Vresult +p4498 +tp4499 +a(g184 +V +tp4500 +a(g338 +V| +tp4501 +a(g184 +V\u000a +p4502 +tp4503 +a(g101 +Vresult +p4504 +tp4505 +a(g338 +V:: +p4506 +tp4507 +a(g184 +V +tp4508 +a(g101 +VOrderedCollection +p4509 +tp4510 +a(g184 +V +tp4511 +a(g101 +Vnew +p4512 +tp4513 +a(g197 +V. +tp4514 +a(g184 +V\u000a +p4515 +tp4516 +a(g13 +Vself +p4517 +tp4518 +a(g184 +V\u000a +p4519 +tp4520 +a(g50 +VmatchesOnStream: +p4521 +tp4522 +a(g184 +V +tp4523 +a(g101 +VaStream +p4524 +tp4525 +a(g184 +V\u000a +p4526 +tp4527 +a(g50 +Vdo: +p4528 +tp4529 +a(g184 +V +tp4530 +a(g197 +V[ +tp4531 +a(g101 +V:match +p4532 +tp4533 +a(g184 +V +tp4534 +a(g338 +V| +tp4535 +a(g184 +V +tp4536 +a(g101 +Vresult +p4537 +tp4538 +a(g184 +V +tp4539 +a(g50 +Vadd: +p4540 +tp4541 +a(g184 +V +tp4542 +a(g197 +V( +tp4543 +a(g101 +VaBlock +p4544 +tp4545 +a(g184 +V +tp4546 +a(g50 +Vvalue: +p4547 +tp4548 +a(g184 +V +tp4549 +a(g101 +Vmatch +p4550 +tp4551 +a(g197 +V) +tp4552 +a(g197 +V] +tp4553 +a(g197 +V. +tp4554 +a(g184 +V\u000a +p4555 +tp4556 +a(g338 +V^ +tp4557 +a(g101 +Vresult +p4558 +tp4559 +a(g184 +V\u000a +tp4560 +a(g197 +V) +tp4561 +a(g184 +V\u000a\u000a +p4562 +tp4563 +a(g50 +VmatchesOnStream: +p4564 +tp4565 +a(g184 +V +tp4566 +a(g101 +VaStream +p4567 +tp4568 +a(g184 +V +tp4569 +a(g50 +Vdo: +p4570 +tp4571 +a(g184 +V +tp4572 +a(g101 +VaBlock +p4573 +tp4574 +a(g184 +V +tp4575 +a(g338 +V= +tp4576 +a(g184 +V +tp4577 +a(g197 +V( +tp4578 +a(g184 +V\u000a +p4579 +tp4580 +a(g26 +V"Be extra careful about successful matches which consume no input.\u000a After those, make sure to advance or finish if already at end." +p4581 +tp4582 +a(g184 +V\u000a\u000a +p4583 +tp4584 +a(g338 +V| +tp4585 +a(g184 +V +tp4586 +a(g101 +Vposition +p4587 +tp4588 +a(g184 +V +tp4589 +a(g338 +V| +tp4590 +a(g184 +V\u000a +p4591 +tp4592 +a(g197 +V[ +tp4593 +a(g101 +Vposition +p4594 +tp4595 +a(g338 +V:: +p4596 +tp4597 +a(g184 +V +tp4598 +a(g101 +VaStream +p4599 +tp4600 +a(g184 +V +tp4601 +a(g101 +Vposition +p4602 +tp4603 +a(g197 +V. +tp4604 +a(g184 +V\u000a +p4605 +tp4606 +a(g13 +Vself +p4607 +tp4608 +a(g184 +V +tp4609 +a(g50 +VsearchStream: +p4610 +tp4611 +a(g184 +V +tp4612 +a(g101 +VaStream +p4613 +tp4614 +a(g197 +V] +tp4615 +a(g184 +V +tp4616 +a(g50 +VwhileTrue: +p4617 +tp4618 +a(g184 +V\u000a +p4619 +tp4620 +a(g197 +V[ +tp4621 +a(g101 +VaBlock +p4622 +tp4623 +a(g184 +V +tp4624 +a(g50 +Vvalue: +p4625 +tp4626 +a(g184 +V +tp4627 +a(g197 +V( +tp4628 +a(g13 +Vself +p4629 +tp4630 +a(g184 +V +tp4631 +a(g50 +Vsubexpression: +p4632 +tp4633 +a(g184 +V +tp4634 +a(g313 +V1 +tp4635 +a(g197 +V) +tp4636 +a(g197 +V. +tp4637 +a(g184 +V\u000a +p4638 +tp4639 +a(g69 +Vposition +p4640 +tp4641 +a(g184 +V +tp4642 +a(g338 +V= +tp4643 +a(g184 +V +tp4644 +a(g101 +VaStream +p4645 +tp4646 +a(g184 +V +tp4647 +a(g101 +Vposition +p4648 +tp4649 +a(g184 +V +tp4650 +a(g50 +VifTrue: +p4651 +tp4652 +a(g184 +V \u000a +p4653 +tp4654 +a(g197 +V[ +tp4655 +a(g101 +VaStream +p4656 +tp4657 +a(g184 +V +tp4658 +a(g101 +VatEnd +p4659 +tp4660 +a(g184 +V\u000a +p4661 +tp4662 +a(g50 +VifTrue: +p4663 +tp4664 +a(g184 +V +tp4665 +a(g197 +V[ +tp4666 +a(g338 +V^ +tp4667 +a(g13 +Vself +p4668 +tp4669 +a(g197 +V] +tp4670 +a(g184 +V\u000a +p4671 +tp4672 +a(g50 +VifFalse: +p4673 +tp4674 +a(g184 +V +tp4675 +a(g197 +V[ +tp4676 +a(g101 +VaStream +p4677 +tp4678 +a(g184 +V +tp4679 +a(g101 +Vnext +p4680 +tp4681 +a(g197 +V] +tp4682 +a(g197 +V] +tp4683 +a(g197 +V] +tp4684 +a(g184 +V\u000a +tp4685 +a(g197 +V) +tp4686 +a(g184 +V\u000a\u000a +p4687 +tp4688 +a(g50 +VmatchingRangesIn: +p4689 +tp4690 +a(g184 +V +tp4691 +a(g101 +VaString +p4692 +tp4693 +a(g184 +V +tp4694 +a(g338 +V= +tp4695 +a(g184 +V +tp4696 +a(g197 +V( +tp4697 +a(g184 +V\u000a +p4698 +tp4699 +a(g26 +V"Search aString repeatedly for the matches of the receiver. Answer an OrderedCollection of ranges of each match (index of first character to: index of last character)." +p4700 +tp4701 +a(g184 +V\u000a\u000a +p4702 +tp4703 +a(g338 +V| +tp4704 +a(g184 +V +tp4705 +a(g101 +Vresult +p4706 +tp4707 +a(g184 +V +tp4708 +a(g338 +V| +tp4709 +a(g184 +V\u000a +p4710 +tp4711 +a(g101 +Vresult +p4712 +tp4713 +a(g338 +V:: +p4714 +tp4715 +a(g184 +V +tp4716 +a(g101 +VOrderedCollection +p4717 +tp4718 +a(g184 +V +tp4719 +a(g101 +Vnew +p4720 +tp4721 +a(g197 +V. +tp4722 +a(g184 +V\u000a +p4723 +tp4724 +a(g13 +Vself +p4725 +tp4726 +a(g184 +V\u000a +p4727 +tp4728 +a(g50 +VmatchesIn: +p4729 +tp4730 +a(g184 +V +tp4731 +a(g101 +VaString +p4732 +tp4733 +a(g184 +V \u000a +p4734 +tp4735 +a(g50 +Vdo: +p4736 +tp4737 +a(g184 +V +tp4738 +a(g197 +V[ +tp4739 +a(g101 +V:match +p4740 +tp4741 +a(g184 +V +tp4742 +a(g338 +V| +tp4743 +a(g184 +V +tp4744 +a(g101 +Vresult +p4745 +tp4746 +a(g184 +V +tp4747 +a(g50 +Vadd: +p4748 +tp4749 +a(g184 +V +tp4750 +a(g197 +V( +tp4751 +a(g13 +Vself +p4752 +tp4753 +a(g184 +V +tp4754 +a(g101 +Vposition +p4755 +tp4756 +a(g184 +V +tp4757 +a(g338 +V- +tp4758 +a(g184 +V +tp4759 +a(g101 +Vmatch +p4760 +tp4761 +a(g184 +V +tp4762 +a(g101 +Vsize +p4763 +tp4764 +a(g184 +V +tp4765 +a(g338 +V+ +tp4766 +a(g184 +V +tp4767 +a(g313 +V1 +tp4768 +a(g184 +V +tp4769 +a(g50 +Vto: +p4770 +tp4771 +a(g184 +V +tp4772 +a(g101 +Vself +p4773 +tp4774 +a(g184 +V +tp4775 +a(g101 +Vposition +p4776 +tp4777 +a(g197 +V) +tp4778 +a(g197 +V] +tp4779 +a(g197 +V. +tp4780 +a(g184 +V\u000a +p4781 +tp4782 +a(g338 +V^ +tp4783 +a(g101 +Vresult +p4784 +tp4785 +a(g184 +V\u000a +tp4786 +a(g197 +V) +tp4787 +a(g184 +V\u000a\u000a +p4788 +tp4789 +a(g221 +V'privileged' +p4790 +tp4791 +a(g184 +V\u000a +tp4792 +a(g69 +VcurrentState +p4793 +tp4794 +a(g184 +V +tp4795 +a(g338 +V= +tp4796 +a(g184 +V +tp4797 +a(g197 +V( +tp4798 +a(g184 +V\u000a +p4799 +tp4800 +a(g26 +V"Answer an opaque object that can later be used to restore the\u000a matcher's state (for backtracking)." +p4801 +tp4802 +a(g184 +V\u000a\u000a +p4803 +tp4804 +a(g338 +V| +tp4805 +a(g184 +V +tp4806 +a(g101 +VorigPosition +p4807 +tp4808 +a(g184 +V +tp4809 +a(g101 +VorigLastChar +p4810 +tp4811 +a(g184 +V +tp4812 +a(g338 +V| +tp4813 +a(g184 +V\u000a +p4814 +tp4815 +a(g101 +VorigPosition +p4816 +tp4817 +a(g338 +V:: +p4818 +tp4819 +a(g184 +V +tp4820 +a(g101 +Vstream +p4821 +tp4822 +a(g184 +V +tp4823 +a(g101 +Vposition +p4824 +tp4825 +a(g197 +V. +tp4826 +a(g184 +V\u000a +p4827 +tp4828 +a(g101 +VorigLastChar +p4829 +tp4830 +a(g338 +V:: +p4831 +tp4832 +a(g184 +V +tp4833 +a(g101 +VlastChar +p4834 +tp4835 +a(g197 +V. +tp4836 +a(g184 +V\u000a +p4837 +tp4838 +a(g338 +V^ +tp4839 +a(g184 +V +tp4840 +a(g197 +V[ +tp4841 +a(g101 +Vstream +p4842 +tp4843 +a(g184 +V +tp4844 +a(g50 +Vposition: +p4845 +tp4846 +a(g184 +V +tp4847 +a(g101 +VorigPosition +p4848 +tp4849 +a(g197 +V. +tp4850 +a(g184 +V\u000a +p4851 +tp4852 +a(g101 +VlastChar +p4853 +tp4854 +a(g338 +V:: +p4855 +tp4856 +a(g184 +V +tp4857 +a(g101 +VorigLastChar +p4858 +tp4859 +a(g197 +V] +tp4860 +a(g184 +V\u000a +tp4861 +a(g197 +V) +tp4862 +a(g184 +V\u000a\u000a +p4863 +tp4864 +a(g50 +VmarkerPositionAt: +p4865 +tp4866 +a(g184 +V +tp4867 +a(g101 +VanIndex +p4868 +tp4869 +a(g184 +V +tp4870 +a(g50 +Vadd: +p4871 +tp4872 +a(g184 +V +tp4873 +a(g101 +Vposition +p4874 +tp4875 +a(g184 +V +tp4876 +a(g338 +V= +tp4877 +a(g184 +V +tp4878 +a(g197 +V( +tp4879 +a(g184 +V\u000a +p4880 +tp4881 +a(g26 +V"Remember position of another instance of the given marker." +p4882 +tp4883 +a(g184 +V\u000a\u000a +p4884 +tp4885 +a(g197 +V( +tp4886 +a(g101 +VmarkerPositions +p4887 +tp4888 +a(g184 +V +tp4889 +a(g50 +Vat: +p4890 +tp4891 +a(g184 +V +tp4892 +a(g101 +VanIndex +p4893 +tp4894 +a(g197 +V) +tp4895 +a(g184 +V +tp4896 +a(g50 +VaddFirst: +p4897 +tp4898 +a(g184 +V +tp4899 +a(g101 +Vposition +p4900 +tp4901 +a(g184 +V\u000a +tp4902 +a(g197 +V) +tp4903 +a(g184 +V\u000a\u000a +p4904 +tp4905 +a(g50 +VrestoreState: +p4906 +tp4907 +a(g184 +V +tp4908 +a(g101 +VaBlock +p4909 +tp4910 +a(g184 +V +tp4911 +a(g338 +V= +tp4912 +a(g184 +V +tp4913 +a(g197 +V( +tp4914 +a(g184 +V\u000a +p4915 +tp4916 +a(g101 +VaBlock +p4917 +tp4918 +a(g184 +V +tp4919 +a(g101 +Vvalue +p4920 +tp4921 +a(g184 +V\u000a +tp4922 +a(g197 +V) +tp4923 +a(g184 +V\u000a\u000a +p4924 +tp4925 +a(g221 +V'double dispatch' +p4926 +tp4927 +a(g184 +V\u000a +tp4928 +a(g69 +VsyntaxAny +p4929 +tp4930 +a(g184 +V +tp4931 +a(g338 +V= +tp4932 +a(g184 +V +tp4933 +a(g197 +V( +tp4934 +a(g184 +V\u000a +p4935 +tp4936 +a(g26 +V"Double dispatch from the syntax tree. \u000a Create a matcher for any non-null character." +p4937 +tp4938 +a(g184 +V\u000a\u000a +p4939 +tp4940 +a(g338 +V^ +tp4941 +a(g101 +VRxmPredicate +p4942 +tp4943 +a(g184 +V +tp4944 +a(g101 +Vnew +p4945 +tp4946 +a(g184 +V\u000a +p4947 +tp4948 +a(g50 +Vpredicate: +p4949 +tp4950 +a(g184 +V +tp4951 +a(g197 +V[ +tp4952 +a(g101 +V:char +p4953 +tp4954 +a(g184 +V +tp4955 +a(g338 +V| +tp4956 +a(g184 +V +tp4957 +a(g101 +Vchar +p4958 +tp4959 +a(g184 +V +tp4960 +a(g101 +VasInteger +p4961 +tp4962 +a(g184 +V +tp4963 +a(g338 +V~ +tp4964 +a(g338 +V= +tp4965 +a(g184 +V +tp4966 +a(g313 +V0 +tp4967 +a(g197 +V] +tp4968 +a(g184 +V\u000a +tp4969 +a(g197 +V) +tp4970 +a(g184 +V\u000a\u000a +p4971 +tp4972 +a(g69 +VsyntaxBeginningOfLine +p4973 +tp4974 +a(g184 +V +tp4975 +a(g338 +V= +tp4976 +a(g184 +V +tp4977 +a(g197 +V( +tp4978 +a(g184 +V\u000a +p4979 +tp4980 +a(g26 +V"Double dispatch from the syntax tree. \u000a Create a matcher for beginning-of-line condition." +p4981 +tp4982 +a(g184 +V\u000a\u000a +p4983 +tp4984 +a(g338 +V^ +tp4985 +a(g101 +VRxmSpecial +p4986 +tp4987 +a(g184 +V +tp4988 +a(g101 +Vnew +p4989 +tp4990 +a(g184 +V +tp4991 +a(g101 +VbeBeginningOfLine +p4992 +tp4993 +a(g184 +V\u000a +tp4994 +a(g197 +V) +tp4995 +a(g184 +V\u000a\u000a +p4996 +tp4997 +a(g69 +VsyntaxBeginningOfWord +p4998 +tp4999 +a(g184 +V +tp5000 +a(g338 +V= +tp5001 +a(g184 +V +tp5002 +a(g197 +V( +tp5003 +a(g184 +V\u000a +p5004 +tp5005 +a(g26 +V"Double dispatch from the syntax tree. \u000a Create a matcher for beginning-of-word condition." +p5006 +tp5007 +a(g184 +V\u000a\u000a +p5008 +tp5009 +a(g338 +V^ +tp5010 +a(g101 +VRxmSpecial +p5011 +tp5012 +a(g184 +V +tp5013 +a(g101 +Vnew +p5014 +tp5015 +a(g184 +V +tp5016 +a(g101 +VbeBeginningOfWord +p5017 +tp5018 +a(g184 +V\u000a +tp5019 +a(g197 +V) +tp5020 +a(g184 +V\u000a\u000a +p5021 +tp5022 +a(g50 +VsyntaxBranch: +p5023 +tp5024 +a(g184 +V +tp5025 +a(g101 +VbranchNode +p5026 +tp5027 +a(g184 +V +tp5028 +a(g338 +V= +tp5029 +a(g184 +V +tp5030 +a(g197 +V( +tp5031 +a(g184 +V\u000a +p5032 +tp5033 +a(g26 +V"Double dispatch from the syntax tree. \u000a Branch node is a link in a chain of concatenated pieces.\u000a First build the matcher for the rest of the chain, then make \u000a it for the current piece and hook the rest to it." +p5034 +tp5035 +a(g184 +V\u000a\u000a +p5036 +tp5037 +a(g338 +V| +tp5038 +a(g184 +V +tp5039 +a(g101 +Vresult +p5040 +tp5041 +a(g184 +V +tp5042 +a(g101 +Vnext +p5043 +tp5044 +a(g184 +V +tp5045 +a(g101 +Vrest +p5046 +tp5047 +a(g184 +V +tp5048 +a(g338 +V| +tp5049 +a(g184 +V\u000a +p5050 +tp5051 +a(g101 +VbranchNode +p5052 +tp5053 +a(g184 +V +tp5054 +a(g101 +Vbranch +p5055 +tp5056 +a(g184 +V +tp5057 +a(g101 +VisNil +p5058 +tp5059 +a(g184 +V\u000a +p5060 +tp5061 +a(g50 +VifTrue: +p5062 +tp5063 +a(g184 +V +tp5064 +a(g197 +V[ +tp5065 +a(g338 +V^ +tp5066 +a(g101 +VbranchNode +p5067 +tp5068 +a(g184 +V +tp5069 +a(g101 +Vpiece +p5070 +tp5071 +a(g184 +V +tp5072 +a(g50 +VdispatchTo: +p5073 +tp5074 +a(g184 +V +tp5075 +a(g101 +Vself +p5076 +tp5077 +a(g197 +V] +tp5078 +a(g197 +V. +tp5079 +a(g184 +V\u000a +p5080 +tp5081 +a(g26 +V"Optimization: glue a sequence of individual characters into a single string to match." +p5082 +tp5083 +a(g184 +V\u000a +p5084 +tp5085 +a(g101 +VbranchNode +p5086 +tp5087 +a(g184 +V +tp5088 +a(g101 +Vpiece +p5089 +tp5090 +a(g184 +V +tp5091 +a(g101 +VisAtomic +p5092 +tp5093 +a(g184 +V +tp5094 +a(g50 +VifTrue: +p5095 +tp5096 +a(g184 +V\u000a +p5097 +tp5098 +a(g197 +V[ +tp5099 +a(g101 +Vresult +p5100 +tp5101 +a(g338 +V:: +p5102 +tp5103 +a(g184 +V +tp5104 +a(g101 +VWriteStream +p5105 +tp5106 +a(g184 +V +tp5107 +a(g50 +Von: +p5108 +tp5109 +a(g184 +V +tp5110 +a(g197 +V( +tp5111 +a(g101 +VString +p5112 +tp5113 +a(g184 +V +tp5114 +a(g50 +Vnew: +p5115 +tp5116 +a(g184 +V +tp5117 +a(g313 +V40 +p5118 +tp5119 +a(g197 +V) +tp5120 +a(g197 +V. +tp5121 +a(g184 +V\u000a +p5122 +tp5123 +a(g101 +Vnext +p5124 +tp5125 +a(g338 +V:: +p5126 +tp5127 +a(g184 +V +tp5128 +a(g101 +VbranchNode +p5129 +tp5130 +a(g184 +V +tp5131 +a(g50 +VtryMergingInto: +p5132 +tp5133 +a(g184 +V +tp5134 +a(g101 +Vresult +p5135 +tp5136 +a(g197 +V. +tp5137 +a(g184 +V\u000a +p5138 +tp5139 +a(g101 +Vresult +p5140 +tp5141 +a(g338 +V:: +p5142 +tp5143 +a(g184 +V +tp5144 +a(g101 +Vresult +p5145 +tp5146 +a(g184 +V +tp5147 +a(g101 +Vcontents +p5148 +tp5149 +a(g197 +V. +tp5150 +a(g184 +V\u000a +p5151 +tp5152 +a(g101 +Vresult +p5153 +tp5154 +a(g184 +V +tp5155 +a(g101 +Vsize +p5156 +tp5157 +a(g184 +V +tp5158 +a(g338 +V> +tp5159 +a(g184 +V +tp5160 +a(g313 +V1 +tp5161 +a(g184 +V +tp5162 +a(g50 +VifTrue: +p5163 +tp5164 +a(g184 +V +tp5165 +a(g26 +V"worth merging" +p5166 +tp5167 +a(g184 +V\u000a +p5168 +tp5169 +a(g197 +V[ +tp5170 +a(g101 +Vrest +p5171 +tp5172 +a(g338 +V:: +p5173 +tp5174 +a(g184 +V +tp5175 +a(g101 +Vnext +p5176 +tp5177 +a(g184 +V +tp5178 +a(g101 +VnotNil +p5179 +tp5180 +a(g184 +V \u000a +p5181 +tp5182 +a(g50 +VifTrue: +p5183 +tp5184 +a(g184 +V +tp5185 +a(g197 +V[ +tp5186 +a(g101 +Vnext +p5187 +tp5188 +a(g184 +V +tp5189 +a(g50 +VdispatchTo: +p5190 +tp5191 +a(g184 +V +tp5192 +a(g101 +Vself +p5193 +tp5194 +a(g197 +V] +tp5195 +a(g184 +V\u000a +p5196 +tp5197 +a(g50 +VifFalse: +p5198 +tp5199 +a(g184 +V +tp5200 +a(g197 +V[ +tp5201 +a(g13 +Vnil +p5202 +tp5203 +a(g197 +V] +tp5204 +a(g197 +V. +tp5205 +a(g184 +V\u000a +p5206 +tp5207 +a(g338 +V^ +tp5208 +a(g197 +V( +tp5209 +a(g101 +VRxmSubstring +p5210 +tp5211 +a(g184 +V +tp5212 +a(g101 +Vnew +p5213 +tp5214 +a(g184 +V +tp5215 +a(g50 +Vsubstring: +p5216 +tp5217 +a(g184 +V +tp5218 +a(g101 +Vresult +p5219 +tp5220 +a(g184 +V +tp5221 +a(g50 +VignoreCase: +p5222 +tp5223 +a(g184 +V +tp5224 +a(g101 +VignoreCase +p5225 +tp5226 +a(g197 +V) +tp5227 +a(g184 +V\u000a +p5228 +tp5229 +a(g50 +VpointTailTo: +p5230 +tp5231 +a(g184 +V +tp5232 +a(g101 +Vrest +p5233 +tp5234 +a(g197 +V; +tp5235 +a(g184 +V\u000a +p5236 +tp5237 +a(g101 +Vyourself +p5238 +tp5239 +a(g197 +V] +tp5240 +a(g197 +V] +tp5241 +a(g197 +V. +tp5242 +a(g184 +V\u000a +p5243 +tp5244 +a(g26 +V"No optimization possible or worth it, just concatenate all. " +p5245 +tp5246 +a(g184 +V\u000a +p5247 +tp5248 +a(g338 +V^ +tp5249 +a(g197 +V( +tp5250 +a(g101 +VbranchNode +p5251 +tp5252 +a(g184 +V +tp5253 +a(g101 +Vpiece +p5254 +tp5255 +a(g184 +V +tp5256 +a(g50 +VdispatchTo: +p5257 +tp5258 +a(g184 +V +tp5259 +a(g101 +Vself +p5260 +tp5261 +a(g197 +V) +tp5262 +a(g184 +V\u000a +p5263 +tp5264 +a(g50 +VpointTailTo: +p5265 +tp5266 +a(g184 +V +tp5267 +a(g197 +V( +tp5268 +a(g101 +VbranchNode +p5269 +tp5270 +a(g184 +V +tp5271 +a(g101 +Vbranch +p5272 +tp5273 +a(g184 +V +tp5274 +a(g50 +VdispatchTo: +p5275 +tp5276 +a(g184 +V +tp5277 +a(g101 +Vself +p5278 +tp5279 +a(g197 +V) +tp5280 +a(g197 +V; +tp5281 +a(g184 +V\u000a +p5282 +tp5283 +a(g101 +Vyourself +p5284 +tp5285 +a(g184 +V\u000a +tp5286 +a(g197 +V) +tp5287 +a(g184 +V\u000a\u000a +p5288 +tp5289 +a(g50 +VsyntaxCharSet: +p5290 +tp5291 +a(g184 +V +tp5292 +a(g101 +VcharSetNode +p5293 +tp5294 +a(g184 +V +tp5295 +a(g338 +V= +tp5296 +a(g184 +V +tp5297 +a(g197 +V( +tp5298 +a(g184 +V\u000a +p5299 +tp5300 +a(g26 +V"Double dispatch from the syntax tree. \u000a A character set is a few characters, and we either match any of them,\u000a or match any that is not one of them." +p5301 +tp5302 +a(g184 +V\u000a\u000a +p5303 +tp5304 +a(g338 +V^ +tp5305 +a(g101 +VRxmPredicate +p5306 +tp5307 +a(g184 +V +tp5308 +a(g50 +Vwith: +p5309 +tp5310 +a(g184 +V +tp5311 +a(g197 +V( +tp5312 +a(g101 +VcharSetNode +p5313 +tp5314 +a(g184 +V +tp5315 +a(g50 +VpredicateIgnoringCase: +p5316 +tp5317 +a(g184 +V +tp5318 +a(g101 +VignoreCase +p5319 +tp5320 +a(g197 +V) +tp5321 +a(g184 +V\u000a +tp5322 +a(g197 +V) +tp5323 +a(g184 +V\u000a\u000a +p5324 +tp5325 +a(g50 +VsyntaxCharacter: +p5326 +tp5327 +a(g184 +V +tp5328 +a(g101 +VcharNode +p5329 +tp5330 +a(g184 +V +tp5331 +a(g338 +V= +tp5332 +a(g184 +V +tp5333 +a(g197 +V( +tp5334 +a(g184 +V\u000a +p5335 +tp5336 +a(g26 +V"Double dispatch from the syntax tree. \u000a We get here when no merging characters into strings was possible." +p5337 +tp5338 +a(g184 +V\u000a\u000a +p5339 +tp5340 +a(g338 +V| +tp5341 +a(g184 +V +tp5342 +a(g101 +Vwanted +p5343 +tp5344 +a(g184 +V +tp5345 +a(g338 +V| +tp5346 +a(g184 +V\u000a +p5347 +tp5348 +a(g101 +Vwanted +p5349 +tp5350 +a(g338 +V:: +p5351 +tp5352 +a(g184 +V +tp5353 +a(g101 +VcharNode +p5354 +tp5355 +a(g184 +V +tp5356 +a(g101 +Vcharacter +p5357 +tp5358 +a(g197 +V. +tp5359 +a(g184 +V\u000a +p5360 +tp5361 +a(g338 +V^ +tp5362 +a(g101 +VRxmPredicate +p5363 +tp5364 +a(g184 +V +tp5365 +a(g101 +Vnew +p5366 +tp5367 +a(g184 +V +tp5368 +a(g50 +Vpredicate: +p5369 +tp5370 +a(g184 +V \u000a +p5371 +tp5372 +a(g197 +V( +tp5373 +a(g101 +VignoreCase +p5374 +tp5375 +a(g184 +V\u000a +p5376 +tp5377 +a(g50 +VifTrue: +p5378 +tp5379 +a(g184 +V +tp5380 +a(g197 +V[ +tp5381 +a(g197 +V[ +tp5382 +a(g101 +V:char +p5383 +tp5384 +a(g184 +V +tp5385 +a(g338 +V| +tp5386 +a(g184 +V +tp5387 +a(g101 +Vchar +p5388 +tp5389 +a(g184 +V +tp5390 +a(g50 +VsameAs: +p5391 +tp5392 +a(g184 +V +tp5393 +a(g101 +Vwanted +p5394 +tp5395 +a(g197 +V] +tp5396 +a(g197 +V] +tp5397 +a(g184 +V\u000a +p5398 +tp5399 +a(g50 +VifFalse: +p5400 +tp5401 +a(g184 +V +tp5402 +a(g197 +V[ +tp5403 +a(g197 +V[ +tp5404 +a(g101 +V:char +p5405 +tp5406 +a(g184 +V +tp5407 +a(g338 +V| +tp5408 +a(g184 +V +tp5409 +a(g69 +Vchar +p5410 +tp5411 +a(g184 +V +tp5412 +a(g338 +V= +tp5413 +a(g184 +V +tp5414 +a(g101 +Vwanted +p5415 +tp5416 +a(g197 +V] +tp5417 +a(g197 +V] +tp5418 +a(g197 +V) +tp5419 +a(g184 +V\u000a +tp5420 +a(g197 +V) +tp5421 +a(g184 +V\u000a\u000a +p5422 +tp5423 +a(g69 +VsyntaxEndOfLine +p5424 +tp5425 +a(g184 +V +tp5426 +a(g338 +V= +tp5427 +a(g184 +V +tp5428 +a(g197 +V( +tp5429 +a(g184 +V\u000a +p5430 +tp5431 +a(g26 +V"Double dispatch from the syntax tree. \u000a Create a matcher for end-of-line condition." +p5432 +tp5433 +a(g184 +V\u000a\u000a +p5434 +tp5435 +a(g338 +V^ +tp5436 +a(g101 +VRxmSpecial +p5437 +tp5438 +a(g184 +V +tp5439 +a(g101 +Vnew +p5440 +tp5441 +a(g184 +V +tp5442 +a(g101 +VbeEndOfLine +p5443 +tp5444 +a(g184 +V\u000a +tp5445 +a(g197 +V) +tp5446 +a(g184 +V\u000a\u000a +p5447 +tp5448 +a(g69 +VsyntaxEndOfWord +p5449 +tp5450 +a(g184 +V +tp5451 +a(g338 +V= +tp5452 +a(g184 +V +tp5453 +a(g197 +V( +tp5454 +a(g184 +V\u000a +p5455 +tp5456 +a(g26 +V"Double dispatch from the syntax tree. \u000a Create a matcher for end-of-word condition." +p5457 +tp5458 +a(g184 +V\u000a\u000a +p5459 +tp5460 +a(g338 +V^ +tp5461 +a(g101 +VRxmSpecial +p5462 +tp5463 +a(g184 +V +tp5464 +a(g101 +Vnew +p5465 +tp5466 +a(g184 +V +tp5467 +a(g101 +VbeEndOfWord +p5468 +tp5469 +a(g184 +V\u000a +tp5470 +a(g197 +V) +tp5471 +a(g184 +V\u000a\u000a +p5472 +tp5473 +a(g69 +VsyntaxEpsilon +p5474 +tp5475 +a(g184 +V +tp5476 +a(g338 +V= +tp5477 +a(g184 +V +tp5478 +a(g197 +V( +tp5479 +a(g184 +V\u000a +p5480 +tp5481 +a(g26 +V"Double dispatch from the syntax tree. Match empty string. This is unlikely\u000a to happen in sane expressions, so we'll live without special epsilon-nodes." +p5482 +tp5483 +a(g184 +V\u000a\u000a +p5484 +tp5485 +a(g338 +V^ +tp5486 +a(g101 +VRxmSubstring +p5487 +tp5488 +a(g184 +V +tp5489 +a(g101 +Vnew +p5490 +tp5491 +a(g184 +V\u000a +p5492 +tp5493 +a(g50 +Vsubstring: +p5494 +tp5495 +a(g184 +V +tp5496 +a(g101 +VString +p5497 +tp5498 +a(g184 +V +tp5499 +a(g101 +Vnew +p5500 +tp5501 +a(g184 +V\u000a +p5502 +tp5503 +a(g50 +VignoreCase: +p5504 +tp5505 +a(g184 +V +tp5506 +a(g101 +VignoreCase +p5507 +tp5508 +a(g184 +V\u000a +tp5509 +a(g197 +V) +tp5510 +a(g184 +V\u000a\u000a +p5511 +tp5512 +a(g50 +VsyntaxMessagePredicate: +p5513 +tp5514 +a(g184 +V +tp5515 +a(g101 +VmessagePredicateNode +p5516 +tp5517 +a(g184 +V +tp5518 +a(g338 +V= +tp5519 +a(g184 +V +tp5520 +a(g197 +V( +tp5521 +a(g184 +V\u000a +p5522 +tp5523 +a(g26 +V"Double dispatch from the syntax tree. \u000a Special link can handle predicates." +p5524 +tp5525 +a(g184 +V\u000a\u000a +p5526 +tp5527 +a(g338 +V^ +tp5528 +a(g101 +VmessagePredicateNode +p5529 +tp5530 +a(g184 +V +tp5531 +a(g101 +Vnegated +p5532 +tp5533 +a(g184 +V\u000a +p5534 +tp5535 +a(g50 +VifTrue: +p5536 +tp5537 +a(g184 +V +tp5538 +a(g197 +V[ +tp5539 +a(g101 +VRxmPredicate +p5540 +tp5541 +a(g184 +V +tp5542 +a(g101 +Vnew +p5543 +tp5544 +a(g184 +V +tp5545 +a(g50 +VbePerformNot: +p5546 +tp5547 +a(g184 +V +tp5548 +a(g101 +VmessagePredicateNode +p5549 +tp5550 +a(g184 +V +tp5551 +a(g101 +Vselector +p5552 +tp5553 +a(g197 +V] +tp5554 +a(g184 +V\u000a +p5555 +tp5556 +a(g50 +VifFalse: +p5557 +tp5558 +a(g184 +V +tp5559 +a(g197 +V[ +tp5560 +a(g101 +VRxmPredicate +p5561 +tp5562 +a(g184 +V +tp5563 +a(g101 +Vnew +p5564 +tp5565 +a(g184 +V +tp5566 +a(g50 +VbePerform: +p5567 +tp5568 +a(g184 +V +tp5569 +a(g101 +VmessagePredicateNode +p5570 +tp5571 +a(g184 +V +tp5572 +a(g101 +Vselector +p5573 +tp5574 +a(g197 +V] +tp5575 +a(g184 +V\u000a +tp5576 +a(g197 +V) +tp5577 +a(g184 +V\u000a\u000a +p5578 +tp5579 +a(g69 +VsyntaxNonWordBoundary +p5580 +tp5581 +a(g184 +V +tp5582 +a(g338 +V= +tp5583 +a(g184 +V +tp5584 +a(g197 +V( +tp5585 +a(g184 +V\u000a +p5586 +tp5587 +a(g26 +V"Double dispatch from the syntax tree. \u000a Create a matcher for the word boundary condition." +p5588 +tp5589 +a(g184 +V\u000a\u000a +p5590 +tp5591 +a(g338 +V^ +tp5592 +a(g101 +VRxmSpecial +p5593 +tp5594 +a(g184 +V +tp5595 +a(g101 +Vnew +p5596 +tp5597 +a(g184 +V +tp5598 +a(g101 +VbeNotWordBoundary +p5599 +tp5600 +a(g184 +V\u000a +tp5601 +a(g197 +V) +tp5602 +a(g184 +V\u000a\u000a +p5603 +tp5604 +a(g50 +VsyntaxPiece: +p5605 +tp5606 +a(g184 +V +tp5607 +a(g101 +VpieceNode +p5608 +tp5609 +a(g184 +V +tp5610 +a(g338 +V= +tp5611 +a(g184 +V +tp5612 +a(g197 +V( +tp5613 +a(g184 +V\u000a +p5614 +tp5615 +a(g26 +V"Double dispatch from the syntax tree. \u000a Piece is an atom repeated a few times. Take care of a special\u000a case when the atom is repeated just once." +p5616 +tp5617 +a(g184 +V\u000a\u000a +p5618 +tp5619 +a(g338 +V| +tp5620 +a(g184 +V +tp5621 +a(g101 +Vatom +p5622 +tp5623 +a(g184 +V +tp5624 +a(g338 +V| +tp5625 +a(g184 +V\u000a +p5626 +tp5627 +a(g101 +Vatom +p5628 +tp5629 +a(g338 +V:: +p5630 +tp5631 +a(g184 +V +tp5632 +a(g101 +VpieceNode +p5633 +tp5634 +a(g184 +V +tp5635 +a(g101 +Vatom +p5636 +tp5637 +a(g184 +V +tp5638 +a(g50 +VdispatchTo: +p5639 +tp5640 +a(g184 +V +tp5641 +a(g101 +Vself +p5642 +tp5643 +a(g197 +V. +tp5644 +a(g184 +V\u000a +p5645 +tp5646 +a(g338 +V^ +tp5647 +a(g101 +VpieceNode +p5648 +tp5649 +a(g184 +V +tp5650 +a(g101 +VisSingular +p5651 +tp5652 +a(g184 +V\u000a +p5653 +tp5654 +a(g50 +VifTrue: +p5655 +tp5656 +a(g184 +V +tp5657 +a(g197 +V[ +tp5658 +a(g101 +Vatom +p5659 +tp5660 +a(g197 +V] +tp5661 +a(g184 +V\u000a +p5662 +tp5663 +a(g50 +VifFalse: +p5664 +tp5665 +a(g184 +V +tp5666 +a(g197 +V[ +tp5667 +a(g101 +VpieceNode +p5668 +tp5669 +a(g184 +V +tp5670 +a(g101 +VisStar +p5671 +tp5672 +a(g184 +V\u000a +p5673 +tp5674 +a(g50 +VifTrue: +p5675 +tp5676 +a(g184 +V +tp5677 +a(g197 +V[ +tp5678 +a(g13 +Vself +p5679 +tp5680 +a(g184 +V +tp5681 +a(g50 +VmakeStar: +p5682 +tp5683 +a(g184 +V +tp5684 +a(g101 +Vatom +p5685 +tp5686 +a(g197 +V] +tp5687 +a(g184 +V\u000a +p5688 +tp5689 +a(g50 +VifFalse: +p5690 +tp5691 +a(g184 +V +tp5692 +a(g197 +V[ +tp5693 +a(g101 +VpieceNode +p5694 +tp5695 +a(g184 +V +tp5696 +a(g101 +VisPlus +p5697 +tp5698 +a(g184 +V\u000a +p5699 +tp5700 +a(g50 +VifTrue: +p5701 +tp5702 +a(g184 +V +tp5703 +a(g197 +V[ +tp5704 +a(g13 +Vself +p5705 +tp5706 +a(g184 +V +tp5707 +a(g50 +VmakePlus: +p5708 +tp5709 +a(g184 +V +tp5710 +a(g101 +Vatom +p5711 +tp5712 +a(g197 +V] +tp5713 +a(g184 +V\u000a +p5714 +tp5715 +a(g50 +VifFalse: +p5716 +tp5717 +a(g184 +V +tp5718 +a(g197 +V[ +tp5719 +a(g101 +VpieceNode +p5720 +tp5721 +a(g184 +V +tp5722 +a(g101 +VisOptional +p5723 +tp5724 +a(g184 +V\u000a +p5725 +tp5726 +a(g50 +VifTrue: +p5727 +tp5728 +a(g184 +V +tp5729 +a(g197 +V[ +tp5730 +a(g13 +Vself +p5731 +tp5732 +a(g184 +V +tp5733 +a(g50 +VmakeOptional: +p5734 +tp5735 +a(g184 +V +tp5736 +a(g101 +Vatom +p5737 +tp5738 +a(g197 +V] +tp5739 +a(g184 +V\u000a +p5740 +tp5741 +a(g50 +VifFalse: +p5742 +tp5743 +a(g184 +V +tp5744 +a(g197 +V[ +tp5745 +a(g101 +VCompilationError +p5746 +tp5747 +a(g184 +V +tp5748 +a(g50 +Vsignal: +p5749 +tp5750 +a(g184 +V \u000a +p5751 +tp5752 +a(g221 +V'repetitions are not supported by RxMatcher' +p5753 +tp5754 +a(g197 +V] +tp5755 +a(g197 +V] +tp5756 +a(g197 +V] +tp5757 +a(g197 +V] +tp5758 +a(g184 +V\u000a +tp5759 +a(g197 +V) +tp5760 +a(g184 +V\u000a\u000a +p5761 +tp5762 +a(g50 +VsyntaxPredicate: +p5763 +tp5764 +a(g184 +V +tp5765 +a(g101 +VpredicateNode +p5766 +tp5767 +a(g184 +V +tp5768 +a(g338 +V= +tp5769 +a(g184 +V +tp5770 +a(g197 +V( +tp5771 +a(g184 +V\u000a +p5772 +tp5773 +a(g26 +V"Double dispatch from the syntax tree. \u000a A character set is a few characters, and we either match any of them,\u000a or match any that is not one of them." +p5774 +tp5775 +a(g184 +V\u000a\u000a +p5776 +tp5777 +a(g338 +V^ +tp5778 +a(g101 +VRxmPredicate +p5779 +tp5780 +a(g184 +V +tp5781 +a(g50 +Vwith: +p5782 +tp5783 +a(g184 +V +tp5784 +a(g101 +VpredicateNode +p5785 +tp5786 +a(g184 +V +tp5787 +a(g101 +Vpredicate +p5788 +tp5789 +a(g184 +V\u000a +tp5790 +a(g197 +V) +tp5791 +a(g184 +V\u000a\u000a +p5792 +tp5793 +a(g50 +VsyntaxRegex: +p5794 +tp5795 +a(g184 +V +tp5796 +a(g101 +VregexNode +p5797 +tp5798 +a(g184 +V +tp5799 +a(g338 +V= +tp5800 +a(g184 +V +tp5801 +a(g197 +V( +tp5802 +a(g184 +V\u000a +p5803 +tp5804 +a(g26 +V"Double dispatch from the syntax tree. \u000a Regex node is a chain of branches to be tried. Should compile this \u000a into a bundle of parallel branches, between two marker nodes." +p5805 +tp5806 +a(g184 +V \u000a \u000a +p5807 +tp5808 +a(g338 +V| +tp5809 +a(g184 +V +tp5810 +a(g101 +VstartIndex +p5811 +tp5812 +a(g184 +V +tp5813 +a(g101 +VendIndex +p5814 +tp5815 +a(g184 +V +tp5816 +a(g101 +VendNode +p5817 +tp5818 +a(g184 +V +tp5819 +a(g101 +Valternatives +p5820 +tp5821 +a(g184 +V +tp5822 +a(g338 +V| +tp5823 +a(g184 +V\u000a +p5824 +tp5825 +a(g101 +VstartIndex +p5826 +tp5827 +a(g338 +V:: +p5828 +tp5829 +a(g184 +V +tp5830 +a(g13 +Vself +p5831 +tp5832 +a(g184 +V +tp5833 +a(g101 +VallocateMarker +p5834 +tp5835 +a(g197 +V. +tp5836 +a(g184 +V\u000a +p5837 +tp5838 +a(g101 +VendIndex +p5839 +tp5840 +a(g338 +V:: +p5841 +tp5842 +a(g184 +V +tp5843 +a(g13 +Vself +p5844 +tp5845 +a(g184 +V +tp5846 +a(g101 +VallocateMarker +p5847 +tp5848 +a(g197 +V. +tp5849 +a(g184 +V\u000a +p5850 +tp5851 +a(g101 +VendNode +p5852 +tp5853 +a(g338 +V:: +p5854 +tp5855 +a(g184 +V +tp5856 +a(g101 +VRxmMarker +p5857 +tp5858 +a(g184 +V +tp5859 +a(g101 +Vnew +p5860 +tp5861 +a(g184 +V +tp5862 +a(g50 +Vindex: +p5863 +tp5864 +a(g184 +V +tp5865 +a(g101 +VendIndex +p5866 +tp5867 +a(g197 +V. +tp5868 +a(g184 +V\u000a +p5869 +tp5870 +a(g101 +Valternatives +p5871 +tp5872 +a(g338 +V:: +p5873 +tp5874 +a(g184 +V +tp5875 +a(g13 +Vself +p5876 +tp5877 +a(g184 +V +tp5878 +a(g50 +VhookBranchOf: +p5879 +tp5880 +a(g184 +V +tp5881 +a(g101 +VregexNode +p5882 +tp5883 +a(g184 +V +tp5884 +a(g50 +Vonto: +p5885 +tp5886 +a(g184 +V +tp5887 +a(g101 +VendNode +p5888 +tp5889 +a(g197 +V. +tp5890 +a(g184 +V\u000a +p5891 +tp5892 +a(g338 +V^ +tp5893 +a(g197 +V( +tp5894 +a(g101 +VRxmMarker +p5895 +tp5896 +a(g184 +V +tp5897 +a(g101 +Vnew +p5898 +tp5899 +a(g184 +V +tp5900 +a(g50 +Vindex: +p5901 +tp5902 +a(g184 +V +tp5903 +a(g101 +VstartIndex +p5904 +tp5905 +a(g197 +V) +tp5906 +a(g184 +V\u000a +p5907 +tp5908 +a(g50 +VpointTailTo: +p5909 +tp5910 +a(g184 +V +tp5911 +a(g101 +Valternatives +p5912 +tp5913 +a(g197 +V; +tp5914 +a(g184 +V\u000a +p5915 +tp5916 +a(g101 +Vyourself +p5917 +tp5918 +a(g184 +V\u000a +tp5919 +a(g197 +V) +tp5920 +a(g184 +V\u000a\u000a +p5921 +tp5922 +a(g69 +VsyntaxWordBoundary +p5923 +tp5924 +a(g184 +V +tp5925 +a(g338 +V= +tp5926 +a(g184 +V +tp5927 +a(g197 +V( +tp5928 +a(g184 +V\u000a +p5929 +tp5930 +a(g26 +V"Double dispatch from the syntax tree. \u000a Create a matcher for the word boundary condition." +p5931 +tp5932 +a(g184 +V\u000a\u000a +p5933 +tp5934 +a(g338 +V^ +tp5935 +a(g101 +VRxmSpecial +p5936 +tp5937 +a(g184 +V +tp5938 +a(g101 +Vnew +p5939 +tp5940 +a(g184 +V +tp5941 +a(g101 +VbeWordBoundary +p5942 +tp5943 +a(g184 +V\u000a +tp5944 +a(g197 +V) +tp5945 +a(g184 +V\u000a\u000a +p5946 +tp5947 +a(g197 +V) +tp5948 +a(g184 +V +tp5949 +a(g338 +V: +tp5950 +a(g184 +V +tp5951 +a(g197 +V( +tp5952 +a(g184 +V\u000a +tp5953 +a(g221 +V'as yet unclassified' +p5954 +tp5955 +a(g184 +V\u000a +tp5956 +a(g50 +Vfor: +p5957 +tp5958 +a(g184 +V +tp5959 +a(g101 +VaRegex +p5960 +tp5961 +a(g184 +V +tp5962 +a(g338 +V= +tp5963 +a(g184 +V +tp5964 +a(g197 +V( +tp5965 +a(g184 +V\u000a +p5966 +tp5967 +a(g338 +V^ +tp5968 +a(g13 +Vself +p5969 +tp5970 +a(g184 +V +tp5971 +a(g50 +Vfor: +p5972 +tp5973 +a(g184 +V +tp5974 +a(g101 +VaRegex +p5975 +tp5976 +a(g184 +V +tp5977 +a(g50 +VignoreCase: +p5978 +tp5979 +a(g184 +V +tp5980 +a(g101 +Vfalse +p5981 +tp5982 +a(g184 +V\u000a +tp5983 +a(g197 +V) +tp5984 +a(g184 +V\u000a\u000a +p5985 +tp5986 +a(g50 +VforString: +p5987 +tp5988 +a(g184 +V +tp5989 +a(g101 +VaString +p5990 +tp5991 +a(g184 +V +tp5992 +a(g338 +V= +tp5993 +a(g184 +V +tp5994 +a(g197 +V( +tp5995 +a(g184 +V\u000a +p5996 +tp5997 +a(g26 +V"Create and answer a matcher that will match the regular expression\u000a `aString'." +p5998 +tp5999 +a(g184 +V\u000a\u000a +p6000 +tp6001 +a(g338 +V^ +tp6002 +a(g13 +Vself +p6003 +tp6004 +a(g184 +V +tp6005 +a(g50 +Vfor: +p6006 +tp6007 +a(g184 +V +tp6008 +a(g197 +V( +tp6009 +a(g101 +VRxParser +p6010 +tp6011 +a(g184 +V +tp6012 +a(g101 +Vnew +p6013 +tp6014 +a(g184 +V +tp6015 +a(g50 +Vparse: +p6016 +tp6017 +a(g184 +V +tp6018 +a(g101 +VaString +p6019 +tp6020 +a(g197 +V) +tp6021 +a(g184 +V\u000a +tp6022 +a(g197 +V) +tp6023 +a(g184 +V\u000a\u000a +p6024 +tp6025 +a(g50 +VforString: +p6026 +tp6027 +a(g184 +V +tp6028 +a(g101 +VaString +p6029 +tp6030 +a(g184 +V +tp6031 +a(g50 +VignoreCase: +p6032 +tp6033 +a(g184 +V +tp6034 +a(g101 +VaBoolean +p6035 +tp6036 +a(g184 +V +tp6037 +a(g338 +V= +tp6038 +a(g184 +V +tp6039 +a(g197 +V( +tp6040 +a(g184 +V\u000a +p6041 +tp6042 +a(g26 +V"Create and answer a matcher that will match the regular expression\u000a `aString'." +p6043 +tp6044 +a(g184 +V\u000a\u000a +p6045 +tp6046 +a(g338 +V^ +tp6047 +a(g13 +Vself +p6048 +tp6049 +a(g184 +V +tp6050 +a(g50 +Vfor: +p6051 +tp6052 +a(g184 +V +tp6053 +a(g197 +V( +tp6054 +a(g101 +VRxParser +p6055 +tp6056 +a(g184 +V +tp6057 +a(g101 +Vnew +p6058 +tp6059 +a(g184 +V +tp6060 +a(g50 +Vparse: +p6061 +tp6062 +a(g184 +V +tp6063 +a(g101 +VaString +p6064 +tp6065 +a(g197 +V) +tp6066 +a(g184 +V +tp6067 +a(g50 +VignoreCase: +p6068 +tp6069 +a(g184 +V +tp6070 +a(g101 +VaBoolean +p6071 +tp6072 +a(g184 +V\u000a +tp6073 +a(g197 +V) +tp6074 +a(g184 +V\u000a\u000a +p6075 +tp6076 +a(g197 +V) +tp6077 +a(g184 +V\u000a\u000a +p6078 +tp6079 +a(g7 +Vclass +p6080 +tp6081 +a(g184 +V +tp6082 +a(g134 +VRxMatchOptimizer +p6083 +tp6084 +a(g184 +V +tp6085 +a(g50 +Vfor: +p6086 +tp6087 +a(g184 +V +tp6088 +a(g101 +VaRegex +p6089 +tp6090 +a(g184 +V +tp6091 +a(g50 +VignoreCase: +p6092 +tp6093 +a(g184 +V +tp6094 +a(g101 +VaBoolean +p6095 +tp6096 +a(g184 +V +tp6097 +a(g338 +V= +tp6098 +a(g184 +V +tp6099 +a(g197 +V( +tp6100 +a(g184 +V\u000a +tp6101 +a(g26 +V"A match start optimizer, handy for searching a string. Takes a regex syntax tree and sets itself up so that prefix characters or matcher states that cannot start a match are later recognized with #canStartMatch:in: method.\u000a\u000aUsed by RxMatcher, but can be used by other matchers (if implemented) as well." +p6102 +tp6103 +a(g184 +V\u000a +tp6104 +a(g338 +V| +tp6105 +a(g184 +V\u000a +p6106 +tp6107 +a(g101 +VignoreCase +p6108 +tp6109 +a(g184 +V +tp6110 +a(g101 +Vprefixes +p6111 +tp6112 +a(g184 +V +tp6113 +a(g101 +VnonPrefixes +p6114 +tp6115 +a(g184 +V +tp6116 +a(g101 +Vconditions +p6117 +tp6118 +a(g184 +V +tp6119 +a(g101 +VtestBlock +p6120 +tp6121 +a(g184 +V +tp6122 +a(g101 +VmethodPredicates +p6123 +tp6124 +a(g184 +V +tp6125 +a(g101 +VnonMethodPredicates +p6126 +tp6127 +a(g184 +V +tp6128 +a(g101 +Vpredicates +p6129 +tp6130 +a(g184 +V +tp6131 +a(g101 +VnonPredicates +p6132 +tp6133 +a(g184 +V\u000a +tp6134 +a(g338 +V| +tp6135 +a(g184 +V\u000a +p6136 +tp6137 +a(g101 +VignoreCase +p6138 +tp6139 +a(g338 +V:: +p6140 +tp6141 +a(g184 +V +tp6142 +a(g101 +VaBoolean +p6143 +tp6144 +a(g197 +V. +tp6145 +a(g184 +V\u000a +p6146 +tp6147 +a(g101 +Vprefixes +p6148 +tp6149 +a(g338 +V:: +p6150 +tp6151 +a(g184 +V +tp6152 +a(g101 +VSet +p6153 +tp6154 +a(g184 +V +tp6155 +a(g50 +Vnew: +p6156 +tp6157 +a(g184 +V +tp6158 +a(g305 +V10. +p6159 +tp6160 +a(g184 +V\u000a +p6161 +tp6162 +a(g101 +VnonPrefixes +p6163 +tp6164 +a(g338 +V:: +p6165 +tp6166 +a(g184 +V +tp6167 +a(g101 +VSet +p6168 +tp6169 +a(g184 +V +tp6170 +a(g50 +Vnew: +p6171 +tp6172 +a(g184 +V +tp6173 +a(g305 +V10. +p6174 +tp6175 +a(g184 +V\u000a +p6176 +tp6177 +a(g101 +Vconditions +p6178 +tp6179 +a(g338 +V:: +p6180 +tp6181 +a(g184 +V +tp6182 +a(g101 +VSet +p6183 +tp6184 +a(g184 +V +tp6185 +a(g50 +Vnew: +p6186 +tp6187 +a(g184 +V +tp6188 +a(g305 +V3. +p6189 +tp6190 +a(g184 +V\u000a +p6191 +tp6192 +a(g101 +VmethodPredicates +p6193 +tp6194 +a(g338 +V:: +p6195 +tp6196 +a(g184 +V +tp6197 +a(g101 +VSet +p6198 +tp6199 +a(g184 +V +tp6200 +a(g50 +Vnew: +p6201 +tp6202 +a(g184 +V +tp6203 +a(g305 +V3. +p6204 +tp6205 +a(g184 +V\u000a +p6206 +tp6207 +a(g101 +VnonMethodPredicates +p6208 +tp6209 +a(g338 +V:: +p6210 +tp6211 +a(g184 +V +tp6212 +a(g101 +VSet +p6213 +tp6214 +a(g184 +V +tp6215 +a(g50 +Vnew: +p6216 +tp6217 +a(g184 +V +tp6218 +a(g305 +V3. +p6219 +tp6220 +a(g184 +V\u000a +p6221 +tp6222 +a(g101 +Vpredicates +p6223 +tp6224 +a(g338 +V:: +p6225 +tp6226 +a(g184 +V +tp6227 +a(g101 +VSet +p6228 +tp6229 +a(g184 +V +tp6230 +a(g50 +Vnew: +p6231 +tp6232 +a(g184 +V +tp6233 +a(g305 +V3. +p6234 +tp6235 +a(g184 +V\u000a +p6236 +tp6237 +a(g101 +VnonPredicates +p6238 +tp6239 +a(g338 +V:: +p6240 +tp6241 +a(g184 +V +tp6242 +a(g101 +VSet +p6243 +tp6244 +a(g184 +V +tp6245 +a(g50 +Vnew: +p6246 +tp6247 +a(g184 +V +tp6248 +a(g305 +V3. +p6249 +tp6250 +a(g184 +V\u000a +p6251 +tp6252 +a(g101 +VaRegex +p6253 +tp6254 +a(g184 +V +tp6255 +a(g50 +VdispatchTo: +p6256 +tp6257 +a(g184 +V +tp6258 +a(g101 +Vself +p6259 +tp6260 +a(g197 +V. +tp6261 +a(g184 +V +tp6262 +a(g26 +V"If the whole expression is nullable, \u000a end-of-line is an implicit can-match condition!" +p6263 +tp6264 +a(g184 +V\u000a +p6265 +tp6266 +a(g101 +VaRegex +p6267 +tp6268 +a(g184 +V +tp6269 +a(g101 +VisNullable +p6270 +tp6271 +a(g184 +V +tp6272 +a(g50 +VifTrue: +p6273 +tp6274 +a(g184 +V +tp6275 +a(g197 +V[ +tp6276 +a(g101 +Vconditions +p6277 +tp6278 +a(g184 +V +tp6279 +a(g50 +Vadd: +p6280 +tp6281 +a(g184 +V +tp6282 +a(g243 +V#atEndOfLine +p6283 +tp6284 +a(g197 +V] +tp6285 +a(g197 +V. +tp6286 +a(g184 +V\u000a +p6287 +tp6288 +a(g101 +VtestBlock +p6289 +tp6290 +a(g338 +V:: +p6291 +tp6292 +a(g184 +V +tp6293 +a(g13 +Vself +p6294 +tp6295 +a(g184 +V +tp6296 +a(g101 +VdetermineTestMethod +p6297 +tp6298 +a(g184 +V\u000a +tp6299 +a(g197 +V) +tp6300 +a(g184 +V\u000a +tp6301 +a(g197 +V( +tp6302 +a(g221 +V'accessing' +p6303 +tp6304 +a(g184 +V\u000a +tp6305 +a(g50 +VcanStartMatch: +p6306 +tp6307 +a(g184 +V +tp6308 +a(g101 +VaCharacter +p6309 +tp6310 +a(g184 +V +tp6311 +a(g50 +Vin: +p6312 +tp6313 +a(g184 +V +tp6314 +a(g101 +VaMatcher +p6315 +tp6316 +a(g184 +V +tp6317 +a(g338 +V= +tp6318 +a(g184 +V +tp6319 +a(g197 +V( +tp6320 +a(g184 +V\u000a +p6321 +tp6322 +a(g26 +V"Answer whether a match could commence at the given lookahead\u000a character, or in the current state of . True answered\u000a by this method does not mean a match will definitly occur, while false\u000a answered by this method *does* guarantee a match will never occur." +p6323 +tp6324 +a(g184 +V\u000a\u000a +p6325 +tp6326 +a(g101 +VaCharacter +p6327 +tp6328 +a(g184 +V +tp6329 +a(g101 +VisNil +p6330 +tp6331 +a(g184 +V +tp6332 +a(g50 +VifTrue: +p6333 +tp6334 +a(g184 +V +tp6335 +a(g197 +V[ +tp6336 +a(g338 +V^ +tp6337 +a(g13 +Vtrue +p6338 +tp6339 +a(g197 +V] +tp6340 +a(g197 +V. +tp6341 +a(g184 +V\u000a +p6342 +tp6343 +a(g338 +V^ +tp6344 +a(g69 +VtestBlock +p6345 +tp6346 +a(g184 +V +tp6347 +a(g338 +V= +tp6348 +a(g338 +V= +tp6349 +a(g184 +V +tp6350 +a(g13 +Vnil +p6351 +tp6352 +a(g184 +V +tp6353 +a(g50 +Vor: +p6354 +tp6355 +a(g184 +V +tp6356 +a(g197 +V[ +tp6357 +a(g101 +VtestBlock +p6358 +tp6359 +a(g184 +V +tp6360 +a(g50 +Vvalue: +p6361 +tp6362 +a(g184 +V +tp6363 +a(g101 +VaCharacter +p6364 +tp6365 +a(g184 +V +tp6366 +a(g50 +Vvalue: +p6367 +tp6368 +a(g184 +V +tp6369 +a(g101 +VaMatcher +p6370 +tp6371 +a(g197 +V] +tp6372 +a(g184 +V\u000a +tp6373 +a(g197 +V) +tp6374 +a(g184 +V\u000a\u000a +p6375 +tp6376 +a(g69 +VconditionTester +p6377 +tp6378 +a(g184 +V +tp6379 +a(g338 +V= +tp6380 +a(g184 +V +tp6381 +a(g197 +V( +tp6382 +a(g184 +V\u000a +p6383 +tp6384 +a(g26 +V"#any condition is filtered at the higher level;\u000a it cannot appear among the conditions here." +p6385 +tp6386 +a(g184 +V\u000a\u000a +p6387 +tp6388 +a(g338 +V| +tp6389 +a(g184 +V +tp6390 +a(g101 +VmatchCondition +p6391 +tp6392 +a(g184 +V +tp6393 +a(g338 +V| +tp6394 +a(g184 +V\u000a +p6395 +tp6396 +a(g101 +Vconditions +p6397 +tp6398 +a(g184 +V +tp6399 +a(g101 +VisEmpty +p6400 +tp6401 +a(g184 +V +tp6402 +a(g50 +VifTrue: +p6403 +tp6404 +a(g184 +V +tp6405 +a(g197 +V[ +tp6406 +a(g338 +V^ +tp6407 +a(g13 +Vnil +p6408 +tp6409 +a(g197 +V] +tp6410 +a(g197 +V. +tp6411 +a(g184 +V\u000a +p6412 +tp6413 +a(g101 +Vconditions +p6414 +tp6415 +a(g184 +V +tp6416 +a(g69 +Vsize +p6417 +tp6418 +a(g184 +V +tp6419 +a(g338 +V= +tp6420 +a(g184 +V +tp6421 +a(g313 +V1 +tp6422 +a(g184 +V +tp6423 +a(g50 +VifTrue: +p6424 +tp6425 +a(g184 +V\u000a +p6426 +tp6427 +a(g197 +V[ +tp6428 +a(g101 +VmatchCondition +p6429 +tp6430 +a(g338 +V:: +p6431 +tp6432 +a(g184 +V +tp6433 +a(g101 +Vconditions +p6434 +tp6435 +a(g184 +V +tp6436 +a(g50 +Vdetect: +p6437 +tp6438 +a(g184 +V +tp6439 +a(g197 +V[ +tp6440 +a(g101 +V:ignored +p6441 +tp6442 +a(g184 +V +tp6443 +a(g338 +V| +tp6444 +a(g184 +V +tp6445 +a(g13 +Vtrue +p6446 +tp6447 +a(g197 +V] +tp6448 +a(g197 +V. +tp6449 +a(g184 +V\u000a +p6450 +tp6451 +a(g26 +V"Special case all of the possible conditions." +p6452 +tp6453 +a(g184 +V\u000a +p6454 +tp6455 +a(g243 +V#atBeginningOfLine +p6456 +tp6457 +a(g184 +V +tp6458 +a(g338 +V= +tp6459 +a(g184 +V +tp6460 +a(g101 +VmatchCondition +p6461 +tp6462 +a(g184 +V +tp6463 +a(g50 +VifTrue: +p6464 +tp6465 +a(g184 +V +tp6466 +a(g197 +V[ +tp6467 +a(g338 +V^ +tp6468 +a(g197 +V[ +tp6469 +a(g101 +V:c +p6470 +tp6471 +a(g184 +V +tp6472 +a(g101 +V:matcher +p6473 +tp6474 +a(g184 +V +tp6475 +a(g338 +V| +tp6476 +a(g184 +V +tp6477 +a(g101 +Vmatcher +p6478 +tp6479 +a(g184 +V +tp6480 +a(g101 +VatBeginningOfLine +p6481 +tp6482 +a(g197 +V] +tp6483 +a(g197 +V] +tp6484 +a(g197 +V. +tp6485 +a(g184 +V\u000a +p6486 +tp6487 +a(g243 +V#atEndOfLine +p6488 +tp6489 +a(g184 +V +tp6490 +a(g338 +V= +tp6491 +a(g184 +V +tp6492 +a(g101 +VmatchCondition +p6493 +tp6494 +a(g184 +V +tp6495 +a(g50 +VifTrue: +p6496 +tp6497 +a(g184 +V +tp6498 +a(g197 +V[ +tp6499 +a(g338 +V^ +tp6500 +a(g197 +V[ +tp6501 +a(g101 +V:c +p6502 +tp6503 +a(g184 +V +tp6504 +a(g101 +V:matcher +p6505 +tp6506 +a(g184 +V +tp6507 +a(g338 +V| +tp6508 +a(g184 +V +tp6509 +a(g101 +Vmatcher +p6510 +tp6511 +a(g184 +V +tp6512 +a(g101 +VatEndOfLine +p6513 +tp6514 +a(g197 +V] +tp6515 +a(g197 +V] +tp6516 +a(g197 +V. +tp6517 +a(g184 +V\u000a +p6518 +tp6519 +a(g243 +V#atBeginningOfWord +p6520 +tp6521 +a(g184 +V +tp6522 +a(g338 +V= +tp6523 +a(g184 +V +tp6524 +a(g101 +VmatchCondition +p6525 +tp6526 +a(g184 +V +tp6527 +a(g50 +VifTrue: +p6528 +tp6529 +a(g184 +V +tp6530 +a(g197 +V[ +tp6531 +a(g338 +V^ +tp6532 +a(g197 +V[ +tp6533 +a(g101 +V:c +p6534 +tp6535 +a(g184 +V +tp6536 +a(g101 +V:matcher +p6537 +tp6538 +a(g184 +V +tp6539 +a(g338 +V| +tp6540 +a(g184 +V +tp6541 +a(g101 +Vmatcher +p6542 +tp6543 +a(g184 +V +tp6544 +a(g101 +VatBeginningOfWord +p6545 +tp6546 +a(g197 +V] +tp6547 +a(g197 +V] +tp6548 +a(g197 +V. +tp6549 +a(g184 +V\u000a +p6550 +tp6551 +a(g243 +V#atEndOfWord +p6552 +tp6553 +a(g184 +V +tp6554 +a(g338 +V= +tp6555 +a(g184 +V +tp6556 +a(g101 +VmatchCondition +p6557 +tp6558 +a(g184 +V +tp6559 +a(g50 +VifTrue: +p6560 +tp6561 +a(g184 +V +tp6562 +a(g197 +V[ +tp6563 +a(g338 +V^ +tp6564 +a(g197 +V[ +tp6565 +a(g101 +V:c +p6566 +tp6567 +a(g184 +V +tp6568 +a(g101 +V:matcher +p6569 +tp6570 +a(g184 +V +tp6571 +a(g338 +V| +tp6572 +a(g184 +V +tp6573 +a(g101 +Vmatcher +p6574 +tp6575 +a(g184 +V +tp6576 +a(g101 +VatEndOfWord +p6577 +tp6578 +a(g197 +V] +tp6579 +a(g197 +V] +tp6580 +a(g197 +V. +tp6581 +a(g184 +V\u000a +p6582 +tp6583 +a(g243 +V#atWordBoundary +p6584 +tp6585 +a(g184 +V +tp6586 +a(g338 +V= +tp6587 +a(g184 +V +tp6588 +a(g101 +VmatchCondition +p6589 +tp6590 +a(g184 +V +tp6591 +a(g50 +VifTrue: +p6592 +tp6593 +a(g184 +V +tp6594 +a(g197 +V[ +tp6595 +a(g338 +V^ +tp6596 +a(g197 +V[ +tp6597 +a(g101 +V:c +p6598 +tp6599 +a(g184 +V +tp6600 +a(g101 +V:matcher +p6601 +tp6602 +a(g184 +V +tp6603 +a(g338 +V| +tp6604 +a(g184 +V +tp6605 +a(g101 +Vmatcher +p6606 +tp6607 +a(g184 +V +tp6608 +a(g101 +VatWordBoundary +p6609 +tp6610 +a(g197 +V] +tp6611 +a(g197 +V] +tp6612 +a(g197 +V. +tp6613 +a(g184 +V\u000a +p6614 +tp6615 +a(g243 +V#notAtWordBoundary +p6616 +tp6617 +a(g184 +V +tp6618 +a(g338 +V= +tp6619 +a(g184 +V +tp6620 +a(g101 +VmatchCondition +p6621 +tp6622 +a(g184 +V +tp6623 +a(g50 +VifTrue: +p6624 +tp6625 +a(g184 +V +tp6626 +a(g197 +V[ +tp6627 +a(g338 +V^ +tp6628 +a(g197 +V[ +tp6629 +a(g101 +V:c +p6630 +tp6631 +a(g184 +V +tp6632 +a(g101 +V:matcher +p6633 +tp6634 +a(g184 +V +tp6635 +a(g338 +V| +tp6636 +a(g184 +V +tp6637 +a(g101 +Vmatcher +p6638 +tp6639 +a(g184 +V +tp6640 +a(g101 +VnotAtWordBoundary +p6641 +tp6642 +a(g197 +V] +tp6643 +a(g197 +V] +tp6644 +a(g197 +V. +tp6645 +a(g184 +V\u000a +p6646 +tp6647 +a(g101 +VCompilationError +p6648 +tp6649 +a(g184 +V +tp6650 +a(g50 +Vsignal: +p6651 +tp6652 +a(g184 +V +tp6653 +a(g221 +V'invalid match condition' +p6654 +tp6655 +a(g197 +V] +tp6656 +a(g197 +V. +tp6657 +a(g184 +V\u000a +p6658 +tp6659 +a(g26 +V"More than one condition. Capture them as an array in scope." +p6660 +tp6661 +a(g184 +V\u000a +p6662 +tp6663 +a(g101 +VmatchCondition +p6664 +tp6665 +a(g338 +V:: +p6666 +tp6667 +a(g184 +V +tp6668 +a(g101 +Vconditions +p6669 +tp6670 +a(g184 +V +tp6671 +a(g101 +VasArray +p6672 +tp6673 +a(g197 +V. +tp6674 +a(g184 +V\u000a +p6675 +tp6676 +a(g338 +V^ +tp6677 +a(g197 +V[ +tp6678 +a(g101 +V:c +p6679 +tp6680 +a(g184 +V +tp6681 +a(g101 +V:matcher +p6682 +tp6683 +a(g184 +V +tp6684 +a(g338 +V| +tp6685 +a(g184 +V\u000a +p6686 +tp6687 +a(g101 +VmatchCondition +p6688 +tp6689 +a(g184 +V +tp6690 +a(g50 +Vcontains: +p6691 +tp6692 +a(g184 +V\u000a +p6693 +tp6694 +a(g197 +V[ +tp6695 +a(g101 +V:conditionSelector +p6696 +tp6697 +a(g184 +V +tp6698 +a(g338 +V| +tp6699 +a(g184 +V\u000a +p6700 +tp6701 +a(g101 +Vmatcher +p6702 +tp6703 +a(g184 +V +tp6704 +a(g50 +Vperform: +p6705 +tp6706 +a(g184 +V +tp6707 +a(g101 +VconditionSelector +p6708 +tp6709 +a(g197 +V] +tp6710 +a(g197 +V] +tp6711 +a(g184 +V\u000a +tp6712 +a(g197 +V) +tp6713 +a(g184 +V\u000a\u000a +p6714 +tp6715 +a(g69 +VmethodPredicateTester +p6716 +tp6717 +a(g184 +V +tp6718 +a(g338 +V= +tp6719 +a(g184 +V +tp6720 +a(g197 +V( +tp6721 +a(g184 +V\u000a +p6722 +tp6723 +a(g338 +V| +tp6724 +a(g184 +V +tp6725 +a(g101 +Vp +tp6726 +a(g184 +V +tp6727 +a(g101 +Vselector +p6728 +tp6729 +a(g184 +V +tp6730 +a(g338 +V| +tp6731 +a(g184 +V\u000a +p6732 +tp6733 +a(g101 +VmethodPredicates +p6734 +tp6735 +a(g184 +V +tp6736 +a(g101 +VisEmpty +p6737 +tp6738 +a(g184 +V +tp6739 +a(g50 +VifTrue: +p6740 +tp6741 +a(g184 +V +tp6742 +a(g197 +V[ +tp6743 +a(g338 +V^ +tp6744 +a(g13 +Vnil +p6745 +tp6746 +a(g197 +V] +tp6747 +a(g197 +V. +tp6748 +a(g184 +V\u000a +p6749 +tp6750 +a(g101 +Vp +tp6751 +a(g338 +V:: +p6752 +tp6753 +a(g184 +V +tp6754 +a(g13 +Vself +p6755 +tp6756 +a(g184 +V +tp6757 +a(g50 +VoptimizeSet: +p6758 +tp6759 +a(g184 +V +tp6760 +a(g101 +VmethodPredicates +p6761 +tp6762 +a(g197 +V. +tp6763 +a(g184 +V +tp6764 +a(g26 +V"also allows copying closures" +p6765 +tp6766 +a(g184 +V\u000a +p6767 +tp6768 +a(g338 +V^ +tp6769 +a(g101 +Vp +tp6770 +a(g184 +V +tp6771 +a(g69 +Vsize +p6772 +tp6773 +a(g184 +V +tp6774 +a(g338 +V= +tp6775 +a(g184 +V +tp6776 +a(g313 +V1 +tp6777 +a(g184 +V\u000a +p6778 +tp6779 +a(g50 +VifTrue: +p6780 +tp6781 +a(g184 +V \u000a +p6782 +tp6783 +a(g197 +V[ +tp6784 +a(g26 +V"might be a pretty common case" +p6785 +tp6786 +a(g184 +V\u000a +p6787 +tp6788 +a(g101 +Vselector +p6789 +tp6790 +a(g338 +V:: +p6791 +tp6792 +a(g184 +V +tp6793 +a(g101 +Vp +tp6794 +a(g184 +V +tp6795 +a(g101 +Vfirst +p6796 +tp6797 +a(g197 +V. +tp6798 +a(g184 +V\u000a +p6799 +tp6800 +a(g197 +V[ +tp6801 +a(g101 +V:char +p6802 +tp6803 +a(g184 +V +tp6804 +a(g101 +V:matcher +p6805 +tp6806 +a(g184 +V +tp6807 +a(g338 +V| +tp6808 +a(g184 +V \u000a +p6809 +tp6810 +a(g101 +VRxParser +p6811 +tp6812 +a(g184 +V +tp6813 +a(g50 +VdoHandlingMessageNotUnderstood: +p6814 +tp6815 +a(g184 +V\u000a +p6816 +tp6817 +a(g197 +V[ +tp6818 +a(g101 +Vchar +p6819 +tp6820 +a(g184 +V +tp6821 +a(g50 +Vperform: +p6822 +tp6823 +a(g184 +V +tp6824 +a(g101 +Vselector +p6825 +tp6826 +a(g197 +V] +tp6827 +a(g197 +V] +tp6828 +a(g197 +V] +tp6829 +a(g184 +V\u000a +p6830 +tp6831 +a(g50 +VifFalse: +p6832 +tp6833 +a(g184 +V \u000a +p6834 +tp6835 +a(g197 +V[ +tp6836 +a(g197 +V[ +tp6837 +a(g101 +V:char +p6838 +tp6839 +a(g184 +V +tp6840 +a(g101 +V:m +p6841 +tp6842 +a(g184 +V +tp6843 +a(g338 +V| +tp6844 +a(g184 +V \u000a +p6845 +tp6846 +a(g101 +VRxParser +p6847 +tp6848 +a(g184 +V +tp6849 +a(g50 +VdoHandlingMessageNotUnderstood: +p6850 +tp6851 +a(g184 +V\u000a +p6852 +tp6853 +a(g197 +V[ +tp6854 +a(g101 +Vp +tp6855 +a(g184 +V +tp6856 +a(g50 +Vcontains: +p6857 +tp6858 +a(g184 +V +tp6859 +a(g197 +V[ +tp6860 +a(g101 +V:sel +p6861 +tp6862 +a(g184 +V +tp6863 +a(g338 +V| +tp6864 +a(g184 +V +tp6865 +a(g101 +Vchar +p6866 +tp6867 +a(g184 +V +tp6868 +a(g50 +Vperform: +p6869 +tp6870 +a(g184 +V +tp6871 +a(g101 +Vsel +p6872 +tp6873 +a(g197 +V] +tp6874 +a(g197 +V] +tp6875 +a(g197 +V] +tp6876 +a(g197 +V] +tp6877 +a(g184 +V\u000a +tp6878 +a(g197 +V) +tp6879 +a(g184 +V\u000a\u000a +p6880 +tp6881 +a(g69 +VnonMethodPredicateTester +p6882 +tp6883 +a(g184 +V +tp6884 +a(g338 +V= +tp6885 +a(g184 +V +tp6886 +a(g197 +V( +tp6887 +a(g184 +V\u000a +p6888 +tp6889 +a(g338 +V| +tp6890 +a(g184 +V +tp6891 +a(g101 +Vp +tp6892 +a(g184 +V +tp6893 +a(g101 +Vselector +p6894 +tp6895 +a(g184 +V +tp6896 +a(g338 +V| +tp6897 +a(g184 +V\u000a +p6898 +tp6899 +a(g101 +VnonMethodPredicates +p6900 +tp6901 +a(g184 +V +tp6902 +a(g101 +VisEmpty +p6903 +tp6904 +a(g184 +V +tp6905 +a(g50 +VifTrue: +p6906 +tp6907 +a(g184 +V +tp6908 +a(g197 +V[ +tp6909 +a(g338 +V^ +tp6910 +a(g13 +Vnil +p6911 +tp6912 +a(g197 +V] +tp6913 +a(g197 +V. +tp6914 +a(g184 +V\u000a +p6915 +tp6916 +a(g101 +Vp +tp6917 +a(g338 +V:: +p6918 +tp6919 +a(g184 +V +tp6920 +a(g13 +Vself +p6921 +tp6922 +a(g184 +V +tp6923 +a(g50 +VoptimizeSet: +p6924 +tp6925 +a(g184 +V +tp6926 +a(g101 +VnonMethodPredicates +p6927 +tp6928 +a(g197 +V. +tp6929 +a(g184 +V +tp6930 +a(g26 +V"also allows copying closures" +p6931 +tp6932 +a(g184 +V\u000a +p6933 +tp6934 +a(g338 +V^ +tp6935 +a(g101 +Vp +tp6936 +a(g184 +V +tp6937 +a(g69 +Vsize +p6938 +tp6939 +a(g184 +V +tp6940 +a(g338 +V= +tp6941 +a(g184 +V +tp6942 +a(g313 +V1 +tp6943 +a(g184 +V\u000a +p6944 +tp6945 +a(g50 +VifTrue: +p6946 +tp6947 +a(g184 +V \u000a +p6948 +tp6949 +a(g197 +V[ +tp6950 +a(g101 +Vselector +p6951 +tp6952 +a(g338 +V:: +p6953 +tp6954 +a(g184 +V +tp6955 +a(g101 +Vp +tp6956 +a(g184 +V +tp6957 +a(g101 +Vfirst +p6958 +tp6959 +a(g197 +V. +tp6960 +a(g184 +V\u000a +p6961 +tp6962 +a(g197 +V[ +tp6963 +a(g101 +V:char +p6964 +tp6965 +a(g184 +V +tp6966 +a(g101 +V:matcher +p6967 +tp6968 +a(g184 +V +tp6969 +a(g338 +V| +tp6970 +a(g184 +V \u000a +p6971 +tp6972 +a(g101 +VRxParser +p6973 +tp6974 +a(g184 +V +tp6975 +a(g50 +VdoHandlingMessageNotUnderstood: +p6976 +tp6977 +a(g184 +V\u000a +p6978 +tp6979 +a(g197 +V[ +tp6980 +a(g197 +V( +tp6981 +a(g101 +Vchar +p6982 +tp6983 +a(g184 +V +tp6984 +a(g50 +Vperform: +p6985 +tp6986 +a(g184 +V +tp6987 +a(g101 +Vselector +p6988 +tp6989 +a(g197 +V) +tp6990 +a(g184 +V +tp6991 +a(g101 +Vnot +p6992 +tp6993 +a(g197 +V] +tp6994 +a(g197 +V] +tp6995 +a(g197 +V] +tp6996 +a(g184 +V\u000a +p6997 +tp6998 +a(g50 +VifFalse: +p6999 +tp7000 +a(g184 +V \u000a +p7001 +tp7002 +a(g197 +V[ +tp7003 +a(g197 +V[ +tp7004 +a(g101 +V:char +p7005 +tp7006 +a(g184 +V +tp7007 +a(g101 +V:m +p7008 +tp7009 +a(g184 +V +tp7010 +a(g338 +V| +tp7011 +a(g184 +V \u000a +p7012 +tp7013 +a(g101 +VRxParser +p7014 +tp7015 +a(g184 +V +tp7016 +a(g50 +VdoHandlingMessageNotUnderstood: +p7017 +tp7018 +a(g184 +V\u000a +p7019 +tp7020 +a(g197 +V[ +tp7021 +a(g101 +Vp +tp7022 +a(g184 +V +tp7023 +a(g50 +Vcontains: +p7024 +tp7025 +a(g184 +V +tp7026 +a(g197 +V[ +tp7027 +a(g101 +V:sel +p7028 +tp7029 +a(g184 +V +tp7030 +a(g338 +V| +tp7031 +a(g184 +V +tp7032 +a(g197 +V( +tp7033 +a(g101 +Vchar +p7034 +tp7035 +a(g184 +V +tp7036 +a(g50 +Vperform: +p7037 +tp7038 +a(g184 +V +tp7039 +a(g101 +Vsel +p7040 +tp7041 +a(g197 +V) +tp7042 +a(g184 +V +tp7043 +a(g101 +Vnot +p7044 +tp7045 +a(g197 +V] +tp7046 +a(g197 +V] +tp7047 +a(g197 +V] +tp7048 +a(g197 +V] +tp7049 +a(g184 +V\u000a +tp7050 +a(g197 +V) +tp7051 +a(g184 +V\u000a\u000a +p7052 +tp7053 +a(g221 +V'double dispatch' +p7054 +tp7055 +a(g184 +V\u000a +tp7056 +a(g69 +VsyntaxAny +p7057 +tp7058 +a(g184 +V +tp7059 +a(g338 +V= +tp7060 +a(g184 +V +tp7061 +a(g197 +V( +tp7062 +a(g184 +V\u000a +p7063 +tp7064 +a(g26 +V"Any special char is among the prefixes." +p7065 +tp7066 +a(g184 +V\u000a\u000a +p7067 +tp7068 +a(g101 +Vconditions +p7069 +tp7070 +a(g184 +V +tp7071 +a(g50 +Vadd: +p7072 +tp7073 +a(g184 +V +tp7074 +a(g243 +V#any +p7075 +tp7076 +a(g184 +V\u000a +tp7077 +a(g197 +V) +tp7078 +a(g184 +V\u000a\u000a +p7079 +tp7080 +a(g69 +VsyntaxBeginningOfLine +p7081 +tp7082 +a(g184 +V +tp7083 +a(g338 +V= +tp7084 +a(g184 +V +tp7085 +a(g197 +V( +tp7086 +a(g184 +V\u000a +p7087 +tp7088 +a(g26 +V"Beginning of line is among the prefixes." +p7089 +tp7090 +a(g184 +V\u000a\u000a +p7091 +tp7092 +a(g101 +Vconditions +p7093 +tp7094 +a(g184 +V +tp7095 +a(g50 +Vadd: +p7096 +tp7097 +a(g184 +V +tp7098 +a(g243 +V#atBeginningOfLine +p7099 +tp7100 +a(g184 +V\u000a +tp7101 +a(g197 +V) +tp7102 +a(g184 +V\u000a\u000a +p7103 +tp7104 +a(g69 +VsyntaxBeginningOfWord +p7105 +tp7106 +a(g184 +V +tp7107 +a(g338 +V= +tp7108 +a(g184 +V +tp7109 +a(g197 +V( +tp7110 +a(g184 +V\u000a +p7111 +tp7112 +a(g26 +V"Beginning of line is among the prefixes." +p7113 +tp7114 +a(g184 +V\u000a\u000a +p7115 +tp7116 +a(g101 +Vconditions +p7117 +tp7118 +a(g184 +V +tp7119 +a(g50 +Vadd: +p7120 +tp7121 +a(g184 +V +tp7122 +a(g243 +V#atBeginningOfWord +p7123 +tp7124 +a(g184 +V\u000a +tp7125 +a(g197 +V) +tp7126 +a(g184 +V\u000a\u000a +p7127 +tp7128 +a(g50 +VsyntaxBranch: +p7129 +tp7130 +a(g184 +V +tp7131 +a(g101 +VbranchNode +p7132 +tp7133 +a(g184 +V +tp7134 +a(g338 +V= +tp7135 +a(g184 +V +tp7136 +a(g197 +V( +tp7137 +a(g184 +V\u000a +p7138 +tp7139 +a(g26 +V"If the head piece of the branch is transparent (allows 0 matches),\u000a we must recurse down the branch. Otherwise, just the head atom\u000a is important." +p7140 +tp7141 +a(g184 +V\u000a\u000a +p7142 +tp7143 +a(g197 +V( +tp7144 +a(g101 +VbranchNode +p7145 +tp7146 +a(g184 +V +tp7147 +a(g101 +Vpiece +p7148 +tp7149 +a(g184 +V +tp7150 +a(g101 +VisNullable +p7151 +tp7152 +a(g184 +V +tp7153 +a(g50 +Vand: +p7154 +tp7155 +a(g184 +V +tp7156 +a(g197 +V[ +tp7157 +a(g101 +VbranchNode +p7158 +tp7159 +a(g184 +V +tp7160 +a(g101 +Vbranch +p7161 +tp7162 +a(g184 +V +tp7163 +a(g101 +VnotNil +p7164 +tp7165 +a(g197 +V] +tp7166 +a(g197 +V) +tp7167 +a(g184 +V\u000a +p7168 +tp7169 +a(g50 +VifTrue: +p7170 +tp7171 +a(g184 +V +tp7172 +a(g197 +V[ +tp7173 +a(g101 +VbranchNode +p7174 +tp7175 +a(g184 +V +tp7176 +a(g101 +Vbranch +p7177 +tp7178 +a(g184 +V +tp7179 +a(g50 +VdispatchTo: +p7180 +tp7181 +a(g184 +V +tp7182 +a(g101 +Vself +p7183 +tp7184 +a(g197 +V] +tp7185 +a(g197 +V. +tp7186 +a(g184 +V\u000a +p7187 +tp7188 +a(g101 +VbranchNode +p7189 +tp7190 +a(g184 +V +tp7191 +a(g101 +Vpiece +p7192 +tp7193 +a(g184 +V +tp7194 +a(g50 +VdispatchTo: +p7195 +tp7196 +a(g184 +V +tp7197 +a(g101 +Vself +p7198 +tp7199 +a(g184 +V\u000a +tp7200 +a(g197 +V) +tp7201 +a(g184 +V\u000a\u000a +p7202 +tp7203 +a(g50 +VsyntaxCharSet: +p7204 +tp7205 +a(g184 +V +tp7206 +a(g101 +VcharSetNode +p7207 +tp7208 +a(g184 +V +tp7209 +a(g338 +V= +tp7210 +a(g184 +V +tp7211 +a(g197 +V( +tp7212 +a(g184 +V\u000a +p7213 +tp7214 +a(g26 +V"All these (or none of these) characters is the prefix." +p7215 +tp7216 +a(g184 +V\u000a\u000a +p7217 +tp7218 +a(g101 +VcharSetNode +p7219 +tp7220 +a(g184 +V +tp7221 +a(g101 +VisNegated +p7222 +tp7223 +a(g184 +V\u000a +p7224 +tp7225 +a(g50 +VifTrue: +p7226 +tp7227 +a(g184 +V +tp7228 +a(g197 +V[ +tp7229 +a(g101 +VnonPrefixes +p7230 +tp7231 +a(g184 +V +tp7232 +a(g50 +VaddAll: +p7233 +tp7234 +a(g184 +V +tp7235 +a(g197 +V( +tp7236 +a(g101 +VcharSetNode +p7237 +tp7238 +a(g184 +V +tp7239 +a(g50 +VenumerableSetIgnoringCase: +p7240 +tp7241 +a(g184 +V +tp7242 +a(g101 +VignoreCase +p7243 +tp7244 +a(g197 +V) +tp7245 +a(g197 +V] +tp7246 +a(g184 +V\u000a +p7247 +tp7248 +a(g50 +VifFalse: +p7249 +tp7250 +a(g184 +V +tp7251 +a(g197 +V[ +tp7252 +a(g101 +Vprefixes +p7253 +tp7254 +a(g184 +V +tp7255 +a(g50 +VaddAll: +p7256 +tp7257 +a(g184 +V +tp7258 +a(g197 +V( +tp7259 +a(g101 +VcharSetNode +p7260 +tp7261 +a(g184 +V +tp7262 +a(g50 +VenumerableSetIgnoringCase: +p7263 +tp7264 +a(g184 +V +tp7265 +a(g101 +VignoreCase +p7266 +tp7267 +a(g197 +V) +tp7268 +a(g197 +V] +tp7269 +a(g197 +V. +tp7270 +a(g184 +V\u000a +p7271 +tp7272 +a(g101 +VcharSetNode +p7273 +tp7274 +a(g184 +V +tp7275 +a(g101 +VhasPredicates +p7276 +tp7277 +a(g184 +V +tp7278 +a(g50 +VifTrue: +p7279 +tp7280 +a(g184 +V \u000a +p7281 +tp7282 +a(g197 +V[ +tp7283 +a(g101 +VcharSetNode +p7284 +tp7285 +a(g184 +V +tp7286 +a(g101 +VisNegated +p7287 +tp7288 +a(g184 +V\u000a +p7289 +tp7290 +a(g50 +VifTrue: +p7291 +tp7292 +a(g184 +V +tp7293 +a(g197 +V[ +tp7294 +a(g101 +VnonPredicates +p7295 +tp7296 +a(g184 +V +tp7297 +a(g50 +VaddAll: +p7298 +tp7299 +a(g184 +V +tp7300 +a(g101 +VcharSetNode +p7301 +tp7302 +a(g184 +V +tp7303 +a(g101 +Vpredicates +p7304 +tp7305 +a(g197 +V] +tp7306 +a(g184 +V\u000a +p7307 +tp7308 +a(g50 +VifFalse: +p7309 +tp7310 +a(g184 +V +tp7311 +a(g197 +V[ +tp7312 +a(g101 +Vpredicates +p7313 +tp7314 +a(g184 +V +tp7315 +a(g50 +VaddAll: +p7316 +tp7317 +a(g184 +V +tp7318 +a(g101 +VcharSetNode +p7319 +tp7320 +a(g184 +V +tp7321 +a(g101 +Vpredicates +p7322 +tp7323 +a(g197 +V] +tp7324 +a(g197 +V] +tp7325 +a(g184 +V\u000a +tp7326 +a(g197 +V) +tp7327 +a(g184 +V\u000a\u000a +p7328 +tp7329 +a(g50 +VsyntaxCharacter: +p7330 +tp7331 +a(g184 +V +tp7332 +a(g101 +VcharNode +p7333 +tp7334 +a(g184 +V +tp7335 +a(g338 +V= +tp7336 +a(g184 +V +tp7337 +a(g197 +V( +tp7338 +a(g184 +V\u000a +p7339 +tp7340 +a(g26 +V"This character is the prefix, of one of them." +p7341 +tp7342 +a(g184 +V\u000a\u000a +p7343 +tp7344 +a(g101 +Vprefixes +p7345 +tp7346 +a(g184 +V +tp7347 +a(g50 +Vadd: +p7348 +tp7349 +a(g184 +V +tp7350 +a(g101 +VcharNode +p7351 +tp7352 +a(g184 +V +tp7353 +a(g101 +Vcharacter +p7354 +tp7355 +a(g184 +V\u000a +tp7356 +a(g197 +V) +tp7357 +a(g184 +V\u000a\u000a +p7358 +tp7359 +a(g69 +VsyntaxEndOfLine +p7360 +tp7361 +a(g184 +V +tp7362 +a(g338 +V= +tp7363 +a(g184 +V +tp7364 +a(g197 +V( +tp7365 +a(g184 +V\u000a +p7366 +tp7367 +a(g26 +V"Beginning of line is among the prefixes." +p7368 +tp7369 +a(g184 +V\u000a\u000a +p7370 +tp7371 +a(g101 +Vconditions +p7372 +tp7373 +a(g184 +V +tp7374 +a(g50 +Vadd: +p7375 +tp7376 +a(g184 +V +tp7377 +a(g243 +V#atEndOfLine +p7378 +tp7379 +a(g184 +V\u000a +tp7380 +a(g197 +V) +tp7381 +a(g184 +V\u000a\u000a +p7382 +tp7383 +a(g69 +VsyntaxEndOfWord +p7384 +tp7385 +a(g184 +V +tp7386 +a(g338 +V= +tp7387 +a(g184 +V +tp7388 +a(g197 +V( +tp7389 +a(g184 +V\u000a\u000a +p7390 +tp7391 +a(g101 +Vconditions +p7392 +tp7393 +a(g184 +V +tp7394 +a(g50 +Vadd: +p7395 +tp7396 +a(g184 +V +tp7397 +a(g243 +V#atEndOfWord +p7398 +tp7399 +a(g184 +V\u000a +tp7400 +a(g197 +V) +tp7401 +a(g184 +V\u000a\u000a +p7402 +tp7403 +a(g69 +VsyntaxEpsilon +p7404 +tp7405 +a(g184 +V +tp7406 +a(g338 +V= +tp7407 +a(g184 +V +tp7408 +a(g197 +V( +tp7409 +a(g184 +V\u000a +p7410 +tp7411 +a(g26 +V"Empty string, terminate the recursion (do nothing)." +p7412 +tp7413 +a(g184 +V\u000a +tp7414 +a(g197 +V) +tp7415 +a(g184 +V\u000a\u000a +p7416 +tp7417 +a(g50 +VsyntaxMessagePredicate: +p7418 +tp7419 +a(g184 +V +tp7420 +a(g101 +VmessagePredicateNode +p7421 +tp7422 +a(g184 +V +tp7423 +a(g338 +V= +tp7424 +a(g184 +V +tp7425 +a(g197 +V( +tp7426 +a(g184 +V \u000a +p7427 +tp7428 +a(g101 +VmessagePredicateNode +p7429 +tp7430 +a(g184 +V +tp7431 +a(g101 +Vnegated +p7432 +tp7433 +a(g184 +V\u000a +p7434 +tp7435 +a(g50 +VifTrue: +p7436 +tp7437 +a(g184 +V +tp7438 +a(g197 +V[ +tp7439 +a(g101 +VnonMethodPredicates +p7440 +tp7441 +a(g184 +V +tp7442 +a(g50 +Vadd: +p7443 +tp7444 +a(g184 +V +tp7445 +a(g101 +VmessagePredicateNode +p7446 +tp7447 +a(g184 +V +tp7448 +a(g101 +Vselector +p7449 +tp7450 +a(g197 +V] +tp7451 +a(g184 +V\u000a +p7452 +tp7453 +a(g50 +VifFalse: +p7454 +tp7455 +a(g184 +V +tp7456 +a(g197 +V[ +tp7457 +a(g101 +VmethodPredicates +p7458 +tp7459 +a(g184 +V +tp7460 +a(g50 +Vadd: +p7461 +tp7462 +a(g184 +V +tp7463 +a(g101 +VmessagePredicateNode +p7464 +tp7465 +a(g184 +V +tp7466 +a(g101 +Vselector +p7467 +tp7468 +a(g197 +V] +tp7469 +a(g184 +V\u000a +tp7470 +a(g197 +V) +tp7471 +a(g184 +V\u000a\u000a +p7472 +tp7473 +a(g69 +VsyntaxNonWordBoundary +p7474 +tp7475 +a(g184 +V +tp7476 +a(g338 +V= +tp7477 +a(g184 +V +tp7478 +a(g197 +V( +tp7479 +a(g184 +V\u000a +p7480 +tp7481 +a(g101 +Vconditions +p7482 +tp7483 +a(g184 +V +tp7484 +a(g50 +Vadd: +p7485 +tp7486 +a(g184 +V +tp7487 +a(g243 +V#notAtWordBoundary +p7488 +tp7489 +a(g184 +V\u000a +tp7490 +a(g197 +V) +tp7491 +a(g184 +V\u000a\u000a +p7492 +tp7493 +a(g50 +VsyntaxPiece: +p7494 +tp7495 +a(g184 +V +tp7496 +a(g101 +VpieceNode +p7497 +tp7498 +a(g184 +V +tp7499 +a(g338 +V= +tp7500 +a(g184 +V +tp7501 +a(g197 +V( +tp7502 +a(g184 +V\u000a +p7503 +tp7504 +a(g26 +V"Pass on to the atom." +p7505 +tp7506 +a(g184 +V\u000a\u000a +p7507 +tp7508 +a(g101 +VpieceNode +p7509 +tp7510 +a(g184 +V +tp7511 +a(g101 +Vatom +p7512 +tp7513 +a(g184 +V +tp7514 +a(g50 +VdispatchTo: +p7515 +tp7516 +a(g184 +V +tp7517 +a(g101 +Vself +p7518 +tp7519 +a(g184 +V\u000a +tp7520 +a(g197 +V) +tp7521 +a(g184 +V\u000a\u000a +p7522 +tp7523 +a(g50 +VsyntaxPredicate: +p7524 +tp7525 +a(g184 +V +tp7526 +a(g101 +VpredicateNode +p7527 +tp7528 +a(g184 +V +tp7529 +a(g338 +V= +tp7530 +a(g184 +V +tp7531 +a(g197 +V( +tp7532 +a(g184 +V\u000a\u000a +p7533 +tp7534 +a(g101 +Vpredicates +p7535 +tp7536 +a(g184 +V +tp7537 +a(g50 +Vadd: +p7538 +tp7539 +a(g184 +V +tp7540 +a(g101 +VpredicateNode +p7541 +tp7542 +a(g184 +V +tp7543 +a(g101 +Vpredicate +p7544 +tp7545 +a(g184 +V\u000a +tp7546 +a(g197 +V) +tp7547 +a(g184 +V\u000a\u000a +p7548 +tp7549 +a(g50 +VsyntaxRegex: +p7550 +tp7551 +a(g184 +V +tp7552 +a(g101 +VregexNode +p7553 +tp7554 +a(g184 +V +tp7555 +a(g338 +V= +tp7556 +a(g184 +V +tp7557 +a(g197 +V( +tp7558 +a(g184 +V\u000a +p7559 +tp7560 +a(g26 +V"All prefixes of the regex's branches should be combined.\u000a Therefore, just recurse." +p7561 +tp7562 +a(g184 +V\u000a\u000a +p7563 +tp7564 +a(g101 +VregexNode +p7565 +tp7566 +a(g184 +V +tp7567 +a(g101 +Vbranch +p7568 +tp7569 +a(g184 +V +tp7570 +a(g50 +VdispatchTo: +p7571 +tp7572 +a(g184 +V +tp7573 +a(g101 +Vself +p7574 +tp7575 +a(g197 +V. +tp7576 +a(g184 +V\u000a +p7577 +tp7578 +a(g101 +VregexNode +p7579 +tp7580 +a(g184 +V +tp7581 +a(g101 +Vregex +p7582 +tp7583 +a(g184 +V +tp7584 +a(g101 +VnotNil +p7585 +tp7586 +a(g184 +V\u000a +p7587 +tp7588 +a(g50 +VifTrue: +p7589 +tp7590 +a(g184 +V +tp7591 +a(g197 +V[ +tp7592 +a(g101 +VregexNode +p7593 +tp7594 +a(g184 +V +tp7595 +a(g101 +Vregex +p7596 +tp7597 +a(g184 +V +tp7598 +a(g50 +VdispatchTo: +p7599 +tp7600 +a(g184 +V +tp7601 +a(g101 +Vself +p7602 +tp7603 +a(g197 +V] +tp7604 +a(g184 +V\u000a +tp7605 +a(g197 +V) +tp7606 +a(g184 +V\u000a\u000a +p7607 +tp7608 +a(g69 +VsyntaxWordBoundary +p7609 +tp7610 +a(g184 +V +tp7611 +a(g338 +V= +tp7612 +a(g184 +V +tp7613 +a(g197 +V( +tp7614 +a(g184 +V\u000a\u000a +p7615 +tp7616 +a(g101 +Vconditions +p7617 +tp7618 +a(g184 +V +tp7619 +a(g50 +Vadd: +p7620 +tp7621 +a(g184 +V +tp7622 +a(g243 +V#atWordBoundary +p7623 +tp7624 +a(g184 +V\u000a +tp7625 +a(g197 +V) +tp7626 +a(g184 +V\u000a\u000a +p7627 +tp7628 +a(g221 +V'private' +p7629 +tp7630 +a(g184 +V\u000a +tp7631 +a(g69 +VdetermineTestMethod +p7632 +tp7633 +a(g184 +V +tp7634 +a(g338 +V= +tp7635 +a(g184 +V +tp7636 +a(g197 +V( +tp7637 +a(g184 +V\u000a +p7638 +tp7639 +a(g26 +V"Answer a block closure that will work as a can-match predicate.\u000a Answer nil if no viable optimization is possible (too many chars would\u000a be able to start a match)." +p7640 +tp7641 +a(g184 +V\u000a\u000a +p7642 +tp7643 +a(g338 +V| +tp7644 +a(g184 +V +tp7645 +a(g101 +Vtesters +p7646 +tp7647 +a(g184 +V +tp7648 +a(g338 +V| +tp7649 +a(g184 +V\u000a +p7650 +tp7651 +a(g197 +V( +tp7652 +a(g101 +Vconditions +p7653 +tp7654 +a(g184 +V +tp7655 +a(g50 +Vincludes: +p7656 +tp7657 +a(g184 +V +tp7658 +a(g243 +V#any +p7659 +tp7660 +a(g197 +V) +tp7661 +a(g184 +V +tp7662 +a(g50 +VifTrue: +p7663 +tp7664 +a(g184 +V +tp7665 +a(g197 +V[ +tp7666 +a(g338 +V^ +tp7667 +a(g13 +Vnil +p7668 +tp7669 +a(g197 +V] +tp7670 +a(g197 +V. +tp7671 +a(g184 +V\u000a +p7672 +tp7673 +a(g101 +Vtesters +p7674 +tp7675 +a(g338 +V:: +p7676 +tp7677 +a(g184 +V +tp7678 +a(g101 +VOrderedCollection +p7679 +tp7680 +a(g184 +V +tp7681 +a(g50 +Vnew: +p7682 +tp7683 +a(g184 +V +tp7684 +a(g305 +V5. +p7685 +tp7686 +a(g184 +V\u000a +p7687 +tp7688 +a(g197 +V{ +tp7689 +a(g243 +V#prefixTester +p7690 +tp7691 +a(g197 +V. +tp7692 +a(g184 +V +tp7693 +a(g243 +V#nonPrefixTester +p7694 +tp7695 +a(g197 +V. +tp7696 +a(g184 +V +tp7697 +a(g243 +V#conditionTester +p7698 +tp7699 +a(g197 +V. +tp7700 +a(g184 +V +tp7701 +a(g243 +V#methodPredicateTester +p7702 +tp7703 +a(g197 +V. +tp7704 +a(g184 +V +tp7705 +a(g243 +V#nonMethodPredicateTester +p7706 +tp7707 +a(g197 +V. +tp7708 +a(g184 +V +tp7709 +a(g243 +V#predicateTester +p7710 +tp7711 +a(g197 +V. +tp7712 +a(g184 +V +tp7713 +a(g243 +V#nonPredicateTester +p7714 +tp7715 +a(g197 +V} +tp7716 +a(g184 +V\u000a +p7717 +tp7718 +a(g50 +Vdo: +p7719 +tp7720 +a(g184 +V \u000a +p7721 +tp7722 +a(g197 +V[ +tp7723 +a(g101 +V:selector +p7724 +tp7725 +a(g184 +V +tp7726 +a(g338 +V| +tp7727 +a(g184 +V \u000a +p7728 +tp7729 +a(g338 +V| +tp7730 +a(g184 +V +tp7731 +a(g101 +Vtester +p7732 +tp7733 +a(g184 +V +tp7734 +a(g338 +V| +tp7735 +a(g184 +V\u000a +p7736 +tp7737 +a(g101 +Vtester +p7738 +tp7739 +a(g338 +V:: +p7740 +tp7741 +a(g184 +V +tp7742 +a(g13 +Vself +p7743 +tp7744 +a(g184 +V +tp7745 +a(g50 +Vperform: +p7746 +tp7747 +a(g184 +V +tp7748 +a(g101 +Vselector +p7749 +tp7750 +a(g197 +V. +tp7751 +a(g184 +V\u000a +p7752 +tp7753 +a(g101 +Vtester +p7754 +tp7755 +a(g184 +V +tp7756 +a(g101 +VnotNil +p7757 +tp7758 +a(g184 +V +tp7759 +a(g50 +VifTrue: +p7760 +tp7761 +a(g184 +V +tp7762 +a(g197 +V[ +tp7763 +a(g101 +Vtesters +p7764 +tp7765 +a(g184 +V +tp7766 +a(g50 +Vadd: +p7767 +tp7768 +a(g184 +V +tp7769 +a(g101 +Vtester +p7770 +tp7771 +a(g197 +V] +tp7772 +a(g197 +V] +tp7773 +a(g197 +V. +tp7774 +a(g184 +V\u000a +p7775 +tp7776 +a(g101 +Vtesters +p7777 +tp7778 +a(g184 +V +tp7779 +a(g101 +VisEmpty +p7780 +tp7781 +a(g184 +V +tp7782 +a(g50 +VifTrue: +p7783 +tp7784 +a(g184 +V +tp7785 +a(g197 +V[ +tp7786 +a(g338 +V^ +tp7787 +a(g13 +Vnil +p7788 +tp7789 +a(g197 +V] +tp7790 +a(g197 +V. +tp7791 +a(g184 +V\u000a +p7792 +tp7793 +a(g101 +Vtesters +p7794 +tp7795 +a(g184 +V +tp7796 +a(g69 +Vsize +p7797 +tp7798 +a(g184 +V +tp7799 +a(g338 +V= +tp7800 +a(g184 +V +tp7801 +a(g313 +V1 +tp7802 +a(g184 +V +tp7803 +a(g50 +VifTrue: +p7804 +tp7805 +a(g184 +V +tp7806 +a(g197 +V[ +tp7807 +a(g338 +V^ +tp7808 +a(g101 +Vtesters +p7809 +tp7810 +a(g184 +V +tp7811 +a(g101 +Vfirst +p7812 +tp7813 +a(g197 +V] +tp7814 +a(g197 +V. +tp7815 +a(g184 +V\u000a +p7816 +tp7817 +a(g101 +Vtesters +p7818 +tp7819 +a(g338 +V:: +p7820 +tp7821 +a(g184 +V +tp7822 +a(g101 +Vtesters +p7823 +tp7824 +a(g184 +V +tp7825 +a(g101 +VasArray +p7826 +tp7827 +a(g197 +V. +tp7828 +a(g184 +V\u000a +p7829 +tp7830 +a(g338 +V^ +tp7831 +a(g197 +V[ +tp7832 +a(g101 +V:char +p7833 +tp7834 +a(g184 +V +tp7835 +a(g101 +V:matcher +p7836 +tp7837 +a(g184 +V +tp7838 +a(g338 +V| +tp7839 +a(g184 +V +tp7840 +a(g101 +Vtesters +p7841 +tp7842 +a(g184 +V +tp7843 +a(g50 +Vcontains: +p7844 +tp7845 +a(g184 +V +tp7846 +a(g197 +V[ +tp7847 +a(g101 +V:t +p7848 +tp7849 +a(g184 +V +tp7850 +a(g338 +V| +tp7851 +a(g184 +V +tp7852 +a(g101 +Vt +tp7853 +a(g184 +V +tp7854 +a(g50 +Vvalue: +p7855 +tp7856 +a(g184 +V +tp7857 +a(g101 +Vchar +p7858 +tp7859 +a(g184 +V +tp7860 +a(g50 +Vvalue: +p7861 +tp7862 +a(g184 +V +tp7863 +a(g101 +Vmatcher +p7864 +tp7865 +a(g197 +V] +tp7866 +a(g197 +V] +tp7867 +a(g184 +V\u000a +tp7868 +a(g197 +V) +tp7869 +a(g184 +V\u000a\u000a +p7870 +tp7871 +a(g69 +VnonPredicateTester +p7872 +tp7873 +a(g184 +V +tp7874 +a(g338 +V= +tp7875 +a(g184 +V +tp7876 +a(g197 +V( +tp7877 +a(g184 +V\u000a\u000a +p7878 +tp7879 +a(g338 +V| +tp7880 +a(g184 +V +tp7881 +a(g101 +Vp +tp7882 +a(g184 +V +tp7883 +a(g101 +Vpred +p7884 +tp7885 +a(g184 +V +tp7886 +a(g338 +V| +tp7887 +a(g184 +V\u000a +p7888 +tp7889 +a(g101 +VnonPredicates +p7890 +tp7891 +a(g184 +V +tp7892 +a(g101 +VisEmpty +p7893 +tp7894 +a(g184 +V +tp7895 +a(g50 +VifTrue: +p7896 +tp7897 +a(g184 +V +tp7898 +a(g197 +V[ +tp7899 +a(g338 +V^ +tp7900 +a(g13 +Vnil +p7901 +tp7902 +a(g197 +V] +tp7903 +a(g197 +V. +tp7904 +a(g184 +V\u000a +p7905 +tp7906 +a(g101 +Vp +tp7907 +a(g338 +V:: +p7908 +tp7909 +a(g184 +V +tp7910 +a(g13 +Vself +p7911 +tp7912 +a(g184 +V +tp7913 +a(g50 +VoptimizeSet: +p7914 +tp7915 +a(g184 +V +tp7916 +a(g101 +VnonPredicates +p7917 +tp7918 +a(g197 +V. +tp7919 +a(g184 +V +tp7920 +a(g26 +V"also allows copying closures" +p7921 +tp7922 +a(g184 +V\u000a +p7923 +tp7924 +a(g338 +V^ +tp7925 +a(g101 +Vp +tp7926 +a(g184 +V +tp7927 +a(g69 +Vsize +p7928 +tp7929 +a(g184 +V +tp7930 +a(g338 +V= +tp7931 +a(g184 +V +tp7932 +a(g313 +V1 +tp7933 +a(g184 +V\u000a +p7934 +tp7935 +a(g50 +VifTrue: +p7936 +tp7937 +a(g184 +V \u000a +p7938 +tp7939 +a(g197 +V[ +tp7940 +a(g101 +Vpred +p7941 +tp7942 +a(g338 +V:: +p7943 +tp7944 +a(g184 +V +tp7945 +a(g101 +Vp +tp7946 +a(g184 +V +tp7947 +a(g101 +Vfirst +p7948 +tp7949 +a(g197 +V. +tp7950 +a(g184 +V\u000a +p7951 +tp7952 +a(g197 +V[ +tp7953 +a(g101 +V:char +p7954 +tp7955 +a(g184 +V +tp7956 +a(g101 +V:matcher +p7957 +tp7958 +a(g184 +V +tp7959 +a(g338 +V| +tp7960 +a(g184 +V +tp7961 +a(g197 +V( +tp7962 +a(g101 +Vpred +p7963 +tp7964 +a(g184 +V +tp7965 +a(g50 +Vvalue: +p7966 +tp7967 +a(g184 +V +tp7968 +a(g101 +Vchar +p7969 +tp7970 +a(g197 +V) +tp7971 +a(g184 +V +tp7972 +a(g101 +Vnot +p7973 +tp7974 +a(g197 +V] +tp7975 +a(g197 +V] +tp7976 +a(g184 +V\u000a +p7977 +tp7978 +a(g50 +VifFalse: +p7979 +tp7980 +a(g184 +V \u000a +p7981 +tp7982 +a(g197 +V[ +tp7983 +a(g197 +V[ +tp7984 +a(g101 +V:char +p7985 +tp7986 +a(g184 +V +tp7987 +a(g101 +V:m +p7988 +tp7989 +a(g184 +V +tp7990 +a(g338 +V| +tp7991 +a(g184 +V +tp7992 +a(g101 +Vp +tp7993 +a(g184 +V +tp7994 +a(g50 +Vcontains: +p7995 +tp7996 +a(g184 +V +tp7997 +a(g197 +V[ +tp7998 +a(g101 +V:some +p7999 +tp8000 +a(g184 +V +tp8001 +a(g338 +V| +tp8002 +a(g184 +V +tp8003 +a(g197 +V( +tp8004 +a(g101 +Vsome +p8005 +tp8006 +a(g184 +V +tp8007 +a(g50 +Vvalue: +p8008 +tp8009 +a(g184 +V +tp8010 +a(g101 +Vchar +p8011 +tp8012 +a(g197 +V) +tp8013 +a(g184 +V +tp8014 +a(g101 +Vnot +p8015 +tp8016 +a(g197 +V] +tp8017 +a(g197 +V] +tp8018 +a(g197 +V] +tp8019 +a(g184 +V\u000a +tp8020 +a(g197 +V) +tp8021 +a(g184 +V\u000a\u000a +p8022 +tp8023 +a(g69 +VnonPrefixTester +p8024 +tp8025 +a(g184 +V +tp8026 +a(g338 +V= +tp8027 +a(g184 +V +tp8028 +a(g197 +V( +tp8029 +a(g184 +V\u000a\u000a +p8030 +tp8031 +a(g338 +V| +tp8032 +a(g184 +V +tp8033 +a(g101 +Vnp +p8034 +tp8035 +a(g184 +V +tp8036 +a(g101 +VnonPrefixChar +p8037 +tp8038 +a(g184 +V +tp8039 +a(g338 +V| +tp8040 +a(g184 +V\u000a +p8041 +tp8042 +a(g101 +VnonPrefixes +p8043 +tp8044 +a(g184 +V +tp8045 +a(g101 +VisEmpty +p8046 +tp8047 +a(g184 +V +tp8048 +a(g50 +VifTrue: +p8049 +tp8050 +a(g184 +V +tp8051 +a(g197 +V[ +tp8052 +a(g338 +V^ +tp8053 +a(g13 +Vnil +p8054 +tp8055 +a(g197 +V] +tp8056 +a(g197 +V. +tp8057 +a(g184 +V\u000a +p8058 +tp8059 +a(g101 +Vnp +p8060 +tp8061 +a(g338 +V:: +p8062 +tp8063 +a(g184 +V +tp8064 +a(g13 +Vself +p8065 +tp8066 +a(g184 +V +tp8067 +a(g50 +VoptimizeSet: +p8068 +tp8069 +a(g184 +V +tp8070 +a(g101 +VnonPrefixes +p8071 +tp8072 +a(g197 +V. +tp8073 +a(g184 +V +tp8074 +a(g26 +V"also allows copying closures" +p8075 +tp8076 +a(g184 +V\u000a +p8077 +tp8078 +a(g338 +V^ +tp8079 +a(g101 +Vnp +p8080 +tp8081 +a(g184 +V +tp8082 +a(g69 +Vsize +p8083 +tp8084 +a(g184 +V +tp8085 +a(g338 +V= +tp8086 +a(g184 +V +tp8087 +a(g313 +V1 +tp8088 +a(g184 +V +tp8089 +a(g26 +V"might be be pretty common case" +p8090 +tp8091 +a(g184 +V\u000a +p8092 +tp8093 +a(g50 +VifTrue: +p8094 +tp8095 +a(g184 +V \u000a +p8096 +tp8097 +a(g197 +V[ +tp8098 +a(g101 +VnonPrefixChar +p8099 +tp8100 +a(g338 +V:: +p8101 +tp8102 +a(g184 +V +tp8103 +a(g101 +Vnp +p8104 +tp8105 +a(g184 +V +tp8106 +a(g101 +Vfirst +p8107 +tp8108 +a(g197 +V. +tp8109 +a(g184 +V\u000a +p8110 +tp8111 +a(g197 +V[ +tp8112 +a(g101 +V:char +p8113 +tp8114 +a(g184 +V +tp8115 +a(g101 +V:matcher +p8116 +tp8117 +a(g184 +V +tp8118 +a(g338 +V| +tp8119 +a(g184 +V +tp8120 +a(g101 +Vchar +p8121 +tp8122 +a(g184 +V +tp8123 +a(g338 +V~ +tp8124 +a(g338 +V= +tp8125 +a(g184 +V +tp8126 +a(g101 +VnonPrefixChar +p8127 +tp8128 +a(g197 +V] +tp8129 +a(g197 +V] +tp8130 +a(g184 +V\u000a +p8131 +tp8132 +a(g50 +VifFalse: +p8133 +tp8134 +a(g184 +V +tp8135 +a(g197 +V[ +tp8136 +a(g197 +V[ +tp8137 +a(g101 +V:char +p8138 +tp8139 +a(g184 +V +tp8140 +a(g338 +V: +tp8141 +a(g184 +V +tp8142 +a(g101 +Vmatcher +p8143 +tp8144 +a(g184 +V +tp8145 +a(g338 +V| +tp8146 +a(g184 +V +tp8147 +a(g197 +V( +tp8148 +a(g101 +Vnp +p8149 +tp8150 +a(g184 +V +tp8151 +a(g50 +Vincludes: +p8152 +tp8153 +a(g184 +V +tp8154 +a(g101 +Vchar +p8155 +tp8156 +a(g197 +V) +tp8157 +a(g184 +V +tp8158 +a(g101 +Vnot +p8159 +tp8160 +a(g197 +V] +tp8161 +a(g197 +V] +tp8162 +a(g184 +V\u000a +tp8163 +a(g197 +V) +tp8164 +a(g184 +V\u000a\u000a +p8165 +tp8166 +a(g50 +VoptimizeSet: +p8167 +tp8168 +a(g184 +V +tp8169 +a(g101 +VaSet +p8170 +tp8171 +a(g184 +V +tp8172 +a(g338 +V= +tp8173 +a(g184 +V +tp8174 +a(g197 +V( +tp8175 +a(g184 +V\u000a +p8176 +tp8177 +a(g26 +V"If a set is small, convert it to array to speed up lookup\u000a (Array has no hashing overhead, beats Set on small number\u000a of elements)." +p8178 +tp8179 +a(g184 +V\u000a\u000a +p8180 +tp8181 +a(g338 +V^ +tp8182 +a(g101 +VaSet +p8183 +tp8184 +a(g184 +V +tp8185 +a(g101 +Vsize +p8186 +tp8187 +a(g184 +V +tp8188 +a(g338 +V< +tp8189 +a(g184 +V +tp8190 +a(g313 +V10 +p8191 +tp8192 +a(g184 +V +tp8193 +a(g50 +VifTrue: +p8194 +tp8195 +a(g184 +V +tp8196 +a(g197 +V[ +tp8197 +a(g101 +VaSet +p8198 +tp8199 +a(g184 +V +tp8200 +a(g101 +VasArray +p8201 +tp8202 +a(g197 +V] +tp8203 +a(g184 +V +tp8204 +a(g50 +VifFalse: +p8205 +tp8206 +a(g184 +V +tp8207 +a(g197 +V[ +tp8208 +a(g101 +VaSet +p8209 +tp8210 +a(g197 +V] +tp8211 +a(g184 +V\u000a +tp8212 +a(g197 +V) +tp8213 +a(g184 +V\u000a\u000a +p8214 +tp8215 +a(g69 +VpredicateTester +p8216 +tp8217 +a(g184 +V +tp8218 +a(g338 +V= +tp8219 +a(g184 +V +tp8220 +a(g197 +V( +tp8221 +a(g184 +V\u000a +p8222 +tp8223 +a(g338 +V| +tp8224 +a(g184 +V +tp8225 +a(g101 +Vp +tp8226 +a(g184 +V +tp8227 +a(g101 +Vpred +p8228 +tp8229 +a(g184 +V +tp8230 +a(g338 +V| +tp8231 +a(g184 +V\u000a +p8232 +tp8233 +a(g101 +Vpredicates +p8234 +tp8235 +a(g184 +V +tp8236 +a(g101 +VisEmpty +p8237 +tp8238 +a(g184 +V +tp8239 +a(g50 +VifTrue: +p8240 +tp8241 +a(g184 +V +tp8242 +a(g197 +V[ +tp8243 +a(g338 +V^ +tp8244 +a(g13 +Vnil +p8245 +tp8246 +a(g197 +V] +tp8247 +a(g197 +V. +tp8248 +a(g184 +V\u000a +p8249 +tp8250 +a(g101 +Vp +tp8251 +a(g338 +V:: +p8252 +tp8253 +a(g184 +V +tp8254 +a(g13 +Vself +p8255 +tp8256 +a(g184 +V +tp8257 +a(g50 +VoptimizeSet: +p8258 +tp8259 +a(g184 +V +tp8260 +a(g101 +Vpredicates +p8261 +tp8262 +a(g197 +V. +tp8263 +a(g184 +V +tp8264 +a(g26 +V"also allows copying closures" +p8265 +tp8266 +a(g184 +V\u000a +p8267 +tp8268 +a(g338 +V^ +tp8269 +a(g101 +Vp +tp8270 +a(g184 +V +tp8271 +a(g69 +Vsize +p8272 +tp8273 +a(g184 +V +tp8274 +a(g338 +V= +tp8275 +a(g184 +V +tp8276 +a(g313 +V1 +tp8277 +a(g184 +V\u000a +p8278 +tp8279 +a(g50 +VifTrue: +p8280 +tp8281 +a(g184 +V \u000a +p8282 +tp8283 +a(g197 +V[ +tp8284 +a(g101 +Vpred +p8285 +tp8286 +a(g338 +V:: +p8287 +tp8288 +a(g184 +V +tp8289 +a(g101 +Vp +tp8290 +a(g184 +V +tp8291 +a(g101 +Vfirst +p8292 +tp8293 +a(g197 +V. +tp8294 +a(g184 +V\u000a +p8295 +tp8296 +a(g197 +V[ +tp8297 +a(g101 +V:char +p8298 +tp8299 +a(g184 +V +tp8300 +a(g101 +V:matcher +p8301 +tp8302 +a(g184 +V +tp8303 +a(g338 +V| +tp8304 +a(g184 +V +tp8305 +a(g101 +Vpred +p8306 +tp8307 +a(g184 +V +tp8308 +a(g50 +Vvalue: +p8309 +tp8310 +a(g184 +V +tp8311 +a(g101 +Vchar +p8312 +tp8313 +a(g197 +V] +tp8314 +a(g197 +V] +tp8315 +a(g184 +V\u000a +p8316 +tp8317 +a(g50 +VifFalse: +p8318 +tp8319 +a(g184 +V \u000a +p8320 +tp8321 +a(g197 +V[ +tp8322 +a(g197 +V[ +tp8323 +a(g101 +V:char +p8324 +tp8325 +a(g184 +V +tp8326 +a(g101 +V:m +p8327 +tp8328 +a(g184 +V +tp8329 +a(g338 +V| +tp8330 +a(g184 +V +tp8331 +a(g101 +Vp +tp8332 +a(g184 +V +tp8333 +a(g50 +Vcontains: +p8334 +tp8335 +a(g184 +V +tp8336 +a(g197 +V[ +tp8337 +a(g101 +V:some +p8338 +tp8339 +a(g184 +V +tp8340 +a(g338 +V| +tp8341 +a(g184 +V +tp8342 +a(g101 +Vsome +p8343 +tp8344 +a(g184 +V +tp8345 +a(g50 +Vvalue: +p8346 +tp8347 +a(g184 +V +tp8348 +a(g101 +Vchar +p8349 +tp8350 +a(g197 +V] +tp8351 +a(g197 +V] +tp8352 +a(g197 +V] +tp8353 +a(g184 +V\u000a +tp8354 +a(g197 +V) +tp8355 +a(g184 +V\u000a\u000a +p8356 +tp8357 +a(g69 +VprefixTester +p8358 +tp8359 +a(g184 +V +tp8360 +a(g338 +V= +tp8361 +a(g184 +V +tp8362 +a(g197 +V( +tp8363 +a(g184 +V\u000a\u000a +p8364 +tp8365 +a(g338 +V| +tp8366 +a(g184 +V +tp8367 +a(g101 +Vp +tp8368 +a(g184 +V +tp8369 +a(g101 +VprefixChar +p8370 +tp8371 +a(g184 +V +tp8372 +a(g338 +V| +tp8373 +a(g184 +V\u000a +p8374 +tp8375 +a(g101 +Vprefixes +p8376 +tp8377 +a(g184 +V +tp8378 +a(g101 +VisEmpty +p8379 +tp8380 +a(g184 +V +tp8381 +a(g50 +VifTrue: +p8382 +tp8383 +a(g184 +V +tp8384 +a(g197 +V[ +tp8385 +a(g338 +V^ +tp8386 +a(g13 +Vnil +p8387 +tp8388 +a(g197 +V] +tp8389 +a(g197 +V. +tp8390 +a(g184 +V\u000a +p8391 +tp8392 +a(g101 +Vp +tp8393 +a(g338 +V:: +p8394 +tp8395 +a(g184 +V +tp8396 +a(g13 +Vself +p8397 +tp8398 +a(g184 +V +tp8399 +a(g50 +VoptimizeSet: +p8400 +tp8401 +a(g184 +V +tp8402 +a(g101 +Vprefixes +p8403 +tp8404 +a(g197 +V. +tp8405 +a(g184 +V +tp8406 +a(g26 +V"also allows copying closures" +p8407 +tp8408 +a(g184 +V\u000a +p8409 +tp8410 +a(g101 +VignoreCase +p8411 +tp8412 +a(g184 +V +tp8413 +a(g50 +VifTrue: +p8414 +tp8415 +a(g184 +V +tp8416 +a(g197 +V[ +tp8417 +a(g101 +Vp +tp8418 +a(g338 +V:: +p8419 +tp8420 +a(g184 +V +tp8421 +a(g101 +Vp +tp8422 +a(g184 +V +tp8423 +a(g50 +Vcollect: +p8424 +tp8425 +a(g184 +V +tp8426 +a(g197 +V[ +tp8427 +a(g101 +V:each +p8428 +tp8429 +a(g184 +V +tp8430 +a(g338 +V| +tp8431 +a(g184 +V +tp8432 +a(g101 +Veach +p8433 +tp8434 +a(g184 +V +tp8435 +a(g101 +VasUppercase +p8436 +tp8437 +a(g197 +V] +tp8438 +a(g197 +V] +tp8439 +a(g197 +V. +tp8440 +a(g184 +V\u000a +p8441 +tp8442 +a(g338 +V^ +tp8443 +a(g101 +Vp +tp8444 +a(g184 +V +tp8445 +a(g69 +Vsize +p8446 +tp8447 +a(g184 +V +tp8448 +a(g338 +V= +tp8449 +a(g184 +V +tp8450 +a(g313 +V1 +tp8451 +a(g184 +V +tp8452 +a(g26 +V"might be a pretty common case" +p8453 +tp8454 +a(g184 +V\u000a +p8455 +tp8456 +a(g50 +VifTrue: +p8457 +tp8458 +a(g184 +V \u000a +p8459 +tp8460 +a(g197 +V[ +tp8461 +a(g101 +VprefixChar +p8462 +tp8463 +a(g338 +V:: +p8464 +tp8465 +a(g184 +V +tp8466 +a(g101 +Vp +tp8467 +a(g184 +V +tp8468 +a(g101 +Vfirst +p8469 +tp8470 +a(g197 +V. +tp8471 +a(g184 +V\u000a +p8472 +tp8473 +a(g101 +VignoreCase +p8474 +tp8475 +a(g184 +V\u000a +p8476 +tp8477 +a(g50 +VifTrue: +p8478 +tp8479 +a(g184 +V +tp8480 +a(g197 +V[ +tp8481 +a(g197 +V[ +tp8482 +a(g101 +V:char +p8483 +tp8484 +a(g184 +V +tp8485 +a(g101 +V:matcher +p8486 +tp8487 +a(g184 +V +tp8488 +a(g338 +V| +tp8489 +a(g184 +V +tp8490 +a(g101 +Vchar +p8491 +tp8492 +a(g184 +V +tp8493 +a(g50 +VsameAs: +p8494 +tp8495 +a(g184 +V +tp8496 +a(g101 +VprefixChar +p8497 +tp8498 +a(g197 +V] +tp8499 +a(g197 +V] +tp8500 +a(g184 +V\u000a +p8501 +tp8502 +a(g50 +VifFalse: +p8503 +tp8504 +a(g184 +V +tp8505 +a(g197 +V[ +tp8506 +a(g197 +V[ +tp8507 +a(g101 +V:char +p8508 +tp8509 +a(g184 +V +tp8510 +a(g101 +V:matcher +p8511 +tp8512 +a(g184 +V +tp8513 +a(g338 +V| +tp8514 +a(g184 +V +tp8515 +a(g69 +Vchar +p8516 +tp8517 +a(g184 +V +tp8518 +a(g338 +V= +tp8519 +a(g184 +V +tp8520 +a(g101 +VprefixChar +p8521 +tp8522 +a(g197 +V] +tp8523 +a(g197 +V] +tp8524 +a(g197 +V] +tp8525 +a(g184 +V\u000a +p8526 +tp8527 +a(g50 +VifFalse: +p8528 +tp8529 +a(g184 +V\u000a +p8530 +tp8531 +a(g197 +V[ +tp8532 +a(g101 +VignoreCase +p8533 +tp8534 +a(g184 +V\u000a +p8535 +tp8536 +a(g50 +VifTrue: +p8537 +tp8538 +a(g184 +V +tp8539 +a(g197 +V[ +tp8540 +a(g197 +V[ +tp8541 +a(g101 +V:char +p8542 +tp8543 +a(g184 +V +tp8544 +a(g101 +V:matcher +p8545 +tp8546 +a(g184 +V +tp8547 +a(g338 +V| +tp8548 +a(g184 +V +tp8549 +a(g101 +Vp +tp8550 +a(g184 +V +tp8551 +a(g50 +Vincludes: +p8552 +tp8553 +a(g184 +V +tp8554 +a(g101 +Vchar +p8555 +tp8556 +a(g184 +V +tp8557 +a(g101 +VasUppercase +p8558 +tp8559 +a(g197 +V] +tp8560 +a(g197 +V] +tp8561 +a(g184 +V\u000a +p8562 +tp8563 +a(g50 +VifFalse: +p8564 +tp8565 +a(g184 +V +tp8566 +a(g197 +V[ +tp8567 +a(g197 +V[ +tp8568 +a(g101 +V:char +p8569 +tp8570 +a(g184 +V +tp8571 +a(g101 +V:matcher +p8572 +tp8573 +a(g184 +V +tp8574 +a(g338 +V| +tp8575 +a(g184 +V +tp8576 +a(g101 +Vp +tp8577 +a(g184 +V +tp8578 +a(g50 +Vincludes: +p8579 +tp8580 +a(g184 +V +tp8581 +a(g101 +Vchar +p8582 +tp8583 +a(g197 +V] +tp8584 +a(g197 +V] +tp8585 +a(g197 +V] +tp8586 +a(g184 +V\u000a +tp8587 +a(g197 +V) +tp8588 +a(g184 +V\u000a\u000a +p8589 +tp8590 +a(g197 +V) +tp8591 +a(g184 +V\u000a\u000a +p8592 +tp8593 +a(g7 +Vclass +p8594 +tp8595 +a(g184 +V +tp8596 +a(g134 +VRxsMessagePredicate +p8597 +tp8598 +a(g184 +V +tp8599 +a(g50 +Vselector: +p8600 +tp8601 +a(g184 +V +tp8602 +a(g101 +Vs +tp8603 +a(g184 +V +tp8604 +a(g50 +Vnegated: +p8605 +tp8606 +a(g184 +V +tp8607 +a(g101 +VaBoolean +p8608 +tp8609 +a(g184 +V +tp8610 +a(g338 +V= +tp8611 +a(g184 +V +tp8612 +a(g101 +VRxsNode +p8613 +tp8614 +a(g184 +V +tp8615 +a(g197 +V( +tp8616 +a(g184 +V\u000a +tp8617 +a(g26 +V"A message predicate represents a condition on a character that is tested (at the match time) by sending a unary message to the character expecting a Boolean answer.\u000a\u000aInstance variables:\u000a selector " +p8618 +tp8619 +a(g184 +V\u000a +tp8620 +a(g338 +V| +tp8621 +a(g184 +V\u000a +p8622 +tp8623 +a(g101 +Vselector +p8624 +tp8625 +a(g338 +V:: +p8626 +tp8627 +a(g338 +V= +tp8628 +a(g184 +V +tp8629 +a(g101 +Vs +tp8630 +a(g197 +V. +tp8631 +a(g184 +V\u000a +p8632 +tp8633 +a(g101 +Vnegated +p8634 +tp8635 +a(g338 +V:: +p8636 +tp8637 +a(g338 +V= +tp8638 +a(g184 +V +tp8639 +a(g101 +VaBoolean +p8640 +tp8641 +a(g197 +V. +tp8642 +a(g184 +V\u000a +tp8643 +a(g338 +V| +tp8644 +a(g184 +V\u000a +tp8645 +a(g197 +V) +tp8646 +a(g184 +V\u000a +tp8647 +a(g197 +V( +tp8648 +a(g221 +V'accessing' +p8649 +tp8650 +a(g184 +V\u000a +tp8651 +a(g50 +VdispatchTo: +p8652 +tp8653 +a(g184 +V +tp8654 +a(g101 +VaBuilder +p8655 +tp8656 +a(g184 +V +tp8657 +a(g338 +V= +tp8658 +a(g184 +V +tp8659 +a(g197 +V( +tp8660 +a(g184 +V\u000a +p8661 +tp8662 +a(g26 +V"Inform the matcher of the kind of the node, and it\u000a will do whatever it has to." +p8663 +tp8664 +a(g184 +V\u000a\u000a +p8665 +tp8666 +a(g338 +V^ +tp8667 +a(g101 +VaBuilder +p8668 +tp8669 +a(g184 +V +tp8670 +a(g50 +VsyntaxMessagePredicate: +p8671 +tp8672 +a(g184 +V +tp8673 +a(g101 +Vself +p8674 +tp8675 +a(g184 +V\u000a +tp8676 +a(g197 +V) +tp8677 +a(g184 +V\u000a\u000a +p8678 +tp8679 +a(g197 +V) +tp8680 +a(g184 +V\u000a\u000a +p8681 +tp8682 +a(g7 +Vclass +p8683 +tp8684 +a(g184 +V +tp8685 +a(g134 +VRxCharSetParser +p8686 +tp8687 +a(g184 +V +tp8688 +a(g50 +Von: +p8689 +tp8690 +a(g184 +V +tp8691 +a(g101 +VaStream +p8692 +tp8693 +a(g184 +V +tp8694 +a(g338 +V= +tp8695 +a(g184 +V +tp8696 +a(g197 +V( +tp8697 +a(g184 +V\u000a +tp8698 +a(g26 +V"I am a parser created to parse the insides of a character set ([...]) construct. I create and answer a collection of 'elements', each being an instance of one of: RxsCharacter, RxsRange, or RxsPredicate." +p8699 +tp8700 +a(g184 +V\u000a +tp8701 +a(g338 +V| +tp8702 +a(g184 +V\u000a +p8703 +tp8704 +a(g101 +Vsource +p8705 +tp8706 +a(g184 +V +tp8707 +a(g101 +Vlookahead +p8708 +tp8709 +a(g184 +V +tp8710 +a(g101 +Velements +p8711 +tp8712 +a(g184 +V\u000a +tp8713 +a(g338 +V| +tp8714 +a(g184 +V\u000a +tp8715 +a(g50 +Vsource: +p8716 +tp8717 +a(g184 +V +tp8718 +a(g101 +VaStream +p8719 +tp8720 +a(g197 +V. +tp8721 +a(g184 +V\u000a +tp8722 +a(g50 +Vlookahead: +p8723 +tp8724 +a(g184 +V +tp8725 +a(g101 +VaStream +p8726 +tp8727 +a(g184 +V +tp8728 +a(g101 +Vnext +p8729 +tp8730 +a(g197 +V. +tp8731 +a(g184 +V\u000a +tp8732 +a(g50 +Velements: +p8733 +tp8734 +a(g184 +V +tp8735 +a(g101 +VOrderedCollection +p8736 +tp8737 +a(g184 +V +tp8738 +a(g101 +Vnew +p8739 +tp8740 +a(g197 +V. +tp8741 +a(g184 +V\u000a +tp8742 +a(g197 +V) +tp8743 +a(g184 +V\u000a +tp8744 +a(g197 +V( +tp8745 +a(g221 +V'accessing' +p8746 +tp8747 +a(g184 +V\u000a +tp8748 +a(g69 +Vparse +p8749 +tp8750 +a(g184 +V +tp8751 +a(g338 +V= +tp8752 +a(g184 +V +tp8753 +a(g197 +V( +tp8754 +a(g184 +V\u000a +p8755 +tp8756 +a(g69 +Vlookahead +p8757 +tp8758 +a(g184 +V +tp8759 +a(g338 +V= +tp8760 +a(g184 +V +tp8761 +a(g221 +V$- +p8762 +tp8763 +a(g184 +V +tp8764 +a(g50 +VifTrue: +p8765 +tp8766 +a(g184 +V\u000a +p8767 +tp8768 +a(g197 +V[ +tp8769 +a(g13 +Vself +p8770 +tp8771 +a(g184 +V +tp8772 +a(g50 +VaddChar: +p8773 +tp8774 +a(g184 +V +tp8775 +a(g221 +V$- +p8776 +tp8777 +a(g197 +V. +tp8778 +a(g184 +V\u000a +p8779 +tp8780 +a(g13 +Vself +p8781 +tp8782 +a(g184 +V +tp8783 +a(g50 +Vmatch: +p8784 +tp8785 +a(g184 +V +tp8786 +a(g221 +V$- +p8787 +tp8788 +a(g197 +V] +tp8789 +a(g197 +V. +tp8790 +a(g184 +V\u000a +p8791 +tp8792 +a(g197 +V[ +tp8793 +a(g101 +Vlookahead +p8794 +tp8795 +a(g184 +V +tp8796 +a(g101 +VisNil +p8797 +tp8798 +a(g197 +V] +tp8799 +a(g184 +V +tp8800 +a(g50 +VwhileFalse: +p8801 +tp8802 +a(g184 +V +tp8803 +a(g197 +V[ +tp8804 +a(g13 +Vself +p8805 +tp8806 +a(g184 +V +tp8807 +a(g101 +VparseStep +p8808 +tp8809 +a(g197 +V] +tp8810 +a(g197 +V. +tp8811 +a(g184 +V\u000a +p8812 +tp8813 +a(g338 +V^ +tp8814 +a(g101 +Velements +p8815 +tp8816 +a(g184 +V\u000a +tp8817 +a(g197 +V) +tp8818 +a(g184 +V\u000a\u000a +p8819 +tp8820 +a(g221 +V'parsing' +p8821 +tp8822 +a(g184 +V\u000a +tp8823 +a(g50 +VaddChar: +p8824 +tp8825 +a(g184 +V +tp8826 +a(g101 +VaChar +p8827 +tp8828 +a(g184 +V +tp8829 +a(g338 +V= +tp8830 +a(g184 +V +tp8831 +a(g197 +V( +tp8832 +a(g184 +V\u000a +p8833 +tp8834 +a(g101 +Velements +p8835 +tp8836 +a(g184 +V +tp8837 +a(g50 +Vadd: +p8838 +tp8839 +a(g184 +V +tp8840 +a(g197 +V( +tp8841 +a(g101 +VRxsCharacter +p8842 +tp8843 +a(g184 +V +tp8844 +a(g50 +Vwith: +p8845 +tp8846 +a(g184 +V +tp8847 +a(g101 +VaChar +p8848 +tp8849 +a(g197 +V) +tp8850 +a(g184 +V\u000a +tp8851 +a(g197 +V) +tp8852 +a(g184 +V\u000a\u000a +p8853 +tp8854 +a(g50 +VaddRangeFrom: +p8855 +tp8856 +a(g184 +V +tp8857 +a(g101 +VfirstChar +p8858 +tp8859 +a(g184 +V +tp8860 +a(g50 +Vto: +p8861 +tp8862 +a(g184 +V +tp8863 +a(g101 +VlastChar +p8864 +tp8865 +a(g184 +V +tp8866 +a(g338 +V= +tp8867 +a(g184 +V +tp8868 +a(g197 +V( +tp8869 +a(g184 +V\u000a +p8870 +tp8871 +a(g101 +VfirstChar +p8872 +tp8873 +a(g184 +V +tp8874 +a(g101 +VasInteger +p8875 +tp8876 +a(g184 +V +tp8877 +a(g338 +V> +tp8878 +a(g184 +V +tp8879 +a(g101 +VlastChar +p8880 +tp8881 +a(g184 +V +tp8882 +a(g101 +VasInteger +p8883 +tp8884 +a(g184 +V +tp8885 +a(g50 +VifTrue: +p8886 +tp8887 +a(g184 +V\u000a +p8888 +tp8889 +a(g197 +V[ +tp8890 +a(g101 +VSyntaxError +p8891 +tp8892 +a(g184 +V +tp8893 +a(g50 +Vsignal: +p8894 +tp8895 +a(g184 +V +tp8896 +a(g221 +V' bad character range' +p8897 +tp8898 +a(g197 +V] +tp8899 +a(g197 +V. +tp8900 +a(g184 +V\u000a +p8901 +tp8902 +a(g101 +Velements +p8903 +tp8904 +a(g184 +V +tp8905 +a(g50 +Vadd: +p8906 +tp8907 +a(g184 +V +tp8908 +a(g197 +V( +tp8909 +a(g101 +VRxsRange +p8910 +tp8911 +a(g184 +V +tp8912 +a(g50 +Vfrom: +p8913 +tp8914 +a(g184 +V +tp8915 +a(g101 +VfirstChar +p8916 +tp8917 +a(g184 +V +tp8918 +a(g50 +Vto: +p8919 +tp8920 +a(g184 +V +tp8921 +a(g101 +VlastChar +p8922 +tp8923 +a(g197 +V) +tp8924 +a(g184 +V\u000a +tp8925 +a(g197 +V) +tp8926 +a(g184 +V\u000a\u000a +p8927 +tp8928 +a(g50 +Vmatch: +p8929 +tp8930 +a(g184 +V +tp8931 +a(g101 +VaCharacter +p8932 +tp8933 +a(g184 +V +tp8934 +a(g338 +V= +tp8935 +a(g184 +V +tp8936 +a(g197 +V( +tp8937 +a(g184 +V\u000a +p8938 +tp8939 +a(g69 +VaCharacter +p8940 +tp8941 +a(g184 +V +tp8942 +a(g338 +V= +tp8943 +a(g184 +V +tp8944 +a(g101 +Vlookahead +p8945 +tp8946 +a(g184 +V\u000a +p8947 +tp8948 +a(g50 +VifFalse: +p8949 +tp8950 +a(g184 +V +tp8951 +a(g197 +V[ +tp8952 +a(g101 +VSyntaxError +p8953 +tp8954 +a(g184 +V +tp8955 +a(g50 +Vsignal: +p8956 +tp8957 +a(g184 +V +tp8958 +a(g221 +V'unexpected character: ' +p8959 +tp8960 +a(g338 +V, +tp8961 +a(g184 +V +tp8962 +a(g197 +V( +tp8963 +a(g101 +VString +p8964 +tp8965 +a(g184 +V +tp8966 +a(g50 +Vwith: +p8967 +tp8968 +a(g184 +V +tp8969 +a(g101 +Vlookahead +p8970 +tp8971 +a(g197 +V) +tp8972 +a(g197 +V] +tp8973 +a(g197 +V. +tp8974 +a(g184 +V\u000a +p8975 +tp8976 +a(g338 +V^ +tp8977 +a(g101 +Vsource +p8978 +tp8979 +a(g184 +V +tp8980 +a(g101 +VatEnd +p8981 +tp8982 +a(g184 +V\u000a +p8983 +tp8984 +a(g50 +VifTrue: +p8985 +tp8986 +a(g184 +V +tp8987 +a(g197 +V[ +tp8988 +a(g50 +Vlookahead: +p8989 +tp8990 +a(g184 +V +tp8991 +a(g101 +Vnil +p8992 +tp8993 +a(g197 +V] +tp8994 +a(g184 +V\u000a +p8995 +tp8996 +a(g50 +VifFalse: +p8997 +tp8998 +a(g184 +V +tp8999 +a(g197 +V[ +tp9000 +a(g50 +Vlookahead: +p9001 +tp9002 +a(g184 +V +tp9003 +a(g101 +Vsource +p9004 +tp9005 +a(g184 +V +tp9006 +a(g101 +Vnext +p9007 +tp9008 +a(g197 +V] +tp9009 +a(g184 +V\u000a +tp9010 +a(g197 +V) +tp9011 +a(g184 +V\u000a\u000a +p9012 +tp9013 +a(g69 +VparseCharOrRange +p9014 +tp9015 +a(g184 +V +tp9016 +a(g338 +V= +tp9017 +a(g184 +V +tp9018 +a(g197 +V( +tp9019 +a(g184 +V\u000a +p9020 +tp9021 +a(g338 +V| +tp9022 +a(g184 +V +tp9023 +a(g101 +VfirstChar +p9024 +tp9025 +a(g184 +V +tp9026 +a(g338 +V| +tp9027 +a(g184 +V\u000a +p9028 +tp9029 +a(g50 +VfirstChar: +p9030 +tp9031 +a(g184 +V +tp9032 +a(g101 +Vlookahead +p9033 +tp9034 +a(g197 +V. +tp9035 +a(g184 +V\u000a +p9036 +tp9037 +a(g13 +Vself +p9038 +tp9039 +a(g184 +V +tp9040 +a(g50 +Vmatch: +p9041 +tp9042 +a(g184 +V +tp9043 +a(g101 +VfirstChar +p9044 +tp9045 +a(g197 +V. +tp9046 +a(g184 +V\u000a +p9047 +tp9048 +a(g69 +Vlookahead +p9049 +tp9050 +a(g184 +V +tp9051 +a(g338 +V= +tp9052 +a(g184 +V +tp9053 +a(g221 +V$- +p9054 +tp9055 +a(g184 +V +tp9056 +a(g50 +VifTrue: +p9057 +tp9058 +a(g184 +V\u000a +p9059 +tp9060 +a(g197 +V[ +tp9061 +a(g13 +Vself +p9062 +tp9063 +a(g184 +V +tp9064 +a(g50 +Vmatch: +p9065 +tp9066 +a(g184 +V +tp9067 +a(g221 +V$- +p9068 +tp9069 +a(g197 +V. +tp9070 +a(g184 +V\u000a +p9071 +tp9072 +a(g101 +Vlookahead +p9073 +tp9074 +a(g184 +V +tp9075 +a(g101 +VisNil +p9076 +tp9077 +a(g184 +V\u000a +p9078 +tp9079 +a(g50 +VifTrue: +p9080 +tp9081 +a(g184 +V +tp9082 +a(g197 +V[ +tp9083 +a(g338 +V^ +tp9084 +a(g13 +Vself +p9085 +tp9086 +a(g184 +V +tp9087 +a(g50 +VaddChar: +p9088 +tp9089 +a(g184 +V +tp9090 +a(g101 +VfirstChar +p9091 +tp9092 +a(g197 +V; +tp9093 +a(g184 +V +tp9094 +a(g50 +VaddChar: +p9095 +tp9096 +a(g184 +V +tp9097 +a(g221 +V$- +p9098 +tp9099 +a(g197 +V] +tp9100 +a(g184 +V\u000a +p9101 +tp9102 +a(g50 +VifFalse: +p9103 +tp9104 +a(g184 +V \u000a +p9105 +tp9106 +a(g197 +V[ +tp9107 +a(g13 +Vself +p9108 +tp9109 +a(g184 +V +tp9110 +a(g50 +VaddRangeFrom: +p9111 +tp9112 +a(g184 +V +tp9113 +a(g101 +VfirstChar +p9114 +tp9115 +a(g184 +V +tp9116 +a(g50 +Vto: +p9117 +tp9118 +a(g184 +V +tp9119 +a(g101 +Vlookahead +p9120 +tp9121 +a(g197 +V. +tp9122 +a(g184 +V\u000a +p9123 +tp9124 +a(g338 +V^ +tp9125 +a(g13 +Vself +p9126 +tp9127 +a(g184 +V +tp9128 +a(g50 +Vmatch: +p9129 +tp9130 +a(g184 +V +tp9131 +a(g101 +Vlookahead +p9132 +tp9133 +a(g197 +V] +tp9134 +a(g197 +V] +tp9135 +a(g197 +V. +tp9136 +a(g184 +V\u000a +p9137 +tp9138 +a(g13 +Vself +p9139 +tp9140 +a(g184 +V +tp9141 +a(g50 +VaddChar: +p9142 +tp9143 +a(g184 +V +tp9144 +a(g101 +VfirstChar +p9145 +tp9146 +a(g184 +V\u000a +tp9147 +a(g197 +V) +tp9148 +a(g184 +V\u000a\u000a +p9149 +tp9150 +a(g69 +VparseEscapeChar +p9151 +tp9152 +a(g184 +V +tp9153 +a(g338 +V= +tp9154 +a(g184 +V +tp9155 +a(g197 +V( +tp9156 +a(g184 +V\u000a +p9157 +tp9158 +a(g13 +Vself +p9159 +tp9160 +a(g184 +V +tp9161 +a(g50 +Vmatch: +p9162 +tp9163 +a(g184 +V +tp9164 +a(g221 +V$\u005c +p9165 +tp9166 +a(g197 +V. +tp9167 +a(g184 +V\u000a +p9168 +tp9169 +a(g221 +V$- +p9170 +tp9171 +a(g184 +V +tp9172 +a(g338 +V= +tp9173 +a(g184 +V +tp9174 +a(g101 +Vlookahead +p9175 +tp9176 +a(g184 +V\u000a +p9177 +tp9178 +a(g50 +VifTrue: +p9179 +tp9180 +a(g184 +V +tp9181 +a(g197 +V[ +tp9182 +a(g101 +Velements +p9183 +tp9184 +a(g184 +V +tp9185 +a(g50 +Vadd: +p9186 +tp9187 +a(g184 +V +tp9188 +a(g197 +V( +tp9189 +a(g101 +VRxsCharacter +p9190 +tp9191 +a(g184 +V +tp9192 +a(g50 +Vwith: +p9193 +tp9194 +a(g184 +V +tp9195 +a(g221 +V$- +p9196 +tp9197 +a(g197 +V) +tp9198 +a(g197 +V] +tp9199 +a(g184 +V\u000a +p9200 +tp9201 +a(g50 +VifFalse: +p9202 +tp9203 +a(g184 +V +tp9204 +a(g197 +V[ +tp9205 +a(g101 +Velements +p9206 +tp9207 +a(g184 +V +tp9208 +a(g50 +Vadd: +p9209 +tp9210 +a(g184 +V +tp9211 +a(g197 +V( +tp9212 +a(g101 +VRxsPredicate +p9213 +tp9214 +a(g184 +V +tp9215 +a(g50 +VforEscapedLetter: +p9216 +tp9217 +a(g184 +V +tp9218 +a(g101 +Vlookahead +p9219 +tp9220 +a(g197 +V) +tp9221 +a(g197 +V] +tp9222 +a(g197 +V. +tp9223 +a(g184 +V\u000a +p9224 +tp9225 +a(g13 +Vself +p9226 +tp9227 +a(g184 +V +tp9228 +a(g50 +Vmatch: +p9229 +tp9230 +a(g184 +V +tp9231 +a(g101 +Vlookahead +p9232 +tp9233 +a(g184 +V\u000a +tp9234 +a(g197 +V) +tp9235 +a(g184 +V\u000a\u000a +p9236 +tp9237 +a(g69 +VparseNamedSet +p9238 +tp9239 +a(g184 +V +tp9240 +a(g338 +V= +tp9241 +a(g184 +V +tp9242 +a(g197 +V( +tp9243 +a(g184 +V\u000a +p9244 +tp9245 +a(g338 +V| +tp9246 +a(g184 +V +tp9247 +a(g101 +Vname +p9248 +tp9249 +a(g184 +V +tp9250 +a(g338 +V| +tp9251 +a(g184 +V\u000a +p9252 +tp9253 +a(g13 +Vself +p9254 +tp9255 +a(g184 +V +tp9256 +a(g50 +Vmatch: +p9257 +tp9258 +a(g184 +V +tp9259 +a(g221 +V$[ +p9260 +tp9261 +a(g197 +V; +tp9262 +a(g184 +V +tp9263 +a(g50 +Vmatch: +p9264 +tp9265 +a(g184 +V +tp9266 +a(g221 +V$: +p9267 +tp9268 +a(g197 +V. +tp9269 +a(g184 +V\u000a +p9270 +tp9271 +a(g101 +Vname +p9272 +tp9273 +a(g338 +V:: +p9274 +tp9275 +a(g184 +V +tp9276 +a(g197 +V( +tp9277 +a(g101 +VString +p9278 +tp9279 +a(g184 +V +tp9280 +a(g50 +Vwith: +p9281 +tp9282 +a(g184 +V +tp9283 +a(g101 +Vlookahead +p9284 +tp9285 +a(g197 +V) +tp9286 +a(g338 +V, +tp9287 +a(g184 +V +tp9288 +a(g197 +V( +tp9289 +a(g101 +Vsource +p9290 +tp9291 +a(g184 +V +tp9292 +a(g50 +VupTo: +p9293 +tp9294 +a(g184 +V +tp9295 +a(g221 +V$: +p9296 +tp9297 +a(g197 +V) +tp9298 +a(g197 +V. +tp9299 +a(g184 +V\u000a +p9300 +tp9301 +a(g101 +Vlookahead +p9302 +tp9303 +a(g338 +V:: +p9304 +tp9305 +a(g184 +V +tp9306 +a(g101 +Vsource +p9307 +tp9308 +a(g184 +V +tp9309 +a(g101 +Vnext +p9310 +tp9311 +a(g197 +V. +tp9312 +a(g184 +V\u000a +p9313 +tp9314 +a(g13 +Vself +p9315 +tp9316 +a(g184 +V +tp9317 +a(g50 +Vmatch: +p9318 +tp9319 +a(g184 +V +tp9320 +a(g221 +V$] +p9321 +tp9322 +a(g197 +V. +tp9323 +a(g184 +V\u000a +p9324 +tp9325 +a(g101 +Velements +p9326 +tp9327 +a(g184 +V +tp9328 +a(g50 +Vadd: +p9329 +tp9330 +a(g184 +V +tp9331 +a(g197 +V( +tp9332 +a(g101 +VRxsPredicate +p9333 +tp9334 +a(g184 +V +tp9335 +a(g50 +VforNamedClass: +p9336 +tp9337 +a(g184 +V +tp9338 +a(g101 +Vname +p9339 +tp9340 +a(g197 +V) +tp9341 +a(g184 +V\u000a +tp9342 +a(g197 +V) +tp9343 +a(g184 +V\u000a\u000a +p9344 +tp9345 +a(g69 +VparseStep +p9346 +tp9347 +a(g184 +V +tp9348 +a(g338 +V= +tp9349 +a(g184 +V +tp9350 +a(g197 +V( +tp9351 +a(g184 +V\u000a +p9352 +tp9353 +a(g69 +Vlookahead +p9354 +tp9355 +a(g184 +V +tp9356 +a(g338 +V= +tp9357 +a(g184 +V +tp9358 +a(g221 +V$[ +p9359 +tp9360 +a(g184 +V +tp9361 +a(g50 +VifTrue: +p9362 +tp9363 +a(g184 +V\u000a +p9364 +tp9365 +a(g197 +V[ +tp9366 +a(g101 +Vsource +p9367 +tp9368 +a(g184 +V +tp9369 +a(g69 +Vpeek +p9370 +tp9371 +a(g184 +V +tp9372 +a(g338 +V= +tp9373 +a(g184 +V +tp9374 +a(g221 +V$: +p9375 +tp9376 +a(g184 +V\u000a +p9377 +tp9378 +a(g50 +VifTrue: +p9379 +tp9380 +a(g184 +V +tp9381 +a(g197 +V[ +tp9382 +a(g338 +V^ +tp9383 +a(g13 +Vself +p9384 +tp9385 +a(g184 +V +tp9386 +a(g101 +VparseNamedSet +p9387 +tp9388 +a(g197 +V] +tp9389 +a(g184 +V\u000a +p9390 +tp9391 +a(g50 +VifFalse: +p9392 +tp9393 +a(g184 +V +tp9394 +a(g197 +V[ +tp9395 +a(g338 +V^ +tp9396 +a(g13 +Vself +p9397 +tp9398 +a(g184 +V +tp9399 +a(g101 +VparseCharOrRange +p9400 +tp9401 +a(g197 +V] +tp9402 +a(g197 +V] +tp9403 +a(g197 +V. +tp9404 +a(g184 +V\u000a +p9405 +tp9406 +a(g69 +Vlookahead +p9407 +tp9408 +a(g184 +V +tp9409 +a(g338 +V= +tp9410 +a(g184 +V +tp9411 +a(g221 +V$\u005c +p9412 +tp9413 +a(g184 +V +tp9414 +a(g50 +VifTrue: +p9415 +tp9416 +a(g184 +V\u000a +p9417 +tp9418 +a(g197 +V[ +tp9419 +a(g338 +V^ +tp9420 +a(g13 +Vself +p9421 +tp9422 +a(g184 +V +tp9423 +a(g101 +VparseEscapeChar +p9424 +tp9425 +a(g197 +V] +tp9426 +a(g197 +V. +tp9427 +a(g184 +V\u000a +p9428 +tp9429 +a(g69 +Vlookahead +p9430 +tp9431 +a(g184 +V +tp9432 +a(g338 +V= +tp9433 +a(g184 +V +tp9434 +a(g221 +V$- +p9435 +tp9436 +a(g184 +V +tp9437 +a(g50 +VifTrue: +p9438 +tp9439 +a(g184 +V\u000a +p9440 +tp9441 +a(g197 +V[ +tp9442 +a(g101 +VSyntaxError +p9443 +tp9444 +a(g184 +V +tp9445 +a(g50 +Vsignal: +p9446 +tp9447 +a(g184 +V +tp9448 +a(g221 +V'invalid range' +p9449 +tp9450 +a(g197 +V] +tp9451 +a(g197 +V. +tp9452 +a(g184 +V\u000a +p9453 +tp9454 +a(g13 +Vself +p9455 +tp9456 +a(g184 +V +tp9457 +a(g101 +VparseCharOrRange +p9458 +tp9459 +a(g184 +V\u000a +tp9460 +a(g197 +V) +tp9461 +a(g184 +V\u000a\u000a +p9462 +tp9463 +a(g197 +V) +tp9464 +a(g184 +V\u000a\u000a +p9465 +tp9466 +a(g7 +Vclass +p9467 +tp9468 +a(g184 +V +tp9469 +a(g134 +VRxsRange +p9470 +tp9471 +a(g184 +V +tp9472 +a(g50 +Vfrom: +p9473 +tp9474 +a(g184 +V +tp9475 +a(g101 +VaChar +p9476 +tp9477 +a(g184 +V +tp9478 +a(g50 +Vto: +p9479 +tp9480 +a(g184 +V +tp9481 +a(g101 +VanotherChar +p9482 +tp9483 +a(g184 +V +tp9484 +a(g338 +V= +tp9485 +a(g184 +V +tp9486 +a(g101 +VRxsNode +p9487 +tp9488 +a(g184 +V +tp9489 +a(g197 +V( +tp9490 +a(g184 +V\u000a +tp9491 +a(g26 +V"I represent a range of characters as appear in character classes such as\u000a\u000a [a-ZA-Z0-9].\u000a\u000aI appear in a syntax tree only as an element of RxsCharSet.\u000a\u000aInstance Variables:\u000a\u000a first \u000a last " +p9492 +tp9493 +a(g184 +V\u000a +tp9494 +a(g338 +V| +tp9495 +a(g184 +V\u000a +p9496 +tp9497 +a(g101 +Vfirst +p9498 +tp9499 +a(g184 +V +tp9500 +a(g338 +V: +tp9501 +a(g338 +V: +tp9502 +a(g338 +V= +tp9503 +a(g184 +V +tp9504 +a(g101 +VaChar +p9505 +tp9506 +a(g197 +V. +tp9507 +a(g184 +V\u000a +p9508 +tp9509 +a(g101 +Vlast +p9510 +tp9511 +a(g184 +V +tp9512 +a(g338 +V: +tp9513 +a(g338 +V: +tp9514 +a(g338 +V= +tp9515 +a(g184 +V +tp9516 +a(g101 +VanotherChar +p9517 +tp9518 +a(g197 +V. +tp9519 +a(g184 +V\u000a +tp9520 +a(g338 +V| +tp9521 +a(g184 +V\u000a +tp9522 +a(g197 +V) +tp9523 +a(g184 +V\u000a +tp9524 +a(g197 +V( +tp9525 +a(g221 +V'accessing' +p9526 +tp9527 +a(g184 +V\u000a +tp9528 +a(g50 +VenumerateTo: +p9529 +tp9530 +a(g184 +V +tp9531 +a(g101 +VaSet +p9532 +tp9533 +a(g184 +V +tp9534 +a(g50 +VignoringCase: +p9535 +tp9536 +a(g184 +V +tp9537 +a(g101 +VaBoolean +p9538 +tp9539 +a(g184 +V +tp9540 +a(g338 +V= +tp9541 +a(g184 +V +tp9542 +a(g197 +V( +tp9543 +a(g184 +V\u000a +p9544 +tp9545 +a(g26 +V"Add all of the elements I represent to the collection." +p9546 +tp9547 +a(g184 +V\u000a\u000a +p9548 +tp9549 +a(g101 +Vfirst +p9550 +tp9551 +a(g184 +V +tp9552 +a(g101 +VasInteger +p9553 +tp9554 +a(g184 +V +tp9555 +a(g50 +Vto: +p9556 +tp9557 +a(g184 +V +tp9558 +a(g101 +Vlast +p9559 +tp9560 +a(g184 +V +tp9561 +a(g101 +VasInteger +p9562 +tp9563 +a(g184 +V +tp9564 +a(g50 +Vdo: +p9565 +tp9566 +a(g184 +V\u000a +p9567 +tp9568 +a(g197 +V[ +tp9569 +a(g101 +V:charCode +p9570 +tp9571 +a(g184 +V +tp9572 +a(g338 +V| +tp9573 +a(g184 +V +tp9574 +a(g338 +V| +tp9575 +a(g184 +V +tp9576 +a(g101 +Vcharacter +p9577 +tp9578 +a(g184 +V +tp9579 +a(g338 +V| +tp9580 +a(g184 +V\u000a +p9581 +tp9582 +a(g101 +Vcharacter +p9583 +tp9584 +a(g338 +V:: +p9585 +tp9586 +a(g184 +V +tp9587 +a(g101 +VcharCode +p9588 +tp9589 +a(g184 +V +tp9590 +a(g101 +VasCharacter +p9591 +tp9592 +a(g197 +V. +tp9593 +a(g184 +V\u000a +p9594 +tp9595 +a(g101 +VaBoolean +p9596 +tp9597 +a(g184 +V\u000a +p9598 +tp9599 +a(g50 +VifTrue: +p9600 +tp9601 +a(g184 +V \u000a +p9602 +tp9603 +a(g197 +V[ +tp9604 +a(g101 +VaSet +p9605 +tp9606 +a(g184 +V \u000a +p9607 +tp9608 +a(g50 +Vadd: +p9609 +tp9610 +a(g184 +V +tp9611 +a(g101 +Vcharacter +p9612 +tp9613 +a(g184 +V +tp9614 +a(g101 +VasUppercase +p9615 +tp9616 +a(g197 +V; +tp9617 +a(g184 +V\u000a +p9618 +tp9619 +a(g50 +Vadd: +p9620 +tp9621 +a(g184 +V +tp9622 +a(g101 +Vcharacter +p9623 +tp9624 +a(g184 +V +tp9625 +a(g101 +VasLowercase +p9626 +tp9627 +a(g197 +V] +tp9628 +a(g184 +V\u000a +p9629 +tp9630 +a(g50 +VifFalse: +p9631 +tp9632 +a(g184 +V +tp9633 +a(g197 +V[ +tp9634 +a(g101 +VaSet +p9635 +tp9636 +a(g184 +V +tp9637 +a(g50 +Vadd: +p9638 +tp9639 +a(g184 +V +tp9640 +a(g101 +Vcharacter +p9641 +tp9642 +a(g197 +V] +tp9643 +a(g197 +V] +tp9644 +a(g184 +V\u000a +tp9645 +a(g197 +V) +tp9646 +a(g184 +V\u000a\u000a +p9647 +tp9648 +a(g221 +V'testing' +p9649 +tp9650 +a(g184 +V\u000a +tp9651 +a(g69 +VisEnumerable +p9652 +tp9653 +a(g184 +V +tp9654 +a(g338 +V= +tp9655 +a(g184 +V +tp9656 +a(g197 +V( +tp9657 +a(g184 +V\u000a\u000a +p9658 +tp9659 +a(g338 +V^ +tp9660 +a(g13 +Vtrue +p9661 +tp9662 +a(g184 +V\u000a +tp9663 +a(g197 +V) +tp9664 +a(g184 +V\u000a\u000a +p9665 +tp9666 +a(g197 +V) +tp9667 +a(g184 +V\u000a\u000a +p9668 +tp9669 +a(g7 +Vclass +p9670 +tp9671 +a(g184 +V +tp9672 +a(g134 +VRxsNode +p9673 +tp9674 +a(g184 +V +tp9675 +a(g338 +V= +tp9676 +a(g184 +V +tp9677 +a(g197 +V( +tp9678 +a(g184 +V\u000a +tp9679 +a(g26 +V"A generic syntax tree node, provides some common responses to the standard tests, as well as tree structure printing -- handy for debugging." +p9680 +tp9681 +a(g184 +V\u000a\u000a +p9682 +tp9683 +a(g197 +V) +tp9684 +a(g184 +V\u000a +tp9685 +a(g197 +V( +tp9686 +a(g221 +V'constants' +p9687 +tp9688 +a(g184 +V\u000a +tp9689 +a(g69 +VindentCharacter +p9690 +tp9691 +a(g184 +V +tp9692 +a(g338 +V= +tp9693 +a(g184 +V +tp9694 +a(g197 +V( +tp9695 +a(g184 +V\u000a +p9696 +tp9697 +a(g26 +V"Normally, #printOn:withIndent: method in subclasses\u000a print several characters returned by this method to indicate\u000a the tree structure." +p9698 +tp9699 +a(g184 +V\u000a\u000a +p9700 +tp9701 +a(g338 +V^ +tp9702 +a(g221 +V$+ +p9703 +tp9704 +a(g184 +V\u000a +tp9705 +a(g197 +V) +tp9706 +a(g184 +V\u000a\u000a +p9707 +tp9708 +a(g221 +V'testing' +p9709 +tp9710 +a(g184 +V\u000a +tp9711 +a(g69 +VisAtomic +p9712 +tp9713 +a(g184 +V +tp9714 +a(g338 +V= +tp9715 +a(g184 +V +tp9716 +a(g197 +V( +tp9717 +a(g184 +V\u000a +p9718 +tp9719 +a(g26 +V"Answer whether the node is atomic, i.e. matches exactly one \u000a constant predefined normal character. A matcher may decide to \u000a optimize matching of a sequence of atomic nodes by glueing them \u000a together in a string." +p9720 +tp9721 +a(g184 +V\u000a\u000a +p9722 +tp9723 +a(g338 +V^ +tp9724 +a(g13 +Vfalse +p9725 +tp9726 +a(g184 +V +tp9727 +a(g26 +V"tentatively" +p9728 +tp9729 +a(g184 +V\u000a +tp9730 +a(g197 +V) +tp9731 +a(g184 +V\u000a\u000a +p9732 +tp9733 +a(g69 +VisNullable +p9734 +tp9735 +a(g184 +V +tp9736 +a(g338 +V= +tp9737 +a(g184 +V +tp9738 +a(g197 +V( +tp9739 +a(g184 +V\u000a +p9740 +tp9741 +a(g26 +V"True if the node can match an empty sequence of characters." +p9742 +tp9743 +a(g184 +V\u000a\u000a +p9744 +tp9745 +a(g338 +V^ +tp9746 +a(g13 +Vfalse +p9747 +tp9748 +a(g184 +V +tp9749 +a(g26 +V"for most nodes" +p9750 +tp9751 +a(g184 +V\u000a +tp9752 +a(g197 +V) +tp9753 +a(g184 +V\u000a\u000a +p9754 +tp9755 +a(g197 +V) +tp9756 +a(g184 +V\u000a\u000a +p9757 +tp9758 +a(g7 +Vclass +p9759 +tp9760 +a(g184 +V +tp9761 +a(g134 +VRegexError +p9762 +tp9763 +a(g184 +V +tp9764 +a(g338 +V= +tp9765 +a(g184 +V +tp9766 +a(g101 +VError +p9767 +tp9768 +a(g184 +V +tp9769 +a(g197 +V( +tp9770 +a(g26 +V"Regex error" +p9771 +tp9772 +a(g197 +V) +tp9773 +a(g184 +V\u000a +tp9774 +a(g197 +V( +tp9775 +a(g197 +V) +tp9776 +a(g184 +V\u000a\u000a +p9777 +tp9778 +a(g7 +Vclass +p9779 +tp9780 +a(g184 +V +tp9781 +a(g134 +VRxsPredicate +p9782 +tp9783 +a(g184 +V +tp9784 +a(g338 +V= +tp9785 +a(g184 +V +tp9786 +a(g101 +VRxsNode +p9787 +tp9788 +a(g184 +V +tp9789 +a(g197 +V( +tp9790 +a(g184 +V\u000a +tp9791 +a(g26 +V"This represents a character that satisfies a certain predicate.\u000a\u000aInstance Variables:\u000a\u000a predicate A one-argument block. If it evaluates to the value defined by when it is passed a character, the predicate is considered to match.\u000a negation A one-argument block that is a negation of ." +p9792 +tp9793 +a(g184 +V\u000a +tp9794 +a(g338 +V| +tp9795 +a(g184 +V\u000a +p9796 +tp9797 +a(g101 +Vpredicate +p9798 +tp9799 +a(g184 +V\u000a +p9800 +tp9801 +a(g101 +Vnegation +p9802 +tp9803 +a(g184 +V\u000a +tp9804 +a(g338 +V| +tp9805 +a(g184 +V\u000a +tp9806 +a(g197 +V) +tp9807 +a(g184 +V\u000a +tp9808 +a(g197 +V( +tp9809 +a(g221 +V'accessing' +p9810 +tp9811 +a(g184 +V\u000a +tp9812 +a(g50 +VdispatchTo: +p9813 +tp9814 +a(g184 +V +tp9815 +a(g101 +VanObject +p9816 +tp9817 +a(g184 +V +tp9818 +a(g338 +V= +tp9819 +a(g184 +V +tp9820 +a(g197 +V( +tp9821 +a(g184 +V\u000a\u000a +p9822 +tp9823 +a(g338 +V^ +tp9824 +a(g101 +VanObject +p9825 +tp9826 +a(g184 +V +tp9827 +a(g50 +VsyntaxPredicate: +p9828 +tp9829 +a(g184 +V +tp9830 +a(g101 +Vself +p9831 +tp9832 +a(g184 +V\u000a +tp9833 +a(g197 +V) +tp9834 +a(g184 +V\u000a\u000a +p9835 +tp9836 +a(g69 +Vnegated +p9837 +tp9838 +a(g184 +V +tp9839 +a(g338 +V= +tp9840 +a(g184 +V +tp9841 +a(g197 +V( +tp9842 +a(g184 +V\u000a\u000a +p9843 +tp9844 +a(g338 +V^ +tp9845 +a(g13 +Vself +p9846 +tp9847 +a(g184 +V +tp9848 +a(g101 +Vcopy +p9849 +tp9850 +a(g184 +V +tp9851 +a(g101 +Vnegate +p9852 +tp9853 +a(g184 +V\u000a +tp9854 +a(g197 +V) +tp9855 +a(g184 +V\u000a\u000a +p9856 +tp9857 +a(g69 +VpredicateNegation +p9858 +tp9859 +a(g184 +V +tp9860 +a(g338 +V= +tp9861 +a(g184 +V +tp9862 +a(g197 +V( +tp9863 +a(g184 +V\u000a\u000a +p9864 +tp9865 +a(g338 +V^ +tp9866 +a(g101 +Vnegation +p9867 +tp9868 +a(g184 +V\u000a +tp9869 +a(g197 +V) +tp9870 +a(g184 +V\u000a\u000a +p9871 +tp9872 +a(g50 +Vvalue: +p9873 +tp9874 +a(g184 +V +tp9875 +a(g101 +VaCharacter +p9876 +tp9877 +a(g184 +V +tp9878 +a(g338 +V= +tp9879 +a(g184 +V +tp9880 +a(g197 +V( +tp9881 +a(g184 +V\u000a\u000a +p9882 +tp9883 +a(g338 +V^ +tp9884 +a(g101 +Vpredicate +p9885 +tp9886 +a(g184 +V +tp9887 +a(g50 +Vvalue: +p9888 +tp9889 +a(g184 +V +tp9890 +a(g101 +VaCharacter +p9891 +tp9892 +a(g184 +V\u000a +tp9893 +a(g197 +V) +tp9894 +a(g184 +V\u000a\u000a +p9895 +tp9896 +a(g221 +V'private' +p9897 +tp9898 +a(g184 +V\u000a +tp9899 +a(g69 +Vnegate +p9900 +tp9901 +a(g184 +V +tp9902 +a(g338 +V= +tp9903 +a(g184 +V +tp9904 +a(g197 +V( +tp9905 +a(g184 +V\u000a\u000a +p9906 +tp9907 +a(g338 +V| +tp9908 +a(g184 +V +tp9909 +a(g101 +Vtmp +p9910 +tp9911 +a(g184 +V +tp9912 +a(g338 +V| +tp9913 +a(g184 +V\u000a +p9914 +tp9915 +a(g101 +Vtmp +p9916 +tp9917 +a(g338 +V:: +p9918 +tp9919 +a(g184 +V +tp9920 +a(g101 +Vpredicate +p9921 +tp9922 +a(g197 +V. +tp9923 +a(g184 +V\u000a +p9924 +tp9925 +a(g101 +Vpredicate +p9926 +tp9927 +a(g338 +V:: +p9928 +tp9929 +a(g184 +V +tp9930 +a(g101 +Vnegation +p9931 +tp9932 +a(g197 +V. +tp9933 +a(g184 +V\u000a +p9934 +tp9935 +a(g101 +Vnegation +p9936 +tp9937 +a(g338 +V:: +p9938 +tp9939 +a(g184 +V +tp9940 +a(g101 +Vtmp +p9941 +tp9942 +a(g184 +V\u000a +tp9943 +a(g197 +V) +tp9944 +a(g184 +V\u000a\u000a +p9945 +tp9946 +a(g221 +V'testing' +p9947 +tp9948 +a(g184 +V\u000a +tp9949 +a(g69 +VisAtomic +p9950 +tp9951 +a(g184 +V +tp9952 +a(g338 +V= +tp9953 +a(g184 +V +tp9954 +a(g197 +V( +tp9955 +a(g184 +V\u000a +p9956 +tp9957 +a(g26 +V"A predicate is a single character but the character is not known in advance." +p9958 +tp9959 +a(g184 +V\u000a\u000a +p9960 +tp9961 +a(g338 +V^ +tp9962 +a(g13 +Vfalse +p9963 +tp9964 +a(g184 +V\u000a +tp9965 +a(g197 +V) +tp9966 +a(g184 +V\u000a\u000a +p9967 +tp9968 +a(g69 +VisEnumerable +p9969 +tp9970 +a(g184 +V +tp9971 +a(g338 +V= +tp9972 +a(g184 +V +tp9973 +a(g197 +V( +tp9974 +a(g184 +V\u000a\u000a +p9975 +tp9976 +a(g338 +V^ +tp9977 +a(g13 +Vfalse +p9978 +tp9979 +a(g184 +V\u000a +tp9980 +a(g197 +V) +tp9981 +a(g184 +V\u000a\u000a +p9982 +tp9983 +a(g221 +V'initialize-release' +p9984 +tp9985 +a(g184 +V\u000a +tp9986 +a(g69 +VbeAlphaNumeric +p9987 +tp9988 +a(g184 +V +tp9989 +a(g338 +V= +tp9990 +a(g184 +V +tp9991 +a(g197 +V( +tp9992 +a(g184 +V\u000a\u000a +p9993 +tp9994 +a(g101 +Vpredicate +p9995 +tp9996 +a(g338 +V:: +p9997 +tp9998 +a(g184 +V +tp9999 +a(g197 +V[ +tp10000 +a(g101 +V:char +p10001 +tp10002 +a(g184 +V +tp10003 +a(g338 +V| +tp10004 +a(g184 +V +tp10005 +a(g101 +Vchar +p10006 +tp10007 +a(g184 +V +tp10008 +a(g101 +VisAlphaNumeric +p10009 +tp10010 +a(g197 +V] +tp10011 +a(g197 +V. +tp10012 +a(g184 +V\u000a +p10013 +tp10014 +a(g101 +Vnegation +p10015 +tp10016 +a(g338 +V:: +p10017 +tp10018 +a(g184 +V +tp10019 +a(g197 +V[ +tp10020 +a(g101 +V:char +p10021 +tp10022 +a(g184 +V +tp10023 +a(g338 +V| +tp10024 +a(g184 +V +tp10025 +a(g101 +Vchar +p10026 +tp10027 +a(g184 +V +tp10028 +a(g101 +VisAlphaNumeric +p10029 +tp10030 +a(g184 +V +tp10031 +a(g101 +Vnot +p10032 +tp10033 +a(g197 +V] +tp10034 +a(g184 +V\u000a +tp10035 +a(g197 +V) +tp10036 +a(g184 +V\u000a\u000a +p10037 +tp10038 +a(g69 +VbeAlphabetic +p10039 +tp10040 +a(g184 +V +tp10041 +a(g338 +V= +tp10042 +a(g184 +V +tp10043 +a(g197 +V( +tp10044 +a(g184 +V\u000a\u000a +p10045 +tp10046 +a(g101 +Vpredicate +p10047 +tp10048 +a(g338 +V:: +p10049 +tp10050 +a(g184 +V +tp10051 +a(g197 +V[ +tp10052 +a(g101 +V:char +p10053 +tp10054 +a(g184 +V +tp10055 +a(g338 +V| +tp10056 +a(g184 +V +tp10057 +a(g101 +Vchar +p10058 +tp10059 +a(g184 +V +tp10060 +a(g101 +VisAlphabetic +p10061 +tp10062 +a(g197 +V] +tp10063 +a(g197 +V. +tp10064 +a(g184 +V\u000a +p10065 +tp10066 +a(g101 +Vnegation +p10067 +tp10068 +a(g338 +V:: +p10069 +tp10070 +a(g184 +V +tp10071 +a(g197 +V[ +tp10072 +a(g101 +V:char +p10073 +tp10074 +a(g184 +V +tp10075 +a(g338 +V| +tp10076 +a(g184 +V +tp10077 +a(g101 +Vchar +p10078 +tp10079 +a(g184 +V +tp10080 +a(g101 +VisAlphabetic +p10081 +tp10082 +a(g184 +V +tp10083 +a(g101 +Vnot +p10084 +tp10085 +a(g197 +V] +tp10086 +a(g184 +V\u000a +tp10087 +a(g197 +V) +tp10088 +a(g184 +V\u000a\u000a +p10089 +tp10090 +a(g69 +VbeBackslash +p10091 +tp10092 +a(g184 +V +tp10093 +a(g338 +V= +tp10094 +a(g184 +V +tp10095 +a(g197 +V( +tp10096 +a(g184 +V\u000a\u000a +p10097 +tp10098 +a(g101 +Vpredicate +p10099 +tp10100 +a(g338 +V:: +p10101 +tp10102 +a(g184 +V +tp10103 +a(g197 +V[ +tp10104 +a(g101 +V:char +p10105 +tp10106 +a(g184 +V +tp10107 +a(g338 +V| +tp10108 +a(g184 +V +tp10109 +a(g69 +Vchar +p10110 +tp10111 +a(g184 +V +tp10112 +a(g338 +V= +tp10113 +a(g338 +V= +tp10114 +a(g184 +V +tp10115 +a(g221 +V$\u005c +p10116 +tp10117 +a(g197 +V] +tp10118 +a(g197 +V. +tp10119 +a(g184 +V\u000a +p10120 +tp10121 +a(g101 +Vnegation +p10122 +tp10123 +a(g338 +V:: +p10124 +tp10125 +a(g184 +V +tp10126 +a(g197 +V[ +tp10127 +a(g101 +V:char +p10128 +tp10129 +a(g184 +V +tp10130 +a(g338 +V| +tp10131 +a(g184 +V +tp10132 +a(g101 +Vchar +p10133 +tp10134 +a(g184 +V +tp10135 +a(g338 +V~ +tp10136 +a(g338 +V~ +tp10137 +a(g184 +V +tp10138 +a(g221 +V$\u005c +p10139 +tp10140 +a(g197 +V] +tp10141 +a(g184 +V\u000a +tp10142 +a(g197 +V) +tp10143 +a(g184 +V\u000a\u000a +p10144 +tp10145 +a(g69 +VbeControl +p10146 +tp10147 +a(g184 +V +tp10148 +a(g338 +V= +tp10149 +a(g184 +V +tp10150 +a(g197 +V( +tp10151 +a(g184 +V\u000a\u000a +p10152 +tp10153 +a(g101 +Vpredicate +p10154 +tp10155 +a(g338 +V:: +p10156 +tp10157 +a(g184 +V +tp10158 +a(g197 +V[ +tp10159 +a(g101 +V:char +p10160 +tp10161 +a(g184 +V +tp10162 +a(g338 +V| +tp10163 +a(g184 +V +tp10164 +a(g101 +Vchar +p10165 +tp10166 +a(g184 +V +tp10167 +a(g101 +VasInteger +p10168 +tp10169 +a(g184 +V +tp10170 +a(g338 +V< +tp10171 +a(g184 +V +tp10172 +a(g313 +V32 +p10173 +tp10174 +a(g197 +V] +tp10175 +a(g197 +V. +tp10176 +a(g184 +V\u000a +p10177 +tp10178 +a(g101 +Vnegation +p10179 +tp10180 +a(g338 +V:: +p10181 +tp10182 +a(g184 +V +tp10183 +a(g197 +V[ +tp10184 +a(g101 +V:char +p10185 +tp10186 +a(g184 +V +tp10187 +a(g338 +V| +tp10188 +a(g184 +V +tp10189 +a(g101 +Vchar +p10190 +tp10191 +a(g184 +V +tp10192 +a(g101 +VasInteger +p10193 +tp10194 +a(g184 +V +tp10195 +a(g338 +V> +tp10196 +a(g338 +V= +tp10197 +a(g184 +V +tp10198 +a(g313 +V32 +p10199 +tp10200 +a(g197 +V] +tp10201 +a(g184 +V\u000a +tp10202 +a(g197 +V) +tp10203 +a(g184 +V\u000a\u000a +p10204 +tp10205 +a(g69 +VbeDigit +p10206 +tp10207 +a(g184 +V +tp10208 +a(g338 +V= +tp10209 +a(g184 +V +tp10210 +a(g197 +V( +tp10211 +a(g184 +V\u000a\u000a +p10212 +tp10213 +a(g101 +Vpredicate +p10214 +tp10215 +a(g338 +V:: +p10216 +tp10217 +a(g184 +V +tp10218 +a(g197 +V[ +tp10219 +a(g101 +V:char +p10220 +tp10221 +a(g184 +V +tp10222 +a(g338 +V| +tp10223 +a(g184 +V +tp10224 +a(g101 +Vchar +p10225 +tp10226 +a(g184 +V +tp10227 +a(g101 +VisDigit +p10228 +tp10229 +a(g197 +V] +tp10230 +a(g197 +V. +tp10231 +a(g184 +V\u000a +p10232 +tp10233 +a(g101 +Vnegation +p10234 +tp10235 +a(g338 +V:: +p10236 +tp10237 +a(g184 +V +tp10238 +a(g197 +V[ +tp10239 +a(g101 +V:char +p10240 +tp10241 +a(g184 +V +tp10242 +a(g338 +V| +tp10243 +a(g184 +V +tp10244 +a(g101 +Vchar +p10245 +tp10246 +a(g184 +V +tp10247 +a(g101 +VisDigit +p10248 +tp10249 +a(g184 +V +tp10250 +a(g101 +Vnot +p10251 +tp10252 +a(g197 +V] +tp10253 +a(g184 +V\u000a +tp10254 +a(g197 +V) +tp10255 +a(g184 +V\u000a\u000a +p10256 +tp10257 +a(g69 +VbeGraphics +p10258 +tp10259 +a(g184 +V +tp10260 +a(g338 +V= +tp10261 +a(g184 +V +tp10262 +a(g197 +V( +tp10263 +a(g184 +V\u000a +p10264 +tp10265 +a(g101 +VbeControl +p10266 +tp10267 +a(g197 +V. +tp10268 +a(g184 +V\u000a +p10269 +tp10270 +a(g101 +Vnegate +p10271 +tp10272 +a(g184 +V\u000a +tp10273 +a(g197 +V) +tp10274 +a(g184 +V\u000a\u000a +p10275 +tp10276 +a(g69 +VbeHexDigit +p10277 +tp10278 +a(g184 +V +tp10279 +a(g338 +V= +tp10280 +a(g184 +V +tp10281 +a(g197 +V( +tp10282 +a(g184 +V\u000a\u000a +p10283 +tp10284 +a(g338 +V| +tp10285 +a(g184 +V +tp10286 +a(g101 +VhexLetters +p10287 +tp10288 +a(g184 +V +tp10289 +a(g338 +V| +tp10290 +a(g184 +V\u000a +p10291 +tp10292 +a(g101 +VhexLetters +p10293 +tp10294 +a(g338 +V:: +p10295 +tp10296 +a(g184 +V +tp10297 +a(g221 +V'abcdefABCDEF' +p10298 +tp10299 +a(g197 +V. +tp10300 +a(g184 +V\u000a +p10301 +tp10302 +a(g101 +Vpredicate +p10303 +tp10304 +a(g338 +V:: +p10305 +tp10306 +a(g184 +V +tp10307 +a(g197 +V[ +tp10308 +a(g101 +V:char +p10309 +tp10310 +a(g184 +V +tp10311 +a(g338 +V| +tp10312 +a(g184 +V +tp10313 +a(g101 +Vchar +p10314 +tp10315 +a(g184 +V +tp10316 +a(g101 +VisDigit +p10317 +tp10318 +a(g184 +V +tp10319 +a(g50 +Vor: +p10320 +tp10321 +a(g184 +V +tp10322 +a(g197 +V[ +tp10323 +a(g101 +VhexLetters +p10324 +tp10325 +a(g184 +V +tp10326 +a(g50 +Vincludes: +p10327 +tp10328 +a(g184 +V +tp10329 +a(g101 +Vchar +p10330 +tp10331 +a(g197 +V] +tp10332 +a(g197 +V] +tp10333 +a(g197 +V. +tp10334 +a(g184 +V\u000a +p10335 +tp10336 +a(g101 +Vnegation +p10337 +tp10338 +a(g338 +V:: +p10339 +tp10340 +a(g184 +V +tp10341 +a(g197 +V[ +tp10342 +a(g101 +V:char +p10343 +tp10344 +a(g184 +V +tp10345 +a(g338 +V| +tp10346 +a(g184 +V +tp10347 +a(g101 +Vchar +p10348 +tp10349 +a(g184 +V +tp10350 +a(g101 +VisDigit +p10351 +tp10352 +a(g184 +V +tp10353 +a(g101 +Vnot +p10354 +tp10355 +a(g184 +V +tp10356 +a(g50 +Vand: +p10357 +tp10358 +a(g184 +V +tp10359 +a(g197 +V[ +tp10360 +a(g197 +V( +tp10361 +a(g101 +VhexLetters +p10362 +tp10363 +a(g184 +V +tp10364 +a(g50 +Vincludes: +p10365 +tp10366 +a(g184 +V +tp10367 +a(g101 +Vchar +p10368 +tp10369 +a(g197 +V) +tp10370 +a(g184 +V +tp10371 +a(g101 +Vnot +p10372 +tp10373 +a(g197 +V] +tp10374 +a(g197 +V] +tp10375 +a(g184 +V\u000a +tp10376 +a(g197 +V) +tp10377 +a(g184 +V\u000a\u000a +p10378 +tp10379 +a(g69 +VbeLowercase +p10380 +tp10381 +a(g184 +V +tp10382 +a(g338 +V= +tp10383 +a(g184 +V +tp10384 +a(g197 +V( +tp10385 +a(g184 +V\u000a\u000a +p10386 +tp10387 +a(g101 +Vpredicate +p10388 +tp10389 +a(g338 +V:: +p10390 +tp10391 +a(g184 +V +tp10392 +a(g197 +V[ +tp10393 +a(g101 +V:char +p10394 +tp10395 +a(g184 +V +tp10396 +a(g338 +V| +tp10397 +a(g184 +V +tp10398 +a(g101 +Vchar +p10399 +tp10400 +a(g184 +V +tp10401 +a(g101 +VisLowercase +p10402 +tp10403 +a(g197 +V] +tp10404 +a(g197 +V. +tp10405 +a(g184 +V\u000a +p10406 +tp10407 +a(g101 +Vnegation +p10408 +tp10409 +a(g338 +V:: +p10410 +tp10411 +a(g184 +V +tp10412 +a(g197 +V[ +tp10413 +a(g101 +V:char +p10414 +tp10415 +a(g184 +V +tp10416 +a(g338 +V| +tp10417 +a(g184 +V +tp10418 +a(g101 +Vchar +p10419 +tp10420 +a(g184 +V +tp10421 +a(g101 +VisLowercase +p10422 +tp10423 +a(g184 +V +tp10424 +a(g101 +Vnot +p10425 +tp10426 +a(g197 +V] +tp10427 +a(g184 +V\u000a +tp10428 +a(g197 +V) +tp10429 +a(g184 +V\u000a\u000a +p10430 +tp10431 +a(g69 +VbeNotDigit +p10432 +tp10433 +a(g184 +V +tp10434 +a(g338 +V= +tp10435 +a(g184 +V +tp10436 +a(g197 +V( +tp10437 +a(g184 +V\u000a\u000a +p10438 +tp10439 +a(g101 +VbeDigit +p10440 +tp10441 +a(g197 +V. +tp10442 +a(g184 +V\u000a +p10443 +tp10444 +a(g101 +Vnegate +p10445 +tp10446 +a(g197 +V. +tp10447 +a(g184 +V\u000a +tp10448 +a(g197 +V) +tp10449 +a(g184 +V\u000a\u000a +p10450 +tp10451 +a(g69 +VbeNotSpace +p10452 +tp10453 +a(g184 +V +tp10454 +a(g338 +V= +tp10455 +a(g184 +V +tp10456 +a(g197 +V( +tp10457 +a(g184 +V\u000a\u000a +p10458 +tp10459 +a(g101 +VbeSpace +p10460 +tp10461 +a(g197 +V. +tp10462 +a(g184 +V\u000a +p10463 +tp10464 +a(g101 +Vnegate +p10465 +tp10466 +a(g184 +V\u000a +tp10467 +a(g197 +V) +tp10468 +a(g184 +V\u000a\u000a +p10469 +tp10470 +a(g69 +VbeNotWordConstituent +p10471 +tp10472 +a(g184 +V +tp10473 +a(g338 +V= +tp10474 +a(g184 +V +tp10475 +a(g197 +V( +tp10476 +a(g184 +V\u000a\u000a +p10477 +tp10478 +a(g101 +VbeWordConstituent +p10479 +tp10480 +a(g197 +V. +tp10481 +a(g184 +V\u000a +p10482 +tp10483 +a(g101 +Vnegate +p10484 +tp10485 +a(g197 +V. +tp10486 +a(g184 +V\u000a +tp10487 +a(g197 +V) +tp10488 +a(g184 +V\u000a\u000a +p10489 +tp10490 +a(g69 +VbePrintable +p10491 +tp10492 +a(g184 +V +tp10493 +a(g338 +V= +tp10494 +a(g184 +V +tp10495 +a(g197 +V( +tp10496 +a(g184 +V\u000a\u000a +p10497 +tp10498 +a(g101 +VbeControl +p10499 +tp10500 +a(g197 +V. +tp10501 +a(g184 +V\u000a +p10502 +tp10503 +a(g101 +Vnegate +p10504 +tp10505 +a(g197 +V. +tp10506 +a(g184 +V\u000a +tp10507 +a(g197 +V) +tp10508 +a(g184 +V\u000a\u000a +p10509 +tp10510 +a(g69 +VbePunctuation +p10511 +tp10512 +a(g184 +V +tp10513 +a(g338 +V= +tp10514 +a(g184 +V +tp10515 +a(g197 +V( +tp10516 +a(g184 +V\u000a\u000a +p10517 +tp10518 +a(g338 +V| +tp10519 +a(g184 +V +tp10520 +a(g101 +VpunctuationChars +p10521 +tp10522 +a(g184 +V +tp10523 +a(g338 +V| +tp10524 +a(g184 +V\u000a +p10525 +tp10526 +a(g101 +VpunctuationChars +p10527 +tp10528 +a(g338 +V:: +p10529 +tp10530 +a(g184 +V +tp10531 +a(g197 +V{ +tp10532 +a(g221 +V$. +p10533 +tp10534 +a(g197 +V. +tp10535 +a(g184 +V +tp10536 +a(g221 +V$, +p10537 +tp10538 +a(g197 +V. +tp10539 +a(g184 +V +tp10540 +a(g221 +V$! +p10541 +tp10542 +a(g197 +V. +tp10543 +a(g184 +V +tp10544 +a(g221 +V$? +p10545 +tp10546 +a(g197 +V. +tp10547 +a(g184 +V +tp10548 +a(g221 +V$; +p10549 +tp10550 +a(g197 +V. +tp10551 +a(g184 +V +tp10552 +a(g221 +V$: +p10553 +tp10554 +a(g197 +V. +tp10555 +a(g184 +V +tp10556 +a(g221 +V$" +p10557 +tp10558 +a(g197 +V. +tp10559 +a(g184 +V +tp10560 +a(g221 +V$' +p10561 +tp10562 +a(g197 +V. +tp10563 +a(g184 +V +tp10564 +a(g221 +V$- +p10565 +tp10566 +a(g197 +V. +tp10567 +a(g184 +V +tp10568 +a(g221 +V$( +p10569 +tp10570 +a(g197 +V. +tp10571 +a(g184 +V +tp10572 +a(g221 +V$) +p10573 +tp10574 +a(g197 +V. +tp10575 +a(g184 +V +tp10576 +a(g221 +V$` +p10577 +tp10578 +a(g197 +V. +tp10579 +a(g197 +V} +tp10580 +a(g197 +V. +tp10581 +a(g184 +V\u000a +p10582 +tp10583 +a(g101 +Vpredicate +p10584 +tp10585 +a(g338 +V:: +p10586 +tp10587 +a(g184 +V +tp10588 +a(g197 +V[ +tp10589 +a(g101 +V:char +p10590 +tp10591 +a(g184 +V +tp10592 +a(g338 +V| +tp10593 +a(g184 +V +tp10594 +a(g101 +VpunctuationChars +p10595 +tp10596 +a(g184 +V +tp10597 +a(g50 +Vincludes: +p10598 +tp10599 +a(g184 +V +tp10600 +a(g101 +Vchar +p10601 +tp10602 +a(g197 +V] +tp10603 +a(g197 +V. +tp10604 +a(g184 +V\u000a +p10605 +tp10606 +a(g101 +Vnegation +p10607 +tp10608 +a(g338 +V:: +p10609 +tp10610 +a(g184 +V +tp10611 +a(g197 +V[ +tp10612 +a(g101 +V:char +p10613 +tp10614 +a(g184 +V +tp10615 +a(g338 +V| +tp10616 +a(g184 +V +tp10617 +a(g197 +V( +tp10618 +a(g101 +VpunctuationChars +p10619 +tp10620 +a(g184 +V +tp10621 +a(g50 +Vincludes: +p10622 +tp10623 +a(g184 +V +tp10624 +a(g101 +Vchar +p10625 +tp10626 +a(g197 +V) +tp10627 +a(g184 +V +tp10628 +a(g101 +Vnot +p10629 +tp10630 +a(g197 +V] +tp10631 +a(g184 +V\u000a +tp10632 +a(g197 +V) +tp10633 +a(g184 +V\u000a\u000a +p10634 +tp10635 +a(g69 +VbeSpace +p10636 +tp10637 +a(g184 +V +tp10638 +a(g338 +V= +tp10639 +a(g184 +V +tp10640 +a(g197 +V( +tp10641 +a(g184 +V\u000a\u000a +p10642 +tp10643 +a(g101 +Vpredicate +p10644 +tp10645 +a(g338 +V:: +p10646 +tp10647 +a(g184 +V +tp10648 +a(g197 +V[ +tp10649 +a(g101 +V:char +p10650 +tp10651 +a(g184 +V +tp10652 +a(g338 +V| +tp10653 +a(g184 +V +tp10654 +a(g101 +Vchar +p10655 +tp10656 +a(g184 +V +tp10657 +a(g101 +VisSeparator +p10658 +tp10659 +a(g197 +V] +tp10660 +a(g197 +V. +tp10661 +a(g184 +V\u000a +p10662 +tp10663 +a(g101 +Vnegation +p10664 +tp10665 +a(g338 +V:: +p10666 +tp10667 +a(g184 +V +tp10668 +a(g197 +V[ +tp10669 +a(g101 +V:char +p10670 +tp10671 +a(g184 +V +tp10672 +a(g338 +V| +tp10673 +a(g184 +V +tp10674 +a(g101 +Vchar +p10675 +tp10676 +a(g184 +V +tp10677 +a(g101 +VisSeparator +p10678 +tp10679 +a(g184 +V +tp10680 +a(g101 +Vnot +p10681 +tp10682 +a(g197 +V] +tp10683 +a(g184 +V\u000a +tp10684 +a(g197 +V) +tp10685 +a(g184 +V\u000a\u000a +p10686 +tp10687 +a(g69 +VbeUppercase +p10688 +tp10689 +a(g184 +V +tp10690 +a(g338 +V= +tp10691 +a(g184 +V +tp10692 +a(g197 +V( +tp10693 +a(g184 +V\u000a\u000a +p10694 +tp10695 +a(g101 +Vpredicate +p10696 +tp10697 +a(g338 +V:: +p10698 +tp10699 +a(g184 +V +tp10700 +a(g197 +V[ +tp10701 +a(g101 +V:char +p10702 +tp10703 +a(g184 +V +tp10704 +a(g338 +V| +tp10705 +a(g184 +V +tp10706 +a(g101 +Vchar +p10707 +tp10708 +a(g184 +V +tp10709 +a(g101 +VisUppercase +p10710 +tp10711 +a(g197 +V] +tp10712 +a(g197 +V. +tp10713 +a(g184 +V\u000a +p10714 +tp10715 +a(g101 +Vnegation +p10716 +tp10717 +a(g338 +V:: +p10718 +tp10719 +a(g184 +V +tp10720 +a(g197 +V[ +tp10721 +a(g101 +V:char +p10722 +tp10723 +a(g184 +V +tp10724 +a(g338 +V| +tp10725 +a(g184 +V +tp10726 +a(g101 +Vchar +p10727 +tp10728 +a(g184 +V +tp10729 +a(g101 +VisUppercase +p10730 +tp10731 +a(g184 +V +tp10732 +a(g101 +Vnot +p10733 +tp10734 +a(g197 +V] +tp10735 +a(g184 +V\u000a +tp10736 +a(g197 +V) +tp10737 +a(g184 +V\u000a\u000a +p10738 +tp10739 +a(g69 +VbeWordConstituent +p10740 +tp10741 +a(g184 +V +tp10742 +a(g338 +V= +tp10743 +a(g184 +V +tp10744 +a(g197 +V( +tp10745 +a(g184 +V\u000a\u000a +p10746 +tp10747 +a(g101 +Vpredicate +p10748 +tp10749 +a(g338 +V:: +p10750 +tp10751 +a(g184 +V +tp10752 +a(g197 +V[ +tp10753 +a(g101 +V:char +p10754 +tp10755 +a(g184 +V +tp10756 +a(g338 +V| +tp10757 +a(g184 +V +tp10758 +a(g101 +Vchar +p10759 +tp10760 +a(g184 +V +tp10761 +a(g101 +VisAlphaNumeric +p10762 +tp10763 +a(g197 +V] +tp10764 +a(g197 +V. +tp10765 +a(g184 +V\u000a +p10766 +tp10767 +a(g101 +Vnegation +p10768 +tp10769 +a(g338 +V:: +p10770 +tp10771 +a(g184 +V +tp10772 +a(g197 +V[ +tp10773 +a(g101 +V:char +p10774 +tp10775 +a(g184 +V +tp10776 +a(g338 +V| +tp10777 +a(g184 +V +tp10778 +a(g101 +Vchar +p10779 +tp10780 +a(g184 +V +tp10781 +a(g101 +VisAlphaNumeric +p10782 +tp10783 +a(g184 +V +tp10784 +a(g101 +Vnot +p10785 +tp10786 +a(g197 +V] +tp10787 +a(g184 +V\u000a +tp10788 +a(g197 +V) +tp10789 +a(g184 +V\u000a\u000a +p10790 +tp10791 +a(g197 +V) +tp10792 +a(g184 +V +tp10793 +a(g338 +V: +tp10794 +a(g184 +V +tp10795 +a(g197 +V( +tp10796 +a(g184 +V\u000a +tp10797 +a(g221 +V'as yet unclassified' +p10798 +tp10799 +a(g184 +V\u000a +tp10800 +a(g50 +VforEscapedLetter: +p10801 +tp10802 +a(g184 +V +tp10803 +a(g101 +VaCharacter +p10804 +tp10805 +a(g184 +V +tp10806 +a(g338 +V= +tp10807 +a(g184 +V +tp10808 +a(g197 +V( +tp10809 +a(g184 +V\u000a\u000a +p10810 +tp10811 +a(g338 +V^ +tp10812 +a(g13 +Vself +p10813 +tp10814 +a(g184 +V +tp10815 +a(g101 +Vnew +p10816 +tp10817 +a(g184 +V +tp10818 +a(g50 +Vperform: +p10819 +tp10820 +a(g184 +V\u000a +p10821 +tp10822 +a(g197 +V( +tp10823 +a(g101 +VEscapedLetterSelectors +p10824 +tp10825 +a(g184 +V\u000a +p10826 +tp10827 +a(g50 +Vat: +p10828 +tp10829 +a(g184 +V +tp10830 +a(g101 +VaCharacter +p10831 +tp10832 +a(g184 +V\u000a +p10833 +tp10834 +a(g50 +VifAbsent: +p10835 +tp10836 +a(g184 +V +tp10837 +a(g197 +V[ +tp10838 +a(g101 +VSyntaxError +p10839 +tp10840 +a(g184 +V +tp10841 +a(g50 +Vsignal: +p10842 +tp10843 +a(g184 +V +tp10844 +a(g221 +V'bad backslash escape' +p10845 +tp10846 +a(g197 +V] +tp10847 +a(g197 +V) +tp10848 +a(g184 +V\u000a +tp10849 +a(g197 +V) +tp10850 +a(g184 +V\u000a\u000a +p10851 +tp10852 +a(g50 +VforNamedClass: +p10853 +tp10854 +a(g184 +V +tp10855 +a(g101 +VaString +p10856 +tp10857 +a(g184 +V +tp10858 +a(g338 +V= +tp10859 +a(g184 +V +tp10860 +a(g197 +V( +tp10861 +a(g184 +V\u000a\u000a +p10862 +tp10863 +a(g338 +V^ +tp10864 +a(g13 +Vself +p10865 +tp10866 +a(g184 +V +tp10867 +a(g101 +Vnew +p10868 +tp10869 +a(g184 +V +tp10870 +a(g50 +Vperform: +p10871 +tp10872 +a(g184 +V\u000a +p10873 +tp10874 +a(g197 +V( +tp10875 +a(g101 +VNamedClassSelectors +p10876 +tp10877 +a(g184 +V\u000a +p10878 +tp10879 +a(g50 +Vat: +p10880 +tp10881 +a(g184 +V +tp10882 +a(g101 +VaString +p10883 +tp10884 +a(g184 +V\u000a +p10885 +tp10886 +a(g50 +VifAbsent: +p10887 +tp10888 +a(g184 +V +tp10889 +a(g197 +V[ +tp10890 +a(g101 +VSyntaxError +p10891 +tp10892 +a(g184 +V +tp10893 +a(g50 +Vsignal: +p10894 +tp10895 +a(g184 +V +tp10896 +a(g221 +V'bad character class name' +p10897 +tp10898 +a(g197 +V] +tp10899 +a(g197 +V) +tp10900 +a(g184 +V\u000a +tp10901 +a(g197 +V) +tp10902 +a(g184 +V\u000a\u000a +p10903 +tp10904 +a(g69 +Vinitialize +p10905 +tp10906 +a(g184 +V +tp10907 +a(g338 +V= +tp10908 +a(g184 +V +tp10909 +a(g197 +V( +tp10910 +a(g184 +V\u000a +p10911 +tp10912 +a(g26 +V"self initialize" +p10913 +tp10914 +a(g184 +V\u000a\u000a \u000a +p10915 +tp10916 +a(g101 +VinitializeNamedClassSelectors +p10917 +tp10918 +a(g197 +V. +tp10919 +a(g184 +V\u000a +p10920 +tp10921 +a(g101 +VinitializeEscapedLetterSelectors +p10922 +tp10923 +a(g197 +V. +tp10924 +a(g184 +V\u000a +tp10925 +a(g197 +V) +tp10926 +a(g184 +V\u000a\u000a +p10927 +tp10928 +a(g69 +VinitializeEscapedLetterSelectors +p10929 +tp10930 +a(g184 +V +tp10931 +a(g338 +V= +tp10932 +a(g184 +V +tp10933 +a(g197 +V( +tp10934 +a(g184 +V\u000a +p10935 +tp10936 +a(g26 +V"self initializeEscapedLetterSelectors" +p10937 +tp10938 +a(g184 +V\u000a\u000a +p10939 +tp10940 +a(g197 +V( +tp10941 +a(g101 +VEscapedLetterSelectors +p10942 +tp10943 +a(g338 +V:: +p10944 +tp10945 +a(g184 +V +tp10946 +a(g101 +VDictionary +p10947 +tp10948 +a(g184 +V +tp10949 +a(g101 +Vnew +p10950 +tp10951 +a(g197 +V) +tp10952 +a(g197 +V. +tp10953 +a(g184 +V\u000a +p10954 +tp10955 +a(g101 +VEscapedLetterSelectors +p10956 +tp10957 +a(g184 +V\u000a +p10958 +tp10959 +a(g50 +Vat: +p10960 +tp10961 +a(g184 +V +tp10962 +a(g221 +V$w +p10963 +tp10964 +a(g184 +V +tp10965 +a(g50 +Vput: +p10966 +tp10967 +a(g184 +V +tp10968 +a(g243 +V#beWordConstituent +p10969 +tp10970 +a(g197 +V; +tp10971 +a(g184 +V\u000a +p10972 +tp10973 +a(g50 +Vat: +p10974 +tp10975 +a(g184 +V +tp10976 +a(g221 +V$W +p10977 +tp10978 +a(g184 +V +tp10979 +a(g50 +Vput: +p10980 +tp10981 +a(g184 +V +tp10982 +a(g243 +V#beNotWordConstituent +p10983 +tp10984 +a(g197 +V; +tp10985 +a(g184 +V\u000a +p10986 +tp10987 +a(g50 +Vat: +p10988 +tp10989 +a(g184 +V +tp10990 +a(g221 +V$d +p10991 +tp10992 +a(g184 +V +tp10993 +a(g50 +Vput: +p10994 +tp10995 +a(g184 +V +tp10996 +a(g243 +V#beDigit +p10997 +tp10998 +a(g197 +V; +tp10999 +a(g184 +V\u000a +p11000 +tp11001 +a(g50 +Vat: +p11002 +tp11003 +a(g184 +V +tp11004 +a(g221 +V$D +p11005 +tp11006 +a(g184 +V +tp11007 +a(g50 +Vput: +p11008 +tp11009 +a(g184 +V +tp11010 +a(g243 +V#beNotDigit +p11011 +tp11012 +a(g197 +V; +tp11013 +a(g184 +V\u000a +p11014 +tp11015 +a(g50 +Vat: +p11016 +tp11017 +a(g184 +V +tp11018 +a(g221 +V$s +p11019 +tp11020 +a(g184 +V +tp11021 +a(g50 +Vput: +p11022 +tp11023 +a(g184 +V +tp11024 +a(g243 +V#beSpace +p11025 +tp11026 +a(g197 +V; +tp11027 +a(g184 +V\u000a +p11028 +tp11029 +a(g50 +Vat: +p11030 +tp11031 +a(g184 +V +tp11032 +a(g221 +V$S +p11033 +tp11034 +a(g184 +V +tp11035 +a(g50 +Vput: +p11036 +tp11037 +a(g184 +V +tp11038 +a(g243 +V#beNotSpace +p11039 +tp11040 +a(g197 +V; +tp11041 +a(g184 +V\u000a +p11042 +tp11043 +a(g50 +Vat: +p11044 +tp11045 +a(g184 +V +tp11046 +a(g221 +V$\u005c +p11047 +tp11048 +a(g184 +V +tp11049 +a(g50 +Vput: +p11050 +tp11051 +a(g184 +V +tp11052 +a(g243 +V#beBackslash +p11053 +tp11054 +a(g184 +V\u000a +tp11055 +a(g197 +V) +tp11056 +a(g184 +V\u000a\u000a +p11057 +tp11058 +a(g69 +VinitializeNamedClassSelectors +p11059 +tp11060 +a(g184 +V +tp11061 +a(g338 +V= +tp11062 +a(g184 +V +tp11063 +a(g197 +V( +tp11064 +a(g184 +V\u000a +p11065 +tp11066 +a(g26 +V"self initializeNamedClassSelectors" +p11067 +tp11068 +a(g184 +V\u000a\u000a +p11069 +tp11070 +a(g197 +V( +tp11071 +a(g101 +VNamedClassSelectors +p11072 +tp11073 +a(g338 +V:: +p11074 +tp11075 +a(g184 +V +tp11076 +a(g101 +VDictionary +p11077 +tp11078 +a(g184 +V +tp11079 +a(g101 +Vnew +p11080 +tp11081 +a(g197 +V) +tp11082 +a(g197 +V. +tp11083 +a(g184 +V\u000a +p11084 +tp11085 +a(g101 +VNamedClassSelectors +p11086 +tp11087 +a(g184 +V \u000a +p11088 +tp11089 +a(g50 +Vat: +p11090 +tp11091 +a(g184 +V +tp11092 +a(g221 +V'alnum' +p11093 +tp11094 +a(g184 +V +tp11095 +a(g50 +Vput: +p11096 +tp11097 +a(g184 +V +tp11098 +a(g243 +V#beAlphaNumeric +p11099 +tp11100 +a(g197 +V; +tp11101 +a(g184 +V\u000a +p11102 +tp11103 +a(g50 +Vat: +p11104 +tp11105 +a(g184 +V +tp11106 +a(g221 +V'alpha' +p11107 +tp11108 +a(g184 +V +tp11109 +a(g50 +Vput: +p11110 +tp11111 +a(g184 +V +tp11112 +a(g243 +V#beAlphabetic +p11113 +tp11114 +a(g197 +V; +tp11115 +a(g184 +V\u000a +p11116 +tp11117 +a(g50 +Vat: +p11118 +tp11119 +a(g184 +V +tp11120 +a(g221 +V'cntrl' +p11121 +tp11122 +a(g184 +V +tp11123 +a(g50 +Vput: +p11124 +tp11125 +a(g184 +V +tp11126 +a(g243 +V#beControl +p11127 +tp11128 +a(g197 +V; +tp11129 +a(g184 +V\u000a +p11130 +tp11131 +a(g50 +Vat: +p11132 +tp11133 +a(g184 +V +tp11134 +a(g221 +V'digit' +p11135 +tp11136 +a(g184 +V +tp11137 +a(g50 +Vput: +p11138 +tp11139 +a(g184 +V +tp11140 +a(g243 +V#beDigit +p11141 +tp11142 +a(g197 +V; +tp11143 +a(g184 +V\u000a +p11144 +tp11145 +a(g50 +Vat: +p11146 +tp11147 +a(g184 +V +tp11148 +a(g221 +V'graph' +p11149 +tp11150 +a(g184 +V +tp11151 +a(g50 +Vput: +p11152 +tp11153 +a(g184 +V +tp11154 +a(g243 +V#beGraphics +p11155 +tp11156 +a(g197 +V; +tp11157 +a(g184 +V\u000a +p11158 +tp11159 +a(g50 +Vat: +p11160 +tp11161 +a(g184 +V +tp11162 +a(g221 +V'lower' +p11163 +tp11164 +a(g184 +V +tp11165 +a(g50 +Vput: +p11166 +tp11167 +a(g184 +V +tp11168 +a(g243 +V#beLowercase +p11169 +tp11170 +a(g197 +V; +tp11171 +a(g184 +V\u000a +p11172 +tp11173 +a(g50 +Vat: +p11174 +tp11175 +a(g184 +V +tp11176 +a(g221 +V'print' +p11177 +tp11178 +a(g184 +V +tp11179 +a(g50 +Vput: +p11180 +tp11181 +a(g184 +V +tp11182 +a(g243 +V#bePrintable +p11183 +tp11184 +a(g197 +V; +tp11185 +a(g184 +V\u000a +p11186 +tp11187 +a(g50 +Vat: +p11188 +tp11189 +a(g184 +V +tp11190 +a(g221 +V'punct' +p11191 +tp11192 +a(g184 +V +tp11193 +a(g50 +Vput: +p11194 +tp11195 +a(g184 +V +tp11196 +a(g243 +V#bePunctuation +p11197 +tp11198 +a(g197 +V; +tp11199 +a(g184 +V\u000a +p11200 +tp11201 +a(g50 +Vat: +p11202 +tp11203 +a(g184 +V +tp11204 +a(g221 +V'space' +p11205 +tp11206 +a(g184 +V +tp11207 +a(g50 +Vput: +p11208 +tp11209 +a(g184 +V +tp11210 +a(g243 +V#beSpace +p11211 +tp11212 +a(g197 +V; +tp11213 +a(g184 +V\u000a +p11214 +tp11215 +a(g50 +Vat: +p11216 +tp11217 +a(g184 +V +tp11218 +a(g221 +V'upper' +p11219 +tp11220 +a(g184 +V +tp11221 +a(g50 +Vput: +p11222 +tp11223 +a(g184 +V +tp11224 +a(g243 +V#beUppercase +p11225 +tp11226 +a(g197 +V; +tp11227 +a(g184 +V\u000a +p11228 +tp11229 +a(g50 +Vat: +p11230 +tp11231 +a(g184 +V +tp11232 +a(g221 +V'xdigit' +p11233 +tp11234 +a(g184 +V +tp11235 +a(g50 +Vput: +p11236 +tp11237 +a(g184 +V +tp11238 +a(g243 +V#beHexDigit +p11239 +tp11240 +a(g184 +V\u000a +tp11241 +a(g197 +V) +tp11242 +a(g184 +V\u000a\u000a +p11243 +tp11244 +a(g197 +V) +tp11245 +a(g184 +V\u000a\u000a +p11246 +tp11247 +a(g7 +Vclass +p11248 +tp11249 +a(g184 +V +tp11250 +a(g134 +VRxsEpsilon +p11251 +tp11252 +a(g184 +V +tp11253 +a(g338 +V= +tp11254 +a(g184 +V +tp11255 +a(g101 +VRxsNode +p11256 +tp11257 +a(g184 +V +tp11258 +a(g197 +V( +tp11259 +a(g184 +V\u000a +tp11260 +a(g26 +V"This is an empty string. It terminates some of the recursive constructs." +p11261 +tp11262 +a(g184 +V\u000a\u000a +p11263 +tp11264 +a(g197 +V) +tp11265 +a(g184 +V\u000a +tp11266 +a(g197 +V( +tp11267 +a(g221 +V'building' +p11268 +tp11269 +a(g184 +V\u000a +tp11270 +a(g50 +VdispatchTo: +p11271 +tp11272 +a(g184 +V +tp11273 +a(g101 +VaBuilder +p11274 +tp11275 +a(g184 +V +tp11276 +a(g338 +V= +tp11277 +a(g184 +V +tp11278 +a(g197 +V( +tp11279 +a(g184 +V\u000a +p11280 +tp11281 +a(g26 +V"Inform the matcher of the kind of the node, and it\u000a will do whatever it has to." +p11282 +tp11283 +a(g184 +V\u000a\u000a +p11284 +tp11285 +a(g338 +V^ +tp11286 +a(g101 +VaBuilder +p11287 +tp11288 +a(g184 +V +tp11289 +a(g101 +VsyntaxEpsilon +p11290 +tp11291 +a(g184 +V\u000a +tp11292 +a(g197 +V) +tp11293 +a(g184 +V\u000a\u000a +p11294 +tp11295 +a(g221 +V'testing' +p11296 +tp11297 +a(g184 +V\u000a +tp11298 +a(g69 +VisNullable +p11299 +tp11300 +a(g184 +V +tp11301 +a(g338 +V= +tp11302 +a(g184 +V +tp11303 +a(g197 +V( +tp11304 +a(g184 +V\u000a +p11305 +tp11306 +a(g26 +V"See comment in the superclass." +p11307 +tp11308 +a(g184 +V\u000a\u000a +p11309 +tp11310 +a(g338 +V^ +tp11311 +a(g13 +Vtrue +p11312 +tp11313 +a(g184 +V\u000a +tp11314 +a(g197 +V) +tp11315 +a(g184 +V\u000a\u000a +p11316 +tp11317 +a(g197 +V) +tp11318 +a(g184 +V\u000a\u000a +p11319 +tp11320 +a(g7 +Vclass +p11321 +tp11322 +a(g184 +V +tp11323 +a(g134 +VRxsCharacter +p11324 +tp11325 +a(g184 +V +tp11326 +a(g50 +Vwith: +p11327 +tp11328 +a(g184 +V +tp11329 +a(g101 +VaCharacter +p11330 +tp11331 +a(g184 +V +tp11332 +a(g338 +V= +tp11333 +a(g184 +V +tp11334 +a(g101 +VRxsNode +p11335 +tp11336 +a(g184 +V +tp11337 +a(g197 +V( +tp11338 +a(g184 +V\u000a +tp11339 +a(g26 +V"A character is a literal character that appears either in the expression itself or in a character set within an expression.\u000a\u000aInstance variables:\u000a character " +p11340 +tp11341 +a(g184 +V\u000a +tp11342 +a(g338 +V| +tp11343 +a(g184 +V\u000a +p11344 +tp11345 +a(g101 +Vcharacter +p11346 +tp11347 +a(g184 +V +tp11348 +a(g338 +V: +tp11349 +a(g338 +V: +tp11350 +a(g338 +V= +tp11351 +a(g184 +V +tp11352 +a(g101 +VaCharacter +p11353 +tp11354 +a(g197 +V. +tp11355 +a(g184 +V\u000a +tp11356 +a(g338 +V| +tp11357 +a(g184 +V\u000a +tp11358 +a(g197 +V) +tp11359 +a(g184 +V\u000a +tp11360 +a(g197 +V( +tp11361 +a(g221 +V'accessing' +p11362 +tp11363 +a(g184 +V\u000a +tp11364 +a(g50 +VdispatchTo: +p11365 +tp11366 +a(g184 +V +tp11367 +a(g101 +VaMatcher +p11368 +tp11369 +a(g184 +V +tp11370 +a(g338 +V= +tp11371 +a(g184 +V +tp11372 +a(g197 +V( +tp11373 +a(g184 +V\u000a +p11374 +tp11375 +a(g26 +V"Inform the matcher of the kind of the node, and it\u000a will do whatever it has to." +p11376 +tp11377 +a(g184 +V\u000a\u000a +p11378 +tp11379 +a(g338 +V^ +tp11380 +a(g101 +VaMatcher +p11381 +tp11382 +a(g184 +V +tp11383 +a(g50 +VsyntaxCharacter: +p11384 +tp11385 +a(g184 +V +tp11386 +a(g101 +Vself +p11387 +tp11388 +a(g184 +V\u000a +tp11389 +a(g197 +V) +tp11390 +a(g184 +V\u000a\u000a +p11391 +tp11392 +a(g50 +VenumerateTo: +p11393 +tp11394 +a(g184 +V +tp11395 +a(g101 +VaSet +p11396 +tp11397 +a(g184 +V +tp11398 +a(g50 +VignoringCase: +p11399 +tp11400 +a(g184 +V +tp11401 +a(g101 +VaBoolean +p11402 +tp11403 +a(g184 +V +tp11404 +a(g338 +V= +tp11405 +a(g184 +V +tp11406 +a(g197 +V( +tp11407 +a(g184 +V\u000a +p11408 +tp11409 +a(g101 +VaBoolean +p11410 +tp11411 +a(g184 +V\u000a +p11412 +tp11413 +a(g50 +VifTrue: +p11414 +tp11415 +a(g184 +V \u000a +p11416 +tp11417 +a(g197 +V[ +tp11418 +a(g101 +VaSet +p11419 +tp11420 +a(g184 +V \u000a +p11421 +tp11422 +a(g50 +Vadd: +p11423 +tp11424 +a(g184 +V +tp11425 +a(g101 +Vcharacter +p11426 +tp11427 +a(g184 +V +tp11428 +a(g101 +VasUppercase +p11429 +tp11430 +a(g197 +V; +tp11431 +a(g184 +V\u000a +p11432 +tp11433 +a(g50 +Vadd: +p11434 +tp11435 +a(g184 +V +tp11436 +a(g101 +Vcharacter +p11437 +tp11438 +a(g184 +V +tp11439 +a(g101 +VasLowercase +p11440 +tp11441 +a(g197 +V] +tp11442 +a(g184 +V\u000a +p11443 +tp11444 +a(g50 +VifFalse: +p11445 +tp11446 +a(g184 +V +tp11447 +a(g197 +V[ +tp11448 +a(g101 +VaSet +p11449 +tp11450 +a(g184 +V +tp11451 +a(g50 +Vadd: +p11452 +tp11453 +a(g184 +V +tp11454 +a(g101 +Vcharacter +p11455 +tp11456 +a(g197 +V] +tp11457 +a(g184 +V\u000a +tp11458 +a(g197 +V) +tp11459 +a(g184 +V\u000a\u000a +p11460 +tp11461 +a(g221 +V'testing' +p11462 +tp11463 +a(g184 +V\u000a +tp11464 +a(g69 +VisAtomic +p11465 +tp11466 +a(g184 +V +tp11467 +a(g338 +V= +tp11468 +a(g184 +V +tp11469 +a(g197 +V( +tp11470 +a(g184 +V\u000a +p11471 +tp11472 +a(g26 +V"A character is always atomic." +p11473 +tp11474 +a(g184 +V\u000a\u000a +p11475 +tp11476 +a(g338 +V^ +tp11477 +a(g13 +Vtrue +p11478 +tp11479 +a(g184 +V\u000a +tp11480 +a(g197 +V) +tp11481 +a(g184 +V\u000a\u000a +p11482 +tp11483 +a(g69 +VisEnumerable +p11484 +tp11485 +a(g184 +V +tp11486 +a(g338 +V= +tp11487 +a(g184 +V +tp11488 +a(g197 +V( +tp11489 +a(g184 +V\u000a +p11490 +tp11491 +a(g338 +V^ +tp11492 +a(g13 +Vtrue +p11493 +tp11494 +a(g184 +V\u000a +tp11495 +a(g197 +V) +tp11496 +a(g184 +V\u000a\u000a +p11497 +tp11498 +a(g69 +VisNullable +p11499 +tp11500 +a(g184 +V +tp11501 +a(g338 +V= +tp11502 +a(g184 +V +tp11503 +a(g197 +V( +tp11504 +a(g184 +V\u000a +p11505 +tp11506 +a(g338 +V^ +tp11507 +a(g13 +Vfalse +p11508 +tp11509 +a(g184 +V\u000a +tp11510 +a(g197 +V) +tp11511 +a(g184 +V\u000a\u000a +p11512 +tp11513 +a(g197 +V) +tp11514 +a(g184 +V\u000a\u000a +p11515 +tp11516 +a(g7 +Vclass +p11517 +tp11518 +a(g184 +V +tp11519 +a(g134 +VRxParser +p11520 +tp11521 +a(g184 +V +tp11522 +a(g338 +V= +tp11523 +a(g184 +V +tp11524 +a(g197 +V( +tp11525 +a(g184 +V\u000a +tp11526 +a(g26 +V"The regular expression parser. Translates a regular expression read from a stream into a parse tree. ('accessing' protocol). The tree can later be passed to a matcher initialization method. All other classes in this category implement the tree. Refer to their comments for any details.\u000a\u000aInstance variables:\u000a input A stream with the regular expression being parsed.\u000a lookahead " +p11527 +tp11528 +a(g184 +V\u000a +tp11529 +a(g338 +V| +tp11530 +a(g184 +V\u000a +p11531 +tp11532 +a(g101 +Vinput +p11533 +tp11534 +a(g184 +V +tp11535 +a(g101 +Vlookahead +p11536 +tp11537 +a(g184 +V\u000a +tp11538 +a(g338 +V| +tp11539 +a(g184 +V\u000a +tp11540 +a(g197 +V) +tp11541 +a(g184 +V\u000a +tp11542 +a(g197 +V( +tp11543 +a(g221 +V'accessing' +p11544 +tp11545 +a(g184 +V\u000a +tp11546 +a(g50 +Vparse: +p11547 +tp11548 +a(g184 +V +tp11549 +a(g101 +VaString +p11550 +tp11551 +a(g184 +V +tp11552 +a(g338 +V= +tp11553 +a(g184 +V +tp11554 +a(g197 +V( +tp11555 +a(g184 +V\u000a +p11556 +tp11557 +a(g26 +V"Parse input from a string .\u000a On success, answers an RxsRegex -- parse tree root.\u000a On error, raises `RxParser syntaxErrorSignal' with the current\u000a input stream position as the parameter." +p11558 +tp11559 +a(g184 +V\u000a\u000a +p11560 +tp11561 +a(g338 +V^ +tp11562 +a(g13 +Vself +p11563 +tp11564 +a(g184 +V +tp11565 +a(g50 +VparseStream: +p11566 +tp11567 +a(g184 +V +tp11568 +a(g197 +V( +tp11569 +a(g101 +VReadStream +p11570 +tp11571 +a(g184 +V +tp11572 +a(g50 +Von: +p11573 +tp11574 +a(g184 +V +tp11575 +a(g101 +VaString +p11576 +tp11577 +a(g197 +V) +tp11578 +a(g184 +V\u000a +tp11579 +a(g197 +V) +tp11580 +a(g184 +V\u000a\u000a +p11581 +tp11582 +a(g50 +VparseStream: +p11583 +tp11584 +a(g184 +V +tp11585 +a(g101 +VaStream +p11586 +tp11587 +a(g184 +V +tp11588 +a(g338 +V= +tp11589 +a(g184 +V +tp11590 +a(g197 +V( +tp11591 +a(g184 +V\u000a +p11592 +tp11593 +a(g26 +V"Parse an input from a character stream .\u000a On success, answers an RxsRegex -- parse tree root.\u000a On error, raises `RxParser syntaxErrorSignal' with the current\u000a input stream position as the parameter." +p11594 +tp11595 +a(g184 +V\u000a\u000a +p11596 +tp11597 +a(g338 +V| +tp11598 +a(g184 +V +tp11599 +a(g101 +Vtree +p11600 +tp11601 +a(g184 +V +tp11602 +a(g338 +V| +tp11603 +a(g184 +V\u000a +p11604 +tp11605 +a(g101 +Vinput +p11606 +tp11607 +a(g338 +V:: +p11608 +tp11609 +a(g184 +V +tp11610 +a(g101 +VaStream +p11611 +tp11612 +a(g197 +V. +tp11613 +a(g184 +V\u000a +p11614 +tp11615 +a(g101 +Vlookahead +p11616 +tp11617 +a(g338 +V:: +p11618 +tp11619 +a(g184 +V +tp11620 +a(g13 +Vnil +p11621 +tp11622 +a(g197 +V. +tp11623 +a(g184 +V\u000a +p11624 +tp11625 +a(g13 +Vself +p11626 +tp11627 +a(g184 +V +tp11628 +a(g50 +Vmatch: +p11629 +tp11630 +a(g184 +V +tp11631 +a(g101 +Vnil +p11632 +tp11633 +a(g197 +V. +tp11634 +a(g184 +V\u000a +p11635 +tp11636 +a(g101 +Vtree +p11637 +tp11638 +a(g338 +V:: +p11639 +tp11640 +a(g184 +V +tp11641 +a(g13 +Vself +p11642 +tp11643 +a(g184 +V +tp11644 +a(g101 +Vregex +p11645 +tp11646 +a(g197 +V. +tp11647 +a(g184 +V\u000a +p11648 +tp11649 +a(g13 +Vself +p11650 +tp11651 +a(g184 +V +tp11652 +a(g50 +Vmatch: +p11653 +tp11654 +a(g184 +V +tp11655 +a(g243 +V#epsilon +p11656 +tp11657 +a(g197 +V. +tp11658 +a(g184 +V\u000a +p11659 +tp11660 +a(g338 +V^ +tp11661 +a(g101 +Vtree +p11662 +tp11663 +a(g184 +V\u000a +tp11664 +a(g197 +V) +tp11665 +a(g184 +V\u000a\u000a +p11666 +tp11667 +a(g69 +Vpiece +p11668 +tp11669 +a(g184 +V +tp11670 +a(g338 +V= +tp11671 +a(g184 +V +tp11672 +a(g197 +V( +tp11673 +a(g184 +V\u000a +p11674 +tp11675 +a(g26 +V" ::= | * | + | ?" +p11676 +tp11677 +a(g184 +V\u000a\u000a +p11678 +tp11679 +a(g338 +V| +tp11680 +a(g184 +V +tp11681 +a(g101 +Vatom +p11682 +tp11683 +a(g184 +V +tp11684 +a(g101 +VerrorMessage +p11685 +tp11686 +a(g184 +V +tp11687 +a(g338 +V| +tp11688 +a(g184 +V\u000a +p11689 +tp11690 +a(g101 +VerrorMessage +p11691 +tp11692 +a(g338 +V:: +p11693 +tp11694 +a(g184 +V +tp11695 +a(g221 +V' nullable closure' +p11696 +tp11697 +a(g197 +V. +tp11698 +a(g184 +V\u000a +p11699 +tp11700 +a(g101 +Vatom +p11701 +tp11702 +a(g338 +V:: +p11703 +tp11704 +a(g184 +V +tp11705 +a(g13 +Vself +p11706 +tp11707 +a(g184 +V +tp11708 +a(g101 +Vatom +p11709 +tp11710 +a(g197 +V. +tp11711 +a(g184 +V\u000a +p11712 +tp11713 +a(g69 +Vlookahead +p11714 +tp11715 +a(g184 +V +tp11716 +a(g338 +V= +tp11717 +a(g184 +V +tp11718 +a(g221 +V$* +p11719 +tp11720 +a(g184 +V +tp11721 +a(g50 +VifTrue: +p11722 +tp11723 +a(g184 +V \u000a +p11724 +tp11725 +a(g197 +V[ +tp11726 +a(g13 +Vself +p11727 +tp11728 +a(g184 +V +tp11729 +a(g101 +Vnext +p11730 +tp11731 +a(g197 +V. +tp11732 +a(g184 +V\u000a +p11733 +tp11734 +a(g101 +Vatom +p11735 +tp11736 +a(g184 +V +tp11737 +a(g101 +VisNullable +p11738 +tp11739 +a(g184 +V +tp11740 +a(g50 +VifTrue: +p11741 +tp11742 +a(g184 +V +tp11743 +a(g197 +V[ +tp11744 +a(g13 +Vself +p11745 +tp11746 +a(g184 +V +tp11747 +a(g50 +VsignalParseError: +p11748 +tp11749 +a(g184 +V +tp11750 +a(g101 +VerrorMessage +p11751 +tp11752 +a(g197 +V] +tp11753 +a(g197 +V. +tp11754 +a(g184 +V\u000a +p11755 +tp11756 +a(g338 +V^ +tp11757 +a(g101 +VRxsPiece +p11758 +tp11759 +a(g184 +V +tp11760 +a(g50 +VstarAtom: +p11761 +tp11762 +a(g184 +V +tp11763 +a(g101 +Vatom +p11764 +tp11765 +a(g197 +V] +tp11766 +a(g197 +V. +tp11767 +a(g184 +V\u000a +p11768 +tp11769 +a(g69 +Vlookahead +p11770 +tp11771 +a(g184 +V +tp11772 +a(g338 +V= +tp11773 +a(g184 +V +tp11774 +a(g221 +V$+ +p11775 +tp11776 +a(g184 +V +tp11777 +a(g50 +VifTrue: +p11778 +tp11779 +a(g184 +V \u000a +p11780 +tp11781 +a(g197 +V[ +tp11782 +a(g13 +Vself +p11783 +tp11784 +a(g184 +V +tp11785 +a(g101 +Vnext +p11786 +tp11787 +a(g197 +V. +tp11788 +a(g184 +V\u000a +p11789 +tp11790 +a(g101 +Vatom +p11791 +tp11792 +a(g184 +V +tp11793 +a(g101 +VisNullable +p11794 +tp11795 +a(g184 +V +tp11796 +a(g50 +VifTrue: +p11797 +tp11798 +a(g184 +V +tp11799 +a(g197 +V[ +tp11800 +a(g13 +Vself +p11801 +tp11802 +a(g184 +V +tp11803 +a(g50 +VsignalParseError: +p11804 +tp11805 +a(g184 +V +tp11806 +a(g101 +VerrorMessage +p11807 +tp11808 +a(g197 +V] +tp11809 +a(g197 +V. +tp11810 +a(g184 +V\u000a +p11811 +tp11812 +a(g338 +V^ +tp11813 +a(g101 +VRxsPiece +p11814 +tp11815 +a(g184 +V +tp11816 +a(g50 +VplusAtom: +p11817 +tp11818 +a(g184 +V +tp11819 +a(g101 +Vatom +p11820 +tp11821 +a(g197 +V] +tp11822 +a(g197 +V. +tp11823 +a(g184 +V\u000a +p11824 +tp11825 +a(g69 +Vlookahead +p11826 +tp11827 +a(g184 +V +tp11828 +a(g338 +V= +tp11829 +a(g184 +V +tp11830 +a(g221 +V$? +p11831 +tp11832 +a(g184 +V +tp11833 +a(g50 +VifTrue: +p11834 +tp11835 +a(g184 +V \u000a +p11836 +tp11837 +a(g197 +V[ +tp11838 +a(g13 +Vself +p11839 +tp11840 +a(g184 +V +tp11841 +a(g101 +Vnext +p11842 +tp11843 +a(g197 +V. +tp11844 +a(g184 +V\u000a +p11845 +tp11846 +a(g101 +Vatom +p11847 +tp11848 +a(g184 +V +tp11849 +a(g101 +VisNullable +p11850 +tp11851 +a(g184 +V +tp11852 +a(g50 +VifTrue: +p11853 +tp11854 +a(g184 +V +tp11855 +a(g197 +V[ +tp11856 +a(g13 +Vself +p11857 +tp11858 +a(g184 +V +tp11859 +a(g50 +VsignalParseError: +p11860 +tp11861 +a(g184 +V +tp11862 +a(g101 +VerrorMessage +p11863 +tp11864 +a(g197 +V] +tp11865 +a(g197 +V. +tp11866 +a(g184 +V\u000a +p11867 +tp11868 +a(g338 +V^ +tp11869 +a(g101 +VRxsPiece +p11870 +tp11871 +a(g184 +V +tp11872 +a(g50 +VoptionalAtom: +p11873 +tp11874 +a(g184 +V +tp11875 +a(g101 +Vatom +p11876 +tp11877 +a(g197 +V] +tp11878 +a(g197 +V. +tp11879 +a(g184 +V\u000a +p11880 +tp11881 +a(g338 +V^ +tp11882 +a(g101 +VRxsPiece +p11883 +tp11884 +a(g184 +V +tp11885 +a(g50 +Vatom: +p11886 +tp11887 +a(g184 +V +tp11888 +a(g101 +Vatom +p11889 +tp11890 +a(g184 +V\u000a +tp11891 +a(g197 +V) +tp11892 +a(g184 +V\u000a\u000a +p11893 +tp11894 +a(g69 +Vregex +p11895 +tp11896 +a(g184 +V +tp11897 +a(g338 +V= +tp11898 +a(g184 +V +tp11899 +a(g197 +V( +tp11900 +a(g184 +V\u000a +p11901 +tp11902 +a(g26 +V" ::= e | `|' " +p11903 +tp11904 +a(g184 +V\u000a\u000a +p11905 +tp11906 +a(g338 +V| +tp11907 +a(g184 +V +tp11908 +a(g101 +Vbranch +p11909 +tp11910 +a(g184 +V +tp11911 +a(g101 +Vregex +p11912 +tp11913 +a(g184 +V +tp11914 +a(g338 +V| +tp11915 +a(g184 +V\u000a +p11916 +tp11917 +a(g101 +Vbranch +p11918 +tp11919 +a(g338 +V:: +p11920 +tp11921 +a(g184 +V +tp11922 +a(g13 +Vself +p11923 +tp11924 +a(g184 +V +tp11925 +a(g101 +Vbranch +p11926 +tp11927 +a(g197 +V. +tp11928 +a(g184 +V\u000a +p11929 +tp11930 +a(g197 +V( +tp11931 +a(g69 +Vlookahead +p11932 +tp11933 +a(g184 +V +tp11934 +a(g338 +V= +tp11935 +a(g184 +V +tp11936 +a(g243 +V#epsilon +p11937 +tp11938 +a(g184 +V +tp11939 +a(g50 +Vor: +p11940 +tp11941 +a(g184 +V +tp11942 +a(g197 +V[ +tp11943 +a(g69 +Vlookahead +p11944 +tp11945 +a(g184 +V +tp11946 +a(g338 +V= +tp11947 +a(g184 +V +tp11948 +a(g221 +V$) +p11949 +tp11950 +a(g197 +V] +tp11951 +a(g197 +V) +tp11952 +a(g184 +V\u000a +p11953 +tp11954 +a(g50 +VifTrue: +p11955 +tp11956 +a(g184 +V +tp11957 +a(g197 +V[ +tp11958 +a(g101 +Vregex +p11959 +tp11960 +a(g338 +V:: +p11961 +tp11962 +a(g184 +V +tp11963 +a(g13 +Vnil +p11964 +tp11965 +a(g197 +V] +tp11966 +a(g184 +V\u000a +p11967 +tp11968 +a(g50 +VifFalse: +p11969 +tp11970 +a(g184 +V \u000a +p11971 +tp11972 +a(g197 +V[ +tp11973 +a(g13 +Vself +p11974 +tp11975 +a(g184 +V +tp11976 +a(g50 +Vmatch: +p11977 +tp11978 +a(g184 +V +tp11979 +a(g221 +V$| +p11980 +tp11981 +a(g197 +V. +tp11982 +a(g184 +V\u000a +p11983 +tp11984 +a(g101 +Vregex +p11985 +tp11986 +a(g338 +V:: +p11987 +tp11988 +a(g184 +V +tp11989 +a(g13 +Vself +p11990 +tp11991 +a(g184 +V +tp11992 +a(g101 +Vregex +p11993 +tp11994 +a(g197 +V] +tp11995 +a(g197 +V. +tp11996 +a(g184 +V\u000a +p11997 +tp11998 +a(g338 +V^ +tp11999 +a(g101 +VRxsRegex +p12000 +tp12001 +a(g184 +V +tp12002 +a(g50 +Vbranch: +p12003 +tp12004 +a(g184 +V +tp12005 +a(g101 +Vbranch +p12006 +tp12007 +a(g184 +V +tp12008 +a(g50 +Vregex: +p12009 +tp12010 +a(g184 +V +tp12011 +a(g101 +Vregex +p12012 +tp12013 +a(g184 +V\u000a +tp12014 +a(g197 +V) +tp12015 +a(g184 +V\u000a\u000a +p12016 +tp12017 +a(g221 +V'private' +p12018 +tp12019 +a(g184 +V\u000a +tp12020 +a(g50 +VcharacterSetFrom: +p12021 +tp12022 +a(g184 +V +tp12023 +a(g101 +VsetSpec +p12024 +tp12025 +a(g184 +V +tp12026 +a(g338 +V= +tp12027 +a(g184 +V +tp12028 +a(g197 +V( +tp12029 +a(g184 +V\u000a +p12030 +tp12031 +a(g26 +V" is what goes between the brackets in a charset regex\u000a (a String). Make a string containing all characters the spec specifies.\u000a Spec is never empty." +p12032 +tp12033 +a(g184 +V\u000a\u000a +p12034 +tp12035 +a(g338 +V| +tp12036 +a(g184 +V +tp12037 +a(g101 +Vnegated +p12038 +tp12039 +a(g184 +V +tp12040 +a(g101 +Vspec +p12041 +tp12042 +a(g184 +V +tp12043 +a(g338 +V| +tp12044 +a(g184 +V\u000a +p12045 +tp12046 +a(g101 +Vspec +p12047 +tp12048 +a(g338 +V:: +p12049 +tp12050 +a(g184 +V +tp12051 +a(g101 +VReadStream +p12052 +tp12053 +a(g184 +V +tp12054 +a(g50 +Von: +p12055 +tp12056 +a(g184 +V +tp12057 +a(g101 +VsetSpec +p12058 +tp12059 +a(g197 +V. +tp12060 +a(g184 +V\u000a +p12061 +tp12062 +a(g101 +Vspec +p12063 +tp12064 +a(g184 +V +tp12065 +a(g69 +Vpeek +p12066 +tp12067 +a(g184 +V +tp12068 +a(g338 +V= +tp12069 +a(g184 +V +tp12070 +a(g221 +V$^ +p12071 +tp12072 +a(g184 +V\u000a +p12073 +tp12074 +a(g50 +VifTrue: +p12075 +tp12076 +a(g184 +V +p12077 +tp12078 +a(g197 +V[ +tp12079 +a(g101 +Vnegated +p12080 +tp12081 +a(g338 +V:: +p12082 +tp12083 +a(g184 +V +tp12084 +a(g13 +Vtrue +p12085 +tp12086 +a(g197 +V. +tp12087 +a(g184 +V\u000a +p12088 +tp12089 +a(g101 +Vspec +p12090 +tp12091 +a(g184 +V +tp12092 +a(g101 +Vnext +p12093 +tp12094 +a(g197 +V] +tp12095 +a(g184 +V\u000a +p12096 +tp12097 +a(g50 +VifFalse: +p12098 +tp12099 +a(g184 +V +tp12100 +a(g197 +V[ +tp12101 +a(g101 +Vnegated +p12102 +tp12103 +a(g338 +V:: +p12104 +tp12105 +a(g184 +V +tp12106 +a(g13 +Vfalse +p12107 +tp12108 +a(g197 +V] +tp12109 +a(g197 +V. +tp12110 +a(g184 +V\u000a +p12111 +tp12112 +a(g338 +V^ +tp12113 +a(g101 +VRxsCharSet +p12114 +tp12115 +a(g184 +V +tp12116 +a(g50 +Velements: +p12117 +tp12118 +a(g184 +V +tp12119 +a(g197 +V( +tp12120 +a(g101 +VRxCharSetParser +p12121 +tp12122 +a(g184 +V +tp12123 +a(g50 +Von: +p12124 +tp12125 +a(g184 +V +tp12126 +a(g101 +Vspec +p12127 +tp12128 +a(g197 +V) +tp12129 +a(g184 +V +tp12130 +a(g101 +Vparse +p12131 +tp12132 +a(g184 +V +tp12133 +a(g50 +Vnegated: +p12134 +tp12135 +a(g184 +V +tp12136 +a(g101 +Vnegated +p12137 +tp12138 +a(g184 +V\u000a +tp12139 +a(g197 +V) +tp12140 +a(g184 +V\u000a\u000a +p12141 +tp12142 +a(g50 +VifSpecial: +p12143 +tp12144 +a(g184 +V +tp12145 +a(g101 +VaCharacter +p12146 +tp12147 +a(g184 +V +tp12148 +a(g50 +Vthen: +p12149 +tp12150 +a(g184 +V +tp12151 +a(g101 +VaBlock +p12152 +tp12153 +a(g184 +V +tp12154 +a(g338 +V= +tp12155 +a(g184 +V +tp12156 +a(g197 +V( +tp12157 +a(g184 +V\u000a +p12158 +tp12159 +a(g26 +V"If the character is such that it defines a special node when follows a $\u005c,\u000a then create that node and evaluate aBlock with the node as the parameter.\u000a Otherwise just return." +p12160 +tp12161 +a(g184 +V\u000a\u000a +p12162 +tp12163 +a(g338 +V| +tp12164 +a(g184 +V +tp12165 +a(g101 +VclassAndSelector +p12166 +tp12167 +a(g184 +V +tp12168 +a(g338 +V| +tp12169 +a(g184 +V\u000a +p12170 +tp12171 +a(g101 +VclassAndSelector +p12172 +tp12173 +a(g338 +V:: +p12174 +tp12175 +a(g184 +V +tp12176 +a(g101 +VBackslashSpecials +p12177 +tp12178 +a(g184 +V +tp12179 +a(g50 +Vat: +p12180 +tp12181 +a(g184 +V +tp12182 +a(g101 +VaCharacter +p12183 +tp12184 +a(g184 +V +tp12185 +a(g50 +VifAbsent: +p12186 +tp12187 +a(g184 +V +tp12188 +a(g197 +V[ +tp12189 +a(g338 +V^ +tp12190 +a(g13 +Vself +p12191 +tp12192 +a(g197 +V] +tp12193 +a(g197 +V. +tp12194 +a(g184 +V\u000a +p12195 +tp12196 +a(g338 +V^ +tp12197 +a(g101 +VaBlock +p12198 +tp12199 +a(g184 +V +tp12200 +a(g50 +Vvalue: +p12201 +tp12202 +a(g184 +V +tp12203 +a(g197 +V( +tp12204 +a(g101 +VclassAndSelector +p12205 +tp12206 +a(g184 +V +tp12207 +a(g101 +Vkey +p12208 +tp12209 +a(g184 +V +tp12210 +a(g101 +Vnew +p12211 +tp12212 +a(g184 +V +tp12213 +a(g50 +Vperform: +p12214 +tp12215 +a(g184 +V +tp12216 +a(g101 +VclassAndSelector +p12217 +tp12218 +a(g184 +V +tp12219 +a(g101 +Vvalue +p12220 +tp12221 +a(g197 +V) +tp12222 +a(g184 +V\u000a +tp12223 +a(g197 +V) +tp12224 +a(g184 +V\u000a\u000a +p12225 +tp12226 +a(g50 +VinputUpTo: +p12227 +tp12228 +a(g184 +V +tp12229 +a(g101 +VaCharacter +p12230 +tp12231 +a(g184 +V +tp12232 +a(g50 +VerrorMessage: +p12233 +tp12234 +a(g184 +V +tp12235 +a(g101 +VaString +p12236 +tp12237 +a(g184 +V +tp12238 +a(g338 +V= +tp12239 +a(g184 +V +tp12240 +a(g197 +V( +tp12241 +a(g184 +V\u000a +p12242 +tp12243 +a(g26 +V"Accumulate input stream until is encountered\u000a and answer the accumulated chars as String, not including\u000a . Signal error if end of stream is encountered,\u000a passing as the error description." +p12244 +tp12245 +a(g184 +V\u000a\u000a +p12246 +tp12247 +a(g338 +V| +tp12248 +a(g184 +V +tp12249 +a(g101 +Vaccumulator +p12250 +tp12251 +a(g184 +V +tp12252 +a(g338 +V| +tp12253 +a(g184 +V\u000a +p12254 +tp12255 +a(g101 +Vaccumulator +p12256 +tp12257 +a(g338 +V:: +p12258 +tp12259 +a(g184 +V +tp12260 +a(g101 +VWriteStream +p12261 +tp12262 +a(g184 +V +tp12263 +a(g50 +Von: +p12264 +tp12265 +a(g184 +V +tp12266 +a(g197 +V( +tp12267 +a(g101 +VString +p12268 +tp12269 +a(g184 +V +tp12270 +a(g50 +Vnew: +p12271 +tp12272 +a(g184 +V +tp12273 +a(g313 +V20 +p12274 +tp12275 +a(g197 +V) +tp12276 +a(g197 +V. +tp12277 +a(g184 +V\u000a +p12278 +tp12279 +a(g197 +V[ +tp12280 +a(g101 +Vlookahead +p12281 +tp12282 +a(g184 +V +tp12283 +a(g338 +V~ +tp12284 +a(g338 +V= +tp12285 +a(g184 +V +tp12286 +a(g101 +VaCharacter +p12287 +tp12288 +a(g184 +V +tp12289 +a(g50 +Vand: +p12290 +tp12291 +a(g184 +V +tp12292 +a(g197 +V[ +tp12293 +a(g101 +Vlookahead +p12294 +tp12295 +a(g184 +V +tp12296 +a(g338 +V~ +tp12297 +a(g338 +V= +tp12298 +a(g184 +V +tp12299 +a(g243 +V#epsilon +p12300 +tp12301 +a(g197 +V] +tp12302 +a(g197 +V] +tp12303 +a(g184 +V\u000a +p12304 +tp12305 +a(g50 +VwhileTrue: +p12306 +tp12307 +a(g184 +V\u000a +p12308 +tp12309 +a(g197 +V[ +tp12310 +a(g101 +Vaccumulator +p12311 +tp12312 +a(g184 +V +tp12313 +a(g50 +VnextPut: +p12314 +tp12315 +a(g184 +V +tp12316 +a(g101 +Vlookahead +p12317 +tp12318 +a(g197 +V. +tp12319 +a(g184 +V\u000a +p12320 +tp12321 +a(g13 +Vself +p12322 +tp12323 +a(g184 +V +tp12324 +a(g101 +Vnext +p12325 +tp12326 +a(g197 +V] +tp12327 +a(g197 +V. +tp12328 +a(g184 +V\u000a +p12329 +tp12330 +a(g69 +Vlookahead +p12331 +tp12332 +a(g184 +V +tp12333 +a(g338 +V= +tp12334 +a(g184 +V +tp12335 +a(g243 +V#epsilon +p12336 +tp12337 +a(g184 +V +tp12338 +a(g50 +VifTrue: +p12339 +tp12340 +a(g184 +V +tp12341 +a(g197 +V[ +tp12342 +a(g13 +Vself +p12343 +tp12344 +a(g184 +V +tp12345 +a(g50 +VsignalParseError: +p12346 +tp12347 +a(g184 +V +tp12348 +a(g101 +VaString +p12349 +tp12350 +a(g197 +V] +tp12351 +a(g197 +V. +tp12352 +a(g184 +V\u000a +p12353 +tp12354 +a(g338 +V^ +tp12355 +a(g101 +Vaccumulator +p12356 +tp12357 +a(g184 +V +tp12358 +a(g101 +Vcontents +p12359 +tp12360 +a(g184 +V\u000a +tp12361 +a(g197 +V) +tp12362 +a(g184 +V\u000a\u000a +p12363 +tp12364 +a(g50 +VinputUpTo: +p12365 +tp12366 +a(g184 +V +tp12367 +a(g101 +VaCharacter +p12368 +tp12369 +a(g184 +V +tp12370 +a(g50 +VnestedOn: +p12371 +tp12372 +a(g184 +V +tp12373 +a(g101 +VanotherCharacter +p12374 +tp12375 +a(g184 +V +tp12376 +a(g50 +VerrorMessage: +p12377 +tp12378 +a(g184 +V +tp12379 +a(g101 +VaString +p12380 +tp12381 +a(g184 +V +tp12382 +a(g338 +V= +tp12383 +a(g184 +V +tp12384 +a(g197 +V( +tp12385 +a(g184 +V\u000a +p12386 +tp12387 +a(g26 +V"Accumulate input stream until is encountered\u000a and answer the accumulated chars as String, not including\u000a . Signal error if end of stream is encountered,\u000a passing as the error description." +p12388 +tp12389 +a(g184 +V\u000a\u000a +p12390 +tp12391 +a(g338 +V| +tp12392 +a(g184 +V +tp12393 +a(g101 +Vaccumulator +p12394 +tp12395 +a(g184 +V +tp12396 +a(g101 +VnestLevel +p12397 +tp12398 +a(g184 +V +tp12399 +a(g338 +V| +tp12400 +a(g184 +V\u000a +p12401 +tp12402 +a(g101 +Vaccumulator +p12403 +tp12404 +a(g338 +V:: +p12405 +tp12406 +a(g184 +V +tp12407 +a(g101 +VWriteStream +p12408 +tp12409 +a(g184 +V +tp12410 +a(g50 +Von: +p12411 +tp12412 +a(g184 +V +tp12413 +a(g197 +V( +tp12414 +a(g101 +VString +p12415 +tp12416 +a(g184 +V +tp12417 +a(g50 +Vnew: +p12418 +tp12419 +a(g184 +V +tp12420 +a(g313 +V20 +p12421 +tp12422 +a(g197 +V) +tp12423 +a(g197 +V. +tp12424 +a(g184 +V\u000a +p12425 +tp12426 +a(g101 +VnestLevel +p12427 +tp12428 +a(g338 +V:: +p12429 +tp12430 +a(g184 +V +tp12431 +a(g305 +V0. +p12432 +tp12433 +a(g184 +V\u000a +p12434 +tp12435 +a(g197 +V[ +tp12436 +a(g101 +Vlookahead +p12437 +tp12438 +a(g184 +V +tp12439 +a(g338 +V~ +tp12440 +a(g338 +V= +tp12441 +a(g184 +V +tp12442 +a(g101 +VaCharacter +p12443 +tp12444 +a(g184 +V +tp12445 +a(g50 +Vor: +p12446 +tp12447 +a(g184 +V +tp12448 +a(g197 +V[ +tp12449 +a(g101 +VnestLevel +p12450 +tp12451 +a(g184 +V +tp12452 +a(g338 +V> +tp12453 +a(g184 +V +tp12454 +a(g313 +V0 +tp12455 +a(g197 +V] +tp12456 +a(g197 +V] +tp12457 +a(g184 +V +tp12458 +a(g50 +VwhileTrue: +p12459 +tp12460 +a(g184 +V \u000a +p12461 +tp12462 +a(g197 +V[ +tp12463 +a(g243 +V#epsilon +p12464 +tp12465 +a(g184 +V +tp12466 +a(g338 +V= +tp12467 +a(g184 +V +tp12468 +a(g101 +Vlookahead +p12469 +tp12470 +a(g184 +V +tp12471 +a(g50 +VifTrue: +p12472 +tp12473 +a(g184 +V +tp12474 +a(g197 +V[ +tp12475 +a(g13 +Vself +p12476 +tp12477 +a(g184 +V +tp12478 +a(g50 +VsignalParseError: +p12479 +tp12480 +a(g184 +V +tp12481 +a(g101 +VaString +p12482 +tp12483 +a(g197 +V] +tp12484 +a(g197 +V. +tp12485 +a(g184 +V\u000a +p12486 +tp12487 +a(g101 +Vaccumulator +p12488 +tp12489 +a(g184 +V +tp12490 +a(g50 +VnextPut: +p12491 +tp12492 +a(g184 +V +tp12493 +a(g101 +Vlookahead +p12494 +tp12495 +a(g197 +V. +tp12496 +a(g184 +V\u000a +p12497 +tp12498 +a(g69 +Vlookahead +p12499 +tp12500 +a(g184 +V +tp12501 +a(g338 +V= +tp12502 +a(g184 +V +tp12503 +a(g101 +VanotherCharacter +p12504 +tp12505 +a(g184 +V +tp12506 +a(g50 +VifTrue: +p12507 +tp12508 +a(g184 +V +tp12509 +a(g197 +V[ +tp12510 +a(g101 +VnestLevel +p12511 +tp12512 +a(g338 +V:: +p12513 +tp12514 +a(g184 +V +tp12515 +a(g101 +VnestLevel +p12516 +tp12517 +a(g184 +V +tp12518 +a(g338 +V+ +tp12519 +a(g184 +V +tp12520 +a(g313 +V1 +tp12521 +a(g197 +V] +tp12522 +a(g197 +V. +tp12523 +a(g184 +V\u000a +p12524 +tp12525 +a(g69 +Vlookahead +p12526 +tp12527 +a(g184 +V +tp12528 +a(g338 +V= +tp12529 +a(g184 +V +tp12530 +a(g101 +VaCharacter +p12531 +tp12532 +a(g184 +V +tp12533 +a(g50 +VifTrue: +p12534 +tp12535 +a(g184 +V +tp12536 +a(g197 +V[ +tp12537 +a(g101 +VnestLevel +p12538 +tp12539 +a(g338 +V:: +p12540 +tp12541 +a(g184 +V +tp12542 +a(g101 +VnestLevel +p12543 +tp12544 +a(g184 +V +tp12545 +a(g338 +V- +tp12546 +a(g184 +V +tp12547 +a(g313 +V1 +tp12548 +a(g197 +V] +tp12549 +a(g197 +V. +tp12550 +a(g184 +V\u000a +p12551 +tp12552 +a(g13 +Vself +p12553 +tp12554 +a(g184 +V +tp12555 +a(g101 +Vnext +p12556 +tp12557 +a(g197 +V] +tp12558 +a(g197 +V. +tp12559 +a(g184 +V\u000a +p12560 +tp12561 +a(g338 +V^ +tp12562 +a(g101 +Vaccumulator +p12563 +tp12564 +a(g184 +V +tp12565 +a(g101 +Vcontents +p12566 +tp12567 +a(g184 +V\u000a +tp12568 +a(g197 +V) +tp12569 +a(g184 +V\u000a\u000a +p12570 +tp12571 +a(g50 +Vmatch: +p12572 +tp12573 +a(g184 +V +tp12574 +a(g101 +VaCharacter +p12575 +tp12576 +a(g184 +V +tp12577 +a(g338 +V= +tp12578 +a(g184 +V +tp12579 +a(g197 +V( +tp12580 +a(g184 +V\u000a +p12581 +tp12582 +a(g26 +V" MUST match the current lookeahead.\u000a If this is the case, advance the input. Otherwise, blow up." +p12583 +tp12584 +a(g184 +V\u000a\u000a +p12585 +tp12586 +a(g101 +VaCharacter +p12587 +tp12588 +a(g184 +V +tp12589 +a(g338 +V~ +tp12590 +a(g338 +V= +tp12591 +a(g184 +V +tp12592 +a(g101 +Vlookahead +p12593 +tp12594 +a(g184 +V \u000a +p12595 +tp12596 +a(g50 +VifTrue: +p12597 +tp12598 +a(g184 +V +tp12599 +a(g197 +V[ +tp12600 +a(g338 +V^ +tp12601 +a(g13 +Vself +p12602 +tp12603 +a(g184 +V +tp12604 +a(g101 +VsignalParseError +p12605 +tp12606 +a(g197 +V] +tp12607 +a(g197 +V. +tp12608 +a(g184 +V +tp12609 +a(g26 +V"does not return" +p12610 +tp12611 +a(g184 +V\u000a +p12612 +tp12613 +a(g13 +Vself +p12614 +tp12615 +a(g184 +V +tp12616 +a(g101 +Vnext +p12617 +tp12618 +a(g184 +V\u000a +tp12619 +a(g197 +V) +tp12620 +a(g184 +V\u000a\u000a +p12621 +tp12622 +a(g69 +Vnext +p12623 +tp12624 +a(g184 +V +tp12625 +a(g338 +V= +tp12626 +a(g184 +V +tp12627 +a(g197 +V( +tp12628 +a(g184 +V\u000a +p12629 +tp12630 +a(g26 +V"Advance the input storing the just read character\u000a as the lookahead." +p12631 +tp12632 +a(g184 +V\u000a\u000a +p12633 +tp12634 +a(g101 +Vinput +p12635 +tp12636 +a(g184 +V +tp12637 +a(g101 +VatEnd +p12638 +tp12639 +a(g184 +V\u000a +p12640 +tp12641 +a(g50 +VifTrue: +p12642 +tp12643 +a(g184 +V +tp12644 +a(g197 +V[ +tp12645 +a(g101 +Vlookahead +p12646 +tp12647 +a(g338 +V:: +p12648 +tp12649 +a(g184 +V +tp12650 +a(g243 +V#epsilon +p12651 +tp12652 +a(g197 +V] +tp12653 +a(g184 +V\u000a +p12654 +tp12655 +a(g50 +VifFalse: +p12656 +tp12657 +a(g184 +V +tp12658 +a(g197 +V[ +tp12659 +a(g101 +Vlookahead +p12660 +tp12661 +a(g338 +V:: +p12662 +tp12663 +a(g184 +V +tp12664 +a(g101 +Vinput +p12665 +tp12666 +a(g184 +V +tp12667 +a(g101 +Vnext +p12668 +tp12669 +a(g197 +V] +tp12670 +a(g184 +V\u000a +tp12671 +a(g197 +V) +tp12672 +a(g184 +V\u000a\u000a +p12673 +tp12674 +a(g69 +VsignalParseError +p12675 +tp12676 +a(g184 +V +tp12677 +a(g338 +V= +tp12678 +a(g184 +V +tp12679 +a(g197 +V( +tp12680 +a(g184 +V\u000a +p12681 +tp12682 +a(g13 +Vself +p12683 +tp12684 +a(g184 +V +tp12685 +a(g7 +Vclass +p12686 +tp12687 +a(g184 +V +tp12688 +a(g134 +VsignalSyntaxException +p12689 +tp12690 +a(g338 +V: +tp12691 +a(g184 +V +tp12692 +a(g221 +V'Regex syntax error' +p12693 +tp12694 +a(g184 +V\u000a +tp12695 +a(g197 +V) +tp12696 +a(g184 +V\u000a\u000a +p12697 +tp12698 +a(g50 +VsignalParseError: +p12699 +tp12700 +a(g184 +V +tp12701 +a(g101 +VaString +p12702 +tp12703 +a(g184 +V +tp12704 +a(g338 +V= +tp12705 +a(g184 +V +tp12706 +a(g197 +V( +tp12707 +a(g184 +V\u000a +p12708 +tp12709 +a(g13 +Vself +p12710 +tp12711 +a(g184 +V +tp12712 +a(g7 +Vclass +p12713 +tp12714 +a(g184 +V +tp12715 +a(g134 +VsignalSyntaxException +p12716 +tp12717 +a(g338 +V: +tp12718 +a(g184 +V +tp12719 +a(g101 +VaString +p12720 +tp12721 +a(g184 +V\u000a +tp12722 +a(g197 +V) +tp12723 +a(g184 +V\u000a\u000a +p12724 +tp12725 +a(g221 +V'recursive descent' +p12726 +tp12727 +a(g184 +V\u000a +tp12728 +a(g69 +Vatom +p12729 +tp12730 +a(g184 +V +tp12731 +a(g338 +V= +tp12732 +a(g184 +V +tp12733 +a(g197 +V( +tp12734 +a(g184 +V\u000a +p12735 +tp12736 +a(g26 +V"An atom is one of a lot of possibilities, see below." +p12737 +tp12738 +a(g184 +V\u000a\u000a +p12739 +tp12740 +a(g338 +V| +tp12741 +a(g184 +V +tp12742 +a(g101 +Vatom +p12743 +tp12744 +a(g184 +V +tp12745 +a(g338 +V| +tp12746 +a(g184 +V\u000a +p12747 +tp12748 +a(g197 +V( +tp12749 +a(g69 +Vlookahead +p12750 +tp12751 +a(g184 +V +tp12752 +a(g338 +V= +tp12753 +a(g184 +V +tp12754 +a(g243 +V#epsilon +p12755 +tp12756 +a(g184 +V +tp12757 +a(g50 +Vor: +p12758 +tp12759 +a(g184 +V \u000a +p12760 +tp12761 +a(g197 +V[ +tp12762 +a(g69 +Vlookahead +p12763 +tp12764 +a(g184 +V +tp12765 +a(g338 +V= +tp12766 +a(g184 +V +tp12767 +a(g221 +V$| +p12768 +tp12769 +a(g184 +V +tp12770 +a(g50 +Vor: +p12771 +tp12772 +a(g184 +V \u000a +p12773 +tp12774 +a(g197 +V[ +tp12775 +a(g69 +Vlookahead +p12776 +tp12777 +a(g184 +V +tp12778 +a(g338 +V= +tp12779 +a(g184 +V +tp12780 +a(g221 +V$) +p12781 +tp12782 +a(g184 +V\u000a +p12783 +tp12784 +a(g50 +Vor: +p12785 +tp12786 +a(g184 +V +tp12787 +a(g197 +V[ +tp12788 +a(g69 +Vlookahead +p12789 +tp12790 +a(g184 +V +tp12791 +a(g338 +V= +tp12792 +a(g184 +V +tp12793 +a(g221 +V$* +p12794 +tp12795 +a(g184 +V +tp12796 +a(g50 +Vor: +p12797 +tp12798 +a(g184 +V +tp12799 +a(g197 +V[ +tp12800 +a(g69 +Vlookahead +p12801 +tp12802 +a(g184 +V +tp12803 +a(g338 +V= +tp12804 +a(g184 +V +tp12805 +a(g221 +V$+ +p12806 +tp12807 +a(g184 +V +tp12808 +a(g50 +Vor: +p12809 +tp12810 +a(g184 +V +tp12811 +a(g197 +V[ +tp12812 +a(g69 +Vlookahead +p12813 +tp12814 +a(g184 +V +tp12815 +a(g338 +V= +tp12816 +a(g184 +V +tp12817 +a(g221 +V$? +p12818 +tp12819 +a(g197 +V] +tp12820 +a(g197 +V] +tp12821 +a(g197 +V] +tp12822 +a(g197 +V] +tp12823 +a(g197 +V] +tp12824 +a(g197 +V) +tp12825 +a(g184 +V\u000a +p12826 +tp12827 +a(g50 +VifTrue: +p12828 +tp12829 +a(g184 +V +tp12830 +a(g197 +V[ +tp12831 +a(g338 +V^ +tp12832 +a(g101 +VRxsEpsilon +p12833 +tp12834 +a(g184 +V +tp12835 +a(g101 +Vnew +p12836 +tp12837 +a(g197 +V] +tp12838 +a(g197 +V. +tp12839 +a(g184 +V\u000a +p12840 +tp12841 +a(g69 +Vlookahead +p12842 +tp12843 +a(g184 +V +tp12844 +a(g338 +V= +tp12845 +a(g184 +V +tp12846 +a(g221 +V$( +p12847 +tp12848 +a(g184 +V +tp12849 +a(g50 +VifTrue: +p12850 +tp12851 +a(g184 +V \u000a +p12852 +tp12853 +a(g197 +V[ +tp12854 +a(g26 +V" ::= '(' ')' " +p12855 +tp12856 +a(g184 +V\u000a\u000a +p12857 +tp12858 +a(g13 +Vself +p12859 +tp12860 +a(g184 +V +tp12861 +a(g50 +Vmatch: +p12862 +tp12863 +a(g184 +V +tp12864 +a(g221 +V$( +p12865 +tp12866 +a(g197 +V. +tp12867 +a(g184 +V\u000a +p12868 +tp12869 +a(g101 +Vatom +p12870 +tp12871 +a(g338 +V:: +p12872 +tp12873 +a(g184 +V +tp12874 +a(g13 +Vself +p12875 +tp12876 +a(g184 +V +tp12877 +a(g101 +Vregex +p12878 +tp12879 +a(g197 +V. +tp12880 +a(g184 +V\u000a +p12881 +tp12882 +a(g13 +Vself +p12883 +tp12884 +a(g184 +V +tp12885 +a(g50 +Vmatch: +p12886 +tp12887 +a(g184 +V +tp12888 +a(g221 +V$) +p12889 +tp12890 +a(g197 +V. +tp12891 +a(g184 +V\u000a +p12892 +tp12893 +a(g338 +V^ +tp12894 +a(g101 +Vatom +p12895 +tp12896 +a(g197 +V] +tp12897 +a(g197 +V. +tp12898 +a(g184 +V\u000a +p12899 +tp12900 +a(g69 +Vlookahead +p12901 +tp12902 +a(g184 +V +tp12903 +a(g338 +V= +tp12904 +a(g184 +V +tp12905 +a(g221 +V$[ +p12906 +tp12907 +a(g184 +V +tp12908 +a(g50 +VifTrue: +p12909 +tp12910 +a(g184 +V \u000a +p12911 +tp12912 +a(g197 +V[ +tp12913 +a(g26 +V" ::= '[' ']' " +p12914 +tp12915 +a(g184 +V\u000a\u000a +p12916 +tp12917 +a(g13 +Vself +p12918 +tp12919 +a(g184 +V +tp12920 +a(g50 +Vmatch: +p12921 +tp12922 +a(g184 +V +tp12923 +a(g221 +V$[ +p12924 +tp12925 +a(g197 +V. +tp12926 +a(g184 +V\u000a +p12927 +tp12928 +a(g101 +Vatom +p12929 +tp12930 +a(g338 +V:: +p12931 +tp12932 +a(g184 +V +tp12933 +a(g13 +Vself +p12934 +tp12935 +a(g184 +V +tp12936 +a(g101 +VcharacterSet +p12937 +tp12938 +a(g197 +V. +tp12939 +a(g184 +V\u000a +p12940 +tp12941 +a(g13 +Vself +p12942 +tp12943 +a(g184 +V +tp12944 +a(g50 +Vmatch: +p12945 +tp12946 +a(g184 +V +tp12947 +a(g221 +V$] +p12948 +tp12949 +a(g197 +V. +tp12950 +a(g184 +V\u000a +p12951 +tp12952 +a(g338 +V^ +tp12953 +a(g101 +Vatom +p12954 +tp12955 +a(g197 +V] +tp12956 +a(g197 +V. +tp12957 +a(g184 +V\u000a +p12958 +tp12959 +a(g69 +Vlookahead +p12960 +tp12961 +a(g184 +V +tp12962 +a(g338 +V= +tp12963 +a(g184 +V +tp12964 +a(g221 +V$: +p12965 +tp12966 +a(g184 +V +tp12967 +a(g50 +VifTrue: +p12968 +tp12969 +a(g184 +V \u000a +p12970 +tp12971 +a(g197 +V[ +tp12972 +a(g26 +V" ::= ':' ':' " +p12973 +tp12974 +a(g184 +V\u000a\u000a +p12975 +tp12976 +a(g13 +Vself +p12977 +tp12978 +a(g184 +V +tp12979 +a(g50 +Vmatch: +p12980 +tp12981 +a(g184 +V +tp12982 +a(g221 +V$: +p12983 +tp12984 +a(g197 +V. +tp12985 +a(g184 +V\u000a +p12986 +tp12987 +a(g101 +Vatom +p12988 +tp12989 +a(g338 +V:: +p12990 +tp12991 +a(g184 +V +tp12992 +a(g13 +Vself +p12993 +tp12994 +a(g184 +V +tp12995 +a(g101 +VmessagePredicate +p12996 +tp12997 +a(g197 +V. +tp12998 +a(g184 +V\u000a +p12999 +tp13000 +a(g13 +Vself +p13001 +tp13002 +a(g184 +V +tp13003 +a(g50 +Vmatch: +p13004 +tp13005 +a(g184 +V +tp13006 +a(g221 +V$: +p13007 +tp13008 +a(g197 +V. +tp13009 +a(g184 +V\u000a +p13010 +tp13011 +a(g338 +V^ +tp13012 +a(g101 +Vatom +p13013 +tp13014 +a(g197 +V] +tp13015 +a(g197 +V. +tp13016 +a(g184 +V\u000a +p13017 +tp13018 +a(g69 +Vlookahead +p13019 +tp13020 +a(g184 +V +tp13021 +a(g338 +V= +tp13022 +a(g184 +V +tp13023 +a(g221 +V$. +p13024 +tp13025 +a(g184 +V +tp13026 +a(g50 +VifTrue: +p13027 +tp13028 +a(g184 +V \u000a +p13029 +tp13030 +a(g197 +V[ +tp13031 +a(g26 +V"any non-whitespace character" +p13032 +tp13033 +a(g184 +V\u000a\u000a +p13034 +tp13035 +a(g13 +Vself +p13036 +tp13037 +a(g184 +V +tp13038 +a(g101 +Vnext +p13039 +tp13040 +a(g197 +V. +tp13041 +a(g184 +V\u000a +p13042 +tp13043 +a(g338 +V^ +tp13044 +a(g101 +VRxsContextCondition +p13045 +tp13046 +a(g184 +V +tp13047 +a(g101 +Vnew +p13048 +tp13049 +a(g184 +V +tp13050 +a(g101 +VbeAny +p13051 +tp13052 +a(g197 +V] +tp13053 +a(g197 +V. +tp13054 +a(g184 +V\u000a +p13055 +tp13056 +a(g69 +Vlookahead +p13057 +tp13058 +a(g184 +V +tp13059 +a(g338 +V= +tp13060 +a(g184 +V +tp13061 +a(g221 +V$^ +p13062 +tp13063 +a(g184 +V +tp13064 +a(g50 +VifTrue: +p13065 +tp13066 +a(g184 +V \u000a +p13067 +tp13068 +a(g197 +V[ +tp13069 +a(g26 +V"beginning of line condition" +p13070 +tp13071 +a(g184 +V\u000a\u000a +p13072 +tp13073 +a(g13 +Vself +p13074 +tp13075 +a(g184 +V +tp13076 +a(g101 +Vnext +p13077 +tp13078 +a(g197 +V. +tp13079 +a(g184 +V\u000a +p13080 +tp13081 +a(g338 +V^ +tp13082 +a(g101 +VRxsContextCondition +p13083 +tp13084 +a(g184 +V +tp13085 +a(g101 +Vnew +p13086 +tp13087 +a(g184 +V +tp13088 +a(g101 +VbeBeginningOfLine +p13089 +tp13090 +a(g197 +V] +tp13091 +a(g197 +V. +tp13092 +a(g184 +V\u000a +p13093 +tp13094 +a(g69 +Vlookahead +p13095 +tp13096 +a(g184 +V +tp13097 +a(g338 +V= +tp13098 +a(g184 +V +tp13099 +a(g221 +V$$ +p13100 +tp13101 +a(g184 +V +tp13102 +a(g50 +VifTrue: +p13103 +tp13104 +a(g184 +V \u000a +p13105 +tp13106 +a(g197 +V[ +tp13107 +a(g26 +V"end of line condition" +p13108 +tp13109 +a(g184 +V\u000a\u000a +p13110 +tp13111 +a(g13 +Vself +p13112 +tp13113 +a(g184 +V +tp13114 +a(g101 +Vnext +p13115 +tp13116 +a(g197 +V. +tp13117 +a(g184 +V\u000a +p13118 +tp13119 +a(g338 +V^ +tp13120 +a(g101 +VRxsContextCondition +p13121 +tp13122 +a(g184 +V +tp13123 +a(g101 +Vnew +p13124 +tp13125 +a(g184 +V +tp13126 +a(g101 +VbeEndOfLine +p13127 +tp13128 +a(g197 +V] +tp13129 +a(g197 +V. +tp13130 +a(g184 +V\u000a +p13131 +tp13132 +a(g69 +Vlookahead +p13133 +tp13134 +a(g184 +V +tp13135 +a(g338 +V= +tp13136 +a(g184 +V +tp13137 +a(g221 +V$\u005c +p13138 +tp13139 +a(g184 +V +tp13140 +a(g50 +VifTrue: +p13141 +tp13142 +a(g184 +V \u000a +p13143 +tp13144 +a(g197 +V[ +tp13145 +a(g26 +V" ::= '\u005c' " +p13146 +tp13147 +a(g184 +V\u000a +p13148 +tp13149 +a(g13 +Vself +p13150 +tp13151 +a(g184 +V +tp13152 +a(g101 +Vnext +p13153 +tp13154 +a(g197 +V. +tp13155 +a(g184 +V\u000a +p13156 +tp13157 +a(g69 +Vlookahead +p13158 +tp13159 +a(g184 +V +tp13160 +a(g338 +V= +tp13161 +a(g184 +V +tp13162 +a(g243 +V#epsilon +p13163 +tp13164 +a(g184 +V +tp13165 +a(g50 +VifTrue: +p13166 +tp13167 +a(g184 +V \u000a +p13168 +tp13169 +a(g197 +V[ +tp13170 +a(g13 +Vself +p13171 +tp13172 +a(g184 +V +tp13173 +a(g50 +VsignalParseError: +p13174 +tp13175 +a(g184 +V +tp13176 +a(g221 +V'bad quotation' +p13177 +tp13178 +a(g197 +V] +tp13179 +a(g197 +V. +tp13180 +a(g184 +V\u000a +p13181 +tp13182 +a(g197 +V( +tp13183 +a(g101 +VBackslashConstants +p13184 +tp13185 +a(g184 +V +tp13186 +a(g50 +VincludesKey: +p13187 +tp13188 +a(g184 +V +tp13189 +a(g101 +Vlookahead +p13190 +tp13191 +a(g197 +V) +tp13192 +a(g184 +V +tp13193 +a(g50 +VifTrue: +p13194 +tp13195 +a(g184 +V\u000a +p13196 +tp13197 +a(g197 +V[ +tp13198 +a(g101 +Vatom +p13199 +tp13200 +a(g338 +V:: +p13201 +tp13202 +a(g184 +V +tp13203 +a(g101 +VRxsCharacter +p13204 +tp13205 +a(g184 +V +tp13206 +a(g50 +Vwith: +p13207 +tp13208 +a(g184 +V +tp13209 +a(g197 +V( +tp13210 +a(g101 +VBackslashConstants +p13211 +tp13212 +a(g184 +V +tp13213 +a(g50 +Vat: +p13214 +tp13215 +a(g184 +V +tp13216 +a(g101 +Vlookahead +p13217 +tp13218 +a(g197 +V) +tp13219 +a(g197 +V. +tp13220 +a(g184 +V\u000a +p13221 +tp13222 +a(g13 +Vself +p13223 +tp13224 +a(g184 +V +tp13225 +a(g101 +Vnext +p13226 +tp13227 +a(g197 +V. +tp13228 +a(g184 +V\u000a +p13229 +tp13230 +a(g338 +V^ +tp13231 +a(g101 +Vatom +p13232 +tp13233 +a(g197 +V] +tp13234 +a(g197 +V. +tp13235 +a(g184 +V\u000a +p13236 +tp13237 +a(g13 +Vself +p13238 +tp13239 +a(g184 +V +tp13240 +a(g50 +VifSpecial: +p13241 +tp13242 +a(g184 +V +tp13243 +a(g101 +Vlookahead +p13244 +tp13245 +a(g184 +V\u000a +p13246 +tp13247 +a(g50 +Vthen: +p13248 +tp13249 +a(g184 +V +tp13250 +a(g197 +V[ +tp13251 +a(g101 +V:node +p13252 +tp13253 +a(g184 +V +tp13254 +a(g338 +V| +tp13255 +a(g184 +V +tp13256 +a(g13 +Vself +p13257 +tp13258 +a(g184 +V +tp13259 +a(g101 +Vnext +p13260 +tp13261 +a(g197 +V. +tp13262 +a(g184 +V +tp13263 +a(g338 +V^ +tp13264 +a(g101 +Vnode +p13265 +tp13266 +a(g197 +V] +tp13267 +a(g197 +V] +tp13268 +a(g197 +V. +tp13269 +a(g184 +V\u000a +p13270 +tp13271 +a(g26 +V"If passed through the above, the following is a regular character." +p13272 +tp13273 +a(g184 +V\u000a +p13274 +tp13275 +a(g101 +Vatom +p13276 +tp13277 +a(g338 +V:: +p13278 +tp13279 +a(g184 +V +tp13280 +a(g101 +VRxsCharacter +p13281 +tp13282 +a(g184 +V +tp13283 +a(g50 +Vwith: +p13284 +tp13285 +a(g184 +V +tp13286 +a(g101 +Vlookahead +p13287 +tp13288 +a(g197 +V. +tp13289 +a(g184 +V\u000a +p13290 +tp13291 +a(g13 +Vself +p13292 +tp13293 +a(g184 +V +tp13294 +a(g101 +Vnext +p13295 +tp13296 +a(g197 +V. +tp13297 +a(g184 +V\u000a +p13298 +tp13299 +a(g338 +V^ +tp13300 +a(g101 +Vatom +p13301 +tp13302 +a(g184 +V\u000a +tp13303 +a(g197 +V) +tp13304 +a(g184 +V\u000a\u000a +p13305 +tp13306 +a(g69 +Vbranch +p13307 +tp13308 +a(g184 +V +tp13309 +a(g338 +V= +tp13310 +a(g184 +V +tp13311 +a(g197 +V( +tp13312 +a(g184 +V\u000a +p13313 +tp13314 +a(g26 +V" ::= e | " +p13315 +tp13316 +a(g184 +V\u000a\u000a +p13317 +tp13318 +a(g338 +V| +tp13319 +a(g184 +V +tp13320 +a(g101 +Vpiece +p13321 +tp13322 +a(g184 +V +tp13323 +a(g101 +Vbranch +p13324 +tp13325 +a(g184 +V +tp13326 +a(g338 +V| +tp13327 +a(g184 +V\u000a +p13328 +tp13329 +a(g101 +Vpiece +p13330 +tp13331 +a(g338 +V:: +p13332 +tp13333 +a(g184 +V +tp13334 +a(g13 +Vself +p13335 +tp13336 +a(g184 +V +tp13337 +a(g101 +Vpiece +p13338 +tp13339 +a(g197 +V. +tp13340 +a(g184 +V\u000a +p13341 +tp13342 +a(g197 +V( +tp13343 +a(g69 +Vlookahead +p13344 +tp13345 +a(g184 +V +tp13346 +a(g338 +V= +tp13347 +a(g184 +V +tp13348 +a(g243 +V#epsilon +p13349 +tp13350 +a(g184 +V +tp13351 +a(g50 +Vor: +p13352 +tp13353 +a(g184 +V +tp13354 +a(g197 +V[ +tp13355 +a(g69 +Vlookahead +p13356 +tp13357 +a(g184 +V +tp13358 +a(g338 +V= +tp13359 +a(g184 +V +tp13360 +a(g221 +V$| +p13361 +tp13362 +a(g184 +V +tp13363 +a(g50 +Vor: +p13364 +tp13365 +a(g184 +V +tp13366 +a(g197 +V[ +tp13367 +a(g69 +Vlookahead +p13368 +tp13369 +a(g184 +V +tp13370 +a(g338 +V= +tp13371 +a(g184 +V +tp13372 +a(g221 +V$) +p13373 +tp13374 +a(g184 +V +tp13375 +a(g197 +V] +tp13376 +a(g197 +V] +tp13377 +a(g197 +V) +tp13378 +a(g184 +V\u000a +p13379 +tp13380 +a(g50 +VifTrue: +p13381 +tp13382 +a(g184 +V +tp13383 +a(g197 +V[ +tp13384 +a(g101 +Vbranch +p13385 +tp13386 +a(g338 +V:: +p13387 +tp13388 +a(g184 +V +tp13389 +a(g13 +Vnil +p13390 +tp13391 +a(g197 +V] +tp13392 +a(g184 +V\u000a +p13393 +tp13394 +a(g50 +VifFalse: +p13395 +tp13396 +a(g184 +V +tp13397 +a(g197 +V[ +tp13398 +a(g101 +Vbranch +p13399 +tp13400 +a(g338 +V:: +p13401 +tp13402 +a(g184 +V +tp13403 +a(g13 +Vself +p13404 +tp13405 +a(g184 +V +tp13406 +a(g101 +Vbranch +p13407 +tp13408 +a(g197 +V] +tp13409 +a(g197 +V. +tp13410 +a(g184 +V\u000a +p13411 +tp13412 +a(g338 +V^ +tp13413 +a(g101 +VRxsBranch +p13414 +tp13415 +a(g184 +V +tp13416 +a(g50 +Vpiece: +p13417 +tp13418 +a(g184 +V +tp13419 +a(g101 +Vpiece +p13420 +tp13421 +a(g184 +V +tp13422 +a(g50 +Vbranch: +p13423 +tp13424 +a(g184 +V +tp13425 +a(g101 +Vbranch +p13426 +tp13427 +a(g184 +V\u000a +tp13428 +a(g197 +V) +tp13429 +a(g184 +V\u000a\u000a +p13430 +tp13431 +a(g69 +VcharacterSet +p13432 +tp13433 +a(g184 +V +tp13434 +a(g338 +V= +tp13435 +a(g184 +V +tp13436 +a(g197 +V( +tp13437 +a(g184 +V\u000a +p13438 +tp13439 +a(g26 +V"Match a range of characters: something between `[' and `]'.\u000a Opening bracked has already been seen, and closing should\u000a not be consumed as well. Set spec is as usual for\u000a sets in regexes." +p13440 +tp13441 +a(g184 +V\u000a\u000a +p13442 +tp13443 +a(g338 +V| +tp13444 +a(g184 +V +tp13445 +a(g101 +Vspec +p13446 +tp13447 +a(g184 +V +tp13448 +a(g101 +VerrorMessage +p13449 +tp13450 +a(g184 +V +tp13451 +a(g338 +V| +tp13452 +a(g184 +V\u000a +p13453 +tp13454 +a(g101 +VerrorMessage +p13455 +tp13456 +a(g338 +V:: +p13457 +tp13458 +a(g184 +V +tp13459 +a(g221 +V' no terminating "]"' +p13460 +tp13461 +a(g197 +V. +tp13462 +a(g184 +V\u000a +p13463 +tp13464 +a(g101 +Vspec +p13465 +tp13466 +a(g338 +V:: +p13467 +tp13468 +a(g184 +V +tp13469 +a(g13 +Vself +p13470 +tp13471 +a(g184 +V +tp13472 +a(g50 +VinputUpTo: +p13473 +tp13474 +a(g184 +V +tp13475 +a(g221 +V$] +p13476 +tp13477 +a(g184 +V +tp13478 +a(g50 +VnestedOn: +p13479 +tp13480 +a(g184 +V +tp13481 +a(g221 +V$[ +p13482 +tp13483 +a(g184 +V +tp13484 +a(g50 +VerrorMessage: +p13485 +tp13486 +a(g184 +V +tp13487 +a(g101 +VerrorMessage +p13488 +tp13489 +a(g197 +V. +tp13490 +a(g184 +V\u000a +p13491 +tp13492 +a(g197 +V( +tp13493 +a(g101 +Vspec +p13494 +tp13495 +a(g184 +V +tp13496 +a(g101 +VisEmpty +p13497 +tp13498 +a(g184 +V +tp13499 +a(g50 +Vor: +p13500 +tp13501 +a(g184 +V +tp13502 +a(g197 +V[ +tp13503 +a(g69 +Vspec +p13504 +tp13505 +a(g184 +V +tp13506 +a(g338 +V= +tp13507 +a(g184 +V +tp13508 +a(g221 +V'^' +p13509 +tp13510 +a(g197 +V] +tp13511 +a(g197 +V) +tp13512 +a(g184 +V +tp13513 +a(g50 +VifTrue: +p13514 +tp13515 +a(g184 +V +tp13516 +a(g26 +V"This ']' was literal." +p13517 +tp13518 +a(g184 +V\u000a +p13519 +tp13520 +a(g197 +V[ +tp13521 +a(g13 +Vself +p13522 +tp13523 +a(g184 +V +tp13524 +a(g101 +Vnext +p13525 +tp13526 +a(g197 +V. +tp13527 +a(g184 +V\u000a +p13528 +tp13529 +a(g101 +Vspec +p13530 +tp13531 +a(g338 +V:: +p13532 +tp13533 +a(g184 +V +tp13534 +a(g101 +Vspec +p13535 +tp13536 +a(g338 +V, +tp13537 +a(g184 +V +tp13538 +a(g221 +V']' +p13539 +tp13540 +a(g338 +V, +tp13541 +a(g184 +V +tp13542 +a(g197 +V( +tp13543 +a(g13 +Vself +p13544 +tp13545 +a(g184 +V +tp13546 +a(g50 +VinputUpTo: +p13547 +tp13548 +a(g184 +V +tp13549 +a(g221 +V$] +p13550 +tp13551 +a(g184 +V +tp13552 +a(g50 +VnestedOn: +p13553 +tp13554 +a(g184 +V +tp13555 +a(g221 +V$[ +p13556 +tp13557 +a(g184 +V +tp13558 +a(g50 +VerrorMessage: +p13559 +tp13560 +a(g184 +V +tp13561 +a(g101 +VerrorMessage +p13562 +tp13563 +a(g197 +V) +tp13564 +a(g197 +V] +tp13565 +a(g197 +V. +tp13566 +a(g184 +V\u000a +p13567 +tp13568 +a(g338 +V^ +tp13569 +a(g13 +Vself +p13570 +tp13571 +a(g184 +V +tp13572 +a(g50 +VcharacterSetFrom: +p13573 +tp13574 +a(g184 +V +tp13575 +a(g101 +Vspec +p13576 +tp13577 +a(g184 +V\u000a +tp13578 +a(g197 +V) +tp13579 +a(g184 +V\u000a\u000a +p13580 +tp13581 +a(g69 +VmessagePredicate +p13582 +tp13583 +a(g184 +V +tp13584 +a(g338 +V= +tp13585 +a(g184 +V +tp13586 +a(g197 +V( +tp13587 +a(g184 +V\u000a +p13588 +tp13589 +a(g26 +V"Match a message predicate specification: a selector (presumably\u000a understood by a Character) enclosed in :'s ." +p13590 +tp13591 +a(g184 +V\u000a\u000a +p13592 +tp13593 +a(g338 +V| +tp13594 +a(g184 +V +tp13595 +a(g101 +Vspec +p13596 +tp13597 +a(g184 +V +tp13598 +a(g101 +Vnegated +p13599 +tp13600 +a(g184 +V +tp13601 +a(g338 +V| +tp13602 +a(g184 +V\u000a +p13603 +tp13604 +a(g101 +Vspec +p13605 +tp13606 +a(g338 +V:: +p13607 +tp13608 +a(g184 +V +tp13609 +a(g197 +V( +tp13610 +a(g13 +Vself +p13611 +tp13612 +a(g184 +V +tp13613 +a(g50 +VinputUpTo: +p13614 +tp13615 +a(g184 +V +tp13616 +a(g221 +V$: +p13617 +tp13618 +a(g184 +V +tp13619 +a(g50 +VerrorMessage: +p13620 +tp13621 +a(g184 +V +tp13622 +a(g221 +V' no terminating ":"' +p13623 +tp13624 +a(g197 +V) +tp13625 +a(g197 +V. +tp13626 +a(g184 +V\u000a +p13627 +tp13628 +a(g101 +Vnegated +p13629 +tp13630 +a(g338 +V:: +p13631 +tp13632 +a(g184 +V +tp13633 +a(g13 +Vfalse +p13634 +tp13635 +a(g197 +V. +tp13636 +a(g184 +V\u000a +p13637 +tp13638 +a(g101 +Vspec +p13639 +tp13640 +a(g184 +V +tp13641 +a(g69 +Vfirst +p13642 +tp13643 +a(g184 +V +tp13644 +a(g338 +V= +tp13645 +a(g184 +V +tp13646 +a(g221 +V$^ +p13647 +tp13648 +a(g184 +V +tp13649 +a(g50 +VifTrue: +p13650 +tp13651 +a(g184 +V\u000a +p13652 +tp13653 +a(g197 +V[ +tp13654 +a(g101 +Vnegated +p13655 +tp13656 +a(g338 +V:: +p13657 +tp13658 +a(g184 +V +tp13659 +a(g13 +Vtrue +p13660 +tp13661 +a(g197 +V. +tp13662 +a(g184 +V\u000a +p13663 +tp13664 +a(g101 +Vspec +p13665 +tp13666 +a(g338 +V:: +p13667 +tp13668 +a(g184 +V +tp13669 +a(g101 +Vspec +p13670 +tp13671 +a(g184 +V +tp13672 +a(g50 +VcopyFrom: +p13673 +tp13674 +a(g184 +V +tp13675 +a(g313 +V2 +tp13676 +a(g184 +V +tp13677 +a(g50 +Vto: +p13678 +tp13679 +a(g184 +V +tp13680 +a(g101 +Vspec +p13681 +tp13682 +a(g184 +V +tp13683 +a(g101 +Vsize +p13684 +tp13685 +a(g197 +V] +tp13686 +a(g197 +V. +tp13687 +a(g184 +V\u000a +p13688 +tp13689 +a(g338 +V^ +tp13690 +a(g101 +VRxsMessagePredicate +p13691 +tp13692 +a(g184 +V +tp13693 +a(g50 +Vselector: +p13694 +tp13695 +a(g184 +V +tp13696 +a(g101 +Vspec +p13697 +tp13698 +a(g184 +V +tp13699 +a(g101 +VasSymbol +p13700 +tp13701 +a(g184 +V +tp13702 +a(g50 +Vnegated: +p13703 +tp13704 +a(g184 +V +tp13705 +a(g101 +Vnegated +p13706 +tp13707 +a(g184 +V\u000a +tp13708 +a(g197 +V) +tp13709 +a(g184 +V\u000a\u000a +p13710 +tp13711 +a(g197 +V) +tp13712 +a(g184 +V +tp13713 +a(g338 +V: +tp13714 +a(g184 +V +tp13715 +a(g197 +V( +tp13716 +a(g184 +V\u000a +tp13717 +a(g221 +V'as yet unclassified' +p13718 +tp13719 +a(g184 +V\u000a +tp13720 +a(g69 +Vinitialize +p13721 +tp13722 +a(g184 +V +tp13723 +a(g338 +V= +tp13724 +a(g184 +V +tp13725 +a(g197 +V( +tp13726 +a(g184 +V\u000a +p13727 +tp13728 +a(g101 +VinitializeExceptions +p13729 +tp13730 +a(g197 +V. +tp13731 +a(g184 +V\u000a +p13732 +tp13733 +a(g101 +VinitializeBackslashConstants +p13734 +tp13735 +a(g197 +V. +tp13736 +a(g184 +V\u000a +p13737 +tp13738 +a(g101 +VinitializeBackslashSpecials +p13739 +tp13740 +a(g197 +V. +tp13741 +a(g184 +V\u000a +tp13742 +a(g197 +V) +tp13743 +a(g184 +V\u000a\u000a +p13744 +tp13745 +a(g69 +VinitializeBackslashConstants +p13746 +tp13747 +a(g184 +V +tp13748 +a(g338 +V= +tp13749 +a(g184 +V +tp13750 +a(g197 +V( +tp13751 +a(g184 +V\u000a\u000a +p13752 +tp13753 +a(g197 +V( +tp13754 +a(g101 +VBackslashConstants +p13755 +tp13756 +a(g338 +V:: +p13757 +tp13758 +a(g184 +V +tp13759 +a(g101 +VDictionary +p13760 +tp13761 +a(g184 +V +tp13762 +a(g101 +Vnew +p13763 +tp13764 +a(g197 +V) +tp13765 +a(g197 +V. +tp13766 +a(g184 +V\u000a +p13767 +tp13768 +a(g101 +VBackslashConstants +p13769 +tp13770 +a(g184 +V\u000a +p13771 +tp13772 +a(g50 +Vat: +p13773 +tp13774 +a(g184 +V +tp13775 +a(g221 +V$e +p13776 +tp13777 +a(g184 +V +tp13778 +a(g50 +Vput: +p13779 +tp13780 +a(g184 +V +tp13781 +a(g101 +VCharacter +p13782 +tp13783 +a(g184 +V +tp13784 +a(g101 +Vescape +p13785 +tp13786 +a(g197 +V; +tp13787 +a(g184 +V\u000a +p13788 +tp13789 +a(g50 +Vat: +p13790 +tp13791 +a(g184 +V +tp13792 +a(g221 +V$n +p13793 +tp13794 +a(g184 +V +tp13795 +a(g50 +Vput: +p13796 +tp13797 +a(g184 +V +tp13798 +a(g101 +VCharacter +p13799 +tp13800 +a(g184 +V +tp13801 +a(g101 +Vlf +p13802 +tp13803 +a(g197 +V; +tp13804 +a(g184 +V\u000a +p13805 +tp13806 +a(g50 +Vat: +p13807 +tp13808 +a(g184 +V +tp13809 +a(g221 +V$r +p13810 +tp13811 +a(g184 +V +tp13812 +a(g50 +Vput: +p13813 +tp13814 +a(g184 +V +tp13815 +a(g101 +VCharacter +p13816 +tp13817 +a(g184 +V +tp13818 +a(g101 +Vcr +p13819 +tp13820 +a(g197 +V; +tp13821 +a(g184 +V\u000a +p13822 +tp13823 +a(g50 +Vat: +p13824 +tp13825 +a(g184 +V +tp13826 +a(g221 +V$f +p13827 +tp13828 +a(g184 +V +tp13829 +a(g50 +Vput: +p13830 +tp13831 +a(g184 +V +tp13832 +a(g101 +VCharacter +p13833 +tp13834 +a(g184 +V +tp13835 +a(g101 +VnewPage +p13836 +tp13837 +a(g197 +V; +tp13838 +a(g184 +V\u000a +p13839 +tp13840 +a(g50 +Vat: +p13841 +tp13842 +a(g184 +V +tp13843 +a(g221 +V$t +p13844 +tp13845 +a(g184 +V +tp13846 +a(g50 +Vput: +p13847 +tp13848 +a(g184 +V +tp13849 +a(g101 +VCharacter +p13850 +tp13851 +a(g184 +V +tp13852 +a(g101 +Vtab +p13853 +tp13854 +a(g184 +V\u000a +tp13855 +a(g197 +V) +tp13856 +a(g184 +V\u000a\u000a +p13857 +tp13858 +a(g69 +VinitializeBackslashSpecials +p13859 +tp13860 +a(g184 +V +tp13861 +a(g338 +V= +tp13862 +a(g184 +V +tp13863 +a(g197 +V( +tp13864 +a(g184 +V\u000a +p13865 +tp13866 +a(g26 +V"Keys are characters that normally follow a \u005c, the values are\u000a associations of classes and initialization selectors on the instance side\u000a of the classes." +p13867 +tp13868 +a(g184 +V\u000a +p13869 +tp13870 +a(g26 +V"self initializeBackslashSpecials" +p13871 +tp13872 +a(g184 +V\u000a\u000a +p13873 +tp13874 +a(g197 +V( +tp13875 +a(g101 +VBackslashSpecials +p13876 +tp13877 +a(g338 +V:: +p13878 +tp13879 +a(g184 +V +tp13880 +a(g101 +VDictionary +p13881 +tp13882 +a(g184 +V +tp13883 +a(g101 +Vnew +p13884 +tp13885 +a(g197 +V) +tp13886 +a(g197 +V. +tp13887 +a(g184 +V\u000a +p13888 +tp13889 +a(g101 +VBackslashSpecials +p13890 +tp13891 +a(g184 +V \u000a +p13892 +tp13893 +a(g50 +Vat: +p13894 +tp13895 +a(g184 +V +tp13896 +a(g221 +V$w +p13897 +tp13898 +a(g184 +V +tp13899 +a(g50 +Vput: +p13900 +tp13901 +a(g184 +V +tp13902 +a(g197 +V( +tp13903 +a(g101 +VAssociation +p13904 +tp13905 +a(g184 +V +tp13906 +a(g50 +Vkey: +p13907 +tp13908 +a(g184 +V +tp13909 +a(g101 +VRxsPredicate +p13910 +tp13911 +a(g184 +V +tp13912 +a(g50 +Vvalue: +p13913 +tp13914 +a(g184 +V +tp13915 +a(g243 +V#beWordConstituent +p13916 +tp13917 +a(g197 +V) +tp13918 +a(g197 +V; +tp13919 +a(g184 +V\u000a +p13920 +tp13921 +a(g50 +Vat: +p13922 +tp13923 +a(g184 +V +tp13924 +a(g221 +V$W +p13925 +tp13926 +a(g184 +V +tp13927 +a(g50 +Vput: +p13928 +tp13929 +a(g184 +V +tp13930 +a(g197 +V( +tp13931 +a(g101 +VAssociation +p13932 +tp13933 +a(g184 +V +tp13934 +a(g50 +Vkey: +p13935 +tp13936 +a(g184 +V +tp13937 +a(g101 +VRxsPredicate +p13938 +tp13939 +a(g184 +V +tp13940 +a(g50 +Vvalue: +p13941 +tp13942 +a(g184 +V +tp13943 +a(g243 +V#beNotWordConstituent +p13944 +tp13945 +a(g197 +V) +tp13946 +a(g197 +V; +tp13947 +a(g184 +V\u000a +p13948 +tp13949 +a(g50 +Vat: +p13950 +tp13951 +a(g184 +V +tp13952 +a(g221 +V$s +p13953 +tp13954 +a(g184 +V +tp13955 +a(g50 +Vput: +p13956 +tp13957 +a(g184 +V +tp13958 +a(g197 +V( +tp13959 +a(g101 +VAssociation +p13960 +tp13961 +a(g184 +V +tp13962 +a(g50 +Vkey: +p13963 +tp13964 +a(g184 +V +tp13965 +a(g101 +VRxsPredicate +p13966 +tp13967 +a(g184 +V +tp13968 +a(g50 +Vvalue: +p13969 +tp13970 +a(g184 +V +tp13971 +a(g243 +V#beSpace +p13972 +tp13973 +a(g197 +V) +tp13974 +a(g197 +V; +tp13975 +a(g184 +V\u000a +p13976 +tp13977 +a(g50 +Vat: +p13978 +tp13979 +a(g184 +V +tp13980 +a(g221 +V$S +p13981 +tp13982 +a(g184 +V +tp13983 +a(g50 +Vput: +p13984 +tp13985 +a(g184 +V +tp13986 +a(g197 +V( +tp13987 +a(g101 +VAssociation +p13988 +tp13989 +a(g184 +V +tp13990 +a(g50 +Vkey: +p13991 +tp13992 +a(g184 +V +tp13993 +a(g101 +VRxsPredicate +p13994 +tp13995 +a(g184 +V +tp13996 +a(g50 +Vvalue: +p13997 +tp13998 +a(g184 +V +tp13999 +a(g243 +V#beNotSpace +p14000 +tp14001 +a(g197 +V) +tp14002 +a(g197 +V; +tp14003 +a(g184 +V\u000a +p14004 +tp14005 +a(g50 +Vat: +p14006 +tp14007 +a(g184 +V +tp14008 +a(g221 +V$d +p14009 +tp14010 +a(g184 +V +tp14011 +a(g50 +Vput: +p14012 +tp14013 +a(g184 +V +tp14014 +a(g197 +V( +tp14015 +a(g101 +VAssociation +p14016 +tp14017 +a(g184 +V +tp14018 +a(g50 +Vkey: +p14019 +tp14020 +a(g184 +V +tp14021 +a(g101 +VRxsPredicate +p14022 +tp14023 +a(g184 +V +tp14024 +a(g50 +Vvalue: +p14025 +tp14026 +a(g184 +V +tp14027 +a(g243 +V#beDigit +p14028 +tp14029 +a(g197 +V) +tp14030 +a(g197 +V; +tp14031 +a(g184 +V\u000a +p14032 +tp14033 +a(g50 +Vat: +p14034 +tp14035 +a(g184 +V +tp14036 +a(g221 +V$D +p14037 +tp14038 +a(g184 +V +tp14039 +a(g50 +Vput: +p14040 +tp14041 +a(g184 +V +tp14042 +a(g197 +V( +tp14043 +a(g101 +VAssociation +p14044 +tp14045 +a(g184 +V +tp14046 +a(g50 +Vkey: +p14047 +tp14048 +a(g184 +V +tp14049 +a(g101 +VRxsPredicate +p14050 +tp14051 +a(g184 +V +tp14052 +a(g50 +Vvalue: +p14053 +tp14054 +a(g184 +V +tp14055 +a(g243 +V#beNotDigit +p14056 +tp14057 +a(g197 +V) +tp14058 +a(g197 +V; +tp14059 +a(g184 +V\u000a +p14060 +tp14061 +a(g50 +Vat: +p14062 +tp14063 +a(g184 +V +tp14064 +a(g221 +V$b +p14065 +tp14066 +a(g184 +V +tp14067 +a(g50 +Vput: +p14068 +tp14069 +a(g184 +V +tp14070 +a(g197 +V( +tp14071 +a(g101 +VAssociation +p14072 +tp14073 +a(g184 +V +tp14074 +a(g50 +Vkey: +p14075 +tp14076 +a(g184 +V +tp14077 +a(g101 +VRxsContextCondition +p14078 +tp14079 +a(g184 +V +tp14080 +a(g50 +Vvalue: +p14081 +tp14082 +a(g184 +V +tp14083 +a(g243 +V#beWordBoundary +p14084 +tp14085 +a(g197 +V) +tp14086 +a(g197 +V; +tp14087 +a(g184 +V\u000a +p14088 +tp14089 +a(g50 +Vat: +p14090 +tp14091 +a(g184 +V +tp14092 +a(g221 +V$B +p14093 +tp14094 +a(g184 +V +tp14095 +a(g50 +Vput: +p14096 +tp14097 +a(g184 +V +tp14098 +a(g197 +V( +tp14099 +a(g101 +VAssociation +p14100 +tp14101 +a(g184 +V +tp14102 +a(g50 +Vkey: +p14103 +tp14104 +a(g184 +V +tp14105 +a(g101 +VRxsContextCondition +p14106 +tp14107 +a(g184 +V +tp14108 +a(g50 +Vvalue: +p14109 +tp14110 +a(g184 +V +tp14111 +a(g243 +V#beNonWordBoundary +p14112 +tp14113 +a(g197 +V) +tp14114 +a(g197 +V; +tp14115 +a(g184 +V\u000a +p14116 +tp14117 +a(g50 +Vat: +p14118 +tp14119 +a(g184 +V +tp14120 +a(g221 +V$< +p14121 +tp14122 +a(g184 +V +tp14123 +a(g50 +Vput: +p14124 +tp14125 +a(g184 +V +tp14126 +a(g197 +V( +tp14127 +a(g101 +VAssociation +p14128 +tp14129 +a(g184 +V +tp14130 +a(g50 +Vkey: +p14131 +tp14132 +a(g184 +V +tp14133 +a(g101 +VRxsContextCondition +p14134 +tp14135 +a(g184 +V +tp14136 +a(g50 +Vvalue: +p14137 +tp14138 +a(g184 +V +tp14139 +a(g243 +V#beBeginningOfWord +p14140 +tp14141 +a(g197 +V) +tp14142 +a(g197 +V; +tp14143 +a(g184 +V\u000a +p14144 +tp14145 +a(g50 +Vat: +p14146 +tp14147 +a(g184 +V +tp14148 +a(g221 +V$> +p14149 +tp14150 +a(g184 +V +tp14151 +a(g50 +Vput: +p14152 +tp14153 +a(g184 +V +tp14154 +a(g197 +V( +tp14155 +a(g101 +VAssociation +p14156 +tp14157 +a(g184 +V +tp14158 +a(g50 +Vkey: +p14159 +tp14160 +a(g184 +V +tp14161 +a(g101 +VRxsContextCondition +p14162 +tp14163 +a(g184 +V +tp14164 +a(g50 +Vvalue: +p14165 +tp14166 +a(g184 +V +tp14167 +a(g243 +V#beEndOfWord +p14168 +tp14169 +a(g197 +V) +tp14170 +a(g184 +V\u000a +tp14171 +a(g197 +V) +tp14172 +a(g184 +V\u000a\u000a +p14173 +tp14174 +a(g69 +VinitializeExceptions +p14175 +tp14176 +a(g184 +V +tp14177 +a(g338 +V= +tp14178 +a(g184 +V +tp14179 +a(g197 +V( +tp14180 +a(g184 +V\u000a +p14181 +tp14182 +a(g26 +V"self initializeExceptions" +p14183 +tp14184 +a(g184 +V\u000a\u000a +p14185 +tp14186 +a(g26 +V" I'm not sure how to port this:\u000a\u000a | parentSignal |\u000a ExceptionObjects := (Dictionary new: 4).\u000a ExceptionObjects\u000a at: #regexErrorSignal\u000a put: (parentSignal := Object errorSignal newSignal\u000a notifierString: 'Regex error - ';\u000a nameClass: self message: #regexErrorSignal);\u000a\u000a at: #syntaxErrorSignal\u000a put: (parentSignal newSignal\u000a notifierString: 'Regex syntax error - ';\u000a nameClass: self message: #syntaxErrorSignal);\u000a\u000a at: #compilationErrorSignal\u000a put: (parentSignal newSignal\u000a notifierString: 'Regex compilation error - ';\u000a nameClass: self message: #compilationErrorSignal);\u000a\u000a at: #matchErrorSignal\u000a put: (parentSignal newSignal\u000a notifierString: 'Regex matching error - ';\u000a nameClass: self message: #matchErrorSignal)" +p14187 +tp14188 +a(g184 +V\u000a +tp14189 +a(g197 +V) +tp14190 +a(g184 +V\u000a\u000a +p14191 +tp14192 +a(g221 +V'documentation' +p14193 +tp14194 +a(g184 +V\u000a +tp14195 +a(g50 +Va: +p14196 +tp14197 +a(g101 +V_ +tp14198 +a(g184 +V +tp14199 +a(g50 +Vintroduction: +p14200 +tp14201 +a(g101 +V__ +p14202 +tp14203 +a(g184 +V +tp14204 +a(g338 +V= +tp14205 +a(g184 +V +tp14206 +a(g197 +V( +tp14207 +a(g184 +V\u000a +tp14208 +a(g26 +V" \u000aA regular expression is a template specifying a class of strings. A\u000aregular expression matcher is an tool that determines whether a string\u000abelongs to a class specified by a regular expression. This is a\u000acommon task of a user input validation code, and the use of regular\u000aexpressions can GREATLY simplify and speed up development of such\u000acode. As an example, here is how to verify that a string is a valid\u000ahexadecimal number in Smalltalk notation, using this matcher package:\u000a\u000a aString matchesRegex: '16r[[:xdigit:]]+'\u000a\u000a(Coding the same ``the hard way'' is an exercise to a curious reader).\u000a\u000aThis matcher is offered to the Smalltalk community in hope it will be\u000auseful. It is free in terms of money, and to a large extent--in terms\u000aof rights of use. Refer to `Boring Stuff' section for legalese.\u000a\u000aThe 'What's new in this release' section describes the functionality\u000aintroduced in 1.1 release.\u000a\u000aThe `Syntax' section explains the recognized syntax of regular\u000aexpressions.\u000a\u000aThe `Usage' section explains matcher capabilities that go beyond what\u000aString>>matchesRegex: method offers.\u000a\u000aThe `Implementation notes' sections says a few words about what is\u000aunder the hood.\u000a\u000aHappy hacking,\u000a\u000a--Vassili Bykov \u000a \u000a\u000aAugust 6, 1996\u000aApril 4, 1999\u000a" +p14209 +tp14210 +a(g184 +V\u000a\u000a +p14211 +tp14212 +a(g13 +Vself +p14213 +tp14214 +a(g184 +V +tp14215 +a(g50 +Verror: +p14216 +tp14217 +a(g184 +V +tp14218 +a(g221 +V'comment only' +p14219 +tp14220 +a(g184 +V\u000a +tp14221 +a(g197 +V) +tp14222 +a(g184 +V\u000a\u000a +p14223 +tp14224 +a(g50 +Vb: +p14225 +tp14226 +a(g101 +V_ +tp14227 +a(g184 +V +tp14228 +a(g50 +VwhatsNewInThisRelease: +p14229 +tp14230 +a(g184 +V +tp14231 +a(g101 +V__ +p14232 +tp14233 +a(g184 +V +tp14234 +a(g338 +V= +tp14235 +a(g184 +V +tp14236 +a(g197 +V( +tp14237 +a(g184 +V\u000a +tp14238 +a(g26 +V"\u000aVERSION 1.2.3 (November 2007)\u000a\u000a1. Regexs with ^ or $ applied to copy empty strings caused infinite loops, e.g. ('' copyWithRegex: '^.*$' matchesReplacedWith: 'foo'). Applied a similar correction to that from version 1.1c, to #copyStream:to:(replacingMatchesWith:|translatingMatchesUsing:).\u000a2. Extended RxParser testing to run each test for #copy:translatingMatchesUsing: as well as #search:.\u000a3. Corrected #testSuite test that a dot does not match a null, which was passing by luck with Smalltalk code in a literal array.\u000a4. Added test to end of test suite for fix 1 above.\u000a\u000aVERSION 1.2.2 (November 2006)\u000a\u000aThere was no way to specify a backslash in a character set. Now [\u005c\u005c] is accepted.\u000a\u000aVERSION 1.2.1 (August 2006)\u000a\u000a1. Support for returning all ranges (startIndex to: stopIndex) matching a regex - #allRangesOfRegexMatches:, #matchingRangesIn:\u000a2. Added hint to usage documentation on how to get more information about matches when enumerating\u000a3. Syntax description of dot corrected: matches anything but NUL since 1.1a\u000a\u000aVERSION 1.2 (May 2006)\u000a\u000aFixed case-insensitive search for character sets.\u000a\u000aVERSION 1.1c (December 2004)\u000a\u000aFixed the issue with #matchesOnStream:do: which caused infinite loops for matches \u000athat matched empty strings.\u000a\u000aVERSION 1.1b (November 2001)\u000a\u000aChanges valueNowOrOnUnwindDo: to ensure:, plus incorporates some earlier fixes.\u000a\u000aVERSION 1.1a (May 2001)\u000a\u000a1. Support for keeping track of multiple subexpressions.\u000a2. Dot (.) matches anything but NUL character, as it should per POSIX spec.\u000a3. Some bug fixes.\u000a\u000aVERSION 1.1 (October 1999)\u000a\u000aRegular expression syntax corrections and enhancements:\u000a\u000a1. Backslash escapes similar to those in Perl are allowed in patterns:\u000a\u000a \u005cw any word constituent character (equivalent to [a-zA-Z0-9_])\u000a \u005cW any character but a word constituent (equivalent to [^a-xA-Z0-9_]\u000a \u005cd a digit (same as [0-9])\u000a \u005cD anything but a digit\u000a \u005cs a whitespace character\u000a \u005cS anything but a whitespace character\u000a \u005cb an empty string at a word boundary\u000a \u005cB an empty string not at a word boundary\u000a \u005c< an empty string at the beginning of a word\u000a \u005c> an empty string at the end of a word\u000a\u000aFor example, '\u005cw+' is now a valid expression matching any word.\u000a\u000a2. The following backslash escapes are also allowed in character sets\u000a(between square brackets):\u000a\u000a \u005cw, \u005cW, \u005cd, \u005cD, \u005cs, and \u005cS.\u000a\u000a3. The following grep(1)-compatible named character classes are\u000arecognized in character sets as well:\u000a\u000a [:alnum:]\u000a [:alpha:]\u000a [:cntrl:]\u000a [:digit:]\u000a [:graph:]\u000a [:lower:]\u000a [:print:]\u000a [:punct:]\u000a [:space:]\u000a [:upper:]\u000a [:xdigit:]\u000a\u000aFor example, the following patterns are equivalent:\u000a\u000a '[[:alnum:]]+' '\u005cw+' '[\u005cw]+' '[a-zA-Z0-9_]+'\u000a\u000a4. Some non-printable characters can be represented in regular\u000aexpressions using a common backslash notation:\u000a\u000a \u005ct tab (Character tab)\u000a \u005cn newline (Character lf)\u000a \u005cr carriage return (Character cr)\u000a \u005cf form feed (Character newPage)\u000a \u005ce escape (Character esc)\u000a\u000a5. A dot is corectly interpreted as 'any character but a newline'\u000ainstead of 'anything but whitespace'.\u000a\u000a6. Case-insensitive matching. The easiest access to it are new\u000amessages CharacterArray understands: #asRegexIgnoringCase,\u000a#matchesRegexIgnoringCase:, #prefixMatchesRegexIgnoringCase:.\u000a\u000a7. The matcher (an instance of RxMatcher, the result of\u000aString>>asRegex) now provides a collection-like interface to matches\u000ain a particular string or on a particular stream, as well as\u000asubstitution protocol. The interface includes the following messages:\u000a\u000a matchesIn: aString\u000a matchesIn: aString collect: aBlock\u000a matchesIn: aString do: aBlock\u000a\u000a matchesOnStream: aStream\u000a matchesOnStream: aStream collect: aBlock\u000a matchesOnStream: aStream do: aBlock\u000a\u000a copy: aString translatingMatchesUsing: aBlock\u000a copy: aString replacingMatchesWith: replacementString\u000a\u000a copyStream: aStream to: writeStream translatingMatchesUsing: aBlock\u000a copyStream: aStream to: writeStream replacingMatchesWith: aString\u000a\u000aExamples:\u000a\u000a '\u005cw+' asRegex matchesIn: 'now is the time'\u000a\u000areturns an OrderedCollection containing four strings: 'now', 'is',\u000a'the', and 'time'.\u000a\u000a '\u005c= 32.\u000a [:lower:] any lowercase character\u000a [:print:] any printable character. In this version, this is the same as [:cntrl:]\u000a [:punct:] any punctuation character.\u000a [:space:] any whitespace character.\u000a [:upper:] any uppercase character.\u000a [:xdigit:] any hexadecimal character.\u000a\u000aNote that these elements are components of the character classes,\u000ai.e. they have to be enclosed in an extra set of square brackets to\u000aform a valid regular expression. For example, a non-empty string of\u000adigits would be represented as '[[:digit:]]+'.\u000a\u000aThe above primitive expressions and operators are common to many\u000aimplementations of regular expressions. The next primitive expression\u000ais unique to this Smalltalk implementation.\u000a\u000aA sequence of characters between colons is treated as a unary selector\u000awhich is supposed to be understood by Characters. A character matches\u000asuch an expression if it answers true to a message with that\u000aselector. This allows a more readable and efficient way of specifying\u000acharacter classes. For example, `[0-9]' is equivalent to `:isDigit:',\u000abut the latter is more efficient. Analogously to character sets,\u000acharacter classes can be negated: `:^isDigit:' matches a Character\u000athat answers false to #isDigit, and is therefore equivalent to\u000a`[^0-9]'.\u000a\u000aAs an example, so far we have seen the following equivalent ways to\u000awrite a regular expression that matches a non-empty string of digits:\u000a\u000a '[0-9]+'\u000a '\u005cd+'\u000a '[\u005cd]+'\u000a '[[:digit::]+'\u000a :isDigit:+'\u000a\u000aThe last group of special primitive expressions includes: \u000a\u000a . matching any character except a NULL; \u000a ^ matching an empty string at the beginning of a line; \u000a $ matching an empty string at the end of a line.\u000a \u005cb an empty string at a word boundary\u000a \u005cB an empty string not at a word boundary\u000a \u005c< an empty string at the beginning of a word\u000a \u005c> an empty string at the end of a word\u000a\u000a 'axyzb' matchesRegex: 'a.+b' -- true\u000a 'ax zb' matchesRegex: 'a.+b' -- true (space is matched by `.')\u000a 'ax\u000azb' matchesRegex: 'a.+b' -- true (carriage return is matched by `.')\u000a\u000aAgain, the dot ., caret ^ and dollar $ characters are special and should be quoted\u000ato be matched literally.\u000a\u000a EXAMPLES\u000a\u000aAs the introductions said, a great use for regular expressions is user\u000ainput validation. Following are a few examples of regular expressions\u000athat might be handy in checking input entered by the user in an input\u000afield. Try them out by entering something between the quotes and\u000aprint-iting. (Also, try to imagine Smalltalk code that each validation\u000awould require if coded by hand). Most example expressions could have\u000abeen written in alternative ways.\u000a\u000aChecking if aString may represent a nonnegative integer number:\u000a\u000a '' matchesRegex: ':isDigit:+'\u000aor\u000a '' matchesRegex: '[0-9]+'\u000aor\u000a '' matchesRegex: '\u005cd+'\u000a\u000aChecking if aString may represent an integer number with an optional\u000asign in front:\u000a\u000a '' matchesRegex: '(\u005c+|-)?\u005cd+'\u000a\u000aChecking if aString is a fixed-point number, with at least one digit\u000ais required after a dot:\u000a\u000a '' matchesRegex: '(\u005c+|-)?\u005cd+(\u005c.\u005cd+)?'\u000a\u000aThe same, but allow notation like `123.':\u000a\u000a '' matchesRegex: '(\u005c+|-)?\u005cd+(\u005c.\u005cd*)?'\u000a\u000aRecognizer for a string that might be a name: one word with first\u000acapital letter, no blanks, no digits. More traditional:\u000a\u000a '' matchesRegex: '[A-Z][A-Za-z]*'\u000a\u000amore Smalltalkish:\u000a\u000a '' matchesRegex: ':isUppercase::isAlphabetic:*'\u000a\u000aA date in format MMM DD, YYYY with any number of spaces in between, in\u000aXX century:\u000a\u000a '' matchesRegex: '(Jan|Feb|Mar|Apr|May|Jun|Jul|Aug|Sep|Oct|Nov|Dec)[ ]+(\u005cd\u005cd?)[ ]*,[ ]*19(\u005cd\u005cd)'\u000a\u000aNote parentheses around some components of the expression above. As\u000a`Usage' section shows, they will allow us to obtain the actual strings\u000athat have matched them (i.e. month name, day number, and year number).\u000a\u000aFor dessert, coming back to numbers: here is a recognizer for a\u000ageneral number format: anything like 999, or 999.999, or -999.999e+21.\u000a\u000a '' matchesRegex: '(\u005c+|-)?\u005cd+(\u005c.\u005cd*)?((e|E)(\u005c+|-)?\u005cd+)?'\u000a\u000a" +p14268 +tp14269 +a(g184 +V\u000a\u000a +p14270 +tp14271 +a(g13 +Vself +p14272 +tp14273 +a(g184 +V +tp14274 +a(g50 +Verror: +p14275 +tp14276 +a(g184 +V +tp14277 +a(g221 +V'comment only' +p14278 +tp14279 +a(g184 +V\u000a +tp14280 +a(g197 +V) +tp14281 +a(g184 +V\u000a\u000a +p14282 +tp14283 +a(g50 +Vd: +p14284 +tp14285 +a(g101 +V_ +tp14286 +a(g184 +V +tp14287 +a(g50 +Vusage: +p14288 +tp14289 +a(g101 +V__ +p14290 +tp14291 +a(g184 +V +tp14292 +a(g338 +V= +tp14293 +a(g184 +V +tp14294 +a(g197 +V( +tp14295 +a(g184 +V\u000a +tp14296 +a(g26 +V" \u000aThe preceding section covered the syntax of regular expressions. It\u000aused the simplest possible interface to the matcher: sending\u000a#matchesRegex: message to the sample string, with regular expression\u000astring as the argument. This section explains hairier ways of using\u000athe matcher.\u000a\u000a PREFIX MATCHING AND CASE-INSENSITIVE MATCHING\u000a\u000aA CharacterArray (an EsString in VA) also understands these messages:\u000a\u000a #prefixMatchesRegex: regexString\u000a #matchesRegexIgnoringCase: regexString\u000a #prefixMatchesRegexIgnoringCase: regexString\u000a\u000a#prefixMatchesRegex: is just like #matchesRegex, except that the whole\u000areceiver is not expected to match the regular expression passed as the\u000aargument; matching just a prefix of it is enough. For example:\u000a\u000a 'abcde' matchesRegex: '(a|b)+' -- false\u000a 'abcde' prefixMatchesRegex: '(a|b)+' -- true\u000a\u000aThe last two messages are case-insensitive versions of matching.\u000a\u000a ENUMERATION INTERFACE\u000a\u000aAn application can be interested in all matches of a certain regular\u000aexpression within a String. The matches are accessible using a\u000aprotocol modelled after the familiar Collection-like enumeration\u000aprotocol:\u000a\u000a #regex: regexString matchesDo: aBlock\u000a\u000aEvaluates a one-argument for every match of the regular\u000aexpression within the receiver string.\u000a\u000a #regex: regexString matchesCollect: aBlock\u000a\u000aEvaluates a one-argument for every match of the regular\u000aexpression within the receiver string. Collects results of evaluations\u000aand anwers them as a SequenceableCollection.\u000a\u000a #allRegexMatches: regexString\u000a\u000aReturns a collection of all matches (substrings of the receiver\u000astring) of the regular expression. It is an equivalent of .\u000a\u000a #allRangesOfRegexMatches: regexString\u000a\u000aReturns a collection of all character ranges (startIndex to: stopIndex)\u000athat match the regular expression.\u000a\u000a REPLACEMENT AND TRANSLATION\u000a\u000aIt is possible to replace all matches of a regular expression with a\u000acertain string using the message:\u000a\u000a #copyWithRegex: regexString matchesReplacedWith: aString\u000a\u000aFor example:\u000a\u000a 'ab cd ab' copyWithRegex: '(a|b)+' matchesReplacedWith: 'foo'\u000a\u000aA more general substitution is match translation:\u000a\u000a #copyWithRegex: regexString matchesTranslatedUsing: aBlock\u000a\u000aThis message evaluates a block passing it each match of the regular\u000aexpression in the receiver string and answers a copy of the receiver\u000awith the block results spliced into it in place of the respective\u000amatches. For example:\u000a\u000a 'ab cd ab' copyWithRegex: '(a|b)+' matchesTranslatedUsing: [:each | each asUppercase]\u000a\u000aAll messages of enumeration and replacement protocols perform a\u000acase-sensitive match. Case-insensitive versions are not provided as\u000apart of a CharacterArray protocol. Instead, they are accessible using\u000athe lower-level matching interface.\u000a\u000a LOWER-LEVEL INTERFACE\u000a\u000aInternally, #matchesRegex: works as follows:\u000a\u000a1. A fresh instance of RxParser is created, and the regular expression\u000astring is passed to it, yielding the expression's syntax tree.\u000a\u000a2. The syntax tree is passed as an initialization parameter to an\u000ainstance of RxMatcher. The instance sets up some data structure that\u000awill work as a recognizer for the regular expression described by the\u000atree.\u000a\u000a3. The original string is passed to the matcher, and the matcher\u000achecks for a match.\u000a\u000a THE MATCHER\u000a\u000aIf you repeatedly match a number of strings against the same regular\u000aexpression using one of the messages defined in CharacterArray, the\u000aregular expression string is parsed and a matcher is created anew for\u000aevery match. You can avoid this overhead by building a matcher for\u000athe regular expression, and then reusing the matcher over and over\u000aagain. You can, for example, create a matcher at a class or instance\u000ainitialization stage, and store it in a variable for future use.\u000a\u000aYou can create a matcher using one of the following methods:\u000a\u000a - Sending #forString:ignoreCase: message to RxMatcher class, with\u000athe regular expression string and a Boolean indicating whether case is\u000aignored as arguments.\u000a\u000a - Sending #forString: message. It is equivalent to <... forString:\u000aregexString ignoreCase: false>.\u000a\u000aA more convenient way is using one of the two matcher-created messages\u000aunderstood by CharacterArray.\u000a\u000a - is equivalent to .\u000a\u000a - is equivalent to .\u000a\u000aHere are four examples of creating a matcher:\u000a\u000a hexRecognizer := RxMatcher forString: '16r[0-9A-Fa-f]+'\u000a hexRecognizer := RxMatcher forString: '16r[0-9A-Fa-f]+' ignoreCase: false\u000a hexRecognizer := '16r[0-9A-Fa-f]+' asRegex\u000a hexRecognizer := '16r[0-9A-F]+' asRegexIgnoringCase\u000a\u000a MATCHING\u000a\u000aThe matcher understands these messages (all of them return true to\u000aindicate successful match or search, and false otherwise):\u000a\u000amatches: aString\u000a\u000a True if the whole target string (aString) matches.\u000a\u000amatchesPrefix: aString\u000a\u000a True if some prefix of the string (not necessarily the whole\u000a string) matches.\u000a\u000asearch: aString\u000a\u000a Search the string for the first occurrence of a matching\u000a substring. (Note that the first two methods only try matching from\u000a the very beginning of the string). Using the above example with a\u000a matcher for `a+', this method would answer success given a string\u000a `baaa', while the previous two would fail.\u000a\u000amatchesStream: aStream\u000amatchesStreamPrefix: aStream\u000asearchStream: aStream\u000a\u000a Respective analogs of the first three methods, taking input from a\u000a stream instead of a string. The stream must be positionable and\u000a peekable.\u000a\u000aAll these methods answer a boolean indicating success. The matcher\u000aalso stores the outcome of the last match attempt and can report it:\u000a\u000alastResult\u000a\u000a Answers a Boolean -- the outcome of the most recent match\u000a attempt. If no matches were attempted, the answer is unspecified.\u000a\u000a SUBEXPRESSION MATCHES\u000a\u000aAfter a successful match attempt, you can query the specifics of which\u000apart of the original string has matched which part of the whole\u000aexpression.\u000a\u000aA subexpression is a parenthesized part of a regular expression, or\u000athe whole expression. When a regular expression is compiled, its\u000asubexpressions are assigned indices starting from 1, depth-first,\u000aleft-to-right. For example, `((ab)+(c|d))?ef' includes the following\u000asubexpressions with these indices:\u000a\u000a 1: ((ab)+(c|d))?ef\u000a 2: (ab)+(c|d)\u000a 3: ab\u000a 4: c|d\u000a\u000aAfter a successful match, the matcher can report what part of the\u000aoriginal string matched what subexpression. It understandards these\u000amessages:\u000a\u000asubexpressionCount\u000a\u000a Answers the total number of subexpressions: the highest value that\u000a can be used as a subexpression index with this matcher. This value\u000a is available immediately after initialization and never changes.\u000a\u000asubexpression: anIndex\u000a\u000a An index must be a valid subexpression index, and this message\u000a must be sent only after a successful match attempt. The method\u000a answers a substring of the original string the corresponding\u000a subexpression has matched to.\u000a\u000asubBeginning: anIndex\u000asubEnd: anIndex\u000a\u000a Answer positions within the original string or stream where the\u000a match of a subexpression with the given index has started and\u000a ended, respectively.\u000a\u000aThis facility provides a convenient way of extracting parts of input\u000astrings of complex format. For example, the following piece of code\u000auses the 'MMM DD, YYYY' date format recognizer example from the\u000a`Syntax' section to convert a date to a three-element array with year,\u000amonth, and day strings (you can select and evaluate it right here):\u000a\u000a | matcher |\u000a matcher := RxMatcher forString: '(Jan|Feb|Mar|Apr|May|Jun|Jul|Aug|Sep|Oct|Nov|Dec)[ ]+(:isDigit::isDigit:?)[ ]*,[ ]*(19|20)(:isDigit::isDigit:)'.\u000a (matcher matches: 'Aug 6, 1996')\u000a ifTrue: \u000a [Array \u000a with: (matcher subexpression: 5)\u000a with: (matcher subexpression: 2)\u000a with: (matcher subexpression: 3)]\u000a ifFalse: ['no match']\u000a\u000a(should answer ` #('96' 'Aug' '6')').\u000a\u000a ENUMERATION AND REPLACEMENT\u000a\u000aThe enumeration and replacement protocols exposed in CharacterArray\u000aare actually implemented by the matcher. The following messages are\u000aunderstood:\u000a\u000a #matchesIn: aString\u000a #matchesIn: aString do: aBlock\u000a #matchesIn: aString collect: aBlock\u000a #copy: aString replacingMatchesWith: replacementString\u000a #copy: aString translatingMatchesUsing: aBlock\u000a #matchingRangesIn: aString\u000a\u000a #matchesOnStream: aStream\u000a #matchesOnStream: aStream do: aBlock\u000a #matchesOnStream: aStream collect: aBlock\u000a #copy: sourceStream to: targetStream replacingMatchesWith: replacementString\u000a #copy: sourceStream to: targetStream translatingMatchesWith: aBlock\u000a\u000aNote that in those methods that take a block, the block may refer to the rxMatcher itself, \u000ae.g. to collect information about the position the match occurred at, or the\u000asubexpressions of the match. An example can be seen in #matchingRangesIn:\u000a\u000a ERROR HANDLING\u000a\u000aException signaling objects (Signals in VisualWorks, Exceptions in VisualAge) are\u000aaccessible through RxParser class protocol. To handle possible errors, use\u000athe protocol described below to obtain the exception objects and use the\u000aprotocol of the native Smalltalk implementation to handle them.\u000a\u000aIf a syntax error is detected while parsing expression,\u000aRxParser>>syntaxErrorSignal is raised/signaled.\u000a\u000aIf an error is detected while building a matcher,\u000aRxParser>>compilationErrorSignal is raised/signaled.\u000a\u000aIf an error is detected while matching (for example, if a bad selector\u000awas specified using `::' syntax, or because of the matcher's\u000ainternal error), RxParser>>matchErrorSignal is raised\u000a\u000aRxParser>>regexErrorSignal is the parent of all three. Since any of\u000athe three signals can be raised within a call to #matchesRegex:, it is\u000ahandy if you want to catch them all. For example:\u000a\u000aVisualWorks:\u000a\u000a RxParser regexErrorSignal\u000a handle: [:ex | ex returnWith: nil]\u000a do: ['abc' matchesRegex: '))garbage[']\u000a\u000aVisualAge:\u000a\u000a ['abc' matchesRegex: '))garbage[']\u000a when: RxParser regexErrorSignal\u000a do: [:signal | signal exitWith: nil]\u000a\u000a" +p14297 +tp14298 +a(g184 +V\u000a\u000a +p14299 +tp14300 +a(g13 +Vself +p14301 +tp14302 +a(g184 +V +tp14303 +a(g50 +Verror: +p14304 +tp14305 +a(g184 +V +tp14306 +a(g221 +V'comment only' +p14307 +tp14308 +a(g184 +V\u000a +tp14309 +a(g197 +V) +tp14310 +a(g184 +V\u000a\u000a +p14311 +tp14312 +a(g50 +Ve: +p14313 +tp14314 +a(g101 +V_ +tp14315 +a(g184 +V +tp14316 +a(g50 +VimplementationNotes: +p14317 +tp14318 +a(g101 +V__ +p14319 +tp14320 +a(g184 +V +tp14321 +a(g338 +V= +tp14322 +a(g184 +V +tp14323 +a(g197 +V( +tp14324 +a(g184 +V\u000a +tp14325 +a(g26 +V" \u000a Version: 1.1\u000a Released: October 1999\u000a Mail to: Vassili Bykov , \u000a Flames to: /dev/null\u000a\u000a WHAT IS ADDED\u000a\u000aThe matcher includes classes in two categories:\u000a VB-Regex-Syntax\u000a VB-Regex-Matcher\u000aand a few CharacterArray methods in `VB-regex' protocol. No system\u000aclasses or methods are modified.\u000a\u000a WHAT TO LOOK AT FIRST\u000a\u000aString>>matchesRegex: -- in 90% cases this method is all you need to\u000aaccess the package.\u000a\u000aRxParser -- accepts a string or a stream of characters with a regular\u000aexpression, and produces a syntax tree corresponding to the\u000aexpression. The tree is made of instances of Rxs classes.\u000a\u000aRxMatcher -- accepts a syntax tree of a regular expression built by\u000athe parser and compiles it into a matcher: a structure made of\u000ainstances of Rxm classes. The RxMatcher instance can test\u000awhether a string or a positionable stream of characters matches the\u000aoriginal regular expression, or search a string or a stream for\u000asubstrings matching the expression. After a match is found, the\u000amatcher can report a specific string that matched the whole\u000aexpression, or any parenthesized subexpression of it.\u000a\u000aAll other classes support the above functionality and are used by\u000aRxParser, RxMatcher, or both.\u000a\u000a CAVEATS\u000a\u000aThe matcher is similar in spirit, but NOT in the design--let alone the\u000acode--to the original Henry Spencer's regular expression\u000aimplementation in C. The focus is on simplicity, not on efficiency.\u000aI didn't optimize or profile anything. I may in future--or I may not:\u000aI do this in my spare time and I don't promise anything.\u000a\u000aThe matcher passes H. Spencer's test suite (see 'test suite'\u000aprotocol), with quite a few extra tests added, so chances are good\u000athere are not too many bugs. But watch out anyway.\u000a\u000a EXTENSIONS, FUTURE, ETC.\u000a\u000aWith the existing separation between the parser, the syntax tree, and\u000athe matcher, it is easy to extend the system with other matchers based\u000aon other algorithms. In fact, I have a DFA-based matcher right now,\u000abut I don't feel it is good enough to include it here. I might add\u000aautomata-based matchers later, but again I don't promise anything.\u000a\u000a HOW TO REACH ME\u000a\u000aAs of today (December 20, 2000), you can contact me at\u000a. If this doesn't work, look around\u000acomp.lang.smalltalk or comp.lang.lisp. \u000a" +p14326 +tp14327 +a(g184 +V\u000a\u000a +p14328 +tp14329 +a(g13 +Vself +p14330 +tp14331 +a(g184 +V +tp14332 +a(g50 +Verror: +p14333 +tp14334 +a(g184 +V +tp14335 +a(g221 +V'comment only' +p14336 +tp14337 +a(g184 +V\u000a +tp14338 +a(g197 +V) +tp14339 +a(g184 +V\u000a\u000a +p14340 +tp14341 +a(g50 +Vf: +p14342 +tp14343 +a(g101 +V_ +tp14344 +a(g184 +V +tp14345 +a(g50 +VboringStuff: +p14346 +tp14347 +a(g184 +V +tp14348 +a(g101 +V__ +p14349 +tp14350 +a(g184 +V +tp14351 +a(g338 +V= +tp14352 +a(g184 +V +tp14353 +a(g197 +V( +tp14354 +a(g184 +V\u000a +tp14355 +a(g26 +V"\u000aThe Regular Expression Matcher (``The Software'') \u000ais Copyright (C) 1996, 1999 Vassili Bykov. \u000aIt is provided to the Smalltalk community in hope it will be useful.\u000a\u000a1. This license applies to the package as a whole, as well as to any\u000a component of it. By performing any of the activities described\u000a below, you accept the terms of this agreement.\u000a\u000a2. The software is provided free of charge, and ``as is'', in hope\u000a that it will be useful, with ABSOLUTELY NO WARRANTY. The entire\u000a risk and all responsibility for the use of the software is with\u000a you. Under no circumstances the author may be held responsible for\u000a loss of data, loss of profit, or any other damage resulting\u000a directly or indirectly from the use of the software, even if the\u000a damage is caused by defects in the software.\u000a\u000a3. You may use this software in any applications you build.\u000a\u000a4. You may distribute this software provided that the software\u000a documentation and copyright notices are included and intact.\u000a\u000a5. You may create and distribute modified versions of the software,\u000a such as ports to other Smalltalk dialects or derived work, provided\u000a that: \u000a\u000a a. any modified version is expressly marked as such and is not\u000a misrepresented as the original software; \u000a\u000a b. credit is given to the original software in the source code and\u000a documentation of the derived work; \u000a\u000a c. the copyright notice at the top of this document accompanies\u000a copyright notices of any modified version. " +p14356 +tp14357 +a(g184 +V\u000a\u000a +p14358 +tp14359 +a(g13 +Vself +p14360 +tp14361 +a(g184 +V +tp14362 +a(g50 +Verror: +p14363 +tp14364 +a(g184 +V +tp14365 +a(g221 +V'comment only' +p14366 +tp14367 +a(g184 +V\u000a +tp14368 +a(g197 +V) +tp14369 +a(g184 +V\u000a\u000a +p14370 +tp14371 +a(g221 +V'exception signaling' +p14372 +tp14373 +a(g184 +V\u000a +tp14374 +a(g50 +VdoHandlingMessageNotUnderstood: +p14375 +tp14376 +a(g184 +V +tp14377 +a(g101 +VaBlock +p14378 +tp14379 +a(g184 +V +tp14380 +a(g338 +V= +tp14381 +a(g184 +V +tp14382 +a(g197 +V( +tp14383 +a(g184 +V\u000a +p14384 +tp14385 +a(g26 +V"MNU should be trapped and resignaled as a match error in a few places in the matcher.\u000a This method factors out this dialect-dependent code to make porting easier." +p14386 +tp14387 +a(g184 +V\u000a\u000a +p14388 +tp14389 +a(g26 +V"^Object messageNotUnderstoodSignal\u000a handle:\u000a [:ex | ex restartDo:\u000a [RxParser signalMatchException: 'invalid predicate selector']]\u000a do: aBlock" +p14390 +tp14391 +a(g184 +V\u000a\u000a +p14392 +tp14393 +a(g26 +V" ^[aBlock value] on: Exception do: [:ex | ex return: false]" +p14394 +tp14395 +a(g184 +V\u000a\u000a +p14396 +tp14397 +a(g338 +V^ +tp14398 +a(g101 +VaBlock +p14399 +tp14400 +a(g184 +V +tp14401 +a(g50 +Von: +p14402 +tp14403 +a(g184 +V +tp14404 +a(g101 +VMessageNotUnderstood +p14405 +tp14406 +a(g184 +V +tp14407 +a(g50 +Vdo: +p14408 +tp14409 +a(g184 +V +tp14410 +a(g197 +V[ +tp14411 +a(g101 +V:ex +p14412 +tp14413 +a(g184 +V +tp14414 +a(g338 +V| +tp14415 +a(g184 +V +tp14416 +a(g101 +VMatchError +p14417 +tp14418 +a(g184 +V +tp14419 +a(g50 +Vsignal: +p14420 +tp14421 +a(g184 +V +tp14422 +a(g221 +V'invalid predicate selector' +p14423 +tp14424 +a(g197 +V] +tp14425 +a(g184 +V\u000a\u000a +p14426 +tp14427 +a(g197 +V) +tp14428 +a(g184 +V\u000a\u000a +p14429 +tp14430 +a(g50 +VsignalCompilationException: +p14431 +tp14432 +a(g184 +V +tp14433 +a(g101 +VerrorString +p14434 +tp14435 +a(g184 +V +tp14436 +a(g338 +V= +tp14437 +a(g184 +V +tp14438 +a(g197 +V( +tp14439 +a(g184 +V\u000a +p14440 +tp14441 +a(g338 +V^ +tp14442 +a(g13 +Vself +p14443 +tp14444 +a(g184 +V +tp14445 +a(g101 +VcompilationErrorSignal +p14446 +tp14447 +a(g184 +V +tp14448 +a(g50 +VraiseErrorString: +p14449 +tp14450 +a(g184 +V +tp14451 +a(g101 +VerrorString +p14452 +tp14453 +a(g184 +V\u000a +tp14454 +a(g197 +V) +tp14455 +a(g184 +V\u000a\u000a +p14456 +tp14457 +a(g50 +VsignalMatchException: +p14458 +tp14459 +a(g184 +V +tp14460 +a(g101 +VerrorString +p14461 +tp14462 +a(g184 +V +tp14463 +a(g338 +V= +tp14464 +a(g184 +V +tp14465 +a(g197 +V( +tp14466 +a(g184 +V\u000a +p14467 +tp14468 +a(g338 +V^ +tp14469 +a(g13 +Vself +p14470 +tp14471 +a(g184 +V +tp14472 +a(g101 +VmatchErrorSignal +p14473 +tp14474 +a(g184 +V +tp14475 +a(g50 +VraiseErrorString: +p14476 +tp14477 +a(g184 +V +tp14478 +a(g101 +VerrorString +p14479 +tp14480 +a(g184 +V\u000a +tp14481 +a(g197 +V) +tp14482 +a(g184 +V\u000a\u000a +p14483 +tp14484 +a(g50 +VsignalSyntaxException: +p14485 +tp14486 +a(g184 +V +tp14487 +a(g101 +VerrorString +p14488 +tp14489 +a(g184 +V +tp14490 +a(g338 +V= +tp14491 +a(g184 +V +tp14492 +a(g197 +V( +tp14493 +a(g184 +V\u000a +p14494 +tp14495 +a(g338 +V^ +tp14496 +a(g13 +Vself +p14497 +tp14498 +a(g184 +V +tp14499 +a(g101 +VsyntaxErrorSignal +p14500 +tp14501 +a(g184 +V +tp14502 +a(g50 +VraiseErrorString: +p14503 +tp14504 +a(g184 +V +tp14505 +a(g101 +VerrorString +p14506 +tp14507 +a(g184 +V\u000a +tp14508 +a(g197 +V) +tp14509 +a(g184 +V\u000a\u000a +p14510 +tp14511 +a(g221 +V'preferences' +p14512 +tp14513 +a(g184 +V\u000a +tp14514 +a(g69 +VpreferredMatcherClass +p14515 +tp14516 +a(g184 +V +tp14517 +a(g338 +V= +tp14518 +a(g184 +V +tp14519 +a(g197 +V( +tp14520 +a(g184 +V\u000a +p14521 +tp14522 +a(g26 +V"The matcher to use. For now just one is available, but in\u000a principle this determines the matchers built implicitly,\u000a such as by String>>asRegex, or String>>matchesRegex:.\u000a This might seem a bit strange place for this preference, but\u000a Parser is still more or less `central' thing in the whole package." +p14523 +tp14524 +a(g184 +V\u000a\u000a +p14525 +tp14526 +a(g338 +V^ +tp14527 +a(g101 +VRxMatcher +p14528 +tp14529 +a(g184 +V\u000a +tp14530 +a(g197 +V) +tp14531 +a(g184 +V\u000a\u000a +p14532 +tp14533 +a(g221 +V'test suite' +p14534 +tp14535 +a(g184 +V\u000a +tp14536 +a(g50 +VcompileRegex: +p14537 +tp14538 +a(g184 +V +tp14539 +a(g101 +VregexSource +p14540 +tp14541 +a(g184 +V +tp14542 +a(g50 +Vinto: +p14543 +tp14544 +a(g184 +V +tp14545 +a(g101 +VmatcherClass +p14546 +tp14547 +a(g184 +V +tp14548 +a(g338 +V= +tp14549 +a(g184 +V +tp14550 +a(g197 +V( +tp14551 +a(g184 +V\u000a +p14552 +tp14553 +a(g26 +V"Compile the regex and answer the matcher, or answer nil if compilation fails." +p14554 +tp14555 +a(g184 +V\u000a\u000a +p14556 +tp14557 +a(g338 +V| +tp14558 +a(g184 +V +tp14559 +a(g101 +VsyntaxTree +p14560 +tp14561 +a(g184 +V +tp14562 +a(g338 +V| +tp14563 +a(g184 +V\u000a +p14564 +tp14565 +a(g101 +VsyntaxTree +p14566 +tp14567 +a(g338 +V:: +p14568 +tp14569 +a(g184 +V +tp14570 +a(g13 +Vself +p14571 +tp14572 +a(g184 +V +tp14573 +a(g50 +VsafelyParse: +p14574 +tp14575 +a(g184 +V +tp14576 +a(g101 +VregexSource +p14577 +tp14578 +a(g197 +V. +tp14579 +a(g184 +V\u000a +p14580 +tp14581 +a(g69 +VsyntaxTree +p14582 +tp14583 +a(g184 +V +tp14584 +a(g338 +V= +tp14585 +a(g338 +V= +tp14586 +a(g184 +V +tp14587 +a(g13 +Vnil +p14588 +tp14589 +a(g184 +V +tp14590 +a(g50 +VifTrue: +p14591 +tp14592 +a(g184 +V +tp14593 +a(g197 +V[ +tp14594 +a(g338 +V^ +tp14595 +a(g13 +Vnil +p14596 +tp14597 +a(g197 +V] +tp14598 +a(g197 +V. +tp14599 +a(g184 +V\u000a +p14600 +tp14601 +a(g338 +V^ +tp14602 +a(g101 +VmatcherClass +p14603 +tp14604 +a(g184 +V +tp14605 +a(g50 +Vfor: +p14606 +tp14607 +a(g184 +V +tp14608 +a(g101 +VsyntaxTree +p14609 +tp14610 +a(g184 +V\u000a +tp14611 +a(g197 +V) +tp14612 +a(g184 +V\u000a\u000a +p14613 +tp14614 +a(g50 +VrunProtocolTestsForMatcher: +p14615 +tp14616 +a(g184 +V +tp14617 +a(g101 +VmatcherClass +p14618 +tp14619 +a(g184 +V +tp14620 +a(g338 +V= +tp14621 +a(g184 +V +tp14622 +a(g197 +V( +tp14623 +a(g184 +V\u000a +p14624 +tp14625 +a(g338 +V| +tp14626 +a(g184 +V +tp14627 +a(g101 +Vmatcher +p14628 +tp14629 +a(g184 +V +tp14630 +a(g338 +V| +tp14631 +a(g184 +V\u000a +p14632 +tp14633 +a(g101 +VTranscript +p14634 +tp14635 +a(g184 +V +tp14636 +a(g50 +Vshow: +p14637 +tp14638 +a(g184 +V +tp14639 +a(g221 +V'Testing matcher protocol...' +p14640 +tp14641 +a(g197 +V. +tp14642 +a(g184 +V\u000a +p14643 +tp14644 +a(g101 +Vmatcher +p14645 +tp14646 +a(g338 +V:: +p14647 +tp14648 +a(g184 +V +tp14649 +a(g101 +VmatcherClass +p14650 +tp14651 +a(g184 +V +tp14652 +a(g50 +VforString: +p14653 +tp14654 +a(g184 +V +tp14655 +a(g221 +V'\u005cw+' +p14656 +tp14657 +a(g197 +V. +tp14658 +a(g184 +V\u000a +p14659 +tp14660 +a(g197 +V( +tp14661 +a(g101 +Vmatcher +p14662 +tp14663 +a(g184 +V +tp14664 +a(g50 +VmatchesIn: +p14665 +tp14666 +a(g184 +V +tp14667 +a(g221 +V'now is the time' +p14668 +tp14669 +a(g197 +V) +tp14670 +a(g184 +V +tp14671 +a(g69 +VasArray +p14672 +tp14673 +a(g184 +V +tp14674 +a(g338 +V= +tp14675 +a(g184 +V +tp14676 +a(g197 +V{ +tp14677 +a(g221 +V'now' +p14678 +tp14679 +a(g197 +V. +tp14680 +a(g184 +V +tp14681 +a(g221 +V'is' +p14682 +tp14683 +a(g197 +V. +tp14684 +a(g184 +V +tp14685 +a(g221 +V'the' +p14686 +tp14687 +a(g197 +V. +tp14688 +a(g184 +V +tp14689 +a(g221 +V'time' +p14690 +tp14691 +a(g197 +V} +tp14692 +a(g184 +V\u000a +p14693 +tp14694 +a(g50 +VifFalse: +p14695 +tp14696 +a(g184 +V +tp14697 +a(g197 +V[ +tp14698 +a(g13 +Vself +p14699 +tp14700 +a(g184 +V +tp14701 +a(g50 +Verror: +p14702 +tp14703 +a(g184 +V +tp14704 +a(g221 +V'matchesIn: test failed' +p14705 +tp14706 +a(g197 +V] +tp14707 +a(g197 +V. +tp14708 +a(g184 +V\u000a +p14709 +tp14710 +a(g197 +V( +tp14711 +a(g101 +Vmatcher +p14712 +tp14713 +a(g184 +V +tp14714 +a(g50 +Vcopy: +p14715 +tp14716 +a(g184 +V +tp14717 +a(g221 +V'now is the time ' +p14718 +tp14719 +a(g184 +V +tp14720 +a(g50 +VtranslatingMatchesUsing: +p14721 +tp14722 +a(g184 +V +tp14723 +a(g197 +V[ +tp14724 +a(g101 +V:s +p14725 +tp14726 +a(g184 +V +tp14727 +a(g338 +V| +tp14728 +a(g184 +V +tp14729 +a(g101 +Vs +tp14730 +a(g184 +V +tp14731 +a(g101 +Vreverse +p14732 +tp14733 +a(g197 +V] +tp14734 +a(g197 +V) +tp14735 +a(g184 +V\u000a +p14736 +tp14737 +a(g338 +V= +tp14738 +a(g184 +V +tp14739 +a(g221 +V'won si eht emit ' +p14740 +tp14741 +a(g184 +V\u000a +p14742 +tp14743 +a(g50 +VifFalse: +p14744 +tp14745 +a(g184 +V +tp14746 +a(g197 +V[ +tp14747 +a(g13 +Vself +p14748 +tp14749 +a(g184 +V +tp14750 +a(g50 +Verror: +p14751 +tp14752 +a(g184 +V +tp14753 +a(g221 +V'copy:translatingMatchesWith: test failed' +p14754 +tp14755 +a(g197 +V] +tp14756 +a(g197 +V. +tp14757 +a(g184 +V\u000a +p14758 +tp14759 +a(g26 +V"See that the match context is preserved while copying stuff between matches:" +p14760 +tp14761 +a(g184 +V\u000a +p14762 +tp14763 +a(g197 +V( +tp14764 +a(g197 +V( +tp14765 +a(g101 +VmatcherClass +p14766 +tp14767 +a(g184 +V +tp14768 +a(g50 +VforString: +p14769 +tp14770 +a(g184 +V +tp14771 +a(g221 +V'\u005c<\u005cd\u005cD+' +p14772 +tp14773 +a(g197 +V) +tp14774 +a(g184 +V \u000a +p14775 +tp14776 +a(g50 +Vcopy: +p14777 +tp14778 +a(g184 +V +tp14779 +a(g221 +V'9aaa1bbb 8ccc' +p14780 +tp14781 +a(g184 +V\u000a +p14782 +tp14783 +a(g50 +VreplacingMatchesWith: +p14784 +tp14785 +a(g184 +V +tp14786 +a(g221 +V'foo' +p14787 +tp14788 +a(g197 +V) +tp14789 +a(g184 +V +tp14790 +a(g338 +V= +tp14791 +a(g184 +V +tp14792 +a(g221 +V'foo1bbb foo' +p14793 +tp14794 +a(g184 +V\u000a +p14795 +tp14796 +a(g50 +VifFalse: +p14797 +tp14798 +a(g184 +V +tp14799 +a(g197 +V[ +tp14800 +a(g13 +Vself +p14801 +tp14802 +a(g184 +V +tp14803 +a(g50 +Verror: +p14804 +tp14805 +a(g184 +V +tp14806 +a(g221 +V'test failed' +p14807 +tp14808 +a(g197 +V] +tp14809 +a(g197 +V. +tp14810 +a(g184 +V\u000a +p14811 +tp14812 +a(g101 +VTranscript +p14813 +tp14814 +a(g184 +V +tp14815 +a(g50 +Vshow: +p14816 +tp14817 +a(g184 +V +tp14818 +a(g221 +V'OK' +p14819 +tp14820 +a(g197 +V; +tp14821 +a(g184 +V +tp14822 +a(g101 +Vcr +p14823 +tp14824 +a(g184 +V\u000a +tp14825 +a(g197 +V) +tp14826 +a(g184 +V\u000a\u000a +p14827 +tp14828 +a(g50 +VrunRegexTestsForMatcher: +p14829 +tp14830 +a(g184 +V +tp14831 +a(g101 +VmatcherClass +p14832 +tp14833 +a(g184 +V +tp14834 +a(g338 +V= +tp14835 +a(g184 +V +tp14836 +a(g197 +V( +tp14837 +a(g184 +V\u000a +p14838 +tp14839 +a(g26 +V"Run the whole suite of tests for the given matcher class. May blow up\u000a if anything goes wrong with the matcher or parser. Since this is a \u000a developer's tool, who cares?" +p14840 +tp14841 +a(g184 +V\u000a +p14842 +tp14843 +a(g26 +V"self runRegexTestsForMatcher: RxMatcher" +p14844 +tp14845 +a(g184 +V\u000a\u000a +p14846 +tp14847 +a(g338 +V| +tp14848 +a(g184 +V +tp14849 +a(g101 +Vfailures +p14850 +tp14851 +a(g184 +V +tp14852 +a(g338 +V| +tp14853 +a(g184 +V\u000a +p14854 +tp14855 +a(g101 +Vfailures +p14856 +tp14857 +a(g338 +V:: +p14858 +tp14859 +a(g184 +V +tp14860 +a(g305 +V0. +p14861 +tp14862 +a(g184 +V\u000a +p14863 +tp14864 +a(g101 +VTranscript +p14865 +tp14866 +a(g184 +V +tp14867 +a(g101 +Vcr +p14868 +tp14869 +a(g197 +V. +tp14870 +a(g184 +V\u000a +p14871 +tp14872 +a(g13 +Vself +p14873 +tp14874 +a(g184 +V +tp14875 +a(g101 +VtestSuite +p14876 +tp14877 +a(g184 +V +tp14878 +a(g50 +Vdo: +p14879 +tp14880 +a(g184 +V +tp14881 +a(g197 +V[ +tp14882 +a(g101 +V:clause +p14883 +tp14884 +a(g184 +V +tp14885 +a(g338 +V| +tp14886 +a(g184 +V\u000a +p14887 +tp14888 +a(g338 +V| +tp14889 +a(g184 +V +tp14890 +a(g101 +VrxSource +p14891 +tp14892 +a(g184 +V +tp14893 +a(g101 +Vmatcher +p14894 +tp14895 +a(g184 +V +tp14896 +a(g101 +VisOK +p14897 +tp14898 +a(g184 +V +tp14899 +a(g338 +V| +tp14900 +a(g184 +V\u000a +p14901 +tp14902 +a(g101 +VrxSource +p14903 +tp14904 +a(g338 +V:: +p14905 +tp14906 +a(g184 +V +tp14907 +a(g101 +Vclause +p14908 +tp14909 +a(g184 +V +tp14910 +a(g101 +Vfirst +p14911 +tp14912 +a(g197 +V. +tp14913 +a(g184 +V\u000a +p14914 +tp14915 +a(g101 +VTranscript +p14916 +tp14917 +a(g184 +V +tp14918 +a(g50 +Vshow: +p14919 +tp14920 +a(g184 +V +tp14921 +a(g221 +V'Testing regex: ' +p14922 +tp14923 +a(g197 +V; +tp14924 +a(g184 +V +tp14925 +a(g50 +Vshow: +p14926 +tp14927 +a(g184 +V +tp14928 +a(g101 +VrxSource +p14929 +tp14930 +a(g184 +V +tp14931 +a(g101 +VprintString +p14932 +tp14933 +a(g197 +V; +tp14934 +a(g184 +V +tp14935 +a(g101 +Vcr +p14936 +tp14937 +a(g197 +V. +tp14938 +a(g184 +V\u000a +p14939 +tp14940 +a(g101 +Vmatcher +p14941 +tp14942 +a(g338 +V:: +p14943 +tp14944 +a(g184 +V +tp14945 +a(g13 +Vself +p14946 +tp14947 +a(g184 +V +tp14948 +a(g50 +VcompileRegex: +p14949 +tp14950 +a(g184 +V +tp14951 +a(g101 +VrxSource +p14952 +tp14953 +a(g184 +V +tp14954 +a(g50 +Vinto: +p14955 +tp14956 +a(g184 +V +tp14957 +a(g101 +VmatcherClass +p14958 +tp14959 +a(g197 +V. +tp14960 +a(g184 +V\u000a +p14961 +tp14962 +a(g69 +Vmatcher +p14963 +tp14964 +a(g184 +V +tp14965 +a(g338 +V= +tp14966 +a(g338 +V= +tp14967 +a(g184 +V +tp14968 +a(g13 +Vnil +p14969 +tp14970 +a(g184 +V\u000a +p14971 +tp14972 +a(g50 +VifTrue: +p14973 +tp14974 +a(g184 +V\u000a +p14975 +tp14976 +a(g197 +V[ +tp14977 +a(g197 +V( +tp14978 +a(g101 +Vclause +p14979 +tp14980 +a(g184 +V +tp14981 +a(g50 +Vat: +p14982 +tp14983 +a(g184 +V +tp14984 +a(g313 +V2 +tp14985 +a(g197 +V) +tp14986 +a(g184 +V +tp14987 +a(g101 +VisNil +p14988 +tp14989 +a(g184 +V\u000a +p14990 +tp14991 +a(g50 +VifTrue: +p14992 +tp14993 +a(g184 +V \u000a +p14994 +tp14995 +a(g197 +V[ +tp14996 +a(g101 +VTranscript +p14997 +tp14998 +a(g184 +V +tp14999 +a(g101 +Vtab +p15000 +tp15001 +a(g197 +V; +tp15002 +a(g184 +V +tp15003 +a(g50 +Vshow: +p15004 +tp15005 +a(g184 +V +tp15006 +a(g221 +V'Compilation error as expected (ok)' +p15007 +tp15008 +a(g197 +V; +tp15009 +a(g184 +V +tp15010 +a(g101 +Vcr +p15011 +tp15012 +a(g197 +V] +tp15013 +a(g184 +V\u000a +p15014 +tp15015 +a(g50 +VifFalse: +p15016 +tp15017 +a(g184 +V \u000a +p15018 +tp15019 +a(g197 +V[ +tp15020 +a(g101 +VTranscript +p15021 +tp15022 +a(g184 +V +tp15023 +a(g101 +Vtab +p15024 +tp15025 +a(g197 +V; +tp15026 +a(g184 +V \u000a +p15027 +tp15028 +a(g50 +Vshow: +p15029 +tp15030 +a(g184 +V +tp15031 +a(g221 +V'Compilation error, UNEXPECTED -- FAILED' +p15032 +tp15033 +a(g197 +V; +tp15034 +a(g184 +V +tp15035 +a(g101 +Vcr +p15036 +tp15037 +a(g197 +V. +tp15038 +a(g184 +V\u000a +p15039 +tp15040 +a(g101 +Vfailures +p15041 +tp15042 +a(g338 +V:: +p15043 +tp15044 +a(g184 +V +tp15045 +a(g101 +Vfailures +p15046 +tp15047 +a(g184 +V +tp15048 +a(g338 +V+ +tp15049 +a(g184 +V +tp15050 +a(g313 +V1 +tp15051 +a(g197 +V] +tp15052 +a(g197 +V] +tp15053 +a(g184 +V\u000a +p15054 +tp15055 +a(g50 +VifFalse: +p15056 +tp15057 +a(g184 +V\u000a +p15058 +tp15059 +a(g197 +V[ +tp15060 +a(g197 +V( +tp15061 +a(g101 +Vclause +p15062 +tp15063 +a(g184 +V +tp15064 +a(g50 +Vat: +p15065 +tp15066 +a(g184 +V +tp15067 +a(g313 +V2 +tp15068 +a(g197 +V) +tp15069 +a(g184 +V +tp15070 +a(g338 +V= +tp15071 +a(g338 +V= +tp15072 +a(g184 +V +tp15073 +a(g13 +Vnil +p15074 +tp15075 +a(g184 +V\u000a +p15076 +tp15077 +a(g50 +VifTrue: +p15078 +tp15079 +a(g184 +V \u000a +p15080 +tp15081 +a(g197 +V[ +tp15082 +a(g101 +VTranscript +p15083 +tp15084 +a(g184 +V +tp15085 +a(g101 +Vtab +p15086 +tp15087 +a(g197 +V; +tp15088 +a(g184 +V\u000a +p15089 +tp15090 +a(g50 +Vshow: +p15091 +tp15092 +a(g184 +V +tp15093 +a(g221 +V'Compilation succeeded, should have failed -- FAILED!' +p15094 +tp15095 +a(g197 +V; +tp15096 +a(g184 +V\u000a +p15097 +tp15098 +a(g101 +Vcr +p15099 +tp15100 +a(g197 +V. +tp15101 +a(g184 +V\u000a +p15102 +tp15103 +a(g101 +Vfailures +p15104 +tp15105 +a(g338 +V:: +p15106 +tp15107 +a(g184 +V +tp15108 +a(g101 +Vfailures +p15109 +tp15110 +a(g184 +V +tp15111 +a(g338 +V+ +tp15112 +a(g184 +V +tp15113 +a(g313 +V1 +tp15114 +a(g197 +V] +tp15115 +a(g184 +V\u000a +p15116 +tp15117 +a(g50 +VifFalse: +p15118 +tp15119 +a(g184 +V\u000a +p15120 +tp15121 +a(g197 +V[ +tp15122 +a(g313 +V2 +tp15123 +a(g184 +V +tp15124 +a(g50 +Vto: +p15125 +tp15126 +a(g184 +V +tp15127 +a(g101 +Vclause +p15128 +tp15129 +a(g184 +V +tp15130 +a(g101 +Vsize +p15131 +tp15132 +a(g184 +V +tp15133 +a(g50 +Vby: +p15134 +tp15135 +a(g184 +V +tp15136 +a(g313 +V3 +tp15137 +a(g184 +V +tp15138 +a(g50 +Vdo: +p15139 +tp15140 +a(g184 +V \u000a +p15141 +tp15142 +a(g197 +V[ +tp15143 +a(g101 +V:i +p15144 +tp15145 +a(g184 +V +tp15146 +a(g338 +V| +tp15147 +a(g184 +V\u000a +p15148 +tp15149 +a(g101 +VisOK +p15150 +tp15151 +a(g338 +V:: +p15152 +tp15153 +a(g184 +V +tp15154 +a(g13 +Vself +p15155 +tp15156 +a(g184 +V\u000a +p15157 +tp15158 +a(g50 +Vtest: +p15159 +tp15160 +a(g184 +V +tp15161 +a(g101 +Vmatcher +p15162 +tp15163 +a(g184 +V\u000a +p15164 +tp15165 +a(g50 +Vwith: +p15166 +tp15167 +a(g184 +V +tp15168 +a(g197 +V( +tp15169 +a(g101 +Vclause +p15170 +tp15171 +a(g184 +V +tp15172 +a(g50 +Vat: +p15173 +tp15174 +a(g184 +V +tp15175 +a(g101 +Vi +tp15176 +a(g197 +V) +tp15177 +a(g184 +V\u000a +p15178 +tp15179 +a(g50 +Vexpect: +p15180 +tp15181 +a(g184 +V +tp15182 +a(g197 +V( +tp15183 +a(g101 +Vclause +p15184 +tp15185 +a(g184 +V +tp15186 +a(g50 +Vat: +p15187 +tp15188 +a(g184 +V +tp15189 +a(g101 +Vi +tp15190 +a(g184 +V +tp15191 +a(g338 +V+ +tp15192 +a(g184 +V +tp15193 +a(g313 +V1 +tp15194 +a(g197 +V) +tp15195 +a(g184 +V\u000a +p15196 +tp15197 +a(g50 +VwithSubexpressions: +p15198 +tp15199 +a(g184 +V +tp15200 +a(g197 +V( +tp15201 +a(g101 +Vclause +p15202 +tp15203 +a(g184 +V +tp15204 +a(g50 +Vat: +p15205 +tp15206 +a(g184 +V +tp15207 +a(g101 +Vi +tp15208 +a(g184 +V +tp15209 +a(g338 +V+ +tp15210 +a(g184 +V +tp15211 +a(g313 +V2 +tp15212 +a(g197 +V) +tp15213 +a(g197 +V. +tp15214 +a(g184 +V\u000a +p15215 +tp15216 +a(g101 +VisOK +p15217 +tp15218 +a(g184 +V +tp15219 +a(g50 +VifFalse: +p15220 +tp15221 +a(g184 +V +tp15222 +a(g197 +V[ +tp15223 +a(g101 +Vfailures +p15224 +tp15225 +a(g338 +V:: +p15226 +tp15227 +a(g184 +V +tp15228 +a(g101 +Vfailures +p15229 +tp15230 +a(g184 +V +tp15231 +a(g338 +V+ +tp15232 +a(g184 +V +tp15233 +a(g313 +V1 +tp15234 +a(g197 +V] +tp15235 +a(g197 +V. +tp15236 +a(g184 +V\u000a +p15237 +tp15238 +a(g101 +VTranscript +p15239 +tp15240 +a(g184 +V \u000a +p15241 +tp15242 +a(g50 +Vshow: +p15243 +tp15244 +a(g184 +V +tp15245 +a(g197 +V( +tp15246 +a(g101 +VisOK +p15247 +tp15248 +a(g184 +V +tp15249 +a(g50 +VifTrue: +p15250 +tp15251 +a(g184 +V +tp15252 +a(g197 +V[ +tp15253 +a(g221 +V' (ok).' +p15254 +tp15255 +a(g197 +V] +tp15256 +a(g184 +V +tp15257 +a(g50 +VifFalse: +p15258 +tp15259 +a(g184 +V +tp15260 +a(g197 +V[ +tp15261 +a(g221 +V' -- FAILED!' +p15262 +tp15263 +a(g197 +V] +tp15264 +a(g197 +V) +tp15265 +a(g197 +V; +tp15266 +a(g184 +V\u000a +p15267 +tp15268 +a(g101 +Vcr +p15269 +tp15270 +a(g197 +V] +tp15271 +a(g197 +V] +tp15272 +a(g197 +V] +tp15273 +a(g197 +V] +tp15274 +a(g197 +V. +tp15275 +a(g184 +V\u000a +p15276 +tp15277 +a(g69 +Vfailures +p15278 +tp15279 +a(g184 +V +tp15280 +a(g338 +V= +tp15281 +a(g184 +V +tp15282 +a(g313 +V0 +tp15283 +a(g184 +V\u000a +p15284 +tp15285 +a(g50 +VifTrue: +p15286 +tp15287 +a(g184 +V +tp15288 +a(g197 +V[ +tp15289 +a(g101 +VTranscript +p15290 +tp15291 +a(g184 +V +tp15292 +a(g50 +Vshow: +p15293 +tp15294 +a(g184 +V +tp15295 +a(g221 +V'PASSED ALL TESTS.' +p15296 +tp15297 +a(g197 +V; +tp15298 +a(g184 +V +tp15299 +a(g101 +Vcr +p15300 +tp15301 +a(g197 +V] +tp15302 +a(g184 +V\u000a +p15303 +tp15304 +a(g50 +VifFalse: +p15305 +tp15306 +a(g184 +V +tp15307 +a(g197 +V[ +tp15308 +a(g101 +VTranscript +p15309 +tp15310 +a(g184 +V +tp15311 +a(g50 +Vshow: +p15312 +tp15313 +a(g184 +V +tp15314 +a(g101 +Vfailures +p15315 +tp15316 +a(g184 +V +tp15317 +a(g101 +VprintString +p15318 +tp15319 +a(g338 +V, +tp15320 +a(g184 +V +tp15321 +a(g221 +V' TESTS FAILED!' +p15322 +tp15323 +a(g197 +V; +tp15324 +a(g184 +V +tp15325 +a(g101 +Vcr +p15326 +tp15327 +a(g197 +V] +tp15328 +a(g184 +V\u000a +tp15329 +a(g197 +V) +tp15330 +a(g184 +V\u000a\u000a +p15331 +tp15332 +a(g50 +VrunTestsForMatcher: +p15333 +tp15334 +a(g184 +V +tp15335 +a(g101 +VmatcherClass +p15336 +tp15337 +a(g184 +V +tp15338 +a(g338 +V= +tp15339 +a(g184 +V +tp15340 +a(g197 +V( +tp15341 +a(g184 +V\u000a +p15342 +tp15343 +a(g26 +V"Run the whole suite of tests for the given matcher class. May blow up\u000a if something goes wrong with the matcher or the parser. Since this is a \u000a developer's tool, who cares?" +p15344 +tp15345 +a(g184 +V\u000a +p15346 +tp15347 +a(g26 +V"self runTestsForMatcher: RxMatcher" +p15348 +tp15349 +a(g184 +V\u000a\u000a +p15350 +tp15351 +a(g13 +Vself +p15352 +tp15353 +a(g184 +V\u000a +p15354 +tp15355 +a(g50 +VrunRegexTestsForMatcher: +p15356 +tp15357 +a(g184 +V +tp15358 +a(g101 +VmatcherClass +p15359 +tp15360 +a(g197 +V; +tp15361 +a(g184 +V\u000a +p15362 +tp15363 +a(g50 +VrunProtocolTestsForMatcher: +p15364 +tp15365 +a(g184 +V +tp15366 +a(g101 +VmatcherClass +p15367 +tp15368 +a(g184 +V\u000a +tp15369 +a(g197 +V) +tp15370 +a(g184 +V\u000a\u000a +p15371 +tp15372 +a(g50 +Vtest: +p15373 +tp15374 +a(g184 +V +tp15375 +a(g101 +VaMatcher +p15376 +tp15377 +a(g184 +V +tp15378 +a(g50 +Vwith: +p15379 +tp15380 +a(g184 +V +tp15381 +a(g101 +VtestString +p15382 +tp15383 +a(g184 +V +tp15384 +a(g50 +Vexpect: +p15385 +tp15386 +a(g184 +V +tp15387 +a(g101 +Vexpected +p15388 +tp15389 +a(g184 +V +tp15390 +a(g50 +VwithSubexpressions: +p15391 +tp15392 +a(g184 +V +tp15393 +a(g101 +Vsubexpr +p15394 +tp15395 +a(g184 +V +tp15396 +a(g338 +V= +tp15397 +a(g184 +V +tp15398 +a(g197 +V( +tp15399 +a(g184 +V\u000a\u000a +p15400 +tp15401 +a(g338 +V| +tp15402 +a(g184 +V +tp15403 +a(g101 +Vcopy +p15404 +tp15405 +a(g184 +V +tp15406 +a(g101 +Vgot +p15407 +tp15408 +a(g184 +V +tp15409 +a(g338 +V| +tp15410 +a(g184 +V\u000a +p15411 +tp15412 +a(g101 +VTranscript +p15413 +tp15414 +a(g184 +V +tp15415 +a(g101 +Vtab +p15416 +tp15417 +a(g197 +V; +tp15418 +a(g184 +V \u000a +p15419 +tp15420 +a(g50 +Vshow: +p15421 +tp15422 +a(g184 +V +tp15423 +a(g221 +V'Matching: ' +p15424 +tp15425 +a(g197 +V; +tp15426 +a(g184 +V\u000a +p15427 +tp15428 +a(g50 +Vshow: +p15429 +tp15430 +a(g184 +V +tp15431 +a(g101 +VtestString +p15432 +tp15433 +a(g184 +V +tp15434 +a(g101 +VprintString +p15435 +tp15436 +a(g197 +V. +tp15437 +a(g184 +V\u000a +p15438 +tp15439 +a(g101 +Vcopy +p15440 +tp15441 +a(g338 +V:: +p15442 +tp15443 +a(g184 +V +tp15444 +a(g101 +VaMatcher +p15445 +tp15446 +a(g184 +V +tp15447 +a(g50 +Vcopy: +p15448 +tp15449 +a(g184 +V +tp15450 +a(g101 +VtestString +p15451 +tp15452 +a(g184 +V +tp15453 +a(g50 +VtranslatingMatchesUsing: +p15454 +tp15455 +a(g184 +V +tp15456 +a(g197 +V[ +tp15457 +a(g101 +V:s +p15458 +tp15459 +a(g184 +V +tp15460 +a(g338 +V| +tp15461 +a(g184 +V +tp15462 +a(g101 +Vs +tp15463 +a(g197 +V] +tp15464 +a(g197 +V. +tp15465 +a(g184 +V\u000a +p15466 +tp15467 +a(g101 +Vcopy +p15468 +tp15469 +a(g184 +V +tp15470 +a(g338 +V~ +tp15471 +a(g338 +V= +tp15472 +a(g184 +V +tp15473 +a(g101 +VtestString +p15474 +tp15475 +a(g184 +V +tp15476 +a(g50 +VifTrue: +p15477 +tp15478 +a(g184 +V\u000a +p15479 +tp15480 +a(g197 +V[ +tp15481 +a(g101 +VTranscript +p15482 +tp15483 +a(g184 +V +tp15484 +a(g50 +Vshow: +p15485 +tp15486 +a(g184 +V +tp15487 +a(g221 +V' (copy failed: "' +p15488 +tp15489 +a(g338 +V, +tp15490 +a(g184 +V +tp15491 +a(g101 +Vcopy +p15492 +tp15493 +a(g338 +V, +tp15494 +a(g184 +V +tp15495 +a(g221 +V'")' +p15496 +tp15497 +a(g197 +V. +tp15498 +a(g184 +V\u000a +p15499 +tp15500 +a(g338 +V^ +tp15501 +a(g13 +Vfalse +p15502 +tp15503 +a(g197 +V] +tp15504 +a(g197 +V. +tp15505 +a(g184 +V\u000a +p15506 +tp15507 +a(g101 +VTranscript +p15508 +tp15509 +a(g184 +V\u000a +p15510 +tp15511 +a(g50 +Vshow: +p15512 +tp15513 +a(g184 +V +tp15514 +a(g221 +V' expected: ' +p15515 +tp15516 +a(g197 +V; +tp15517 +a(g184 +V \u000a +p15518 +tp15519 +a(g50 +Vshow: +p15520 +tp15521 +a(g184 +V +tp15522 +a(g101 +Vexpected +p15523 +tp15524 +a(g184 +V +tp15525 +a(g101 +VprintString +p15526 +tp15527 +a(g197 +V; +tp15528 +a(g184 +V\u000a +p15529 +tp15530 +a(g50 +Vshow: +p15531 +tp15532 +a(g184 +V +tp15533 +a(g221 +V' got: ' +p15534 +tp15535 +a(g197 +V. +tp15536 +a(g184 +V\u000a +p15537 +tp15538 +a(g101 +Vgot +p15539 +tp15540 +a(g338 +V:: +p15541 +tp15542 +a(g184 +V +tp15543 +a(g101 +VaMatcher +p15544 +tp15545 +a(g184 +V +tp15546 +a(g50 +Vsearch: +p15547 +tp15548 +a(g184 +V +tp15549 +a(g101 +VtestString +p15550 +tp15551 +a(g197 +V. +tp15552 +a(g184 +V\u000a +p15553 +tp15554 +a(g101 +VTranscript +p15555 +tp15556 +a(g184 +V +tp15557 +a(g50 +Vshow: +p15558 +tp15559 +a(g184 +V +tp15560 +a(g101 +Vgot +p15561 +tp15562 +a(g184 +V +tp15563 +a(g101 +VprintString +p15564 +tp15565 +a(g197 +V. +tp15566 +a(g184 +V\u000a +p15567 +tp15568 +a(g101 +Vgot +p15569 +tp15570 +a(g184 +V +tp15571 +a(g338 +V~ +tp15572 +a(g338 +V= +tp15573 +a(g184 +V +tp15574 +a(g101 +Vexpected +p15575 +tp15576 +a(g184 +V\u000a +p15577 +tp15578 +a(g50 +VifTrue: +p15579 +tp15580 +a(g184 +V +tp15581 +a(g197 +V[ +tp15582 +a(g338 +V^ +tp15583 +a(g13 +Vfalse +p15584 +tp15585 +a(g197 +V] +tp15586 +a(g197 +V. +tp15587 +a(g184 +V\u000a +p15588 +tp15589 +a(g197 +V( +tp15590 +a(g101 +Vsubexpr +p15591 +tp15592 +a(g184 +V +tp15593 +a(g101 +VnotNil +p15594 +tp15595 +a(g184 +V +tp15596 +a(g50 +Vand: +p15597 +tp15598 +a(g184 +V +tp15599 +a(g197 +V[ +tp15600 +a(g101 +VaMatcher +p15601 +tp15602 +a(g184 +V +tp15603 +a(g101 +VsupportsSubexpressions +p15604 +tp15605 +a(g197 +V] +tp15606 +a(g197 +V) +tp15607 +a(g184 +V\u000a +p15608 +tp15609 +a(g50 +VifFalse: +p15610 +tp15611 +a(g184 +V\u000a +p15612 +tp15613 +a(g197 +V[ +tp15614 +a(g338 +V^ +tp15615 +a(g13 +Vtrue +p15616 +tp15617 +a(g197 +V] +tp15618 +a(g184 +V\u000a +p15619 +tp15620 +a(g50 +VifTrue: +p15621 +tp15622 +a(g184 +V\u000a +p15623 +tp15624 +a(g197 +V[ +tp15625 +a(g184 +V +tp15626 +a(g338 +V| +tp15627 +a(g184 +V +tp15628 +a(g101 +VisOK +p15629 +tp15630 +a(g184 +V +tp15631 +a(g338 +V| +tp15632 +a(g184 +V\u000a +p15633 +tp15634 +a(g101 +VisOK +p15635 +tp15636 +a(g338 +V:: +p15637 +tp15638 +a(g184 +V +tp15639 +a(g13 +Vtrue +p15640 +tp15641 +a(g197 +V. +tp15642 +a(g184 +V\u000a +p15643 +tp15644 +a(g313 +V1 +tp15645 +a(g184 +V +tp15646 +a(g50 +Vto: +p15647 +tp15648 +a(g184 +V +tp15649 +a(g101 +Vsubexpr +p15650 +tp15651 +a(g184 +V +tp15652 +a(g101 +Vsize +p15653 +tp15654 +a(g184 +V +tp15655 +a(g50 +Vby: +p15656 +tp15657 +a(g184 +V +tp15658 +a(g313 +V2 +tp15659 +a(g184 +V +tp15660 +a(g50 +Vdo: +p15661 +tp15662 +a(g184 +V +tp15663 +a(g197 +V[ +tp15664 +a(g338 +V: +tp15665 +a(g184 +V +tp15666 +a(g101 +Vi +tp15667 +a(g184 +V +tp15668 +a(g338 +V| +tp15669 +a(g184 +V\u000a +p15670 +tp15671 +a(g338 +V| +tp15672 +a(g184 +V +tp15673 +a(g101 +Vsub +p15674 +tp15675 +a(g184 +V +tp15676 +a(g101 +VsubExpect +p15677 +tp15678 +a(g184 +V +tp15679 +a(g101 +VsubGot +p15680 +tp15681 +a(g184 +V +tp15682 +a(g338 +V| +tp15683 +a(g184 +V\u000a +p15684 +tp15685 +a(g101 +Vsub +p15686 +tp15687 +a(g338 +V:: +p15688 +tp15689 +a(g184 +V +tp15690 +a(g101 +Vsubexpr +p15691 +tp15692 +a(g184 +V +tp15693 +a(g50 +Vat: +p15694 +tp15695 +a(g184 +V +tp15696 +a(g101 +Vi +tp15697 +a(g197 +V. +tp15698 +a(g184 +V\u000a +p15699 +tp15700 +a(g101 +VsubExpect +p15701 +tp15702 +a(g338 +V:: +p15703 +tp15704 +a(g184 +V +tp15705 +a(g101 +Vsubexpr +p15706 +tp15707 +a(g184 +V +tp15708 +a(g50 +Vat: +p15709 +tp15710 +a(g184 +V +tp15711 +a(g101 +Vi +tp15712 +a(g184 +V +tp15713 +a(g338 +V+ +tp15714 +a(g184 +V +tp15715 +a(g305 +V1. +p15716 +tp15717 +a(g184 +V\u000a +p15718 +tp15719 +a(g101 +VsubGot +p15720 +tp15721 +a(g338 +V:: +p15722 +tp15723 +a(g184 +V +tp15724 +a(g101 +VaMatcher +p15725 +tp15726 +a(g184 +V +tp15727 +a(g50 +Vsubexpression: +p15728 +tp15729 +a(g184 +V +tp15730 +a(g101 +Vsub +p15731 +tp15732 +a(g197 +V. +tp15733 +a(g184 +V\u000a +p15734 +tp15735 +a(g101 +VTranscript +p15736 +tp15737 +a(g184 +V +tp15738 +a(g101 +Vcr +p15739 +tp15740 +a(g197 +V; +tp15741 +a(g184 +V +tp15742 +a(g101 +Vtab +p15743 +tp15744 +a(g197 +V; +tp15745 +a(g184 +V +tp15746 +a(g101 +Vtab +p15747 +tp15748 +a(g197 +V; +tp15749 +a(g184 +V\u000a +p15750 +tp15751 +a(g50 +Vshow: +p15752 +tp15753 +a(g184 +V +tp15754 +a(g221 +V'Subexpression: ' +p15755 +tp15756 +a(g338 +V, +tp15757 +a(g184 +V +tp15758 +a(g101 +Vsub +p15759 +tp15760 +a(g184 +V +tp15761 +a(g101 +VprintString +p15762 +tp15763 +a(g197 +V; +tp15764 +a(g184 +V\u000a +p15765 +tp15766 +a(g50 +Vshow: +p15767 +tp15768 +a(g184 +V +tp15769 +a(g221 +V' expected: ' +p15770 +tp15771 +a(g197 +V; +tp15772 +a(g184 +V\u000a +p15773 +tp15774 +a(g50 +Vshow: +p15775 +tp15776 +a(g184 +V +tp15777 +a(g101 +VsubExpect +p15778 +tp15779 +a(g184 +V +tp15780 +a(g101 +VprintString +p15781 +tp15782 +a(g197 +V; +tp15783 +a(g184 +V\u000a +p15784 +tp15785 +a(g50 +Vshow: +p15786 +tp15787 +a(g184 +V +tp15788 +a(g221 +V' got: ' +p15789 +tp15790 +a(g197 +V; +tp15791 +a(g184 +V\u000a +p15792 +tp15793 +a(g50 +Vshow: +p15794 +tp15795 +a(g184 +V +tp15796 +a(g101 +VsubGot +p15797 +tp15798 +a(g184 +V +tp15799 +a(g101 +VprintString +p15800 +tp15801 +a(g197 +V. +tp15802 +a(g184 +V\u000a +p15803 +tp15804 +a(g101 +VsubExpect +p15805 +tp15806 +a(g184 +V +tp15807 +a(g338 +V~ +tp15808 +a(g338 +V= +tp15809 +a(g184 +V +tp15810 +a(g101 +VsubGot +p15811 +tp15812 +a(g184 +V\u000a +p15813 +tp15814 +a(g50 +VifTrue: +p15815 +tp15816 +a(g184 +V \u000a +p15817 +tp15818 +a(g197 +V[ +tp15819 +a(g101 +VTranscript +p15820 +tp15821 +a(g184 +V +tp15822 +a(g50 +Vshow: +p15823 +tp15824 +a(g184 +V +tp15825 +a(g221 +V' -- MISMATCH' +p15826 +tp15827 +a(g197 +V. +tp15828 +a(g184 +V\u000a +p15829 +tp15830 +a(g101 +VisOK +p15831 +tp15832 +a(g338 +V:: +p15833 +tp15834 +a(g184 +V +tp15835 +a(g13 +Vfalse +p15836 +tp15837 +a(g197 +V] +tp15838 +a(g197 +V] +tp15839 +a(g197 +V. +tp15840 +a(g184 +V\u000a +p15841 +tp15842 +a(g338 +V^ +tp15843 +a(g101 +VisOK +p15844 +tp15845 +a(g197 +V] +tp15846 +a(g184 +V\u000a +tp15847 +a(g197 +V) +tp15848 +a(g184 +V\u000a\u000a +p15849 +tp15850 +a(g221 +V'utilities' +p15851 +tp15852 +a(g184 +V\u000a +tp15853 +a(g50 +Vparse: +p15854 +tp15855 +a(g184 +V +tp15856 +a(g101 +VaString +p15857 +tp15858 +a(g184 +V +tp15859 +a(g338 +V= +tp15860 +a(g184 +V +tp15861 +a(g197 +V( +tp15862 +a(g184 +V\u000a +p15863 +tp15864 +a(g26 +V"Parse the argument and return the result (the parse tree).\u000a In case of a syntax error, the corresponding exception is signaled." +p15865 +tp15866 +a(g184 +V\u000a\u000a +p15867 +tp15868 +a(g338 +V^ +tp15869 +a(g13 +Vself +p15870 +tp15871 +a(g184 +V +tp15872 +a(g101 +Vnew +p15873 +tp15874 +a(g184 +V +tp15875 +a(g50 +Vparse: +p15876 +tp15877 +a(g184 +V +tp15878 +a(g101 +VaString +p15879 +tp15880 +a(g184 +V\u000a +tp15881 +a(g197 +V) +tp15882 +a(g184 +V\u000a\u000a +p15883 +tp15884 +a(g50 +VsafelyParse: +p15885 +tp15886 +a(g184 +V +tp15887 +a(g101 +VaString +p15888 +tp15889 +a(g184 +V +tp15890 +a(g338 +V= +tp15891 +a(g184 +V +tp15892 +a(g197 +V( +tp15893 +a(g184 +V\u000a +p15894 +tp15895 +a(g26 +V"Parse the argument and return the result (the parse tree).\u000a In case of a syntax error, return nil.\u000a Exception handling here is dialect-dependent." +p15896 +tp15897 +a(g184 +V\u000a\u000a +p15898 +tp15899 +a(g338 +V^ +tp15900 +a(g13 +Vself +p15901 +tp15902 +a(g184 +V +tp15903 +a(g101 +VsyntaxErrorSignal +p15904 +tp15905 +a(g184 +V\u000a +p15906 +tp15907 +a(g50 +Vhandle: +p15908 +tp15909 +a(g184 +V +tp15910 +a(g197 +V[ +tp15911 +a(g101 +V:ex +p15912 +tp15913 +a(g184 +V +tp15914 +a(g338 +V| +tp15915 +a(g184 +V +tp15916 +a(g101 +Vex +p15917 +tp15918 +a(g184 +V +tp15919 +a(g50 +VreturnWith: +p15920 +tp15921 +a(g184 +V +tp15922 +a(g101 +Vnil +p15923 +tp15924 +a(g197 +V] +tp15925 +a(g184 +V\u000a +p15926 +tp15927 +a(g50 +Vdo: +p15928 +tp15929 +a(g184 +V +tp15930 +a(g197 +V[ +tp15931 +a(g13 +Vself +p15932 +tp15933 +a(g184 +V +tp15934 +a(g101 +Vnew +p15935 +tp15936 +a(g184 +V +tp15937 +a(g50 +Vparse: +p15938 +tp15939 +a(g184 +V +tp15940 +a(g101 +VaString +p15941 +tp15942 +a(g197 +V] +tp15943 +a(g184 +V\u000a +tp15944 +a(g197 +V) +tp15945 +a(g184 +V\u000a\u000a +p15946 +tp15947 +a(g197 +V) +tp15948 +a(g184 +V\u000a\u000a +p15949 +tp15950 +a(g7 +Vclass +p15951 +tp15952 +a(g184 +V +tp15953 +a(g134 +VRxsCharSet +p15954 +tp15955 +a(g184 +V +tp15956 +a(g50 +Velements: +p15957 +tp15958 +a(g184 +V +tp15959 +a(g101 +VaCollection +p15960 +tp15961 +a(g184 +V +tp15962 +a(g50 +Vnegated: +p15963 +tp15964 +a(g184 +V +tp15965 +a(g101 +VaBoolean +p15966 +tp15967 +a(g184 +V +tp15968 +a(g338 +V= +tp15969 +a(g184 +V +tp15970 +a(g101 +VRxsNode +p15971 +tp15972 +a(g184 +V +tp15973 +a(g197 +V( +tp15974 +a(g184 +V\u000a +tp15975 +a(g26 +V"\u000aA character set corresponds to a [...] construct in the regular expression.\u000a\u000aInstance variables:\u000a elements An element can be one of: RxsCharacter, RxsRange, or RxsPredicate.\u000a negated " +p15976 +tp15977 +a(g184 +V\u000a +tp15978 +a(g338 +V| +tp15979 +a(g184 +V\u000a +p15980 +tp15981 +a(g101 +Vnegated +p15982 +tp15983 +a(g338 +V:: +p15984 +tp15985 +a(g338 +V= +tp15986 +a(g184 +V +tp15987 +a(g101 +VaBoolean +p15988 +tp15989 +a(g197 +V. +tp15990 +a(g184 +V\u000a +p15991 +tp15992 +a(g101 +Velements +p15993 +tp15994 +a(g338 +V:: +p15995 +tp15996 +a(g338 +V= +tp15997 +a(g184 +V +tp15998 +a(g101 +VaCollection +p15999 +tp16000 +a(g197 +V. +tp16001 +a(g184 +V\u000a +tp16002 +a(g338 +V| +tp16003 +a(g184 +V\u000a +tp16004 +a(g197 +V) +tp16005 +a(g184 +V\u000a +tp16006 +a(g197 +V( +tp16007 +a(g221 +V'accessing' +p16008 +tp16009 +a(g184 +V\u000a +tp16010 +a(g50 +VdispatchTo: +p16011 +tp16012 +a(g184 +V +tp16013 +a(g101 +VaMatcher +p16014 +tp16015 +a(g184 +V +tp16016 +a(g338 +V= +tp16017 +a(g184 +V +tp16018 +a(g197 +V( +tp16019 +a(g184 +V\u000a +p16020 +tp16021 +a(g26 +V"Inform the matcher of the kind of the node, and it\u000a will do whatever it has to." +p16022 +tp16023 +a(g184 +V\u000a\u000a +p16024 +tp16025 +a(g338 +V^ +tp16026 +a(g101 +VaMatcher +p16027 +tp16028 +a(g184 +V +tp16029 +a(g50 +VsyntaxCharSet: +p16030 +tp16031 +a(g184 +V +tp16032 +a(g101 +Vself +p16033 +tp16034 +a(g184 +V\u000a +tp16035 +a(g197 +V) +tp16036 +a(g184 +V\u000a\u000a +p16037 +tp16038 +a(g69 +VhasPredicates +p16039 +tp16040 +a(g184 +V +tp16041 +a(g338 +V= +tp16042 +a(g184 +V +tp16043 +a(g197 +V( +tp16044 +a(g184 +V\u000a\u000a +p16045 +tp16046 +a(g338 +V^ +tp16047 +a(g101 +Velements +p16048 +tp16049 +a(g184 +V +tp16050 +a(g50 +Vcontains: +p16051 +tp16052 +a(g184 +V +tp16053 +a(g197 +V[ +tp16054 +a(g101 +V:some +p16055 +tp16056 +a(g184 +V +tp16057 +a(g338 +V| +tp16058 +a(g184 +V +tp16059 +a(g101 +Vsome +p16060 +tp16061 +a(g184 +V +tp16062 +a(g101 +VisEnumerable +p16063 +tp16064 +a(g184 +V +tp16065 +a(g101 +Vnot +p16066 +tp16067 +a(g197 +V] +tp16068 +a(g184 +V\u000a +tp16069 +a(g197 +V) +tp16070 +a(g184 +V\u000a\u000a +p16071 +tp16072 +a(g50 +VpredicateIgnoringCase: +p16073 +tp16074 +a(g184 +V +tp16075 +a(g101 +VaBoolean +p16076 +tp16077 +a(g184 +V +tp16078 +a(g338 +V= +tp16079 +a(g184 +V +tp16080 +a(g197 +V( +tp16081 +a(g184 +V\u000a\u000a +p16082 +tp16083 +a(g338 +V| +tp16084 +a(g184 +V +tp16085 +a(g101 +Vpredicate +p16086 +tp16087 +a(g184 +V +tp16088 +a(g101 +Venumerable +p16089 +tp16090 +a(g184 +V +tp16091 +a(g338 +V| +tp16092 +a(g184 +V\u000a +p16093 +tp16094 +a(g101 +Venumerable +p16095 +tp16096 +a(g338 +V:: +p16097 +tp16098 +a(g184 +V +tp16099 +a(g13 +Vself +p16100 +tp16101 +a(g184 +V +tp16102 +a(g50 +VenumerablePartPredicateIgnoringCase: +p16103 +tp16104 +a(g184 +V +tp16105 +a(g101 +VaBoolean +p16106 +tp16107 +a(g197 +V. +tp16108 +a(g184 +V\u000a +p16109 +tp16110 +a(g338 +V^ +tp16111 +a(g13 +Vself +p16112 +tp16113 +a(g184 +V +tp16114 +a(g101 +VhasPredicates +p16115 +tp16116 +a(g184 +V\u000a +p16117 +tp16118 +a(g50 +VifFalse: +p16119 +tp16120 +a(g184 +V +tp16121 +a(g197 +V[ +tp16122 +a(g101 +Venumerable +p16123 +tp16124 +a(g197 +V] +tp16125 +a(g184 +V\u000a +p16126 +tp16127 +a(g50 +VifTrue: +p16128 +tp16129 +a(g184 +V\u000a +p16130 +tp16131 +a(g197 +V[ +tp16132 +a(g101 +Vpredicate +p16133 +tp16134 +a(g338 +V:: +p16135 +tp16136 +a(g184 +V +tp16137 +a(g13 +Vself +p16138 +tp16139 +a(g184 +V +tp16140 +a(g101 +VpredicatePartPredicate +p16141 +tp16142 +a(g197 +V. +tp16143 +a(g184 +V\u000a +p16144 +tp16145 +a(g101 +Vnegated +p16146 +tp16147 +a(g184 +V\u000a +p16148 +tp16149 +a(g50 +VifTrue: +p16150 +tp16151 +a(g184 +V +tp16152 +a(g197 +V[ +tp16153 +a(g197 +V[ +tp16154 +a(g101 +V:char +p16155 +tp16156 +a(g184 +V +tp16157 +a(g338 +V| +tp16158 +a(g184 +V +tp16159 +a(g197 +V( +tp16160 +a(g101 +Venumerable +p16161 +tp16162 +a(g184 +V +tp16163 +a(g50 +Vvalue: +p16164 +tp16165 +a(g184 +V +tp16166 +a(g101 +Vchar +p16167 +tp16168 +a(g197 +V) +tp16169 +a(g184 +V +tp16170 +a(g50 +Vand: +p16171 +tp16172 +a(g184 +V +tp16173 +a(g197 +V[ +tp16174 +a(g101 +Vpredicate +p16175 +tp16176 +a(g184 +V +tp16177 +a(g50 +Vvalue: +p16178 +tp16179 +a(g184 +V +tp16180 +a(g101 +Vchar +p16181 +tp16182 +a(g197 +V] +tp16183 +a(g197 +V] +tp16184 +a(g197 +V] +tp16185 +a(g184 +V\u000a +p16186 +tp16187 +a(g50 +VifFalse: +p16188 +tp16189 +a(g184 +V +tp16190 +a(g197 +V[ +tp16191 +a(g197 +V[ +tp16192 +a(g101 +V:char +p16193 +tp16194 +a(g184 +V +tp16195 +a(g338 +V| +tp16196 +a(g184 +V +tp16197 +a(g197 +V( +tp16198 +a(g101 +Venumerable +p16199 +tp16200 +a(g184 +V +tp16201 +a(g50 +Vvalue: +p16202 +tp16203 +a(g184 +V +tp16204 +a(g101 +Vchar +p16205 +tp16206 +a(g197 +V) +tp16207 +a(g184 +V +tp16208 +a(g50 +Vor: +p16209 +tp16210 +a(g184 +V +tp16211 +a(g197 +V[ +tp16212 +a(g101 +Vpredicate +p16213 +tp16214 +a(g184 +V +tp16215 +a(g50 +Vvalue: +p16216 +tp16217 +a(g184 +V +tp16218 +a(g101 +Vchar +p16219 +tp16220 +a(g197 +V] +tp16221 +a(g197 +V] +tp16222 +a(g197 +V] +tp16223 +a(g197 +V] +tp16224 +a(g184 +V\u000a +tp16225 +a(g197 +V) +tp16226 +a(g184 +V\u000a\u000a +p16227 +tp16228 +a(g69 +Vpredicates +p16229 +tp16230 +a(g184 +V +tp16231 +a(g338 +V= +tp16232 +a(g184 +V +tp16233 +a(g197 +V( +tp16234 +a(g184 +V\u000a\u000a +p16235 +tp16236 +a(g338 +V^ +tp16237 +a(g197 +V( +tp16238 +a(g101 +Velements +p16239 +tp16240 +a(g184 +V +tp16241 +a(g50 +Vreject: +p16242 +tp16243 +a(g184 +V +tp16244 +a(g197 +V[ +tp16245 +a(g101 +V:some +p16246 +tp16247 +a(g184 +V +tp16248 +a(g338 +V| +tp16249 +a(g184 +V +tp16250 +a(g101 +Vsome +p16251 +tp16252 +a(g184 +V +tp16253 +a(g101 +VisEnumerable +p16254 +tp16255 +a(g197 +V] +tp16256 +a(g197 +V) +tp16257 +a(g184 +V\u000a +p16258 +tp16259 +a(g50 +Vcollect: +p16260 +tp16261 +a(g184 +V +tp16262 +a(g197 +V[ +tp16263 +a(g101 +V:each +p16264 +tp16265 +a(g184 +V +tp16266 +a(g338 +V| +tp16267 +a(g184 +V +tp16268 +a(g101 +Veach +p16269 +tp16270 +a(g184 +V +tp16271 +a(g101 +Vpredicate +p16272 +tp16273 +a(g197 +V] +tp16274 +a(g184 +V\u000a +tp16275 +a(g197 +V) +tp16276 +a(g184 +V\u000a\u000a +p16277 +tp16278 +a(g221 +V'privileged' +p16279 +tp16280 +a(g184 +V\u000a +tp16281 +a(g50 +VenumerablePartPredicateIgnoringCase: +p16282 +tp16283 +a(g184 +V +tp16284 +a(g101 +VaBoolean +p16285 +tp16286 +a(g184 +V +tp16287 +a(g338 +V= +tp16288 +a(g184 +V +tp16289 +a(g197 +V( +tp16290 +a(g184 +V\u000a\u000a +p16291 +tp16292 +a(g338 +V| +tp16293 +a(g184 +V +tp16294 +a(g101 +Venumeration +p16295 +tp16296 +a(g184 +V +tp16297 +a(g338 +V| +tp16298 +a(g184 +V\u000a +p16299 +tp16300 +a(g101 +Venumeration +p16301 +tp16302 +a(g338 +V:: +p16303 +tp16304 +a(g184 +V +tp16305 +a(g13 +Vself +p16306 +tp16307 +a(g184 +V +tp16308 +a(g50 +VoptimalSetIgnoringCase: +p16309 +tp16310 +a(g184 +V +tp16311 +a(g101 +VaBoolean +p16312 +tp16313 +a(g197 +V. +tp16314 +a(g184 +V\u000a +p16315 +tp16316 +a(g338 +V^ +tp16317 +a(g101 +Vnegated +p16318 +tp16319 +a(g184 +V\u000a +p16320 +tp16321 +a(g50 +VifTrue: +p16322 +tp16323 +a(g184 +V +tp16324 +a(g197 +V[ +tp16325 +a(g197 +V[ +tp16326 +a(g101 +V:char +p16327 +tp16328 +a(g184 +V +tp16329 +a(g338 +V| +tp16330 +a(g184 +V +tp16331 +a(g197 +V( +tp16332 +a(g101 +Venumeration +p16333 +tp16334 +a(g184 +V +tp16335 +a(g50 +Vincludes: +p16336 +tp16337 +a(g184 +V +tp16338 +a(g101 +Vchar +p16339 +tp16340 +a(g197 +V) +tp16341 +a(g184 +V +tp16342 +a(g101 +Vnot +p16343 +tp16344 +a(g197 +V] +tp16345 +a(g197 +V] +tp16346 +a(g184 +V\u000a +p16347 +tp16348 +a(g50 +VifFalse: +p16349 +tp16350 +a(g184 +V +tp16351 +a(g197 +V[ +tp16352 +a(g197 +V[ +tp16353 +a(g101 +V:char +p16354 +tp16355 +a(g184 +V +tp16356 +a(g338 +V| +tp16357 +a(g184 +V +tp16358 +a(g101 +Venumeration +p16359 +tp16360 +a(g184 +V +tp16361 +a(g50 +Vincludes: +p16362 +tp16363 +a(g184 +V +tp16364 +a(g101 +Vchar +p16365 +tp16366 +a(g197 +V] +tp16367 +a(g197 +V] +tp16368 +a(g184 +V\u000a +tp16369 +a(g197 +V) +tp16370 +a(g184 +V\u000a\u000a +p16371 +tp16372 +a(g50 +VenumerableSetIgnoringCase: +p16373 +tp16374 +a(g184 +V +tp16375 +a(g101 +VaBoolean +p16376 +tp16377 +a(g184 +V +tp16378 +a(g338 +V= +tp16379 +a(g184 +V +tp16380 +a(g197 +V( +tp16381 +a(g184 +V\u000a +p16382 +tp16383 +a(g26 +V"Answer a collection of characters that make up the portion of me\u000a that can be enumerated." +p16384 +tp16385 +a(g184 +V\u000a\u000a +p16386 +tp16387 +a(g338 +V| +tp16388 +a(g184 +V +tp16389 +a(g101 +Vset +p16390 +tp16391 +a(g184 +V +tp16392 +a(g338 +V| +tp16393 +a(g184 +V\u000a +p16394 +tp16395 +a(g101 +Vset +p16396 +tp16397 +a(g338 +V:: +p16398 +tp16399 +a(g184 +V +tp16400 +a(g101 +VSet +p16401 +tp16402 +a(g184 +V +tp16403 +a(g101 +Vnew +p16404 +tp16405 +a(g197 +V. +tp16406 +a(g184 +V\u000a +p16407 +tp16408 +a(g101 +Velements +p16409 +tp16410 +a(g184 +V +tp16411 +a(g50 +Vdo: +p16412 +tp16413 +a(g184 +V\u000a +p16414 +tp16415 +a(g197 +V[ +tp16416 +a(g101 +V:each +p16417 +tp16418 +a(g184 +V +tp16419 +a(g338 +V| +tp16420 +a(g184 +V\u000a +p16421 +tp16422 +a(g101 +Veach +p16423 +tp16424 +a(g184 +V +tp16425 +a(g101 +VisEnumerable +p16426 +tp16427 +a(g184 +V +tp16428 +a(g50 +VifTrue: +p16429 +tp16430 +a(g184 +V\u000a +p16431 +tp16432 +a(g197 +V[ +tp16433 +a(g101 +Veach +p16434 +tp16435 +a(g184 +V +tp16436 +a(g50 +VenumerateTo: +p16437 +tp16438 +a(g184 +V +tp16439 +a(g101 +Vset +p16440 +tp16441 +a(g184 +V +tp16442 +a(g50 +VignoringCase: +p16443 +tp16444 +a(g184 +V +tp16445 +a(g101 +VaBoolean +p16446 +tp16447 +a(g197 +V] +tp16448 +a(g197 +V] +tp16449 +a(g197 +V. +tp16450 +a(g184 +V\u000a +p16451 +tp16452 +a(g338 +V^ +tp16453 +a(g101 +Vset +p16454 +tp16455 +a(g184 +V\u000a +tp16456 +a(g197 +V) +tp16457 +a(g184 +V\u000a\u000a +p16458 +tp16459 +a(g50 +VoptimalSetIgnoringCase: +p16460 +tp16461 +a(g184 +V +tp16462 +a(g101 +VaBoolean +p16463 +tp16464 +a(g184 +V +tp16465 +a(g338 +V= +tp16466 +a(g184 +V +tp16467 +a(g197 +V( +tp16468 +a(g184 +V\u000a +p16469 +tp16470 +a(g26 +V"Assuming the client with search the `set' using #includes:,\u000a answer a collection with the contents of `set', of the class\u000a that will provide the fastest lookup. Strings are faster than\u000a Sets for short strings." +p16471 +tp16472 +a(g184 +V\u000a\u000a +p16473 +tp16474 +a(g338 +V| +tp16475 +a(g184 +V +tp16476 +a(g101 +Vset +p16477 +tp16478 +a(g184 +V +tp16479 +a(g338 +V| +tp16480 +a(g184 +V\u000a +p16481 +tp16482 +a(g101 +Vset +p16483 +tp16484 +a(g338 +V:: +p16485 +tp16486 +a(g184 +V +tp16487 +a(g13 +Vself +p16488 +tp16489 +a(g184 +V +tp16490 +a(g50 +VenumerableSetIgnoringCase: +p16491 +tp16492 +a(g184 +V +tp16493 +a(g101 +VaBoolean +p16494 +tp16495 +a(g197 +V. +tp16496 +a(g184 +V\u000a +p16497 +tp16498 +a(g26 +V"fails: quirk btwn VW and Sq?\u000a ^set size < 10\u000a ifTrue: [String withAll: set]\u000a ifFalse: [set]" +p16499 +tp16500 +a(g184 +V\u000a +p16501 +tp16502 +a(g338 +V^ +tp16503 +a(g101 +Vset +p16504 +tp16505 +a(g184 +V\u000a +tp16506 +a(g197 +V) +tp16507 +a(g184 +V\u000a\u000a +p16508 +tp16509 +a(g69 +VpredicatePartPredicate +p16510 +tp16511 +a(g184 +V +tp16512 +a(g338 +V= +tp16513 +a(g184 +V +tp16514 +a(g197 +V( +tp16515 +a(g184 +V\u000a +p16516 +tp16517 +a(g26 +V"Answer a predicate that tests all of my elements that cannot be\u000a enumerated." +p16518 +tp16519 +a(g184 +V\u000a\u000a +p16520 +tp16521 +a(g338 +V| +tp16522 +a(g184 +V +tp16523 +a(g101 +Vpredicates +p16524 +tp16525 +a(g184 +V +tp16526 +a(g338 +V| +tp16527 +a(g184 +V\u000a +p16528 +tp16529 +a(g101 +Vpredicates +p16530 +tp16531 +a(g338 +V:: +p16532 +tp16533 +a(g184 +V +tp16534 +a(g101 +Velements +p16535 +tp16536 +a(g184 +V +tp16537 +a(g50 +Vreject: +p16538 +tp16539 +a(g184 +V +tp16540 +a(g197 +V[ +tp16541 +a(g101 +V:some +p16542 +tp16543 +a(g184 +V +tp16544 +a(g338 +V| +tp16545 +a(g184 +V +tp16546 +a(g101 +Vsome +p16547 +tp16548 +a(g184 +V +tp16549 +a(g101 +VisEnumerable +p16550 +tp16551 +a(g197 +V] +tp16552 +a(g197 +V. +tp16553 +a(g184 +V\u000a +p16554 +tp16555 +a(g101 +Vpredicates +p16556 +tp16557 +a(g184 +V +tp16558 +a(g101 +VisEmpty +p16559 +tp16560 +a(g184 +V\u000a +p16561 +tp16562 +a(g50 +VifTrue: +p16563 +tp16564 +a(g184 +V +tp16565 +a(g197 +V[ +tp16566 +a(g338 +V^ +tp16567 +a(g197 +V[ +tp16568 +a(g101 +V:char +p16569 +tp16570 +a(g184 +V +tp16571 +a(g338 +V| +tp16572 +a(g184 +V +tp16573 +a(g101 +Vnegated +p16574 +tp16575 +a(g197 +V] +tp16576 +a(g197 +V] +tp16577 +a(g197 +V. +tp16578 +a(g184 +V\u000a +p16579 +tp16580 +a(g101 +Vpredicates +p16581 +tp16582 +a(g184 +V +tp16583 +a(g69 +Vsize +p16584 +tp16585 +a(g184 +V +tp16586 +a(g338 +V= +tp16587 +a(g184 +V +tp16588 +a(g313 +V1 +tp16589 +a(g184 +V\u000a +p16590 +tp16591 +a(g50 +VifTrue: +p16592 +tp16593 +a(g184 +V +tp16594 +a(g197 +V[ +tp16595 +a(g338 +V^ +tp16596 +a(g101 +Vnegated +p16597 +tp16598 +a(g184 +V\u000a +p16599 +tp16600 +a(g50 +VifTrue: +p16601 +tp16602 +a(g184 +V +tp16603 +a(g197 +V[ +tp16604 +a(g101 +Vpredicates +p16605 +tp16606 +a(g184 +V +tp16607 +a(g101 +Vfirst +p16608 +tp16609 +a(g184 +V +tp16610 +a(g101 +VpredicateNegation +p16611 +tp16612 +a(g197 +V] +tp16613 +a(g184 +V\u000a +p16614 +tp16615 +a(g50 +VifFalse: +p16616 +tp16617 +a(g184 +V +tp16618 +a(g197 +V[ +tp16619 +a(g101 +Vpredicates +p16620 +tp16621 +a(g184 +V +tp16622 +a(g101 +Vfirst +p16623 +tp16624 +a(g184 +V +tp16625 +a(g101 +Vpredicate +p16626 +tp16627 +a(g197 +V] +tp16628 +a(g197 +V] +tp16629 +a(g197 +V. +tp16630 +a(g184 +V\u000a +p16631 +tp16632 +a(g101 +Vpredicates +p16633 +tp16634 +a(g338 +V:: +p16635 +tp16636 +a(g184 +V +tp16637 +a(g101 +Vpredicates +p16638 +tp16639 +a(g184 +V +tp16640 +a(g50 +Vcollect: +p16641 +tp16642 +a(g184 +V +tp16643 +a(g197 +V[ +tp16644 +a(g101 +V:each +p16645 +tp16646 +a(g184 +V +tp16647 +a(g338 +V| +tp16648 +a(g184 +V +tp16649 +a(g101 +Veach +p16650 +tp16651 +a(g184 +V +tp16652 +a(g101 +Vpredicate +p16653 +tp16654 +a(g197 +V] +tp16655 +a(g197 +V. +tp16656 +a(g184 +V\u000a +p16657 +tp16658 +a(g338 +V^ +tp16659 +a(g101 +Vnegated +p16660 +tp16661 +a(g184 +V\u000a +p16662 +tp16663 +a(g50 +VifFalse: +p16664 +tp16665 +a(g184 +V\u000a +p16666 +tp16667 +a(g197 +V[ +tp16668 +a(g197 +V[ +tp16669 +a(g101 +V:char +p16670 +tp16671 +a(g184 +V +tp16672 +a(g338 +V| +tp16673 +a(g184 +V +tp16674 +a(g101 +Vpredicates +p16675 +tp16676 +a(g184 +V +tp16677 +a(g50 +Vcontains: +p16678 +tp16679 +a(g184 +V +tp16680 +a(g197 +V[ +tp16681 +a(g101 +V:some +p16682 +tp16683 +a(g184 +V +tp16684 +a(g338 +V| +tp16685 +a(g184 +V +tp16686 +a(g101 +Vsome +p16687 +tp16688 +a(g184 +V +tp16689 +a(g50 +Vvalue: +p16690 +tp16691 +a(g184 +V +tp16692 +a(g101 +Vchar +p16693 +tp16694 +a(g197 +V] +tp16695 +a(g197 +V] +tp16696 +a(g197 +V] +tp16697 +a(g184 +V\u000a +p16698 +tp16699 +a(g50 +VifTrue: +p16700 +tp16701 +a(g184 +V\u000a +p16702 +tp16703 +a(g197 +V[ +tp16704 +a(g197 +V[ +tp16705 +a(g101 +V:char +p16706 +tp16707 +a(g184 +V +tp16708 +a(g338 +V| +tp16709 +a(g184 +V +tp16710 +a(g197 +V( +tp16711 +a(g101 +Vpredicates +p16712 +tp16713 +a(g184 +V +tp16714 +a(g50 +Vcontains: +p16715 +tp16716 +a(g184 +V +tp16717 +a(g197 +V[ +tp16718 +a(g101 +V:some +p16719 +tp16720 +a(g184 +V +tp16721 +a(g338 +V| +tp16722 +a(g184 +V +tp16723 +a(g101 +Vsome +p16724 +tp16725 +a(g184 +V +tp16726 +a(g50 +Vvalue: +p16727 +tp16728 +a(g184 +V +tp16729 +a(g101 +Vchar +p16730 +tp16731 +a(g197 +V] +tp16732 +a(g197 +V) +tp16733 +a(g184 +V +tp16734 +a(g101 +Vnot +p16735 +tp16736 +a(g197 +V] +tp16737 +a(g197 +V] +tp16738 +a(g184 +V\u000a +tp16739 +a(g197 +V) +tp16740 +a(g184 +V\u000a\u000a +p16741 +tp16742 +a(g221 +V'testing' +p16743 +tp16744 +a(g184 +V\u000a +tp16745 +a(g69 +VisEnumerable +p16746 +tp16747 +a(g184 +V +tp16748 +a(g338 +V= +tp16749 +a(g184 +V +tp16750 +a(g197 +V( +tp16751 +a(g184 +V\u000a\u000a +p16752 +tp16753 +a(g101 +Velements +p16754 +tp16755 +a(g184 +V +tp16756 +a(g50 +Vdetect: +p16757 +tp16758 +a(g184 +V +tp16759 +a(g197 +V[ +tp16760 +a(g101 +V:some +p16761 +tp16762 +a(g184 +V +tp16763 +a(g338 +V| +tp16764 +a(g184 +V +tp16765 +a(g101 +Vsome +p16766 +tp16767 +a(g184 +V +tp16768 +a(g101 +VisEnumerable +p16769 +tp16770 +a(g184 +V +tp16771 +a(g101 +Vnot +p16772 +tp16773 +a(g197 +V] +tp16774 +a(g184 +V +tp16775 +a(g50 +VifNone: +p16776 +tp16777 +a(g184 +V +tp16778 +a(g197 +V[ +tp16779 +a(g338 +V^ +tp16780 +a(g13 +Vtrue +p16781 +tp16782 +a(g197 +V] +tp16783 +a(g197 +V. +tp16784 +a(g184 +V\u000a +p16785 +tp16786 +a(g338 +V^ +tp16787 +a(g13 +Vfalse +p16788 +tp16789 +a(g184 +V\u000a +tp16790 +a(g197 +V) +tp16791 +a(g184 +V\u000a\u000a +p16792 +tp16793 +a(g69 +VisNegated +p16794 +tp16795 +a(g184 +V +tp16796 +a(g338 +V= +tp16797 +a(g184 +V +tp16798 +a(g197 +V( +tp16799 +a(g184 +V\u000a\u000a +p16800 +tp16801 +a(g338 +V^ +tp16802 +a(g101 +Vnegated +p16803 +tp16804 +a(g184 +V\u000a +tp16805 +a(g197 +V) +tp16806 +a(g184 +V\u000a\u000a +p16807 +tp16808 +a(g197 +V) +tp16809 +a(g184 +V\u000a\u000a +p16810 +tp16811 +a(g7 +Vclass +p16812 +tp16813 +a(g184 +V +tp16814 +a(g134 +VMatchError +p16815 +tp16816 +a(g184 +V +tp16817 +a(g338 +V= +tp16818 +a(g184 +V +tp16819 +a(g101 +VRegexError +p16820 +tp16821 +a(g184 +V +tp16822 +a(g197 +V( +tp16823 +a(g26 +V"Regex matching error" +p16824 +tp16825 +a(g197 +V) +tp16826 +a(g184 +V\u000a +tp16827 +a(g197 +V( +tp16828 +a(g197 +V) +tp16829 +a(g184 +V\u000a\u000a +p16830 +tp16831 +a(g7 +Vclass +p16832 +tp16833 +a(g184 +V +tp16834 +a(g134 +VRxmPredicate +p16835 +tp16836 +a(g184 +V +tp16837 +a(g338 +V= +tp16838 +a(g184 +V +tp16839 +a(g101 +VRxmLink +p16840 +tp16841 +a(g184 +V +tp16842 +a(g197 +V( +tp16843 +a(g184 +V\u000a +tp16844 +a(g26 +V"Instance holds onto a one-argument block and matches exactly one character if the block evaluates to true when passed the character as the argument.\u000a\u000aInstance variables:\u000a predicate " +p16845 +tp16846 +a(g184 +V\u000a +tp16847 +a(g338 +V| +tp16848 +a(g184 +V\u000a +p16849 +tp16850 +a(g101 +VpredicateS +p16851 +tp16852 +a(g184 +V\u000a +tp16853 +a(g338 +V| +tp16854 +a(g184 +V\u000a +tp16855 +a(g197 +V) +tp16856 +a(g184 +V\u000a +tp16857 +a(g197 +V( +tp16858 +a(g221 +V'initialize-release' +p16859 +tp16860 +a(g184 +V\u000a +tp16861 +a(g50 +VbePerform: +p16862 +tp16863 +a(g184 +V +tp16864 +a(g101 +VaSelector +p16865 +tp16866 +a(g184 +V +tp16867 +a(g338 +V= +tp16868 +a(g184 +V +tp16869 +a(g197 +V( +tp16870 +a(g184 +V\u000a +p16871 +tp16872 +a(g26 +V"Match any single character that answers true to this message." +p16873 +tp16874 +a(g184 +V\u000a\u000a +p16875 +tp16876 +a(g13 +Vself +p16877 +tp16878 +a(g184 +V +tp16879 +a(g50 +Vpredicate: +p16880 +tp16881 +a(g184 +V \u000a +p16882 +tp16883 +a(g197 +V[ +tp16884 +a(g101 +V:char +p16885 +tp16886 +a(g184 +V +tp16887 +a(g338 +V| +tp16888 +a(g184 +V \u000a +p16889 +tp16890 +a(g101 +VRxParser +p16891 +tp16892 +a(g184 +V +tp16893 +a(g50 +VdoHandlingMessageNotUnderstood: +p16894 +tp16895 +a(g184 +V +tp16896 +a(g197 +V[ +tp16897 +a(g101 +Vchar +p16898 +tp16899 +a(g184 +V +tp16900 +a(g50 +Vperform: +p16901 +tp16902 +a(g184 +V +tp16903 +a(g101 +VaSelector +p16904 +tp16905 +a(g197 +V] +tp16906 +a(g197 +V] +tp16907 +a(g184 +V\u000a +tp16908 +a(g197 +V) +tp16909 +a(g184 +V\u000a\u000a +p16910 +tp16911 +a(g50 +VbePerformNot: +p16912 +tp16913 +a(g184 +V +tp16914 +a(g101 +VaSelector +p16915 +tp16916 +a(g184 +V +tp16917 +a(g338 +V= +tp16918 +a(g184 +V +tp16919 +a(g197 +V( +tp16920 +a(g184 +V\u000a +p16921 +tp16922 +a(g26 +V"Match any single character that answers false to this message." +p16923 +tp16924 +a(g184 +V\u000a\u000a +p16925 +tp16926 +a(g13 +Vself +p16927 +tp16928 +a(g184 +V +tp16929 +a(g50 +Vpredicate: +p16930 +tp16931 +a(g184 +V \u000a +p16932 +tp16933 +a(g197 +V[ +tp16934 +a(g101 +V:char +p16935 +tp16936 +a(g184 +V +tp16937 +a(g338 +V| +tp16938 +a(g184 +V \u000a +p16939 +tp16940 +a(g101 +VRxParser +p16941 +tp16942 +a(g184 +V +tp16943 +a(g50 +VdoHandlingMessageNotUnderstood: +p16944 +tp16945 +a(g184 +V +tp16946 +a(g197 +V[ +tp16947 +a(g197 +V( +tp16948 +a(g101 +Vchar +p16949 +tp16950 +a(g184 +V +tp16951 +a(g50 +Vperform: +p16952 +tp16953 +a(g184 +V +tp16954 +a(g101 +VaSelector +p16955 +tp16956 +a(g197 +V) +tp16957 +a(g184 +V +tp16958 +a(g101 +Vnot +p16959 +tp16960 +a(g197 +V] +tp16961 +a(g197 +V] +tp16962 +a(g184 +V\u000a +tp16963 +a(g197 +V) +tp16964 +a(g184 +V\u000a\u000a +p16965 +tp16966 +a(g50 +Vpredicate: +p16967 +tp16968 +a(g184 +V +tp16969 +a(g101 +VaBlock +p16970 +tp16971 +a(g184 +V +tp16972 +a(g338 +V= +tp16973 +a(g184 +V +tp16974 +a(g197 +V( +tp16975 +a(g184 +V\u000a +p16976 +tp16977 +a(g26 +V"This link will match any single character for which \u000a evaluates to true." +p16978 +tp16979 +a(g184 +V\u000a\u000a +p16980 +tp16981 +a(g101 +VaBlock +p16982 +tp16983 +a(g184 +V +tp16984 +a(g101 +VnumArgs +p16985 +tp16986 +a(g184 +V +tp16987 +a(g338 +V~ +tp16988 +a(g338 +V= +tp16989 +a(g184 +V +tp16990 +a(g313 +V1 +tp16991 +a(g184 +V +tp16992 +a(g50 +VifTrue: +p16993 +tp16994 +a(g184 +V +tp16995 +a(g197 +V[ +tp16996 +a(g13 +Vself +p16997 +tp16998 +a(g184 +V +tp16999 +a(g50 +Verror: +p17000 +tp17001 +a(g184 +V +tp17002 +a(g221 +V'bad predicate block' +p17003 +tp17004 +a(g197 +V] +tp17005 +a(g197 +V. +tp17006 +a(g184 +V\u000a +p17007 +tp17008 +a(g101 +VpredicateS +p17009 +tp17010 +a(g338 +V:: +p17011 +tp17012 +a(g184 +V +tp17013 +a(g101 +VaBlock +p17014 +tp17015 +a(g197 +V. +tp17016 +a(g184 +V\u000a +p17017 +tp17018 +a(g338 +V^ +tp17019 +a(g13 +Vself +p17020 +tp17021 +a(g184 +V\u000a +tp17022 +a(g197 +V) +tp17023 +a(g184 +V\u000a\u000a +p17024 +tp17025 +a(g221 +V'accessing' +p17026 +tp17027 +a(g184 +V\u000a +tp17028 +a(g69 +Vpredicate +p17029 +tp17030 +a(g184 +V +tp17031 +a(g338 +V= +tp17032 +a(g184 +V +tp17033 +a(g197 +V( +tp17034 +a(g184 +V +tp17035 +a(g338 +V^ +tp17036 +a(g101 +VpredicateS +p17037 +tp17038 +a(g197 +V) +tp17039 +a(g184 +V\u000a\u000a +p17040 +tp17041 +a(g221 +V'matching' +p17042 +tp17043 +a(g184 +V\u000a +tp17044 +a(g50 +VmatchAgainst: +p17045 +tp17046 +a(g184 +V +tp17047 +a(g101 +VaMatcher +p17048 +tp17049 +a(g184 +V +tp17050 +a(g338 +V= +tp17051 +a(g184 +V +tp17052 +a(g197 +V( +tp17053 +a(g184 +V\u000a +p17054 +tp17055 +a(g26 +V"Match if the predicate block evaluates to true when given the\u000a current stream character as the argument." +p17056 +tp17057 +a(g184 +V\u000a\u000a +p17058 +tp17059 +a(g338 +V| +tp17060 +a(g184 +V +tp17061 +a(g101 +Voriginal +p17062 +tp17063 +a(g184 +V +tp17064 +a(g338 +V| +tp17065 +a(g184 +V\u000a +p17066 +tp17067 +a(g101 +Voriginal +p17068 +tp17069 +a(g338 +V:: +p17070 +tp17071 +a(g184 +V +tp17072 +a(g101 +VaMatcher +p17073 +tp17074 +a(g184 +V +tp17075 +a(g101 +VcurrentState +p17076 +tp17077 +a(g197 +V. +tp17078 +a(g184 +V\u000a +p17079 +tp17080 +a(g197 +V( +tp17081 +a(g101 +VaMatcher +p17082 +tp17083 +a(g184 +V +tp17084 +a(g101 +VatEnd +p17085 +tp17086 +a(g184 +V +tp17087 +a(g101 +Vnot +p17088 +tp17089 +a(g184 +V \u000a +p17090 +tp17091 +a(g50 +Vand: +p17092 +tp17093 +a(g184 +V +tp17094 +a(g197 +V[ +tp17095 +a(g197 +V( +tp17096 +a(g101 +Vpredicate +p17097 +tp17098 +a(g184 +V +tp17099 +a(g50 +Vvalue: +p17100 +tp17101 +a(g184 +V +tp17102 +a(g101 +VaMatcher +p17103 +tp17104 +a(g184 +V +tp17105 +a(g101 +Vnext +p17106 +tp17107 +a(g197 +V) +tp17108 +a(g184 +V\u000a +p17109 +tp17110 +a(g50 +Vand: +p17111 +tp17112 +a(g184 +V +tp17113 +a(g197 +V[ +tp17114 +a(g101 +Vnext +p17115 +tp17116 +a(g184 +V +tp17117 +a(g50 +VmatchAgainst: +p17118 +tp17119 +a(g184 +V +tp17120 +a(g101 +VaMatcher +p17121 +tp17122 +a(g197 +V] +tp17123 +a(g197 +V] +tp17124 +a(g197 +V) +tp17125 +a(g184 +V\u000a +p17126 +tp17127 +a(g50 +VifTrue: +p17128 +tp17129 +a(g184 +V +tp17130 +a(g197 +V[ +tp17131 +a(g338 +V^ +tp17132 +a(g13 +Vtrue +p17133 +tp17134 +a(g197 +V] +tp17135 +a(g184 +V\u000a +p17136 +tp17137 +a(g50 +VifFalse: +p17138 +tp17139 +a(g184 +V\u000a +p17140 +tp17141 +a(g197 +V[ +tp17142 +a(g101 +VaMatcher +p17143 +tp17144 +a(g184 +V +tp17145 +a(g50 +VrestoreState: +p17146 +tp17147 +a(g184 +V +tp17148 +a(g101 +Voriginal +p17149 +tp17150 +a(g197 +V. +tp17151 +a(g184 +V\u000a +p17152 +tp17153 +a(g338 +V^ +tp17154 +a(g13 +Vfalse +p17155 +tp17156 +a(g197 +V] +tp17157 +a(g184 +V\u000a +tp17158 +a(g197 +V) +tp17159 +a(g184 +V\u000a\u000a +p17160 +tp17161 +a(g197 +V) +tp17162 +a(g184 +V +tp17163 +a(g338 +V: +tp17164 +a(g184 +V +tp17165 +a(g197 +V( +tp17166 +a(g184 +V\u000a +tp17167 +a(g221 +V'as yet unclassified' +p17168 +tp17169 +a(g184 +V\u000a +tp17170 +a(g50 +Vwith: +p17171 +tp17172 +a(g184 +V +tp17173 +a(g101 +VunaryBlock +p17174 +tp17175 +a(g184 +V +tp17176 +a(g338 +V= +tp17177 +a(g184 +V +tp17178 +a(g197 +V( +tp17179 +a(g184 +V\u000a +p17180 +tp17181 +a(g338 +V^ +tp17182 +a(g13 +Vself +p17183 +tp17184 +a(g184 +V +tp17185 +a(g101 +Vnew +p17186 +tp17187 +a(g184 +V +tp17188 +a(g50 +Vpredicate: +p17189 +tp17190 +a(g184 +V +tp17191 +a(g101 +VunaryBlock +p17192 +tp17193 +a(g184 +V\u000a +tp17194 +a(g197 +V) +tp17195 +a(g184 +V\u000a\u000a +p17196 +tp17197 +a(g197 +V) +tp17198 +a(g184 +V\u000a\u000a +p17199 +tp17200 +a(g7 +Vclass +p17201 +tp17202 +a(g184 +V +tp17203 +a(g134 +VRxsRegex +p17204 +tp17205 +a(g184 +V +tp17206 +a(g50 +Vbranch: +p17207 +tp17208 +a(g184 +V +tp17209 +a(g101 +Vb +tp17210 +a(g184 +V +tp17211 +a(g50 +Vregex: +p17212 +tp17213 +a(g184 +V +tp17214 +a(g69 +Vr +tp17215 +a(g184 +V +tp17216 +a(g338 +V= +tp17217 +a(g184 +V +tp17218 +a(g101 +VRxsNode +p17219 +tp17220 +a(g197 +V( +tp17221 +a(g184 +V\u000a +tp17222 +a(g26 +V"The body of a parenthesized thing, or a top-level expression, also an atom. \u000a\u000aInstance variables:\u000a branch \u000a regex " +p17223 +tp17224 +a(g184 +V\u000a +tp17225 +a(g338 +V| +tp17226 +a(g184 +V\u000a +p17227 +tp17228 +a(g101 +Vbranch +p17229 +tp17230 +a(g184 +V +tp17231 +a(g338 +V: +tp17232 +a(g338 +V: +tp17233 +a(g338 +V= +tp17234 +a(g184 +V +tp17235 +a(g101 +Vb +tp17236 +a(g197 +V. +tp17237 +a(g184 +V\u000a +p17238 +tp17239 +a(g101 +Vregex +p17240 +tp17241 +a(g184 +V +tp17242 +a(g338 +V: +tp17243 +a(g338 +V: +tp17244 +a(g338 +V= +tp17245 +a(g184 +V +tp17246 +a(g101 +Vr +tp17247 +a(g197 +V. +tp17248 +a(g184 +V\u000a +tp17249 +a(g338 +V| +tp17250 +a(g184 +V\u000a +tp17251 +a(g197 +V) +tp17252 +a(g184 +V\u000a +tp17253 +a(g197 +V( +tp17254 +a(g221 +V'accessing' +p17255 +tp17256 +a(g184 +V\u000a +tp17257 +a(g50 +VdispatchTo: +p17258 +tp17259 +a(g184 +V +tp17260 +a(g101 +VaMatcher +p17261 +tp17262 +a(g184 +V +tp17263 +a(g338 +V= +tp17264 +a(g184 +V +tp17265 +a(g197 +V( +tp17266 +a(g184 +V\u000a +p17267 +tp17268 +a(g26 +V"Inform the matcher of the kind of the node, and it\u000a will do whatever it has to." +p17269 +tp17270 +a(g184 +V\u000a\u000a +p17271 +tp17272 +a(g338 +V^ +tp17273 +a(g101 +VaMatcher +p17274 +tp17275 +a(g184 +V +tp17276 +a(g50 +VsyntaxRegex: +p17277 +tp17278 +a(g184 +V +tp17279 +a(g101 +Vself +p17280 +tp17281 +a(g184 +V\u000a +tp17282 +a(g197 +V) +tp17283 +a(g184 +V\u000a\u000a +p17284 +tp17285 +a(g221 +V'testing' +p17286 +tp17287 +a(g184 +V\u000a +tp17288 +a(g69 +VisNullable +p17289 +tp17290 +a(g184 +V +tp17291 +a(g338 +V= +tp17292 +a(g184 +V +tp17293 +a(g197 +V( +tp17294 +a(g184 +V\u000a\u000a +p17295 +tp17296 +a(g338 +V^ +tp17297 +a(g101 +Vbranch +p17298 +tp17299 +a(g184 +V +tp17300 +a(g101 +VisNullable +p17301 +tp17302 +a(g184 +V +tp17303 +a(g50 +Vor: +p17304 +tp17305 +a(g184 +V +tp17306 +a(g197 +V[ +tp17307 +a(g101 +Vregex +p17308 +tp17309 +a(g184 +V +tp17310 +a(g101 +VnotNil +p17311 +tp17312 +a(g184 +V +tp17313 +a(g50 +Vand: +p17314 +tp17315 +a(g184 +V +tp17316 +a(g197 +V[ +tp17317 +a(g101 +Vregex +p17318 +tp17319 +a(g184 +V +tp17320 +a(g101 +VisNullable +p17321 +tp17322 +a(g197 +V] +tp17323 +a(g197 +V] +tp17324 +a(g184 +V\u000a +tp17325 +a(g197 +V) +tp17326 +a(g184 +V\u000a\u000a +p17327 +tp17328 +a(g197 +V) +tp17329 +a(g184 +V\u000a\u000a +p17330 +tp17331 +a(g7 +Vclass +p17332 +tp17333 +a(g184 +V +tp17334 +a(g134 +VRxmSubstring +p17335 +tp17336 +a(g184 +V +tp17337 +a(g338 +V= +tp17338 +a(g184 +V +tp17339 +a(g101 +VRxmLink +p17340 +tp17341 +a(g184 +V +tp17342 +a(g197 +V( +tp17343 +a(g184 +V\u000a +tp17344 +a(g26 +V"Instance holds onto a string and matches exactly this string, and exactly once." +p17345 +tp17346 +a(g184 +V\u000a +tp17347 +a(g338 +V| +tp17348 +a(g184 +V\u000a +p17349 +tp17350 +a(g101 +Vsample +p17351 +tp17352 +a(g184 +V +tp17353 +a(g101 +Vcompare +p17354 +tp17355 +a(g184 +V\u000a +tp17356 +a(g338 +V| +tp17357 +a(g184 +V\u000a +p17358 +tp17359 +a(g13 +Vself +p17360 +tp17361 +a(g184 +V +tp17362 +a(g101 +VbeCaseSensitive +p17363 +tp17364 +a(g197 +V. +tp17365 +a(g184 +V\u000a +tp17366 +a(g197 +V) +tp17367 +a(g184 +V\u000a +tp17368 +a(g197 +V( +tp17369 +a(g221 +V'initialize-release' +p17370 +tp17371 +a(g184 +V\u000a +tp17372 +a(g69 +VbeCaseInsensitive +p17373 +tp17374 +a(g184 +V +tp17375 +a(g338 +V= +tp17376 +a(g184 +V +tp17377 +a(g197 +V( +tp17378 +a(g184 +V\u000a +p17379 +tp17380 +a(g101 +Vcompare +p17381 +tp17382 +a(g338 +V:: +p17383 +tp17384 +a(g184 +V +tp17385 +a(g197 +V[ +tp17386 +a(g101 +V:char1 +p17387 +tp17388 +a(g184 +V +tp17389 +a(g101 +V:char2 +p17390 +tp17391 +a(g184 +V +tp17392 +a(g338 +V| +tp17393 +a(g184 +V +tp17394 +a(g101 +Vchar1 +p17395 +tp17396 +a(g184 +V +tp17397 +a(g50 +VsameAs: +p17398 +tp17399 +a(g184 +V +tp17400 +a(g101 +Vchar2 +p17401 +tp17402 +a(g197 +V] +tp17403 +a(g184 +V\u000a +tp17404 +a(g197 +V) +tp17405 +a(g184 +V\u000a\u000a +p17406 +tp17407 +a(g69 +VbeCaseSensitive +p17408 +tp17409 +a(g184 +V +tp17410 +a(g338 +V= +tp17411 +a(g184 +V +tp17412 +a(g197 +V( +tp17413 +a(g184 +V\u000a +p17414 +tp17415 +a(g101 +Vcompare +p17416 +tp17417 +a(g338 +V:: +p17418 +tp17419 +a(g184 +V +tp17420 +a(g197 +V[ +tp17421 +a(g101 +V:char1 +p17422 +tp17423 +a(g184 +V +tp17424 +a(g101 +V:char2 +p17425 +tp17426 +a(g184 +V +tp17427 +a(g338 +V| +tp17428 +a(g184 +V +tp17429 +a(g69 +Vchar1 +p17430 +tp17431 +a(g184 +V +tp17432 +a(g338 +V= +tp17433 +a(g184 +V +tp17434 +a(g101 +Vchar2 +p17435 +tp17436 +a(g197 +V] +tp17437 +a(g184 +V\u000a +tp17438 +a(g197 +V) +tp17439 +a(g184 +V\u000a\u000a +p17440 +tp17441 +a(g50 +Vcharacter: +p17442 +tp17443 +a(g184 +V +tp17444 +a(g101 +VaCharacter +p17445 +tp17446 +a(g184 +V +tp17447 +a(g50 +VignoreCase: +p17448 +tp17449 +a(g184 +V +tp17450 +a(g101 +VaBoolean +p17451 +tp17452 +a(g184 +V +tp17453 +a(g338 +V= +tp17454 +a(g184 +V +tp17455 +a(g197 +V( +tp17456 +a(g184 +V\u000a +p17457 +tp17458 +a(g26 +V"Match exactly this character." +p17459 +tp17460 +a(g184 +V\u000a\u000a +p17461 +tp17462 +a(g101 +Vsample +p17463 +tp17464 +a(g338 +V:: +p17465 +tp17466 +a(g184 +V +tp17467 +a(g101 +VString +p17468 +tp17469 +a(g184 +V +tp17470 +a(g50 +Vwith: +p17471 +tp17472 +a(g184 +V +tp17473 +a(g101 +VaCharacter +p17474 +tp17475 +a(g197 +V. +tp17476 +a(g184 +V\u000a +p17477 +tp17478 +a(g101 +VaBoolean +p17479 +tp17480 +a(g184 +V +tp17481 +a(g50 +VifTrue: +p17482 +tp17483 +a(g184 +V +tp17484 +a(g197 +V[ +tp17485 +a(g13 +Vself +p17486 +tp17487 +a(g184 +V +tp17488 +a(g101 +VbeCaseInsensitive +p17489 +tp17490 +a(g197 +V] +tp17491 +a(g184 +V\u000a +tp17492 +a(g197 +V) +tp17493 +a(g184 +V\u000a\u000a +p17494 +tp17495 +a(g50 +Vsubstring: +p17496 +tp17497 +a(g184 +V +tp17498 +a(g101 +VaString +p17499 +tp17500 +a(g184 +V +tp17501 +a(g50 +VignoreCase: +p17502 +tp17503 +a(g184 +V +tp17504 +a(g101 +VaBoolean +p17505 +tp17506 +a(g184 +V +tp17507 +a(g338 +V= +tp17508 +a(g184 +V +tp17509 +a(g197 +V( +tp17510 +a(g184 +V\u000a +p17511 +tp17512 +a(g26 +V"Match exactly this string." +p17513 +tp17514 +a(g184 +V\u000a\u000a +p17515 +tp17516 +a(g101 +Vsample +p17517 +tp17518 +a(g338 +V:: +p17519 +tp17520 +a(g184 +V +tp17521 +a(g101 +VaString +p17522 +tp17523 +a(g197 +V. +tp17524 +a(g184 +V\u000a +p17525 +tp17526 +a(g101 +VaBoolean +p17527 +tp17528 +a(g184 +V +tp17529 +a(g50 +VifTrue: +p17530 +tp17531 +a(g184 +V +tp17532 +a(g197 +V[ +tp17533 +a(g13 +Vself +p17534 +tp17535 +a(g184 +V +tp17536 +a(g101 +VbeCaseInsensitive +p17537 +tp17538 +a(g197 +V] +tp17539 +a(g184 +V\u000a +tp17540 +a(g197 +V) +tp17541 +a(g184 +V\u000a\u000a +p17542 +tp17543 +a(g221 +V'matching' +p17544 +tp17545 +a(g184 +V\u000a +tp17546 +a(g50 +VmatchAgainst: +p17547 +tp17548 +a(g184 +V +tp17549 +a(g101 +VaMatcher +p17550 +tp17551 +a(g184 +V +tp17552 +a(g338 +V= +tp17553 +a(g184 +V +tp17554 +a(g197 +V( +tp17555 +a(g184 +V\u000a +p17556 +tp17557 +a(g26 +V"Match if my sample stream is exactly the current prefix\u000a of the matcher stream's contents." +p17558 +tp17559 +a(g184 +V\u000a\u000a +p17560 +tp17561 +a(g338 +V| +tp17562 +a(g184 +V +tp17563 +a(g101 +VoriginalState +p17564 +tp17565 +a(g184 +V +tp17566 +a(g101 +VsampleStream +p17567 +tp17568 +a(g184 +V +tp17569 +a(g101 +Vmismatch +p17570 +tp17571 +a(g184 +V +tp17572 +a(g338 +V| +tp17573 +a(g184 +V\u000a +p17574 +tp17575 +a(g101 +VoriginalState +p17576 +tp17577 +a(g338 +V:: +p17578 +tp17579 +a(g184 +V +tp17580 +a(g101 +VaMatcher +p17581 +tp17582 +a(g184 +V +tp17583 +a(g101 +VcurrentState +p17584 +tp17585 +a(g197 +V. +tp17586 +a(g184 +V\u000a +p17587 +tp17588 +a(g101 +VsampleStream +p17589 +tp17590 +a(g338 +V:: +p17591 +tp17592 +a(g184 +V +tp17593 +a(g13 +Vself +p17594 +tp17595 +a(g184 +V +tp17596 +a(g101 +VsampleStream +p17597 +tp17598 +a(g197 +V. +tp17599 +a(g184 +V\u000a +p17600 +tp17601 +a(g101 +Vmismatch +p17602 +tp17603 +a(g338 +V:: +p17604 +tp17605 +a(g184 +V +tp17606 +a(g13 +Vfalse +p17607 +tp17608 +a(g197 +V. +tp17609 +a(g184 +V\u000a +p17610 +tp17611 +a(g197 +V[ +tp17612 +a(g101 +VsampleStream +p17613 +tp17614 +a(g184 +V +tp17615 +a(g101 +VatEnd +p17616 +tp17617 +a(g184 +V\u000a +p17618 +tp17619 +a(g50 +Vor: +p17620 +tp17621 +a(g184 +V +tp17622 +a(g197 +V[ +tp17623 +a(g101 +VaMatcher +p17624 +tp17625 +a(g184 +V +tp17626 +a(g101 +VatEnd +p17627 +tp17628 +a(g184 +V\u000a +p17629 +tp17630 +a(g50 +Vor: +p17631 +tp17632 +a(g184 +V +tp17633 +a(g197 +V[ +tp17634 +a(g101 +Vmismatch +p17635 +tp17636 +a(g338 +V:: +p17637 +tp17638 +a(g184 +V +tp17639 +a(g197 +V( +tp17640 +a(g101 +Vcompare +p17641 +tp17642 +a(g184 +V +tp17643 +a(g50 +Vvalue: +p17644 +tp17645 +a(g184 +V +tp17646 +a(g101 +VsampleStream +p17647 +tp17648 +a(g184 +V +tp17649 +a(g101 +Vnext +p17650 +tp17651 +a(g184 +V +tp17652 +a(g50 +Vvalue: +p17653 +tp17654 +a(g184 +V +tp17655 +a(g101 +VaMatcher +p17656 +tp17657 +a(g184 +V +tp17658 +a(g101 +Vnext +p17659 +tp17660 +a(g197 +V) +tp17661 +a(g184 +V +tp17662 +a(g101 +Vnot +p17663 +tp17664 +a(g197 +V] +tp17665 +a(g197 +V] +tp17666 +a(g197 +V] +tp17667 +a(g184 +V +tp17668 +a(g101 +VwhileFalse +p17669 +tp17670 +a(g197 +V. +tp17671 +a(g184 +V\u000a +p17672 +tp17673 +a(g197 +V( +tp17674 +a(g101 +Vmismatch +p17675 +tp17676 +a(g184 +V +tp17677 +a(g101 +Vnot +p17678 +tp17679 +a(g184 +V +tp17680 +a(g50 +Vand: +p17681 +tp17682 +a(g184 +V +tp17683 +a(g197 +V[ +tp17684 +a(g101 +VsampleStream +p17685 +tp17686 +a(g184 +V +tp17687 +a(g101 +VatEnd +p17688 +tp17689 +a(g184 +V +tp17690 +a(g50 +Vand: +p17691 +tp17692 +a(g184 +V +tp17693 +a(g197 +V[ +tp17694 +a(g101 +Vnext +p17695 +tp17696 +a(g184 +V +tp17697 +a(g50 +VmatchAgainst: +p17698 +tp17699 +a(g184 +V +tp17700 +a(g101 +VaMatcher +p17701 +tp17702 +a(g197 +V] +tp17703 +a(g197 +V] +tp17704 +a(g197 +V) +tp17705 +a(g184 +V\u000a +p17706 +tp17707 +a(g50 +VifTrue: +p17708 +tp17709 +a(g184 +V +tp17710 +a(g197 +V[ +tp17711 +a(g338 +V^ +tp17712 +a(g13 +Vtrue +p17713 +tp17714 +a(g197 +V] +tp17715 +a(g184 +V\u000a +p17716 +tp17717 +a(g50 +VifFalse: +p17718 +tp17719 +a(g184 +V \u000a +p17720 +tp17721 +a(g197 +V[ +tp17722 +a(g101 +VaMatcher +p17723 +tp17724 +a(g184 +V +tp17725 +a(g50 +VrestoreState: +p17726 +tp17727 +a(g184 +V +tp17728 +a(g101 +VoriginalState +p17729 +tp17730 +a(g197 +V. +tp17731 +a(g184 +V\u000a +p17732 +tp17733 +a(g338 +V^ +tp17734 +a(g13 +Vfalse +p17735 +tp17736 +a(g197 +V] +tp17737 +a(g184 +V\u000a +tp17738 +a(g197 +V) +tp17739 +a(g184 +V\u000a\u000a +p17740 +tp17741 +a(g221 +V'private' +p17742 +tp17743 +a(g184 +V\u000a +tp17744 +a(g69 +VsampleStream +p17745 +tp17746 +a(g184 +V +tp17747 +a(g338 +V= +tp17748 +a(g184 +V +tp17749 +a(g197 +V( +tp17750 +a(g184 +V\u000a +p17751 +tp17752 +a(g338 +V^ +tp17753 +a(g101 +Vsample +p17754 +tp17755 +a(g184 +V +tp17756 +a(g101 +VreadStream +p17757 +tp17758 +a(g184 +V\u000a +tp17759 +a(g197 +V) +tp17760 +a(g184 +V\u000a\u000a +p17761 +tp17762 +a(g197 +V) +tp17763 +a(g184 +V\u000a\u000a +p17764 +tp17765 +a(g7 +Vclass +p17766 +tp17767 +a(g184 +V +tp17768 +a(g134 +VRxmTerminator +p17769 +tp17770 +a(g184 +V +tp17771 +a(g338 +V= +tp17772 +a(g184 +V +tp17773 +a(g197 +V( +tp17774 +a(g184 +V\u000a +tp17775 +a(g26 +V"Instances of this class are used to terminate matcher's chains. When a match reaches this (an instance receives #matchAgainst: message), the match is considered to succeed. Instances also support building protocol of RxmLinks, with some restrictions." +p17776 +tp17777 +a(g184 +V\u000a +tp17778 +a(g338 +V| +tp17779 +a(g338 +V| +tp17780 +a(g184 +V\u000a +tp17781 +a(g197 +V) +tp17782 +a(g184 +V\u000a +tp17783 +a(g197 +V( +tp17784 +a(g221 +V'matching' +p17785 +tp17786 +a(g184 +V\u000a +tp17787 +a(g50 +VmatchAgainst: +p17788 +tp17789 +a(g184 +V +tp17790 +a(g101 +VaStream +p17791 +tp17792 +a(g184 +V +tp17793 +a(g338 +V= +tp17794 +a(g184 +V +tp17795 +a(g197 +V( +tp17796 +a(g184 +V\u000a +p17797 +tp17798 +a(g26 +V"If got here, the match is successful." +p17799 +tp17800 +a(g184 +V\u000a +p17801 +tp17802 +a(g338 +V^ +tp17803 +a(g13 +Vtrue +p17804 +tp17805 +a(g184 +V\u000a +tp17806 +a(g197 +V) +tp17807 +a(g184 +V\u000a\u000a +p17808 +tp17809 +a(g221 +V'building' +p17810 +tp17811 +a(g184 +V\u000a +tp17812 +a(g50 +VpointTailTo: +p17813 +tp17814 +a(g184 +V +tp17815 +a(g101 +VanRxmLink +p17816 +tp17817 +a(g184 +V +tp17818 +a(g338 +V= +tp17819 +a(g184 +V +tp17820 +a(g197 +V( +tp17821 +a(g184 +V\u000a +p17822 +tp17823 +a(g26 +V"Branch tails are never redirected by the build algorithm.\u000a Healthy terminators should never receive this." +p17824 +tp17825 +a(g184 +V\u000a\u000a +p17826 +tp17827 +a(g101 +VCompilationError +p17828 +tp17829 +a(g184 +V +tp17830 +a(g50 +Vsignal: +p17831 +tp17832 +a(g184 +V\u000a +p17833 +tp17834 +a(g221 +V'internal matcher build error - redirecting terminator tail' +p17835 +tp17836 +a(g184 +V\u000a +tp17837 +a(g197 +V) +tp17838 +a(g184 +V\u000a\u000a +p17839 +tp17840 +a(g50 +VterminateWith: +p17841 +tp17842 +a(g184 +V +tp17843 +a(g101 +VaTerminator +p17844 +tp17845 +a(g184 +V +tp17846 +a(g338 +V= +tp17847 +a(g184 +V +tp17848 +a(g197 +V( +tp17849 +a(g184 +V\u000a +p17850 +tp17851 +a(g26 +V"Branch terminators are never supposed to change.\u000a Make sure this is the case." +p17852 +tp17853 +a(g184 +V\u000a\u000a +p17854 +tp17855 +a(g101 +VaTerminator +p17856 +tp17857 +a(g184 +V +tp17858 +a(g338 +V~ +tp17859 +a(g338 +V~ +tp17860 +a(g184 +V +tp17861 +a(g13 +Vself +p17862 +tp17863 +a(g184 +V\u000a +p17864 +tp17865 +a(g50 +VifTrue: +p17866 +tp17867 +a(g184 +V +tp17868 +a(g197 +V[ +tp17869 +a(g101 +VCompilationError +p17870 +tp17871 +a(g184 +V +tp17872 +a(g50 +Vsignal: +p17873 +tp17874 +a(g184 +V\u000a +p17875 +tp17876 +a(g221 +V'internal matcher build error - wrong terminator' +p17877 +tp17878 +a(g197 +V] +tp17879 +a(g184 +V\u000a +tp17880 +a(g197 +V) +tp17881 +a(g184 +V\u000a\u000a +p17882 +tp17883 +a(g197 +V) +tp17884 +a(g184 +V\u000a\u000a +p17885 +tp17886 +a(g7 +Vclass +p17887 +tp17888 +a(g184 +V +tp17889 +a(g134 +VRxmLink +p17890 +tp17891 +a(g184 +V +tp17892 +a(g338 +V= +tp17893 +a(g184 +V +tp17894 +a(g197 +V( +tp17895 +a(g184 +V\u000a +tp17896 +a(g26 +V"A matcher is built of a number of links interconnected into some intricate structure. Regardless of fancy stuff, any link (except for the terminator) has the next one. Any link can match against a stream of characters, recursively propagating the match to the next link. Any link supports a number of matcher-building messages. This superclass does all of the above. \u000a\u000aThe class is not necessarily abstract. It may double as an empty string matcher: it recursively propagates the match to the next link, thus always matching nothing successfully.\u000a\u000aPrincipal method:\u000a matchAgainst: aMatcher\u000a Any subclass will reimplement this to test the state of the matcher, most\u000a probably reading one or more characters from the matcher's stream, and\u000a either decide it has matched and answer true, leaving matcher stream\u000a positioned at the end of match, or answer false and restore the matcher\u000a stream position to whatever it was before the matching attempt.\u000a\u000aInstance variables:\u000a next The next link in the structure." +p17897 +tp17898 +a(g184 +V\u000a +tp17899 +a(g338 +V| +tp17900 +a(g184 +V\u000a +p17901 +tp17902 +a(g101 +Vnext +p17903 +tp17904 +a(g184 +V\u000a +tp17905 +a(g338 +V| +tp17906 +a(g184 +V\u000a +tp17907 +a(g197 +V) +tp17908 +a(g184 +V\u000a +tp17909 +a(g197 +V( +tp17910 +a(g221 +V'building' +p17911 +tp17912 +a(g184 +V\u000a +tp17913 +a(g50 +VpointTailTo: +p17914 +tp17915 +a(g184 +V +tp17916 +a(g101 +VanRxmLink +p17917 +tp17918 +a(g184 +V +tp17919 +a(g338 +V= +tp17920 +a(g184 +V +tp17921 +a(g197 +V( +tp17922 +a(g184 +V\u000a +p17923 +tp17924 +a(g26 +V"Propagate this message along the chain of links.\u000a Point `next' reference of the last link to .\u000a If the chain is already terminated, blow up." +p17925 +tp17926 +a(g184 +V\u000a\u000a +p17927 +tp17928 +a(g69 +Vnext +p17929 +tp17930 +a(g184 +V +tp17931 +a(g338 +V= +tp17932 +a(g338 +V= +tp17933 +a(g184 +V +tp17934 +a(g13 +Vnil +p17935 +tp17936 +a(g184 +V\u000a +p17937 +tp17938 +a(g50 +VifTrue: +p17939 +tp17940 +a(g184 +V +tp17941 +a(g197 +V[ +tp17942 +a(g101 +Vnext +p17943 +tp17944 +a(g338 +V:: +p17945 +tp17946 +a(g184 +V +tp17947 +a(g101 +VanRxmLink +p17948 +tp17949 +a(g197 +V] +tp17950 +a(g184 +V\u000a +p17951 +tp17952 +a(g50 +VifFalse: +p17953 +tp17954 +a(g184 +V +tp17955 +a(g197 +V[ +tp17956 +a(g101 +Vnext +p17957 +tp17958 +a(g184 +V +tp17959 +a(g50 +VpointTailTo: +p17960 +tp17961 +a(g184 +V +tp17962 +a(g101 +VanRxmLink +p17963 +tp17964 +a(g197 +V] +tp17965 +a(g184 +V\u000a +tp17966 +a(g197 +V) +tp17967 +a(g184 +V\u000a\u000a +p17968 +tp17969 +a(g50 +VterminateWith: +p17970 +tp17971 +a(g184 +V +tp17972 +a(g101 +VaTerminator +p17973 +tp17974 +a(g184 +V +tp17975 +a(g338 +V= +tp17976 +a(g184 +V +tp17977 +a(g197 +V( +tp17978 +a(g184 +V\u000a +p17979 +tp17980 +a(g26 +V"Propagate this message along the chain of links, and\u000a make aTerminator the `next' link of the last link in the chain.\u000a If the chain is already reminated with the same terminator, \u000a do not blow up." +p17981 +tp17982 +a(g184 +V\u000a\u000a +p17983 +tp17984 +a(g69 +Vnext +p17985 +tp17986 +a(g184 +V +tp17987 +a(g338 +V= +tp17988 +a(g338 +V= +tp17989 +a(g184 +V +tp17990 +a(g13 +Vnil +p17991 +tp17992 +a(g184 +V\u000a +p17993 +tp17994 +a(g50 +VifTrue: +p17995 +tp17996 +a(g184 +V +tp17997 +a(g197 +V[ +tp17998 +a(g101 +Vnext +p17999 +tp18000 +a(g338 +V:: +p18001 +tp18002 +a(g184 +V +tp18003 +a(g101 +VaTerminator +p18004 +tp18005 +a(g197 +V] +tp18006 +a(g184 +V\u000a +p18007 +tp18008 +a(g50 +VifFalse: +p18009 +tp18010 +a(g184 +V +tp18011 +a(g197 +V[ +tp18012 +a(g101 +Vnext +p18013 +tp18014 +a(g184 +V +tp18015 +a(g50 +VterminateWith: +p18016 +tp18017 +a(g184 +V +tp18018 +a(g101 +VaTerminator +p18019 +tp18020 +a(g197 +V] +tp18021 +a(g184 +V\u000a +tp18022 +a(g197 +V) +tp18023 +a(g184 +V\u000a\u000a +p18024 +tp18025 +a(g221 +V'matching' +p18026 +tp18027 +a(g184 +V\u000a +tp18028 +a(g50 +VmatchAgainst: +p18029 +tp18030 +a(g184 +V +tp18031 +a(g101 +VaMatcher +p18032 +tp18033 +a(g184 +V +tp18034 +a(g338 +V= +tp18035 +a(g184 +V +tp18036 +a(g197 +V( +tp18037 +a(g184 +V\u000a +p18038 +tp18039 +a(g26 +V"If a link does not match the contents of the matcher's stream,\u000a answer false. Otherwise, let the next matcher in the chain match." +p18040 +tp18041 +a(g184 +V\u000a\u000a +p18042 +tp18043 +a(g338 +V^ +tp18044 +a(g101 +Vnext +p18045 +tp18046 +a(g184 +V +tp18047 +a(g50 +VmatchAgainst: +p18048 +tp18049 +a(g184 +V +tp18050 +a(g101 +VaMatcher +p18051 +tp18052 +a(g184 +V\u000a +tp18053 +a(g197 +V) +tp18054 +a(g184 +V\u000a\u000a +p18055 +tp18056 +a(g197 +V) +tp18057 +a(g184 +V\u000a\u000a +p18058 +tp18059 +a(g7 +Vclass +p18060 +tp18061 +a(g184 +V +tp18062 +a(g134 +VRxmBranch +p18063 +tp18064 +a(g184 +V +tp18065 +a(g338 +V= +tp18066 +a(g184 +V +tp18067 +a(g101 +VRxmLink +p18068 +tp18069 +a(g184 +V +tp18070 +a(g197 +V( +tp18071 +a(g184 +V\u000a +tp18072 +a(g26 +V"This is a branch of a matching process. Either `next' chain should match, or `alternative', if not nil, should match. Since this is also used to build loopbacks to match repetitions, `loopback' variable indicates whether the instance is a loopback: it affects the matcher-building operations (which of the paths through the branch is to consider as the primary when we have to find the 'tail' of a matcher construct)." +p18073 +tp18074 +a(g184 +V\u000a +tp18075 +a(g338 +V| +tp18076 +a(g184 +V\u000a +p18077 +tp18078 +a(g101 +Valternative +p18079 +tp18080 +a(g184 +V +tp18081 +a(g101 +Vloopback +p18082 +tp18083 +a(g184 +V\u000a +tp18084 +a(g338 +V| +tp18085 +a(g184 +V\u000a\u000a +p18086 +tp18087 +a(g101 +Vloopback +p18088 +tp18089 +a(g338 +V:: +p18090 +tp18091 +a(g184 +V +tp18092 +a(g13 +Vfalse +p18093 +tp18094 +a(g197 +V. +tp18095 +a(g184 +V\u000a +tp18096 +a(g197 +V) +tp18097 +a(g184 +V\u000a +tp18098 +a(g197 +V( +tp18099 +a(g221 +V'building' +p18100 +tp18101 +a(g184 +V\u000a +tp18102 +a(g50 +VpointTailTo: +p18103 +tp18104 +a(g184 +V +tp18105 +a(g101 +VaNode +p18106 +tp18107 +a(g184 +V +tp18108 +a(g338 +V= +tp18109 +a(g184 +V +tp18110 +a(g197 +V( +tp18111 +a(g184 +V\u000a +p18112 +tp18113 +a(g26 +V"See superclass for explanations." +p18114 +tp18115 +a(g184 +V\u000a\u000a +p18116 +tp18117 +a(g101 +Vloopback +p18118 +tp18119 +a(g184 +V\u000a +p18120 +tp18121 +a(g50 +VifTrue: +p18122 +tp18123 +a(g184 +V +tp18124 +a(g197 +V[ +tp18125 +a(g69 +Valternative +p18126 +tp18127 +a(g184 +V +tp18128 +a(g338 +V= +tp18129 +a(g338 +V= +tp18130 +a(g184 +V +tp18131 +a(g13 +Vnil +p18132 +tp18133 +a(g184 +V\u000a +p18134 +tp18135 +a(g50 +VifTrue: +p18136 +tp18137 +a(g184 +V +tp18138 +a(g197 +V[ +tp18139 +a(g101 +Valternative +p18140 +tp18141 +a(g338 +V:: +p18142 +tp18143 +a(g184 +V +tp18144 +a(g101 +VaNode +p18145 +tp18146 +a(g197 +V] +tp18147 +a(g184 +V\u000a +p18148 +tp18149 +a(g50 +VifFalse: +p18150 +tp18151 +a(g184 +V +tp18152 +a(g197 +V[ +tp18153 +a(g101 +Valternative +p18154 +tp18155 +a(g184 +V +tp18156 +a(g50 +VpointTailTo: +p18157 +tp18158 +a(g184 +V +tp18159 +a(g101 +VaNode +p18160 +tp18161 +a(g197 +V] +tp18162 +a(g197 +V] +tp18163 +a(g184 +V\u000a +p18164 +tp18165 +a(g50 +VifFalse: +p18166 +tp18167 +a(g184 +V +tp18168 +a(g197 +V[ +tp18169 +a(g13 +Vsuper +p18170 +tp18171 +a(g184 +V +tp18172 +a(g50 +VpointTailTo: +p18173 +tp18174 +a(g184 +V +tp18175 +a(g101 +VaNode +p18176 +tp18177 +a(g197 +V] +tp18178 +a(g184 +V\u000a +tp18179 +a(g197 +V) +tp18180 +a(g184 +V\u000a\u000a +p18181 +tp18182 +a(g50 +VterminateWith: +p18183 +tp18184 +a(g184 +V +tp18185 +a(g101 +VaNode +p18186 +tp18187 +a(g184 +V +tp18188 +a(g338 +V= +tp18189 +a(g184 +V +tp18190 +a(g197 +V( +tp18191 +a(g184 +V\u000a +p18192 +tp18193 +a(g26 +V"See superclass for explanations." +p18194 +tp18195 +a(g184 +V\u000a\u000a +p18196 +tp18197 +a(g101 +Vloopback +p18198 +tp18199 +a(g184 +V\u000a +p18200 +tp18201 +a(g50 +VifTrue: +p18202 +tp18203 +a(g184 +V +tp18204 +a(g197 +V[ +tp18205 +a(g69 +Valternative +p18206 +tp18207 +a(g184 +V +tp18208 +a(g338 +V= +tp18209 +a(g338 +V= +tp18210 +a(g184 +V +tp18211 +a(g13 +Vnil +p18212 +tp18213 +a(g184 +V\u000a +p18214 +tp18215 +a(g50 +VifTrue: +p18216 +tp18217 +a(g184 +V +tp18218 +a(g197 +V[ +tp18219 +a(g101 +Valternative +p18220 +tp18221 +a(g338 +V:: +p18222 +tp18223 +a(g184 +V +tp18224 +a(g101 +VaNode +p18225 +tp18226 +a(g197 +V] +tp18227 +a(g184 +V\u000a +p18228 +tp18229 +a(g50 +VifFalse: +p18230 +tp18231 +a(g184 +V +tp18232 +a(g197 +V[ +tp18233 +a(g101 +Valternative +p18234 +tp18235 +a(g184 +V +tp18236 +a(g50 +VterminateWith: +p18237 +tp18238 +a(g184 +V +tp18239 +a(g101 +VaNode +p18240 +tp18241 +a(g197 +V] +tp18242 +a(g197 +V] +tp18243 +a(g184 +V\u000a +p18244 +tp18245 +a(g50 +VifFalse: +p18246 +tp18247 +a(g184 +V +tp18248 +a(g197 +V[ +tp18249 +a(g13 +Vsuper +p18250 +tp18251 +a(g184 +V +tp18252 +a(g50 +VterminateWith: +p18253 +tp18254 +a(g184 +V +tp18255 +a(g101 +VaNode +p18256 +tp18257 +a(g197 +V] +tp18258 +a(g184 +V\u000a +tp18259 +a(g197 +V) +tp18260 +a(g184 +V\u000a\u000a +p18261 +tp18262 +a(g221 +V'initialize-release' +p18263 +tp18264 +a(g184 +V\u000a +tp18265 +a(g69 +VbeLoopback +p18266 +tp18267 +a(g184 +V +tp18268 +a(g338 +V= +tp18269 +a(g184 +V +tp18270 +a(g197 +V( +tp18271 +a(g184 +V\u000a +p18272 +tp18273 +a(g26 +V"See class comment for instance variable description." +p18274 +tp18275 +a(g184 +V\u000a\u000a +p18276 +tp18277 +a(g101 +Vloopback +p18278 +tp18279 +a(g338 +V:: +p18280 +tp18281 +a(g184 +V +tp18282 +a(g13 +Vtrue +p18283 +tp18284 +a(g184 +V\u000a +tp18285 +a(g197 +V) +tp18286 +a(g184 +V\u000a\u000a +p18287 +tp18288 +a(g221 +V'matching' +p18289 +tp18290 +a(g184 +V\u000a +tp18291 +a(g50 +VmatchAgainst: +p18292 +tp18293 +a(g184 +V +tp18294 +a(g101 +VaMatcher +p18295 +tp18296 +a(g184 +V +tp18297 +a(g338 +V= +tp18298 +a(g184 +V +tp18299 +a(g197 +V( +tp18300 +a(g184 +V\u000a +p18301 +tp18302 +a(g26 +V"Match either `next' or `alternative'. Fail if the alternative is nil." +p18303 +tp18304 +a(g184 +V\u000a\u000a +p18305 +tp18306 +a(g338 +V^ +tp18307 +a(g197 +V( +tp18308 +a(g101 +Vnext +p18309 +tp18310 +a(g184 +V +tp18311 +a(g50 +VmatchAgainst: +p18312 +tp18313 +a(g184 +V +tp18314 +a(g101 +VaMatcher +p18315 +tp18316 +a(g197 +V) +tp18317 +a(g184 +V\u000a +p18318 +tp18319 +a(g50 +Vor: +p18320 +tp18321 +a(g184 +V +tp18322 +a(g197 +V[ +tp18323 +a(g101 +Valternative +p18324 +tp18325 +a(g184 +V +tp18326 +a(g101 +VnotNil +p18327 +tp18328 +a(g184 +V\u000a +p18329 +tp18330 +a(g50 +Vand: +p18331 +tp18332 +a(g184 +V +tp18333 +a(g197 +V[ +tp18334 +a(g101 +Valternative +p18335 +tp18336 +a(g184 +V +tp18337 +a(g50 +VmatchAgainst: +p18338 +tp18339 +a(g184 +V +tp18340 +a(g101 +VaMatcher +p18341 +tp18342 +a(g197 +V] +tp18343 +a(g197 +V] +tp18344 +a(g184 +V\u000a +tp18345 +a(g197 +V) +tp18346 +a(g184 +V\u000a\u000a +p18347 +tp18348 +a(g197 +V) +tp18349 +a(g184 +V\u000a\u000a +p18350 +tp18351 +a(g7 +Vclass +p18352 +tp18353 +a(g184 +V +tp18354 +a(g134 +VRxsPiece +p18355 +tp18356 +a(g184 +V +tp18357 +a(g50 +Vatom: +p18358 +tp18359 +a(g184 +V +tp18360 +a(g101 +Va +tp18361 +a(g184 +V +tp18362 +a(g50 +Vmin: +p18363 +tp18364 +a(g184 +V +tp18365 +a(g101 +Vmn +p18366 +tp18367 +a(g184 +V +tp18368 +a(g50 +Vmax: +p18369 +tp18370 +a(g184 +V +tp18371 +a(g101 +Vmx +p18372 +tp18373 +a(g184 +V +tp18374 +a(g338 +V= +tp18375 +a(g184 +V +tp18376 +a(g101 +VRxsNode +p18377 +tp18378 +a(g184 +V +tp18379 +a(g197 +V( +tp18380 +a(g184 +V\u000a +tp18381 +a(g26 +V"A piece is an atom, possibly optional or repeated a number of times.\u000a\u000aInstance variables:\u000a atom \u000a min \u000a max nil means infinity" +p18382 +tp18383 +a(g184 +V\u000a +tp18384 +a(g338 +V| +tp18385 +a(g184 +V\u000a +p18386 +tp18387 +a(g101 +Vatom +p18388 +tp18389 +a(g184 +V +tp18390 +a(g338 +V: +tp18391 +a(g338 +V: +tp18392 +a(g338 +V= +tp18393 +a(g184 +V +tp18394 +a(g101 +Va +tp18395 +a(g197 +V. +tp18396 +a(g184 +V\u000a +p18397 +tp18398 +a(g101 +Vmin +p18399 +tp18400 +a(g184 +V +tp18401 +a(g338 +V: +tp18402 +a(g338 +V: +tp18403 +a(g338 +V= +tp18404 +a(g184 +V +tp18405 +a(g101 +Vmn +p18406 +tp18407 +a(g197 +V. +tp18408 +a(g184 +V\u000a +p18409 +tp18410 +a(g101 +Vmax +p18411 +tp18412 +a(g184 +V +tp18413 +a(g338 +V: +tp18414 +a(g338 +V: +tp18415 +a(g338 +V= +tp18416 +a(g184 +V +tp18417 +a(g101 +Vmx +p18418 +tp18419 +a(g197 +V. +tp18420 +a(g184 +V\u000a +tp18421 +a(g338 +V| +tp18422 +a(g184 +V\u000a +tp18423 +a(g197 +V) +tp18424 +a(g184 +V\u000a +tp18425 +a(g197 +V( +tp18426 +a(g221 +V'accessing' +p18427 +tp18428 +a(g184 +V\u000a +tp18429 +a(g69 +Vcharacter +p18430 +tp18431 +a(g184 +V +tp18432 +a(g338 +V= +tp18433 +a(g184 +V +tp18434 +a(g197 +V( +tp18435 +a(g184 +V\u000a +p18436 +tp18437 +a(g26 +V"If this node is atomic, answer the character it\u000a represents. It is the caller's responsibility to make sure this\u000a node is indeed atomic before using this." +p18438 +tp18439 +a(g184 +V\u000a\u000a +p18440 +tp18441 +a(g338 +V^ +tp18442 +a(g101 +Vatom +p18443 +tp18444 +a(g184 +V +tp18445 +a(g101 +Vcharacter +p18446 +tp18447 +a(g184 +V\u000a +tp18448 +a(g197 +V) +tp18449 +a(g184 +V\u000a\u000a +p18450 +tp18451 +a(g50 +VdispatchTo: +p18452 +tp18453 +a(g184 +V +tp18454 +a(g101 +VaMatcher +p18455 +tp18456 +a(g184 +V +tp18457 +a(g338 +V= +tp18458 +a(g184 +V +tp18459 +a(g197 +V( +tp18460 +a(g184 +V\u000a +p18461 +tp18462 +a(g26 +V"Inform the matcher of the kind of the node, and it\u000a will do whatever it has to." +p18463 +tp18464 +a(g184 +V\u000a\u000a +p18465 +tp18466 +a(g338 +V^ +tp18467 +a(g101 +VaMatcher +p18468 +tp18469 +a(g184 +V +tp18470 +a(g50 +VsyntaxPiece: +p18471 +tp18472 +a(g184 +V +tp18473 +a(g101 +Vself +p18474 +tp18475 +a(g184 +V\u000a +tp18476 +a(g197 +V) +tp18477 +a(g184 +V\u000a\u000a +p18478 +tp18479 +a(g221 +V'restricted' +p18480 +tp18481 +a(g184 +V\u000a +tp18482 +a(g69 +VisAtomic +p18483 +tp18484 +a(g184 +V +tp18485 +a(g338 +V= +tp18486 +a(g184 +V +tp18487 +a(g197 +V( +tp18488 +a(g184 +V\u000a +p18489 +tp18490 +a(g26 +V"A piece is atomic if only it contains exactly one atom\u000a which is atomic (sic)." +p18491 +tp18492 +a(g184 +V\u000a\u000a +p18493 +tp18494 +a(g338 +V^ +tp18495 +a(g13 +Vself +p18496 +tp18497 +a(g184 +V +tp18498 +a(g101 +VisSingular +p18499 +tp18500 +a(g184 +V +tp18501 +a(g50 +Vand: +p18502 +tp18503 +a(g184 +V +tp18504 +a(g197 +V[ +tp18505 +a(g101 +Vatom +p18506 +tp18507 +a(g184 +V +tp18508 +a(g101 +VisAtomic +p18509 +tp18510 +a(g197 +V] +tp18511 +a(g184 +V\u000a +tp18512 +a(g197 +V) +tp18513 +a(g184 +V\u000a\u000a +p18514 +tp18515 +a(g221 +V'testing' +p18516 +tp18517 +a(g184 +V\u000a +tp18518 +a(g69 +VisNullable +p18519 +tp18520 +a(g184 +V +tp18521 +a(g338 +V= +tp18522 +a(g184 +V +tp18523 +a(g197 +V( +tp18524 +a(g184 +V\u000a +p18525 +tp18526 +a(g26 +V"A piece is nullable if it allows 0 matches. \u000a This is often handy to know for optimization." +p18527 +tp18528 +a(g184 +V\u000a\u000a +p18529 +tp18530 +a(g338 +V^ +tp18531 +a(g69 +Vmin +p18532 +tp18533 +a(g184 +V +tp18534 +a(g338 +V= +tp18535 +a(g184 +V +tp18536 +a(g313 +V0 +tp18537 +a(g184 +V +tp18538 +a(g50 +Vor: +p18539 +tp18540 +a(g184 +V +tp18541 +a(g197 +V[ +tp18542 +a(g101 +Vatom +p18543 +tp18544 +a(g184 +V +tp18545 +a(g101 +VisNullable +p18546 +tp18547 +a(g197 +V] +tp18548 +a(g184 +V\u000a +tp18549 +a(g197 +V) +tp18550 +a(g184 +V\u000a\u000a +p18551 +tp18552 +a(g69 +VisOptional +p18553 +tp18554 +a(g184 +V +tp18555 +a(g338 +V= +tp18556 +a(g184 +V +tp18557 +a(g197 +V( +tp18558 +a(g184 +V\u000a\u000a +p18559 +tp18560 +a(g338 +V^ +tp18561 +a(g69 +Vmin +p18562 +tp18563 +a(g184 +V +tp18564 +a(g338 +V= +tp18565 +a(g184 +V +tp18566 +a(g313 +V0 +tp18567 +a(g184 +V +tp18568 +a(g50 +Vand: +p18569 +tp18570 +a(g184 +V +tp18571 +a(g197 +V[ +tp18572 +a(g69 +Vmax +p18573 +tp18574 +a(g184 +V +tp18575 +a(g338 +V= +tp18576 +a(g184 +V +tp18577 +a(g313 +V1 +tp18578 +a(g197 +V] +tp18579 +a(g184 +V\u000a +tp18580 +a(g197 +V) +tp18581 +a(g184 +V\u000a\u000a +p18582 +tp18583 +a(g69 +VisPlus +p18584 +tp18585 +a(g184 +V +tp18586 +a(g338 +V= +tp18587 +a(g184 +V +tp18588 +a(g197 +V( +tp18589 +a(g184 +V\u000a\u000a +p18590 +tp18591 +a(g338 +V^ +tp18592 +a(g69 +Vmin +p18593 +tp18594 +a(g184 +V +tp18595 +a(g338 +V= +tp18596 +a(g184 +V +tp18597 +a(g313 +V1 +tp18598 +a(g184 +V +tp18599 +a(g50 +Vand: +p18600 +tp18601 +a(g184 +V +tp18602 +a(g197 +V[ +tp18603 +a(g69 +Vmax +p18604 +tp18605 +a(g184 +V +tp18606 +a(g338 +V= +tp18607 +a(g338 +V= +tp18608 +a(g184 +V +tp18609 +a(g13 +Vnil +p18610 +tp18611 +a(g197 +V] +tp18612 +a(g184 +V\u000a +tp18613 +a(g197 +V) +tp18614 +a(g184 +V\u000a\u000a +p18615 +tp18616 +a(g69 +VisSingular +p18617 +tp18618 +a(g184 +V +tp18619 +a(g338 +V= +tp18620 +a(g184 +V +tp18621 +a(g197 +V( +tp18622 +a(g184 +V\u000a +p18623 +tp18624 +a(g26 +V"A piece with a range is 1 to 1 needs can be compiled\u000a as a simple match." +p18625 +tp18626 +a(g184 +V\u000a\u000a +p18627 +tp18628 +a(g338 +V^ +tp18629 +a(g69 +Vmin +p18630 +tp18631 +a(g184 +V +tp18632 +a(g338 +V= +tp18633 +a(g184 +V +tp18634 +a(g313 +V1 +tp18635 +a(g184 +V +tp18636 +a(g50 +Vand: +p18637 +tp18638 +a(g184 +V +tp18639 +a(g197 +V[ +tp18640 +a(g69 +Vmax +p18641 +tp18642 +a(g184 +V +tp18643 +a(g338 +V= +tp18644 +a(g184 +V +tp18645 +a(g313 +V1 +tp18646 +a(g197 +V] +tp18647 +a(g184 +V\u000a +tp18648 +a(g197 +V) +tp18649 +a(g184 +V\u000a\u000a +p18650 +tp18651 +a(g69 +VisStar +p18652 +tp18653 +a(g184 +V +tp18654 +a(g338 +V= +tp18655 +a(g184 +V +tp18656 +a(g197 +V( +tp18657 +a(g184 +V\u000a +p18658 +tp18659 +a(g338 +V^ +tp18660 +a(g69 +Vmin +p18661 +tp18662 +a(g184 +V +tp18663 +a(g338 +V= +tp18664 +a(g184 +V +tp18665 +a(g313 +V0 +tp18666 +a(g184 +V +tp18667 +a(g50 +Vand: +p18668 +tp18669 +a(g184 +V +tp18670 +a(g197 +V[ +tp18671 +a(g69 +Vmax +p18672 +tp18673 +a(g184 +V +tp18674 +a(g338 +V= +tp18675 +a(g338 +V= +tp18676 +a(g184 +V +tp18677 +a(g13 +Vnil +p18678 +tp18679 +a(g197 +V] +tp18680 +a(g184 +V\u000a +tp18681 +a(g197 +V) +tp18682 +a(g184 +V\u000a\u000a +p18683 +tp18684 +a(g197 +V) +tp18685 +a(g184 +V +tp18686 +a(g338 +V: +tp18687 +a(g184 +V +tp18688 +a(g197 +V( +tp18689 +a(g184 +V\u000a +tp18690 +a(g221 +V'initialize-release' +p18691 +tp18692 +a(g184 +V\u000a +tp18693 +a(g50 +Vatom: +p18694 +tp18695 +a(g184 +V +tp18696 +a(g69 +Va +tp18697 +a(g184 +V +tp18698 +a(g338 +V= +tp18699 +a(g184 +V +tp18700 +a(g197 +V( +tp18701 +a(g184 +V\u000a +p18702 +tp18703 +a(g26 +V"This piece is exactly one occurrence of the specified RxsAtom." +p18704 +tp18705 +a(g184 +V\u000a\u000a +p18706 +tp18707 +a(g338 +V^ +tp18708 +a(g50 +Vatom: +p18709 +tp18710 +a(g184 +V +tp18711 +a(g101 +Va +tp18712 +a(g184 +V +tp18713 +a(g50 +Vmin: +p18714 +tp18715 +a(g184 +V +tp18716 +a(g313 +V1 +tp18717 +a(g184 +V +tp18718 +a(g50 +Vmax: +p18719 +tp18720 +a(g184 +V +tp18721 +a(g313 +V1 +tp18722 +a(g184 +V\u000a +tp18723 +a(g197 +V) +tp18724 +a(g184 +V\u000a\u000a +p18725 +tp18726 +a(g50 +VoptionalAtom: +p18727 +tp18728 +a(g184 +V +tp18729 +a(g69 +Va +tp18730 +a(g184 +V +tp18731 +a(g338 +V= +tp18732 +a(g184 +V +tp18733 +a(g197 +V( +tp18734 +a(g184 +V\u000a +p18735 +tp18736 +a(g26 +V"This piece is 0 or 1 occurrences of the specified RxsAtom." +p18737 +tp18738 +a(g184 +V\u000a\u000a +p18739 +tp18740 +a(g338 +V^ +tp18741 +a(g50 +Vatom: +p18742 +tp18743 +a(g184 +V +tp18744 +a(g101 +Va +tp18745 +a(g184 +V +tp18746 +a(g50 +Vmin: +p18747 +tp18748 +a(g184 +V +tp18749 +a(g313 +V0 +tp18750 +a(g184 +V +tp18751 +a(g50 +Vmax: +p18752 +tp18753 +a(g184 +V +tp18754 +a(g313 +V1 +tp18755 +a(g184 +V\u000a +tp18756 +a(g197 +V) +tp18757 +a(g184 +V\u000a\u000a +p18758 +tp18759 +a(g50 +VplusAtom: +p18760 +tp18761 +a(g184 +V +tp18762 +a(g69 +Va +tp18763 +a(g184 +V +tp18764 +a(g338 +V= +tp18765 +a(g184 +V +tp18766 +a(g197 +V( +tp18767 +a(g184 +V\u000a +p18768 +tp18769 +a(g26 +V"This piece is one or more occurrences of the specified RxsAtom." +p18770 +tp18771 +a(g184 +V\u000a\u000a +p18772 +tp18773 +a(g338 +V^ +tp18774 +a(g50 +Vatom: +p18775 +tp18776 +a(g184 +V +tp18777 +a(g101 +Va +tp18778 +a(g184 +V +tp18779 +a(g50 +Vmin: +p18780 +tp18781 +a(g184 +V +tp18782 +a(g313 +V1 +tp18783 +a(g184 +V +tp18784 +a(g50 +Vmax: +p18785 +tp18786 +a(g184 +V +tp18787 +a(g101 +Vnil +p18788 +tp18789 +a(g184 +V\u000a +tp18790 +a(g197 +V) +tp18791 +a(g184 +V\u000a\u000a +p18792 +tp18793 +a(g50 +VstarAtom: +p18794 +tp18795 +a(g184 +V +tp18796 +a(g101 +VanAtom +p18797 +tp18798 +a(g184 +V +tp18799 +a(g338 +V= +tp18800 +a(g184 +V +tp18801 +a(g197 +V( +tp18802 +a(g184 +V\u000a +p18803 +tp18804 +a(g26 +V"This piece is any number of occurrences of the atom." +p18805 +tp18806 +a(g184 +V\u000a\u000a +p18807 +tp18808 +a(g338 +V^ +tp18809 +a(g50 +Vatom: +p18810 +tp18811 +a(g184 +V +tp18812 +a(g101 +VanAtom +p18813 +tp18814 +a(g184 +V +tp18815 +a(g50 +Vmin: +p18816 +tp18817 +a(g184 +V +tp18818 +a(g313 +V0 +tp18819 +a(g184 +V +tp18820 +a(g50 +Vmax: +p18821 +tp18822 +a(g184 +V +tp18823 +a(g101 +Vnil +p18824 +tp18825 +a(g184 +V\u000a +tp18826 +a(g197 +V) +tp18827 +a(g184 +V\u000a\u000a +p18828 +tp18829 +a(g197 +V) +tp18830 +a(g221 +V'as yet unclassified' +p18831 +tp18832 +a(g184 +V\u000a +tp18833 +a(g50 +VasRegex: +p18834 +tp18835 +a(g184 +V +tp18836 +a(g101 +VaCharacterArray +p18837 +tp18838 +a(g184 +V +tp18839 +a(g338 +V= +tp18840 +a(g184 +V +tp18841 +a(g197 +V( +tp18842 +a(g184 +V\u000a +p18843 +tp18844 +a(g26 +V"Compile the receiver as a regex matcher. May raise RxParser>>syntaxErrorSignal\u000a or RxParser>>compilationErrorSignal.\u000a This is a part of the Regular Expression Matcher package, (c) 1996, 1999 Vassili Bykov.\u000a Refer to `documentation' protocol of RxParser class for details." +p18845 +tp18846 +a(g184 +V\u000a\u000a +p18847 +tp18848 +a(g338 +V^ +tp18849 +a(g101 +VRxParser +p18850 +tp18851 +a(g184 +V +tp18852 +a(g101 +VpreferredMatcherClass +p18853 +tp18854 +a(g184 +V +tp18855 +a(g50 +Vfor: +p18856 +tp18857 +a(g184 +V +tp18858 +a(g197 +V( +tp18859 +a(g101 +VRxParser +p18860 +tp18861 +a(g184 +V +tp18862 +a(g101 +Vnew +p18863 +tp18864 +a(g184 +V +tp18865 +a(g50 +Vparse: +p18866 +tp18867 +a(g184 +V +tp18868 +a(g101 +VaCharacterArray +p18869 +tp18870 +a(g197 +V) +tp18871 +a(g184 +V\u000a +tp18872 +a(g197 +V) +tp18873 +a(g184 +V\u000a\u000a +p18874 +tp18875 +a(g50 +VasRegexIgnoringCase: +p18876 +tp18877 +a(g184 +V +tp18878 +a(g101 +VaCharacterArray +p18879 +tp18880 +a(g184 +V +tp18881 +a(g338 +V= +tp18882 +a(g184 +V +tp18883 +a(g197 +V( +tp18884 +a(g184 +V\u000a +p18885 +tp18886 +a(g26 +V"Compile the receiver as a regex matcher. May raise RxParser>>syntaxErrorSignal\u000a or RxParser>>compilationErrorSignal.\u000a This is a part of the Regular Expression Matcher package, (c) 1996, 1999 Vassili Bykov.\u000a Refer to `documentation' protocol of RxParser class for details." +p18887 +tp18888 +a(g184 +V\u000a\u000a +p18889 +tp18890 +a(g338 +V^ +tp18891 +a(g101 +VRxParser +p18892 +tp18893 +a(g184 +V +tp18894 +a(g101 +VpreferredMatcherClass +p18895 +tp18896 +a(g184 +V\u000a +p18897 +tp18898 +a(g50 +Vfor: +p18899 +tp18900 +a(g184 +V +tp18901 +a(g197 +V( +tp18902 +a(g101 +VRxParser +p18903 +tp18904 +a(g184 +V +tp18905 +a(g101 +Vnew +p18906 +tp18907 +a(g184 +V +tp18908 +a(g50 +Vparse: +p18909 +tp18910 +a(g184 +V +tp18911 +a(g101 +VaCharacterArray +p18912 +tp18913 +a(g197 +V) +tp18914 +a(g184 +V\u000a +p18915 +tp18916 +a(g50 +VignoreCase: +p18917 +tp18918 +a(g184 +V +tp18919 +a(g101 +Vtrue +p18920 +tp18921 +a(g184 +V\u000a +tp18922 +a(g197 +V) +tp18923 +a(g184 +V\u000a\u000a +p18924 +tp18925 +a(g50 +Vstring: +p18926 +tp18927 +a(g184 +V +tp18928 +a(g101 +VaCharacterArray +p18929 +tp18930 +a(g184 +V +tp18931 +a(g50 +VallRangesOfRegexMatches: +p18932 +tp18933 +a(g184 +V +tp18934 +a(g101 +VrxString +p18935 +tp18936 +a(g184 +V +tp18937 +a(g338 +V= +tp18938 +a(g184 +V +tp18939 +a(g197 +V( +tp18940 +a(g184 +V\u000a\u000a +p18941 +tp18942 +a(g338 +V^ +tp18943 +a(g197 +V( +tp18944 +a(g50 +VasRegex: +p18945 +tp18946 +a(g184 +V +tp18947 +a(g101 +VrxString +p18948 +tp18949 +a(g197 +V) +tp18950 +a(g184 +V +tp18951 +a(g50 +VmatchingRangesIn: +p18952 +tp18953 +a(g184 +V +tp18954 +a(g101 +VaCharacterArray +p18955 +tp18956 +a(g184 +V\u000a +tp18957 +a(g197 +V) +tp18958 +a(g184 +V\u000a\u000a +p18959 +tp18960 +a(g50 +Vstring: +p18961 +tp18962 +a(g184 +V +tp18963 +a(g101 +VaCharacterArray +p18964 +tp18965 +a(g184 +V +tp18966 +a(g50 +VallRegexMatches: +p18967 +tp18968 +a(g184 +V +tp18969 +a(g101 +VrxString +p18970 +tp18971 +a(g184 +V +tp18972 +a(g338 +V= +tp18973 +a(g184 +V +tp18974 +a(g197 +V( +tp18975 +a(g184 +V\u000a +p18976 +tp18977 +a(g338 +V^ +tp18978 +a(g197 +V( +tp18979 +a(g50 +VasRegex: +p18980 +tp18981 +a(g184 +V +tp18982 +a(g101 +VrxString +p18983 +tp18984 +a(g197 +V) +tp18985 +a(g184 +V +tp18986 +a(g50 +VmatchesIn: +p18987 +tp18988 +a(g184 +V +tp18989 +a(g101 +Vself +p18990 +tp18991 +a(g184 +V\u000a +tp18992 +a(g197 +V) +tp18993 +a(g184 +V\u000a\u000a +p18994 +tp18995 +a(g50 +Vstring: +p18996 +tp18997 +a(g184 +V +tp18998 +a(g101 +VaCharacterArray +p18999 +tp19000 +a(g184 +V +tp19001 +a(g50 +VcopyWithRegex: +p19002 +tp19003 +a(g184 +V +tp19004 +a(g101 +VrxString +p19005 +tp19006 +a(g184 +V +tp19007 +a(g50 +VmatchesReplacedWith: +p19008 +tp19009 +a(g184 +V +tp19010 +a(g101 +VaString +p19011 +tp19012 +a(g184 +V +tp19013 +a(g338 +V= +tp19014 +a(g184 +V +tp19015 +a(g197 +V( +tp19016 +a(g184 +V\u000a\u000a +p19017 +tp19018 +a(g338 +V^ +tp19019 +a(g197 +V( +tp19020 +a(g50 +VasRegex: +p19021 +tp19022 +a(g184 +V +tp19023 +a(g101 +VrxString +p19024 +tp19025 +a(g197 +V) +tp19026 +a(g184 +V\u000a +p19027 +tp19028 +a(g50 +Vcopy: +p19029 +tp19030 +a(g184 +V +tp19031 +a(g101 +VaCharacterArray +p19032 +tp19033 +a(g184 +V +tp19034 +a(g50 +VreplacingMatchesWith: +p19035 +tp19036 +a(g184 +V +tp19037 +a(g101 +VaString +p19038 +tp19039 +a(g184 +V\u000a +tp19040 +a(g197 +V) +tp19041 +a(g184 +V\u000a\u000a +p19042 +tp19043 +a(g50 +Vstring: +p19044 +tp19045 +a(g184 +V +tp19046 +a(g101 +VaCharacterArray +p19047 +tp19048 +a(g184 +V +tp19049 +a(g50 +VcopyWithRegex: +p19050 +tp19051 +a(g184 +V +tp19052 +a(g101 +VrxString +p19053 +tp19054 +a(g184 +V +tp19055 +a(g50 +VmatchesTranslatedUsing: +p19056 +tp19057 +a(g184 +V +tp19058 +a(g101 +VaBlock +p19059 +tp19060 +a(g184 +V +tp19061 +a(g338 +V= +tp19062 +a(g184 +V +tp19063 +a(g197 +V( +tp19064 +a(g184 +V\u000a\u000a +p19065 +tp19066 +a(g338 +V^ +tp19067 +a(g197 +V( +tp19068 +a(g50 +VasRegex: +p19069 +tp19070 +a(g184 +V +tp19071 +a(g101 +VrxString +p19072 +tp19073 +a(g197 +V) +tp19074 +a(g184 +V\u000a +p19075 +tp19076 +a(g50 +Vcopy: +p19077 +tp19078 +a(g184 +V +tp19079 +a(g101 +VaCharacterArray +p19080 +tp19081 +a(g184 +V +tp19082 +a(g50 +VtranslatingMatchesUsing: +p19083 +tp19084 +a(g184 +V +tp19085 +a(g101 +VaBlock +p19086 +tp19087 +a(g184 +V\u000a +tp19088 +a(g197 +V) +tp19089 +a(g184 +V\u000a\u000a +p19090 +tp19091 +a(g50 +Vstring: +p19092 +tp19093 +a(g184 +V +tp19094 +a(g101 +VaCharacterArray +p19095 +tp19096 +a(g184 +V +tp19097 +a(g50 +VmatchesRegex: +p19098 +tp19099 +a(g184 +V +tp19100 +a(g101 +VregexString +p19101 +tp19102 +a(g184 +V +tp19103 +a(g338 +V= +tp19104 +a(g184 +V +tp19105 +a(g197 +V( +tp19106 +a(g184 +V\u000a +p19107 +tp19108 +a(g26 +V"Test if the receiver matches a regex. May raise RxParser>>regexErrorSignal or\u000a child signals.\u000a This is a part of the Regular Expression Matcher package, (c) 1996, 1999 Vassili Bykov.\u000a Refer to `documentation' protocol of RxParser class for details." +p19109 +tp19110 +a(g184 +V\u000a\u000a +p19111 +tp19112 +a(g338 +V^ +tp19113 +a(g197 +V( +tp19114 +a(g50 +VasRegex: +p19115 +tp19116 +a(g184 +V +tp19117 +a(g101 +VregexString +p19118 +tp19119 +a(g197 +V) +tp19120 +a(g184 +V +tp19121 +a(g50 +Vmatches: +p19122 +tp19123 +a(g184 +V +tp19124 +a(g101 +VaCharacterArray +p19125 +tp19126 +a(g184 +V\u000a +tp19127 +a(g197 +V) +tp19128 +a(g184 +V\u000a\u000a +p19129 +tp19130 +a(g50 +Vstring: +p19131 +tp19132 +a(g184 +V +tp19133 +a(g101 +VaCharacterArray +p19134 +tp19135 +a(g184 +V +tp19136 +a(g50 +VmatchesRegexIgnoringCase: +p19137 +tp19138 +a(g184 +V +tp19139 +a(g101 +VregexString +p19140 +tp19141 +a(g184 +V +tp19142 +a(g338 +V= +tp19143 +a(g184 +V +tp19144 +a(g197 +V( +tp19145 +a(g184 +V\u000a +p19146 +tp19147 +a(g26 +V"Test if the receiver matches a regex. May raise RxParser>>regexErrorSignal or\u000a child signals.\u000a This is a part of the Regular Expression Matcher package, (c) 1996, 1999 Vassili Bykov.\u000a Refer to `documentation' protocol of RxParser class for details." +p19148 +tp19149 +a(g184 +V\u000a\u000a +p19150 +tp19151 +a(g338 +V^ +tp19152 +a(g197 +V( +tp19153 +a(g50 +VasRegexIgnoringCase: +p19154 +tp19155 +a(g184 +V +tp19156 +a(g101 +VregexString +p19157 +tp19158 +a(g197 +V) +tp19159 +a(g184 +V +tp19160 +a(g50 +Vmatches: +p19161 +tp19162 +a(g184 +V +tp19163 +a(g101 +VaCharacterArray +p19164 +tp19165 +a(g184 +V\u000a +tp19166 +a(g197 +V) +tp19167 +a(g184 +V\u000a\u000a +p19168 +tp19169 +a(g50 +Vstring: +p19170 +tp19171 +a(g184 +V +tp19172 +a(g101 +VaCharacterArray +p19173 +tp19174 +a(g184 +V +tp19175 +a(g50 +VprefixMatchesRegex: +p19176 +tp19177 +a(g184 +V +tp19178 +a(g101 +VregexString +p19179 +tp19180 +a(g184 +V +tp19181 +a(g338 +V= +tp19182 +a(g184 +V +tp19183 +a(g197 +V( +tp19184 +a(g184 +V\u000a +p19185 +tp19186 +a(g26 +V"Test if the receiver's prefix matches a regex. \u000a May raise RxParser class>>regexErrorSignal or child signals.\u000a This is a part of the Regular Expression Matcher package, (c) 1996, 1999 Vassili Bykov.\u000a Refer to `documentation' protocol of RxParser class for details." +p19187 +tp19188 +a(g184 +V\u000a\u000a +p19189 +tp19190 +a(g338 +V^ +tp19191 +a(g197 +V( +tp19192 +a(g50 +VasRegex: +p19193 +tp19194 +a(g184 +V +tp19195 +a(g101 +VregexString +p19196 +tp19197 +a(g197 +V) +tp19198 +a(g184 +V +tp19199 +a(g50 +VmatchesPrefix: +p19200 +tp19201 +a(g184 +V +tp19202 +a(g101 +VaCharacterArray +p19203 +tp19204 +a(g184 +V\u000a +tp19205 +a(g197 +V) +tp19206 +a(g184 +V\u000a\u000a +p19207 +tp19208 +a(g50 +Vstring: +p19209 +tp19210 +a(g184 +V +tp19211 +a(g101 +VaCharacterArray +p19212 +tp19213 +a(g184 +V +tp19214 +a(g50 +VprefixMatchesRegexIgnoringCase: +p19215 +tp19216 +a(g184 +V +tp19217 +a(g101 +VregexString +p19218 +tp19219 +a(g184 +V +tp19220 +a(g338 +V= +tp19221 +a(g184 +V +tp19222 +a(g197 +V( +tp19223 +a(g184 +V\u000a +p19224 +tp19225 +a(g26 +V"Test if the receiver's prefix matches a regex. \u000a May raise RxParser class>>regexErrorSignal or child signals.\u000a This is a part of the Regular Expression Matcher package, (c) 1996, 1999 Vassili Bykov.\u000a Refer to `documentation' protocol of RxParser class for details." +p19226 +tp19227 +a(g184 +V\u000a\u000a +p19228 +tp19229 +a(g338 +V^ +tp19230 +a(g197 +V( +tp19231 +a(g50 +VasRegexIgnoringCase: +p19232 +tp19233 +a(g184 +V +tp19234 +a(g101 +VregexString +p19235 +tp19236 +a(g197 +V) +tp19237 +a(g184 +V +tp19238 +a(g50 +VmatchesPrefix: +p19239 +tp19240 +a(g184 +V +tp19241 +a(g101 +VaCharacterArray +p19242 +tp19243 +a(g184 +V\u000a +tp19244 +a(g197 +V) +tp19245 +a(g184 +V\u000a\u000a +p19246 +tp19247 +a(g50 +Vstring: +p19248 +tp19249 +a(g184 +V +tp19250 +a(g101 +VaCharacterArray +p19251 +tp19252 +a(g184 +V +tp19253 +a(g50 +Vregex: +p19254 +tp19255 +a(g184 +V +tp19256 +a(g101 +VrxString +p19257 +tp19258 +a(g184 +V +tp19259 +a(g50 +VmatchesCollect: +p19260 +tp19261 +a(g184 +V +tp19262 +a(g101 +VaBlock +p19263 +tp19264 +a(g184 +V +tp19265 +a(g338 +V= +tp19266 +a(g184 +V +tp19267 +a(g197 +V( +tp19268 +a(g184 +V\u000a\u000a +p19269 +tp19270 +a(g338 +V^ +tp19271 +a(g197 +V( +tp19272 +a(g50 +VasRegex: +p19273 +tp19274 +a(g184 +V +tp19275 +a(g101 +VrxString +p19276 +tp19277 +a(g197 +V) +tp19278 +a(g184 +V +tp19279 +a(g50 +VmatchesIn: +p19280 +tp19281 +a(g184 +V +tp19282 +a(g101 +VaCharacterArray +p19283 +tp19284 +a(g184 +V +tp19285 +a(g50 +Vcollect: +p19286 +tp19287 +a(g184 +V +tp19288 +a(g101 +VaBlock +p19289 +tp19290 +a(g184 +V\u000a +tp19291 +a(g197 +V) +tp19292 +a(g184 +V\u000a\u000a +p19293 +tp19294 +a(g50 +Vstring: +p19295 +tp19296 +a(g184 +V +tp19297 +a(g101 +VaCharacterArray +p19298 +tp19299 +a(g184 +V +tp19300 +a(g50 +Vregex: +p19301 +tp19302 +a(g184 +V +tp19303 +a(g101 +VrxString +p19304 +tp19305 +a(g184 +V +tp19306 +a(g50 +VmatchesDo: +p19307 +tp19308 +a(g184 +V +tp19309 +a(g101 +VaBlock +p19310 +tp19311 +a(g184 +V +tp19312 +a(g338 +V= +tp19313 +a(g184 +V +tp19314 +a(g197 +V( +tp19315 +a(g184 +V\u000a\u000a +p19316 +tp19317 +a(g338 +V^ +tp19318 +a(g197 +V( +tp19319 +a(g50 +VasRegex: +p19320 +tp19321 +a(g184 +V +tp19322 +a(g101 +VrxString +p19323 +tp19324 +a(g197 +V) +tp19325 +a(g184 +V +tp19326 +a(g50 +VmatchesIn: +p19327 +tp19328 +a(g184 +V +tp19329 +a(g101 +VaCharacterArray +p19330 +tp19331 +a(g184 +V +tp19332 +a(g50 +Vdo: +p19333 +tp19334 +a(g184 +V +tp19335 +a(g101 +VaBlock +p19336 +tp19337 +a(g184 +V\u000a +tp19338 +a(g197 +V) +tp19339 +a(g184 +V\u000a\u000a +p19340 +tp19341 +a(g197 +V) +tp19342 +a(g184 +V\u000a +tp19343 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/SmallCheck.hs b/tests/examplefiles/output/SmallCheck.hs new file mode 100644 index 0000000..35b5c47 --- /dev/null +++ b/tests/examplefiles/output/SmallCheck.hs @@ -0,0 +1,16257 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +g32 +g2 +(g3 +g4 +(g110 +g32 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp116 +(dp117 +g9 +g10 +((ltRp118 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g111 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g110 +g122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g111 +sbsg9 +g10 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g110 +S'Type' +p134 +ttRp135 +(dp136 +g9 +g10 +((ltRp137 +sg12 +g111 +sbag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g9 +g10 +((ltRp145 +sg12 +g140 +sbsg12 +g15 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g140 +sbsg9 +g10 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g9 +g10 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g9 +g10 +((ltRp191 +sg12 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg12 +g15 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g9 +g10 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g9 +g10 +((ltRp204 +sg12 +g198 +sbatRp205 +sg201 +g202 +sg12 +g15 +sbsS'Token' +p206 +g15 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g9 +g10 +((ltRp214 +sg12 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g209 +sbsg12 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g222 +sbsg12 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g222 +sbsg6 +g2 +(g3 +g4 +(g208 +g221 +g6 +ttRp267 +(dp268 +g9 +g10 +((ltRp269 +sg12 +g222 +sbsg64 +g2 +(g3 +g4 +(g208 +g221 +g64 +ttRp270 +(dp271 +g9 +g10 +((ltRp272 +sg12 +g222 +sbsS'Doc' +p273 +g2 +(g3 +g4 +(g208 +g221 +g273 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g222 +sbsg9 +g10 +((lp277 +g270 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g222 +sbag241 +ag264 +ag256 +ag274 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag267 +ag252 +ag248 +atRp282 +sg278 +g279 +sbsg12 +g15 +sg207 +g209 +sS'Scalar' +p283 +g2 +(g3 +g4 +(g208 +g283 +ttRp284 +(dp285 +g9 +g10 +((lp286 +g2 +(g3 +g4 +(g208 +g283 +S'Plain' +p287 +ttRp288 +(dp289 +g9 +g10 +((ltRp290 +sg12 +g284 +sbatRp291 +sg12 +g219 +sg287 +g288 +sbsg64 +g2 +(g3 +g4 +(g208 +g64 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g219 +sbsS'Date' +p295 +g2 +(g3 +g4 +(g208 +g295 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g219 +sbsg9 +g10 +((lp299 +g296 +ag222 +ag292 +ag209 +ag284 +atRp300 +sbsS'Decimal' +p301 +g2 +(g3 +g4 +(g208 +g207 +g301 +ttRp302 +(dp303 +g9 +g10 +((ltRp304 +sg12 +g209 +sbsS'Float' +p305 +g2 +(g3 +g4 +(g208 +g207 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g209 +sbsS'Hex' +p309 +g2 +(g3 +g4 +(g208 +g207 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g209 +sbsS'Integer' +p313 +g2 +(g3 +g4 +(g208 +g207 +g313 +ttRp314 +(dp315 +g9 +g10 +((lp316 +g2 +(g3 +g4 +(g208 +g207 +g313 +S'Long' +p317 +ttRp318 +(dp319 +g9 +g10 +((ltRp320 +sg12 +g314 +sbatRp321 +sg317 +g318 +sg12 +g209 +sbsS'Octal' +p322 +g2 +(g3 +g4 +(g208 +g207 +g322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g209 +sbsg9 +g10 +((lp326 +g212 +ag216 +ag323 +ag302 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g209 +sbag314 +ag306 +ag310 +atRp331 +sg327 +g328 +sbsg208 +g219 +sg64 +g2 +(g3 +g4 +(g64 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g15 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp335 +(dp336 +g9 +g10 +((ltRp337 +sg12 +g15 +sbsS'Operator' +p338 +g2 +(g3 +g4 +(g338 +ttRp339 +(dp340 +g9 +g10 +((lp341 +g2 +(g3 +g4 +(g338 +S'Word' +p342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g339 +sbatRp346 +sg342 +g343 +sg12 +g15 +sbsg9 +g10 +((lp347 +g13 +ag335 +ag140 +ag185 +ag18 +ag198 +ag111 +ag219 +ag339 +ag332 +atRp348 +sg221 +g222 +sbsS'Preproc' +p349 +g2 +(g3 +g4 +(g5 +g349 +ttRp350 +(dp351 +g9 +g10 +((ltRp352 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg9 +g10 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag350 +ag7 +ag354 +atRp362 +sg358 +g359 +sbsbV--------------------------------------------------------------------- +p363 +tp364 +a(g185 +V\u000a +tp365 +a(g7 +V-- SmallCheck: another lightweight testing library. +p366 +tp367 +a(g185 +V\u000a +tp368 +a(g7 +V-- Colin Runciman, August 2006 +p369 +tp370 +a(g185 +V\u000a +tp371 +a(g7 +V-- Version 0.2 (November 2006) +p372 +tp373 +a(g185 +V\u000a +tp374 +a(g7 +V-- +p375 +tp376 +a(g185 +V\u000a +tp377 +a(g7 +V-- After QuickCheck, by Koen Claessen and John Hughes (2000-2004). +p378 +tp379 +a(g185 +V\u000a +tp380 +a(g7 +V--------------------------------------------------------------------- +p381 +tp382 +a(g185 +V\u000a\u000a +p383 +tp384 +a(g123 +Vmodule +p385 +tp386 +a(g185 +V +tp387 +a(g99 +VSmallCheck +p388 +tp389 +a(g185 +V +tp390 +a(g198 +V( +tp391 +a(g185 +V\u000a +p392 +tp393 +a(g21 +VsmallCheck +p394 +tp395 +a(g198 +V, +tp396 +a(g185 +V +tp397 +a(g21 +VdepthCheck +p398 +tp399 +a(g198 +V, +tp400 +a(g185 +V\u000a +p401 +tp402 +a(g135 +VProperty +p403 +tp404 +a(g198 +V, +tp405 +a(g185 +V +tp406 +a(g135 +VTestable +p407 +tp408 +a(g198 +V, +tp409 +a(g185 +V\u000a +p410 +tp411 +a(g21 +VforAll +p412 +tp413 +a(g198 +V, +tp414 +a(g185 +V +tp415 +a(g21 +VforAllElem +p416 +tp417 +a(g198 +V, +tp418 +a(g185 +V\u000a +p419 +tp420 +a(g21 +Vexists +p421 +tp422 +a(g198 +V, +tp423 +a(g185 +V +tp424 +a(g21 +VexistsDeeperBy +p425 +tp426 +a(g198 +V, +tp427 +a(g185 +V +tp428 +a(g21 +VthereExists +p429 +tp430 +a(g198 +V, +tp431 +a(g185 +V +tp432 +a(g21 +VthereExistsElem +p433 +tp434 +a(g198 +V, +tp435 +a(g185 +V\u000a +p436 +tp437 +a(g198 +V( +tp438 +a(g339 +V==> +p439 +tp440 +a(g198 +V) +tp441 +a(g198 +V, +tp442 +a(g185 +V\u000a +p443 +tp444 +a(g135 +VSeries +p445 +tp446 +a(g198 +V, +tp447 +a(g185 +V +tp448 +a(g135 +VSerial +p449 +tp450 +a(g198 +V( +tp451 +a(g339 +V.. +p452 +tp453 +a(g198 +V) +tp454 +a(g198 +V, +tp455 +a(g185 +V\u000a +p456 +tp457 +a(g198 +V( +tp458 +a(g339 +V\u005c/ +p459 +tp460 +a(g198 +V) +tp461 +a(g198 +V, +tp462 +a(g185 +V +tp463 +a(g198 +V( +tp464 +a(g339 +V>< +p465 +tp466 +a(g198 +V) +tp467 +a(g198 +V, +tp468 +a(g185 +V +tp469 +a(g21 +Vtwo +p470 +tp471 +a(g198 +V, +tp472 +a(g185 +V +tp473 +a(g21 +Vthree +p474 +tp475 +a(g198 +V, +tp476 +a(g185 +V +tp477 +a(g21 +Vfour +p478 +tp479 +a(g198 +V, +tp480 +a(g185 +V\u000a +p481 +tp482 +a(g21 +Vcons0 +p483 +tp484 +a(g198 +V, +tp485 +a(g185 +V +tp486 +a(g21 +Vcons1 +p487 +tp488 +a(g198 +V, +tp489 +a(g185 +V +tp490 +a(g21 +Vcons2 +p491 +tp492 +a(g198 +V, +tp493 +a(g185 +V +tp494 +a(g21 +Vcons3 +p495 +tp496 +a(g198 +V, +tp497 +a(g185 +V +tp498 +a(g21 +Vcons4 +p499 +tp500 +a(g198 +V, +tp501 +a(g185 +V\u000a +p502 +tp503 +a(g21 +Valts0 +p504 +tp505 +a(g198 +V, +tp506 +a(g185 +V +tp507 +a(g21 +Valts1 +p508 +tp509 +a(g198 +V, +tp510 +a(g185 +V +tp511 +a(g21 +Valts2 +p512 +tp513 +a(g198 +V, +tp514 +a(g185 +V +tp515 +a(g21 +Valts3 +p516 +tp517 +a(g198 +V, +tp518 +a(g185 +V +tp519 +a(g21 +Valts4 +p520 +tp521 +a(g198 +V, +tp522 +a(g185 +V\u000a +p523 +tp524 +a(g135 +VN +tp525 +a(g198 +V( +tp526 +a(g339 +V.. +p527 +tp528 +a(g198 +V) +tp529 +a(g198 +V, +tp530 +a(g185 +V +tp531 +a(g135 +VNat +p532 +tp533 +a(g198 +V, +tp534 +a(g185 +V +tp535 +a(g135 +VNatural +p536 +tp537 +a(g198 +V, +tp538 +a(g185 +V\u000a +p539 +tp540 +a(g21 +Vdepth +p541 +tp542 +a(g198 +V, +tp543 +a(g185 +V +tp544 +a(g21 +Vinc +p545 +tp546 +a(g198 +V, +tp547 +a(g185 +V +tp548 +a(g21 +Vdec +p549 +tp550 +a(g185 +V\u000a +p551 +tp552 +a(g198 +V) +tp553 +a(g185 +V +tp554 +a(g123 +Vwhere +p555 +tp556 +a(g185 +V\u000a\u000a +p557 +tp558 +a(g123 +Vimport +p559 +tp560 +a(g185 +V +tp561 +a(g99 +VData.List +p562 +tp563 +a(g185 +V +tp564 +a(g198 +V( +tp565 +a(g21 +Vintersperse +p566 +tp567 +a(g198 +V) +tp568 +a(g185 +V\u000a +tp569 +a(g123 +Vimport +p570 +tp571 +a(g185 +V +tp572 +a(g99 +VControl.Monad +p573 +tp574 +a(g185 +V +tp575 +a(g198 +V( +tp576 +a(g21 +Vwhen +p577 +tp578 +a(g198 +V) +tp579 +a(g185 +V\u000a +tp580 +a(g123 +Vimport +p581 +tp582 +a(g185 +V +tp583 +a(g99 +VSystem.IO +p584 +tp585 +a(g185 +V +tp586 +a(g198 +V( +tp587 +a(g21 +Vstdout +p588 +tp589 +a(g198 +V, +tp590 +a(g185 +V +tp591 +a(g21 +VhFlush +p592 +tp593 +a(g198 +V) +tp594 +a(g185 +V\u000a\u000a +p595 +tp596 +a(g7 +V------------------ ----------------- +p597 +tp598 +a(g185 +V\u000a\u000a +p599 +tp600 +a(g7 +V-- Series arguments should be interpreted as a depth bound (>=0) +p601 +tp602 +a(g185 +V\u000a +tp603 +a(g7 +V-- Series results should have finite length +p604 +tp605 +a(g185 +V\u000a\u000a +p606 +tp607 +a(g123 +Vtype +p608 +tp609 +a(g185 +V +tp610 +a(g135 +VSeries +p611 +tp612 +a(g185 +V +tp613 +a(g18 +Va +tp614 +a(g185 +V +tp615 +a(g343 +V= +tp616 +a(g185 +V +tp617 +a(g135 +VInt +p618 +tp619 +a(g185 +V +tp620 +a(g343 +V-> +p621 +tp622 +a(g185 +V +tp623 +a(g198 +V[ +tp624 +a(g18 +Va +tp625 +a(g198 +V] +tp626 +a(g185 +V\u000a\u000a +p627 +tp628 +a(g7 +V-- sum +p629 +tp630 +a(g185 +V\u000a +tp631 +a(g123 +Vinfixr +p632 +tp633 +a(g185 +V +tp634 +a(g314 +V7 +tp635 +a(g185 +V +tp636 +a(g339 +V\u005c/ +p637 +tp638 +a(g185 +V\u000a +tp639 +a(g198 +V( +tp640 +a(g339 +V\u005c/ +p641 +tp642 +a(g198 +V) +tp643 +a(g185 +V +tp644 +a(g343 +V:: +p645 +tp646 +a(g185 +V +tp647 +a(g135 +VSeries +p648 +tp649 +a(g185 +V +tp650 +a(g18 +Va +tp651 +a(g185 +V +tp652 +a(g343 +V-> +p653 +tp654 +a(g185 +V +tp655 +a(g135 +VSeries +p656 +tp657 +a(g185 +V +tp658 +a(g18 +Va +tp659 +a(g185 +V +tp660 +a(g343 +V-> +p661 +tp662 +a(g185 +V +tp663 +a(g135 +VSeries +p664 +tp665 +a(g185 +V +tp666 +a(g18 +Va +tp667 +a(g185 +V\u000a +tp668 +a(g21 +Vs1 +p669 +tp670 +a(g185 +V +tp671 +a(g339 +V\u005c/ +p672 +tp673 +a(g185 +V +tp674 +a(g18 +Vs2 +p675 +tp676 +a(g185 +V +tp677 +a(g343 +V= +tp678 +a(g185 +V +tp679 +a(g21 +V\u005c +tp680 +a(g18 +Vd +tp681 +a(g185 +V +tp682 +a(g343 +V-> +p683 +tp684 +a(g185 +V +tp685 +a(g18 +Vs1 +p686 +tp687 +a(g185 +V +tp688 +a(g18 +Vd +tp689 +a(g185 +V +tp690 +a(g339 +V++ +p691 +tp692 +a(g185 +V +tp693 +a(g18 +Vs2 +p694 +tp695 +a(g185 +V +tp696 +a(g18 +Vd +tp697 +a(g185 +V\u000a\u000a +p698 +tp699 +a(g7 +V-- product +p700 +tp701 +a(g185 +V\u000a +tp702 +a(g123 +Vinfixr +p703 +tp704 +a(g185 +V +tp705 +a(g314 +V8 +tp706 +a(g185 +V +tp707 +a(g339 +V>< +p708 +tp709 +a(g185 +V\u000a +tp710 +a(g198 +V( +tp711 +a(g339 +V>< +p712 +tp713 +a(g198 +V) +tp714 +a(g185 +V +tp715 +a(g343 +V:: +p716 +tp717 +a(g185 +V +tp718 +a(g135 +VSeries +p719 +tp720 +a(g185 +V +tp721 +a(g18 +Va +tp722 +a(g185 +V +tp723 +a(g343 +V-> +p724 +tp725 +a(g185 +V +tp726 +a(g135 +VSeries +p727 +tp728 +a(g185 +V +tp729 +a(g18 +Vb +tp730 +a(g185 +V +tp731 +a(g343 +V-> +p732 +tp733 +a(g185 +V +tp734 +a(g135 +VSeries +p735 +tp736 +a(g185 +V +tp737 +a(g198 +V( +tp738 +a(g18 +Va +tp739 +a(g198 +V, +tp740 +a(g18 +Vb +tp741 +a(g198 +V) +tp742 +a(g185 +V\u000a +tp743 +a(g21 +Vs1 +p744 +tp745 +a(g185 +V +tp746 +a(g339 +V>< +p747 +tp748 +a(g185 +V +tp749 +a(g18 +Vs2 +p750 +tp751 +a(g185 +V +tp752 +a(g343 +V= +tp753 +a(g185 +V +tp754 +a(g21 +V\u005c +tp755 +a(g18 +Vd +tp756 +a(g185 +V +tp757 +a(g343 +V-> +p758 +tp759 +a(g185 +V +tp760 +a(g198 +V[ +tp761 +a(g198 +V( +tp762 +a(g18 +Vx +tp763 +a(g198 +V, +tp764 +a(g18 +Vy +tp765 +a(g198 +V) +tp766 +a(g185 +V +tp767 +a(g339 +V| +tp768 +a(g185 +V +tp769 +a(g18 +Vx +tp770 +a(g185 +V +tp771 +a(g343 +V<- +p772 +tp773 +a(g185 +V +tp774 +a(g18 +Vs1 +p775 +tp776 +a(g185 +V +tp777 +a(g18 +Vd +tp778 +a(g198 +V, +tp779 +a(g185 +V +tp780 +a(g18 +Vy +tp781 +a(g185 +V +tp782 +a(g343 +V<- +p783 +tp784 +a(g185 +V +tp785 +a(g18 +Vs2 +p786 +tp787 +a(g185 +V +tp788 +a(g18 +Vd +tp789 +a(g198 +V] +tp790 +a(g185 +V\u000a\u000a +p791 +tp792 +a(g7 +V------------------- ------------------ +p793 +tp794 +a(g185 +V\u000a\u000a +p795 +tp796 +a(g7 +V-- enumerated data values should be finite and fully defined +p797 +tp798 +a(g185 +V\u000a +tp799 +a(g7 +V-- enumerated functional values should be total and strict +p800 +tp801 +a(g185 +V\u000a\u000a +p802 +tp803 +a(g7 +V-- bounds: +p804 +tp805 +a(g185 +V\u000a +tp806 +a(g7 +V-- for data values, the depth of nested constructor applications +p807 +tp808 +a(g185 +V\u000a +tp809 +a(g7 +V-- for functional values, both the depth of nested case analysis +p810 +tp811 +a(g185 +V\u000a +tp812 +a(g7 +V-- and the depth of results +p813 +tp814 +a(g185 +V\u000a \u000a +p815 +tp816 +a(g123 +Vclass +p817 +tp818 +a(g185 +V +tp819 +a(g135 +VSerial +p820 +tp821 +a(g185 +V +tp822 +a(g18 +Va +tp823 +a(g185 +V +tp824 +a(g123 +Vwhere +p825 +tp826 +a(g185 +V\u000a +p827 +tp828 +a(g18 +Vseries +p829 +tp830 +a(g185 +V +p831 +tp832 +a(g343 +V:: +p833 +tp834 +a(g185 +V +tp835 +a(g135 +VSeries +p836 +tp837 +a(g185 +V +tp838 +a(g18 +Va +tp839 +a(g185 +V\u000a +p840 +tp841 +a(g18 +Vcoseries +p842 +tp843 +a(g185 +V +tp844 +a(g343 +V:: +p845 +tp846 +a(g185 +V +tp847 +a(g135 +VSerial +p848 +tp849 +a(g185 +V +tp850 +a(g18 +Vb +tp851 +a(g185 +V +tp852 +a(g343 +V=> +p853 +tp854 +a(g185 +V +tp855 +a(g135 +VSeries +p856 +tp857 +a(g185 +V +tp858 +a(g198 +V( +tp859 +a(g18 +Va +tp860 +a(g343 +V-> +p861 +tp862 +a(g18 +Vb +tp863 +a(g198 +V) +tp864 +a(g185 +V\u000a\u000a +p865 +tp866 +a(g123 +Vinstance +p867 +tp868 +a(g185 +V +tp869 +a(g135 +VSerial +p870 +tp871 +a(g185 +V +tp872 +a(g57 +V() +p873 +tp874 +a(g185 +V +tp875 +a(g123 +Vwhere +p876 +tp877 +a(g185 +V\u000a +p878 +tp879 +a(g18 +Vseries +p880 +tp881 +a(g185 +V +p882 +tp883 +a(g123 +V_ +tp884 +a(g185 +V +tp885 +a(g343 +V= +tp886 +a(g185 +V +tp887 +a(g198 +V[ +tp888 +a(g57 +V() +p889 +tp890 +a(g198 +V] +tp891 +a(g185 +V\u000a +p892 +tp893 +a(g18 +Vcoseries +p894 +tp895 +a(g185 +V +tp896 +a(g18 +Vd +tp897 +a(g185 +V +tp898 +a(g343 +V= +tp899 +a(g185 +V +tp900 +a(g198 +V[ +tp901 +a(g185 +V +tp902 +a(g21 +V\u005c +tp903 +a(g57 +V() +p904 +tp905 +a(g185 +V +tp906 +a(g343 +V-> +p907 +tp908 +a(g185 +V +tp909 +a(g18 +Vb +tp910 +a(g185 +V\u000a +p911 +tp912 +a(g339 +V| +tp913 +a(g185 +V +tp914 +a(g18 +Vb +tp915 +a(g185 +V +tp916 +a(g343 +V<- +p917 +tp918 +a(g185 +V +tp919 +a(g18 +Vseries +p920 +tp921 +a(g185 +V +tp922 +a(g18 +Vd +tp923 +a(g185 +V +tp924 +a(g198 +V] +tp925 +a(g185 +V\u000a\u000a +p926 +tp927 +a(g123 +Vinstance +p928 +tp929 +a(g185 +V +tp930 +a(g135 +VSerial +p931 +tp932 +a(g185 +V +tp933 +a(g135 +VInt +p934 +tp935 +a(g185 +V +tp936 +a(g123 +Vwhere +p937 +tp938 +a(g185 +V\u000a +p939 +tp940 +a(g18 +Vseries +p941 +tp942 +a(g185 +V +p943 +tp944 +a(g18 +Vd +tp945 +a(g185 +V +tp946 +a(g343 +V= +tp947 +a(g185 +V +tp948 +a(g198 +V[ +tp949 +a(g198 +V( +tp950 +a(g339 +V- +tp951 +a(g18 +Vd +tp952 +a(g198 +V) +tp953 +a(g339 +V.. +p954 +tp955 +a(g18 +Vd +tp956 +a(g198 +V] +tp957 +a(g185 +V\u000a +p958 +tp959 +a(g18 +Vcoseries +p960 +tp961 +a(g185 +V +tp962 +a(g18 +Vd +tp963 +a(g185 +V +tp964 +a(g343 +V= +tp965 +a(g185 +V +tp966 +a(g198 +V[ +tp967 +a(g185 +V +tp968 +a(g21 +V\u005c +tp969 +a(g18 +Vi +tp970 +a(g185 +V +tp971 +a(g343 +V-> +p972 +tp973 +a(g185 +V +tp974 +a(g123 +Vif +p975 +tp976 +a(g185 +V +tp977 +a(g18 +Vi +tp978 +a(g185 +V +tp979 +a(g339 +V> +tp980 +a(g185 +V +tp981 +a(g314 +V0 +tp982 +a(g185 +V +tp983 +a(g123 +Vthen +p984 +tp985 +a(g185 +V +tp986 +a(g18 +Vf +tp987 +a(g185 +V +tp988 +a(g198 +V( +tp989 +a(g135 +VN +tp990 +a(g185 +V +tp991 +a(g198 +V( +tp992 +a(g18 +Vi +tp993 +a(g185 +V +tp994 +a(g339 +V- +tp995 +a(g185 +V +tp996 +a(g314 +V1 +tp997 +a(g198 +V) +tp998 +a(g198 +V) +tp999 +a(g185 +V\u000a +p1000 +tp1001 +a(g123 +Velse +p1002 +tp1003 +a(g185 +V +tp1004 +a(g123 +Vif +p1005 +tp1006 +a(g185 +V +tp1007 +a(g18 +Vi +tp1008 +a(g185 +V +tp1009 +a(g339 +V< +tp1010 +a(g185 +V +tp1011 +a(g314 +V0 +tp1012 +a(g185 +V +tp1013 +a(g123 +Vthen +p1014 +tp1015 +a(g185 +V +tp1016 +a(g18 +Vg +tp1017 +a(g185 +V +tp1018 +a(g198 +V( +tp1019 +a(g135 +VN +tp1020 +a(g185 +V +tp1021 +a(g198 +V( +tp1022 +a(g18 +Vabs +p1023 +tp1024 +a(g185 +V +tp1025 +a(g18 +Vi +tp1026 +a(g185 +V +tp1027 +a(g339 +V- +tp1028 +a(g185 +V +tp1029 +a(g314 +V1 +tp1030 +a(g198 +V) +tp1031 +a(g198 +V) +tp1032 +a(g185 +V\u000a +p1033 +tp1034 +a(g123 +Velse +p1035 +tp1036 +a(g185 +V +tp1037 +a(g18 +Vz +tp1038 +a(g185 +V\u000a +p1039 +tp1040 +a(g339 +V| +tp1041 +a(g185 +V +tp1042 +a(g18 +Vz +tp1043 +a(g185 +V +tp1044 +a(g343 +V<- +p1045 +tp1046 +a(g185 +V +tp1047 +a(g18 +Valts0 +p1048 +tp1049 +a(g185 +V +tp1050 +a(g18 +Vd +tp1051 +a(g198 +V, +tp1052 +a(g185 +V +tp1053 +a(g18 +Vf +tp1054 +a(g185 +V +tp1055 +a(g343 +V<- +p1056 +tp1057 +a(g185 +V +tp1058 +a(g18 +Valts1 +p1059 +tp1060 +a(g185 +V +tp1061 +a(g18 +Vd +tp1062 +a(g198 +V, +tp1063 +a(g185 +V +tp1064 +a(g18 +Vg +tp1065 +a(g185 +V +tp1066 +a(g343 +V<- +p1067 +tp1068 +a(g185 +V +tp1069 +a(g18 +Valts1 +p1070 +tp1071 +a(g185 +V +tp1072 +a(g18 +Vd +tp1073 +a(g185 +V +tp1074 +a(g198 +V] +tp1075 +a(g185 +V\u000a\u000a +p1076 +tp1077 +a(g123 +Vinstance +p1078 +tp1079 +a(g185 +V +tp1080 +a(g135 +VSerial +p1081 +tp1082 +a(g185 +V +tp1083 +a(g135 +VInteger +p1084 +tp1085 +a(g185 +V +tp1086 +a(g123 +Vwhere +p1087 +tp1088 +a(g185 +V\u000a +p1089 +tp1090 +a(g18 +Vseries +p1091 +tp1092 +a(g185 +V +p1093 +tp1094 +a(g18 +Vd +tp1095 +a(g185 +V +tp1096 +a(g343 +V= +tp1097 +a(g185 +V +tp1098 +a(g198 +V[ +tp1099 +a(g185 +V +tp1100 +a(g18 +VtoInteger +p1101 +tp1102 +a(g185 +V +tp1103 +a(g198 +V( +tp1104 +a(g18 +Vi +tp1105 +a(g185 +V +tp1106 +a(g343 +V:: +p1107 +tp1108 +a(g185 +V +tp1109 +a(g135 +VInt +p1110 +tp1111 +a(g198 +V) +tp1112 +a(g185 +V\u000a +p1113 +tp1114 +a(g339 +V| +tp1115 +a(g185 +V +tp1116 +a(g18 +Vi +tp1117 +a(g185 +V +tp1118 +a(g343 +V<- +p1119 +tp1120 +a(g185 +V +tp1121 +a(g18 +Vseries +p1122 +tp1123 +a(g185 +V +tp1124 +a(g18 +Vd +tp1125 +a(g185 +V +tp1126 +a(g198 +V] +tp1127 +a(g185 +V\u000a +p1128 +tp1129 +a(g18 +Vcoseries +p1130 +tp1131 +a(g185 +V +tp1132 +a(g18 +Vd +tp1133 +a(g185 +V +tp1134 +a(g343 +V= +tp1135 +a(g185 +V +tp1136 +a(g198 +V[ +tp1137 +a(g185 +V +tp1138 +a(g18 +Vf +tp1139 +a(g185 +V +tp1140 +a(g339 +V. +tp1141 +a(g185 +V +tp1142 +a(g198 +V( +tp1143 +a(g18 +VfromInteger +p1144 +tp1145 +a(g185 +V +tp1146 +a(g343 +V:: +p1147 +tp1148 +a(g185 +V +tp1149 +a(g135 +VInteger +p1150 +tp1151 +a(g343 +V-> +p1152 +tp1153 +a(g135 +VInt +p1154 +tp1155 +a(g198 +V) +tp1156 +a(g185 +V\u000a +p1157 +tp1158 +a(g339 +V| +tp1159 +a(g185 +V +tp1160 +a(g18 +Vf +tp1161 +a(g185 +V +tp1162 +a(g343 +V<- +p1163 +tp1164 +a(g185 +V +tp1165 +a(g18 +Vseries +p1166 +tp1167 +a(g185 +V +tp1168 +a(g18 +Vd +tp1169 +a(g185 +V +tp1170 +a(g198 +V] +tp1171 +a(g185 +V\u000a\u000a +p1172 +tp1173 +a(g123 +Vnewtype +p1174 +tp1175 +a(g185 +V +tp1176 +a(g135 +VN +tp1177 +a(g185 +V +tp1178 +a(g18 +Va +tp1179 +a(g185 +V +tp1180 +a(g343 +V= +tp1181 +a(g185 +V +tp1182 +a(g135 +VN +tp1183 +a(g185 +V +tp1184 +a(g18 +Va +tp1185 +a(g185 +V\u000a\u000a +p1186 +tp1187 +a(g123 +Vinstance +p1188 +tp1189 +a(g185 +V +tp1190 +a(g135 +VShow +p1191 +tp1192 +a(g185 +V +tp1193 +a(g18 +Va +tp1194 +a(g185 +V +tp1195 +a(g343 +V=> +p1196 +tp1197 +a(g185 +V +tp1198 +a(g135 +VShow +p1199 +tp1200 +a(g185 +V +tp1201 +a(g198 +V( +tp1202 +a(g135 +VN +tp1203 +a(g185 +V +tp1204 +a(g18 +Va +tp1205 +a(g198 +V) +tp1206 +a(g185 +V +tp1207 +a(g123 +Vwhere +p1208 +tp1209 +a(g185 +V\u000a +p1210 +tp1211 +a(g18 +Vshow +p1212 +tp1213 +a(g185 +V +tp1214 +a(g198 +V( +tp1215 +a(g135 +VN +tp1216 +a(g185 +V +tp1217 +a(g18 +Vi +tp1218 +a(g198 +V) +tp1219 +a(g185 +V +tp1220 +a(g343 +V= +tp1221 +a(g185 +V +tp1222 +a(g18 +Vshow +p1223 +tp1224 +a(g185 +V +tp1225 +a(g18 +Vi +tp1226 +a(g185 +V\u000a\u000a +p1227 +tp1228 +a(g123 +Vinstance +p1229 +tp1230 +a(g185 +V +tp1231 +a(g198 +V( +tp1232 +a(g135 +VIntegral +p1233 +tp1234 +a(g185 +V +tp1235 +a(g18 +Va +tp1236 +a(g198 +V, +tp1237 +a(g185 +V +tp1238 +a(g135 +VSerial +p1239 +tp1240 +a(g185 +V +tp1241 +a(g18 +Va +tp1242 +a(g198 +V) +tp1243 +a(g185 +V +tp1244 +a(g343 +V=> +p1245 +tp1246 +a(g185 +V +tp1247 +a(g135 +VSerial +p1248 +tp1249 +a(g185 +V +tp1250 +a(g198 +V( +tp1251 +a(g135 +VN +tp1252 +a(g185 +V +tp1253 +a(g18 +Va +tp1254 +a(g198 +V) +tp1255 +a(g185 +V +tp1256 +a(g123 +Vwhere +p1257 +tp1258 +a(g185 +V\u000a +p1259 +tp1260 +a(g18 +Vseries +p1261 +tp1262 +a(g185 +V +p1263 +tp1264 +a(g18 +Vd +tp1265 +a(g185 +V +tp1266 +a(g343 +V= +tp1267 +a(g185 +V +tp1268 +a(g18 +Vmap +p1269 +tp1270 +a(g185 +V +tp1271 +a(g135 +VN +tp1272 +a(g185 +V +tp1273 +a(g198 +V[ +tp1274 +a(g314 +V0 +tp1275 +a(g339 +V.. +p1276 +tp1277 +a(g18 +Vd' +p1278 +tp1279 +a(g198 +V] +tp1280 +a(g185 +V\u000a +p1281 +tp1282 +a(g123 +Vwhere +p1283 +tp1284 +a(g185 +V\u000a +p1285 +tp1286 +a(g18 +Vd' +p1287 +tp1288 +a(g185 +V +tp1289 +a(g343 +V= +tp1290 +a(g185 +V +tp1291 +a(g18 +VfromInteger +p1292 +tp1293 +a(g185 +V +tp1294 +a(g198 +V( +tp1295 +a(g18 +VtoInteger +p1296 +tp1297 +a(g185 +V +tp1298 +a(g18 +Vd +tp1299 +a(g198 +V) +tp1300 +a(g185 +V\u000a +p1301 +tp1302 +a(g18 +Vcoseries +p1303 +tp1304 +a(g185 +V +tp1305 +a(g18 +Vd +tp1306 +a(g185 +V +tp1307 +a(g343 +V= +tp1308 +a(g185 +V +tp1309 +a(g198 +V[ +tp1310 +a(g185 +V +tp1311 +a(g21 +V\u005c +tp1312 +a(g198 +V( +tp1313 +a(g135 +VN +tp1314 +a(g185 +V +tp1315 +a(g18 +Vi +tp1316 +a(g198 +V) +tp1317 +a(g185 +V +tp1318 +a(g343 +V-> +p1319 +tp1320 +a(g185 +V +tp1321 +a(g123 +Vif +p1322 +tp1323 +a(g185 +V +tp1324 +a(g18 +Vi +tp1325 +a(g185 +V +tp1326 +a(g339 +V> +tp1327 +a(g185 +V +tp1328 +a(g314 +V0 +tp1329 +a(g185 +V +tp1330 +a(g123 +Vthen +p1331 +tp1332 +a(g185 +V +tp1333 +a(g18 +Vf +tp1334 +a(g185 +V +tp1335 +a(g198 +V( +tp1336 +a(g135 +VN +tp1337 +a(g185 +V +tp1338 +a(g198 +V( +tp1339 +a(g18 +Vi +tp1340 +a(g185 +V +tp1341 +a(g339 +V- +tp1342 +a(g185 +V +tp1343 +a(g314 +V1 +tp1344 +a(g198 +V) +tp1345 +a(g198 +V) +tp1346 +a(g185 +V\u000a +p1347 +tp1348 +a(g123 +Velse +p1349 +tp1350 +a(g185 +V +tp1351 +a(g18 +Vz +tp1352 +a(g185 +V\u000a +p1353 +tp1354 +a(g339 +V| +tp1355 +a(g185 +V +tp1356 +a(g18 +Vz +tp1357 +a(g185 +V +tp1358 +a(g343 +V<- +p1359 +tp1360 +a(g185 +V +tp1361 +a(g18 +Valts0 +p1362 +tp1363 +a(g185 +V +tp1364 +a(g18 +Vd +tp1365 +a(g198 +V, +tp1366 +a(g185 +V +tp1367 +a(g18 +Vf +tp1368 +a(g185 +V +tp1369 +a(g343 +V<- +p1370 +tp1371 +a(g185 +V +tp1372 +a(g18 +Valts1 +p1373 +tp1374 +a(g185 +V +tp1375 +a(g18 +Vd +tp1376 +a(g185 +V +tp1377 +a(g198 +V] +tp1378 +a(g185 +V\u000a\u000a +p1379 +tp1380 +a(g123 +Vtype +p1381 +tp1382 +a(g185 +V +tp1383 +a(g135 +VNat +p1384 +tp1385 +a(g185 +V +tp1386 +a(g343 +V= +tp1387 +a(g185 +V +tp1388 +a(g135 +VN +tp1389 +a(g185 +V +tp1390 +a(g135 +VInt +p1391 +tp1392 +a(g185 +V\u000a +tp1393 +a(g123 +Vtype +p1394 +tp1395 +a(g185 +V +tp1396 +a(g135 +VNatural +p1397 +tp1398 +a(g185 +V +tp1399 +a(g343 +V= +tp1400 +a(g185 +V +tp1401 +a(g135 +VN +tp1402 +a(g185 +V +tp1403 +a(g135 +VInteger +p1404 +tp1405 +a(g185 +V\u000a\u000a +p1406 +tp1407 +a(g123 +Vinstance +p1408 +tp1409 +a(g185 +V +tp1410 +a(g135 +VSerial +p1411 +tp1412 +a(g185 +V +tp1413 +a(g135 +VFloat +p1414 +tp1415 +a(g185 +V +tp1416 +a(g123 +Vwhere +p1417 +tp1418 +a(g185 +V\u000a +p1419 +tp1420 +a(g18 +Vseries +p1421 +tp1422 +a(g185 +V +tp1423 +a(g18 +Vd +tp1424 +a(g185 +V +p1425 +tp1426 +a(g343 +V= +tp1427 +a(g185 +V +tp1428 +a(g198 +V[ +tp1429 +a(g185 +V +tp1430 +a(g18 +VencodeFloat +p1431 +tp1432 +a(g185 +V +tp1433 +a(g18 +Vsig +p1434 +tp1435 +a(g185 +V +tp1436 +a(g18 +Vexp +p1437 +tp1438 +a(g185 +V\u000a +p1439 +tp1440 +a(g339 +V| +tp1441 +a(g185 +V +tp1442 +a(g198 +V( +tp1443 +a(g18 +Vsig +p1444 +tp1445 +a(g198 +V, +tp1446 +a(g18 +Vexp +p1447 +tp1448 +a(g198 +V) +tp1449 +a(g185 +V +tp1450 +a(g343 +V<- +p1451 +tp1452 +a(g185 +V +tp1453 +a(g18 +Vseries +p1454 +tp1455 +a(g185 +V +tp1456 +a(g18 +Vd +tp1457 +a(g198 +V, +tp1458 +a(g185 +V\u000a +p1459 +tp1460 +a(g18 +Vodd +p1461 +tp1462 +a(g185 +V +tp1463 +a(g18 +Vsig +p1464 +tp1465 +a(g185 +V +tp1466 +a(g339 +V|| +p1467 +tp1468 +a(g185 +V +tp1469 +a(g18 +Vsig +p1470 +tp1471 +a(g339 +V== +p1472 +tp1473 +a(g314 +V0 +tp1474 +a(g185 +V +tp1475 +a(g339 +V&& +p1476 +tp1477 +a(g185 +V +tp1478 +a(g18 +Vexp +p1479 +tp1480 +a(g339 +V== +p1481 +tp1482 +a(g314 +V0 +tp1483 +a(g185 +V +tp1484 +a(g198 +V] +tp1485 +a(g185 +V\u000a +p1486 +tp1487 +a(g18 +Vcoseries +p1488 +tp1489 +a(g185 +V +tp1490 +a(g18 +Vd +tp1491 +a(g185 +V +tp1492 +a(g343 +V= +tp1493 +a(g185 +V +tp1494 +a(g198 +V[ +tp1495 +a(g185 +V +tp1496 +a(g18 +Vf +tp1497 +a(g185 +V +tp1498 +a(g339 +V. +tp1499 +a(g185 +V +tp1500 +a(g18 +VdecodeFloat +p1501 +tp1502 +a(g185 +V\u000a +p1503 +tp1504 +a(g339 +V| +tp1505 +a(g185 +V +tp1506 +a(g18 +Vf +tp1507 +a(g185 +V +tp1508 +a(g343 +V<- +p1509 +tp1510 +a(g185 +V +tp1511 +a(g18 +Vseries +p1512 +tp1513 +a(g185 +V +tp1514 +a(g18 +Vd +tp1515 +a(g185 +V +tp1516 +a(g198 +V] +tp1517 +a(g185 +V\u000a \u000a +p1518 +tp1519 +a(g123 +Vinstance +p1520 +tp1521 +a(g185 +V +tp1522 +a(g135 +VSerial +p1523 +tp1524 +a(g185 +V +tp1525 +a(g135 +VDouble +p1526 +tp1527 +a(g185 +V +tp1528 +a(g123 +Vwhere +p1529 +tp1530 +a(g185 +V\u000a +p1531 +tp1532 +a(g18 +Vseries +p1533 +tp1534 +a(g185 +V +p1535 +tp1536 +a(g18 +Vd +tp1537 +a(g185 +V +tp1538 +a(g343 +V= +tp1539 +a(g185 +V +tp1540 +a(g198 +V[ +tp1541 +a(g185 +V +tp1542 +a(g18 +Vfrac +p1543 +tp1544 +a(g185 +V +tp1545 +a(g198 +V( +tp1546 +a(g18 +Vx +tp1547 +a(g185 +V +tp1548 +a(g343 +V:: +p1549 +tp1550 +a(g185 +V +tp1551 +a(g135 +VFloat +p1552 +tp1553 +a(g198 +V) +tp1554 +a(g185 +V\u000a +p1555 +tp1556 +a(g339 +V| +tp1557 +a(g185 +V +tp1558 +a(g18 +Vx +tp1559 +a(g185 +V +tp1560 +a(g343 +V<- +p1561 +tp1562 +a(g185 +V +tp1563 +a(g18 +Vseries +p1564 +tp1565 +a(g185 +V +tp1566 +a(g18 +Vd +tp1567 +a(g185 +V +tp1568 +a(g198 +V] +tp1569 +a(g185 +V\u000a +p1570 +tp1571 +a(g18 +Vcoseries +p1572 +tp1573 +a(g185 +V +tp1574 +a(g18 +Vd +tp1575 +a(g185 +V +tp1576 +a(g343 +V= +tp1577 +a(g185 +V +tp1578 +a(g198 +V[ +tp1579 +a(g185 +V +tp1580 +a(g18 +Vf +tp1581 +a(g185 +V +tp1582 +a(g339 +V. +tp1583 +a(g185 +V +tp1584 +a(g198 +V( +tp1585 +a(g18 +Vfrac +p1586 +tp1587 +a(g185 +V +tp1588 +a(g343 +V:: +p1589 +tp1590 +a(g185 +V +tp1591 +a(g135 +VDouble +p1592 +tp1593 +a(g343 +V-> +p1594 +tp1595 +a(g135 +VFloat +p1596 +tp1597 +a(g198 +V) +tp1598 +a(g185 +V\u000a +p1599 +tp1600 +a(g339 +V| +tp1601 +a(g185 +V +tp1602 +a(g18 +Vf +tp1603 +a(g185 +V +tp1604 +a(g343 +V<- +p1605 +tp1606 +a(g185 +V +tp1607 +a(g18 +Vseries +p1608 +tp1609 +a(g185 +V +tp1610 +a(g18 +Vd +tp1611 +a(g185 +V +tp1612 +a(g198 +V] +tp1613 +a(g185 +V\u000a\u000a +p1614 +tp1615 +a(g21 +Vfrac +p1616 +tp1617 +a(g185 +V +tp1618 +a(g343 +V:: +p1619 +tp1620 +a(g185 +V +tp1621 +a(g198 +V( +tp1622 +a(g135 +VReal +p1623 +tp1624 +a(g185 +V +tp1625 +a(g18 +Va +tp1626 +a(g198 +V, +tp1627 +a(g185 +V +tp1628 +a(g135 +VFractional +p1629 +tp1630 +a(g185 +V +tp1631 +a(g18 +Va +tp1632 +a(g198 +V, +tp1633 +a(g185 +V +tp1634 +a(g135 +VReal +p1635 +tp1636 +a(g185 +V +tp1637 +a(g18 +Vb +tp1638 +a(g198 +V, +tp1639 +a(g185 +V +tp1640 +a(g135 +VFractional +p1641 +tp1642 +a(g185 +V +tp1643 +a(g18 +Vb +tp1644 +a(g198 +V) +tp1645 +a(g185 +V +tp1646 +a(g343 +V=> +p1647 +tp1648 +a(g185 +V +tp1649 +a(g18 +Va +tp1650 +a(g185 +V +tp1651 +a(g343 +V-> +p1652 +tp1653 +a(g185 +V +tp1654 +a(g18 +Vb +tp1655 +a(g185 +V\u000a +tp1656 +a(g21 +Vfrac +p1657 +tp1658 +a(g185 +V +tp1659 +a(g343 +V= +tp1660 +a(g185 +V +tp1661 +a(g18 +VfromRational +p1662 +tp1663 +a(g185 +V +tp1664 +a(g339 +V. +tp1665 +a(g185 +V +tp1666 +a(g18 +VtoRational +p1667 +tp1668 +a(g185 +V\u000a\u000a +p1669 +tp1670 +a(g123 +Vinstance +p1671 +tp1672 +a(g185 +V +tp1673 +a(g135 +VSerial +p1674 +tp1675 +a(g185 +V +tp1676 +a(g135 +VChar +p1677 +tp1678 +a(g185 +V +tp1679 +a(g123 +Vwhere +p1680 +tp1681 +a(g185 +V\u000a +p1682 +tp1683 +a(g18 +Vseries +p1684 +tp1685 +a(g185 +V +tp1686 +a(g18 +Vd +tp1687 +a(g185 +V +p1688 +tp1689 +a(g343 +V= +tp1690 +a(g185 +V +tp1691 +a(g18 +Vtake +p1692 +tp1693 +a(g185 +V +tp1694 +a(g198 +V( +tp1695 +a(g18 +Vd +tp1696 +a(g339 +V+ +tp1697 +a(g314 +V1 +tp1698 +a(g198 +V) +tp1699 +a(g185 +V +tp1700 +a(g198 +V[ +tp1701 +a(g264 +V' +tp1702 +a(g264 +Va +tp1703 +a(g264 +V' +tp1704 +a(g339 +V.. +p1705 +tp1706 +a(g264 +V' +tp1707 +a(g264 +Vz +tp1708 +a(g264 +V' +tp1709 +a(g198 +V] +tp1710 +a(g185 +V\u000a +p1711 +tp1712 +a(g18 +Vcoseries +p1713 +tp1714 +a(g185 +V +tp1715 +a(g18 +Vd +tp1716 +a(g185 +V +tp1717 +a(g343 +V= +tp1718 +a(g185 +V +tp1719 +a(g198 +V[ +tp1720 +a(g185 +V +tp1721 +a(g21 +V\u005c +tp1722 +a(g18 +Vc +tp1723 +a(g185 +V +tp1724 +a(g343 +V-> +p1725 +tp1726 +a(g185 +V +tp1727 +a(g18 +Vf +tp1728 +a(g185 +V +tp1729 +a(g198 +V( +tp1730 +a(g135 +VN +tp1731 +a(g185 +V +tp1732 +a(g198 +V( +tp1733 +a(g18 +VfromEnum +p1734 +tp1735 +a(g185 +V +tp1736 +a(g18 +Vc +tp1737 +a(g185 +V +tp1738 +a(g339 +V- +tp1739 +a(g185 +V +tp1740 +a(g18 +VfromEnum +p1741 +tp1742 +a(g185 +V +tp1743 +a(g264 +V' +tp1744 +a(g264 +Va +tp1745 +a(g264 +V' +tp1746 +a(g198 +V) +tp1747 +a(g198 +V) +tp1748 +a(g185 +V\u000a +p1749 +tp1750 +a(g339 +V| +tp1751 +a(g185 +V +tp1752 +a(g18 +Vf +tp1753 +a(g185 +V +tp1754 +a(g343 +V<- +p1755 +tp1756 +a(g185 +V +tp1757 +a(g18 +Vseries +p1758 +tp1759 +a(g185 +V +tp1760 +a(g18 +Vd +tp1761 +a(g185 +V +tp1762 +a(g198 +V] +tp1763 +a(g185 +V\u000a\u000a +p1764 +tp1765 +a(g123 +Vinstance +p1766 +tp1767 +a(g185 +V +tp1768 +a(g198 +V( +tp1769 +a(g135 +VSerial +p1770 +tp1771 +a(g185 +V +tp1772 +a(g18 +Va +tp1773 +a(g198 +V, +tp1774 +a(g185 +V +tp1775 +a(g135 +VSerial +p1776 +tp1777 +a(g185 +V +tp1778 +a(g18 +Vb +tp1779 +a(g198 +V) +tp1780 +a(g185 +V +tp1781 +a(g343 +V=> +p1782 +tp1783 +a(g185 +V\u000a +p1784 +tp1785 +a(g135 +VSerial +p1786 +tp1787 +a(g185 +V +tp1788 +a(g198 +V( +tp1789 +a(g18 +Va +tp1790 +a(g198 +V, +tp1791 +a(g18 +Vb +tp1792 +a(g198 +V) +tp1793 +a(g185 +V +tp1794 +a(g123 +Vwhere +p1795 +tp1796 +a(g185 +V\u000a +p1797 +tp1798 +a(g18 +Vseries +p1799 +tp1800 +a(g185 +V +p1801 +tp1802 +a(g343 +V= +tp1803 +a(g185 +V +tp1804 +a(g18 +Vseries +p1805 +tp1806 +a(g185 +V +tp1807 +a(g339 +V>< +p1808 +tp1809 +a(g185 +V +tp1810 +a(g18 +Vseries +p1811 +tp1812 +a(g185 +V\u000a +p1813 +tp1814 +a(g18 +Vcoseries +p1815 +tp1816 +a(g185 +V +tp1817 +a(g343 +V= +tp1818 +a(g185 +V +tp1819 +a(g18 +Vmap +p1820 +tp1821 +a(g185 +V +tp1822 +a(g18 +Vuncurry +p1823 +tp1824 +a(g185 +V +tp1825 +a(g339 +V. +tp1826 +a(g185 +V +tp1827 +a(g18 +Vcoseries +p1828 +tp1829 +a(g185 +V\u000a\u000a +p1830 +tp1831 +a(g123 +Vinstance +p1832 +tp1833 +a(g185 +V +tp1834 +a(g198 +V( +tp1835 +a(g135 +VSerial +p1836 +tp1837 +a(g185 +V +tp1838 +a(g18 +Va +tp1839 +a(g198 +V, +tp1840 +a(g185 +V +tp1841 +a(g135 +VSerial +p1842 +tp1843 +a(g185 +V +tp1844 +a(g18 +Vb +tp1845 +a(g198 +V, +tp1846 +a(g185 +V +tp1847 +a(g135 +VSerial +p1848 +tp1849 +a(g185 +V +tp1850 +a(g18 +Vc +tp1851 +a(g198 +V) +tp1852 +a(g185 +V +tp1853 +a(g343 +V=> +p1854 +tp1855 +a(g185 +V\u000a +p1856 +tp1857 +a(g135 +VSerial +p1858 +tp1859 +a(g185 +V +tp1860 +a(g198 +V( +tp1861 +a(g18 +Va +tp1862 +a(g198 +V, +tp1863 +a(g18 +Vb +tp1864 +a(g198 +V, +tp1865 +a(g18 +Vc +tp1866 +a(g198 +V) +tp1867 +a(g185 +V +tp1868 +a(g123 +Vwhere +p1869 +tp1870 +a(g185 +V\u000a +p1871 +tp1872 +a(g18 +Vseries +p1873 +tp1874 +a(g185 +V +p1875 +tp1876 +a(g343 +V= +tp1877 +a(g185 +V +tp1878 +a(g21 +V\u005c +tp1879 +a(g18 +Vd +tp1880 +a(g185 +V +tp1881 +a(g343 +V-> +p1882 +tp1883 +a(g185 +V +tp1884 +a(g198 +V[ +tp1885 +a(g198 +V( +tp1886 +a(g18 +Va +tp1887 +a(g198 +V, +tp1888 +a(g18 +Vb +tp1889 +a(g198 +V, +tp1890 +a(g18 +Vc +tp1891 +a(g198 +V) +tp1892 +a(g185 +V +tp1893 +a(g339 +V| +tp1894 +a(g185 +V +tp1895 +a(g198 +V( +tp1896 +a(g18 +Va +tp1897 +a(g198 +V, +tp1898 +a(g198 +V( +tp1899 +a(g18 +Vb +tp1900 +a(g198 +V, +tp1901 +a(g18 +Vc +tp1902 +a(g198 +V) +tp1903 +a(g198 +V) +tp1904 +a(g185 +V +tp1905 +a(g343 +V<- +p1906 +tp1907 +a(g185 +V +tp1908 +a(g18 +Vseries +p1909 +tp1910 +a(g185 +V +tp1911 +a(g18 +Vd +tp1912 +a(g198 +V] +tp1913 +a(g185 +V\u000a +p1914 +tp1915 +a(g18 +Vcoseries +p1916 +tp1917 +a(g185 +V +tp1918 +a(g343 +V= +tp1919 +a(g185 +V +tp1920 +a(g18 +Vmap +p1921 +tp1922 +a(g185 +V +tp1923 +a(g18 +Vuncurry3 +p1924 +tp1925 +a(g185 +V +tp1926 +a(g339 +V. +tp1927 +a(g185 +V +tp1928 +a(g18 +Vcoseries +p1929 +tp1930 +a(g185 +V\u000a\u000a +p1931 +tp1932 +a(g123 +Vinstance +p1933 +tp1934 +a(g185 +V +tp1935 +a(g198 +V( +tp1936 +a(g135 +VSerial +p1937 +tp1938 +a(g185 +V +tp1939 +a(g18 +Va +tp1940 +a(g198 +V, +tp1941 +a(g185 +V +tp1942 +a(g135 +VSerial +p1943 +tp1944 +a(g185 +V +tp1945 +a(g18 +Vb +tp1946 +a(g198 +V, +tp1947 +a(g185 +V +tp1948 +a(g135 +VSerial +p1949 +tp1950 +a(g185 +V +tp1951 +a(g18 +Vc +tp1952 +a(g198 +V, +tp1953 +a(g185 +V +tp1954 +a(g135 +VSerial +p1955 +tp1956 +a(g185 +V +tp1957 +a(g18 +Vd +tp1958 +a(g198 +V) +tp1959 +a(g185 +V +tp1960 +a(g343 +V=> +p1961 +tp1962 +a(g185 +V\u000a +p1963 +tp1964 +a(g135 +VSerial +p1965 +tp1966 +a(g185 +V +tp1967 +a(g198 +V( +tp1968 +a(g18 +Va +tp1969 +a(g198 +V, +tp1970 +a(g18 +Vb +tp1971 +a(g198 +V, +tp1972 +a(g18 +Vc +tp1973 +a(g198 +V, +tp1974 +a(g18 +Vd +tp1975 +a(g198 +V) +tp1976 +a(g185 +V +tp1977 +a(g123 +Vwhere +p1978 +tp1979 +a(g185 +V\u000a +p1980 +tp1981 +a(g18 +Vseries +p1982 +tp1983 +a(g185 +V +p1984 +tp1985 +a(g343 +V= +tp1986 +a(g185 +V +tp1987 +a(g21 +V\u005c +tp1988 +a(g18 +Vd +tp1989 +a(g185 +V +tp1990 +a(g343 +V-> +p1991 +tp1992 +a(g185 +V +tp1993 +a(g198 +V[ +tp1994 +a(g198 +V( +tp1995 +a(g18 +Va +tp1996 +a(g198 +V, +tp1997 +a(g18 +Vb +tp1998 +a(g198 +V, +tp1999 +a(g18 +Vc +tp2000 +a(g198 +V, +tp2001 +a(g18 +Vd +tp2002 +a(g198 +V) +tp2003 +a(g185 +V +tp2004 +a(g339 +V| +tp2005 +a(g185 +V +tp2006 +a(g198 +V( +tp2007 +a(g18 +Va +tp2008 +a(g198 +V, +tp2009 +a(g198 +V( +tp2010 +a(g18 +Vb +tp2011 +a(g198 +V, +tp2012 +a(g198 +V( +tp2013 +a(g18 +Vc +tp2014 +a(g198 +V, +tp2015 +a(g18 +Vd +tp2016 +a(g198 +V) +tp2017 +a(g198 +V) +tp2018 +a(g198 +V) +tp2019 +a(g185 +V +tp2020 +a(g343 +V<- +p2021 +tp2022 +a(g185 +V +tp2023 +a(g18 +Vseries +p2024 +tp2025 +a(g185 +V +tp2026 +a(g18 +Vd +tp2027 +a(g198 +V] +tp2028 +a(g185 +V\u000a +p2029 +tp2030 +a(g18 +Vcoseries +p2031 +tp2032 +a(g185 +V +tp2033 +a(g343 +V= +tp2034 +a(g185 +V +tp2035 +a(g18 +Vmap +p2036 +tp2037 +a(g185 +V +tp2038 +a(g18 +Vuncurry4 +p2039 +tp2040 +a(g185 +V +tp2041 +a(g339 +V. +tp2042 +a(g185 +V +tp2043 +a(g18 +Vcoseries +p2044 +tp2045 +a(g185 +V\u000a\u000a +p2046 +tp2047 +a(g21 +Vuncurry3 +p2048 +tp2049 +a(g185 +V +tp2050 +a(g343 +V:: +p2051 +tp2052 +a(g185 +V +tp2053 +a(g198 +V( +tp2054 +a(g18 +Va +tp2055 +a(g343 +V-> +p2056 +tp2057 +a(g18 +Vb +tp2058 +a(g343 +V-> +p2059 +tp2060 +a(g18 +Vc +tp2061 +a(g343 +V-> +p2062 +tp2063 +a(g18 +Vd +tp2064 +a(g198 +V) +tp2065 +a(g185 +V +tp2066 +a(g343 +V-> +p2067 +tp2068 +a(g185 +V +tp2069 +a(g198 +V( +tp2070 +a(g198 +V( +tp2071 +a(g18 +Va +tp2072 +a(g198 +V, +tp2073 +a(g18 +Vb +tp2074 +a(g198 +V, +tp2075 +a(g18 +Vc +tp2076 +a(g198 +V) +tp2077 +a(g343 +V-> +p2078 +tp2079 +a(g18 +Vd +tp2080 +a(g198 +V) +tp2081 +a(g185 +V\u000a +tp2082 +a(g21 +Vuncurry3 +p2083 +tp2084 +a(g185 +V +tp2085 +a(g18 +Vf +tp2086 +a(g185 +V +tp2087 +a(g198 +V( +tp2088 +a(g18 +Vx +tp2089 +a(g198 +V, +tp2090 +a(g18 +Vy +tp2091 +a(g198 +V, +tp2092 +a(g18 +Vz +tp2093 +a(g198 +V) +tp2094 +a(g185 +V +tp2095 +a(g343 +V= +tp2096 +a(g185 +V +tp2097 +a(g18 +Vf +tp2098 +a(g185 +V +tp2099 +a(g18 +Vx +tp2100 +a(g185 +V +tp2101 +a(g18 +Vy +tp2102 +a(g185 +V +tp2103 +a(g18 +Vz +tp2104 +a(g185 +V\u000a\u000a +p2105 +tp2106 +a(g21 +Vuncurry4 +p2107 +tp2108 +a(g185 +V +tp2109 +a(g343 +V:: +p2110 +tp2111 +a(g185 +V +tp2112 +a(g198 +V( +tp2113 +a(g18 +Va +tp2114 +a(g343 +V-> +p2115 +tp2116 +a(g18 +Vb +tp2117 +a(g343 +V-> +p2118 +tp2119 +a(g18 +Vc +tp2120 +a(g343 +V-> +p2121 +tp2122 +a(g18 +Vd +tp2123 +a(g343 +V-> +p2124 +tp2125 +a(g18 +Ve +tp2126 +a(g198 +V) +tp2127 +a(g185 +V +tp2128 +a(g343 +V-> +p2129 +tp2130 +a(g185 +V +tp2131 +a(g198 +V( +tp2132 +a(g198 +V( +tp2133 +a(g18 +Va +tp2134 +a(g198 +V, +tp2135 +a(g18 +Vb +tp2136 +a(g198 +V, +tp2137 +a(g18 +Vc +tp2138 +a(g198 +V, +tp2139 +a(g18 +Vd +tp2140 +a(g198 +V) +tp2141 +a(g343 +V-> +p2142 +tp2143 +a(g18 +Ve +tp2144 +a(g198 +V) +tp2145 +a(g185 +V\u000a +tp2146 +a(g21 +Vuncurry4 +p2147 +tp2148 +a(g185 +V +tp2149 +a(g18 +Vf +tp2150 +a(g185 +V +tp2151 +a(g198 +V( +tp2152 +a(g18 +Vw +tp2153 +a(g198 +V, +tp2154 +a(g18 +Vx +tp2155 +a(g198 +V, +tp2156 +a(g18 +Vy +tp2157 +a(g198 +V, +tp2158 +a(g18 +Vz +tp2159 +a(g198 +V) +tp2160 +a(g185 +V +tp2161 +a(g343 +V= +tp2162 +a(g185 +V +tp2163 +a(g18 +Vf +tp2164 +a(g185 +V +tp2165 +a(g18 +Vw +tp2166 +a(g185 +V +tp2167 +a(g18 +Vx +tp2168 +a(g185 +V +tp2169 +a(g18 +Vy +tp2170 +a(g185 +V +tp2171 +a(g18 +Vz +tp2172 +a(g185 +V\u000a\u000a +p2173 +tp2174 +a(g21 +Vtwo +p2175 +tp2176 +a(g185 +V +p2177 +tp2178 +a(g343 +V:: +p2179 +tp2180 +a(g185 +V +tp2181 +a(g135 +VSeries +p2182 +tp2183 +a(g185 +V +tp2184 +a(g18 +Va +tp2185 +a(g185 +V +tp2186 +a(g343 +V-> +p2187 +tp2188 +a(g185 +V +tp2189 +a(g135 +VSeries +p2190 +tp2191 +a(g185 +V +tp2192 +a(g198 +V( +tp2193 +a(g18 +Va +tp2194 +a(g198 +V, +tp2195 +a(g18 +Va +tp2196 +a(g198 +V) +tp2197 +a(g185 +V\u000a +tp2198 +a(g21 +Vtwo +p2199 +tp2200 +a(g185 +V +p2201 +tp2202 +a(g18 +Vs +tp2203 +a(g185 +V +tp2204 +a(g343 +V= +tp2205 +a(g185 +V +tp2206 +a(g18 +Vs +tp2207 +a(g185 +V +tp2208 +a(g339 +V>< +p2209 +tp2210 +a(g185 +V +tp2211 +a(g18 +Vs +tp2212 +a(g185 +V\u000a\u000a +p2213 +tp2214 +a(g21 +Vthree +p2215 +tp2216 +a(g185 +V +tp2217 +a(g343 +V:: +p2218 +tp2219 +a(g185 +V +tp2220 +a(g135 +VSeries +p2221 +tp2222 +a(g185 +V +tp2223 +a(g18 +Va +tp2224 +a(g185 +V +tp2225 +a(g343 +V-> +p2226 +tp2227 +a(g185 +V +tp2228 +a(g135 +VSeries +p2229 +tp2230 +a(g185 +V +tp2231 +a(g198 +V( +tp2232 +a(g18 +Va +tp2233 +a(g198 +V, +tp2234 +a(g18 +Va +tp2235 +a(g198 +V, +tp2236 +a(g18 +Va +tp2237 +a(g198 +V) +tp2238 +a(g185 +V\u000a +tp2239 +a(g21 +Vthree +p2240 +tp2241 +a(g185 +V +tp2242 +a(g18 +Vs +tp2243 +a(g185 +V +tp2244 +a(g343 +V= +tp2245 +a(g185 +V +tp2246 +a(g21 +V\u005c +tp2247 +a(g18 +Vd +tp2248 +a(g185 +V +tp2249 +a(g343 +V-> +p2250 +tp2251 +a(g185 +V +tp2252 +a(g198 +V[ +tp2253 +a(g198 +V( +tp2254 +a(g18 +Vx +tp2255 +a(g198 +V, +tp2256 +a(g18 +Vy +tp2257 +a(g198 +V, +tp2258 +a(g18 +Vz +tp2259 +a(g198 +V) +tp2260 +a(g185 +V +tp2261 +a(g339 +V| +tp2262 +a(g185 +V +tp2263 +a(g198 +V( +tp2264 +a(g18 +Vx +tp2265 +a(g198 +V, +tp2266 +a(g198 +V( +tp2267 +a(g18 +Vy +tp2268 +a(g198 +V, +tp2269 +a(g18 +Vz +tp2270 +a(g198 +V) +tp2271 +a(g198 +V) +tp2272 +a(g185 +V +tp2273 +a(g343 +V<- +p2274 +tp2275 +a(g185 +V +tp2276 +a(g198 +V( +tp2277 +a(g18 +Vs +tp2278 +a(g185 +V +tp2279 +a(g339 +V>< +p2280 +tp2281 +a(g185 +V +tp2282 +a(g18 +Vs +tp2283 +a(g185 +V +tp2284 +a(g339 +V>< +p2285 +tp2286 +a(g185 +V +tp2287 +a(g18 +Vs +tp2288 +a(g198 +V) +tp2289 +a(g185 +V +tp2290 +a(g18 +Vd +tp2291 +a(g198 +V] +tp2292 +a(g185 +V\u000a\u000a +p2293 +tp2294 +a(g21 +Vfour +p2295 +tp2296 +a(g185 +V +p2297 +tp2298 +a(g343 +V:: +p2299 +tp2300 +a(g185 +V +tp2301 +a(g135 +VSeries +p2302 +tp2303 +a(g185 +V +tp2304 +a(g18 +Va +tp2305 +a(g185 +V +tp2306 +a(g343 +V-> +p2307 +tp2308 +a(g185 +V +tp2309 +a(g135 +VSeries +p2310 +tp2311 +a(g185 +V +tp2312 +a(g198 +V( +tp2313 +a(g18 +Va +tp2314 +a(g198 +V, +tp2315 +a(g18 +Va +tp2316 +a(g198 +V, +tp2317 +a(g18 +Va +tp2318 +a(g198 +V, +tp2319 +a(g18 +Va +tp2320 +a(g198 +V) +tp2321 +a(g185 +V\u000a +tp2322 +a(g21 +Vfour +p2323 +tp2324 +a(g185 +V +p2325 +tp2326 +a(g18 +Vs +tp2327 +a(g185 +V +tp2328 +a(g343 +V= +tp2329 +a(g185 +V +tp2330 +a(g21 +V\u005c +tp2331 +a(g18 +Vd +tp2332 +a(g185 +V +tp2333 +a(g343 +V-> +p2334 +tp2335 +a(g185 +V +tp2336 +a(g198 +V[ +tp2337 +a(g198 +V( +tp2338 +a(g18 +Vw +tp2339 +a(g198 +V, +tp2340 +a(g18 +Vx +tp2341 +a(g198 +V, +tp2342 +a(g18 +Vy +tp2343 +a(g198 +V, +tp2344 +a(g18 +Vz +tp2345 +a(g198 +V) +tp2346 +a(g185 +V +tp2347 +a(g339 +V| +tp2348 +a(g185 +V +tp2349 +a(g198 +V( +tp2350 +a(g18 +Vw +tp2351 +a(g198 +V, +tp2352 +a(g198 +V( +tp2353 +a(g18 +Vx +tp2354 +a(g198 +V, +tp2355 +a(g198 +V( +tp2356 +a(g18 +Vy +tp2357 +a(g198 +V, +tp2358 +a(g18 +Vz +tp2359 +a(g198 +V) +tp2360 +a(g198 +V) +tp2361 +a(g198 +V) +tp2362 +a(g185 +V +tp2363 +a(g343 +V<- +p2364 +tp2365 +a(g185 +V +tp2366 +a(g198 +V( +tp2367 +a(g18 +Vs +tp2368 +a(g185 +V +tp2369 +a(g339 +V>< +p2370 +tp2371 +a(g185 +V +tp2372 +a(g18 +Vs +tp2373 +a(g185 +V +tp2374 +a(g339 +V>< +p2375 +tp2376 +a(g185 +V +tp2377 +a(g18 +Vs +tp2378 +a(g185 +V +tp2379 +a(g339 +V>< +p2380 +tp2381 +a(g185 +V +tp2382 +a(g18 +Vs +tp2383 +a(g198 +V) +tp2384 +a(g185 +V +tp2385 +a(g18 +Vd +tp2386 +a(g198 +V] +tp2387 +a(g185 +V\u000a\u000a +p2388 +tp2389 +a(g21 +Vcons0 +p2390 +tp2391 +a(g185 +V +tp2392 +a(g343 +V:: +p2393 +tp2394 +a(g185 +V \u000a +p2395 +tp2396 +a(g18 +Va +tp2397 +a(g185 +V +tp2398 +a(g343 +V-> +p2399 +tp2400 +a(g185 +V +tp2401 +a(g135 +VSeries +p2402 +tp2403 +a(g185 +V +tp2404 +a(g18 +Va +tp2405 +a(g185 +V\u000a +tp2406 +a(g21 +Vcons0 +p2407 +tp2408 +a(g185 +V +tp2409 +a(g18 +Vc +tp2410 +a(g185 +V +tp2411 +a(g123 +V_ +tp2412 +a(g185 +V +tp2413 +a(g343 +V= +tp2414 +a(g185 +V +tp2415 +a(g198 +V[ +tp2416 +a(g18 +Vc +tp2417 +a(g198 +V] +tp2418 +a(g185 +V\u000a\u000a +p2419 +tp2420 +a(g21 +Vcons1 +p2421 +tp2422 +a(g185 +V +tp2423 +a(g343 +V:: +p2424 +tp2425 +a(g185 +V +tp2426 +a(g135 +VSerial +p2427 +tp2428 +a(g185 +V +tp2429 +a(g18 +Va +tp2430 +a(g185 +V +tp2431 +a(g343 +V=> +p2432 +tp2433 +a(g185 +V\u000a +p2434 +tp2435 +a(g198 +V( +tp2436 +a(g18 +Va +tp2437 +a(g343 +V-> +p2438 +tp2439 +a(g18 +Vb +tp2440 +a(g198 +V) +tp2441 +a(g185 +V +tp2442 +a(g343 +V-> +p2443 +tp2444 +a(g185 +V +tp2445 +a(g135 +VSeries +p2446 +tp2447 +a(g185 +V +tp2448 +a(g18 +Vb +tp2449 +a(g185 +V\u000a +tp2450 +a(g21 +Vcons1 +p2451 +tp2452 +a(g185 +V +tp2453 +a(g18 +Vc +tp2454 +a(g185 +V +tp2455 +a(g18 +Vd +tp2456 +a(g185 +V +tp2457 +a(g343 +V= +tp2458 +a(g185 +V +tp2459 +a(g198 +V[ +tp2460 +a(g18 +Vc +tp2461 +a(g185 +V +tp2462 +a(g18 +Vz +tp2463 +a(g185 +V +tp2464 +a(g339 +V| +tp2465 +a(g185 +V +tp2466 +a(g18 +Vd +tp2467 +a(g185 +V +tp2468 +a(g339 +V> +tp2469 +a(g185 +V +tp2470 +a(g314 +V0 +tp2471 +a(g198 +V, +tp2472 +a(g185 +V +tp2473 +a(g18 +Vz +tp2474 +a(g185 +V +tp2475 +a(g343 +V<- +p2476 +tp2477 +a(g185 +V +tp2478 +a(g18 +Vseries +p2479 +tp2480 +a(g185 +V +tp2481 +a(g198 +V( +tp2482 +a(g18 +Vd +tp2483 +a(g339 +V- +tp2484 +a(g314 +V1 +tp2485 +a(g198 +V) +tp2486 +a(g198 +V] +tp2487 +a(g185 +V\u000a\u000a +p2488 +tp2489 +a(g21 +Vcons2 +p2490 +tp2491 +a(g185 +V +tp2492 +a(g343 +V:: +p2493 +tp2494 +a(g185 +V +tp2495 +a(g198 +V( +tp2496 +a(g135 +VSerial +p2497 +tp2498 +a(g185 +V +tp2499 +a(g18 +Va +tp2500 +a(g198 +V, +tp2501 +a(g185 +V +tp2502 +a(g135 +VSerial +p2503 +tp2504 +a(g185 +V +tp2505 +a(g18 +Vb +tp2506 +a(g198 +V) +tp2507 +a(g185 +V +tp2508 +a(g343 +V=> +p2509 +tp2510 +a(g185 +V\u000a +p2511 +tp2512 +a(g198 +V( +tp2513 +a(g18 +Va +tp2514 +a(g343 +V-> +p2515 +tp2516 +a(g18 +Vb +tp2517 +a(g343 +V-> +p2518 +tp2519 +a(g18 +Vc +tp2520 +a(g198 +V) +tp2521 +a(g185 +V +tp2522 +a(g343 +V-> +p2523 +tp2524 +a(g185 +V +tp2525 +a(g135 +VSeries +p2526 +tp2527 +a(g185 +V +tp2528 +a(g18 +Vc +tp2529 +a(g185 +V\u000a +tp2530 +a(g21 +Vcons2 +p2531 +tp2532 +a(g185 +V +tp2533 +a(g18 +Vc +tp2534 +a(g185 +V +tp2535 +a(g18 +Vd +tp2536 +a(g185 +V +tp2537 +a(g343 +V= +tp2538 +a(g185 +V +tp2539 +a(g198 +V[ +tp2540 +a(g18 +Vc +tp2541 +a(g185 +V +tp2542 +a(g18 +Vy +tp2543 +a(g185 +V +tp2544 +a(g18 +Vz +tp2545 +a(g185 +V +tp2546 +a(g339 +V| +tp2547 +a(g185 +V +tp2548 +a(g18 +Vd +tp2549 +a(g185 +V +tp2550 +a(g339 +V> +tp2551 +a(g185 +V +tp2552 +a(g314 +V0 +tp2553 +a(g198 +V, +tp2554 +a(g185 +V +tp2555 +a(g198 +V( +tp2556 +a(g18 +Vy +tp2557 +a(g198 +V, +tp2558 +a(g18 +Vz +tp2559 +a(g198 +V) +tp2560 +a(g185 +V +tp2561 +a(g343 +V<- +p2562 +tp2563 +a(g185 +V +tp2564 +a(g18 +Vseries +p2565 +tp2566 +a(g185 +V +tp2567 +a(g198 +V( +tp2568 +a(g18 +Vd +tp2569 +a(g339 +V- +tp2570 +a(g314 +V1 +tp2571 +a(g198 +V) +tp2572 +a(g198 +V] +tp2573 +a(g185 +V\u000a\u000a +p2574 +tp2575 +a(g21 +Vcons3 +p2576 +tp2577 +a(g185 +V +tp2578 +a(g343 +V:: +p2579 +tp2580 +a(g185 +V +tp2581 +a(g198 +V( +tp2582 +a(g135 +VSerial +p2583 +tp2584 +a(g185 +V +tp2585 +a(g18 +Va +tp2586 +a(g198 +V, +tp2587 +a(g185 +V +tp2588 +a(g135 +VSerial +p2589 +tp2590 +a(g185 +V +tp2591 +a(g18 +Vb +tp2592 +a(g198 +V, +tp2593 +a(g185 +V +tp2594 +a(g135 +VSerial +p2595 +tp2596 +a(g185 +V +tp2597 +a(g18 +Vc +tp2598 +a(g198 +V) +tp2599 +a(g185 +V +tp2600 +a(g343 +V=> +p2601 +tp2602 +a(g185 +V\u000a +p2603 +tp2604 +a(g198 +V( +tp2605 +a(g18 +Va +tp2606 +a(g343 +V-> +p2607 +tp2608 +a(g18 +Vb +tp2609 +a(g343 +V-> +p2610 +tp2611 +a(g18 +Vc +tp2612 +a(g343 +V-> +p2613 +tp2614 +a(g18 +Vd +tp2615 +a(g198 +V) +tp2616 +a(g185 +V +tp2617 +a(g343 +V-> +p2618 +tp2619 +a(g185 +V +tp2620 +a(g135 +VSeries +p2621 +tp2622 +a(g185 +V +tp2623 +a(g18 +Vd +tp2624 +a(g185 +V\u000a +tp2625 +a(g21 +Vcons3 +p2626 +tp2627 +a(g185 +V +tp2628 +a(g18 +Vc +tp2629 +a(g185 +V +tp2630 +a(g18 +Vd +tp2631 +a(g185 +V +tp2632 +a(g343 +V= +tp2633 +a(g185 +V +tp2634 +a(g198 +V[ +tp2635 +a(g18 +Vc +tp2636 +a(g185 +V +tp2637 +a(g18 +Vx +tp2638 +a(g185 +V +tp2639 +a(g18 +Vy +tp2640 +a(g185 +V +tp2641 +a(g18 +Vz +tp2642 +a(g185 +V +tp2643 +a(g339 +V| +tp2644 +a(g185 +V +tp2645 +a(g18 +Vd +tp2646 +a(g185 +V +tp2647 +a(g339 +V> +tp2648 +a(g185 +V +tp2649 +a(g314 +V0 +tp2650 +a(g198 +V, +tp2651 +a(g185 +V +tp2652 +a(g198 +V( +tp2653 +a(g18 +Vx +tp2654 +a(g198 +V, +tp2655 +a(g18 +Vy +tp2656 +a(g198 +V, +tp2657 +a(g18 +Vz +tp2658 +a(g198 +V) +tp2659 +a(g185 +V +tp2660 +a(g343 +V<- +p2661 +tp2662 +a(g185 +V +tp2663 +a(g18 +Vseries +p2664 +tp2665 +a(g185 +V +tp2666 +a(g198 +V( +tp2667 +a(g18 +Vd +tp2668 +a(g339 +V- +tp2669 +a(g314 +V1 +tp2670 +a(g198 +V) +tp2671 +a(g198 +V] +tp2672 +a(g185 +V\u000a\u000a +p2673 +tp2674 +a(g21 +Vcons4 +p2675 +tp2676 +a(g185 +V +tp2677 +a(g343 +V:: +p2678 +tp2679 +a(g185 +V +tp2680 +a(g198 +V( +tp2681 +a(g135 +VSerial +p2682 +tp2683 +a(g185 +V +tp2684 +a(g18 +Va +tp2685 +a(g198 +V, +tp2686 +a(g185 +V +tp2687 +a(g135 +VSerial +p2688 +tp2689 +a(g185 +V +tp2690 +a(g18 +Vb +tp2691 +a(g198 +V, +tp2692 +a(g185 +V +tp2693 +a(g135 +VSerial +p2694 +tp2695 +a(g185 +V +tp2696 +a(g18 +Vc +tp2697 +a(g198 +V, +tp2698 +a(g185 +V +tp2699 +a(g135 +VSerial +p2700 +tp2701 +a(g185 +V +tp2702 +a(g18 +Vd +tp2703 +a(g198 +V) +tp2704 +a(g185 +V +tp2705 +a(g343 +V=> +p2706 +tp2707 +a(g185 +V\u000a +p2708 +tp2709 +a(g198 +V( +tp2710 +a(g18 +Va +tp2711 +a(g343 +V-> +p2712 +tp2713 +a(g18 +Vb +tp2714 +a(g343 +V-> +p2715 +tp2716 +a(g18 +Vc +tp2717 +a(g343 +V-> +p2718 +tp2719 +a(g18 +Vd +tp2720 +a(g343 +V-> +p2721 +tp2722 +a(g18 +Ve +tp2723 +a(g198 +V) +tp2724 +a(g185 +V +tp2725 +a(g343 +V-> +p2726 +tp2727 +a(g185 +V +tp2728 +a(g135 +VSeries +p2729 +tp2730 +a(g185 +V +tp2731 +a(g18 +Ve +tp2732 +a(g185 +V\u000a +tp2733 +a(g21 +Vcons4 +p2734 +tp2735 +a(g185 +V +tp2736 +a(g18 +Vc +tp2737 +a(g185 +V +tp2738 +a(g18 +Vd +tp2739 +a(g185 +V +tp2740 +a(g343 +V= +tp2741 +a(g185 +V +tp2742 +a(g198 +V[ +tp2743 +a(g18 +Vc +tp2744 +a(g185 +V +tp2745 +a(g18 +Vw +tp2746 +a(g185 +V +tp2747 +a(g18 +Vx +tp2748 +a(g185 +V +tp2749 +a(g18 +Vy +tp2750 +a(g185 +V +tp2751 +a(g18 +Vz +tp2752 +a(g185 +V +tp2753 +a(g339 +V| +tp2754 +a(g185 +V +tp2755 +a(g18 +Vd +tp2756 +a(g185 +V +tp2757 +a(g339 +V> +tp2758 +a(g185 +V +tp2759 +a(g314 +V0 +tp2760 +a(g198 +V, +tp2761 +a(g185 +V +tp2762 +a(g198 +V( +tp2763 +a(g18 +Vw +tp2764 +a(g198 +V, +tp2765 +a(g18 +Vx +tp2766 +a(g198 +V, +tp2767 +a(g18 +Vy +tp2768 +a(g198 +V, +tp2769 +a(g18 +Vz +tp2770 +a(g198 +V) +tp2771 +a(g185 +V +tp2772 +a(g343 +V<- +p2773 +tp2774 +a(g185 +V +tp2775 +a(g18 +Vseries +p2776 +tp2777 +a(g185 +V +tp2778 +a(g198 +V( +tp2779 +a(g18 +Vd +tp2780 +a(g339 +V- +tp2781 +a(g314 +V1 +tp2782 +a(g198 +V) +tp2783 +a(g198 +V] +tp2784 +a(g185 +V\u000a\u000a +p2785 +tp2786 +a(g21 +Valts0 +p2787 +tp2788 +a(g185 +V +tp2789 +a(g343 +V:: +p2790 +tp2791 +a(g185 +V +p2792 +tp2793 +a(g135 +VSerial +p2794 +tp2795 +a(g185 +V +tp2796 +a(g18 +Va +tp2797 +a(g185 +V +tp2798 +a(g343 +V=> +p2799 +tp2800 +a(g185 +V\u000a +p2801 +tp2802 +a(g135 +VSeries +p2803 +tp2804 +a(g185 +V +tp2805 +a(g18 +Va +tp2806 +a(g185 +V\u000a +tp2807 +a(g21 +Valts0 +p2808 +tp2809 +a(g185 +V +tp2810 +a(g18 +Vd +tp2811 +a(g185 +V +tp2812 +a(g343 +V= +tp2813 +a(g185 +V +tp2814 +a(g18 +Vseries +p2815 +tp2816 +a(g185 +V +tp2817 +a(g18 +Vd +tp2818 +a(g185 +V\u000a\u000a +p2819 +tp2820 +a(g21 +Valts1 +p2821 +tp2822 +a(g185 +V +tp2823 +a(g343 +V:: +p2824 +tp2825 +a(g185 +V +p2826 +tp2827 +a(g198 +V( +tp2828 +a(g135 +VSerial +p2829 +tp2830 +a(g185 +V +tp2831 +a(g18 +Va +tp2832 +a(g198 +V, +tp2833 +a(g185 +V +tp2834 +a(g135 +VSerial +p2835 +tp2836 +a(g185 +V +tp2837 +a(g18 +Vb +tp2838 +a(g198 +V) +tp2839 +a(g185 +V +tp2840 +a(g343 +V=> +p2841 +tp2842 +a(g185 +V\u000a +p2843 +tp2844 +a(g135 +VSeries +p2845 +tp2846 +a(g185 +V +tp2847 +a(g198 +V( +tp2848 +a(g18 +Va +tp2849 +a(g343 +V-> +p2850 +tp2851 +a(g18 +Vb +tp2852 +a(g198 +V) +tp2853 +a(g185 +V\u000a +tp2854 +a(g21 +Valts1 +p2855 +tp2856 +a(g185 +V +tp2857 +a(g18 +Vd +tp2858 +a(g185 +V +tp2859 +a(g343 +V= +tp2860 +a(g185 +V +tp2861 +a(g123 +Vif +p2862 +tp2863 +a(g185 +V +tp2864 +a(g18 +Vd +tp2865 +a(g185 +V +tp2866 +a(g339 +V> +tp2867 +a(g185 +V +tp2868 +a(g314 +V0 +tp2869 +a(g185 +V +tp2870 +a(g123 +Vthen +p2871 +tp2872 +a(g185 +V +tp2873 +a(g18 +Vseries +p2874 +tp2875 +a(g185 +V +tp2876 +a(g198 +V( +tp2877 +a(g18 +Vdec +p2878 +tp2879 +a(g185 +V +tp2880 +a(g18 +Vd +tp2881 +a(g198 +V) +tp2882 +a(g185 +V\u000a +p2883 +tp2884 +a(g123 +Velse +p2885 +tp2886 +a(g185 +V +tp2887 +a(g198 +V[ +tp2888 +a(g21 +V\u005c +tp2889 +a(g123 +V_ +tp2890 +a(g185 +V +tp2891 +a(g343 +V-> +p2892 +tp2893 +a(g185 +V +tp2894 +a(g18 +Vx +tp2895 +a(g185 +V +tp2896 +a(g339 +V| +tp2897 +a(g185 +V +tp2898 +a(g18 +Vx +tp2899 +a(g185 +V +tp2900 +a(g343 +V<- +p2901 +tp2902 +a(g185 +V +tp2903 +a(g18 +Vseries +p2904 +tp2905 +a(g185 +V +tp2906 +a(g18 +Vd +tp2907 +a(g198 +V] +tp2908 +a(g185 +V\u000a\u000a +p2909 +tp2910 +a(g21 +Valts2 +p2911 +tp2912 +a(g185 +V +tp2913 +a(g343 +V:: +p2914 +tp2915 +a(g185 +V +p2916 +tp2917 +a(g198 +V( +tp2918 +a(g135 +VSerial +p2919 +tp2920 +a(g185 +V +tp2921 +a(g18 +Va +tp2922 +a(g198 +V, +tp2923 +a(g185 +V +tp2924 +a(g135 +VSerial +p2925 +tp2926 +a(g185 +V +tp2927 +a(g18 +Vb +tp2928 +a(g198 +V, +tp2929 +a(g185 +V +tp2930 +a(g135 +VSerial +p2931 +tp2932 +a(g185 +V +tp2933 +a(g18 +Vc +tp2934 +a(g198 +V) +tp2935 +a(g185 +V +tp2936 +a(g343 +V=> +p2937 +tp2938 +a(g185 +V\u000a +p2939 +tp2940 +a(g135 +VSeries +p2941 +tp2942 +a(g185 +V +tp2943 +a(g198 +V( +tp2944 +a(g18 +Va +tp2945 +a(g343 +V-> +p2946 +tp2947 +a(g18 +Vb +tp2948 +a(g343 +V-> +p2949 +tp2950 +a(g18 +Vc +tp2951 +a(g198 +V) +tp2952 +a(g185 +V\u000a +tp2953 +a(g21 +Valts2 +p2954 +tp2955 +a(g185 +V +tp2956 +a(g18 +Vd +tp2957 +a(g185 +V +tp2958 +a(g343 +V= +tp2959 +a(g185 +V +tp2960 +a(g123 +Vif +p2961 +tp2962 +a(g185 +V +tp2963 +a(g18 +Vd +tp2964 +a(g185 +V +tp2965 +a(g339 +V> +tp2966 +a(g185 +V +tp2967 +a(g314 +V0 +tp2968 +a(g185 +V +tp2969 +a(g123 +Vthen +p2970 +tp2971 +a(g185 +V +tp2972 +a(g18 +Vseries +p2973 +tp2974 +a(g185 +V +tp2975 +a(g198 +V( +tp2976 +a(g18 +Vdec +p2977 +tp2978 +a(g185 +V +tp2979 +a(g18 +Vd +tp2980 +a(g198 +V) +tp2981 +a(g185 +V\u000a +p2982 +tp2983 +a(g123 +Velse +p2984 +tp2985 +a(g185 +V +tp2986 +a(g198 +V[ +tp2987 +a(g21 +V\u005c +tp2988 +a(g123 +V_ +tp2989 +a(g185 +V +tp2990 +a(g123 +V_ +tp2991 +a(g185 +V +tp2992 +a(g343 +V-> +p2993 +tp2994 +a(g185 +V +tp2995 +a(g18 +Vx +tp2996 +a(g185 +V +tp2997 +a(g339 +V| +tp2998 +a(g185 +V +tp2999 +a(g18 +Vx +tp3000 +a(g185 +V +tp3001 +a(g343 +V<- +p3002 +tp3003 +a(g185 +V +tp3004 +a(g18 +Vseries +p3005 +tp3006 +a(g185 +V +tp3007 +a(g18 +Vd +tp3008 +a(g198 +V] +tp3009 +a(g185 +V\u000a\u000a +p3010 +tp3011 +a(g21 +Valts3 +p3012 +tp3013 +a(g185 +V +tp3014 +a(g343 +V:: +p3015 +tp3016 +a(g185 +V +p3017 +tp3018 +a(g198 +V( +tp3019 +a(g135 +VSerial +p3020 +tp3021 +a(g185 +V +tp3022 +a(g18 +Va +tp3023 +a(g198 +V, +tp3024 +a(g185 +V +tp3025 +a(g135 +VSerial +p3026 +tp3027 +a(g185 +V +tp3028 +a(g18 +Vb +tp3029 +a(g198 +V, +tp3030 +a(g185 +V +tp3031 +a(g135 +VSerial +p3032 +tp3033 +a(g185 +V +tp3034 +a(g18 +Vc +tp3035 +a(g198 +V, +tp3036 +a(g185 +V +tp3037 +a(g135 +VSerial +p3038 +tp3039 +a(g185 +V +tp3040 +a(g18 +Vd +tp3041 +a(g198 +V) +tp3042 +a(g185 +V +tp3043 +a(g343 +V=> +p3044 +tp3045 +a(g185 +V\u000a +p3046 +tp3047 +a(g135 +VSeries +p3048 +tp3049 +a(g185 +V +tp3050 +a(g198 +V( +tp3051 +a(g18 +Va +tp3052 +a(g343 +V-> +p3053 +tp3054 +a(g18 +Vb +tp3055 +a(g343 +V-> +p3056 +tp3057 +a(g18 +Vc +tp3058 +a(g343 +V-> +p3059 +tp3060 +a(g18 +Vd +tp3061 +a(g198 +V) +tp3062 +a(g185 +V\u000a +tp3063 +a(g21 +Valts3 +p3064 +tp3065 +a(g185 +V +tp3066 +a(g18 +Vd +tp3067 +a(g185 +V +tp3068 +a(g343 +V= +tp3069 +a(g185 +V +tp3070 +a(g123 +Vif +p3071 +tp3072 +a(g185 +V +tp3073 +a(g18 +Vd +tp3074 +a(g185 +V +tp3075 +a(g339 +V> +tp3076 +a(g185 +V +tp3077 +a(g314 +V0 +tp3078 +a(g185 +V +tp3079 +a(g123 +Vthen +p3080 +tp3081 +a(g185 +V +tp3082 +a(g18 +Vseries +p3083 +tp3084 +a(g185 +V +tp3085 +a(g198 +V( +tp3086 +a(g18 +Vdec +p3087 +tp3088 +a(g185 +V +tp3089 +a(g18 +Vd +tp3090 +a(g198 +V) +tp3091 +a(g185 +V\u000a +p3092 +tp3093 +a(g123 +Velse +p3094 +tp3095 +a(g185 +V +tp3096 +a(g198 +V[ +tp3097 +a(g21 +V\u005c +tp3098 +a(g123 +V_ +tp3099 +a(g185 +V +tp3100 +a(g123 +V_ +tp3101 +a(g185 +V +tp3102 +a(g123 +V_ +tp3103 +a(g185 +V +tp3104 +a(g343 +V-> +p3105 +tp3106 +a(g185 +V +tp3107 +a(g18 +Vx +tp3108 +a(g185 +V +tp3109 +a(g339 +V| +tp3110 +a(g185 +V +tp3111 +a(g18 +Vx +tp3112 +a(g185 +V +tp3113 +a(g343 +V<- +p3114 +tp3115 +a(g185 +V +tp3116 +a(g18 +Vseries +p3117 +tp3118 +a(g185 +V +tp3119 +a(g18 +Vd +tp3120 +a(g198 +V] +tp3121 +a(g185 +V\u000a\u000a +p3122 +tp3123 +a(g21 +Valts4 +p3124 +tp3125 +a(g185 +V +tp3126 +a(g343 +V:: +p3127 +tp3128 +a(g185 +V +p3129 +tp3130 +a(g198 +V( +tp3131 +a(g135 +VSerial +p3132 +tp3133 +a(g185 +V +tp3134 +a(g18 +Va +tp3135 +a(g198 +V, +tp3136 +a(g185 +V +tp3137 +a(g135 +VSerial +p3138 +tp3139 +a(g185 +V +tp3140 +a(g18 +Vb +tp3141 +a(g198 +V, +tp3142 +a(g185 +V +tp3143 +a(g135 +VSerial +p3144 +tp3145 +a(g185 +V +tp3146 +a(g18 +Vc +tp3147 +a(g198 +V, +tp3148 +a(g185 +V +tp3149 +a(g135 +VSerial +p3150 +tp3151 +a(g185 +V +tp3152 +a(g18 +Vd +tp3153 +a(g198 +V, +tp3154 +a(g185 +V +tp3155 +a(g135 +VSerial +p3156 +tp3157 +a(g185 +V +tp3158 +a(g18 +Ve +tp3159 +a(g198 +V) +tp3160 +a(g185 +V +tp3161 +a(g343 +V=> +p3162 +tp3163 +a(g185 +V\u000a +p3164 +tp3165 +a(g135 +VSeries +p3166 +tp3167 +a(g185 +V +tp3168 +a(g198 +V( +tp3169 +a(g18 +Va +tp3170 +a(g343 +V-> +p3171 +tp3172 +a(g18 +Vb +tp3173 +a(g343 +V-> +p3174 +tp3175 +a(g18 +Vc +tp3176 +a(g343 +V-> +p3177 +tp3178 +a(g18 +Vd +tp3179 +a(g343 +V-> +p3180 +tp3181 +a(g18 +Ve +tp3182 +a(g198 +V) +tp3183 +a(g185 +V\u000a +tp3184 +a(g21 +Valts4 +p3185 +tp3186 +a(g185 +V +tp3187 +a(g18 +Vd +tp3188 +a(g185 +V +tp3189 +a(g343 +V= +tp3190 +a(g185 +V +tp3191 +a(g123 +Vif +p3192 +tp3193 +a(g185 +V +tp3194 +a(g18 +Vd +tp3195 +a(g185 +V +tp3196 +a(g339 +V> +tp3197 +a(g185 +V +tp3198 +a(g314 +V0 +tp3199 +a(g185 +V +tp3200 +a(g123 +Vthen +p3201 +tp3202 +a(g185 +V +tp3203 +a(g18 +Vseries +p3204 +tp3205 +a(g185 +V +tp3206 +a(g198 +V( +tp3207 +a(g18 +Vdec +p3208 +tp3209 +a(g185 +V +tp3210 +a(g18 +Vd +tp3211 +a(g198 +V) +tp3212 +a(g185 +V\u000a +p3213 +tp3214 +a(g123 +Velse +p3215 +tp3216 +a(g185 +V +tp3217 +a(g198 +V[ +tp3218 +a(g21 +V\u005c +tp3219 +a(g123 +V_ +tp3220 +a(g185 +V +tp3221 +a(g123 +V_ +tp3222 +a(g185 +V +tp3223 +a(g123 +V_ +tp3224 +a(g185 +V +tp3225 +a(g123 +V_ +tp3226 +a(g185 +V +tp3227 +a(g343 +V-> +p3228 +tp3229 +a(g185 +V +tp3230 +a(g18 +Vx +tp3231 +a(g185 +V +tp3232 +a(g339 +V| +tp3233 +a(g185 +V +tp3234 +a(g18 +Vx +tp3235 +a(g185 +V +tp3236 +a(g343 +V<- +p3237 +tp3238 +a(g185 +V +tp3239 +a(g18 +Vseries +p3240 +tp3241 +a(g185 +V +tp3242 +a(g18 +Vd +tp3243 +a(g198 +V] +tp3244 +a(g185 +V\u000a\u000a +p3245 +tp3246 +a(g123 +Vinstance +p3247 +tp3248 +a(g185 +V +tp3249 +a(g135 +VSerial +p3250 +tp3251 +a(g185 +V +tp3252 +a(g135 +VBool +p3253 +tp3254 +a(g185 +V +tp3255 +a(g123 +Vwhere +p3256 +tp3257 +a(g185 +V\u000a +p3258 +tp3259 +a(g18 +Vseries +p3260 +tp3261 +a(g185 +V +p3262 +tp3263 +a(g343 +V= +tp3264 +a(g185 +V +tp3265 +a(g18 +Vcons0 +p3266 +tp3267 +a(g185 +V +tp3268 +a(g135 +VTrue +p3269 +tp3270 +a(g185 +V +tp3271 +a(g339 +V\u005c/ +p3272 +tp3273 +a(g185 +V +tp3274 +a(g18 +Vcons0 +p3275 +tp3276 +a(g185 +V +tp3277 +a(g135 +VFalse +p3278 +tp3279 +a(g185 +V\u000a +p3280 +tp3281 +a(g18 +Vcoseries +p3282 +tp3283 +a(g185 +V +tp3284 +a(g18 +Vd +tp3285 +a(g185 +V +tp3286 +a(g343 +V= +tp3287 +a(g185 +V +tp3288 +a(g198 +V[ +tp3289 +a(g185 +V +tp3290 +a(g21 +V\u005c +tp3291 +a(g18 +Vx +tp3292 +a(g185 +V +tp3293 +a(g343 +V-> +p3294 +tp3295 +a(g185 +V +tp3296 +a(g123 +Vif +p3297 +tp3298 +a(g185 +V +tp3299 +a(g18 +Vx +tp3300 +a(g185 +V +tp3301 +a(g123 +Vthen +p3302 +tp3303 +a(g185 +V +tp3304 +a(g18 +Vb1 +p3305 +tp3306 +a(g185 +V +tp3307 +a(g123 +Velse +p3308 +tp3309 +a(g185 +V +tp3310 +a(g18 +Vb2 +p3311 +tp3312 +a(g185 +V\u000a +p3313 +tp3314 +a(g339 +V| +tp3315 +a(g185 +V +tp3316 +a(g198 +V( +tp3317 +a(g18 +Vb1 +p3318 +tp3319 +a(g198 +V, +tp3320 +a(g18 +Vb2 +p3321 +tp3322 +a(g198 +V) +tp3323 +a(g185 +V +tp3324 +a(g343 +V<- +p3325 +tp3326 +a(g185 +V +tp3327 +a(g18 +Vseries +p3328 +tp3329 +a(g185 +V +tp3330 +a(g18 +Vd +tp3331 +a(g185 +V +tp3332 +a(g198 +V] +tp3333 +a(g185 +V\u000a\u000a +p3334 +tp3335 +a(g123 +Vinstance +p3336 +tp3337 +a(g185 +V +tp3338 +a(g135 +VSerial +p3339 +tp3340 +a(g185 +V +tp3341 +a(g18 +Va +tp3342 +a(g185 +V +tp3343 +a(g343 +V=> +p3344 +tp3345 +a(g185 +V +tp3346 +a(g135 +VSerial +p3347 +tp3348 +a(g185 +V +tp3349 +a(g198 +V( +tp3350 +a(g135 +VMaybe +p3351 +tp3352 +a(g185 +V +tp3353 +a(g18 +Va +tp3354 +a(g198 +V) +tp3355 +a(g185 +V +tp3356 +a(g123 +Vwhere +p3357 +tp3358 +a(g185 +V\u000a +p3359 +tp3360 +a(g18 +Vseries +p3361 +tp3362 +a(g185 +V +p3363 +tp3364 +a(g343 +V= +tp3365 +a(g185 +V +tp3366 +a(g18 +Vcons0 +p3367 +tp3368 +a(g185 +V +tp3369 +a(g135 +VNothing +p3370 +tp3371 +a(g185 +V +tp3372 +a(g339 +V\u005c/ +p3373 +tp3374 +a(g185 +V +tp3375 +a(g18 +Vcons1 +p3376 +tp3377 +a(g185 +V +tp3378 +a(g135 +VJust +p3379 +tp3380 +a(g185 +V\u000a +p3381 +tp3382 +a(g18 +Vcoseries +p3383 +tp3384 +a(g185 +V +tp3385 +a(g18 +Vd +tp3386 +a(g185 +V +tp3387 +a(g343 +V= +tp3388 +a(g185 +V +tp3389 +a(g198 +V[ +tp3390 +a(g185 +V +tp3391 +a(g21 +V\u005c +tp3392 +a(g18 +Vm +tp3393 +a(g185 +V +tp3394 +a(g343 +V-> +p3395 +tp3396 +a(g185 +V +tp3397 +a(g123 +Vcase +p3398 +tp3399 +a(g185 +V +tp3400 +a(g18 +Vm +tp3401 +a(g185 +V +tp3402 +a(g123 +Vof +p3403 +tp3404 +a(g185 +V\u000a +p3405 +tp3406 +a(g135 +VNothing +p3407 +tp3408 +a(g185 +V +tp3409 +a(g343 +V-> +p3410 +tp3411 +a(g185 +V +tp3412 +a(g18 +Vz +tp3413 +a(g185 +V\u000a +p3414 +tp3415 +a(g135 +VJust +p3416 +tp3417 +a(g185 +V +tp3418 +a(g18 +Vx +tp3419 +a(g185 +V +p3420 +tp3421 +a(g343 +V-> +p3422 +tp3423 +a(g185 +V +tp3424 +a(g18 +Vf +tp3425 +a(g185 +V +tp3426 +a(g18 +Vx +tp3427 +a(g185 +V\u000a +p3428 +tp3429 +a(g339 +V| +tp3430 +a(g185 +V +p3431 +tp3432 +a(g18 +Vz +tp3433 +a(g185 +V +tp3434 +a(g343 +V<- +p3435 +tp3436 +a(g185 +V +tp3437 +a(g18 +Valts0 +p3438 +tp3439 +a(g185 +V +tp3440 +a(g18 +Vd +tp3441 +a(g185 +V +tp3442 +a(g198 +V, +tp3443 +a(g185 +V\u000a +p3444 +tp3445 +a(g18 +Vf +tp3446 +a(g185 +V +tp3447 +a(g343 +V<- +p3448 +tp3449 +a(g185 +V +tp3450 +a(g18 +Valts1 +p3451 +tp3452 +a(g185 +V +tp3453 +a(g18 +Vd +tp3454 +a(g185 +V +tp3455 +a(g198 +V] +tp3456 +a(g185 +V\u000a\u000a +p3457 +tp3458 +a(g123 +Vinstance +p3459 +tp3460 +a(g185 +V +tp3461 +a(g198 +V( +tp3462 +a(g135 +VSerial +p3463 +tp3464 +a(g185 +V +tp3465 +a(g18 +Va +tp3466 +a(g198 +V, +tp3467 +a(g185 +V +tp3468 +a(g135 +VSerial +p3469 +tp3470 +a(g185 +V +tp3471 +a(g18 +Vb +tp3472 +a(g198 +V) +tp3473 +a(g185 +V +tp3474 +a(g343 +V=> +p3475 +tp3476 +a(g185 +V +tp3477 +a(g135 +VSerial +p3478 +tp3479 +a(g185 +V +tp3480 +a(g198 +V( +tp3481 +a(g135 +VEither +p3482 +tp3483 +a(g185 +V +tp3484 +a(g18 +Va +tp3485 +a(g185 +V +tp3486 +a(g18 +Vb +tp3487 +a(g198 +V) +tp3488 +a(g185 +V +tp3489 +a(g123 +Vwhere +p3490 +tp3491 +a(g185 +V\u000a +p3492 +tp3493 +a(g18 +Vseries +p3494 +tp3495 +a(g185 +V +p3496 +tp3497 +a(g343 +V= +tp3498 +a(g185 +V +tp3499 +a(g18 +Vcons1 +p3500 +tp3501 +a(g185 +V +tp3502 +a(g135 +VLeft +p3503 +tp3504 +a(g185 +V +tp3505 +a(g339 +V\u005c/ +p3506 +tp3507 +a(g185 +V +tp3508 +a(g18 +Vcons1 +p3509 +tp3510 +a(g185 +V +tp3511 +a(g135 +VRight +p3512 +tp3513 +a(g185 +V\u000a +p3514 +tp3515 +a(g18 +Vcoseries +p3516 +tp3517 +a(g185 +V +tp3518 +a(g18 +Vd +tp3519 +a(g185 +V +tp3520 +a(g343 +V= +tp3521 +a(g185 +V +tp3522 +a(g198 +V[ +tp3523 +a(g185 +V +tp3524 +a(g21 +V\u005c +tp3525 +a(g18 +Ve +tp3526 +a(g185 +V +tp3527 +a(g343 +V-> +p3528 +tp3529 +a(g185 +V +tp3530 +a(g123 +Vcase +p3531 +tp3532 +a(g185 +V +tp3533 +a(g18 +Ve +tp3534 +a(g185 +V +tp3535 +a(g123 +Vof +p3536 +tp3537 +a(g185 +V\u000a +p3538 +tp3539 +a(g135 +VLeft +p3540 +tp3541 +a(g185 +V +tp3542 +a(g18 +Vx +tp3543 +a(g185 +V +p3544 +tp3545 +a(g343 +V-> +p3546 +tp3547 +a(g185 +V +tp3548 +a(g18 +Vf +tp3549 +a(g185 +V +tp3550 +a(g18 +Vx +tp3551 +a(g185 +V\u000a +p3552 +tp3553 +a(g135 +VRight +p3554 +tp3555 +a(g185 +V +tp3556 +a(g18 +Vy +tp3557 +a(g185 +V +tp3558 +a(g343 +V-> +p3559 +tp3560 +a(g185 +V +tp3561 +a(g18 +Vg +tp3562 +a(g185 +V +tp3563 +a(g18 +Vy +tp3564 +a(g185 +V\u000a +p3565 +tp3566 +a(g339 +V| +tp3567 +a(g185 +V +p3568 +tp3569 +a(g18 +Vf +tp3570 +a(g185 +V +tp3571 +a(g343 +V<- +p3572 +tp3573 +a(g185 +V +tp3574 +a(g18 +Valts1 +p3575 +tp3576 +a(g185 +V +tp3577 +a(g18 +Vd +tp3578 +a(g185 +V +tp3579 +a(g198 +V, +tp3580 +a(g185 +V\u000a +p3581 +tp3582 +a(g18 +Vg +tp3583 +a(g185 +V +tp3584 +a(g343 +V<- +p3585 +tp3586 +a(g185 +V +tp3587 +a(g18 +Valts1 +p3588 +tp3589 +a(g185 +V +tp3590 +a(g18 +Vd +tp3591 +a(g185 +V +tp3592 +a(g198 +V] +tp3593 +a(g185 +V\u000a\u000a +p3594 +tp3595 +a(g123 +Vinstance +p3596 +tp3597 +a(g185 +V +tp3598 +a(g135 +VSerial +p3599 +tp3600 +a(g185 +V +tp3601 +a(g18 +Va +tp3602 +a(g185 +V +tp3603 +a(g343 +V=> +p3604 +tp3605 +a(g185 +V +tp3606 +a(g135 +VSerial +p3607 +tp3608 +a(g185 +V +tp3609 +a(g198 +V[ +tp3610 +a(g18 +Va +tp3611 +a(g198 +V] +tp3612 +a(g185 +V +tp3613 +a(g123 +Vwhere +p3614 +tp3615 +a(g185 +V\u000a +p3616 +tp3617 +a(g18 +Vseries +p3618 +tp3619 +a(g185 +V +p3620 +tp3621 +a(g343 +V= +tp3622 +a(g185 +V +tp3623 +a(g18 +Vcons0 +p3624 +tp3625 +a(g185 +V +tp3626 +a(g135 +V[] +p3627 +tp3628 +a(g185 +V +tp3629 +a(g339 +V\u005c/ +p3630 +tp3631 +a(g185 +V +tp3632 +a(g18 +Vcons2 +p3633 +tp3634 +a(g185 +V +tp3635 +a(g198 +V( +tp3636 +a(g135 +V: +tp3637 +a(g198 +V) +tp3638 +a(g185 +V\u000a +p3639 +tp3640 +a(g18 +Vcoseries +p3641 +tp3642 +a(g185 +V +tp3643 +a(g18 +Vd +tp3644 +a(g185 +V +tp3645 +a(g343 +V= +tp3646 +a(g185 +V +tp3647 +a(g198 +V[ +tp3648 +a(g185 +V +tp3649 +a(g21 +V\u005c +tp3650 +a(g18 +Vxs +p3651 +tp3652 +a(g185 +V +tp3653 +a(g343 +V-> +p3654 +tp3655 +a(g185 +V +tp3656 +a(g123 +Vcase +p3657 +tp3658 +a(g185 +V +tp3659 +a(g18 +Vxs +p3660 +tp3661 +a(g185 +V +tp3662 +a(g123 +Vof +p3663 +tp3664 +a(g185 +V\u000a +p3665 +tp3666 +a(g135 +V[] +p3667 +tp3668 +a(g185 +V +p3669 +tp3670 +a(g343 +V-> +p3671 +tp3672 +a(g185 +V +tp3673 +a(g18 +Vy +tp3674 +a(g185 +V\u000a +p3675 +tp3676 +a(g198 +V( +tp3677 +a(g18 +Vx +tp3678 +a(g135 +V: +tp3679 +a(g18 +Vxs' +p3680 +tp3681 +a(g198 +V) +tp3682 +a(g185 +V +tp3683 +a(g343 +V-> +p3684 +tp3685 +a(g185 +V +tp3686 +a(g18 +Vf +tp3687 +a(g185 +V +tp3688 +a(g18 +Vx +tp3689 +a(g185 +V +tp3690 +a(g18 +Vxs' +p3691 +tp3692 +a(g185 +V\u000a +p3693 +tp3694 +a(g339 +V| +tp3695 +a(g185 +V +p3696 +tp3697 +a(g18 +Vy +tp3698 +a(g185 +V +tp3699 +a(g343 +V<- +p3700 +tp3701 +a(g185 +V +tp3702 +a(g18 +Valts0 +p3703 +tp3704 +a(g185 +V +tp3705 +a(g18 +Vd +tp3706 +a(g185 +V +tp3707 +a(g198 +V, +tp3708 +a(g185 +V\u000a +p3709 +tp3710 +a(g18 +Vf +tp3711 +a(g185 +V +tp3712 +a(g343 +V<- +p3713 +tp3714 +a(g185 +V +tp3715 +a(g18 +Valts2 +p3716 +tp3717 +a(g185 +V +tp3718 +a(g18 +Vd +tp3719 +a(g185 +V +tp3720 +a(g198 +V] +tp3721 +a(g185 +V\u000a\u000a +p3722 +tp3723 +a(g7 +V-- Warning: the coseries instance here may generate duplicates. +p3724 +tp3725 +a(g185 +V\u000a +tp3726 +a(g123 +Vinstance +p3727 +tp3728 +a(g185 +V +tp3729 +a(g198 +V( +tp3730 +a(g135 +VSerial +p3731 +tp3732 +a(g185 +V +tp3733 +a(g18 +Va +tp3734 +a(g198 +V, +tp3735 +a(g185 +V +tp3736 +a(g135 +VSerial +p3737 +tp3738 +a(g185 +V +tp3739 +a(g18 +Vb +tp3740 +a(g198 +V) +tp3741 +a(g185 +V +tp3742 +a(g343 +V=> +p3743 +tp3744 +a(g185 +V +tp3745 +a(g135 +VSerial +p3746 +tp3747 +a(g185 +V +tp3748 +a(g198 +V( +tp3749 +a(g18 +Va +tp3750 +a(g343 +V-> +p3751 +tp3752 +a(g18 +Vb +tp3753 +a(g198 +V) +tp3754 +a(g185 +V +tp3755 +a(g123 +Vwhere +p3756 +tp3757 +a(g185 +V\u000a +p3758 +tp3759 +a(g18 +Vseries +p3760 +tp3761 +a(g185 +V +tp3762 +a(g343 +V= +tp3763 +a(g185 +V +tp3764 +a(g18 +Vcoseries +p3765 +tp3766 +a(g185 +V\u000a +p3767 +tp3768 +a(g18 +Vcoseries +p3769 +tp3770 +a(g185 +V +tp3771 +a(g18 +Vd +tp3772 +a(g185 +V +tp3773 +a(g343 +V= +tp3774 +a(g185 +V +tp3775 +a(g198 +V[ +tp3776 +a(g185 +V +tp3777 +a(g21 +V\u005c +tp3778 +a(g18 +Vf +tp3779 +a(g185 +V +tp3780 +a(g343 +V-> +p3781 +tp3782 +a(g185 +V +tp3783 +a(g18 +Vg +tp3784 +a(g185 +V +tp3785 +a(g198 +V[ +tp3786 +a(g18 +Vf +tp3787 +a(g185 +V +tp3788 +a(g18 +Vx +tp3789 +a(g185 +V +tp3790 +a(g339 +V| +tp3791 +a(g185 +V +tp3792 +a(g18 +Vx +tp3793 +a(g185 +V +tp3794 +a(g343 +V<- +p3795 +tp3796 +a(g185 +V +tp3797 +a(g18 +Vseries +p3798 +tp3799 +a(g185 +V +tp3800 +a(g18 +Vd +tp3801 +a(g198 +V] +tp3802 +a(g185 +V\u000a +p3803 +tp3804 +a(g339 +V| +tp3805 +a(g185 +V +tp3806 +a(g18 +Vg +tp3807 +a(g185 +V +tp3808 +a(g343 +V<- +p3809 +tp3810 +a(g185 +V +tp3811 +a(g18 +Vseries +p3812 +tp3813 +a(g185 +V +tp3814 +a(g18 +Vd +tp3815 +a(g185 +V +tp3816 +a(g198 +V] +tp3817 +a(g185 +V \u000a\u000a +p3818 +tp3819 +a(g7 +V-- For customising the depth measure. Use with care! +p3820 +tp3821 +a(g185 +V\u000a\u000a +p3822 +tp3823 +a(g21 +Vdepth +p3824 +tp3825 +a(g185 +V +tp3826 +a(g343 +V:: +p3827 +tp3828 +a(g185 +V +tp3829 +a(g135 +VInt +p3830 +tp3831 +a(g185 +V +tp3832 +a(g343 +V-> +p3833 +tp3834 +a(g185 +V +tp3835 +a(g135 +VInt +p3836 +tp3837 +a(g185 +V +tp3838 +a(g343 +V-> +p3839 +tp3840 +a(g185 +V +tp3841 +a(g135 +VInt +p3842 +tp3843 +a(g185 +V\u000a +tp3844 +a(g21 +Vdepth +p3845 +tp3846 +a(g185 +V +tp3847 +a(g18 +Vd +tp3848 +a(g185 +V +tp3849 +a(g18 +Vd' +p3850 +tp3851 +a(g185 +V +tp3852 +a(g339 +V| +tp3853 +a(g185 +V +tp3854 +a(g18 +Vd +tp3855 +a(g185 +V +tp3856 +a(g339 +V>= +p3857 +tp3858 +a(g185 +V +tp3859 +a(g314 +V0 +tp3860 +a(g185 +V +p3861 +tp3862 +a(g343 +V= +tp3863 +a(g185 +V +tp3864 +a(g18 +Vd' +p3865 +tp3866 +a(g339 +V+ +tp3867 +a(g314 +V1 +tp3868 +a(g339 +V- +tp3869 +a(g18 +Vd +tp3870 +a(g185 +V\u000a +p3871 +tp3872 +a(g339 +V| +tp3873 +a(g185 +V +tp3874 +a(g18 +Votherwise +p3875 +tp3876 +a(g185 +V +tp3877 +a(g343 +V= +tp3878 +a(g185 +V +tp3879 +a(g25 +Verror +p3880 +tp3881 +a(g185 +V +tp3882 +a(g222 +V" +tp3883 +a(g222 +VSmallCheck.depth: argument < 0 +p3884 +tp3885 +a(g222 +V" +tp3886 +a(g185 +V\u000a\u000a +p3887 +tp3888 +a(g21 +Vdec +p3889 +tp3890 +a(g185 +V +tp3891 +a(g343 +V:: +p3892 +tp3893 +a(g185 +V +tp3894 +a(g135 +VInt +p3895 +tp3896 +a(g185 +V +tp3897 +a(g343 +V-> +p3898 +tp3899 +a(g185 +V +tp3900 +a(g135 +VInt +p3901 +tp3902 +a(g185 +V\u000a +tp3903 +a(g21 +Vdec +p3904 +tp3905 +a(g185 +V +tp3906 +a(g18 +Vd +tp3907 +a(g185 +V +tp3908 +a(g339 +V| +tp3909 +a(g185 +V +tp3910 +a(g18 +Vd +tp3911 +a(g185 +V +tp3912 +a(g339 +V> +tp3913 +a(g185 +V +tp3914 +a(g314 +V0 +tp3915 +a(g185 +V +p3916 +tp3917 +a(g343 +V= +tp3918 +a(g185 +V +tp3919 +a(g18 +Vd +tp3920 +a(g339 +V- +tp3921 +a(g314 +V1 +tp3922 +a(g185 +V\u000a +p3923 +tp3924 +a(g339 +V| +tp3925 +a(g185 +V +tp3926 +a(g18 +Votherwise +p3927 +tp3928 +a(g185 +V +tp3929 +a(g343 +V= +tp3930 +a(g185 +V +tp3931 +a(g25 +Verror +p3932 +tp3933 +a(g185 +V +tp3934 +a(g222 +V" +tp3935 +a(g222 +VSmallCheck.dec: argument <= 0 +p3936 +tp3937 +a(g222 +V" +tp3938 +a(g185 +V\u000a\u000a +p3939 +tp3940 +a(g21 +Vinc +p3941 +tp3942 +a(g185 +V +tp3943 +a(g343 +V:: +p3944 +tp3945 +a(g185 +V +tp3946 +a(g135 +VInt +p3947 +tp3948 +a(g185 +V +tp3949 +a(g343 +V-> +p3950 +tp3951 +a(g185 +V +tp3952 +a(g135 +VInt +p3953 +tp3954 +a(g185 +V\u000a +tp3955 +a(g21 +Vinc +p3956 +tp3957 +a(g185 +V +tp3958 +a(g18 +Vd +tp3959 +a(g185 +V +tp3960 +a(g343 +V= +tp3961 +a(g185 +V +tp3962 +a(g18 +Vd +tp3963 +a(g339 +V+ +tp3964 +a(g314 +V1 +tp3965 +a(g185 +V\u000a\u000a +p3966 +tp3967 +a(g7 +V-- show the extension of a function (in part, bounded both by +p3968 +tp3969 +a(g185 +V\u000a +tp3970 +a(g7 +V-- the number and depth of arguments) +p3971 +tp3972 +a(g185 +V\u000a +tp3973 +a(g123 +Vinstance +p3974 +tp3975 +a(g185 +V +tp3976 +a(g198 +V( +tp3977 +a(g135 +VSerial +p3978 +tp3979 +a(g185 +V +tp3980 +a(g18 +Va +tp3981 +a(g198 +V, +tp3982 +a(g185 +V +tp3983 +a(g135 +VShow +p3984 +tp3985 +a(g185 +V +tp3986 +a(g18 +Va +tp3987 +a(g198 +V, +tp3988 +a(g185 +V +tp3989 +a(g135 +VShow +p3990 +tp3991 +a(g185 +V +tp3992 +a(g18 +Vb +tp3993 +a(g198 +V) +tp3994 +a(g185 +V +tp3995 +a(g343 +V=> +p3996 +tp3997 +a(g185 +V +tp3998 +a(g135 +VShow +p3999 +tp4000 +a(g185 +V +tp4001 +a(g198 +V( +tp4002 +a(g18 +Va +tp4003 +a(g343 +V-> +p4004 +tp4005 +a(g18 +Vb +tp4006 +a(g198 +V) +tp4007 +a(g185 +V +tp4008 +a(g123 +Vwhere +p4009 +tp4010 +a(g185 +V\u000a +p4011 +tp4012 +a(g18 +Vshow +p4013 +tp4014 +a(g185 +V +tp4015 +a(g18 +Vf +tp4016 +a(g185 +V +tp4017 +a(g343 +V= +tp4018 +a(g185 +V \u000a +p4019 +tp4020 +a(g123 +Vif +p4021 +tp4022 +a(g185 +V +tp4023 +a(g18 +Vmaxarheight +p4024 +tp4025 +a(g185 +V +tp4026 +a(g339 +V== +p4027 +tp4028 +a(g185 +V +tp4029 +a(g314 +V1 +tp4030 +a(g185 +V\u000a +p4031 +tp4032 +a(g339 +V&& +p4033 +tp4034 +a(g185 +V +tp4035 +a(g18 +Vsumarwidth +p4036 +tp4037 +a(g185 +V +tp4038 +a(g339 +V+ +tp4039 +a(g185 +V +tp4040 +a(g18 +Vlength +p4041 +tp4042 +a(g185 +V +tp4043 +a(g18 +Vars +p4044 +tp4045 +a(g185 +V +tp4046 +a(g339 +V* +tp4047 +a(g185 +V +tp4048 +a(g18 +Vlength +p4049 +tp4050 +a(g185 +V +tp4051 +a(g222 +V" +tp4052 +a(g222 +V->; +p4053 +tp4054 +a(g222 +V" +tp4055 +a(g185 +V +tp4056 +a(g339 +V< +tp4057 +a(g185 +V +tp4058 +a(g18 +VwidthLimit +p4059 +tp4060 +a(g185 +V +tp4061 +a(g123 +Vthen +p4062 +tp4063 +a(g185 +V\u000a +p4064 +tp4065 +a(g222 +V" +tp4066 +a(g222 +V{ +tp4067 +a(g222 +V" +tp4068 +a(g339 +V++ +p4069 +tp4070 +a(g198 +V( +tp4071 +a(g185 +V\u000a +p4072 +tp4073 +a(g18 +Vconcat +p4074 +tp4075 +a(g185 +V +tp4076 +a(g339 +V$ +tp4077 +a(g185 +V +tp4078 +a(g18 +Vintersperse +p4079 +tp4080 +a(g185 +V +tp4081 +a(g222 +V" +tp4082 +a(g222 +V; +tp4083 +a(g222 +V" +tp4084 +a(g185 +V +tp4085 +a(g339 +V$ +tp4086 +a(g185 +V +tp4087 +a(g198 +V[ +tp4088 +a(g18 +Va +tp4089 +a(g339 +V++ +p4090 +tp4091 +a(g222 +V" +tp4092 +a(g222 +V-> +p4093 +tp4094 +a(g222 +V" +tp4095 +a(g339 +V++ +p4096 +tp4097 +a(g18 +Vr +tp4098 +a(g185 +V +tp4099 +a(g339 +V| +tp4100 +a(g185 +V +tp4101 +a(g198 +V( +tp4102 +a(g18 +Va +tp4103 +a(g198 +V, +tp4104 +a(g18 +Vr +tp4105 +a(g198 +V) +tp4106 +a(g185 +V +tp4107 +a(g343 +V<- +p4108 +tp4109 +a(g185 +V +tp4110 +a(g18 +Vars +p4111 +tp4112 +a(g198 +V] +tp4113 +a(g185 +V\u000a +p4114 +tp4115 +a(g198 +V) +tp4116 +a(g339 +V++ +p4117 +tp4118 +a(g222 +V" +tp4119 +a(g222 +V} +tp4120 +a(g222 +V" +tp4121 +a(g185 +V\u000a +p4122 +tp4123 +a(g123 +Velse +p4124 +tp4125 +a(g185 +V\u000a +p4126 +tp4127 +a(g18 +Vconcat +p4128 +tp4129 +a(g185 +V +tp4130 +a(g339 +V$ +tp4131 +a(g185 +V +tp4132 +a(g198 +V[ +tp4133 +a(g18 +Va +tp4134 +a(g339 +V++ +p4135 +tp4136 +a(g222 +V" +tp4137 +a(g222 +V-> +p4138 +tp4139 +a(g248 +V\u005c +tp4140 +a(g248 +Vn +tp4141 +a(g222 +V" +tp4142 +a(g339 +V++ +p4143 +tp4144 +a(g18 +Vindent +p4145 +tp4146 +a(g185 +V +tp4147 +a(g18 +Vr +tp4148 +a(g185 +V +tp4149 +a(g339 +V| +tp4150 +a(g185 +V +tp4151 +a(g198 +V( +tp4152 +a(g18 +Va +tp4153 +a(g198 +V, +tp4154 +a(g18 +Vr +tp4155 +a(g198 +V) +tp4156 +a(g185 +V +tp4157 +a(g343 +V<- +p4158 +tp4159 +a(g185 +V +tp4160 +a(g18 +Vars +p4161 +tp4162 +a(g198 +V] +tp4163 +a(g185 +V\u000a +p4164 +tp4165 +a(g123 +Vwhere +p4166 +tp4167 +a(g185 +V\u000a +p4168 +tp4169 +a(g18 +Vars +p4170 +tp4171 +a(g185 +V +tp4172 +a(g343 +V= +tp4173 +a(g185 +V +tp4174 +a(g18 +Vtake +p4175 +tp4176 +a(g185 +V +tp4177 +a(g18 +VlengthLimit +p4178 +tp4179 +a(g185 +V +tp4180 +a(g198 +V[ +tp4181 +a(g185 +V +tp4182 +a(g198 +V( +tp4183 +a(g18 +Vshow +p4184 +tp4185 +a(g185 +V +tp4186 +a(g18 +Vx +tp4187 +a(g198 +V, +tp4188 +a(g185 +V +tp4189 +a(g18 +Vshow +p4190 +tp4191 +a(g185 +V +tp4192 +a(g198 +V( +tp4193 +a(g18 +Vf +tp4194 +a(g185 +V +tp4195 +a(g18 +Vx +tp4196 +a(g198 +V) +tp4197 +a(g198 +V) +tp4198 +a(g185 +V\u000a +p4199 +tp4200 +a(g339 +V| +tp4201 +a(g185 +V +tp4202 +a(g18 +Vx +tp4203 +a(g185 +V +tp4204 +a(g343 +V<- +p4205 +tp4206 +a(g185 +V +tp4207 +a(g18 +Vseries +p4208 +tp4209 +a(g185 +V +tp4210 +a(g18 +VdepthLimit +p4211 +tp4212 +a(g185 +V +tp4213 +a(g198 +V] +tp4214 +a(g185 +V\u000a +p4215 +tp4216 +a(g18 +Vmaxarheight +p4217 +tp4218 +a(g185 +V +tp4219 +a(g343 +V= +tp4220 +a(g185 +V +tp4221 +a(g18 +Vmaximum +p4222 +tp4223 +a(g185 +V +p4224 +tp4225 +a(g198 +V[ +tp4226 +a(g185 +V +tp4227 +a(g18 +Vmax +p4228 +tp4229 +a(g185 +V +tp4230 +a(g198 +V( +tp4231 +a(g18 +Vheight +p4232 +tp4233 +a(g185 +V +tp4234 +a(g18 +Va +tp4235 +a(g198 +V) +tp4236 +a(g185 +V +tp4237 +a(g198 +V( +tp4238 +a(g18 +Vheight +p4239 +tp4240 +a(g185 +V +tp4241 +a(g18 +Vr +tp4242 +a(g198 +V) +tp4243 +a(g185 +V\u000a +p4244 +tp4245 +a(g339 +V| +tp4246 +a(g185 +V +tp4247 +a(g198 +V( +tp4248 +a(g18 +Va +tp4249 +a(g198 +V, +tp4250 +a(g18 +Vr +tp4251 +a(g198 +V) +tp4252 +a(g185 +V +tp4253 +a(g343 +V<- +p4254 +tp4255 +a(g185 +V +tp4256 +a(g18 +Vars +p4257 +tp4258 +a(g185 +V +tp4259 +a(g198 +V] +tp4260 +a(g185 +V\u000a +p4261 +tp4262 +a(g18 +Vsumarwidth +p4263 +tp4264 +a(g185 +V +tp4265 +a(g343 +V= +tp4266 +a(g185 +V +tp4267 +a(g18 +Vsum +p4268 +tp4269 +a(g185 +V +p4270 +tp4271 +a(g198 +V[ +tp4272 +a(g185 +V +tp4273 +a(g18 +Vlength +p4274 +tp4275 +a(g185 +V +tp4276 +a(g18 +Va +tp4277 +a(g185 +V +tp4278 +a(g339 +V+ +tp4279 +a(g185 +V +tp4280 +a(g18 +Vlength +p4281 +tp4282 +a(g185 +V +tp4283 +a(g18 +Vr +tp4284 +a(g185 +V \u000a +p4285 +tp4286 +a(g339 +V| +tp4287 +a(g185 +V +tp4288 +a(g198 +V( +tp4289 +a(g18 +Va +tp4290 +a(g198 +V, +tp4291 +a(g18 +Vr +tp4292 +a(g198 +V) +tp4293 +a(g185 +V +tp4294 +a(g343 +V<- +p4295 +tp4296 +a(g185 +V +tp4297 +a(g18 +Vars +p4298 +tp4299 +a(g198 +V] +tp4300 +a(g185 +V\u000a +p4301 +tp4302 +a(g18 +Vindent +p4303 +tp4304 +a(g185 +V +tp4305 +a(g343 +V= +tp4306 +a(g185 +V +tp4307 +a(g18 +Vunlines +p4308 +tp4309 +a(g185 +V +tp4310 +a(g339 +V. +tp4311 +a(g185 +V +tp4312 +a(g18 +Vmap +p4313 +tp4314 +a(g185 +V +tp4315 +a(g198 +V( +tp4316 +a(g222 +V" +tp4317 +a(g222 +V +p4318 +tp4319 +a(g222 +V" +tp4320 +a(g339 +V++ +p4321 +tp4322 +a(g198 +V) +tp4323 +a(g185 +V +tp4324 +a(g339 +V. +tp4325 +a(g185 +V +tp4326 +a(g18 +Vlines +p4327 +tp4328 +a(g185 +V\u000a +p4329 +tp4330 +a(g18 +Vheight +p4331 +tp4332 +a(g185 +V +tp4333 +a(g343 +V= +tp4334 +a(g185 +V +tp4335 +a(g18 +Vlength +p4336 +tp4337 +a(g185 +V +tp4338 +a(g339 +V. +tp4339 +a(g185 +V +tp4340 +a(g18 +Vlines +p4341 +tp4342 +a(g185 +V\u000a +p4343 +tp4344 +a(g198 +V( +tp4345 +a(g18 +VwidthLimit +p4346 +tp4347 +a(g198 +V, +tp4348 +a(g18 +VlengthLimit +p4349 +tp4350 +a(g198 +V, +tp4351 +a(g18 +VdepthLimit +p4352 +tp4353 +a(g198 +V) +tp4354 +a(g185 +V +tp4355 +a(g343 +V= +tp4356 +a(g185 +V +tp4357 +a(g198 +V( +tp4358 +a(g314 +V80 +p4359 +tp4360 +a(g198 +V, +tp4361 +a(g314 +V20 +p4362 +tp4363 +a(g198 +V, +tp4364 +a(g314 +V3 +tp4365 +a(g198 +V) +tp4366 +a(g343 +V:: +p4367 +tp4368 +a(g198 +V( +tp4369 +a(g135 +VInt +p4370 +tp4371 +a(g198 +V, +tp4372 +a(g135 +VInt +p4373 +tp4374 +a(g198 +V, +tp4375 +a(g135 +VInt +p4376 +tp4377 +a(g198 +V) +tp4378 +a(g185 +V\u000a\u000a +p4379 +tp4380 +a(g7 +V---------------- ------------------ +p4381 +tp4382 +a(g185 +V\u000a\u000a +p4383 +tp4384 +a(g7 +V-- adapted from QuickCheck originals: here results come in lists, +p4385 +tp4386 +a(g185 +V\u000a +tp4387 +a(g7 +V-- properties have depth arguments, stamps (for classifying random +p4388 +tp4389 +a(g185 +V\u000a +tp4390 +a(g7 +V-- tests) are omitted, existentials are introduced +p4391 +tp4392 +a(g185 +V\u000a\u000a +p4393 +tp4394 +a(g123 +Vnewtype +p4395 +tp4396 +a(g185 +V +tp4397 +a(g135 +VPR +p4398 +tp4399 +a(g185 +V +tp4400 +a(g343 +V= +tp4401 +a(g185 +V +tp4402 +a(g135 +VProp +p4403 +tp4404 +a(g185 +V +tp4405 +a(g198 +V[ +tp4406 +a(g135 +VResult +p4407 +tp4408 +a(g198 +V] +tp4409 +a(g185 +V\u000a\u000a +p4410 +tp4411 +a(g123 +Vdata +p4412 +tp4413 +a(g185 +V +tp4414 +a(g135 +VResult +p4415 +tp4416 +a(g185 +V +tp4417 +a(g343 +V= +tp4418 +a(g185 +V +tp4419 +a(g135 +VResult +p4420 +tp4421 +a(g185 +V +tp4422 +a(g198 +V{ +tp4423 +a(g18 +Vok +p4424 +tp4425 +a(g185 +V +tp4426 +a(g343 +V:: +p4427 +tp4428 +a(g185 +V +tp4429 +a(g135 +VMaybe +p4430 +tp4431 +a(g185 +V +tp4432 +a(g135 +VBool +p4433 +tp4434 +a(g198 +V, +tp4435 +a(g185 +V +tp4436 +a(g18 +Varguments +p4437 +tp4438 +a(g185 +V +tp4439 +a(g343 +V:: +p4440 +tp4441 +a(g185 +V +tp4442 +a(g198 +V[ +tp4443 +a(g135 +VString +p4444 +tp4445 +a(g198 +V] +tp4446 +a(g198 +V} +tp4447 +a(g185 +V\u000a\u000a +p4448 +tp4449 +a(g21 +Vnothing +p4450 +tp4451 +a(g185 +V +tp4452 +a(g343 +V:: +p4453 +tp4454 +a(g185 +V +tp4455 +a(g135 +VResult +p4456 +tp4457 +a(g185 +V\u000a +tp4458 +a(g21 +Vnothing +p4459 +tp4460 +a(g185 +V +tp4461 +a(g343 +V= +tp4462 +a(g185 +V +tp4463 +a(g135 +VResult +p4464 +tp4465 +a(g185 +V +tp4466 +a(g198 +V{ +tp4467 +a(g18 +Vok +p4468 +tp4469 +a(g185 +V +tp4470 +a(g343 +V= +tp4471 +a(g185 +V +tp4472 +a(g135 +VNothing +p4473 +tp4474 +a(g198 +V, +tp4475 +a(g185 +V +tp4476 +a(g18 +Varguments +p4477 +tp4478 +a(g185 +V +tp4479 +a(g343 +V= +tp4480 +a(g185 +V +tp4481 +a(g135 +V[] +p4482 +tp4483 +a(g198 +V} +tp4484 +a(g185 +V\u000a\u000a +p4485 +tp4486 +a(g21 +Vresult +p4487 +tp4488 +a(g185 +V +tp4489 +a(g343 +V:: +p4490 +tp4491 +a(g185 +V +tp4492 +a(g135 +VResult +p4493 +tp4494 +a(g185 +V +tp4495 +a(g343 +V-> +p4496 +tp4497 +a(g185 +V +tp4498 +a(g135 +VPR +p4499 +tp4500 +a(g185 +V\u000a +tp4501 +a(g21 +Vresult +p4502 +tp4503 +a(g185 +V +tp4504 +a(g18 +Vres +p4505 +tp4506 +a(g185 +V +tp4507 +a(g343 +V= +tp4508 +a(g185 +V +tp4509 +a(g135 +VProp +p4510 +tp4511 +a(g185 +V +tp4512 +a(g198 +V[ +tp4513 +a(g18 +Vres +p4514 +tp4515 +a(g198 +V] +tp4516 +a(g185 +V\u000a\u000a +p4517 +tp4518 +a(g123 +Vnewtype +p4519 +tp4520 +a(g185 +V +tp4521 +a(g135 +VProperty +p4522 +tp4523 +a(g185 +V +tp4524 +a(g343 +V= +tp4525 +a(g185 +V +tp4526 +a(g135 +VProperty +p4527 +tp4528 +a(g185 +V +tp4529 +a(g198 +V( +tp4530 +a(g135 +VInt +p4531 +tp4532 +a(g185 +V +tp4533 +a(g343 +V-> +p4534 +tp4535 +a(g185 +V +tp4536 +a(g135 +VPR +p4537 +tp4538 +a(g198 +V) +tp4539 +a(g185 +V\u000a\u000a +p4540 +tp4541 +a(g123 +Vclass +p4542 +tp4543 +a(g185 +V +tp4544 +a(g135 +VTestable +p4545 +tp4546 +a(g185 +V +tp4547 +a(g18 +Va +tp4548 +a(g185 +V +tp4549 +a(g123 +Vwhere +p4550 +tp4551 +a(g185 +V\u000a +p4552 +tp4553 +a(g18 +Vproperty +p4554 +tp4555 +a(g185 +V +tp4556 +a(g343 +V:: +p4557 +tp4558 +a(g185 +V +tp4559 +a(g18 +Va +tp4560 +a(g185 +V +tp4561 +a(g343 +V-> +p4562 +tp4563 +a(g185 +V +tp4564 +a(g135 +VInt +p4565 +tp4566 +a(g185 +V +tp4567 +a(g343 +V-> +p4568 +tp4569 +a(g185 +V +tp4570 +a(g135 +VPR +p4571 +tp4572 +a(g185 +V\u000a\u000a +p4573 +tp4574 +a(g123 +Vinstance +p4575 +tp4576 +a(g185 +V +tp4577 +a(g135 +VTestable +p4578 +tp4579 +a(g185 +V +tp4580 +a(g135 +VBool +p4581 +tp4582 +a(g185 +V +tp4583 +a(g123 +Vwhere +p4584 +tp4585 +a(g185 +V\u000a +p4586 +tp4587 +a(g18 +Vproperty +p4588 +tp4589 +a(g185 +V +tp4590 +a(g18 +Vb +tp4591 +a(g185 +V +tp4592 +a(g123 +V_ +tp4593 +a(g185 +V +tp4594 +a(g343 +V= +tp4595 +a(g185 +V +tp4596 +a(g135 +VProp +p4597 +tp4598 +a(g185 +V +tp4599 +a(g198 +V[ +tp4600 +a(g135 +VResult +p4601 +tp4602 +a(g185 +V +tp4603 +a(g198 +V( +tp4604 +a(g135 +VJust +p4605 +tp4606 +a(g185 +V +tp4607 +a(g18 +Vb +tp4608 +a(g198 +V) +tp4609 +a(g185 +V +tp4610 +a(g135 +V[] +p4611 +tp4612 +a(g198 +V] +tp4613 +a(g185 +V\u000a\u000a +p4614 +tp4615 +a(g123 +Vinstance +p4616 +tp4617 +a(g185 +V +tp4618 +a(g135 +VTestable +p4619 +tp4620 +a(g185 +V +tp4621 +a(g135 +VPR +p4622 +tp4623 +a(g185 +V +tp4624 +a(g123 +Vwhere +p4625 +tp4626 +a(g185 +V\u000a +p4627 +tp4628 +a(g18 +Vproperty +p4629 +tp4630 +a(g185 +V +tp4631 +a(g18 +Vprop +p4632 +tp4633 +a(g185 +V +tp4634 +a(g123 +V_ +tp4635 +a(g185 +V +tp4636 +a(g343 +V= +tp4637 +a(g185 +V +tp4638 +a(g18 +Vprop +p4639 +tp4640 +a(g185 +V\u000a\u000a +p4641 +tp4642 +a(g123 +Vinstance +p4643 +tp4644 +a(g185 +V +tp4645 +a(g198 +V( +tp4646 +a(g135 +VSerial +p4647 +tp4648 +a(g185 +V +tp4649 +a(g18 +Va +tp4650 +a(g198 +V, +tp4651 +a(g185 +V +tp4652 +a(g135 +VShow +p4653 +tp4654 +a(g185 +V +tp4655 +a(g18 +Va +tp4656 +a(g198 +V, +tp4657 +a(g185 +V +tp4658 +a(g135 +VTestable +p4659 +tp4660 +a(g185 +V +tp4661 +a(g18 +Vb +tp4662 +a(g198 +V) +tp4663 +a(g185 +V +tp4664 +a(g343 +V=> +p4665 +tp4666 +a(g185 +V +tp4667 +a(g135 +VTestable +p4668 +tp4669 +a(g185 +V +tp4670 +a(g198 +V( +tp4671 +a(g18 +Va +tp4672 +a(g343 +V-> +p4673 +tp4674 +a(g18 +Vb +tp4675 +a(g198 +V) +tp4676 +a(g185 +V +tp4677 +a(g123 +Vwhere +p4678 +tp4679 +a(g185 +V\u000a +p4680 +tp4681 +a(g18 +Vproperty +p4682 +tp4683 +a(g185 +V +tp4684 +a(g18 +Vf +tp4685 +a(g185 +V +tp4686 +a(g343 +V= +tp4687 +a(g185 +V +tp4688 +a(g18 +Vf' +p4689 +tp4690 +a(g185 +V +tp4691 +a(g123 +Vwhere +p4692 +tp4693 +a(g185 +V +tp4694 +a(g135 +VProperty +p4695 +tp4696 +a(g185 +V +tp4697 +a(g18 +Vf' +p4698 +tp4699 +a(g185 +V +tp4700 +a(g343 +V= +tp4701 +a(g185 +V +tp4702 +a(g18 +VforAll +p4703 +tp4704 +a(g185 +V +tp4705 +a(g18 +Vseries +p4706 +tp4707 +a(g185 +V +tp4708 +a(g18 +Vf +tp4709 +a(g185 +V\u000a\u000a +p4710 +tp4711 +a(g123 +Vinstance +p4712 +tp4713 +a(g185 +V +tp4714 +a(g135 +VTestable +p4715 +tp4716 +a(g185 +V +tp4717 +a(g135 +VProperty +p4718 +tp4719 +a(g185 +V +tp4720 +a(g123 +Vwhere +p4721 +tp4722 +a(g185 +V\u000a +p4723 +tp4724 +a(g18 +Vproperty +p4725 +tp4726 +a(g185 +V +tp4727 +a(g198 +V( +tp4728 +a(g135 +VProperty +p4729 +tp4730 +a(g185 +V +tp4731 +a(g18 +Vf +tp4732 +a(g198 +V) +tp4733 +a(g185 +V +tp4734 +a(g18 +Vd +tp4735 +a(g185 +V +tp4736 +a(g343 +V= +tp4737 +a(g185 +V +tp4738 +a(g18 +Vf +tp4739 +a(g185 +V +tp4740 +a(g18 +Vd +tp4741 +a(g185 +V\u000a\u000a +p4742 +tp4743 +a(g21 +Vevaluate +p4744 +tp4745 +a(g185 +V +tp4746 +a(g343 +V:: +p4747 +tp4748 +a(g185 +V +tp4749 +a(g135 +VTestable +p4750 +tp4751 +a(g185 +V +tp4752 +a(g18 +Va +tp4753 +a(g185 +V +tp4754 +a(g343 +V=> +p4755 +tp4756 +a(g185 +V +tp4757 +a(g18 +Va +tp4758 +a(g185 +V +tp4759 +a(g343 +V-> +p4760 +tp4761 +a(g185 +V +tp4762 +a(g135 +VSeries +p4763 +tp4764 +a(g185 +V +tp4765 +a(g135 +VResult +p4766 +tp4767 +a(g185 +V\u000a +tp4768 +a(g21 +Vevaluate +p4769 +tp4770 +a(g185 +V +tp4771 +a(g18 +Vx +tp4772 +a(g185 +V +tp4773 +a(g18 +Vd +tp4774 +a(g185 +V +tp4775 +a(g343 +V= +tp4776 +a(g185 +V +tp4777 +a(g18 +Vrs +p4778 +tp4779 +a(g185 +V +tp4780 +a(g123 +Vwhere +p4781 +tp4782 +a(g185 +V +tp4783 +a(g135 +VProp +p4784 +tp4785 +a(g185 +V +tp4786 +a(g18 +Vrs +p4787 +tp4788 +a(g185 +V +tp4789 +a(g343 +V= +tp4790 +a(g185 +V +tp4791 +a(g18 +Vproperty +p4792 +tp4793 +a(g185 +V +tp4794 +a(g18 +Vx +tp4795 +a(g185 +V +tp4796 +a(g18 +Vd +tp4797 +a(g185 +V\u000a\u000a +p4798 +tp4799 +a(g21 +VforAll +p4800 +tp4801 +a(g185 +V +tp4802 +a(g343 +V:: +p4803 +tp4804 +a(g185 +V +tp4805 +a(g198 +V( +tp4806 +a(g135 +VShow +p4807 +tp4808 +a(g185 +V +tp4809 +a(g18 +Va +tp4810 +a(g198 +V, +tp4811 +a(g185 +V +tp4812 +a(g135 +VTestable +p4813 +tp4814 +a(g185 +V +tp4815 +a(g18 +Vb +tp4816 +a(g198 +V) +tp4817 +a(g185 +V +tp4818 +a(g343 +V=> +p4819 +tp4820 +a(g185 +V +tp4821 +a(g135 +VSeries +p4822 +tp4823 +a(g185 +V +tp4824 +a(g18 +Va +tp4825 +a(g185 +V +tp4826 +a(g343 +V-> +p4827 +tp4828 +a(g185 +V +tp4829 +a(g198 +V( +tp4830 +a(g18 +Va +tp4831 +a(g343 +V-> +p4832 +tp4833 +a(g18 +Vb +tp4834 +a(g198 +V) +tp4835 +a(g185 +V +tp4836 +a(g343 +V-> +p4837 +tp4838 +a(g185 +V +tp4839 +a(g135 +VProperty +p4840 +tp4841 +a(g185 +V\u000a +tp4842 +a(g21 +VforAll +p4843 +tp4844 +a(g185 +V +tp4845 +a(g18 +Vxs +p4846 +tp4847 +a(g185 +V +tp4848 +a(g18 +Vf +tp4849 +a(g185 +V +tp4850 +a(g343 +V= +tp4851 +a(g185 +V +tp4852 +a(g135 +VProperty +p4853 +tp4854 +a(g185 +V +tp4855 +a(g339 +V$ +tp4856 +a(g185 +V +tp4857 +a(g21 +V\u005c +tp4858 +a(g18 +Vd +tp4859 +a(g185 +V +tp4860 +a(g343 +V-> +p4861 +tp4862 +a(g185 +V +tp4863 +a(g135 +VProp +p4864 +tp4865 +a(g185 +V +tp4866 +a(g339 +V$ +tp4867 +a(g185 +V\u000a +p4868 +tp4869 +a(g198 +V[ +tp4870 +a(g185 +V +tp4871 +a(g18 +Vr +tp4872 +a(g198 +V{ +tp4873 +a(g18 +Varguments +p4874 +tp4875 +a(g185 +V +tp4876 +a(g343 +V= +tp4877 +a(g185 +V +tp4878 +a(g18 +Vshow +p4879 +tp4880 +a(g185 +V +tp4881 +a(g18 +Vx +tp4882 +a(g185 +V +tp4883 +a(g135 +V: +tp4884 +a(g185 +V +tp4885 +a(g18 +Varguments +p4886 +tp4887 +a(g185 +V +tp4888 +a(g18 +Vr +tp4889 +a(g198 +V} +tp4890 +a(g185 +V\u000a +p4891 +tp4892 +a(g339 +V| +tp4893 +a(g185 +V +tp4894 +a(g18 +Vx +tp4895 +a(g185 +V +tp4896 +a(g343 +V<- +p4897 +tp4898 +a(g185 +V +tp4899 +a(g18 +Vxs +p4900 +tp4901 +a(g185 +V +tp4902 +a(g18 +Vd +tp4903 +a(g198 +V, +tp4904 +a(g185 +V +tp4905 +a(g18 +Vr +tp4906 +a(g185 +V +tp4907 +a(g343 +V<- +p4908 +tp4909 +a(g185 +V +tp4910 +a(g18 +Vevaluate +p4911 +tp4912 +a(g185 +V +tp4913 +a(g198 +V( +tp4914 +a(g18 +Vf +tp4915 +a(g185 +V +tp4916 +a(g18 +Vx +tp4917 +a(g198 +V) +tp4918 +a(g185 +V +tp4919 +a(g18 +Vd +tp4920 +a(g185 +V +tp4921 +a(g198 +V] +tp4922 +a(g185 +V\u000a\u000a +p4923 +tp4924 +a(g21 +VforAllElem +p4925 +tp4926 +a(g185 +V +tp4927 +a(g343 +V:: +p4928 +tp4929 +a(g185 +V +tp4930 +a(g198 +V( +tp4931 +a(g135 +VShow +p4932 +tp4933 +a(g185 +V +tp4934 +a(g18 +Va +tp4935 +a(g198 +V, +tp4936 +a(g185 +V +tp4937 +a(g135 +VTestable +p4938 +tp4939 +a(g185 +V +tp4940 +a(g18 +Vb +tp4941 +a(g198 +V) +tp4942 +a(g185 +V +tp4943 +a(g343 +V=> +p4944 +tp4945 +a(g185 +V +tp4946 +a(g198 +V[ +tp4947 +a(g18 +Va +tp4948 +a(g198 +V] +tp4949 +a(g185 +V +tp4950 +a(g343 +V-> +p4951 +tp4952 +a(g185 +V +tp4953 +a(g198 +V( +tp4954 +a(g18 +Va +tp4955 +a(g343 +V-> +p4956 +tp4957 +a(g18 +Vb +tp4958 +a(g198 +V) +tp4959 +a(g185 +V +tp4960 +a(g343 +V-> +p4961 +tp4962 +a(g185 +V +tp4963 +a(g135 +VProperty +p4964 +tp4965 +a(g185 +V\u000a +tp4966 +a(g21 +VforAllElem +p4967 +tp4968 +a(g185 +V +tp4969 +a(g18 +Vxs +p4970 +tp4971 +a(g185 +V +tp4972 +a(g343 +V= +tp4973 +a(g185 +V +tp4974 +a(g18 +VforAll +p4975 +tp4976 +a(g185 +V +tp4977 +a(g198 +V( +tp4978 +a(g18 +Vconst +p4979 +tp4980 +a(g185 +V +tp4981 +a(g18 +Vxs +p4982 +tp4983 +a(g198 +V) +tp4984 +a(g185 +V\u000a\u000a +p4985 +tp4986 +a(g21 +VthereExists +p4987 +tp4988 +a(g185 +V +tp4989 +a(g343 +V:: +p4990 +tp4991 +a(g185 +V +tp4992 +a(g135 +VTestable +p4993 +tp4994 +a(g185 +V +tp4995 +a(g18 +Vb +tp4996 +a(g185 +V +tp4997 +a(g343 +V=> +p4998 +tp4999 +a(g185 +V +tp5000 +a(g135 +VSeries +p5001 +tp5002 +a(g185 +V +tp5003 +a(g18 +Va +tp5004 +a(g185 +V +tp5005 +a(g343 +V-> +p5006 +tp5007 +a(g185 +V +tp5008 +a(g198 +V( +tp5009 +a(g18 +Va +tp5010 +a(g343 +V-> +p5011 +tp5012 +a(g18 +Vb +tp5013 +a(g198 +V) +tp5014 +a(g185 +V +tp5015 +a(g343 +V-> +p5016 +tp5017 +a(g185 +V +tp5018 +a(g135 +VProperty +p5019 +tp5020 +a(g185 +V\u000a +tp5021 +a(g21 +VthereExists +p5022 +tp5023 +a(g185 +V +tp5024 +a(g18 +Vxs +p5025 +tp5026 +a(g185 +V +tp5027 +a(g18 +Vf +tp5028 +a(g185 +V +tp5029 +a(g343 +V= +tp5030 +a(g185 +V +tp5031 +a(g135 +VProperty +p5032 +tp5033 +a(g185 +V +tp5034 +a(g339 +V$ +tp5035 +a(g185 +V +tp5036 +a(g21 +V\u005c +tp5037 +a(g18 +Vd +tp5038 +a(g185 +V +tp5039 +a(g343 +V-> +p5040 +tp5041 +a(g185 +V +tp5042 +a(g135 +VProp +p5043 +tp5044 +a(g185 +V +tp5045 +a(g339 +V$ +tp5046 +a(g185 +V\u000a +p5047 +tp5048 +a(g198 +V[ +tp5049 +a(g185 +V +tp5050 +a(g135 +VResult +p5051 +tp5052 +a(g185 +V\u000a +p5053 +tp5054 +a(g198 +V( +tp5055 +a(g185 +V +tp5056 +a(g135 +VJust +p5057 +tp5058 +a(g185 +V +tp5059 +a(g339 +V$ +tp5060 +a(g185 +V +tp5061 +a(g18 +Vor +p5062 +tp5063 +a(g185 +V +tp5064 +a(g198 +V[ +tp5065 +a(g185 +V +tp5066 +a(g18 +Vall +p5067 +tp5068 +a(g185 +V +tp5069 +a(g18 +Vpass +p5070 +tp5071 +a(g185 +V +tp5072 +a(g198 +V( +tp5073 +a(g18 +Vevaluate +p5074 +tp5075 +a(g185 +V +tp5076 +a(g198 +V( +tp5077 +a(g18 +Vf +tp5078 +a(g185 +V +tp5079 +a(g18 +Vx +tp5080 +a(g198 +V) +tp5081 +a(g185 +V +tp5082 +a(g18 +Vd +tp5083 +a(g198 +V) +tp5084 +a(g185 +V\u000a +p5085 +tp5086 +a(g339 +V| +tp5087 +a(g185 +V +tp5088 +a(g18 +Vx +tp5089 +a(g185 +V +tp5090 +a(g343 +V<- +p5091 +tp5092 +a(g185 +V +tp5093 +a(g18 +Vxs +p5094 +tp5095 +a(g185 +V +tp5096 +a(g18 +Vd +tp5097 +a(g185 +V +tp5098 +a(g198 +V] +tp5099 +a(g185 +V +tp5100 +a(g198 +V) +tp5101 +a(g185 +V\u000a +p5102 +tp5103 +a(g135 +V[] +p5104 +tp5105 +a(g185 +V +tp5106 +a(g198 +V] +tp5107 +a(g185 +V \u000a +p5108 +tp5109 +a(g123 +Vwhere +p5110 +tp5111 +a(g185 +V\u000a +p5112 +tp5113 +a(g18 +Vpass +p5114 +tp5115 +a(g185 +V +tp5116 +a(g198 +V( +tp5117 +a(g135 +VResult +p5118 +tp5119 +a(g185 +V +tp5120 +a(g135 +VNothing +p5121 +tp5122 +a(g185 +V +tp5123 +a(g123 +V_ +tp5124 +a(g198 +V) +tp5125 +a(g185 +V +p5126 +tp5127 +a(g343 +V= +tp5128 +a(g185 +V +tp5129 +a(g135 +VTrue +p5130 +tp5131 +a(g185 +V\u000a +p5132 +tp5133 +a(g18 +Vpass +p5134 +tp5135 +a(g185 +V +tp5136 +a(g198 +V( +tp5137 +a(g135 +VResult +p5138 +tp5139 +a(g185 +V +tp5140 +a(g198 +V( +tp5141 +a(g135 +VJust +p5142 +tp5143 +a(g185 +V +tp5144 +a(g18 +Vb +tp5145 +a(g198 +V) +tp5146 +a(g185 +V +tp5147 +a(g123 +V_ +tp5148 +a(g198 +V) +tp5149 +a(g185 +V +tp5150 +a(g343 +V= +tp5151 +a(g185 +V +tp5152 +a(g18 +Vb +tp5153 +a(g185 +V\u000a\u000a +p5154 +tp5155 +a(g21 +VthereExistsElem +p5156 +tp5157 +a(g185 +V +tp5158 +a(g343 +V:: +p5159 +tp5160 +a(g185 +V +tp5161 +a(g135 +VTestable +p5162 +tp5163 +a(g185 +V +tp5164 +a(g18 +Vb +tp5165 +a(g185 +V +tp5166 +a(g343 +V=> +p5167 +tp5168 +a(g185 +V +tp5169 +a(g198 +V[ +tp5170 +a(g18 +Va +tp5171 +a(g198 +V] +tp5172 +a(g185 +V +tp5173 +a(g343 +V-> +p5174 +tp5175 +a(g185 +V +tp5176 +a(g198 +V( +tp5177 +a(g18 +Va +tp5178 +a(g343 +V-> +p5179 +tp5180 +a(g18 +Vb +tp5181 +a(g198 +V) +tp5182 +a(g185 +V +tp5183 +a(g343 +V-> +p5184 +tp5185 +a(g185 +V +tp5186 +a(g135 +VProperty +p5187 +tp5188 +a(g185 +V\u000a +tp5189 +a(g21 +VthereExistsElem +p5190 +tp5191 +a(g185 +V +tp5192 +a(g18 +Vxs +p5193 +tp5194 +a(g185 +V +tp5195 +a(g343 +V= +tp5196 +a(g185 +V +tp5197 +a(g18 +VthereExists +p5198 +tp5199 +a(g185 +V +tp5200 +a(g198 +V( +tp5201 +a(g18 +Vconst +p5202 +tp5203 +a(g185 +V +tp5204 +a(g18 +Vxs +p5205 +tp5206 +a(g198 +V) +tp5207 +a(g185 +V\u000a\u000a +p5208 +tp5209 +a(g21 +Vexists +p5210 +tp5211 +a(g185 +V +tp5212 +a(g343 +V:: +p5213 +tp5214 +a(g185 +V +tp5215 +a(g198 +V( +tp5216 +a(g135 +VSerial +p5217 +tp5218 +a(g185 +V +tp5219 +a(g18 +Va +tp5220 +a(g198 +V, +tp5221 +a(g185 +V +tp5222 +a(g135 +VTestable +p5223 +tp5224 +a(g185 +V +tp5225 +a(g18 +Vb +tp5226 +a(g198 +V) +tp5227 +a(g185 +V +tp5228 +a(g343 +V=> +p5229 +tp5230 +a(g185 +V\u000a +p5231 +tp5232 +a(g198 +V( +tp5233 +a(g18 +Va +tp5234 +a(g343 +V-> +p5235 +tp5236 +a(g18 +Vb +tp5237 +a(g198 +V) +tp5238 +a(g185 +V +tp5239 +a(g343 +V-> +p5240 +tp5241 +a(g185 +V +tp5242 +a(g135 +VProperty +p5243 +tp5244 +a(g185 +V\u000a +tp5245 +a(g21 +Vexists +p5246 +tp5247 +a(g185 +V +tp5248 +a(g343 +V= +tp5249 +a(g185 +V +tp5250 +a(g18 +VthereExists +p5251 +tp5252 +a(g185 +V +tp5253 +a(g18 +Vseries +p5254 +tp5255 +a(g185 +V\u000a\u000a +p5256 +tp5257 +a(g21 +VexistsDeeperBy +p5258 +tp5259 +a(g185 +V +tp5260 +a(g343 +V:: +p5261 +tp5262 +a(g185 +V +tp5263 +a(g198 +V( +tp5264 +a(g135 +VSerial +p5265 +tp5266 +a(g185 +V +tp5267 +a(g18 +Va +tp5268 +a(g198 +V, +tp5269 +a(g185 +V +tp5270 +a(g135 +VTestable +p5271 +tp5272 +a(g185 +V +tp5273 +a(g18 +Vb +tp5274 +a(g198 +V) +tp5275 +a(g185 +V +tp5276 +a(g343 +V=> +p5277 +tp5278 +a(g185 +V\u000a +p5279 +tp5280 +a(g198 +V( +tp5281 +a(g135 +VInt +p5282 +tp5283 +a(g343 +V-> +p5284 +tp5285 +a(g135 +VInt +p5286 +tp5287 +a(g198 +V) +tp5288 +a(g185 +V +tp5289 +a(g343 +V-> +p5290 +tp5291 +a(g185 +V +tp5292 +a(g198 +V( +tp5293 +a(g18 +Va +tp5294 +a(g343 +V-> +p5295 +tp5296 +a(g18 +Vb +tp5297 +a(g198 +V) +tp5298 +a(g185 +V +tp5299 +a(g343 +V-> +p5300 +tp5301 +a(g185 +V +tp5302 +a(g135 +VProperty +p5303 +tp5304 +a(g185 +V\u000a +tp5305 +a(g21 +VexistsDeeperBy +p5306 +tp5307 +a(g185 +V +tp5308 +a(g18 +Vf +tp5309 +a(g185 +V +tp5310 +a(g343 +V= +tp5311 +a(g185 +V +tp5312 +a(g18 +VthereExists +p5313 +tp5314 +a(g185 +V +tp5315 +a(g198 +V( +tp5316 +a(g18 +Vseries +p5317 +tp5318 +a(g185 +V +tp5319 +a(g339 +V. +tp5320 +a(g185 +V +tp5321 +a(g18 +Vf +tp5322 +a(g198 +V) +tp5323 +a(g185 +V\u000a \u000a +p5324 +tp5325 +a(g123 +Vinfixr +p5326 +tp5327 +a(g185 +V +tp5328 +a(g314 +V0 +tp5329 +a(g185 +V +tp5330 +a(g339 +V==> +p5331 +tp5332 +a(g185 +V\u000a\u000a +p5333 +tp5334 +a(g198 +V( +tp5335 +a(g339 +V==> +p5336 +tp5337 +a(g198 +V) +tp5338 +a(g185 +V +tp5339 +a(g343 +V:: +p5340 +tp5341 +a(g185 +V +tp5342 +a(g135 +VTestable +p5343 +tp5344 +a(g185 +V +tp5345 +a(g18 +Va +tp5346 +a(g185 +V +tp5347 +a(g343 +V=> +p5348 +tp5349 +a(g185 +V +tp5350 +a(g135 +VBool +p5351 +tp5352 +a(g185 +V +tp5353 +a(g343 +V-> +p5354 +tp5355 +a(g185 +V +tp5356 +a(g18 +Va +tp5357 +a(g185 +V +tp5358 +a(g343 +V-> +p5359 +tp5360 +a(g185 +V +tp5361 +a(g135 +VProperty +p5362 +tp5363 +a(g185 +V\u000a +tp5364 +a(g135 +VTrue +p5365 +tp5366 +a(g185 +V +tp5367 +a(g339 +V==> +p5368 +tp5369 +a(g185 +V +p5370 +tp5371 +a(g18 +Vx +tp5372 +a(g185 +V +tp5373 +a(g343 +V= +tp5374 +a(g185 +V +tp5375 +a(g135 +VProperty +p5376 +tp5377 +a(g185 +V +tp5378 +a(g198 +V( +tp5379 +a(g18 +Vproperty +p5380 +tp5381 +a(g185 +V +tp5382 +a(g18 +Vx +tp5383 +a(g198 +V) +tp5384 +a(g185 +V\u000a +tp5385 +a(g135 +VFalse +p5386 +tp5387 +a(g185 +V +tp5388 +a(g339 +V==> +p5389 +tp5390 +a(g185 +V +tp5391 +a(g18 +Vx +tp5392 +a(g185 +V +tp5393 +a(g343 +V= +tp5394 +a(g185 +V +tp5395 +a(g135 +VProperty +p5396 +tp5397 +a(g185 +V +tp5398 +a(g198 +V( +tp5399 +a(g18 +Vconst +p5400 +tp5401 +a(g185 +V +tp5402 +a(g198 +V( +tp5403 +a(g18 +Vresult +p5404 +tp5405 +a(g185 +V +tp5406 +a(g18 +Vnothing +p5407 +tp5408 +a(g198 +V) +tp5409 +a(g198 +V) +tp5410 +a(g185 +V\u000a\u000a +p5411 +tp5412 +a(g7 +V--------------------- ---------------------- +p5413 +tp5414 +a(g185 +V\u000a\u000a +p5415 +tp5416 +a(g7 +V-- similar in spirit to QuickCheck but with iterative deepening +p5417 +tp5418 +a(g185 +V\u000a\u000a +p5419 +tp5420 +a(g7 +V-- test for values of depths 0..d stopping when a property +p5421 +tp5422 +a(g185 +V\u000a +tp5423 +a(g7 +V-- fails or when it has been checked for all these values +p5424 +tp5425 +a(g185 +V\u000a +tp5426 +a(g21 +VsmallCheck +p5427 +tp5428 +a(g185 +V +tp5429 +a(g343 +V:: +p5430 +tp5431 +a(g185 +V +tp5432 +a(g135 +VTestable +p5433 +tp5434 +a(g185 +V +tp5435 +a(g18 +Va +tp5436 +a(g185 +V +tp5437 +a(g343 +V=> +p5438 +tp5439 +a(g185 +V +tp5440 +a(g135 +VInt +p5441 +tp5442 +a(g185 +V +tp5443 +a(g343 +V-> +p5444 +tp5445 +a(g185 +V +tp5446 +a(g18 +Va +tp5447 +a(g185 +V +tp5448 +a(g343 +V-> +p5449 +tp5450 +a(g185 +V +tp5451 +a(g135 +VIO +p5452 +tp5453 +a(g185 +V +tp5454 +a(g135 +VString +p5455 +tp5456 +a(g185 +V\u000a +tp5457 +a(g21 +VsmallCheck +p5458 +tp5459 +a(g185 +V +tp5460 +a(g18 +Vd +tp5461 +a(g185 +V +tp5462 +a(g343 +V= +tp5463 +a(g185 +V +tp5464 +a(g18 +ViterCheck +p5465 +tp5466 +a(g185 +V +tp5467 +a(g314 +V0 +tp5468 +a(g185 +V +tp5469 +a(g198 +V( +tp5470 +a(g135 +VJust +p5471 +tp5472 +a(g185 +V +tp5473 +a(g18 +Vd +tp5474 +a(g198 +V) +tp5475 +a(g185 +V\u000a\u000a +p5476 +tp5477 +a(g21 +VdepthCheck +p5478 +tp5479 +a(g185 +V +tp5480 +a(g343 +V:: +p5481 +tp5482 +a(g185 +V +tp5483 +a(g135 +VTestable +p5484 +tp5485 +a(g185 +V +tp5486 +a(g18 +Va +tp5487 +a(g185 +V +tp5488 +a(g343 +V=> +p5489 +tp5490 +a(g185 +V +tp5491 +a(g135 +VInt +p5492 +tp5493 +a(g185 +V +tp5494 +a(g343 +V-> +p5495 +tp5496 +a(g185 +V +tp5497 +a(g18 +Va +tp5498 +a(g185 +V +tp5499 +a(g343 +V-> +p5500 +tp5501 +a(g185 +V +tp5502 +a(g135 +VIO +p5503 +tp5504 +a(g185 +V +tp5505 +a(g135 +VString +p5506 +tp5507 +a(g185 +V\u000a +tp5508 +a(g21 +VdepthCheck +p5509 +tp5510 +a(g185 +V +tp5511 +a(g18 +Vd +tp5512 +a(g185 +V +tp5513 +a(g343 +V= +tp5514 +a(g185 +V +tp5515 +a(g18 +ViterCheck +p5516 +tp5517 +a(g185 +V +tp5518 +a(g18 +Vd +tp5519 +a(g185 +V +tp5520 +a(g198 +V( +tp5521 +a(g135 +VJust +p5522 +tp5523 +a(g185 +V +tp5524 +a(g18 +Vd +tp5525 +a(g198 +V) +tp5526 +a(g185 +V\u000a\u000a +p5527 +tp5528 +a(g21 +ViterCheck +p5529 +tp5530 +a(g185 +V +tp5531 +a(g343 +V:: +p5532 +tp5533 +a(g185 +V +tp5534 +a(g135 +VTestable +p5535 +tp5536 +a(g185 +V +tp5537 +a(g18 +Va +tp5538 +a(g185 +V +tp5539 +a(g343 +V=> +p5540 +tp5541 +a(g185 +V +tp5542 +a(g135 +VInt +p5543 +tp5544 +a(g185 +V +tp5545 +a(g343 +V-> +p5546 +tp5547 +a(g185 +V +tp5548 +a(g135 +VMaybe +p5549 +tp5550 +a(g185 +V +tp5551 +a(g135 +VInt +p5552 +tp5553 +a(g185 +V +tp5554 +a(g343 +V-> +p5555 +tp5556 +a(g185 +V +tp5557 +a(g18 +Va +tp5558 +a(g185 +V +tp5559 +a(g343 +V-> +p5560 +tp5561 +a(g185 +V +tp5562 +a(g135 +VIO +p5563 +tp5564 +a(g185 +V +tp5565 +a(g135 +VString +p5566 +tp5567 +a(g185 +V\u000a +tp5568 +a(g21 +ViterCheck +p5569 +tp5570 +a(g185 +V +tp5571 +a(g18 +VdFrom +p5572 +tp5573 +a(g185 +V +tp5574 +a(g18 +VmdTo +p5575 +tp5576 +a(g185 +V +tp5577 +a(g18 +Vt +tp5578 +a(g185 +V +tp5579 +a(g343 +V= +tp5580 +a(g185 +V +tp5581 +a(g18 +Viter +p5582 +tp5583 +a(g185 +V +tp5584 +a(g18 +VdFrom +p5585 +tp5586 +a(g185 +V\u000a +p5587 +tp5588 +a(g123 +Vwhere +p5589 +tp5590 +a(g185 +V\u000a +p5591 +tp5592 +a(g18 +Viter +p5593 +tp5594 +a(g185 +V +tp5595 +a(g343 +V:: +p5596 +tp5597 +a(g185 +V +tp5598 +a(g135 +VInt +p5599 +tp5600 +a(g185 +V +tp5601 +a(g343 +V-> +p5602 +tp5603 +a(g185 +V +tp5604 +a(g135 +VIO +p5605 +tp5606 +a(g185 +V +tp5607 +a(g135 +VString +p5608 +tp5609 +a(g185 +V\u000a +p5610 +tp5611 +a(g18 +Viter +p5612 +tp5613 +a(g185 +V +tp5614 +a(g18 +Vd +tp5615 +a(g185 +V +tp5616 +a(g343 +V= +tp5617 +a(g185 +V +tp5618 +a(g123 +Vdo +p5619 +tp5620 +a(g185 +V\u000a +p5621 +tp5622 +a(g123 +Vlet +p5623 +tp5624 +a(g185 +V +tp5625 +a(g135 +VProp +p5626 +tp5627 +a(g185 +V +tp5628 +a(g18 +Vresults +p5629 +tp5630 +a(g185 +V +tp5631 +a(g343 +V= +tp5632 +a(g185 +V +tp5633 +a(g18 +Vproperty +p5634 +tp5635 +a(g185 +V +tp5636 +a(g18 +Vt +tp5637 +a(g185 +V +tp5638 +a(g18 +Vd +tp5639 +a(g185 +V\u000a +p5640 +tp5641 +a(g198 +V( +tp5642 +a(g18 +Vok +p5643 +tp5644 +a(g198 +V, +tp5645 +a(g18 +Vs +tp5646 +a(g198 +V) +tp5647 +a(g185 +V +tp5648 +a(g343 +V<- +p5649 +tp5650 +a(g185 +V +tp5651 +a(g18 +Vcheck +p5652 +tp5653 +a(g185 +V +tp5654 +a(g198 +V( +tp5655 +a(g18 +VmdTo +p5656 +tp5657 +a(g339 +V== +p5658 +tp5659 +a(g135 +VNothing +p5660 +tp5661 +a(g198 +V) +tp5662 +a(g185 +V +tp5663 +a(g314 +V0 +tp5664 +a(g185 +V +tp5665 +a(g314 +V0 +tp5666 +a(g185 +V +tp5667 +a(g135 +VTrue +p5668 +tp5669 +a(g185 +V +tp5670 +a(g18 +Vresults +p5671 +tp5672 +a(g185 +V\u000a +p5673 +tp5674 +a(g18 +Vmaybe +p5675 +tp5676 +a(g185 +V +tp5677 +a(g198 +V( +tp5678 +a(g18 +Viter +p5679 +tp5680 +a(g185 +V +tp5681 +a(g198 +V( +tp5682 +a(g18 +Vd +tp5683 +a(g339 +V+ +tp5684 +a(g314 +V1 +tp5685 +a(g198 +V) +tp5686 +a(g198 +V) +tp5687 +a(g185 +V\u000a +p5688 +tp5689 +a(g198 +V( +tp5690 +a(g21 +V\u005c +tp5691 +a(g18 +VdTo +p5692 +tp5693 +a(g185 +V +tp5694 +a(g343 +V-> +p5695 +tp5696 +a(g185 +V +tp5697 +a(g123 +Vif +p5698 +tp5699 +a(g185 +V +tp5700 +a(g18 +Vok +p5701 +tp5702 +a(g185 +V +tp5703 +a(g339 +V&& +p5704 +tp5705 +a(g185 +V +tp5706 +a(g18 +Vd +tp5707 +a(g185 +V +tp5708 +a(g339 +V< +tp5709 +a(g185 +V +tp5710 +a(g18 +VdTo +p5711 +tp5712 +a(g185 +V\u000a +p5713 +tp5714 +a(g123 +Vthen +p5715 +tp5716 +a(g185 +V +tp5717 +a(g18 +Viter +p5718 +tp5719 +a(g185 +V +tp5720 +a(g198 +V( +tp5721 +a(g18 +Vd +tp5722 +a(g339 +V+ +tp5723 +a(g314 +V1 +tp5724 +a(g198 +V) +tp5725 +a(g185 +V\u000a +p5726 +tp5727 +a(g123 +Velse +p5728 +tp5729 +a(g185 +V +tp5730 +a(g18 +Vreturn +p5731 +tp5732 +a(g185 +V +tp5733 +a(g18 +Vs +tp5734 +a(g198 +V) +tp5735 +a(g185 +V\u000a +p5736 +tp5737 +a(g18 +VmdTo +p5738 +tp5739 +a(g185 +V\u000a\u000a +p5740 +tp5741 +a(g21 +Vcheck +p5742 +tp5743 +a(g185 +V +tp5744 +a(g343 +V:: +p5745 +tp5746 +a(g185 +V +tp5747 +a(g135 +VBool +p5748 +tp5749 +a(g185 +V +tp5750 +a(g343 +V-> +p5751 +tp5752 +a(g185 +V +tp5753 +a(g135 +VInt +p5754 +tp5755 +a(g185 +V +tp5756 +a(g343 +V-> +p5757 +tp5758 +a(g185 +V +tp5759 +a(g135 +VInt +p5760 +tp5761 +a(g185 +V +tp5762 +a(g343 +V-> +p5763 +tp5764 +a(g185 +V +tp5765 +a(g135 +VBool +p5766 +tp5767 +a(g185 +V +tp5768 +a(g343 +V-> +p5769 +tp5770 +a(g185 +V +tp5771 +a(g198 +V[ +tp5772 +a(g135 +VResult +p5773 +tp5774 +a(g198 +V] +tp5775 +a(g185 +V +tp5776 +a(g343 +V-> +p5777 +tp5778 +a(g185 +V +tp5779 +a(g135 +VIO +p5780 +tp5781 +a(g185 +V +tp5782 +a(g198 +V( +tp5783 +a(g135 +VBool +p5784 +tp5785 +a(g198 +V, +tp5786 +a(g185 +V +tp5787 +a(g135 +VString +p5788 +tp5789 +a(g198 +V) +tp5790 +a(g185 +V\u000a +tp5791 +a(g21 +Vcheck +p5792 +tp5793 +a(g185 +V +tp5794 +a(g18 +Vi +tp5795 +a(g185 +V +tp5796 +a(g18 +Vn +tp5797 +a(g185 +V +tp5798 +a(g18 +Vx +tp5799 +a(g185 +V +tp5800 +a(g18 +Vok +p5801 +tp5802 +a(g185 +V +tp5803 +a(g18 +Vrs +p5804 +tp5805 +a(g185 +V +tp5806 +a(g339 +V| +tp5807 +a(g185 +V +tp5808 +a(g18 +Vnull +p5809 +tp5810 +a(g185 +V +tp5811 +a(g18 +Vrs +p5812 +tp5813 +a(g185 +V +tp5814 +a(g343 +V= +tp5815 +a(g185 +V +tp5816 +a(g123 +Vdo +p5817 +tp5818 +a(g185 +V\u000a +p5819 +tp5820 +a(g123 +Vlet +p5821 +tp5822 +a(g185 +V +tp5823 +a(g18 +Vs +tp5824 +a(g185 +V +tp5825 +a(g343 +V= +tp5826 +a(g185 +V +tp5827 +a(g222 +V" +tp5828 +a(g222 +V Completed +p5829 +tp5830 +a(g222 +V" +tp5831 +a(g339 +V++ +p5832 +tp5833 +a(g18 +Vshow +p5834 +tp5835 +a(g185 +V +tp5836 +a(g18 +Vn +tp5837 +a(g339 +V++ +p5838 +tp5839 +a(g222 +V" +tp5840 +a(g222 +V test(s) +p5841 +tp5842 +a(g222 +V" +tp5843 +a(g185 +V\u000a +p5844 +tp5845 +a(g18 +Vy +tp5846 +a(g185 +V +tp5847 +a(g343 +V= +tp5848 +a(g185 +V +tp5849 +a(g123 +Vif +p5850 +tp5851 +a(g185 +V +tp5852 +a(g18 +Vi +tp5853 +a(g185 +V +tp5854 +a(g123 +Vthen +p5855 +tp5856 +a(g185 +V +tp5857 +a(g222 +V" +tp5858 +a(g222 +V. +tp5859 +a(g222 +V" +tp5860 +a(g185 +V +tp5861 +a(g123 +Velse +p5862 +tp5863 +a(g185 +V +tp5864 +a(g222 +V" +tp5865 +a(g222 +V without failure. +p5866 +tp5867 +a(g222 +V" +tp5868 +a(g185 +V\u000a +p5869 +tp5870 +a(g18 +Vz +tp5871 +a(g185 +V +tp5872 +a(g339 +V| +tp5873 +a(g185 +V +tp5874 +a(g18 +Vx +tp5875 +a(g185 +V +tp5876 +a(g339 +V> +tp5877 +a(g185 +V +tp5878 +a(g314 +V0 +tp5879 +a(g185 +V +p5880 +tp5881 +a(g343 +V= +tp5882 +a(g185 +V +tp5883 +a(g222 +V" +tp5884 +a(g222 +V But +p5885 +tp5886 +a(g222 +V" +tp5887 +a(g339 +V++ +p5888 +tp5889 +a(g18 +Vshow +p5890 +tp5891 +a(g185 +V +tp5892 +a(g18 +Vx +tp5893 +a(g339 +V++ +p5894 +tp5895 +a(g222 +V" +tp5896 +a(g222 +V did not meet ==> condition. +p5897 +tp5898 +a(g222 +V" +tp5899 +a(g185 +V\u000a +p5900 +tp5901 +a(g339 +V| +tp5902 +a(g185 +V +tp5903 +a(g18 +Votherwise +p5904 +tp5905 +a(g185 +V +tp5906 +a(g343 +V= +tp5907 +a(g185 +V +tp5908 +a(g222 +V" +tp5909 +a(g222 +V" +tp5910 +a(g185 +V\u000a +p5911 +tp5912 +a(g18 +Vreturn +p5913 +tp5914 +a(g185 +V +tp5915 +a(g198 +V( +tp5916 +a(g18 +Vok +p5917 +tp5918 +a(g198 +V, +tp5919 +a(g185 +V +tp5920 +a(g18 +Vs +tp5921 +a(g185 +V +tp5922 +a(g339 +V++ +p5923 +tp5924 +a(g185 +V +tp5925 +a(g18 +Vy +tp5926 +a(g185 +V +tp5927 +a(g339 +V++ +p5928 +tp5929 +a(g185 +V +tp5930 +a(g18 +Vz +tp5931 +a(g198 +V) +tp5932 +a(g185 +V\u000a\u000a +p5933 +tp5934 +a(g21 +Vcheck +p5935 +tp5936 +a(g185 +V +tp5937 +a(g18 +Vi +tp5938 +a(g185 +V +tp5939 +a(g18 +Vn +tp5940 +a(g185 +V +tp5941 +a(g18 +Vx +tp5942 +a(g185 +V +tp5943 +a(g18 +Vok +p5944 +tp5945 +a(g185 +V +tp5946 +a(g198 +V( +tp5947 +a(g135 +VResult +p5948 +tp5949 +a(g185 +V +tp5950 +a(g135 +VNothing +p5951 +tp5952 +a(g185 +V +tp5953 +a(g123 +V_ +tp5954 +a(g185 +V +tp5955 +a(g135 +V: +tp5956 +a(g185 +V +tp5957 +a(g18 +Vrs +p5958 +tp5959 +a(g198 +V) +tp5960 +a(g185 +V +tp5961 +a(g343 +V= +tp5962 +a(g185 +V +tp5963 +a(g123 +Vdo +p5964 +tp5965 +a(g185 +V\u000a +p5966 +tp5967 +a(g18 +VprogressReport +p5968 +tp5969 +a(g185 +V +tp5970 +a(g18 +Vi +tp5971 +a(g185 +V +tp5972 +a(g18 +Vn +tp5973 +a(g185 +V +tp5974 +a(g18 +Vx +tp5975 +a(g185 +V\u000a +p5976 +tp5977 +a(g18 +Vcheck +p5978 +tp5979 +a(g185 +V +tp5980 +a(g18 +Vi +tp5981 +a(g185 +V +tp5982 +a(g198 +V( +tp5983 +a(g18 +Vn +tp5984 +a(g339 +V+ +tp5985 +a(g314 +V1 +tp5986 +a(g198 +V) +tp5987 +a(g185 +V +tp5988 +a(g198 +V( +tp5989 +a(g18 +Vx +tp5990 +a(g339 +V+ +tp5991 +a(g314 +V1 +tp5992 +a(g198 +V) +tp5993 +a(g185 +V +tp5994 +a(g18 +Vok +p5995 +tp5996 +a(g185 +V +tp5997 +a(g18 +Vrs +p5998 +tp5999 +a(g185 +V\u000a\u000a +p6000 +tp6001 +a(g21 +Vcheck +p6002 +tp6003 +a(g185 +V +tp6004 +a(g18 +Vi +tp6005 +a(g185 +V +tp6006 +a(g18 +Vn +tp6007 +a(g185 +V +tp6008 +a(g18 +Vx +tp6009 +a(g185 +V +tp6010 +a(g18 +Vf +tp6011 +a(g185 +V +tp6012 +a(g198 +V( +tp6013 +a(g135 +VResult +p6014 +tp6015 +a(g185 +V +tp6016 +a(g198 +V( +tp6017 +a(g135 +VJust +p6018 +tp6019 +a(g185 +V +tp6020 +a(g135 +VTrue +p6021 +tp6022 +a(g198 +V) +tp6023 +a(g185 +V +tp6024 +a(g123 +V_ +tp6025 +a(g185 +V +tp6026 +a(g135 +V: +tp6027 +a(g185 +V +tp6028 +a(g18 +Vrs +p6029 +tp6030 +a(g198 +V) +tp6031 +a(g185 +V +tp6032 +a(g343 +V= +tp6033 +a(g185 +V +tp6034 +a(g123 +Vdo +p6035 +tp6036 +a(g185 +V\u000a +p6037 +tp6038 +a(g18 +VprogressReport +p6039 +tp6040 +a(g185 +V +tp6041 +a(g18 +Vi +tp6042 +a(g185 +V +tp6043 +a(g18 +Vn +tp6044 +a(g185 +V +tp6045 +a(g18 +Vx +tp6046 +a(g185 +V\u000a +p6047 +tp6048 +a(g18 +Vcheck +p6049 +tp6050 +a(g185 +V +tp6051 +a(g18 +Vi +tp6052 +a(g185 +V +tp6053 +a(g198 +V( +tp6054 +a(g18 +Vn +tp6055 +a(g339 +V+ +tp6056 +a(g314 +V1 +tp6057 +a(g198 +V) +tp6058 +a(g185 +V +tp6059 +a(g18 +Vx +tp6060 +a(g185 +V +tp6061 +a(g18 +Vf +tp6062 +a(g185 +V +tp6063 +a(g18 +Vrs +p6064 +tp6065 +a(g185 +V\u000a\u000a +p6066 +tp6067 +a(g21 +Vcheck +p6068 +tp6069 +a(g185 +V +tp6070 +a(g18 +Vi +tp6071 +a(g185 +V +tp6072 +a(g18 +Vn +tp6073 +a(g185 +V +tp6074 +a(g18 +Vx +tp6075 +a(g185 +V +tp6076 +a(g18 +Vf +tp6077 +a(g185 +V +tp6078 +a(g198 +V( +tp6079 +a(g135 +VResult +p6080 +tp6081 +a(g185 +V +tp6082 +a(g198 +V( +tp6083 +a(g135 +VJust +p6084 +tp6085 +a(g185 +V +tp6086 +a(g135 +VFalse +p6087 +tp6088 +a(g198 +V) +tp6089 +a(g185 +V +tp6090 +a(g18 +Vargs +p6091 +tp6092 +a(g185 +V +tp6093 +a(g135 +V: +tp6094 +a(g185 +V +tp6095 +a(g18 +Vrs +p6096 +tp6097 +a(g198 +V) +tp6098 +a(g185 +V +tp6099 +a(g343 +V= +tp6100 +a(g185 +V +tp6101 +a(g123 +Vdo +p6102 +tp6103 +a(g185 +V\u000a +p6104 +tp6105 +a(g123 +Vlet +p6106 +tp6107 +a(g185 +V +tp6108 +a(g18 +Vs +tp6109 +a(g185 +V +tp6110 +a(g343 +V= +tp6111 +a(g185 +V +tp6112 +a(g222 +V" +tp6113 +a(g222 +V Failed test no. +p6114 +tp6115 +a(g222 +V" +tp6116 +a(g339 +V++ +p6117 +tp6118 +a(g18 +Vshow +p6119 +tp6120 +a(g185 +V +tp6121 +a(g198 +V( +tp6122 +a(g18 +Vn +tp6123 +a(g339 +V+ +tp6124 +a(g314 +V1 +tp6125 +a(g198 +V) +tp6126 +a(g339 +V++ +p6127 +tp6128 +a(g222 +V" +tp6129 +a(g222 +V. Test values follow. +p6130 +tp6131 +a(g222 +V" +tp6132 +a(g185 +V\u000a +p6133 +tp6134 +a(g18 +Vs' +p6135 +tp6136 +a(g185 +V +tp6137 +a(g343 +V= +tp6138 +a(g185 +V +tp6139 +a(g18 +Vs +tp6140 +a(g185 +V +tp6141 +a(g339 +V++ +p6142 +tp6143 +a(g185 +V +tp6144 +a(g222 +V" +tp6145 +a(g222 +V: +p6146 +tp6147 +a(g222 +V" +tp6148 +a(g185 +V +tp6149 +a(g339 +V++ +p6150 +tp6151 +a(g185 +V +tp6152 +a(g18 +Vconcat +p6153 +tp6154 +a(g185 +V +tp6155 +a(g198 +V( +tp6156 +a(g18 +Vintersperse +p6157 +tp6158 +a(g185 +V +tp6159 +a(g222 +V" +tp6160 +a(g222 +V, +p6161 +tp6162 +a(g222 +V" +tp6163 +a(g185 +V +tp6164 +a(g18 +Vargs +p6165 +tp6166 +a(g198 +V) +tp6167 +a(g185 +V\u000a +p6168 +tp6169 +a(g123 +Vif +p6170 +tp6171 +a(g185 +V +tp6172 +a(g18 +Vi +tp6173 +a(g185 +V +tp6174 +a(g123 +Vthen +p6175 +tp6176 +a(g185 +V\u000a +p6177 +tp6178 +a(g18 +Vcheck +p6179 +tp6180 +a(g185 +V +tp6181 +a(g18 +Vi +tp6182 +a(g185 +V +tp6183 +a(g198 +V( +tp6184 +a(g18 +Vn +tp6185 +a(g339 +V+ +tp6186 +a(g314 +V1 +tp6187 +a(g198 +V) +tp6188 +a(g185 +V +tp6189 +a(g18 +Vx +tp6190 +a(g185 +V +tp6191 +a(g135 +VFalse +p6192 +tp6193 +a(g185 +V +tp6194 +a(g18 +Vrs +p6195 +tp6196 +a(g185 +V\u000a +p6197 +tp6198 +a(g123 +Velse +p6199 +tp6200 +a(g185 +V\u000a +p6201 +tp6202 +a(g18 +Vreturn +p6203 +tp6204 +a(g185 +V +tp6205 +a(g198 +V( +tp6206 +a(g135 +VFalse +p6207 +tp6208 +a(g198 +V, +tp6209 +a(g185 +V +tp6210 +a(g18 +Vs' +p6211 +tp6212 +a(g198 +V) +tp6213 +a(g185 +V\u000a\u000a +p6214 +tp6215 +a(g21 +VprogressReport +p6216 +tp6217 +a(g185 +V +tp6218 +a(g343 +V:: +p6219 +tp6220 +a(g185 +V +tp6221 +a(g135 +VBool +p6222 +tp6223 +a(g185 +V +tp6224 +a(g343 +V-> +p6225 +tp6226 +a(g185 +V +tp6227 +a(g135 +VInt +p6228 +tp6229 +a(g185 +V +tp6230 +a(g343 +V-> +p6231 +tp6232 +a(g185 +V +tp6233 +a(g135 +VInt +p6234 +tp6235 +a(g185 +V +tp6236 +a(g343 +V-> +p6237 +tp6238 +a(g185 +V +tp6239 +a(g135 +VIO +p6240 +tp6241 +a(g185 +V +tp6242 +a(g57 +V() +p6243 +tp6244 +a(g185 +V\u000a +tp6245 +a(g21 +VprogressReport +p6246 +tp6247 +a(g185 +V +tp6248 +a(g123 +V_ +tp6249 +a(g185 +V +tp6250 +a(g123 +V_ +tp6251 +a(g185 +V +tp6252 +a(g123 +V_ +tp6253 +a(g185 +V +tp6254 +a(g343 +V= +tp6255 +a(g185 +V +tp6256 +a(g18 +Vreturn +p6257 +tp6258 +a(g185 +V +tp6259 +a(g57 +V() +p6260 +tp6261 +a(g185 +V\u000a +tp6262 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/Sorting.mod b/tests/examplefiles/output/Sorting.mod new file mode 100644 index 0000000..ae76989 --- /dev/null +++ b/tests/examplefiles/output/Sorting.mod @@ -0,0 +1,14356 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +S'Reserved' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Pervasive' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsS'Constant' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g9 +g10 +((ltRp22 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp23 +(dp24 +S'Comment' +p25 +g2 +(g3 +g4 +(g25 +ttRp26 +(dp27 +g12 +g23 +sS'Preproc' +p28 +g2 +(g3 +g4 +(g25 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g26 +sbsS'Single' +p32 +g2 +(g3 +g4 +(g25 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g26 +sbsS'Multiline' +p36 +g2 +(g3 +g4 +(g25 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g26 +sbsg9 +g10 +((lp40 +g2 +(g3 +g4 +(g25 +S'Special' +p41 +ttRp42 +(dp43 +g9 +g10 +((ltRp44 +sg12 +g26 +sbag29 +ag33 +ag37 +atRp45 +sg41 +g42 +sbsS'Name' +p46 +g2 +(g3 +g4 +(g46 +ttRp47 +(dp48 +S'Function' +p49 +g2 +(g3 +g4 +(g46 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g47 +sbsS'Exception' +p53 +g2 +(g3 +g4 +(g46 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g47 +sbsS'Tag' +p57 +g2 +(g3 +g4 +(g46 +g57 +ttRp58 +(dp59 +g9 +g10 +((ltRp60 +sg12 +g47 +sbsg19 +g2 +(g3 +g4 +(g46 +g19 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g47 +sbsg12 +g23 +sS'Pseudo' +p64 +g2 +(g3 +g4 +(g46 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g47 +sbsS'Attribute' +p68 +g2 +(g3 +g4 +(g46 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g47 +sbsS'Label' +p72 +g2 +(g3 +g4 +(g46 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g47 +sbsS'Blubb' +p76 +g2 +(g3 +g4 +(g46 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g47 +sbsS'Entity' +p80 +g2 +(g3 +g4 +(g46 +g80 +ttRp81 +(dp82 +g9 +g10 +((ltRp83 +sg12 +g47 +sbsS'Builtin' +p84 +g2 +(g3 +g4 +(g46 +g84 +ttRp85 +(dp86 +g9 +g10 +((lp87 +g2 +(g3 +g4 +(g46 +g84 +g64 +ttRp88 +(dp89 +g9 +g10 +((ltRp90 +sg12 +g85 +sbatRp91 +sg64 +g88 +sg12 +g47 +sbsS'Other' +p92 +g2 +(g3 +g4 +(g46 +g92 +ttRp93 +(dp94 +g9 +g10 +((ltRp95 +sg12 +g47 +sbsS'Identifier' +p96 +g2 +(g3 +g4 +(g46 +g96 +ttRp97 +(dp98 +g9 +g10 +((ltRp99 +sg12 +g47 +sbsS'Variable' +p100 +g2 +(g3 +g4 +(g46 +g100 +ttRp101 +(dp102 +g12 +g47 +sS'Global' +p103 +g2 +(g3 +g4 +(g46 +g100 +g103 +ttRp104 +(dp105 +g9 +g10 +((ltRp106 +sg12 +g101 +sbsS'Instance' +p107 +g2 +(g3 +g4 +(g46 +g100 +g107 +ttRp108 +(dp109 +g9 +g10 +((ltRp110 +sg12 +g101 +sbsS'Anonymous' +p111 +g2 +(g3 +g4 +(g46 +g100 +g111 +ttRp112 +(dp113 +g9 +g10 +((ltRp114 +sg12 +g101 +sbsg9 +g10 +((lp115 +g112 +ag108 +ag104 +ag2 +(g3 +g4 +(g46 +g100 +S'Class' +p116 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g101 +sbatRp120 +sg116 +g117 +sbsg9 +g10 +((lp121 +g2 +(g3 +g4 +(g46 +S'Decorator' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g47 +sbag69 +ag61 +ag65 +ag2 +(g3 +g4 +(g46 +S'Namespace' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g47 +sbag97 +ag85 +ag101 +ag93 +ag77 +ag81 +ag50 +ag2 +(g3 +g4 +(g46 +S'Property' +p130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g47 +sbag73 +ag58 +ag54 +ag2 +(g3 +g4 +(g46 +g116 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g47 +sbatRp137 +sg130 +g131 +sg116 +g134 +sg122 +g123 +sg126 +g127 +sbsg5 +g13 +sS'Generic' +p138 +g2 +(g3 +g4 +(g138 +ttRp139 +(dp140 +S'Prompt' +p141 +g2 +(g3 +g4 +(g138 +g141 +ttRp142 +(dp143 +g9 +g10 +((ltRp144 +sg12 +g139 +sbsg12 +g23 +sS'Deleted' +p145 +g2 +(g3 +g4 +(g138 +g145 +ttRp146 +(dp147 +g9 +g10 +((ltRp148 +sg12 +g139 +sbsS'Traceback' +p149 +g2 +(g3 +g4 +(g138 +g149 +ttRp150 +(dp151 +g9 +g10 +((ltRp152 +sg12 +g139 +sbsS'Emph' +p153 +g2 +(g3 +g4 +(g138 +g153 +ttRp154 +(dp155 +g9 +g10 +((ltRp156 +sg12 +g139 +sbsS'Output' +p157 +g2 +(g3 +g4 +(g138 +g157 +ttRp158 +(dp159 +g9 +g10 +((ltRp160 +sg12 +g139 +sbsS'Subheading' +p161 +g2 +(g3 +g4 +(g138 +g161 +ttRp162 +(dp163 +g9 +g10 +((ltRp164 +sg12 +g139 +sbsS'Error' +p165 +g2 +(g3 +g4 +(g138 +g165 +ttRp166 +(dp167 +g9 +g10 +((ltRp168 +sg12 +g139 +sbsg9 +g10 +((lp169 +g158 +ag154 +ag166 +ag162 +ag150 +ag146 +ag2 +(g3 +g4 +(g138 +S'Heading' +p170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g139 +sbag2 +(g3 +g4 +(g138 +S'Inserted' +p174 +ttRp175 +(dp176 +g9 +g10 +((ltRp177 +sg12 +g139 +sbag2 +(g3 +g4 +(g138 +S'Strong' +p178 +ttRp179 +(dp180 +g9 +g10 +((ltRp181 +sg12 +g139 +sbag142 +atRp182 +sg178 +g179 +sg174 +g175 +sg170 +g171 +sbsS'Text' +p183 +g2 +(g3 +g4 +(g183 +ttRp184 +(dp185 +g9 +g10 +((lp186 +g2 +(g3 +g4 +(g183 +S'Symbol' +p187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg12 +g184 +sbag2 +(g3 +g4 +(g183 +S'Whitespace' +p191 +ttRp192 +(dp193 +g9 +g10 +((ltRp194 +sg12 +g184 +sbatRp195 +sg187 +g188 +sg191 +g192 +sg12 +g23 +sbsS'Punctuation' +p196 +g2 +(g3 +g4 +(g196 +ttRp197 +(dp198 +g9 +g10 +((lp199 +g2 +(g3 +g4 +(g196 +S'Indicator' +p200 +ttRp201 +(dp202 +g9 +g10 +((ltRp203 +sg12 +g197 +sbatRp204 +sg200 +g201 +sg12 +g23 +sbsS'Token' +p205 +g23 +sS'Number' +p206 +g2 +(g3 +g4 +(S'Literal' +p207 +g206 +ttRp208 +(dp209 +S'Bin' +p210 +g2 +(g3 +g4 +(g207 +g206 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg12 +g208 +sbsS'Binary' +p214 +g2 +(g3 +g4 +(g207 +g206 +g214 +ttRp215 +(dp216 +g9 +g10 +((ltRp217 +sg12 +g208 +sbsg12 +g2 +(g3 +g4 +(g207 +ttRp218 +(dp219 +S'String' +p220 +g2 +(g3 +g4 +(g207 +g220 +ttRp221 +(dp222 +S'Regex' +p223 +g2 +(g3 +g4 +(g207 +g220 +g223 +ttRp224 +(dp225 +g9 +g10 +((ltRp226 +sg12 +g221 +sbsS'Interpol' +p227 +g2 +(g3 +g4 +(g207 +g220 +g227 +ttRp228 +(dp229 +g9 +g10 +((ltRp230 +sg12 +g221 +sbsS'Regexp' +p231 +g2 +(g3 +g4 +(g207 +g220 +g231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g221 +sbsg12 +g218 +sS'Heredoc' +p235 +g2 +(g3 +g4 +(g207 +g220 +g235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g221 +sbsS'Double' +p239 +g2 +(g3 +g4 +(g207 +g220 +g239 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g221 +sbsg187 +g2 +(g3 +g4 +(g207 +g220 +g187 +ttRp243 +(dp244 +g9 +g10 +((ltRp245 +sg12 +g221 +sbsS'Escape' +p246 +g2 +(g3 +g4 +(g207 +g220 +g246 +ttRp247 +(dp248 +g9 +g10 +((ltRp249 +sg12 +g221 +sbsS'Character' +p250 +g2 +(g3 +g4 +(g207 +g220 +g250 +ttRp251 +(dp252 +g9 +g10 +((ltRp253 +sg12 +g221 +sbsS'Interp' +p254 +g2 +(g3 +g4 +(g207 +g220 +g254 +ttRp255 +(dp256 +g9 +g10 +((ltRp257 +sg12 +g221 +sbsS'Backtick' +p258 +g2 +(g3 +g4 +(g207 +g220 +g258 +ttRp259 +(dp260 +g9 +g10 +((ltRp261 +sg12 +g221 +sbsS'Char' +p262 +g2 +(g3 +g4 +(g207 +g220 +g262 +ttRp263 +(dp264 +g9 +g10 +((ltRp265 +sg12 +g221 +sbsg32 +g2 +(g3 +g4 +(g207 +g220 +g32 +ttRp266 +(dp267 +g9 +g10 +((ltRp268 +sg12 +g221 +sbsg92 +g2 +(g3 +g4 +(g207 +g220 +g92 +ttRp269 +(dp270 +g9 +g10 +((ltRp271 +sg12 +g221 +sbsS'Doc' +p272 +g2 +(g3 +g4 +(g207 +g220 +g272 +ttRp273 +(dp274 +g9 +g10 +((ltRp275 +sg12 +g221 +sbsg9 +g10 +((lp276 +g269 +ag2 +(g3 +g4 +(g207 +g220 +S'Atom' +p277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g221 +sbag240 +ag263 +ag255 +ag273 +ag236 +ag259 +ag228 +ag243 +ag232 +ag224 +ag266 +ag251 +ag247 +atRp281 +sg277 +g278 +sbsg12 +g23 +sg206 +g208 +sS'Scalar' +p282 +g2 +(g3 +g4 +(g207 +g282 +ttRp283 +(dp284 +g9 +g10 +((lp285 +g2 +(g3 +g4 +(g207 +g282 +S'Plain' +p286 +ttRp287 +(dp288 +g9 +g10 +((ltRp289 +sg12 +g283 +sbatRp290 +sg12 +g218 +sg286 +g287 +sbsg92 +g2 +(g3 +g4 +(g207 +g92 +ttRp291 +(dp292 +g9 +g10 +((ltRp293 +sg12 +g218 +sbsS'Date' +p294 +g2 +(g3 +g4 +(g207 +g294 +ttRp295 +(dp296 +g9 +g10 +((ltRp297 +sg12 +g218 +sbsg9 +g10 +((lp298 +g295 +ag221 +ag291 +ag208 +ag283 +atRp299 +sbsS'Decimal' +p300 +g2 +(g3 +g4 +(g207 +g206 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g208 +sbsS'Float' +p304 +g2 +(g3 +g4 +(g207 +g206 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g208 +sbsS'Hex' +p308 +g2 +(g3 +g4 +(g207 +g206 +g308 +ttRp309 +(dp310 +g9 +g10 +((ltRp311 +sg12 +g208 +sbsS'Integer' +p312 +g2 +(g3 +g4 +(g207 +g206 +g312 +ttRp313 +(dp314 +g9 +g10 +((lp315 +g2 +(g3 +g4 +(g207 +g206 +g312 +S'Long' +p316 +ttRp317 +(dp318 +g9 +g10 +((ltRp319 +sg12 +g313 +sbatRp320 +sg316 +g317 +sg12 +g208 +sbsS'Octal' +p321 +g2 +(g3 +g4 +(g207 +g206 +g321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g208 +sbsg9 +g10 +((lp325 +g211 +ag215 +ag322 +ag301 +ag2 +(g3 +g4 +(g207 +g206 +S'Oct' +p326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g208 +sbag313 +ag305 +ag309 +atRp330 +sg326 +g327 +sbsg207 +g218 +sg92 +g2 +(g3 +g4 +(g92 +ttRp331 +(dp332 +g9 +g10 +((ltRp333 +sg12 +g23 +sbsg165 +g2 +(g3 +g4 +(g165 +ttRp334 +(dp335 +g9 +g10 +((ltRp336 +sg12 +g23 +sbsS'Operator' +p337 +g2 +(g3 +g4 +(g337 +ttRp338 +(dp339 +g9 +g10 +((lp340 +g2 +(g3 +g4 +(g337 +S'Word' +p341 +ttRp342 +(dp343 +g9 +g10 +((ltRp344 +sg12 +g338 +sbatRp345 +sg341 +g342 +sg12 +g23 +sbsg9 +g10 +((lp346 +g26 +ag334 +ag139 +ag184 +ag47 +ag197 +ag13 +ag218 +ag338 +ag331 +atRp347 +sg220 +g221 +sbsg126 +g2 +(g3 +g4 +(g5 +g126 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g13 +sbsg64 +g2 +(g3 +g4 +(g5 +g64 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbsg6 +g7 +sS'Declaration' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg100 +g2 +(g3 +g4 +(g5 +g100 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g20 +ag7 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag16 +ag355 +ag358 +ag348 +ag351 +atRp366 +sg362 +g363 +sbsbVIMPLEMENTATION +p367 +tp368 +a(g184 +V +tp369 +a(g7 +VMODULE +p370 +tp371 +a(g184 +V +tp372 +a(g47 +VSorting +p373 +tp374 +a(g197 +V; +tp375 +a(g184 +V\u000a\u000a +p376 +tp377 +a(g37 +V(* J. Andrea, Dec.16/91 *) +p378 +tp379 +a(g184 +V\u000a +tp380 +a(g37 +V(* This code may be freely used and distributed, it may not be sold. *) +p381 +tp382 +a(g184 +V\u000a\u000a +p383 +tp384 +a(g37 +V(* Adapted to ISO Module-2 by Frank Schoonjans Feb 2004 *) +p385 +tp386 +a(g184 +V\u000a\u000a +p387 +tp388 +a(g7 +VFROM +p389 +tp390 +a(g184 +V +tp391 +a(g47 +VStorage +p392 +tp393 +a(g184 +V +tp394 +a(g7 +VIMPORT +p395 +tp396 +a(g184 +V +tp397 +a(g47 +VALLOCATE +p398 +tp399 +a(g197 +V; +tp400 +a(g184 +V\u000a\u000a +p401 +tp402 +a(g7 +VCONST +p403 +tp404 +a(g184 +V\u000a +tp405 +a(g184 +V +p406 +tp407 +a(g47 +Vmax_stack +p408 +tp409 +a(g184 +V +tp410 +a(g338 +V= +tp411 +a(g184 +V +tp412 +a(g313 +V20 +p413 +tp414 +a(g197 +V; +tp415 +a(g184 +V\u000a +tp416 +a(g184 +V +p417 +tp418 +a(g47 +Vn_small +p419 +tp420 +a(g184 +V +p421 +tp422 +a(g338 +V= +tp423 +a(g184 +V +tp424 +a(g313 +V6 +tp425 +a(g197 +V; +tp426 +a(g184 +V +tp427 +a(g37 +V(* use a simple sort for this size and smaller *) +p428 +tp429 +a(g184 +V\u000a\u000a +p430 +tp431 +a(g7 +VVAR +p432 +tp433 +a(g184 +V\u000a +tp434 +a(g184 +V +p435 +tp436 +a(g47 +Vrtemp +p437 +tp438 +a(g184 +V +tp439 +a(g197 +V: +tp440 +a(g16 +VREAL +p441 +tp442 +a(g197 +V; +tp443 +a(g184 +V\u000a +tp444 +a(g184 +V +p445 +tp446 +a(g47 +Vctemp +p447 +tp448 +a(g184 +V +tp449 +a(g197 +V: +tp450 +a(g16 +VCARDINAL +p451 +tp452 +a(g197 +V; +tp453 +a(g184 +V\u000a\u000a +p454 +tp455 +a(g184 +V +p456 +tp457 +a(g47 +VL +tp458 +a(g197 +V, +tp459 +a(g184 +V +tp460 +a(g47 +VR +tp461 +a(g197 +V, +tp462 +a(g184 +V +tp463 +a(g47 +Vn +tp464 +a(g184 +V +p465 +tp466 +a(g197 +V: +tp467 +a(g16 +VINTEGER +p468 +tp469 +a(g197 +V; +tp470 +a(g184 +V\u000a +tp471 +a(g184 +V +p472 +tp473 +a(g47 +Vtop +p474 +tp475 +a(g197 +V, +tp476 +a(g184 +V +tp477 +a(g47 +Vbottom +p478 +tp479 +a(g197 +V, +tp480 +a(g184 +V +tp481 +a(g47 +Vlastflip +p482 +tp483 +a(g184 +V +tp484 +a(g197 +V: +tp485 +a(g16 +VINTEGER +p486 +tp487 +a(g197 +V; +tp488 +a(g184 +V\u000a\u000a +p489 +tp490 +a(g184 +V +p491 +tp492 +a(g47 +Vtos +p493 +tp494 +a(g184 +V +p495 +tp496 +a(g197 +V: +tp497 +a(g16 +VCARDINAL +p498 +tp499 +a(g197 +V; +tp500 +a(g184 +V\u000a +tp501 +a(g184 +V +p502 +tp503 +a(g47 +VLstack +p504 +tp505 +a(g197 +V, +tp506 +a(g184 +V +tp507 +a(g47 +VRstack +p508 +tp509 +a(g184 +V +tp510 +a(g197 +V: +tp511 +a(g7 +VARRAY +p512 +tp513 +a(g184 +V +tp514 +a(g197 +V[ +tp515 +a(g313 +V1 +tp516 +a(g338 +V.. +p517 +tp518 +a(g47 +Vmax_stack +p519 +tp520 +a(g197 +V] +tp521 +a(g184 +V +tp522 +a(g7 +VOF +p523 +tp524 +a(g184 +V +tp525 +a(g16 +VINTEGER +p526 +tp527 +a(g197 +V; +tp528 +a(g184 +V\u000a\u000a +p529 +tp530 +a(g184 +V +p531 +tp532 +a(g37 +V(* --------------------------------------------------- *) +p533 +tp534 +a(g184 +V\u000a +tp535 +a(g184 +V +p536 +tp537 +a(g7 +VPROCEDURE +p538 +tp539 +a(g184 +V +tp540 +a(g47 +VCardQSortIndex +p541 +tp542 +a(g197 +V( +tp543 +a(g184 +V +tp544 +a(g47 +Vx +tp545 +a(g184 +V +tp546 +a(g197 +V: +tp547 +a(g7 +VARRAY +p548 +tp549 +a(g184 +V +tp550 +a(g7 +VOF +p551 +tp552 +a(g184 +V +tp553 +a(g16 +VCARDINAL +p554 +tp555 +a(g197 +V; +tp556 +a(g184 +V +tp557 +a(g47 +Varray_len +p558 +tp559 +a(g184 +V +tp560 +a(g197 +V: +tp561 +a(g16 +VCARDINAL +p562 +tp563 +a(g197 +V; +tp564 +a(g184 +V\u000a +tp565 +a(g184 +V +p566 +tp567 +a(g7 +VVAR +p568 +tp569 +a(g184 +V +tp570 +a(g47 +Vindex +p571 +tp572 +a(g184 +V +tp573 +a(g197 +V: +tp574 +a(g7 +VARRAY +p575 +tp576 +a(g184 +V +tp577 +a(g7 +VOF +p578 +tp579 +a(g184 +V +tp580 +a(g16 +VCARDINAL +p581 +tp582 +a(g184 +V +tp583 +a(g197 +V) +tp584 +a(g197 +V; +tp585 +a(g184 +V\u000a\u000a +p586 +tp587 +a(g184 +V +p588 +tp589 +a(g7 +VVAR +p590 +tp591 +a(g184 +V\u000a +tp592 +a(g184 +V +p593 +tp594 +a(g47 +Vmedian +p595 +tp596 +a(g184 +V +tp597 +a(g197 +V: +tp598 +a(g184 +V +tp599 +a(g16 +VCARDINAL +p600 +tp601 +a(g197 +V; +tp602 +a(g184 +V\u000a +tp603 +a(g184 +V +p604 +tp605 +a(g47 +Vi +tp606 +a(g197 +V, +tp607 +a(g47 +Vj +tp608 +a(g184 +V +p609 +tp610 +a(g197 +V: +tp611 +a(g184 +V +tp612 +a(g16 +VINTEGER +p613 +tp614 +a(g197 +V; +tp615 +a(g184 +V\u000a +tp616 +a(g184 +V +p617 +tp618 +a(g7 +VBEGIN +p619 +tp620 +a(g184 +V\u000a\u000a +p621 +tp622 +a(g184 +V +p623 +tp624 +a(g47 +Vn +tp625 +a(g184 +V +tp626 +a(g338 +V:= +p627 +tp628 +a(g184 +V +tp629 +a(g16 +VVAL +p630 +tp631 +a(g197 +V( +tp632 +a(g16 +VINTEGER +p633 +tp634 +a(g197 +V, +tp635 +a(g47 +Varray_len +p636 +tp637 +a(g197 +V) +tp638 +a(g184 +V +tp639 +a(g338 +V- +tp640 +a(g184 +V +tp641 +a(g313 +V1 +tp642 +a(g197 +V; +tp643 +a(g184 +V +tp644 +a(g37 +V(* back to zero offset *) +p645 +tp646 +a(g184 +V\u000a\u000a +p647 +tp648 +a(g184 +V +p649 +tp650 +a(g37 +V(* initialize the index *) +p651 +tp652 +a(g184 +V\u000a +tp653 +a(g184 +V +p654 +tp655 +a(g7 +VFOR +p656 +tp657 +a(g184 +V +tp658 +a(g47 +Vi +tp659 +a(g184 +V +tp660 +a(g338 +V:= +p661 +tp662 +a(g184 +V +tp663 +a(g313 +V0 +tp664 +a(g184 +V +tp665 +a(g7 +VTO +p666 +tp667 +a(g184 +V +tp668 +a(g47 +Vn +tp669 +a(g184 +V +tp670 +a(g7 +VDO +p671 +tp672 +a(g184 +V\u000a +tp673 +a(g184 +V +p674 +tp675 +a(g47 +Vindex +p676 +tp677 +a(g197 +V[ +tp678 +a(g47 +Vi +tp679 +a(g197 +V] +tp680 +a(g184 +V +tp681 +a(g338 +V:= +p682 +tp683 +a(g184 +V +tp684 +a(g16 +VVAL +p685 +tp686 +a(g197 +V( +tp687 +a(g16 +VCARDINAL +p688 +tp689 +a(g197 +V, +tp690 +a(g47 +Vi +tp691 +a(g197 +V) +tp692 +a(g197 +V; +tp693 +a(g184 +V\u000a +tp694 +a(g184 +V +p695 +tp696 +a(g7 +VEND +p697 +tp698 +a(g197 +V; +tp699 +a(g184 +V\u000a\u000a +p700 +tp701 +a(g184 +V +p702 +tp703 +a(g47 +Vtos +p704 +tp705 +a(g184 +V +tp706 +a(g338 +V:= +p707 +tp708 +a(g184 +V +tp709 +a(g313 +V0 +tp710 +a(g197 +V; +tp711 +a(g184 +V\u000a\u000a +p712 +tp713 +a(g184 +V +p714 +tp715 +a(g47 +VL +tp716 +a(g184 +V +tp717 +a(g338 +V:= +p718 +tp719 +a(g184 +V +tp720 +a(g313 +V0 +tp721 +a(g197 +V; +tp722 +a(g184 +V +p723 +tp724 +a(g47 +VR +tp725 +a(g184 +V +tp726 +a(g338 +V:= +p727 +tp728 +a(g184 +V +tp729 +a(g47 +Vn +tp730 +a(g197 +V; +tp731 +a(g184 +V\u000a\u000a +p732 +tp733 +a(g184 +V +p734 +tp735 +a(g37 +V(* PUSH very first set *) +p736 +tp737 +a(g184 +V\u000a +tp738 +a(g184 +V +p739 +tp740 +a(g47 +Vtos +p741 +tp742 +a(g184 +V +tp743 +a(g338 +V:= +p744 +tp745 +a(g184 +V +tp746 +a(g47 +Vtos +p747 +tp748 +a(g184 +V +tp749 +a(g338 +V+ +tp750 +a(g184 +V +tp751 +a(g313 +V1 +tp752 +a(g197 +V; +tp753 +a(g184 +V +p754 +tp755 +a(g47 +VLstack +p756 +tp757 +a(g197 +V[ +tp758 +a(g47 +Vtos +p759 +tp760 +a(g197 +V] +tp761 +a(g184 +V +tp762 +a(g338 +V:= +p763 +tp764 +a(g184 +V +tp765 +a(g47 +VL +tp766 +a(g197 +V; +tp767 +a(g184 +V +p768 +tp769 +a(g47 +VRstack +p770 +tp771 +a(g197 +V[ +tp772 +a(g47 +Vtos +p773 +tp774 +a(g197 +V] +tp775 +a(g184 +V +tp776 +a(g338 +V:= +p777 +tp778 +a(g184 +V +tp779 +a(g47 +VR +tp780 +a(g197 +V; +tp781 +a(g184 +V\u000a\u000a +p782 +tp783 +a(g184 +V +p784 +tp785 +a(g7 +VREPEAT +p786 +tp787 +a(g184 +V\u000a\u000a +p788 +tp789 +a(g184 +V +p790 +tp791 +a(g37 +V(* POP *) +p792 +tp793 +a(g184 +V\u000a +tp794 +a(g184 +V +p795 +tp796 +a(g47 +VL +tp797 +a(g184 +V +tp798 +a(g338 +V:= +p799 +tp800 +a(g184 +V +tp801 +a(g47 +VLstack +p802 +tp803 +a(g197 +V[ +tp804 +a(g47 +Vtos +p805 +tp806 +a(g197 +V] +tp807 +a(g197 +V; +tp808 +a(g184 +V +p809 +tp810 +a(g47 +VR +tp811 +a(g184 +V +tp812 +a(g338 +V:= +p813 +tp814 +a(g184 +V +tp815 +a(g47 +VRstack +p816 +tp817 +a(g197 +V[ +tp818 +a(g47 +Vtos +p819 +tp820 +a(g197 +V] +tp821 +a(g197 +V; +tp822 +a(g184 +V +p823 +tp824 +a(g47 +Vtos +p825 +tp826 +a(g184 +V +tp827 +a(g338 +V:= +p828 +tp829 +a(g184 +V +tp830 +a(g47 +Vtos +p831 +tp832 +a(g184 +V +tp833 +a(g338 +V- +tp834 +a(g184 +V +tp835 +a(g313 +V1 +tp836 +a(g197 +V; +tp837 +a(g184 +V\u000a\u000a +p838 +tp839 +a(g184 +V +p840 +tp841 +a(g7 +VIF +p842 +tp843 +a(g184 +V +tp844 +a(g47 +VR +tp845 +a(g184 +V +tp846 +a(g338 +V- +tp847 +a(g184 +V +tp848 +a(g47 +VL +tp849 +a(g184 +V +tp850 +a(g338 +V+ +tp851 +a(g184 +V +tp852 +a(g313 +V1 +tp853 +a(g184 +V +tp854 +a(g338 +V> +tp855 +a(g184 +V +tp856 +a(g47 +Vn_small +p857 +tp858 +a(g184 +V +tp859 +a(g7 +VTHEN +p860 +tp861 +a(g184 +V\u000a\u000a +p862 +tp863 +a(g184 +V +p864 +tp865 +a(g7 +VREPEAT +p866 +tp867 +a(g184 +V\u000a +tp868 +a(g184 +V +p869 +tp870 +a(g47 +Vi +tp871 +a(g184 +V +tp872 +a(g338 +V:= +p873 +tp874 +a(g184 +V +tp875 +a(g47 +VL +tp876 +a(g197 +V; +tp877 +a(g184 +V +p878 +tp879 +a(g47 +Vj +tp880 +a(g184 +V +tp881 +a(g338 +V:= +p882 +tp883 +a(g184 +V +tp884 +a(g47 +VR +tp885 +a(g197 +V; +tp886 +a(g184 +V +p887 +tp888 +a(g47 +Vmedian +p889 +tp890 +a(g184 +V +tp891 +a(g338 +V:= +p892 +tp893 +a(g184 +V +tp894 +a(g47 +Vx +tp895 +a(g197 +V[ +tp896 +a(g47 +Vindex +p897 +tp898 +a(g197 +V[ +tp899 +a(g197 +V( +tp900 +a(g184 +V +tp901 +a(g47 +VL +tp902 +a(g184 +V +tp903 +a(g338 +V+ +tp904 +a(g184 +V +tp905 +a(g47 +VR +tp906 +a(g184 +V +tp907 +a(g197 +V) +tp908 +a(g184 +V +tp909 +a(g7 +VDIV +p910 +tp911 +a(g184 +V +tp912 +a(g313 +V2 +tp913 +a(g197 +V] +tp914 +a(g197 +V] +tp915 +a(g197 +V; +tp916 +a(g184 +V\u000a\u000a +p917 +tp918 +a(g184 +V +p919 +tp920 +a(g7 +VREPEAT +p921 +tp922 +a(g184 +V\u000a +tp923 +a(g184 +V +p924 +tp925 +a(g7 +VWHILE +p926 +tp927 +a(g184 +V +tp928 +a(g47 +Vx +tp929 +a(g197 +V[ +tp930 +a(g47 +Vindex +p931 +tp932 +a(g197 +V[ +tp933 +a(g47 +Vi +tp934 +a(g197 +V] +tp935 +a(g197 +V] +tp936 +a(g184 +V +tp937 +a(g338 +V< +tp938 +a(g184 +V +tp939 +a(g47 +Vmedian +p940 +tp941 +a(g184 +V +tp942 +a(g7 +VDO +p943 +tp944 +a(g184 +V\u000a +tp945 +a(g184 +V +p946 +tp947 +a(g47 +Vi +tp948 +a(g184 +V +tp949 +a(g338 +V:= +p950 +tp951 +a(g184 +V +tp952 +a(g47 +Vi +tp953 +a(g184 +V +tp954 +a(g338 +V+ +tp955 +a(g184 +V +tp956 +a(g313 +V1 +tp957 +a(g197 +V; +tp958 +a(g184 +V\u000a +tp959 +a(g184 +V +p960 +tp961 +a(g7 +VEND +p962 +tp963 +a(g197 +V; +tp964 +a(g184 +V\u000a +tp965 +a(g184 +V +p966 +tp967 +a(g7 +VWHILE +p968 +tp969 +a(g184 +V +tp970 +a(g47 +Vmedian +p971 +tp972 +a(g184 +V +tp973 +a(g338 +V< +tp974 +a(g184 +V +tp975 +a(g47 +Vx +tp976 +a(g197 +V[ +tp977 +a(g47 +Vindex +p978 +tp979 +a(g197 +V[ +tp980 +a(g47 +Vj +tp981 +a(g197 +V] +tp982 +a(g197 +V] +tp983 +a(g184 +V +tp984 +a(g7 +VDO +p985 +tp986 +a(g184 +V\u000a +tp987 +a(g184 +V +p988 +tp989 +a(g47 +Vj +tp990 +a(g184 +V +tp991 +a(g338 +V:= +p992 +tp993 +a(g184 +V +tp994 +a(g47 +Vj +tp995 +a(g184 +V +tp996 +a(g338 +V- +tp997 +a(g184 +V +tp998 +a(g313 +V1 +tp999 +a(g197 +V; +tp1000 +a(g184 +V\u000a +tp1001 +a(g184 +V +p1002 +tp1003 +a(g7 +VEND +p1004 +tp1005 +a(g197 +V; +tp1006 +a(g184 +V\u000a\u000a +p1007 +tp1008 +a(g184 +V +p1009 +tp1010 +a(g7 +VIF +p1011 +tp1012 +a(g184 +V +tp1013 +a(g47 +Vi +tp1014 +a(g184 +V +tp1015 +a(g338 +V< +tp1016 +a(g338 +V= +tp1017 +a(g184 +V +tp1018 +a(g47 +Vj +tp1019 +a(g184 +V +tp1020 +a(g7 +VTHEN +p1021 +tp1022 +a(g184 +V +tp1023 +a(g37 +V(* swap *) +p1024 +tp1025 +a(g184 +V\u000a +tp1026 +a(g184 +V +p1027 +tp1028 +a(g47 +Vctemp +p1029 +tp1030 +a(g184 +V +tp1031 +a(g338 +V:= +p1032 +tp1033 +a(g184 +V +tp1034 +a(g47 +Vindex +p1035 +tp1036 +a(g197 +V[ +tp1037 +a(g47 +Vi +tp1038 +a(g197 +V] +tp1039 +a(g197 +V; +tp1040 +a(g184 +V +p1041 +tp1042 +a(g47 +Vindex +p1043 +tp1044 +a(g197 +V[ +tp1045 +a(g47 +Vi +tp1046 +a(g197 +V] +tp1047 +a(g184 +V +tp1048 +a(g338 +V:= +p1049 +tp1050 +a(g184 +V +tp1051 +a(g47 +Vindex +p1052 +tp1053 +a(g197 +V[ +tp1054 +a(g47 +Vj +tp1055 +a(g197 +V] +tp1056 +a(g197 +V; +tp1057 +a(g184 +V +p1058 +tp1059 +a(g47 +Vindex +p1060 +tp1061 +a(g197 +V[ +tp1062 +a(g47 +Vj +tp1063 +a(g197 +V] +tp1064 +a(g184 +V +tp1065 +a(g338 +V:= +p1066 +tp1067 +a(g184 +V +tp1068 +a(g47 +Vctemp +p1069 +tp1070 +a(g197 +V; +tp1071 +a(g184 +V\u000a +tp1072 +a(g184 +V +p1073 +tp1074 +a(g47 +Vi +tp1075 +a(g184 +V +tp1076 +a(g338 +V:= +p1077 +tp1078 +a(g184 +V +tp1079 +a(g47 +Vi +tp1080 +a(g184 +V +tp1081 +a(g338 +V+ +tp1082 +a(g184 +V +tp1083 +a(g313 +V1 +tp1084 +a(g197 +V; +tp1085 +a(g184 +V +p1086 +tp1087 +a(g47 +Vj +tp1088 +a(g184 +V +tp1089 +a(g338 +V:= +p1090 +tp1091 +a(g184 +V +tp1092 +a(g47 +Vj +tp1093 +a(g184 +V +tp1094 +a(g338 +V- +tp1095 +a(g184 +V +tp1096 +a(g313 +V1 +tp1097 +a(g197 +V; +tp1098 +a(g184 +V\u000a +tp1099 +a(g184 +V +p1100 +tp1101 +a(g7 +VEND +p1102 +tp1103 +a(g197 +V; +tp1104 +a(g184 +V\u000a +tp1105 +a(g184 +V +p1106 +tp1107 +a(g7 +VUNTIL +p1108 +tp1109 +a(g184 +V +tp1110 +a(g47 +Vi +tp1111 +a(g184 +V +tp1112 +a(g338 +V> +tp1113 +a(g184 +V +tp1114 +a(g47 +Vj +tp1115 +a(g197 +V; +tp1116 +a(g184 +V\u000a\u000a +p1117 +tp1118 +a(g184 +V +p1119 +tp1120 +a(g7 +VIF +p1121 +tp1122 +a(g184 +V +tp1123 +a(g47 +Vj +tp1124 +a(g184 +V +tp1125 +a(g338 +V- +tp1126 +a(g184 +V +tp1127 +a(g47 +VL +tp1128 +a(g184 +V +tp1129 +a(g338 +V< +tp1130 +a(g184 +V +tp1131 +a(g47 +VR +tp1132 +a(g184 +V +tp1133 +a(g338 +V- +tp1134 +a(g184 +V +tp1135 +a(g47 +Vi +tp1136 +a(g184 +V +tp1137 +a(g7 +VTHEN +p1138 +tp1139 +a(g184 +V\u000a +tp1140 +a(g184 +V +p1141 +tp1142 +a(g7 +VIF +p1143 +tp1144 +a(g184 +V +tp1145 +a(g47 +Vi +tp1146 +a(g184 +V +tp1147 +a(g338 +V< +tp1148 +a(g184 +V +tp1149 +a(g47 +VR +tp1150 +a(g184 +V +tp1151 +a(g7 +VTHEN +p1152 +tp1153 +a(g184 +V +tp1154 +a(g37 +V(* PUSH *) +p1155 +tp1156 +a(g184 +V\u000a +tp1157 +a(g184 +V +p1158 +tp1159 +a(g47 +Vtos +p1160 +tp1161 +a(g184 +V +tp1162 +a(g338 +V:= +p1163 +tp1164 +a(g184 +V +tp1165 +a(g47 +Vtos +p1166 +tp1167 +a(g184 +V +tp1168 +a(g338 +V+ +tp1169 +a(g184 +V +tp1170 +a(g313 +V1 +tp1171 +a(g197 +V; +tp1172 +a(g184 +V +p1173 +tp1174 +a(g47 +VLstack +p1175 +tp1176 +a(g197 +V[ +tp1177 +a(g47 +Vtos +p1178 +tp1179 +a(g197 +V] +tp1180 +a(g184 +V +tp1181 +a(g338 +V:= +p1182 +tp1183 +a(g184 +V +tp1184 +a(g47 +Vi +tp1185 +a(g197 +V; +tp1186 +a(g184 +V +p1187 +tp1188 +a(g47 +VRstack +p1189 +tp1190 +a(g197 +V[ +tp1191 +a(g47 +Vtos +p1192 +tp1193 +a(g197 +V] +tp1194 +a(g184 +V +tp1195 +a(g338 +V:= +p1196 +tp1197 +a(g184 +V +tp1198 +a(g47 +VR +tp1199 +a(g197 +V; +tp1200 +a(g184 +V\u000a +tp1201 +a(g184 +V +p1202 +tp1203 +a(g7 +VEND +p1204 +tp1205 +a(g197 +V; +tp1206 +a(g184 +V\u000a +tp1207 +a(g184 +V +p1208 +tp1209 +a(g47 +VR +tp1210 +a(g184 +V +tp1211 +a(g338 +V:= +p1212 +tp1213 +a(g184 +V +tp1214 +a(g47 +Vj +tp1215 +a(g197 +V; +tp1216 +a(g184 +V\u000a +tp1217 +a(g184 +V +p1218 +tp1219 +a(g7 +VELSE +p1220 +tp1221 +a(g184 +V\u000a +tp1222 +a(g184 +V +p1223 +tp1224 +a(g7 +VIF +p1225 +tp1226 +a(g184 +V +tp1227 +a(g47 +VL +tp1228 +a(g184 +V +tp1229 +a(g338 +V< +tp1230 +a(g184 +V +tp1231 +a(g47 +Vj +tp1232 +a(g184 +V +tp1233 +a(g7 +VTHEN +p1234 +tp1235 +a(g184 +V +tp1236 +a(g37 +V(* push *) +p1237 +tp1238 +a(g184 +V\u000a +tp1239 +a(g184 +V +p1240 +tp1241 +a(g47 +Vtos +p1242 +tp1243 +a(g184 +V +tp1244 +a(g338 +V:= +p1245 +tp1246 +a(g184 +V +tp1247 +a(g47 +Vtos +p1248 +tp1249 +a(g184 +V +tp1250 +a(g338 +V+ +tp1251 +a(g184 +V +tp1252 +a(g313 +V1 +tp1253 +a(g197 +V; +tp1254 +a(g184 +V +p1255 +tp1256 +a(g47 +VLstack +p1257 +tp1258 +a(g197 +V[ +tp1259 +a(g47 +Vtos +p1260 +tp1261 +a(g197 +V] +tp1262 +a(g184 +V +tp1263 +a(g338 +V:= +p1264 +tp1265 +a(g184 +V +tp1266 +a(g47 +VL +tp1267 +a(g197 +V; +tp1268 +a(g184 +V +p1269 +tp1270 +a(g47 +VRstack +p1271 +tp1272 +a(g197 +V[ +tp1273 +a(g47 +Vtos +p1274 +tp1275 +a(g197 +V] +tp1276 +a(g184 +V +tp1277 +a(g338 +V:= +p1278 +tp1279 +a(g184 +V +tp1280 +a(g47 +Vj +tp1281 +a(g197 +V; +tp1282 +a(g184 +V\u000a +tp1283 +a(g184 +V +p1284 +tp1285 +a(g7 +VEND +p1286 +tp1287 +a(g197 +V; +tp1288 +a(g184 +V\u000a +tp1289 +a(g184 +V +p1290 +tp1291 +a(g47 +VL +tp1292 +a(g184 +V +tp1293 +a(g338 +V:= +p1294 +tp1295 +a(g184 +V +tp1296 +a(g47 +Vi +tp1297 +a(g197 +V; +tp1298 +a(g184 +V\u000a +tp1299 +a(g184 +V +p1300 +tp1301 +a(g7 +VEND +p1302 +tp1303 +a(g197 +V; +tp1304 +a(g184 +V\u000a\u000a +p1305 +tp1306 +a(g184 +V +p1307 +tp1308 +a(g7 +VUNTIL +p1309 +tp1310 +a(g184 +V +tp1311 +a(g47 +VL +tp1312 +a(g184 +V +tp1313 +a(g338 +V> +tp1314 +a(g338 +V= +tp1315 +a(g184 +V +tp1316 +a(g47 +VR +tp1317 +a(g197 +V; +tp1318 +a(g184 +V\u000a\u000a +p1319 +tp1320 +a(g184 +V +p1321 +tp1322 +a(g7 +VELSE +p1323 +tp1324 +a(g184 +V\u000a\u000a +p1325 +tp1326 +a(g184 +V +p1327 +tp1328 +a(g37 +V(* small sort for small number of values *) +p1329 +tp1330 +a(g184 +V\u000a +tp1331 +a(g184 +V +p1332 +tp1333 +a(g7 +VFOR +p1334 +tp1335 +a(g184 +V +tp1336 +a(g47 +Vi +tp1337 +a(g184 +V +tp1338 +a(g338 +V:= +p1339 +tp1340 +a(g184 +V +tp1341 +a(g47 +VL +tp1342 +a(g184 +V +tp1343 +a(g7 +VTO +p1344 +tp1345 +a(g184 +V +tp1346 +a(g47 +VR +tp1347 +a(g184 +V +tp1348 +a(g338 +V- +tp1349 +a(g184 +V +tp1350 +a(g313 +V1 +tp1351 +a(g184 +V +tp1352 +a(g7 +VDO +p1353 +tp1354 +a(g184 +V\u000a +tp1355 +a(g184 +V +p1356 +tp1357 +a(g7 +VFOR +p1358 +tp1359 +a(g184 +V +tp1360 +a(g47 +Vj +tp1361 +a(g184 +V +tp1362 +a(g338 +V:= +p1363 +tp1364 +a(g184 +V +tp1365 +a(g47 +Vi +tp1366 +a(g184 +V +tp1367 +a(g7 +VTO +p1368 +tp1369 +a(g184 +V +tp1370 +a(g47 +VR +tp1371 +a(g184 +V +tp1372 +a(g7 +VDO +p1373 +tp1374 +a(g184 +V\u000a +tp1375 +a(g184 +V +p1376 +tp1377 +a(g7 +VIF +p1378 +tp1379 +a(g184 +V +tp1380 +a(g47 +Vx +tp1381 +a(g197 +V[ +tp1382 +a(g47 +Vindex +p1383 +tp1384 +a(g197 +V[ +tp1385 +a(g47 +Vi +tp1386 +a(g197 +V] +tp1387 +a(g197 +V] +tp1388 +a(g184 +V +tp1389 +a(g338 +V> +tp1390 +a(g184 +V +tp1391 +a(g47 +Vx +tp1392 +a(g197 +V[ +tp1393 +a(g47 +Vindex +p1394 +tp1395 +a(g197 +V[ +tp1396 +a(g47 +Vj +tp1397 +a(g197 +V] +tp1398 +a(g197 +V] +tp1399 +a(g184 +V +tp1400 +a(g7 +VTHEN +p1401 +tp1402 +a(g184 +V\u000a +tp1403 +a(g184 +V +p1404 +tp1405 +a(g47 +Vctemp +p1406 +tp1407 +a(g184 +V +p1408 +tp1409 +a(g338 +V:= +p1410 +tp1411 +a(g184 +V +tp1412 +a(g47 +Vindex +p1413 +tp1414 +a(g197 +V[ +tp1415 +a(g47 +Vi +tp1416 +a(g197 +V] +tp1417 +a(g197 +V; +tp1418 +a(g184 +V\u000a +tp1419 +a(g184 +V +p1420 +tp1421 +a(g47 +Vindex +p1422 +tp1423 +a(g197 +V[ +tp1424 +a(g47 +Vi +tp1425 +a(g197 +V] +tp1426 +a(g184 +V +tp1427 +a(g338 +V:= +p1428 +tp1429 +a(g184 +V +tp1430 +a(g47 +Vindex +p1431 +tp1432 +a(g197 +V[ +tp1433 +a(g47 +Vj +tp1434 +a(g197 +V] +tp1435 +a(g197 +V; +tp1436 +a(g184 +V\u000a +tp1437 +a(g184 +V +p1438 +tp1439 +a(g47 +Vindex +p1440 +tp1441 +a(g197 +V[ +tp1442 +a(g47 +Vj +tp1443 +a(g197 +V] +tp1444 +a(g184 +V +tp1445 +a(g338 +V:= +p1446 +tp1447 +a(g184 +V +tp1448 +a(g47 +Vctemp +p1449 +tp1450 +a(g184 +V\u000a +tp1451 +a(g184 +V +p1452 +tp1453 +a(g7 +VEND +p1454 +tp1455 +a(g197 +V; +tp1456 +a(g184 +V\u000a +tp1457 +a(g184 +V +p1458 +tp1459 +a(g7 +VEND +p1460 +tp1461 +a(g197 +V; +tp1462 +a(g184 +V\u000a +tp1463 +a(g184 +V +p1464 +tp1465 +a(g7 +VEND +p1466 +tp1467 +a(g197 +V; +tp1468 +a(g184 +V\u000a\u000a +p1469 +tp1470 +a(g184 +V +p1471 +tp1472 +a(g7 +VEND +p1473 +tp1474 +a(g197 +V; +tp1475 +a(g184 +V +tp1476 +a(g37 +V(* check for small *) +p1477 +tp1478 +a(g184 +V\u000a\u000a +p1479 +tp1480 +a(g184 +V +p1481 +tp1482 +a(g7 +VUNTIL +p1483 +tp1484 +a(g184 +V +tp1485 +a(g47 +Vtos +p1486 +tp1487 +a(g184 +V +tp1488 +a(g338 +V= +tp1489 +a(g184 +V +tp1490 +a(g313 +V0 +tp1491 +a(g197 +V; +tp1492 +a(g184 +V\u000a\u000a +p1493 +tp1494 +a(g184 +V +p1495 +tp1496 +a(g7 +VEND +p1497 +tp1498 +a(g184 +V +tp1499 +a(g47 +VCardQSortIndex +p1500 +tp1501 +a(g197 +V; +tp1502 +a(g184 +V\u000a\u000a +p1503 +tp1504 +a(g184 +V +p1505 +tp1506 +a(g37 +V(* --------------------------------------------------- *) +p1507 +tp1508 +a(g184 +V\u000a +tp1509 +a(g184 +V +p1510 +tp1511 +a(g7 +VPROCEDURE +p1512 +tp1513 +a(g184 +V +tp1514 +a(g47 +VRealQSortIndex +p1515 +tp1516 +a(g197 +V( +tp1517 +a(g184 +V +tp1518 +a(g47 +Vx +tp1519 +a(g184 +V +tp1520 +a(g197 +V: +tp1521 +a(g7 +VARRAY +p1522 +tp1523 +a(g184 +V +tp1524 +a(g7 +VOF +p1525 +tp1526 +a(g184 +V +tp1527 +a(g16 +VREAL +p1528 +tp1529 +a(g197 +V; +tp1530 +a(g184 +V +tp1531 +a(g47 +Varray_len +p1532 +tp1533 +a(g184 +V +tp1534 +a(g197 +V: +tp1535 +a(g16 +VCARDINAL +p1536 +tp1537 +a(g197 +V; +tp1538 +a(g184 +V\u000a +tp1539 +a(g184 +V +p1540 +tp1541 +a(g7 +VVAR +p1542 +tp1543 +a(g184 +V +tp1544 +a(g47 +Vindex +p1545 +tp1546 +a(g184 +V +tp1547 +a(g197 +V: +tp1548 +a(g7 +VARRAY +p1549 +tp1550 +a(g184 +V +tp1551 +a(g7 +VOF +p1552 +tp1553 +a(g184 +V +tp1554 +a(g16 +VCARDINAL +p1555 +tp1556 +a(g184 +V +tp1557 +a(g197 +V) +tp1558 +a(g197 +V; +tp1559 +a(g184 +V\u000a\u000a +p1560 +tp1561 +a(g184 +V +p1562 +tp1563 +a(g7 +VVAR +p1564 +tp1565 +a(g184 +V\u000a +tp1566 +a(g184 +V +p1567 +tp1568 +a(g47 +Vmedian +p1569 +tp1570 +a(g184 +V +tp1571 +a(g197 +V: +tp1572 +a(g16 +VREAL +p1573 +tp1574 +a(g197 +V; +tp1575 +a(g184 +V\u000a +tp1576 +a(g184 +V +p1577 +tp1578 +a(g47 +Vi +tp1579 +a(g197 +V, +tp1580 +a(g47 +Vj +tp1581 +a(g184 +V +p1582 +tp1583 +a(g197 +V: +tp1584 +a(g16 +VINTEGER +p1585 +tp1586 +a(g197 +V; +tp1587 +a(g184 +V\u000a +tp1588 +a(g184 +V +p1589 +tp1590 +a(g7 +VBEGIN +p1591 +tp1592 +a(g184 +V\u000a\u000a +p1593 +tp1594 +a(g184 +V +p1595 +tp1596 +a(g47 +Vn +tp1597 +a(g184 +V +tp1598 +a(g338 +V:= +p1599 +tp1600 +a(g184 +V +tp1601 +a(g16 +VVAL +p1602 +tp1603 +a(g197 +V( +tp1604 +a(g16 +VINTEGER +p1605 +tp1606 +a(g197 +V, +tp1607 +a(g47 +Varray_len +p1608 +tp1609 +a(g197 +V) +tp1610 +a(g184 +V +tp1611 +a(g338 +V- +tp1612 +a(g184 +V +tp1613 +a(g313 +V1 +tp1614 +a(g197 +V; +tp1615 +a(g184 +V +tp1616 +a(g37 +V(* back to zero offset *) +p1617 +tp1618 +a(g184 +V\u000a\u000a +p1619 +tp1620 +a(g184 +V +p1621 +tp1622 +a(g37 +V(* initialize the index *) +p1623 +tp1624 +a(g184 +V\u000a +tp1625 +a(g184 +V +p1626 +tp1627 +a(g7 +VFOR +p1628 +tp1629 +a(g184 +V +tp1630 +a(g47 +Vi +tp1631 +a(g184 +V +tp1632 +a(g338 +V:= +p1633 +tp1634 +a(g184 +V +tp1635 +a(g313 +V0 +tp1636 +a(g184 +V +tp1637 +a(g7 +VTO +p1638 +tp1639 +a(g184 +V +tp1640 +a(g47 +Vn +tp1641 +a(g184 +V +tp1642 +a(g7 +VDO +p1643 +tp1644 +a(g184 +V\u000a +tp1645 +a(g184 +V +p1646 +tp1647 +a(g47 +Vindex +p1648 +tp1649 +a(g197 +V[ +tp1650 +a(g47 +Vi +tp1651 +a(g197 +V] +tp1652 +a(g184 +V +tp1653 +a(g338 +V:= +p1654 +tp1655 +a(g184 +V +tp1656 +a(g16 +VVAL +p1657 +tp1658 +a(g197 +V( +tp1659 +a(g16 +VCARDINAL +p1660 +tp1661 +a(g197 +V, +tp1662 +a(g47 +Vi +tp1663 +a(g197 +V) +tp1664 +a(g197 +V; +tp1665 +a(g184 +V\u000a +tp1666 +a(g184 +V +p1667 +tp1668 +a(g7 +VEND +p1669 +tp1670 +a(g197 +V; +tp1671 +a(g184 +V\u000a\u000a +p1672 +tp1673 +a(g184 +V +p1674 +tp1675 +a(g47 +Vtos +p1676 +tp1677 +a(g184 +V +tp1678 +a(g338 +V:= +p1679 +tp1680 +a(g184 +V +tp1681 +a(g313 +V0 +tp1682 +a(g197 +V; +tp1683 +a(g184 +V\u000a\u000a +p1684 +tp1685 +a(g184 +V +p1686 +tp1687 +a(g47 +VL +tp1688 +a(g184 +V +tp1689 +a(g338 +V:= +p1690 +tp1691 +a(g184 +V +tp1692 +a(g313 +V0 +tp1693 +a(g197 +V; +tp1694 +a(g184 +V +p1695 +tp1696 +a(g47 +VR +tp1697 +a(g184 +V +tp1698 +a(g338 +V:= +p1699 +tp1700 +a(g184 +V +tp1701 +a(g47 +Vn +tp1702 +a(g197 +V; +tp1703 +a(g184 +V\u000a\u000a +p1704 +tp1705 +a(g184 +V +p1706 +tp1707 +a(g37 +V(* PUSH very first set *) +p1708 +tp1709 +a(g184 +V\u000a +tp1710 +a(g184 +V +p1711 +tp1712 +a(g47 +Vtos +p1713 +tp1714 +a(g184 +V +tp1715 +a(g338 +V:= +p1716 +tp1717 +a(g184 +V +tp1718 +a(g47 +Vtos +p1719 +tp1720 +a(g184 +V +tp1721 +a(g338 +V+ +tp1722 +a(g184 +V +tp1723 +a(g313 +V1 +tp1724 +a(g197 +V; +tp1725 +a(g184 +V +p1726 +tp1727 +a(g47 +VLstack +p1728 +tp1729 +a(g197 +V[ +tp1730 +a(g47 +Vtos +p1731 +tp1732 +a(g197 +V] +tp1733 +a(g184 +V +tp1734 +a(g338 +V:= +p1735 +tp1736 +a(g184 +V +tp1737 +a(g47 +VL +tp1738 +a(g197 +V; +tp1739 +a(g184 +V +p1740 +tp1741 +a(g47 +VRstack +p1742 +tp1743 +a(g197 +V[ +tp1744 +a(g47 +Vtos +p1745 +tp1746 +a(g197 +V] +tp1747 +a(g184 +V +tp1748 +a(g338 +V:= +p1749 +tp1750 +a(g184 +V +tp1751 +a(g47 +VR +tp1752 +a(g197 +V; +tp1753 +a(g184 +V\u000a\u000a +p1754 +tp1755 +a(g184 +V +p1756 +tp1757 +a(g7 +VREPEAT +p1758 +tp1759 +a(g184 +V\u000a\u000a +p1760 +tp1761 +a(g184 +V +p1762 +tp1763 +a(g37 +V(* POP *) +p1764 +tp1765 +a(g184 +V\u000a +tp1766 +a(g184 +V +p1767 +tp1768 +a(g47 +VL +tp1769 +a(g184 +V +tp1770 +a(g338 +V:= +p1771 +tp1772 +a(g184 +V +tp1773 +a(g47 +VLstack +p1774 +tp1775 +a(g197 +V[ +tp1776 +a(g47 +Vtos +p1777 +tp1778 +a(g197 +V] +tp1779 +a(g197 +V; +tp1780 +a(g184 +V +p1781 +tp1782 +a(g47 +VR +tp1783 +a(g184 +V +tp1784 +a(g338 +V:= +p1785 +tp1786 +a(g184 +V +tp1787 +a(g47 +VRstack +p1788 +tp1789 +a(g197 +V[ +tp1790 +a(g47 +Vtos +p1791 +tp1792 +a(g197 +V] +tp1793 +a(g197 +V; +tp1794 +a(g184 +V +p1795 +tp1796 +a(g47 +Vtos +p1797 +tp1798 +a(g184 +V +tp1799 +a(g338 +V:= +p1800 +tp1801 +a(g184 +V +tp1802 +a(g47 +Vtos +p1803 +tp1804 +a(g184 +V +tp1805 +a(g338 +V- +tp1806 +a(g184 +V +tp1807 +a(g313 +V1 +tp1808 +a(g197 +V; +tp1809 +a(g184 +V\u000a\u000a +p1810 +tp1811 +a(g184 +V +p1812 +tp1813 +a(g7 +VIF +p1814 +tp1815 +a(g184 +V +tp1816 +a(g47 +VR +tp1817 +a(g184 +V +tp1818 +a(g338 +V- +tp1819 +a(g184 +V +tp1820 +a(g47 +VL +tp1821 +a(g184 +V +tp1822 +a(g338 +V+ +tp1823 +a(g184 +V +tp1824 +a(g313 +V1 +tp1825 +a(g184 +V +tp1826 +a(g338 +V> +tp1827 +a(g184 +V +tp1828 +a(g47 +Vn_small +p1829 +tp1830 +a(g184 +V +tp1831 +a(g7 +VTHEN +p1832 +tp1833 +a(g184 +V\u000a\u000a +p1834 +tp1835 +a(g184 +V +p1836 +tp1837 +a(g7 +VREPEAT +p1838 +tp1839 +a(g184 +V\u000a +tp1840 +a(g184 +V +p1841 +tp1842 +a(g47 +Vi +tp1843 +a(g184 +V +tp1844 +a(g338 +V:= +p1845 +tp1846 +a(g184 +V +tp1847 +a(g47 +VL +tp1848 +a(g197 +V; +tp1849 +a(g184 +V +p1850 +tp1851 +a(g47 +Vj +tp1852 +a(g184 +V +tp1853 +a(g338 +V:= +p1854 +tp1855 +a(g184 +V +tp1856 +a(g47 +VR +tp1857 +a(g197 +V; +tp1858 +a(g184 +V +p1859 +tp1860 +a(g47 +Vmedian +p1861 +tp1862 +a(g184 +V +tp1863 +a(g338 +V:= +p1864 +tp1865 +a(g184 +V +tp1866 +a(g47 +Vx +tp1867 +a(g197 +V[ +tp1868 +a(g47 +Vindex +p1869 +tp1870 +a(g197 +V[ +tp1871 +a(g197 +V( +tp1872 +a(g184 +V +tp1873 +a(g47 +VL +tp1874 +a(g184 +V +tp1875 +a(g338 +V+ +tp1876 +a(g184 +V +tp1877 +a(g47 +VR +tp1878 +a(g184 +V +tp1879 +a(g197 +V) +tp1880 +a(g184 +V +tp1881 +a(g7 +VDIV +p1882 +tp1883 +a(g184 +V +tp1884 +a(g313 +V2 +tp1885 +a(g197 +V] +tp1886 +a(g197 +V] +tp1887 +a(g197 +V; +tp1888 +a(g184 +V\u000a\u000a +p1889 +tp1890 +a(g184 +V +p1891 +tp1892 +a(g7 +VREPEAT +p1893 +tp1894 +a(g184 +V\u000a +tp1895 +a(g184 +V +p1896 +tp1897 +a(g7 +VWHILE +p1898 +tp1899 +a(g184 +V +tp1900 +a(g47 +Vx +tp1901 +a(g197 +V[ +tp1902 +a(g47 +Vindex +p1903 +tp1904 +a(g197 +V[ +tp1905 +a(g47 +Vi +tp1906 +a(g197 +V] +tp1907 +a(g197 +V] +tp1908 +a(g184 +V +tp1909 +a(g338 +V< +tp1910 +a(g184 +V +tp1911 +a(g47 +Vmedian +p1912 +tp1913 +a(g184 +V +tp1914 +a(g7 +VDO +p1915 +tp1916 +a(g184 +V\u000a +tp1917 +a(g184 +V +p1918 +tp1919 +a(g47 +Vi +tp1920 +a(g184 +V +tp1921 +a(g338 +V:= +p1922 +tp1923 +a(g184 +V +tp1924 +a(g47 +Vi +tp1925 +a(g184 +V +tp1926 +a(g338 +V+ +tp1927 +a(g184 +V +tp1928 +a(g313 +V1 +tp1929 +a(g197 +V; +tp1930 +a(g184 +V\u000a +tp1931 +a(g184 +V +p1932 +tp1933 +a(g7 +VEND +p1934 +tp1935 +a(g197 +V; +tp1936 +a(g184 +V\u000a +tp1937 +a(g184 +V +p1938 +tp1939 +a(g7 +VWHILE +p1940 +tp1941 +a(g184 +V +tp1942 +a(g47 +Vmedian +p1943 +tp1944 +a(g184 +V +tp1945 +a(g338 +V< +tp1946 +a(g184 +V +tp1947 +a(g47 +Vx +tp1948 +a(g197 +V[ +tp1949 +a(g47 +Vindex +p1950 +tp1951 +a(g197 +V[ +tp1952 +a(g47 +Vj +tp1953 +a(g197 +V] +tp1954 +a(g197 +V] +tp1955 +a(g184 +V +tp1956 +a(g7 +VDO +p1957 +tp1958 +a(g184 +V\u000a +tp1959 +a(g184 +V +p1960 +tp1961 +a(g47 +Vj +tp1962 +a(g184 +V +tp1963 +a(g338 +V:= +p1964 +tp1965 +a(g184 +V +tp1966 +a(g47 +Vj +tp1967 +a(g184 +V +tp1968 +a(g338 +V- +tp1969 +a(g184 +V +tp1970 +a(g313 +V1 +tp1971 +a(g197 +V; +tp1972 +a(g184 +V\u000a +tp1973 +a(g184 +V +p1974 +tp1975 +a(g7 +VEND +p1976 +tp1977 +a(g197 +V; +tp1978 +a(g184 +V\u000a\u000a +p1979 +tp1980 +a(g184 +V +p1981 +tp1982 +a(g7 +VIF +p1983 +tp1984 +a(g184 +V +tp1985 +a(g47 +Vi +tp1986 +a(g184 +V +tp1987 +a(g338 +V< +tp1988 +a(g338 +V= +tp1989 +a(g184 +V +tp1990 +a(g47 +Vj +tp1991 +a(g184 +V +tp1992 +a(g7 +VTHEN +p1993 +tp1994 +a(g184 +V +tp1995 +a(g37 +V(* swap *) +p1996 +tp1997 +a(g184 +V\u000a +tp1998 +a(g184 +V +p1999 +tp2000 +a(g47 +Vctemp +p2001 +tp2002 +a(g184 +V +tp2003 +a(g338 +V:= +p2004 +tp2005 +a(g184 +V +tp2006 +a(g47 +Vindex +p2007 +tp2008 +a(g197 +V[ +tp2009 +a(g47 +Vi +tp2010 +a(g197 +V] +tp2011 +a(g197 +V; +tp2012 +a(g184 +V +p2013 +tp2014 +a(g47 +Vindex +p2015 +tp2016 +a(g197 +V[ +tp2017 +a(g47 +Vi +tp2018 +a(g197 +V] +tp2019 +a(g184 +V +tp2020 +a(g338 +V:= +p2021 +tp2022 +a(g184 +V +tp2023 +a(g47 +Vindex +p2024 +tp2025 +a(g197 +V[ +tp2026 +a(g47 +Vj +tp2027 +a(g197 +V] +tp2028 +a(g197 +V; +tp2029 +a(g184 +V +p2030 +tp2031 +a(g47 +Vindex +p2032 +tp2033 +a(g197 +V[ +tp2034 +a(g47 +Vj +tp2035 +a(g197 +V] +tp2036 +a(g184 +V +tp2037 +a(g338 +V:= +p2038 +tp2039 +a(g184 +V +tp2040 +a(g47 +Vctemp +p2041 +tp2042 +a(g197 +V; +tp2043 +a(g184 +V\u000a +tp2044 +a(g184 +V +p2045 +tp2046 +a(g47 +Vi +tp2047 +a(g184 +V +tp2048 +a(g338 +V:= +p2049 +tp2050 +a(g184 +V +tp2051 +a(g47 +Vi +tp2052 +a(g184 +V +tp2053 +a(g338 +V+ +tp2054 +a(g184 +V +tp2055 +a(g313 +V1 +tp2056 +a(g197 +V; +tp2057 +a(g184 +V +p2058 +tp2059 +a(g47 +Vj +tp2060 +a(g184 +V +tp2061 +a(g338 +V:= +p2062 +tp2063 +a(g184 +V +tp2064 +a(g47 +Vj +tp2065 +a(g184 +V +tp2066 +a(g338 +V- +tp2067 +a(g184 +V +tp2068 +a(g313 +V1 +tp2069 +a(g197 +V; +tp2070 +a(g184 +V\u000a +tp2071 +a(g184 +V +p2072 +tp2073 +a(g7 +VEND +p2074 +tp2075 +a(g197 +V; +tp2076 +a(g184 +V\u000a +tp2077 +a(g184 +V +p2078 +tp2079 +a(g7 +VUNTIL +p2080 +tp2081 +a(g184 +V +tp2082 +a(g47 +Vi +tp2083 +a(g184 +V +tp2084 +a(g338 +V> +tp2085 +a(g184 +V +tp2086 +a(g47 +Vj +tp2087 +a(g197 +V; +tp2088 +a(g184 +V\u000a\u000a +p2089 +tp2090 +a(g184 +V +p2091 +tp2092 +a(g7 +VIF +p2093 +tp2094 +a(g184 +V +tp2095 +a(g47 +Vj +tp2096 +a(g184 +V +tp2097 +a(g338 +V- +tp2098 +a(g184 +V +tp2099 +a(g47 +VL +tp2100 +a(g184 +V +tp2101 +a(g338 +V< +tp2102 +a(g184 +V +tp2103 +a(g47 +VR +tp2104 +a(g184 +V +tp2105 +a(g338 +V- +tp2106 +a(g184 +V +tp2107 +a(g47 +Vi +tp2108 +a(g184 +V +tp2109 +a(g7 +VTHEN +p2110 +tp2111 +a(g184 +V\u000a +tp2112 +a(g184 +V +p2113 +tp2114 +a(g7 +VIF +p2115 +tp2116 +a(g184 +V +tp2117 +a(g47 +Vi +tp2118 +a(g184 +V +tp2119 +a(g338 +V< +tp2120 +a(g184 +V +tp2121 +a(g47 +VR +tp2122 +a(g184 +V +tp2123 +a(g7 +VTHEN +p2124 +tp2125 +a(g184 +V +tp2126 +a(g37 +V(* PUSH *) +p2127 +tp2128 +a(g184 +V\u000a +tp2129 +a(g184 +V +p2130 +tp2131 +a(g47 +Vtos +p2132 +tp2133 +a(g184 +V +tp2134 +a(g338 +V:= +p2135 +tp2136 +a(g184 +V +tp2137 +a(g47 +Vtos +p2138 +tp2139 +a(g184 +V +tp2140 +a(g338 +V+ +tp2141 +a(g184 +V +tp2142 +a(g313 +V1 +tp2143 +a(g197 +V; +tp2144 +a(g184 +V +p2145 +tp2146 +a(g47 +VLstack +p2147 +tp2148 +a(g197 +V[ +tp2149 +a(g47 +Vtos +p2150 +tp2151 +a(g197 +V] +tp2152 +a(g184 +V +tp2153 +a(g338 +V:= +p2154 +tp2155 +a(g184 +V +tp2156 +a(g47 +Vi +tp2157 +a(g197 +V; +tp2158 +a(g184 +V +p2159 +tp2160 +a(g47 +VRstack +p2161 +tp2162 +a(g197 +V[ +tp2163 +a(g47 +Vtos +p2164 +tp2165 +a(g197 +V] +tp2166 +a(g184 +V +tp2167 +a(g338 +V:= +p2168 +tp2169 +a(g184 +V +tp2170 +a(g47 +VR +tp2171 +a(g197 +V; +tp2172 +a(g184 +V\u000a +tp2173 +a(g184 +V +p2174 +tp2175 +a(g7 +VEND +p2176 +tp2177 +a(g197 +V; +tp2178 +a(g184 +V\u000a +tp2179 +a(g184 +V +p2180 +tp2181 +a(g47 +VR +tp2182 +a(g184 +V +tp2183 +a(g338 +V:= +p2184 +tp2185 +a(g184 +V +tp2186 +a(g47 +Vj +tp2187 +a(g197 +V; +tp2188 +a(g184 +V\u000a +tp2189 +a(g184 +V +p2190 +tp2191 +a(g7 +VELSE +p2192 +tp2193 +a(g184 +V\u000a +tp2194 +a(g184 +V +p2195 +tp2196 +a(g7 +VIF +p2197 +tp2198 +a(g184 +V +tp2199 +a(g47 +VL +tp2200 +a(g184 +V +tp2201 +a(g338 +V< +tp2202 +a(g184 +V +tp2203 +a(g47 +Vj +tp2204 +a(g184 +V +tp2205 +a(g7 +VTHEN +p2206 +tp2207 +a(g184 +V +tp2208 +a(g37 +V(* push *) +p2209 +tp2210 +a(g184 +V\u000a +tp2211 +a(g184 +V +p2212 +tp2213 +a(g47 +Vtos +p2214 +tp2215 +a(g184 +V +tp2216 +a(g338 +V:= +p2217 +tp2218 +a(g184 +V +tp2219 +a(g47 +Vtos +p2220 +tp2221 +a(g184 +V +tp2222 +a(g338 +V+ +tp2223 +a(g184 +V +tp2224 +a(g313 +V1 +tp2225 +a(g197 +V; +tp2226 +a(g184 +V +p2227 +tp2228 +a(g47 +VLstack +p2229 +tp2230 +a(g197 +V[ +tp2231 +a(g47 +Vtos +p2232 +tp2233 +a(g197 +V] +tp2234 +a(g184 +V +tp2235 +a(g338 +V:= +p2236 +tp2237 +a(g184 +V +tp2238 +a(g47 +VL +tp2239 +a(g197 +V; +tp2240 +a(g184 +V +p2241 +tp2242 +a(g47 +VRstack +p2243 +tp2244 +a(g197 +V[ +tp2245 +a(g47 +Vtos +p2246 +tp2247 +a(g197 +V] +tp2248 +a(g184 +V +tp2249 +a(g338 +V:= +p2250 +tp2251 +a(g184 +V +tp2252 +a(g47 +Vj +tp2253 +a(g197 +V; +tp2254 +a(g184 +V\u000a +tp2255 +a(g184 +V +p2256 +tp2257 +a(g7 +VEND +p2258 +tp2259 +a(g197 +V; +tp2260 +a(g184 +V\u000a +tp2261 +a(g184 +V +p2262 +tp2263 +a(g47 +VL +tp2264 +a(g184 +V +tp2265 +a(g338 +V:= +p2266 +tp2267 +a(g184 +V +tp2268 +a(g47 +Vi +tp2269 +a(g197 +V; +tp2270 +a(g184 +V\u000a +tp2271 +a(g184 +V +p2272 +tp2273 +a(g7 +VEND +p2274 +tp2275 +a(g197 +V; +tp2276 +a(g184 +V\u000a\u000a +p2277 +tp2278 +a(g184 +V +p2279 +tp2280 +a(g7 +VUNTIL +p2281 +tp2282 +a(g184 +V +tp2283 +a(g47 +VL +tp2284 +a(g184 +V +tp2285 +a(g338 +V> +tp2286 +a(g338 +V= +tp2287 +a(g184 +V +tp2288 +a(g47 +VR +tp2289 +a(g197 +V; +tp2290 +a(g184 +V\u000a\u000a +p2291 +tp2292 +a(g184 +V +p2293 +tp2294 +a(g7 +VELSE +p2295 +tp2296 +a(g184 +V\u000a\u000a +p2297 +tp2298 +a(g184 +V +p2299 +tp2300 +a(g37 +V(* small sort for small number of values *) +p2301 +tp2302 +a(g184 +V\u000a +tp2303 +a(g184 +V +p2304 +tp2305 +a(g7 +VFOR +p2306 +tp2307 +a(g184 +V +tp2308 +a(g47 +Vi +tp2309 +a(g184 +V +tp2310 +a(g338 +V:= +p2311 +tp2312 +a(g184 +V +tp2313 +a(g47 +VL +tp2314 +a(g184 +V +tp2315 +a(g7 +VTO +p2316 +tp2317 +a(g184 +V +tp2318 +a(g47 +VR +tp2319 +a(g184 +V +tp2320 +a(g338 +V- +tp2321 +a(g184 +V +tp2322 +a(g313 +V1 +tp2323 +a(g184 +V +tp2324 +a(g7 +VDO +p2325 +tp2326 +a(g184 +V\u000a +tp2327 +a(g184 +V +p2328 +tp2329 +a(g7 +VFOR +p2330 +tp2331 +a(g184 +V +tp2332 +a(g47 +Vj +tp2333 +a(g184 +V +tp2334 +a(g338 +V:= +p2335 +tp2336 +a(g184 +V +tp2337 +a(g47 +Vi +tp2338 +a(g184 +V +tp2339 +a(g7 +VTO +p2340 +tp2341 +a(g184 +V +tp2342 +a(g47 +VR +tp2343 +a(g184 +V +tp2344 +a(g7 +VDO +p2345 +tp2346 +a(g184 +V\u000a +tp2347 +a(g184 +V +p2348 +tp2349 +a(g7 +VIF +p2350 +tp2351 +a(g184 +V +tp2352 +a(g47 +Vx +tp2353 +a(g197 +V[ +tp2354 +a(g47 +Vindex +p2355 +tp2356 +a(g197 +V[ +tp2357 +a(g47 +Vi +tp2358 +a(g197 +V] +tp2359 +a(g197 +V] +tp2360 +a(g184 +V +tp2361 +a(g338 +V> +tp2362 +a(g184 +V +tp2363 +a(g47 +Vx +tp2364 +a(g197 +V[ +tp2365 +a(g47 +Vindex +p2366 +tp2367 +a(g197 +V[ +tp2368 +a(g47 +Vj +tp2369 +a(g197 +V] +tp2370 +a(g197 +V] +tp2371 +a(g184 +V +tp2372 +a(g7 +VTHEN +p2373 +tp2374 +a(g184 +V\u000a +tp2375 +a(g184 +V +p2376 +tp2377 +a(g47 +Vctemp +p2378 +tp2379 +a(g184 +V +p2380 +tp2381 +a(g338 +V:= +p2382 +tp2383 +a(g184 +V +tp2384 +a(g47 +Vindex +p2385 +tp2386 +a(g197 +V[ +tp2387 +a(g47 +Vi +tp2388 +a(g197 +V] +tp2389 +a(g197 +V; +tp2390 +a(g184 +V\u000a +tp2391 +a(g184 +V +p2392 +tp2393 +a(g47 +Vindex +p2394 +tp2395 +a(g197 +V[ +tp2396 +a(g47 +Vi +tp2397 +a(g197 +V] +tp2398 +a(g184 +V +tp2399 +a(g338 +V:= +p2400 +tp2401 +a(g184 +V +tp2402 +a(g47 +Vindex +p2403 +tp2404 +a(g197 +V[ +tp2405 +a(g47 +Vj +tp2406 +a(g197 +V] +tp2407 +a(g197 +V; +tp2408 +a(g184 +V\u000a +tp2409 +a(g184 +V +p2410 +tp2411 +a(g47 +Vindex +p2412 +tp2413 +a(g197 +V[ +tp2414 +a(g47 +Vj +tp2415 +a(g197 +V] +tp2416 +a(g184 +V +tp2417 +a(g338 +V:= +p2418 +tp2419 +a(g184 +V +tp2420 +a(g47 +Vctemp +p2421 +tp2422 +a(g184 +V\u000a +tp2423 +a(g184 +V +p2424 +tp2425 +a(g7 +VEND +p2426 +tp2427 +a(g197 +V; +tp2428 +a(g184 +V\u000a +tp2429 +a(g184 +V +p2430 +tp2431 +a(g7 +VEND +p2432 +tp2433 +a(g197 +V; +tp2434 +a(g184 +V\u000a +tp2435 +a(g184 +V +p2436 +tp2437 +a(g7 +VEND +p2438 +tp2439 +a(g197 +V; +tp2440 +a(g184 +V\u000a\u000a +p2441 +tp2442 +a(g184 +V +p2443 +tp2444 +a(g7 +VEND +p2445 +tp2446 +a(g197 +V; +tp2447 +a(g184 +V +tp2448 +a(g37 +V(* check for small *) +p2449 +tp2450 +a(g184 +V\u000a\u000a +p2451 +tp2452 +a(g184 +V +p2453 +tp2454 +a(g7 +VUNTIL +p2455 +tp2456 +a(g184 +V +tp2457 +a(g47 +Vtos +p2458 +tp2459 +a(g184 +V +tp2460 +a(g338 +V= +tp2461 +a(g184 +V +tp2462 +a(g313 +V0 +tp2463 +a(g197 +V; +tp2464 +a(g184 +V\u000a\u000a +p2465 +tp2466 +a(g184 +V +p2467 +tp2468 +a(g7 +VEND +p2469 +tp2470 +a(g184 +V +tp2471 +a(g47 +VRealQSortIndex +p2472 +tp2473 +a(g197 +V; +tp2474 +a(g184 +V\u000a\u000a +p2475 +tp2476 +a(g184 +V +p2477 +tp2478 +a(g37 +V(* --------------------------------------------------- *) +p2479 +tp2480 +a(g184 +V\u000a +tp2481 +a(g184 +V +p2482 +tp2483 +a(g7 +VPROCEDURE +p2484 +tp2485 +a(g184 +V +tp2486 +a(g47 +VCardQSort +p2487 +tp2488 +a(g197 +V( +tp2489 +a(g184 +V +tp2490 +a(g7 +VVAR +p2491 +tp2492 +a(g184 +V +tp2493 +a(g47 +Vx +tp2494 +a(g184 +V +tp2495 +a(g197 +V: +tp2496 +a(g7 +VARRAY +p2497 +tp2498 +a(g184 +V +tp2499 +a(g7 +VOF +p2500 +tp2501 +a(g184 +V +tp2502 +a(g16 +VCARDINAL +p2503 +tp2504 +a(g197 +V; +tp2505 +a(g184 +V +tp2506 +a(g47 +Varray_len +p2507 +tp2508 +a(g184 +V +tp2509 +a(g197 +V: +tp2510 +a(g16 +VCARDINAL +p2511 +tp2512 +a(g184 +V +tp2513 +a(g197 +V) +tp2514 +a(g197 +V; +tp2515 +a(g184 +V\u000a\u000a +p2516 +tp2517 +a(g184 +V +p2518 +tp2519 +a(g7 +VVAR +p2520 +tp2521 +a(g184 +V\u000a +tp2522 +a(g184 +V +p2523 +tp2524 +a(g47 +Vmedian +p2525 +tp2526 +a(g184 +V +tp2527 +a(g197 +V: +tp2528 +a(g184 +V +tp2529 +a(g16 +VCARDINAL +p2530 +tp2531 +a(g197 +V; +tp2532 +a(g184 +V\u000a +tp2533 +a(g184 +V +p2534 +tp2535 +a(g47 +Vn +tp2536 +a(g197 +V, +tp2537 +a(g47 +Vi +tp2538 +a(g197 +V, +tp2539 +a(g47 +Vj +tp2540 +a(g184 +V +p2541 +tp2542 +a(g197 +V: +tp2543 +a(g184 +V +tp2544 +a(g16 +VINTEGER +p2545 +tp2546 +a(g197 +V; +tp2547 +a(g184 +V\u000a +tp2548 +a(g184 +V +p2549 +tp2550 +a(g7 +VBEGIN +p2551 +tp2552 +a(g184 +V\u000a\u000a +p2553 +tp2554 +a(g184 +V +p2555 +tp2556 +a(g47 +Vn +tp2557 +a(g184 +V +tp2558 +a(g338 +V:= +p2559 +tp2560 +a(g184 +V +tp2561 +a(g16 +VVAL +p2562 +tp2563 +a(g197 +V( +tp2564 +a(g16 +VINTEGER +p2565 +tp2566 +a(g197 +V, +tp2567 +a(g47 +Varray_len +p2568 +tp2569 +a(g197 +V) +tp2570 +a(g184 +V +tp2571 +a(g338 +V- +tp2572 +a(g184 +V +tp2573 +a(g313 +V1 +tp2574 +a(g197 +V; +tp2575 +a(g184 +V +tp2576 +a(g37 +V(* back to zero offset *) +p2577 +tp2578 +a(g184 +V\u000a\u000a +p2579 +tp2580 +a(g184 +V +p2581 +tp2582 +a(g47 +Vtos +p2583 +tp2584 +a(g184 +V +tp2585 +a(g338 +V:= +p2586 +tp2587 +a(g184 +V +tp2588 +a(g313 +V0 +tp2589 +a(g197 +V; +tp2590 +a(g184 +V\u000a\u000a +p2591 +tp2592 +a(g184 +V +p2593 +tp2594 +a(g47 +VL +tp2595 +a(g184 +V +tp2596 +a(g338 +V:= +p2597 +tp2598 +a(g184 +V +tp2599 +a(g313 +V0 +tp2600 +a(g197 +V; +tp2601 +a(g184 +V +p2602 +tp2603 +a(g47 +VR +tp2604 +a(g184 +V +tp2605 +a(g338 +V:= +p2606 +tp2607 +a(g184 +V +tp2608 +a(g47 +Vn +tp2609 +a(g197 +V; +tp2610 +a(g184 +V\u000a\u000a +p2611 +tp2612 +a(g184 +V +p2613 +tp2614 +a(g37 +V(* PUSH very first set *) +p2615 +tp2616 +a(g184 +V\u000a +tp2617 +a(g184 +V +p2618 +tp2619 +a(g47 +Vtos +p2620 +tp2621 +a(g184 +V +tp2622 +a(g338 +V:= +p2623 +tp2624 +a(g184 +V +tp2625 +a(g47 +Vtos +p2626 +tp2627 +a(g184 +V +tp2628 +a(g338 +V+ +tp2629 +a(g184 +V +tp2630 +a(g313 +V1 +tp2631 +a(g197 +V; +tp2632 +a(g184 +V +p2633 +tp2634 +a(g47 +VLstack +p2635 +tp2636 +a(g197 +V[ +tp2637 +a(g47 +Vtos +p2638 +tp2639 +a(g197 +V] +tp2640 +a(g184 +V +tp2641 +a(g338 +V:= +p2642 +tp2643 +a(g184 +V +tp2644 +a(g47 +VL +tp2645 +a(g197 +V; +tp2646 +a(g184 +V +p2647 +tp2648 +a(g47 +VRstack +p2649 +tp2650 +a(g197 +V[ +tp2651 +a(g47 +Vtos +p2652 +tp2653 +a(g197 +V] +tp2654 +a(g184 +V +tp2655 +a(g338 +V:= +p2656 +tp2657 +a(g184 +V +tp2658 +a(g47 +VR +tp2659 +a(g197 +V; +tp2660 +a(g184 +V\u000a\u000a +p2661 +tp2662 +a(g184 +V +p2663 +tp2664 +a(g7 +VREPEAT +p2665 +tp2666 +a(g184 +V\u000a\u000a +p2667 +tp2668 +a(g184 +V +p2669 +tp2670 +a(g37 +V(* POP *) +p2671 +tp2672 +a(g184 +V\u000a +tp2673 +a(g184 +V +p2674 +tp2675 +a(g47 +VL +tp2676 +a(g184 +V +tp2677 +a(g338 +V:= +p2678 +tp2679 +a(g184 +V +tp2680 +a(g47 +VLstack +p2681 +tp2682 +a(g197 +V[ +tp2683 +a(g47 +Vtos +p2684 +tp2685 +a(g197 +V] +tp2686 +a(g197 +V; +tp2687 +a(g184 +V +p2688 +tp2689 +a(g47 +VR +tp2690 +a(g184 +V +tp2691 +a(g338 +V:= +p2692 +tp2693 +a(g184 +V +tp2694 +a(g47 +VRstack +p2695 +tp2696 +a(g197 +V[ +tp2697 +a(g47 +Vtos +p2698 +tp2699 +a(g197 +V] +tp2700 +a(g197 +V; +tp2701 +a(g184 +V +p2702 +tp2703 +a(g47 +Vtos +p2704 +tp2705 +a(g184 +V +tp2706 +a(g338 +V:= +p2707 +tp2708 +a(g184 +V +tp2709 +a(g47 +Vtos +p2710 +tp2711 +a(g184 +V +tp2712 +a(g338 +V- +tp2713 +a(g184 +V +tp2714 +a(g313 +V1 +tp2715 +a(g197 +V; +tp2716 +a(g184 +V\u000a\u000a +p2717 +tp2718 +a(g184 +V +p2719 +tp2720 +a(g7 +VIF +p2721 +tp2722 +a(g184 +V +tp2723 +a(g47 +VR +tp2724 +a(g184 +V +tp2725 +a(g338 +V- +tp2726 +a(g184 +V +tp2727 +a(g47 +VL +tp2728 +a(g184 +V +tp2729 +a(g338 +V+ +tp2730 +a(g184 +V +tp2731 +a(g313 +V1 +tp2732 +a(g184 +V +tp2733 +a(g338 +V> +tp2734 +a(g184 +V +tp2735 +a(g47 +Vn_small +p2736 +tp2737 +a(g184 +V +tp2738 +a(g7 +VTHEN +p2739 +tp2740 +a(g184 +V\u000a\u000a +p2741 +tp2742 +a(g184 +V +p2743 +tp2744 +a(g7 +VREPEAT +p2745 +tp2746 +a(g184 +V\u000a +tp2747 +a(g184 +V +p2748 +tp2749 +a(g47 +Vi +tp2750 +a(g184 +V +tp2751 +a(g338 +V:= +p2752 +tp2753 +a(g184 +V +tp2754 +a(g47 +VL +tp2755 +a(g197 +V; +tp2756 +a(g184 +V +p2757 +tp2758 +a(g47 +Vj +tp2759 +a(g184 +V +tp2760 +a(g338 +V:= +p2761 +tp2762 +a(g184 +V +tp2763 +a(g47 +VR +tp2764 +a(g197 +V; +tp2765 +a(g184 +V +p2766 +tp2767 +a(g47 +Vmedian +p2768 +tp2769 +a(g184 +V +tp2770 +a(g338 +V:= +p2771 +tp2772 +a(g184 +V +tp2773 +a(g47 +Vx +tp2774 +a(g197 +V[ +tp2775 +a(g197 +V( +tp2776 +a(g184 +V +tp2777 +a(g47 +VL +tp2778 +a(g184 +V +tp2779 +a(g338 +V+ +tp2780 +a(g184 +V +tp2781 +a(g47 +VR +tp2782 +a(g184 +V +tp2783 +a(g197 +V) +tp2784 +a(g184 +V +tp2785 +a(g7 +VDIV +p2786 +tp2787 +a(g184 +V +tp2788 +a(g313 +V2 +tp2789 +a(g197 +V] +tp2790 +a(g197 +V; +tp2791 +a(g184 +V\u000a\u000a +p2792 +tp2793 +a(g184 +V +p2794 +tp2795 +a(g7 +VREPEAT +p2796 +tp2797 +a(g184 +V\u000a +tp2798 +a(g184 +V +p2799 +tp2800 +a(g7 +VWHILE +p2801 +tp2802 +a(g184 +V +tp2803 +a(g47 +Vx +tp2804 +a(g197 +V[ +tp2805 +a(g47 +Vi +tp2806 +a(g197 +V] +tp2807 +a(g184 +V +tp2808 +a(g338 +V< +tp2809 +a(g184 +V +tp2810 +a(g47 +Vmedian +p2811 +tp2812 +a(g184 +V +tp2813 +a(g7 +VDO +p2814 +tp2815 +a(g184 +V\u000a +tp2816 +a(g184 +V +p2817 +tp2818 +a(g47 +Vi +tp2819 +a(g184 +V +tp2820 +a(g338 +V:= +p2821 +tp2822 +a(g184 +V +tp2823 +a(g47 +Vi +tp2824 +a(g184 +V +tp2825 +a(g338 +V+ +tp2826 +a(g184 +V +tp2827 +a(g313 +V1 +tp2828 +a(g197 +V; +tp2829 +a(g184 +V\u000a +tp2830 +a(g184 +V +p2831 +tp2832 +a(g7 +VEND +p2833 +tp2834 +a(g197 +V; +tp2835 +a(g184 +V\u000a +tp2836 +a(g184 +V +p2837 +tp2838 +a(g7 +VWHILE +p2839 +tp2840 +a(g184 +V +tp2841 +a(g47 +Vmedian +p2842 +tp2843 +a(g184 +V +tp2844 +a(g338 +V< +tp2845 +a(g184 +V +tp2846 +a(g47 +Vx +tp2847 +a(g197 +V[ +tp2848 +a(g47 +Vj +tp2849 +a(g197 +V] +tp2850 +a(g184 +V +tp2851 +a(g7 +VDO +p2852 +tp2853 +a(g184 +V\u000a +tp2854 +a(g184 +V +p2855 +tp2856 +a(g47 +Vj +tp2857 +a(g184 +V +tp2858 +a(g338 +V:= +p2859 +tp2860 +a(g184 +V +tp2861 +a(g47 +Vj +tp2862 +a(g184 +V +tp2863 +a(g338 +V- +tp2864 +a(g184 +V +tp2865 +a(g313 +V1 +tp2866 +a(g197 +V; +tp2867 +a(g184 +V\u000a +tp2868 +a(g184 +V +p2869 +tp2870 +a(g7 +VEND +p2871 +tp2872 +a(g197 +V; +tp2873 +a(g184 +V\u000a\u000a +p2874 +tp2875 +a(g184 +V +p2876 +tp2877 +a(g7 +VIF +p2878 +tp2879 +a(g184 +V +tp2880 +a(g47 +Vi +tp2881 +a(g184 +V +tp2882 +a(g338 +V< +tp2883 +a(g338 +V= +tp2884 +a(g184 +V +tp2885 +a(g47 +Vj +tp2886 +a(g184 +V +tp2887 +a(g7 +VTHEN +p2888 +tp2889 +a(g184 +V +tp2890 +a(g37 +V(* swap *) +p2891 +tp2892 +a(g184 +V\u000a +tp2893 +a(g184 +V +p2894 +tp2895 +a(g47 +Vctemp +p2896 +tp2897 +a(g184 +V +tp2898 +a(g338 +V:= +p2899 +tp2900 +a(g184 +V +tp2901 +a(g47 +Vx +tp2902 +a(g197 +V[ +tp2903 +a(g47 +Vi +tp2904 +a(g197 +V] +tp2905 +a(g197 +V; +tp2906 +a(g184 +V +p2907 +tp2908 +a(g47 +Vx +tp2909 +a(g197 +V[ +tp2910 +a(g47 +Vi +tp2911 +a(g197 +V] +tp2912 +a(g184 +V +tp2913 +a(g338 +V:= +p2914 +tp2915 +a(g184 +V +tp2916 +a(g47 +Vx +tp2917 +a(g197 +V[ +tp2918 +a(g47 +Vj +tp2919 +a(g197 +V] +tp2920 +a(g197 +V; +tp2921 +a(g184 +V +p2922 +tp2923 +a(g47 +Vx +tp2924 +a(g197 +V[ +tp2925 +a(g47 +Vj +tp2926 +a(g197 +V] +tp2927 +a(g184 +V +tp2928 +a(g338 +V:= +p2929 +tp2930 +a(g184 +V +tp2931 +a(g47 +Vctemp +p2932 +tp2933 +a(g197 +V; +tp2934 +a(g184 +V\u000a +tp2935 +a(g184 +V +p2936 +tp2937 +a(g47 +Vi +tp2938 +a(g184 +V +tp2939 +a(g338 +V:= +p2940 +tp2941 +a(g184 +V +tp2942 +a(g47 +Vi +tp2943 +a(g184 +V +tp2944 +a(g338 +V+ +tp2945 +a(g184 +V +tp2946 +a(g313 +V1 +tp2947 +a(g197 +V; +tp2948 +a(g184 +V +p2949 +tp2950 +a(g47 +Vj +tp2951 +a(g184 +V +tp2952 +a(g338 +V:= +p2953 +tp2954 +a(g184 +V +tp2955 +a(g47 +Vj +tp2956 +a(g184 +V +tp2957 +a(g338 +V- +tp2958 +a(g184 +V +tp2959 +a(g313 +V1 +tp2960 +a(g197 +V; +tp2961 +a(g184 +V\u000a +tp2962 +a(g184 +V +p2963 +tp2964 +a(g7 +VEND +p2965 +tp2966 +a(g197 +V; +tp2967 +a(g184 +V\u000a +tp2968 +a(g184 +V +p2969 +tp2970 +a(g7 +VUNTIL +p2971 +tp2972 +a(g184 +V +tp2973 +a(g47 +Vi +tp2974 +a(g184 +V +tp2975 +a(g338 +V> +tp2976 +a(g184 +V +tp2977 +a(g47 +Vj +tp2978 +a(g197 +V; +tp2979 +a(g184 +V\u000a\u000a +p2980 +tp2981 +a(g184 +V +p2982 +tp2983 +a(g7 +VIF +p2984 +tp2985 +a(g184 +V +tp2986 +a(g47 +Vj +tp2987 +a(g184 +V +tp2988 +a(g338 +V- +tp2989 +a(g184 +V +tp2990 +a(g47 +VL +tp2991 +a(g184 +V +tp2992 +a(g338 +V< +tp2993 +a(g184 +V +tp2994 +a(g47 +VR +tp2995 +a(g184 +V +tp2996 +a(g338 +V- +tp2997 +a(g184 +V +tp2998 +a(g47 +Vi +tp2999 +a(g184 +V +tp3000 +a(g7 +VTHEN +p3001 +tp3002 +a(g184 +V\u000a +tp3003 +a(g184 +V +p3004 +tp3005 +a(g7 +VIF +p3006 +tp3007 +a(g184 +V +tp3008 +a(g47 +Vi +tp3009 +a(g184 +V +tp3010 +a(g338 +V< +tp3011 +a(g184 +V +tp3012 +a(g47 +VR +tp3013 +a(g184 +V +tp3014 +a(g7 +VTHEN +p3015 +tp3016 +a(g184 +V +tp3017 +a(g37 +V(* PUSH *) +p3018 +tp3019 +a(g184 +V\u000a +tp3020 +a(g184 +V +p3021 +tp3022 +a(g47 +Vtos +p3023 +tp3024 +a(g184 +V +tp3025 +a(g338 +V:= +p3026 +tp3027 +a(g184 +V +tp3028 +a(g47 +Vtos +p3029 +tp3030 +a(g184 +V +tp3031 +a(g338 +V+ +tp3032 +a(g184 +V +tp3033 +a(g313 +V1 +tp3034 +a(g197 +V; +tp3035 +a(g184 +V +p3036 +tp3037 +a(g47 +VLstack +p3038 +tp3039 +a(g197 +V[ +tp3040 +a(g47 +Vtos +p3041 +tp3042 +a(g197 +V] +tp3043 +a(g184 +V +tp3044 +a(g338 +V:= +p3045 +tp3046 +a(g184 +V +tp3047 +a(g47 +Vi +tp3048 +a(g197 +V; +tp3049 +a(g184 +V +p3050 +tp3051 +a(g47 +VRstack +p3052 +tp3053 +a(g197 +V[ +tp3054 +a(g47 +Vtos +p3055 +tp3056 +a(g197 +V] +tp3057 +a(g184 +V +tp3058 +a(g338 +V:= +p3059 +tp3060 +a(g184 +V +tp3061 +a(g47 +VR +tp3062 +a(g197 +V; +tp3063 +a(g184 +V\u000a +tp3064 +a(g184 +V +p3065 +tp3066 +a(g7 +VEND +p3067 +tp3068 +a(g197 +V; +tp3069 +a(g184 +V\u000a +tp3070 +a(g184 +V +p3071 +tp3072 +a(g47 +VR +tp3073 +a(g184 +V +tp3074 +a(g338 +V:= +p3075 +tp3076 +a(g184 +V +tp3077 +a(g47 +Vj +tp3078 +a(g197 +V; +tp3079 +a(g184 +V\u000a +tp3080 +a(g184 +V +p3081 +tp3082 +a(g7 +VELSE +p3083 +tp3084 +a(g184 +V\u000a +tp3085 +a(g184 +V +p3086 +tp3087 +a(g7 +VIF +p3088 +tp3089 +a(g184 +V +tp3090 +a(g47 +VL +tp3091 +a(g184 +V +tp3092 +a(g338 +V< +tp3093 +a(g184 +V +tp3094 +a(g47 +Vj +tp3095 +a(g184 +V +tp3096 +a(g7 +VTHEN +p3097 +tp3098 +a(g184 +V +tp3099 +a(g37 +V(* push *) +p3100 +tp3101 +a(g184 +V\u000a +tp3102 +a(g184 +V +p3103 +tp3104 +a(g47 +Vtos +p3105 +tp3106 +a(g184 +V +tp3107 +a(g338 +V:= +p3108 +tp3109 +a(g184 +V +tp3110 +a(g47 +Vtos +p3111 +tp3112 +a(g184 +V +tp3113 +a(g338 +V+ +tp3114 +a(g184 +V +tp3115 +a(g313 +V1 +tp3116 +a(g197 +V; +tp3117 +a(g184 +V +p3118 +tp3119 +a(g47 +VLstack +p3120 +tp3121 +a(g197 +V[ +tp3122 +a(g47 +Vtos +p3123 +tp3124 +a(g197 +V] +tp3125 +a(g184 +V +tp3126 +a(g338 +V:= +p3127 +tp3128 +a(g184 +V +tp3129 +a(g47 +VL +tp3130 +a(g197 +V; +tp3131 +a(g184 +V +p3132 +tp3133 +a(g47 +VRstack +p3134 +tp3135 +a(g197 +V[ +tp3136 +a(g47 +Vtos +p3137 +tp3138 +a(g197 +V] +tp3139 +a(g184 +V +tp3140 +a(g338 +V:= +p3141 +tp3142 +a(g184 +V +tp3143 +a(g47 +Vj +tp3144 +a(g197 +V; +tp3145 +a(g184 +V\u000a +tp3146 +a(g184 +V +p3147 +tp3148 +a(g7 +VEND +p3149 +tp3150 +a(g197 +V; +tp3151 +a(g184 +V\u000a +tp3152 +a(g184 +V +p3153 +tp3154 +a(g47 +VL +tp3155 +a(g184 +V +tp3156 +a(g338 +V:= +p3157 +tp3158 +a(g184 +V +tp3159 +a(g47 +Vi +tp3160 +a(g197 +V; +tp3161 +a(g184 +V\u000a +tp3162 +a(g184 +V +p3163 +tp3164 +a(g7 +VEND +p3165 +tp3166 +a(g197 +V; +tp3167 +a(g184 +V\u000a\u000a +p3168 +tp3169 +a(g184 +V +p3170 +tp3171 +a(g7 +VUNTIL +p3172 +tp3173 +a(g184 +V +tp3174 +a(g47 +VL +tp3175 +a(g184 +V +tp3176 +a(g338 +V> +tp3177 +a(g338 +V= +tp3178 +a(g184 +V +tp3179 +a(g47 +VR +tp3180 +a(g197 +V; +tp3181 +a(g184 +V\u000a\u000a +p3182 +tp3183 +a(g184 +V +p3184 +tp3185 +a(g7 +VELSE +p3186 +tp3187 +a(g184 +V\u000a\u000a +p3188 +tp3189 +a(g184 +V +p3190 +tp3191 +a(g37 +V(* small sort for small number of values *) +p3192 +tp3193 +a(g184 +V\u000a +tp3194 +a(g184 +V +p3195 +tp3196 +a(g7 +VFOR +p3197 +tp3198 +a(g184 +V +tp3199 +a(g47 +Vi +tp3200 +a(g184 +V +tp3201 +a(g338 +V:= +p3202 +tp3203 +a(g184 +V +tp3204 +a(g47 +VL +tp3205 +a(g184 +V +tp3206 +a(g7 +VTO +p3207 +tp3208 +a(g184 +V +tp3209 +a(g47 +VR +tp3210 +a(g184 +V +tp3211 +a(g338 +V- +tp3212 +a(g184 +V +tp3213 +a(g313 +V1 +tp3214 +a(g184 +V +tp3215 +a(g7 +VDO +p3216 +tp3217 +a(g184 +V\u000a +tp3218 +a(g184 +V +p3219 +tp3220 +a(g7 +VFOR +p3221 +tp3222 +a(g184 +V +tp3223 +a(g47 +Vj +tp3224 +a(g184 +V +tp3225 +a(g338 +V:= +p3226 +tp3227 +a(g184 +V +tp3228 +a(g47 +Vi +tp3229 +a(g184 +V +tp3230 +a(g7 +VTO +p3231 +tp3232 +a(g184 +V +tp3233 +a(g47 +VR +tp3234 +a(g184 +V +tp3235 +a(g7 +VDO +p3236 +tp3237 +a(g184 +V\u000a +tp3238 +a(g184 +V +p3239 +tp3240 +a(g7 +VIF +p3241 +tp3242 +a(g184 +V +tp3243 +a(g47 +Vx +tp3244 +a(g197 +V[ +tp3245 +a(g47 +Vi +tp3246 +a(g197 +V] +tp3247 +a(g184 +V +tp3248 +a(g338 +V> +tp3249 +a(g184 +V +tp3250 +a(g47 +Vx +tp3251 +a(g197 +V[ +tp3252 +a(g47 +Vj +tp3253 +a(g197 +V] +tp3254 +a(g184 +V +tp3255 +a(g7 +VTHEN +p3256 +tp3257 +a(g184 +V\u000a +tp3258 +a(g184 +V +p3259 +tp3260 +a(g47 +Vctemp +p3261 +tp3262 +a(g184 +V +tp3263 +a(g338 +V:= +p3264 +tp3265 +a(g184 +V +tp3266 +a(g47 +Vx +tp3267 +a(g197 +V[ +tp3268 +a(g47 +Vi +tp3269 +a(g197 +V] +tp3270 +a(g197 +V; +tp3271 +a(g184 +V\u000a +tp3272 +a(g184 +V +p3273 +tp3274 +a(g47 +Vx +tp3275 +a(g197 +V[ +tp3276 +a(g47 +Vi +tp3277 +a(g197 +V] +tp3278 +a(g184 +V +p3279 +tp3280 +a(g338 +V:= +p3281 +tp3282 +a(g184 +V +tp3283 +a(g47 +Vx +tp3284 +a(g197 +V[ +tp3285 +a(g47 +Vj +tp3286 +a(g197 +V] +tp3287 +a(g197 +V; +tp3288 +a(g184 +V\u000a +tp3289 +a(g184 +V +p3290 +tp3291 +a(g47 +Vx +tp3292 +a(g197 +V[ +tp3293 +a(g47 +Vj +tp3294 +a(g197 +V] +tp3295 +a(g184 +V +p3296 +tp3297 +a(g338 +V:= +p3298 +tp3299 +a(g184 +V +tp3300 +a(g47 +Vctemp +p3301 +tp3302 +a(g184 +V\u000a +tp3303 +a(g184 +V +p3304 +tp3305 +a(g7 +VEND +p3306 +tp3307 +a(g197 +V; +tp3308 +a(g184 +V\u000a +tp3309 +a(g184 +V +p3310 +tp3311 +a(g7 +VEND +p3312 +tp3313 +a(g197 +V; +tp3314 +a(g184 +V\u000a +tp3315 +a(g184 +V +p3316 +tp3317 +a(g7 +VEND +p3318 +tp3319 +a(g197 +V; +tp3320 +a(g184 +V\u000a\u000a +p3321 +tp3322 +a(g184 +V +p3323 +tp3324 +a(g7 +VEND +p3325 +tp3326 +a(g197 +V; +tp3327 +a(g184 +V +tp3328 +a(g37 +V(* check for small *) +p3329 +tp3330 +a(g184 +V\u000a\u000a +p3331 +tp3332 +a(g184 +V +p3333 +tp3334 +a(g7 +VUNTIL +p3335 +tp3336 +a(g184 +V +tp3337 +a(g47 +Vtos +p3338 +tp3339 +a(g184 +V +tp3340 +a(g338 +V= +tp3341 +a(g184 +V +tp3342 +a(g313 +V0 +tp3343 +a(g197 +V; +tp3344 +a(g184 +V\u000a\u000a +p3345 +tp3346 +a(g184 +V +p3347 +tp3348 +a(g7 +VEND +p3349 +tp3350 +a(g184 +V +tp3351 +a(g47 +VCardQSort +p3352 +tp3353 +a(g197 +V; +tp3354 +a(g184 +V\u000a\u000a +p3355 +tp3356 +a(g184 +V +p3357 +tp3358 +a(g37 +V(* ----------------------------------------------------- *) +p3359 +tp3360 +a(g184 +V\u000a +tp3361 +a(g184 +V +p3362 +tp3363 +a(g7 +VPROCEDURE +p3364 +tp3365 +a(g184 +V +tp3366 +a(g47 +VCardBSort +p3367 +tp3368 +a(g197 +V( +tp3369 +a(g184 +V +tp3370 +a(g7 +VVAR +p3371 +tp3372 +a(g184 +V +tp3373 +a(g47 +Vx +tp3374 +a(g184 +V +tp3375 +a(g197 +V: +tp3376 +a(g7 +VARRAY +p3377 +tp3378 +a(g184 +V +tp3379 +a(g7 +VOF +p3380 +tp3381 +a(g184 +V +tp3382 +a(g16 +VCARDINAL +p3383 +tp3384 +a(g197 +V; +tp3385 +a(g184 +V +tp3386 +a(g47 +Varray_len +p3387 +tp3388 +a(g184 +V +tp3389 +a(g197 +V: +tp3390 +a(g16 +VCARDINAL +p3391 +tp3392 +a(g184 +V +tp3393 +a(g197 +V) +tp3394 +a(g197 +V; +tp3395 +a(g184 +V\u000a +tp3396 +a(g184 +V +p3397 +tp3398 +a(g7 +VVAR +p3399 +tp3400 +a(g184 +V +tp3401 +a(g47 +Vi +tp3402 +a(g197 +V, +tp3403 +a(g47 +Vj +tp3404 +a(g184 +V +tp3405 +a(g197 +V: +tp3406 +a(g184 +V +tp3407 +a(g16 +VINTEGER +p3408 +tp3409 +a(g197 +V; +tp3410 +a(g184 +V\u000a +tp3411 +a(g184 +V +p3412 +tp3413 +a(g7 +VBEGIN +p3414 +tp3415 +a(g184 +V\u000a +tp3416 +a(g184 +V +p3417 +tp3418 +a(g47 +Vtop +p3419 +tp3420 +a(g184 +V +p3421 +tp3422 +a(g338 +V:= +p3423 +tp3424 +a(g184 +V +tp3425 +a(g313 +V0 +tp3426 +a(g197 +V; +tp3427 +a(g184 +V +p3428 +tp3429 +a(g37 +V(* open arrays are zero offset *) +p3430 +tp3431 +a(g184 +V\u000a +tp3432 +a(g184 +V +p3433 +tp3434 +a(g47 +Vbottom +p3435 +tp3436 +a(g184 +V +tp3437 +a(g338 +V:= +p3438 +tp3439 +a(g184 +V +tp3440 +a(g16 +VVAL +p3441 +tp3442 +a(g197 +V( +tp3443 +a(g16 +VINTEGER +p3444 +tp3445 +a(g197 +V, +tp3446 +a(g47 +Varray_len +p3447 +tp3448 +a(g197 +V) +tp3449 +a(g184 +V +tp3450 +a(g338 +V- +tp3451 +a(g184 +V +tp3452 +a(g313 +V1 +tp3453 +a(g197 +V; +tp3454 +a(g184 +V\u000a\u000a +p3455 +tp3456 +a(g184 +V +p3457 +tp3458 +a(g7 +VWHILE +p3459 +tp3460 +a(g184 +V +tp3461 +a(g47 +Vtop +p3462 +tp3463 +a(g184 +V +tp3464 +a(g338 +V< +tp3465 +a(g184 +V +tp3466 +a(g47 +Vbottom +p3467 +tp3468 +a(g184 +V +tp3469 +a(g7 +VDO +p3470 +tp3471 +a(g184 +V\u000a\u000a +p3472 +tp3473 +a(g184 +V +p3474 +tp3475 +a(g47 +Vlastflip +p3476 +tp3477 +a(g184 +V +tp3478 +a(g338 +V:= +p3479 +tp3480 +a(g184 +V +tp3481 +a(g47 +Vtop +p3482 +tp3483 +a(g197 +V; +tp3484 +a(g184 +V\u000a\u000a +p3485 +tp3486 +a(g184 +V +p3487 +tp3488 +a(g7 +VFOR +p3489 +tp3490 +a(g184 +V +tp3491 +a(g47 +Vi +tp3492 +a(g184 +V +tp3493 +a(g338 +V:= +p3494 +tp3495 +a(g184 +V +tp3496 +a(g47 +Vtop +p3497 +tp3498 +a(g184 +V +tp3499 +a(g7 +VTO +p3500 +tp3501 +a(g184 +V +tp3502 +a(g47 +Vbottom +p3503 +tp3504 +a(g338 +V- +tp3505 +a(g313 +V1 +tp3506 +a(g184 +V +tp3507 +a(g7 +VDO +p3508 +tp3509 +a(g184 +V\u000a +tp3510 +a(g184 +V +p3511 +tp3512 +a(g7 +VIF +p3513 +tp3514 +a(g184 +V +tp3515 +a(g47 +Vx +tp3516 +a(g197 +V[ +tp3517 +a(g47 +Vi +tp3518 +a(g197 +V] +tp3519 +a(g184 +V +tp3520 +a(g338 +V> +tp3521 +a(g184 +V +tp3522 +a(g47 +Vx +tp3523 +a(g197 +V[ +tp3524 +a(g47 +Vi +tp3525 +a(g338 +V+ +tp3526 +a(g313 +V1 +tp3527 +a(g197 +V] +tp3528 +a(g184 +V +tp3529 +a(g7 +VTHEN +p3530 +tp3531 +a(g184 +V +p3532 +tp3533 +a(g37 +V(* flip *) +p3534 +tp3535 +a(g184 +V\u000a +tp3536 +a(g184 +V +p3537 +tp3538 +a(g47 +Vctemp +p3539 +tp3540 +a(g184 +V +p3541 +tp3542 +a(g338 +V:= +p3543 +tp3544 +a(g184 +V +tp3545 +a(g47 +Vx +tp3546 +a(g197 +V[ +tp3547 +a(g47 +Vi +tp3548 +a(g197 +V] +tp3549 +a(g197 +V; +tp3550 +a(g184 +V\u000a +tp3551 +a(g184 +V +p3552 +tp3553 +a(g47 +Vx +tp3554 +a(g197 +V[ +tp3555 +a(g47 +Vi +tp3556 +a(g197 +V] +tp3557 +a(g184 +V +p3558 +tp3559 +a(g338 +V:= +p3560 +tp3561 +a(g184 +V +tp3562 +a(g47 +Vx +tp3563 +a(g197 +V[ +tp3564 +a(g47 +Vi +tp3565 +a(g338 +V+ +tp3566 +a(g313 +V1 +tp3567 +a(g197 +V] +tp3568 +a(g197 +V; +tp3569 +a(g184 +V\u000a +tp3570 +a(g184 +V +p3571 +tp3572 +a(g47 +Vx +tp3573 +a(g197 +V[ +tp3574 +a(g47 +Vi +tp3575 +a(g338 +V+ +tp3576 +a(g313 +V1 +tp3577 +a(g197 +V] +tp3578 +a(g184 +V +tp3579 +a(g338 +V:= +p3580 +tp3581 +a(g184 +V +tp3582 +a(g47 +Vctemp +p3583 +tp3584 +a(g197 +V; +tp3585 +a(g184 +V\u000a +tp3586 +a(g184 +V +p3587 +tp3588 +a(g47 +Vlastflip +p3589 +tp3590 +a(g184 +V +tp3591 +a(g338 +V:= +p3592 +tp3593 +a(g184 +V +tp3594 +a(g47 +Vi +tp3595 +a(g197 +V; +tp3596 +a(g184 +V\u000a +tp3597 +a(g184 +V +p3598 +tp3599 +a(g7 +VEND +p3600 +tp3601 +a(g197 +V; +tp3602 +a(g184 +V\u000a +tp3603 +a(g184 +V +p3604 +tp3605 +a(g7 +VEND +p3606 +tp3607 +a(g197 +V; +tp3608 +a(g184 +V\u000a\u000a +p3609 +tp3610 +a(g184 +V +p3611 +tp3612 +a(g47 +Vbottom +p3613 +tp3614 +a(g184 +V +tp3615 +a(g338 +V:= +p3616 +tp3617 +a(g184 +V +tp3618 +a(g47 +Vlastflip +p3619 +tp3620 +a(g197 +V; +tp3621 +a(g184 +V\u000a\u000a +p3622 +tp3623 +a(g184 +V +p3624 +tp3625 +a(g7 +VIF +p3626 +tp3627 +a(g184 +V +tp3628 +a(g47 +Vbottom +p3629 +tp3630 +a(g184 +V +tp3631 +a(g338 +V> +tp3632 +a(g184 +V +tp3633 +a(g47 +Vtop +p3634 +tp3635 +a(g184 +V +tp3636 +a(g7 +VTHEN +p3637 +tp3638 +a(g184 +V\u000a\u000a +p3639 +tp3640 +a(g184 +V +p3641 +tp3642 +a(g47 +Vi +tp3643 +a(g184 +V +tp3644 +a(g338 +V:= +p3645 +tp3646 +a(g184 +V +tp3647 +a(g47 +Vbottom +p3648 +tp3649 +a(g184 +V +tp3650 +a(g338 +V- +tp3651 +a(g184 +V +tp3652 +a(g313 +V1 +tp3653 +a(g197 +V; +tp3654 +a(g184 +V\u000a +tp3655 +a(g184 +V +p3656 +tp3657 +a(g7 +VFOR +p3658 +tp3659 +a(g184 +V +tp3660 +a(g47 +Vj +tp3661 +a(g184 +V +tp3662 +a(g338 +V:= +p3663 +tp3664 +a(g184 +V +tp3665 +a(g47 +Vtop +p3666 +tp3667 +a(g184 +V +tp3668 +a(g7 +VTO +p3669 +tp3670 +a(g184 +V +tp3671 +a(g47 +Vbottom +p3672 +tp3673 +a(g338 +V- +tp3674 +a(g313 +V1 +tp3675 +a(g184 +V +tp3676 +a(g7 +VDO +p3677 +tp3678 +a(g184 +V\u000a +tp3679 +a(g184 +V +p3680 +tp3681 +a(g7 +VIF +p3682 +tp3683 +a(g184 +V +tp3684 +a(g47 +Vx +tp3685 +a(g197 +V[ +tp3686 +a(g47 +Vi +tp3687 +a(g197 +V] +tp3688 +a(g184 +V +tp3689 +a(g338 +V> +tp3690 +a(g184 +V +tp3691 +a(g47 +Vx +tp3692 +a(g197 +V[ +tp3693 +a(g47 +Vi +tp3694 +a(g338 +V+ +tp3695 +a(g313 +V1 +tp3696 +a(g197 +V] +tp3697 +a(g184 +V +tp3698 +a(g7 +VTHEN +p3699 +tp3700 +a(g184 +V +p3701 +tp3702 +a(g37 +V(* flip *) +p3703 +tp3704 +a(g184 +V\u000a +tp3705 +a(g184 +V +p3706 +tp3707 +a(g47 +Vctemp +p3708 +tp3709 +a(g184 +V +p3710 +tp3711 +a(g338 +V:= +p3712 +tp3713 +a(g184 +V +tp3714 +a(g47 +Vx +tp3715 +a(g197 +V[ +tp3716 +a(g47 +Vi +tp3717 +a(g197 +V] +tp3718 +a(g197 +V; +tp3719 +a(g184 +V\u000a +tp3720 +a(g184 +V +p3721 +tp3722 +a(g47 +Vx +tp3723 +a(g197 +V[ +tp3724 +a(g47 +Vi +tp3725 +a(g197 +V] +tp3726 +a(g184 +V +p3727 +tp3728 +a(g338 +V:= +p3729 +tp3730 +a(g184 +V +tp3731 +a(g47 +Vx +tp3732 +a(g197 +V[ +tp3733 +a(g47 +Vi +tp3734 +a(g338 +V+ +tp3735 +a(g313 +V1 +tp3736 +a(g197 +V] +tp3737 +a(g197 +V; +tp3738 +a(g184 +V\u000a +tp3739 +a(g184 +V +p3740 +tp3741 +a(g47 +Vx +tp3742 +a(g197 +V[ +tp3743 +a(g47 +Vi +tp3744 +a(g338 +V+ +tp3745 +a(g313 +V1 +tp3746 +a(g197 +V] +tp3747 +a(g184 +V +tp3748 +a(g338 +V:= +p3749 +tp3750 +a(g184 +V +tp3751 +a(g47 +Vctemp +p3752 +tp3753 +a(g197 +V; +tp3754 +a(g184 +V\u000a +tp3755 +a(g184 +V +p3756 +tp3757 +a(g47 +Vlastflip +p3758 +tp3759 +a(g184 +V +tp3760 +a(g338 +V:= +p3761 +tp3762 +a(g184 +V +tp3763 +a(g47 +Vi +tp3764 +a(g197 +V; +tp3765 +a(g184 +V\u000a +tp3766 +a(g184 +V +p3767 +tp3768 +a(g7 +VEND +p3769 +tp3770 +a(g197 +V; +tp3771 +a(g184 +V\u000a +tp3772 +a(g184 +V +p3773 +tp3774 +a(g47 +Vi +tp3775 +a(g184 +V +tp3776 +a(g338 +V:= +p3777 +tp3778 +a(g184 +V +tp3779 +a(g47 +Vi +tp3780 +a(g184 +V +tp3781 +a(g338 +V- +tp3782 +a(g184 +V +tp3783 +a(g313 +V1 +tp3784 +a(g197 +V; +tp3785 +a(g184 +V\u000a +tp3786 +a(g184 +V +p3787 +tp3788 +a(g7 +VEND +p3789 +tp3790 +a(g197 +V; +tp3791 +a(g184 +V\u000a\u000a +p3792 +tp3793 +a(g184 +V +p3794 +tp3795 +a(g47 +Vtop +p3796 +tp3797 +a(g184 +V +tp3798 +a(g338 +V:= +p3799 +tp3800 +a(g184 +V +tp3801 +a(g47 +Vlastflip +p3802 +tp3803 +a(g184 +V +tp3804 +a(g338 +V+ +tp3805 +a(g184 +V +tp3806 +a(g313 +V1 +tp3807 +a(g197 +V; +tp3808 +a(g184 +V\u000a\u000a +p3809 +tp3810 +a(g184 +V +p3811 +tp3812 +a(g7 +VELSE +p3813 +tp3814 +a(g184 +V\u000a +tp3815 +a(g184 +V +p3816 +tp3817 +a(g37 +V(* force a loop failure *) +p3818 +tp3819 +a(g184 +V\u000a +tp3820 +a(g184 +V +p3821 +tp3822 +a(g47 +Vtop +p3823 +tp3824 +a(g184 +V +tp3825 +a(g338 +V:= +p3826 +tp3827 +a(g184 +V +tp3828 +a(g47 +Vbottom +p3829 +tp3830 +a(g184 +V +tp3831 +a(g338 +V+ +tp3832 +a(g184 +V +tp3833 +a(g313 +V1 +tp3834 +a(g197 +V; +tp3835 +a(g184 +V\u000a +tp3836 +a(g184 +V +p3837 +tp3838 +a(g7 +VEND +p3839 +tp3840 +a(g197 +V; +tp3841 +a(g184 +V\u000a\u000a +p3842 +tp3843 +a(g184 +V +p3844 +tp3845 +a(g7 +VEND +p3846 +tp3847 +a(g197 +V; +tp3848 +a(g184 +V\u000a\u000a +p3849 +tp3850 +a(g184 +V +p3851 +tp3852 +a(g7 +VEND +p3853 +tp3854 +a(g184 +V +tp3855 +a(g47 +VCardBSort +p3856 +tp3857 +a(g197 +V; +tp3858 +a(g184 +V\u000a\u000a\u000a +p3859 +tp3860 +a(g184 +V +p3861 +tp3862 +a(g37 +V(* ----------------------------------------------------- *) +p3863 +tp3864 +a(g184 +V\u000a +tp3865 +a(g184 +V +p3866 +tp3867 +a(g7 +VPROCEDURE +p3868 +tp3869 +a(g184 +V +tp3870 +a(g47 +VRealBSort +p3871 +tp3872 +a(g197 +V( +tp3873 +a(g184 +V +tp3874 +a(g7 +VVAR +p3875 +tp3876 +a(g184 +V +tp3877 +a(g47 +Vx +tp3878 +a(g184 +V +tp3879 +a(g197 +V: +tp3880 +a(g7 +VARRAY +p3881 +tp3882 +a(g184 +V +tp3883 +a(g7 +VOF +p3884 +tp3885 +a(g184 +V +tp3886 +a(g16 +VREAL +p3887 +tp3888 +a(g197 +V; +tp3889 +a(g184 +V +tp3890 +a(g47 +Varray_len +p3891 +tp3892 +a(g184 +V +tp3893 +a(g197 +V: +tp3894 +a(g16 +VCARDINAL +p3895 +tp3896 +a(g184 +V +tp3897 +a(g197 +V) +tp3898 +a(g197 +V; +tp3899 +a(g184 +V\u000a +tp3900 +a(g184 +V +p3901 +tp3902 +a(g7 +VVAR +p3903 +tp3904 +a(g184 +V +tp3905 +a(g47 +Vbottom +p3906 +tp3907 +a(g197 +V, +tp3908 +a(g47 +Vtop +p3909 +tp3910 +a(g184 +V +tp3911 +a(g197 +V: +tp3912 +a(g184 +V +tp3913 +a(g16 +VINTEGER +p3914 +tp3915 +a(g197 +V; +tp3916 +a(g184 +V\u000a +tp3917 +a(g184 +V +p3918 +tp3919 +a(g47 +Vi +tp3920 +a(g197 +V, +tp3921 +a(g47 +Vj +tp3922 +a(g184 +V +p3923 +tp3924 +a(g197 +V: +tp3925 +a(g184 +V +tp3926 +a(g16 +VINTEGER +p3927 +tp3928 +a(g197 +V; +tp3929 +a(g184 +V\u000a +tp3930 +a(g184 +V +p3931 +tp3932 +a(g7 +VBEGIN +p3933 +tp3934 +a(g184 +V\u000a +tp3935 +a(g184 +V +p3936 +tp3937 +a(g47 +Vtop +p3938 +tp3939 +a(g184 +V +p3940 +tp3941 +a(g338 +V:= +p3942 +tp3943 +a(g184 +V +tp3944 +a(g313 +V0 +tp3945 +a(g197 +V; +tp3946 +a(g184 +V +p3947 +tp3948 +a(g37 +V(* open arrays are zero offset *) +p3949 +tp3950 +a(g184 +V\u000a +tp3951 +a(g184 +V +p3952 +tp3953 +a(g47 +Vbottom +p3954 +tp3955 +a(g184 +V +tp3956 +a(g338 +V:= +p3957 +tp3958 +a(g184 +V +tp3959 +a(g16 +VVAL +p3960 +tp3961 +a(g197 +V( +tp3962 +a(g16 +VINTEGER +p3963 +tp3964 +a(g197 +V, +tp3965 +a(g47 +Varray_len +p3966 +tp3967 +a(g197 +V) +tp3968 +a(g184 +V +tp3969 +a(g338 +V- +tp3970 +a(g184 +V +tp3971 +a(g313 +V1 +tp3972 +a(g197 +V; +tp3973 +a(g184 +V\u000a\u000a +p3974 +tp3975 +a(g184 +V +p3976 +tp3977 +a(g7 +VWHILE +p3978 +tp3979 +a(g184 +V +tp3980 +a(g47 +Vtop +p3981 +tp3982 +a(g184 +V +tp3983 +a(g338 +V< +tp3984 +a(g184 +V +tp3985 +a(g47 +Vbottom +p3986 +tp3987 +a(g184 +V +tp3988 +a(g7 +VDO +p3989 +tp3990 +a(g184 +V\u000a\u000a +p3991 +tp3992 +a(g184 +V +p3993 +tp3994 +a(g47 +Vlastflip +p3995 +tp3996 +a(g184 +V +tp3997 +a(g338 +V:= +p3998 +tp3999 +a(g184 +V +tp4000 +a(g47 +Vtop +p4001 +tp4002 +a(g197 +V; +tp4003 +a(g184 +V\u000a\u000a +p4004 +tp4005 +a(g184 +V +p4006 +tp4007 +a(g7 +VFOR +p4008 +tp4009 +a(g184 +V +tp4010 +a(g47 +Vi +tp4011 +a(g184 +V +tp4012 +a(g338 +V:= +p4013 +tp4014 +a(g184 +V +tp4015 +a(g47 +Vtop +p4016 +tp4017 +a(g184 +V +tp4018 +a(g7 +VTO +p4019 +tp4020 +a(g184 +V +tp4021 +a(g47 +Vbottom +p4022 +tp4023 +a(g338 +V- +tp4024 +a(g313 +V1 +tp4025 +a(g184 +V +tp4026 +a(g7 +VDO +p4027 +tp4028 +a(g184 +V\u000a +tp4029 +a(g184 +V +p4030 +tp4031 +a(g7 +VIF +p4032 +tp4033 +a(g184 +V +tp4034 +a(g47 +Vx +tp4035 +a(g197 +V[ +tp4036 +a(g47 +Vi +tp4037 +a(g197 +V] +tp4038 +a(g184 +V +tp4039 +a(g338 +V> +tp4040 +a(g184 +V +tp4041 +a(g47 +Vx +tp4042 +a(g197 +V[ +tp4043 +a(g47 +Vi +tp4044 +a(g338 +V+ +tp4045 +a(g313 +V1 +tp4046 +a(g197 +V] +tp4047 +a(g184 +V +tp4048 +a(g7 +VTHEN +p4049 +tp4050 +a(g184 +V +p4051 +tp4052 +a(g37 +V(* flip *) +p4053 +tp4054 +a(g184 +V\u000a +tp4055 +a(g184 +V +p4056 +tp4057 +a(g47 +Vrtemp +p4058 +tp4059 +a(g184 +V +p4060 +tp4061 +a(g338 +V:= +p4062 +tp4063 +a(g184 +V +tp4064 +a(g47 +Vx +tp4065 +a(g197 +V[ +tp4066 +a(g47 +Vi +tp4067 +a(g197 +V] +tp4068 +a(g197 +V; +tp4069 +a(g184 +V\u000a +tp4070 +a(g184 +V +p4071 +tp4072 +a(g47 +Vx +tp4073 +a(g197 +V[ +tp4074 +a(g47 +Vi +tp4075 +a(g197 +V] +tp4076 +a(g184 +V +p4077 +tp4078 +a(g338 +V:= +p4079 +tp4080 +a(g184 +V +tp4081 +a(g47 +Vx +tp4082 +a(g197 +V[ +tp4083 +a(g47 +Vi +tp4084 +a(g338 +V+ +tp4085 +a(g313 +V1 +tp4086 +a(g197 +V] +tp4087 +a(g197 +V; +tp4088 +a(g184 +V\u000a +tp4089 +a(g184 +V +p4090 +tp4091 +a(g47 +Vx +tp4092 +a(g197 +V[ +tp4093 +a(g47 +Vi +tp4094 +a(g338 +V+ +tp4095 +a(g313 +V1 +tp4096 +a(g197 +V] +tp4097 +a(g184 +V +tp4098 +a(g338 +V:= +p4099 +tp4100 +a(g184 +V +tp4101 +a(g47 +Vrtemp +p4102 +tp4103 +a(g197 +V; +tp4104 +a(g184 +V\u000a +tp4105 +a(g184 +V +p4106 +tp4107 +a(g47 +Vlastflip +p4108 +tp4109 +a(g184 +V +tp4110 +a(g338 +V:= +p4111 +tp4112 +a(g184 +V +tp4113 +a(g47 +Vi +tp4114 +a(g197 +V; +tp4115 +a(g184 +V\u000a +tp4116 +a(g184 +V +p4117 +tp4118 +a(g7 +VEND +p4119 +tp4120 +a(g197 +V; +tp4121 +a(g184 +V\u000a +tp4122 +a(g184 +V +p4123 +tp4124 +a(g7 +VEND +p4125 +tp4126 +a(g197 +V; +tp4127 +a(g184 +V\u000a\u000a +p4128 +tp4129 +a(g184 +V +p4130 +tp4131 +a(g47 +Vbottom +p4132 +tp4133 +a(g184 +V +tp4134 +a(g338 +V:= +p4135 +tp4136 +a(g184 +V +tp4137 +a(g47 +Vlastflip +p4138 +tp4139 +a(g197 +V; +tp4140 +a(g184 +V\u000a\u000a +p4141 +tp4142 +a(g184 +V +p4143 +tp4144 +a(g7 +VIF +p4145 +tp4146 +a(g184 +V +tp4147 +a(g47 +Vbottom +p4148 +tp4149 +a(g184 +V +tp4150 +a(g338 +V> +tp4151 +a(g184 +V +tp4152 +a(g47 +Vtop +p4153 +tp4154 +a(g184 +V +tp4155 +a(g7 +VTHEN +p4156 +tp4157 +a(g184 +V\u000a\u000a +p4158 +tp4159 +a(g184 +V +p4160 +tp4161 +a(g47 +Vi +tp4162 +a(g184 +V +tp4163 +a(g338 +V:= +p4164 +tp4165 +a(g184 +V +tp4166 +a(g47 +Vbottom +p4167 +tp4168 +a(g184 +V +tp4169 +a(g338 +V- +tp4170 +a(g184 +V +tp4171 +a(g313 +V1 +tp4172 +a(g197 +V; +tp4173 +a(g184 +V\u000a +tp4174 +a(g184 +V +p4175 +tp4176 +a(g7 +VFOR +p4177 +tp4178 +a(g184 +V +tp4179 +a(g47 +Vj +tp4180 +a(g184 +V +tp4181 +a(g338 +V:= +p4182 +tp4183 +a(g184 +V +tp4184 +a(g47 +Vtop +p4185 +tp4186 +a(g184 +V +tp4187 +a(g7 +VTO +p4188 +tp4189 +a(g184 +V +tp4190 +a(g47 +Vbottom +p4191 +tp4192 +a(g338 +V- +tp4193 +a(g313 +V1 +tp4194 +a(g184 +V +tp4195 +a(g7 +VDO +p4196 +tp4197 +a(g184 +V\u000a +tp4198 +a(g184 +V +p4199 +tp4200 +a(g7 +VIF +p4201 +tp4202 +a(g184 +V +tp4203 +a(g47 +Vx +tp4204 +a(g197 +V[ +tp4205 +a(g47 +Vi +tp4206 +a(g197 +V] +tp4207 +a(g184 +V +tp4208 +a(g338 +V> +tp4209 +a(g184 +V +tp4210 +a(g47 +Vx +tp4211 +a(g197 +V[ +tp4212 +a(g47 +Vi +tp4213 +a(g338 +V+ +tp4214 +a(g313 +V1 +tp4215 +a(g197 +V] +tp4216 +a(g184 +V +tp4217 +a(g7 +VTHEN +p4218 +tp4219 +a(g184 +V +p4220 +tp4221 +a(g37 +V(* flip *) +p4222 +tp4223 +a(g184 +V\u000a +tp4224 +a(g184 +V +p4225 +tp4226 +a(g47 +Vrtemp +p4227 +tp4228 +a(g184 +V +p4229 +tp4230 +a(g338 +V:= +p4231 +tp4232 +a(g184 +V +tp4233 +a(g47 +Vx +tp4234 +a(g197 +V[ +tp4235 +a(g47 +Vi +tp4236 +a(g197 +V] +tp4237 +a(g197 +V; +tp4238 +a(g184 +V\u000a +tp4239 +a(g184 +V +p4240 +tp4241 +a(g47 +Vx +tp4242 +a(g197 +V[ +tp4243 +a(g47 +Vi +tp4244 +a(g197 +V] +tp4245 +a(g184 +V +p4246 +tp4247 +a(g338 +V:= +p4248 +tp4249 +a(g184 +V +tp4250 +a(g47 +Vx +tp4251 +a(g197 +V[ +tp4252 +a(g47 +Vi +tp4253 +a(g338 +V+ +tp4254 +a(g313 +V1 +tp4255 +a(g197 +V] +tp4256 +a(g197 +V; +tp4257 +a(g184 +V\u000a +tp4258 +a(g184 +V +p4259 +tp4260 +a(g47 +Vx +tp4261 +a(g197 +V[ +tp4262 +a(g47 +Vi +tp4263 +a(g338 +V+ +tp4264 +a(g313 +V1 +tp4265 +a(g197 +V] +tp4266 +a(g184 +V +tp4267 +a(g338 +V:= +p4268 +tp4269 +a(g184 +V +tp4270 +a(g47 +Vrtemp +p4271 +tp4272 +a(g197 +V; +tp4273 +a(g184 +V\u000a +tp4274 +a(g184 +V +p4275 +tp4276 +a(g47 +Vlastflip +p4277 +tp4278 +a(g184 +V +tp4279 +a(g338 +V:= +p4280 +tp4281 +a(g184 +V +tp4282 +a(g47 +Vi +tp4283 +a(g197 +V; +tp4284 +a(g184 +V\u000a +tp4285 +a(g184 +V +p4286 +tp4287 +a(g7 +VEND +p4288 +tp4289 +a(g197 +V; +tp4290 +a(g184 +V\u000a +tp4291 +a(g184 +V +p4292 +tp4293 +a(g47 +Vi +tp4294 +a(g184 +V +tp4295 +a(g338 +V:= +p4296 +tp4297 +a(g184 +V +tp4298 +a(g47 +Vi +tp4299 +a(g184 +V +tp4300 +a(g338 +V- +tp4301 +a(g184 +V +tp4302 +a(g313 +V1 +tp4303 +a(g197 +V; +tp4304 +a(g184 +V\u000a +tp4305 +a(g184 +V +p4306 +tp4307 +a(g7 +VEND +p4308 +tp4309 +a(g197 +V; +tp4310 +a(g184 +V\u000a\u000a +p4311 +tp4312 +a(g184 +V +p4313 +tp4314 +a(g47 +Vtop +p4315 +tp4316 +a(g184 +V +tp4317 +a(g338 +V:= +p4318 +tp4319 +a(g184 +V +tp4320 +a(g47 +Vlastflip +p4321 +tp4322 +a(g184 +V +tp4323 +a(g338 +V+ +tp4324 +a(g184 +V +tp4325 +a(g313 +V1 +tp4326 +a(g197 +V; +tp4327 +a(g184 +V\u000a\u000a +p4328 +tp4329 +a(g184 +V +p4330 +tp4331 +a(g7 +VELSE +p4332 +tp4333 +a(g184 +V\u000a +tp4334 +a(g184 +V +p4335 +tp4336 +a(g37 +V(* force a loop failure *) +p4337 +tp4338 +a(g184 +V\u000a +tp4339 +a(g184 +V +p4340 +tp4341 +a(g47 +Vtop +p4342 +tp4343 +a(g184 +V +tp4344 +a(g338 +V:= +p4345 +tp4346 +a(g184 +V +tp4347 +a(g47 +Vbottom +p4348 +tp4349 +a(g184 +V +tp4350 +a(g338 +V+ +tp4351 +a(g184 +V +tp4352 +a(g313 +V1 +tp4353 +a(g197 +V; +tp4354 +a(g184 +V\u000a +tp4355 +a(g184 +V +p4356 +tp4357 +a(g7 +VEND +p4358 +tp4359 +a(g197 +V; +tp4360 +a(g184 +V\u000a\u000a +p4361 +tp4362 +a(g184 +V +p4363 +tp4364 +a(g7 +VEND +p4365 +tp4366 +a(g197 +V; +tp4367 +a(g184 +V\u000a\u000a +p4368 +tp4369 +a(g184 +V +p4370 +tp4371 +a(g7 +VEND +p4372 +tp4373 +a(g184 +V +tp4374 +a(g47 +VRealBSort +p4375 +tp4376 +a(g197 +V; +tp4377 +a(g184 +V\u000a\u000a\u000a +p4378 +tp4379 +a(g184 +V +p4380 +tp4381 +a(g37 +V(* ----------------------------------------------------- *) +p4382 +tp4383 +a(g184 +V\u000a +tp4384 +a(g184 +V +p4385 +tp4386 +a(g7 +VPROCEDURE +p4387 +tp4388 +a(g184 +V +tp4389 +a(g47 +VTopoSort +p4390 +tp4391 +a(g197 +V( +tp4392 +a(g184 +V +tp4393 +a(g47 +Vx +tp4394 +a(g197 +V, +tp4395 +a(g184 +V +tp4396 +a(g47 +Vy +tp4397 +a(g184 +V +tp4398 +a(g197 +V: +tp4399 +a(g7 +VARRAY +p4400 +tp4401 +a(g184 +V +tp4402 +a(g7 +VOF +p4403 +tp4404 +a(g184 +V +tp4405 +a(g16 +VCARDINAL +p4406 +tp4407 +a(g197 +V; +tp4408 +a(g184 +V +tp4409 +a(g47 +Vn_pairs +p4410 +tp4411 +a(g184 +V +tp4412 +a(g197 +V: +tp4413 +a(g16 +VCARDINAL +p4414 +tp4415 +a(g197 +V; +tp4416 +a(g184 +V\u000a +tp4417 +a(g184 +V +p4418 +tp4419 +a(g7 +VVAR +p4420 +tp4421 +a(g184 +V +tp4422 +a(g47 +Vsolution +p4423 +tp4424 +a(g184 +V +tp4425 +a(g197 +V: +tp4426 +a(g7 +VARRAY +p4427 +tp4428 +a(g184 +V +tp4429 +a(g7 +VOF +p4430 +tp4431 +a(g184 +V +tp4432 +a(g16 +VCARDINAL +p4433 +tp4434 +a(g197 +V; +tp4435 +a(g184 +V +tp4436 +a(g7 +VVAR +p4437 +tp4438 +a(g184 +V +tp4439 +a(g47 +Vn_solution +p4440 +tp4441 +a(g184 +V +tp4442 +a(g197 +V: +tp4443 +a(g16 +VCARDINAL +p4444 +tp4445 +a(g197 +V; +tp4446 +a(g184 +V\u000a +tp4447 +a(g184 +V +p4448 +tp4449 +a(g7 +VVAR +p4450 +tp4451 +a(g184 +V +tp4452 +a(g47 +Verror +p4453 +tp4454 +a(g197 +V, +tp4455 +a(g184 +V +tp4456 +a(g47 +Vsorted +p4457 +tp4458 +a(g184 +V +tp4459 +a(g197 +V: +tp4460 +a(g16 +VBOOLEAN +p4461 +tp4462 +a(g184 +V +tp4463 +a(g197 +V) +tp4464 +a(g197 +V; +tp4465 +a(g184 +V\u000a +tp4466 +a(g184 +V +p4467 +tp4468 +a(g37 +V(*\u000a This procedure needs some garbage collection added, i've tried but\u000a will little success. J. Andrea, Dec.18/91\u000a *) +p4469 +tp4470 +a(g184 +V\u000a\u000a +p4471 +tp4472 +a(g184 +V +p4473 +tp4474 +a(g7 +VTYPE +p4475 +tp4476 +a(g184 +V\u000a +tp4477 +a(g184 +V +p4478 +tp4479 +a(g47 +VLPtr +p4480 +tp4481 +a(g184 +V +tp4482 +a(g338 +V= +tp4483 +a(g184 +V +tp4484 +a(g7 +VPOINTER +p4485 +tp4486 +a(g184 +V +tp4487 +a(g7 +VTO +p4488 +tp4489 +a(g184 +V +tp4490 +a(g47 +VLeader +p4491 +tp4492 +a(g197 +V; +tp4493 +a(g184 +V\u000a +tp4494 +a(g184 +V +p4495 +tp4496 +a(g47 +VTPtr +p4497 +tp4498 +a(g184 +V +tp4499 +a(g338 +V= +tp4500 +a(g184 +V +tp4501 +a(g7 +VPOINTER +p4502 +tp4503 +a(g184 +V +tp4504 +a(g7 +VTO +p4505 +tp4506 +a(g184 +V +tp4507 +a(g47 +VTrailer +p4508 +tp4509 +a(g197 +V; +tp4510 +a(g184 +V\u000a\u000a +p4511 +tp4512 +a(g184 +V +p4513 +tp4514 +a(g47 +VLeader +p4515 +tp4516 +a(g184 +V +tp4517 +a(g338 +V= +tp4518 +a(g184 +V +tp4519 +a(g7 +VRECORD +p4520 +tp4521 +a(g184 +V\u000a +tp4522 +a(g184 +V +p4523 +tp4524 +a(g47 +Vkey +p4525 +tp4526 +a(g184 +V +p4527 +tp4528 +a(g197 +V: +tp4529 +a(g16 +VCARDINAL +p4530 +tp4531 +a(g197 +V; +tp4532 +a(g184 +V\u000a +tp4533 +a(g184 +V +p4534 +tp4535 +a(g47 +Vcount +p4536 +tp4537 +a(g184 +V +tp4538 +a(g197 +V: +tp4539 +a(g16 +VINTEGER +p4540 +tp4541 +a(g197 +V; +tp4542 +a(g184 +V\u000a +tp4543 +a(g184 +V +p4544 +tp4545 +a(g47 +Vtrail +p4546 +tp4547 +a(g184 +V +tp4548 +a(g197 +V: +tp4549 +a(g47 +VTPtr +p4550 +tp4551 +a(g197 +V; +tp4552 +a(g184 +V\u000a +tp4553 +a(g184 +V +p4554 +tp4555 +a(g47 +Vnext +p4556 +tp4557 +a(g184 +V +p4558 +tp4559 +a(g197 +V: +tp4560 +a(g47 +VLPtr +p4561 +tp4562 +a(g197 +V; +tp4563 +a(g184 +V\u000a +tp4564 +a(g184 +V +p4565 +tp4566 +a(g7 +VEND +p4567 +tp4568 +a(g197 +V; +tp4569 +a(g184 +V\u000a\u000a +p4570 +tp4571 +a(g184 +V +p4572 +tp4573 +a(g47 +VTrailer +p4574 +tp4575 +a(g184 +V +tp4576 +a(g338 +V= +tp4577 +a(g184 +V +tp4578 +a(g7 +VRECORD +p4579 +tp4580 +a(g184 +V\u000a +tp4581 +a(g184 +V +p4582 +tp4583 +a(g47 +Vid +p4584 +tp4585 +a(g184 +V +p4586 +tp4587 +a(g197 +V: +tp4588 +a(g47 +VLPtr +p4589 +tp4590 +a(g197 +V; +tp4591 +a(g184 +V\u000a +tp4592 +a(g184 +V +p4593 +tp4594 +a(g47 +Vnext +p4595 +tp4596 +a(g184 +V +tp4597 +a(g197 +V: +tp4598 +a(g47 +VTPtr +p4599 +tp4600 +a(g197 +V; +tp4601 +a(g184 +V\u000a +tp4602 +a(g184 +V +p4603 +tp4604 +a(g7 +VEND +p4605 +tp4606 +a(g197 +V; +tp4607 +a(g184 +V\u000a\u000a +p4608 +tp4609 +a(g184 +V +p4610 +tp4611 +a(g7 +VVAR +p4612 +tp4613 +a(g184 +V\u000a +tp4614 +a(g184 +V +p4615 +tp4616 +a(g47 +Vp +tp4617 +a(g197 +V, +tp4618 +a(g184 +V +tp4619 +a(g47 +Vq +tp4620 +a(g197 +V, +tp4621 +a(g184 +V +tp4622 +a(g47 +Vhead +p4623 +tp4624 +a(g197 +V, +tp4625 +a(g184 +V +tp4626 +a(g47 +Vtail +p4627 +tp4628 +a(g184 +V +tp4629 +a(g197 +V: +tp4630 +a(g47 +VLPtr +p4631 +tp4632 +a(g197 +V; +tp4633 +a(g184 +V\u000a +tp4634 +a(g184 +V +p4635 +tp4636 +a(g47 +Vt +tp4637 +a(g184 +V +p4638 +tp4639 +a(g197 +V: +tp4640 +a(g47 +VTPtr +p4641 +tp4642 +a(g197 +V; +tp4643 +a(g184 +V\u000a +tp4644 +a(g184 +V +p4645 +tp4646 +a(g47 +Vi +tp4647 +a(g197 +V, +tp4648 +a(g184 +V +tp4649 +a(g47 +Vmax_solutions +p4650 +tp4651 +a(g184 +V +tp4652 +a(g197 +V: +tp4653 +a(g16 +VCARDINAL +p4654 +tp4655 +a(g197 +V; +tp4656 +a(g184 +V\u000a\u000a +p4657 +tp4658 +a(g184 +V +p4659 +tp4660 +a(g37 +V(* -------------------------------------------- *) +p4661 +tp4662 +a(g184 +V\u000a +tp4663 +a(g184 +V +p4664 +tp4665 +a(g7 +VPROCEDURE +p4666 +tp4667 +a(g184 +V +tp4668 +a(g47 +VFind +p4669 +tp4670 +a(g197 +V( +tp4671 +a(g184 +V +tp4672 +a(g47 +Vw +tp4673 +a(g184 +V +tp4674 +a(g197 +V: +tp4675 +a(g16 +VCARDINAL +p4676 +tp4677 +a(g184 +V +tp4678 +a(g197 +V) +tp4679 +a(g184 +V +tp4680 +a(g197 +V: +tp4681 +a(g47 +VLPtr +p4682 +tp4683 +a(g197 +V; +tp4684 +a(g184 +V\u000a +tp4685 +a(g184 +V +p4686 +tp4687 +a(g7 +VVAR +p4688 +tp4689 +a(g184 +V +tp4690 +a(g47 +Vh +tp4691 +a(g184 +V +tp4692 +a(g197 +V: +tp4693 +a(g47 +VLPtr +p4694 +tp4695 +a(g197 +V; +tp4696 +a(g184 +V\u000a +tp4697 +a(g184 +V +p4698 +tp4699 +a(g7 +VBEGIN +p4700 +tp4701 +a(g184 +V\u000a +tp4702 +a(g184 +V +p4703 +tp4704 +a(g47 +Vh +tp4705 +a(g184 +V +tp4706 +a(g338 +V:= +p4707 +tp4708 +a(g184 +V +tp4709 +a(g47 +Vhead +p4710 +tp4711 +a(g197 +V; +tp4712 +a(g184 +V +p4713 +tp4714 +a(g47 +Vtail +p4715 +tp4716 +a(g338 +V^ +tp4717 +a(g197 +V. +tp4718 +a(g47 +Vkey +p4719 +tp4720 +a(g184 +V +tp4721 +a(g338 +V:= +p4722 +tp4723 +a(g184 +V +tp4724 +a(g47 +Vw +tp4725 +a(g197 +V; +tp4726 +a(g184 +V +p4727 +tp4728 +a(g37 +V(* sentinel *) +p4729 +tp4730 +a(g184 +V\u000a +tp4731 +a(g184 +V +p4732 +tp4733 +a(g7 +VWHILE +p4734 +tp4735 +a(g184 +V +tp4736 +a(g47 +Vh +tp4737 +a(g338 +V^ +tp4738 +a(g197 +V. +tp4739 +a(g47 +Vkey +p4740 +tp4741 +a(g184 +V +tp4742 +a(g338 +V# +tp4743 +a(g184 +V +tp4744 +a(g47 +Vw +tp4745 +a(g184 +V +tp4746 +a(g7 +VDO +p4747 +tp4748 +a(g184 +V\u000a +tp4749 +a(g184 +V +p4750 +tp4751 +a(g47 +Vh +tp4752 +a(g184 +V +tp4753 +a(g338 +V:= +p4754 +tp4755 +a(g184 +V +tp4756 +a(g47 +Vh +tp4757 +a(g338 +V^ +tp4758 +a(g197 +V. +tp4759 +a(g47 +Vnext +p4760 +tp4761 +a(g197 +V; +tp4762 +a(g184 +V\u000a +tp4763 +a(g184 +V +p4764 +tp4765 +a(g7 +VEND +p4766 +tp4767 +a(g197 +V; +tp4768 +a(g184 +V\u000a +tp4769 +a(g184 +V +p4770 +tp4771 +a(g7 +VIF +p4772 +tp4773 +a(g184 +V +tp4774 +a(g47 +Vh +tp4775 +a(g184 +V +tp4776 +a(g338 +V= +tp4777 +a(g184 +V +tp4778 +a(g47 +Vtail +p4779 +tp4780 +a(g184 +V +tp4781 +a(g7 +VTHEN +p4782 +tp4783 +a(g184 +V\u000a +tp4784 +a(g184 +V +p4785 +tp4786 +a(g16 +VNEW +p4787 +tp4788 +a(g197 +V( +tp4789 +a(g184 +V +tp4790 +a(g47 +Vtail +p4791 +tp4792 +a(g184 +V +tp4793 +a(g197 +V) +tp4794 +a(g197 +V; +tp4795 +a(g184 +V\u000a +tp4796 +a(g184 +V +p4797 +tp4798 +a(g47 +Vn +tp4799 +a(g184 +V +tp4800 +a(g338 +V:= +p4801 +tp4802 +a(g184 +V +tp4803 +a(g47 +Vn +tp4804 +a(g184 +V +tp4805 +a(g338 +V+ +tp4806 +a(g184 +V +tp4807 +a(g313 +V1 +tp4808 +a(g197 +V; +tp4809 +a(g184 +V\u000a +tp4810 +a(g184 +V +p4811 +tp4812 +a(g47 +Vh +tp4813 +a(g338 +V^ +tp4814 +a(g197 +V. +tp4815 +a(g47 +Vcount +p4816 +tp4817 +a(g184 +V +tp4818 +a(g338 +V:= +p4819 +tp4820 +a(g184 +V +tp4821 +a(g313 +V0 +tp4822 +a(g197 +V; +tp4823 +a(g184 +V\u000a +tp4824 +a(g184 +V +p4825 +tp4826 +a(g47 +Vh +tp4827 +a(g338 +V^ +tp4828 +a(g197 +V. +tp4829 +a(g47 +Vtrail +p4830 +tp4831 +a(g184 +V +tp4832 +a(g338 +V:= +p4833 +tp4834 +a(g184 +V +tp4835 +a(g16 +VNIL +p4836 +tp4837 +a(g197 +V; +tp4838 +a(g184 +V\u000a +tp4839 +a(g184 +V +p4840 +tp4841 +a(g47 +Vh +tp4842 +a(g338 +V^ +tp4843 +a(g197 +V. +tp4844 +a(g47 +Vnext +p4845 +tp4846 +a(g184 +V +p4847 +tp4848 +a(g338 +V:= +p4849 +tp4850 +a(g184 +V +tp4851 +a(g47 +Vtail +p4852 +tp4853 +a(g197 +V; +tp4854 +a(g184 +V\u000a +tp4855 +a(g184 +V +p4856 +tp4857 +a(g7 +VEND +p4858 +tp4859 +a(g197 +V; +tp4860 +a(g184 +V\u000a +tp4861 +a(g184 +V +p4862 +tp4863 +a(g7 +VRETURN +p4864 +tp4865 +a(g184 +V +tp4866 +a(g47 +Vh +tp4867 +a(g197 +V; +tp4868 +a(g184 +V\u000a +tp4869 +a(g184 +V +p4870 +tp4871 +a(g7 +VEND +p4872 +tp4873 +a(g184 +V +tp4874 +a(g47 +VFind +p4875 +tp4876 +a(g197 +V; +tp4877 +a(g184 +V\u000a\u000a +p4878 +tp4879 +a(g184 +V +p4880 +tp4881 +a(g7 +VBEGIN +p4882 +tp4883 +a(g184 +V\u000a\u000a +p4884 +tp4885 +a(g184 +V +p4886 +tp4887 +a(g47 +Verror +p4888 +tp4889 +a(g184 +V +p4890 +tp4891 +a(g338 +V:= +p4892 +tp4893 +a(g184 +V +tp4894 +a(g16 +VFALSE +p4895 +tp4896 +a(g197 +V; +tp4897 +a(g184 +V\u000a +tp4898 +a(g184 +V +p4899 +tp4900 +a(g47 +Vn_solution +p4901 +tp4902 +a(g184 +V +tp4903 +a(g338 +V:= +p4904 +tp4905 +a(g184 +V +tp4906 +a(g313 +V0 +tp4907 +a(g197 +V; +tp4908 +a(g184 +V\u000a\u000a +p4909 +tp4910 +a(g184 +V +p4911 +tp4912 +a(g7 +VIF +p4913 +tp4914 +a(g184 +V +tp4915 +a(g47 +Vn_pairs +p4916 +tp4917 +a(g184 +V +tp4918 +a(g338 +V< +tp4919 +a(g184 +V +tp4920 +a(g313 +V2 +tp4921 +a(g184 +V +tp4922 +a(g7 +VTHEN +p4923 +tp4924 +a(g184 +V\u000a +tp4925 +a(g184 +V +p4926 +tp4927 +a(g47 +Verror +p4928 +tp4929 +a(g184 +V +tp4930 +a(g338 +V:= +p4931 +tp4932 +a(g184 +V +tp4933 +a(g16 +VTRUE +p4934 +tp4935 +a(g197 +V; +tp4936 +a(g184 +V\u000a +tp4937 +a(g184 +V +p4938 +tp4939 +a(g7 +VELSE +p4940 +tp4941 +a(g184 +V\u000a\u000a +p4942 +tp4943 +a(g184 +V +p4944 +tp4945 +a(g47 +Vmax_solutions +p4946 +tp4947 +a(g184 +V +tp4948 +a(g338 +V:= +p4949 +tp4950 +a(g184 +V +tp4951 +a(g16 +VHIGH +p4952 +tp4953 +a(g197 +V( +tp4954 +a(g184 +V +tp4955 +a(g47 +Vsolution +p4956 +tp4957 +a(g184 +V +tp4958 +a(g197 +V) +tp4959 +a(g184 +V +tp4960 +a(g338 +V+ +tp4961 +a(g184 +V +tp4962 +a(g313 +V1 +tp4963 +a(g197 +V; +tp4964 +a(g184 +V\u000a\u000a +p4965 +tp4966 +a(g184 +V +p4967 +tp4968 +a(g16 +VNEW +p4969 +tp4970 +a(g197 +V( +tp4971 +a(g184 +V +tp4972 +a(g47 +Vhead +p4973 +tp4974 +a(g184 +V +tp4975 +a(g197 +V) +tp4976 +a(g197 +V; +tp4977 +a(g184 +V +p4978 +tp4979 +a(g47 +Vtail +p4980 +tp4981 +a(g184 +V +tp4982 +a(g338 +V:= +p4983 +tp4984 +a(g184 +V +tp4985 +a(g47 +Vhead +p4986 +tp4987 +a(g197 +V; +tp4988 +a(g184 +V +p4989 +tp4990 +a(g47 +Vn +tp4991 +a(g184 +V +tp4992 +a(g338 +V:= +p4993 +tp4994 +a(g184 +V +tp4995 +a(g313 +V0 +tp4996 +a(g197 +V; +tp4997 +a(g184 +V\u000a\u000a +p4998 +tp4999 +a(g184 +V +p5000 +tp5001 +a(g37 +V(* add all of the given pairs *) +p5002 +tp5003 +a(g184 +V\u000a\u000a +p5004 +tp5005 +a(g184 +V +p5006 +tp5007 +a(g7 +VFOR +p5008 +tp5009 +a(g184 +V +tp5010 +a(g47 +Vi +tp5011 +a(g184 +V +tp5012 +a(g338 +V:= +p5013 +tp5014 +a(g184 +V +tp5015 +a(g313 +V0 +tp5016 +a(g184 +V +tp5017 +a(g7 +VTO +p5018 +tp5019 +a(g184 +V +tp5020 +a(g47 +Vn_pairs +p5021 +tp5022 +a(g184 +V +tp5023 +a(g338 +V- +tp5024 +a(g184 +V +tp5025 +a(g313 +V1 +tp5026 +a(g184 +V +tp5027 +a(g7 +VDO +p5028 +tp5029 +a(g184 +V\u000a +tp5030 +a(g184 +V +p5031 +tp5032 +a(g47 +Vp +tp5033 +a(g184 +V +tp5034 +a(g338 +V:= +p5035 +tp5036 +a(g184 +V +tp5037 +a(g47 +VFind +p5038 +tp5039 +a(g197 +V( +tp5040 +a(g184 +V +tp5041 +a(g47 +Vx +tp5042 +a(g197 +V[ +tp5043 +a(g47 +Vi +tp5044 +a(g197 +V] +tp5045 +a(g184 +V +tp5046 +a(g197 +V) +tp5047 +a(g197 +V; +tp5048 +a(g184 +V +p5049 +tp5050 +a(g47 +Vq +tp5051 +a(g184 +V +tp5052 +a(g338 +V:= +p5053 +tp5054 +a(g184 +V +tp5055 +a(g47 +VFind +p5056 +tp5057 +a(g197 +V( +tp5058 +a(g184 +V +tp5059 +a(g47 +Vy +tp5060 +a(g197 +V[ +tp5061 +a(g47 +Vi +tp5062 +a(g197 +V] +tp5063 +a(g184 +V +tp5064 +a(g197 +V) +tp5065 +a(g197 +V; +tp5066 +a(g184 +V\u000a +tp5067 +a(g184 +V +p5068 +tp5069 +a(g16 +VNEW +p5070 +tp5071 +a(g197 +V( +tp5072 +a(g47 +Vt +tp5073 +a(g197 +V) +tp5074 +a(g197 +V; +tp5075 +a(g184 +V\u000a +tp5076 +a(g184 +V +p5077 +tp5078 +a(g47 +Vt +tp5079 +a(g338 +V^ +tp5080 +a(g197 +V. +tp5081 +a(g47 +Vid +p5082 +tp5083 +a(g184 +V +p5084 +tp5085 +a(g338 +V:= +p5086 +tp5087 +a(g184 +V +tp5088 +a(g47 +Vq +tp5089 +a(g197 +V; +tp5090 +a(g184 +V\u000a +tp5091 +a(g184 +V +p5092 +tp5093 +a(g47 +Vt +tp5094 +a(g338 +V^ +tp5095 +a(g197 +V. +tp5096 +a(g47 +Vnext +p5097 +tp5098 +a(g184 +V +p5099 +tp5100 +a(g338 +V:= +p5101 +tp5102 +a(g184 +V +tp5103 +a(g47 +Vp +tp5104 +a(g338 +V^ +tp5105 +a(g197 +V. +tp5106 +a(g47 +Vtrail +p5107 +tp5108 +a(g197 +V; +tp5109 +a(g184 +V\u000a +tp5110 +a(g184 +V +p5111 +tp5112 +a(g47 +Vp +tp5113 +a(g338 +V^ +tp5114 +a(g197 +V. +tp5115 +a(g47 +Vtrail +p5116 +tp5117 +a(g184 +V +tp5118 +a(g338 +V:= +p5119 +tp5120 +a(g184 +V +tp5121 +a(g47 +Vt +tp5122 +a(g197 +V; +tp5123 +a(g184 +V\u000a +tp5124 +a(g184 +V +p5125 +tp5126 +a(g47 +Vq +tp5127 +a(g338 +V^ +tp5128 +a(g197 +V. +tp5129 +a(g47 +Vcount +p5130 +tp5131 +a(g184 +V +tp5132 +a(g338 +V:= +p5133 +tp5134 +a(g184 +V +tp5135 +a(g47 +Vq +tp5136 +a(g338 +V^ +tp5137 +a(g197 +V. +tp5138 +a(g47 +Vcount +p5139 +tp5140 +a(g184 +V +tp5141 +a(g338 +V+ +tp5142 +a(g184 +V +tp5143 +a(g313 +V1 +tp5144 +a(g197 +V; +tp5145 +a(g184 +V\u000a +tp5146 +a(g184 +V +p5147 +tp5148 +a(g7 +VEND +p5149 +tp5150 +a(g197 +V; +tp5151 +a(g184 +V\u000a\u000a +p5152 +tp5153 +a(g184 +V +p5154 +tp5155 +a(g37 +V(* search for leaders without predecessors *) +p5156 +tp5157 +a(g184 +V\u000a\u000a +p5158 +tp5159 +a(g184 +V +p5160 +tp5161 +a(g47 +Vp +tp5162 +a(g184 +V +tp5163 +a(g338 +V:= +p5164 +tp5165 +a(g184 +V +tp5166 +a(g47 +Vhead +p5167 +tp5168 +a(g197 +V; +tp5169 +a(g184 +V +p5170 +tp5171 +a(g47 +Vhead +p5172 +tp5173 +a(g184 +V +tp5174 +a(g338 +V:= +p5175 +tp5176 +a(g184 +V +tp5177 +a(g16 +VNIL +p5178 +tp5179 +a(g197 +V; +tp5180 +a(g184 +V\u000a +tp5181 +a(g184 +V +p5182 +tp5183 +a(g7 +VWHILE +p5184 +tp5185 +a(g184 +V +tp5186 +a(g47 +Vp +tp5187 +a(g184 +V +tp5188 +a(g338 +V# +tp5189 +a(g184 +V +tp5190 +a(g47 +Vtail +p5191 +tp5192 +a(g184 +V +tp5193 +a(g7 +VDO +p5194 +tp5195 +a(g184 +V\u000a +tp5196 +a(g184 +V +p5197 +tp5198 +a(g47 +Vq +tp5199 +a(g184 +V +tp5200 +a(g338 +V:= +p5201 +tp5202 +a(g184 +V +tp5203 +a(g47 +Vp +tp5204 +a(g197 +V; +tp5205 +a(g184 +V +p5206 +tp5207 +a(g47 +Vp +tp5208 +a(g184 +V +tp5209 +a(g338 +V:= +p5210 +tp5211 +a(g184 +V +tp5212 +a(g47 +Vq +tp5213 +a(g338 +V^ +tp5214 +a(g197 +V. +tp5215 +a(g47 +Vnext +p5216 +tp5217 +a(g197 +V; +tp5218 +a(g184 +V\u000a +tp5219 +a(g184 +V +p5220 +tp5221 +a(g7 +VIF +p5222 +tp5223 +a(g184 +V +tp5224 +a(g47 +Vq +tp5225 +a(g338 +V^ +tp5226 +a(g197 +V. +tp5227 +a(g47 +Vcount +p5228 +tp5229 +a(g184 +V +tp5230 +a(g338 +V= +tp5231 +a(g184 +V +tp5232 +a(g313 +V0 +tp5233 +a(g184 +V +tp5234 +a(g7 +VTHEN +p5235 +tp5236 +a(g184 +V\u000a +tp5237 +a(g184 +V +p5238 +tp5239 +a(g37 +V(* insert q^ in new chain *) +p5240 +tp5241 +a(g184 +V\u000a +tp5242 +a(g184 +V +p5243 +tp5244 +a(g47 +Vq +tp5245 +a(g338 +V^ +tp5246 +a(g197 +V. +tp5247 +a(g47 +Vnext +p5248 +tp5249 +a(g184 +V +tp5250 +a(g338 +V:= +p5251 +tp5252 +a(g184 +V +tp5253 +a(g47 +Vhead +p5254 +tp5255 +a(g197 +V; +tp5256 +a(g184 +V +p5257 +tp5258 +a(g47 +Vhead +p5259 +tp5260 +a(g184 +V +tp5261 +a(g338 +V:= +p5262 +tp5263 +a(g184 +V +tp5264 +a(g47 +Vq +tp5265 +a(g197 +V; +tp5266 +a(g184 +V\u000a +tp5267 +a(g184 +V +p5268 +tp5269 +a(g7 +VEND +p5270 +tp5271 +a(g197 +V; +tp5272 +a(g184 +V\u000a +tp5273 +a(g184 +V +p5274 +tp5275 +a(g7 +VEND +p5276 +tp5277 +a(g197 +V; +tp5278 +a(g184 +V\u000a\u000a +p5279 +tp5280 +a(g184 +V +p5281 +tp5282 +a(g37 +V(* output phase *) +p5283 +tp5284 +a(g184 +V\u000a\u000a +p5285 +tp5286 +a(g184 +V +p5287 +tp5288 +a(g47 +Vq +tp5289 +a(g184 +V +tp5290 +a(g338 +V:= +p5291 +tp5292 +a(g184 +V +tp5293 +a(g47 +Vhead +p5294 +tp5295 +a(g197 +V; +tp5296 +a(g184 +V\u000a +tp5297 +a(g184 +V +p5298 +tp5299 +a(g7 +VWHILE +p5300 +tp5301 +a(g184 +V +tp5302 +a(g197 +V( +tp5303 +a(g184 +V +tp5304 +a(g7 +VNOT +p5305 +tp5306 +a(g184 +V +tp5307 +a(g47 +Verror +p5308 +tp5309 +a(g184 +V +tp5310 +a(g197 +V) +tp5311 +a(g184 +V +tp5312 +a(g338 +V& +tp5313 +a(g184 +V +tp5314 +a(g197 +V( +tp5315 +a(g184 +V +tp5316 +a(g47 +Vq +tp5317 +a(g184 +V +tp5318 +a(g338 +V# +tp5319 +a(g184 +V +tp5320 +a(g16 +VNIL +p5321 +tp5322 +a(g184 +V +tp5323 +a(g197 +V) +tp5324 +a(g184 +V +tp5325 +a(g7 +VDO +p5326 +tp5327 +a(g184 +V\u000a +tp5328 +a(g184 +V +p5329 +tp5330 +a(g47 +Vn_solution +p5331 +tp5332 +a(g184 +V +tp5333 +a(g338 +V:= +p5334 +tp5335 +a(g184 +V +tp5336 +a(g47 +Vn_solution +p5337 +tp5338 +a(g184 +V +tp5339 +a(g338 +V+ +tp5340 +a(g184 +V +tp5341 +a(g313 +V1 +tp5342 +a(g197 +V; +tp5343 +a(g184 +V\u000a +tp5344 +a(g184 +V +p5345 +tp5346 +a(g7 +VIF +p5347 +tp5348 +a(g184 +V +tp5349 +a(g47 +Vn_solution +p5350 +tp5351 +a(g184 +V +tp5352 +a(g338 +V> +tp5353 +a(g184 +V +tp5354 +a(g47 +Vmax_solutions +p5355 +tp5356 +a(g184 +V +tp5357 +a(g7 +VTHEN +p5358 +tp5359 +a(g184 +V\u000a +tp5360 +a(g184 +V +p5361 +tp5362 +a(g47 +Verror +p5363 +tp5364 +a(g184 +V +tp5365 +a(g338 +V:= +p5366 +tp5367 +a(g184 +V +tp5368 +a(g16 +VTRUE +p5369 +tp5370 +a(g197 +V; +tp5371 +a(g184 +V\u000a +tp5372 +a(g184 +V +p5373 +tp5374 +a(g7 +VELSE +p5375 +tp5376 +a(g184 +V\u000a\u000a +p5377 +tp5378 +a(g184 +V +p5379 +tp5380 +a(g47 +Vsolution +p5381 +tp5382 +a(g197 +V[ +tp5383 +a(g47 +Vn_solution +p5384 +tp5385 +a(g338 +V- +tp5386 +a(g313 +V1 +tp5387 +a(g197 +V] +tp5388 +a(g184 +V +tp5389 +a(g338 +V:= +p5390 +tp5391 +a(g184 +V +tp5392 +a(g47 +Vq +tp5393 +a(g338 +V^ +tp5394 +a(g197 +V. +tp5395 +a(g47 +Vkey +p5396 +tp5397 +a(g197 +V; +tp5398 +a(g184 +V\u000a +tp5399 +a(g184 +V +p5400 +tp5401 +a(g47 +Vn +tp5402 +a(g184 +V +tp5403 +a(g338 +V:= +p5404 +tp5405 +a(g184 +V +tp5406 +a(g47 +Vn +tp5407 +a(g184 +V +tp5408 +a(g338 +V- +tp5409 +a(g184 +V +tp5410 +a(g313 +V1 +tp5411 +a(g197 +V; +tp5412 +a(g184 +V\u000a +tp5413 +a(g184 +V +p5414 +tp5415 +a(g47 +Vt +tp5416 +a(g184 +V +tp5417 +a(g338 +V:= +p5418 +tp5419 +a(g184 +V +tp5420 +a(g47 +Vq +tp5421 +a(g338 +V^ +tp5422 +a(g197 +V. +tp5423 +a(g47 +Vtrail +p5424 +tp5425 +a(g197 +V; +tp5426 +a(g184 +V +p5427 +tp5428 +a(g47 +Vq +tp5429 +a(g184 +V +tp5430 +a(g338 +V:= +p5431 +tp5432 +a(g184 +V +tp5433 +a(g47 +Vq +tp5434 +a(g338 +V^ +tp5435 +a(g197 +V. +tp5436 +a(g47 +Vnext +p5437 +tp5438 +a(g197 +V; +tp5439 +a(g184 +V\u000a +tp5440 +a(g184 +V +p5441 +tp5442 +a(g7 +VWHILE +p5443 +tp5444 +a(g184 +V +tp5445 +a(g47 +Vt +tp5446 +a(g184 +V +tp5447 +a(g338 +V# +tp5448 +a(g184 +V +tp5449 +a(g16 +VNIL +p5450 +tp5451 +a(g184 +V +tp5452 +a(g7 +VDO +p5453 +tp5454 +a(g184 +V\u000a +tp5455 +a(g184 +V +p5456 +tp5457 +a(g47 +Vp +tp5458 +a(g184 +V +tp5459 +a(g338 +V:= +p5460 +tp5461 +a(g184 +V +tp5462 +a(g47 +Vt +tp5463 +a(g338 +V^ +tp5464 +a(g197 +V. +tp5465 +a(g47 +Vid +p5466 +tp5467 +a(g197 +V; +tp5468 +a(g184 +V +p5469 +tp5470 +a(g47 +Vp +tp5471 +a(g338 +V^ +tp5472 +a(g197 +V. +tp5473 +a(g47 +Vcount +p5474 +tp5475 +a(g184 +V +tp5476 +a(g338 +V:= +p5477 +tp5478 +a(g184 +V +tp5479 +a(g47 +Vp +tp5480 +a(g338 +V^ +tp5481 +a(g197 +V. +tp5482 +a(g47 +Vcount +p5483 +tp5484 +a(g184 +V +tp5485 +a(g338 +V- +tp5486 +a(g184 +V +tp5487 +a(g313 +V1 +tp5488 +a(g197 +V; +tp5489 +a(g184 +V\u000a +tp5490 +a(g184 +V +p5491 +tp5492 +a(g7 +VIF +p5493 +tp5494 +a(g184 +V +tp5495 +a(g47 +Vp +tp5496 +a(g338 +V^ +tp5497 +a(g197 +V. +tp5498 +a(g47 +Vcount +p5499 +tp5500 +a(g184 +V +tp5501 +a(g338 +V= +tp5502 +a(g184 +V +tp5503 +a(g313 +V0 +tp5504 +a(g184 +V +tp5505 +a(g7 +VTHEN +p5506 +tp5507 +a(g184 +V\u000a +tp5508 +a(g184 +V +p5509 +tp5510 +a(g37 +V(* insert p^ in leader list *) +p5511 +tp5512 +a(g184 +V\u000a +tp5513 +a(g184 +V +p5514 +tp5515 +a(g47 +Vp +tp5516 +a(g338 +V^ +tp5517 +a(g197 +V. +tp5518 +a(g47 +Vnext +p5519 +tp5520 +a(g184 +V +tp5521 +a(g338 +V:= +p5522 +tp5523 +a(g184 +V +tp5524 +a(g47 +Vq +tp5525 +a(g197 +V; +tp5526 +a(g184 +V +p5527 +tp5528 +a(g47 +Vq +tp5529 +a(g184 +V +tp5530 +a(g338 +V:= +p5531 +tp5532 +a(g184 +V +tp5533 +a(g47 +Vp +tp5534 +a(g197 +V; +tp5535 +a(g184 +V\u000a +tp5536 +a(g184 +V +p5537 +tp5538 +a(g7 +VEND +p5539 +tp5540 +a(g197 +V; +tp5541 +a(g184 +V\u000a +tp5542 +a(g184 +V +p5543 +tp5544 +a(g47 +Vt +tp5545 +a(g184 +V +tp5546 +a(g338 +V:= +p5547 +tp5548 +a(g184 +V +tp5549 +a(g47 +Vt +tp5550 +a(g338 +V^ +tp5551 +a(g197 +V. +tp5552 +a(g47 +Vnext +p5553 +tp5554 +a(g197 +V; +tp5555 +a(g184 +V\u000a +tp5556 +a(g184 +V +p5557 +tp5558 +a(g7 +VEND +p5559 +tp5560 +a(g197 +V; +tp5561 +a(g184 +V\u000a +tp5562 +a(g184 +V +p5563 +tp5564 +a(g7 +VEND +p5565 +tp5566 +a(g197 +V; +tp5567 +a(g184 +V\u000a +tp5568 +a(g184 +V +p5569 +tp5570 +a(g7 +VEND +p5571 +tp5572 +a(g197 +V; +tp5573 +a(g184 +V\u000a\u000a +p5574 +tp5575 +a(g184 +V +p5576 +tp5577 +a(g7 +VIF +p5578 +tp5579 +a(g184 +V +tp5580 +a(g47 +Vn +tp5581 +a(g184 +V +tp5582 +a(g338 +V# +tp5583 +a(g184 +V +tp5584 +a(g313 +V0 +tp5585 +a(g184 +V +tp5586 +a(g7 +VTHEN +p5587 +tp5588 +a(g184 +V\u000a +tp5589 +a(g184 +V +p5590 +tp5591 +a(g47 +Vsorted +p5592 +tp5593 +a(g184 +V +tp5594 +a(g338 +V:= +p5595 +tp5596 +a(g184 +V +tp5597 +a(g16 +VFALSE +p5598 +tp5599 +a(g197 +V; +tp5600 +a(g184 +V\u000a +tp5601 +a(g184 +V +p5602 +tp5603 +a(g7 +VELSE +p5604 +tp5605 +a(g184 +V\u000a +tp5606 +a(g184 +V +p5607 +tp5608 +a(g47 +Vsorted +p5609 +tp5610 +a(g184 +V +tp5611 +a(g338 +V:= +p5612 +tp5613 +a(g184 +V +tp5614 +a(g16 +VTRUE +p5615 +tp5616 +a(g197 +V; +tp5617 +a(g184 +V\u000a +tp5618 +a(g184 +V +p5619 +tp5620 +a(g7 +VEND +p5621 +tp5622 +a(g197 +V; +tp5623 +a(g184 +V\u000a\u000a +p5624 +tp5625 +a(g184 +V +p5626 +tp5627 +a(g7 +VEND +p5628 +tp5629 +a(g197 +V; +tp5630 +a(g184 +V\u000a\u000a +p5631 +tp5632 +a(g184 +V +p5633 +tp5634 +a(g7 +VEND +p5635 +tp5636 +a(g184 +V +tp5637 +a(g47 +VTopoSort +p5638 +tp5639 +a(g197 +V; +tp5640 +a(g184 +V\u000a\u000a +p5641 +tp5642 +a(g7 +VBEGIN +p5643 +tp5644 +a(g184 +V\u000a +tp5645 +a(g7 +VEND +p5646 +tp5647 +a(g184 +V +tp5648 +a(g47 +VSorting +p5649 +tp5650 +a(g197 +V. +tp5651 +a(g184 +V\u000a +tp5652 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/Sudoku.lhs b/tests/examplefiles/output/Sudoku.lhs new file mode 100644 index 0000000..cd08b05 --- /dev/null +++ b/tests/examplefiles/output/Sudoku.lhs @@ -0,0 +1,15521 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +S'Reserved' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Constant' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g12 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g22 +sbsg9 +g10 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g9 +g10 +((ltRp40 +sg12 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g43 +sbsg15 +g2 +(g3 +g4 +(g42 +g15 +ttRp57 +(dp58 +g9 +g10 +((ltRp59 +sg12 +g43 +sbsg12 +g19 +sS'Pseudo' +p60 +g2 +(g3 +g4 +(g42 +g60 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g43 +sbsS'Attribute' +p64 +g2 +(g3 +g4 +(g42 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g43 +sbsS'Label' +p68 +g2 +(g3 +g4 +(g42 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g43 +sbsS'Blubb' +p72 +g2 +(g3 +g4 +(g42 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g43 +sbsS'Entity' +p76 +g2 +(g3 +g4 +(g42 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g43 +sbsS'Builtin' +p80 +g2 +(g3 +g4 +(g42 +g80 +ttRp81 +(dp82 +g9 +g10 +((lp83 +g2 +(g3 +g4 +(g42 +g80 +g60 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g81 +sbatRp87 +sg60 +g84 +sg12 +g43 +sbsS'Other' +p88 +g2 +(g3 +g4 +(g42 +g88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g43 +sbsS'Identifier' +p92 +g2 +(g3 +g4 +(g42 +g92 +ttRp93 +(dp94 +g9 +g10 +((ltRp95 +sg12 +g43 +sbsS'Variable' +p96 +g2 +(g3 +g4 +(g42 +g96 +ttRp97 +(dp98 +g12 +g43 +sS'Global' +p99 +g2 +(g3 +g4 +(g42 +g96 +g99 +ttRp100 +(dp101 +g9 +g10 +((ltRp102 +sg12 +g97 +sbsS'Instance' +p103 +g2 +(g3 +g4 +(g42 +g96 +g103 +ttRp104 +(dp105 +g9 +g10 +((ltRp106 +sg12 +g97 +sbsS'Anonymous' +p107 +g2 +(g3 +g4 +(g42 +g96 +g107 +ttRp108 +(dp109 +g9 +g10 +((ltRp110 +sg12 +g97 +sbsg9 +g10 +((lp111 +g108 +ag104 +ag100 +ag2 +(g3 +g4 +(g42 +g96 +S'Class' +p112 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g97 +sbatRp116 +sg112 +g113 +sbsg9 +g10 +((lp117 +g2 +(g3 +g4 +(g42 +S'Decorator' +p118 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g43 +sbag65 +ag57 +ag61 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g43 +sbag93 +ag81 +ag97 +ag89 +ag73 +ag77 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g43 +sbag69 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g112 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g43 +sbatRp133 +sg126 +g127 +sg112 +g130 +sg118 +g119 +sg122 +g123 +sbsg5 +g13 +sS'Generic' +p134 +g2 +(g3 +g4 +(g134 +ttRp135 +(dp136 +S'Prompt' +p137 +g2 +(g3 +g4 +(g134 +g137 +ttRp138 +(dp139 +g9 +g10 +((ltRp140 +sg12 +g135 +sbsg12 +g19 +sS'Deleted' +p141 +g2 +(g3 +g4 +(g134 +g141 +ttRp142 +(dp143 +g9 +g10 +((ltRp144 +sg12 +g135 +sbsS'Traceback' +p145 +g2 +(g3 +g4 +(g134 +g145 +ttRp146 +(dp147 +g9 +g10 +((ltRp148 +sg12 +g135 +sbsS'Emph' +p149 +g2 +(g3 +g4 +(g134 +g149 +ttRp150 +(dp151 +g9 +g10 +((ltRp152 +sg12 +g135 +sbsS'Output' +p153 +g2 +(g3 +g4 +(g134 +g153 +ttRp154 +(dp155 +g9 +g10 +((ltRp156 +sg12 +g135 +sbsS'Subheading' +p157 +g2 +(g3 +g4 +(g134 +g157 +ttRp158 +(dp159 +g9 +g10 +((ltRp160 +sg12 +g135 +sbsS'Error' +p161 +g2 +(g3 +g4 +(g134 +g161 +ttRp162 +(dp163 +g9 +g10 +((ltRp164 +sg12 +g135 +sbsg9 +g10 +((lp165 +g154 +ag150 +ag162 +ag158 +ag146 +ag142 +ag2 +(g3 +g4 +(g134 +S'Heading' +p166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g135 +sbag2 +(g3 +g4 +(g134 +S'Inserted' +p170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g135 +sbag2 +(g3 +g4 +(g134 +S'Strong' +p174 +ttRp175 +(dp176 +g9 +g10 +((ltRp177 +sg12 +g135 +sbag138 +atRp178 +sg174 +g175 +sg170 +g171 +sg166 +g167 +sbsS'Text' +p179 +g2 +(g3 +g4 +(g179 +ttRp180 +(dp181 +g9 +g10 +((lp182 +g2 +(g3 +g4 +(g179 +S'Symbol' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g180 +sbag2 +(g3 +g4 +(g179 +S'Whitespace' +p187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg12 +g180 +sbatRp191 +sg183 +g184 +sg187 +g188 +sg12 +g19 +sbsS'Punctuation' +p192 +g2 +(g3 +g4 +(g192 +ttRp193 +(dp194 +g9 +g10 +((lp195 +g2 +(g3 +g4 +(g192 +S'Indicator' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g193 +sbatRp200 +sg196 +g197 +sg12 +g19 +sbsS'Token' +p201 +g19 +sS'Number' +p202 +g2 +(g3 +g4 +(S'Literal' +p203 +g202 +ttRp204 +(dp205 +S'Bin' +p206 +g2 +(g3 +g4 +(g203 +g202 +g206 +ttRp207 +(dp208 +g9 +g10 +((ltRp209 +sg12 +g204 +sbsS'Binary' +p210 +g2 +(g3 +g4 +(g203 +g202 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg12 +g204 +sbsg12 +g2 +(g3 +g4 +(g203 +ttRp214 +(dp215 +S'String' +p216 +g2 +(g3 +g4 +(g203 +g216 +ttRp217 +(dp218 +S'Regex' +p219 +g2 +(g3 +g4 +(g203 +g216 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g217 +sbsS'Interpol' +p223 +g2 +(g3 +g4 +(g203 +g216 +g223 +ttRp224 +(dp225 +g9 +g10 +((ltRp226 +sg12 +g217 +sbsS'Regexp' +p227 +g2 +(g3 +g4 +(g203 +g216 +g227 +ttRp228 +(dp229 +g9 +g10 +((ltRp230 +sg12 +g217 +sbsg12 +g214 +sS'Heredoc' +p231 +g2 +(g3 +g4 +(g203 +g216 +g231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g217 +sbsS'Double' +p235 +g2 +(g3 +g4 +(g203 +g216 +g235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g217 +sbsg183 +g2 +(g3 +g4 +(g203 +g216 +g183 +ttRp239 +(dp240 +g9 +g10 +((ltRp241 +sg12 +g217 +sbsS'Escape' +p242 +g2 +(g3 +g4 +(g203 +g216 +g242 +ttRp243 +(dp244 +g9 +g10 +((ltRp245 +sg12 +g217 +sbsS'Character' +p246 +g2 +(g3 +g4 +(g203 +g216 +g246 +ttRp247 +(dp248 +g9 +g10 +((ltRp249 +sg12 +g217 +sbsS'Interp' +p250 +g2 +(g3 +g4 +(g203 +g216 +g250 +ttRp251 +(dp252 +g9 +g10 +((ltRp253 +sg12 +g217 +sbsS'Backtick' +p254 +g2 +(g3 +g4 +(g203 +g216 +g254 +ttRp255 +(dp256 +g9 +g10 +((ltRp257 +sg12 +g217 +sbsS'Char' +p258 +g2 +(g3 +g4 +(g203 +g216 +g258 +ttRp259 +(dp260 +g9 +g10 +((ltRp261 +sg12 +g217 +sbsg28 +g2 +(g3 +g4 +(g203 +g216 +g28 +ttRp262 +(dp263 +g9 +g10 +((ltRp264 +sg12 +g217 +sbsg88 +g2 +(g3 +g4 +(g203 +g216 +g88 +ttRp265 +(dp266 +g9 +g10 +((ltRp267 +sg12 +g217 +sbsS'Doc' +p268 +g2 +(g3 +g4 +(g203 +g216 +g268 +ttRp269 +(dp270 +g9 +g10 +((ltRp271 +sg12 +g217 +sbsg9 +g10 +((lp272 +g265 +ag2 +(g3 +g4 +(g203 +g216 +S'Atom' +p273 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g217 +sbag236 +ag259 +ag251 +ag269 +ag232 +ag255 +ag224 +ag239 +ag228 +ag220 +ag262 +ag247 +ag243 +atRp277 +sg273 +g274 +sbsg12 +g19 +sg202 +g204 +sS'Scalar' +p278 +g2 +(g3 +g4 +(g203 +g278 +ttRp279 +(dp280 +g9 +g10 +((lp281 +g2 +(g3 +g4 +(g203 +g278 +S'Plain' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g279 +sbatRp286 +sg12 +g214 +sg282 +g283 +sbsg88 +g2 +(g3 +g4 +(g203 +g88 +ttRp287 +(dp288 +g9 +g10 +((ltRp289 +sg12 +g214 +sbsS'Date' +p290 +g2 +(g3 +g4 +(g203 +g290 +ttRp291 +(dp292 +g9 +g10 +((ltRp293 +sg12 +g214 +sbsg9 +g10 +((lp294 +g291 +ag217 +ag287 +ag204 +ag279 +atRp295 +sbsS'Decimal' +p296 +g2 +(g3 +g4 +(g203 +g202 +g296 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g204 +sbsS'Float' +p300 +g2 +(g3 +g4 +(g203 +g202 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g204 +sbsS'Hex' +p304 +g2 +(g3 +g4 +(g203 +g202 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g204 +sbsS'Integer' +p308 +g2 +(g3 +g4 +(g203 +g202 +g308 +ttRp309 +(dp310 +g9 +g10 +((lp311 +g2 +(g3 +g4 +(g203 +g202 +g308 +S'Long' +p312 +ttRp313 +(dp314 +g9 +g10 +((ltRp315 +sg12 +g309 +sbatRp316 +sg312 +g313 +sg12 +g204 +sbsS'Octal' +p317 +g2 +(g3 +g4 +(g203 +g202 +g317 +ttRp318 +(dp319 +g9 +g10 +((ltRp320 +sg12 +g204 +sbsg9 +g10 +((lp321 +g207 +ag211 +ag318 +ag297 +ag2 +(g3 +g4 +(g203 +g202 +S'Oct' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g204 +sbag309 +ag301 +ag305 +atRp326 +sg322 +g323 +sbsg203 +g214 +sg88 +g2 +(g3 +g4 +(g88 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g19 +sbsg161 +g2 +(g3 +g4 +(g161 +ttRp330 +(dp331 +g9 +g10 +((ltRp332 +sg12 +g19 +sbsS'Operator' +p333 +g2 +(g3 +g4 +(g333 +ttRp334 +(dp335 +g9 +g10 +((lp336 +g2 +(g3 +g4 +(g333 +S'Word' +p337 +ttRp338 +(dp339 +g9 +g10 +((ltRp340 +sg12 +g334 +sbatRp341 +sg337 +g338 +sg12 +g19 +sbsg9 +g10 +((lp342 +g22 +ag330 +ag135 +ag180 +ag43 +ag193 +ag13 +ag214 +ag334 +ag327 +atRp343 +sg216 +g217 +sbsg122 +g2 +(g3 +g4 +(g5 +g122 +ttRp344 +(dp345 +g9 +g10 +((ltRp346 +sg12 +g13 +sbsg60 +g2 +(g3 +g4 +(g5 +g60 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g13 +sbsg6 +g7 +sS'Declaration' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbsg96 +g2 +(g3 +g4 +(g5 +g96 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg9 +g10 +((lp357 +g16 +ag7 +ag2 +(g3 +g4 +(g5 +S'Type' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag351 +ag354 +ag344 +ag347 +atRp362 +sg358 +g359 +sbsbV +tp363 +a(g22 +V% Copyright 2005 Brian Alliet\u000a +p364 +tp365 +a(g180 +V\u000a +tp366 +a(g13 +V\u005cdocumentclass +p367 +tp368 +a(g65 +V[11pt] +p369 +tp370 +a(g180 +V +tp371 +a(g81 +V{ +tp372 +a(g180 +Varticle +p373 +tp374 +a(g81 +V} +tp375 +a(g180 +V\u000a +tp376 +a(g13 +V\u005cusepackage +p377 +tp378 +a(g180 +V +tp379 +a(g81 +V{ +tp380 +a(g180 +Vpalatino +p381 +tp382 +a(g81 +V} +tp383 +a(g180 +V\u000a +tp384 +a(g13 +V\u005cusepackage +p385 +tp386 +a(g180 +V +tp387 +a(g81 +V{ +tp388 +a(g180 +Vfullpage +p389 +tp390 +a(g81 +V} +tp391 +a(g180 +V\u000a +tp392 +a(g13 +V\u005cusepackage +p393 +tp394 +a(g180 +V +tp395 +a(g81 +V{ +tp396 +a(g180 +Vparskip +p397 +tp398 +a(g81 +V} +tp399 +a(g180 +V\u000a +tp400 +a(g13 +V\u005cusepackage +p401 +tp402 +a(g180 +V +tp403 +a(g81 +V{ +tp404 +a(g180 +Vlhs +p405 +tp406 +a(g81 +V} +tp407 +a(g180 +V\u000a\u000a +p408 +tp409 +a(g13 +V\u005cbegin +p410 +tp411 +a(g180 +V +tp412 +a(g81 +V{ +tp413 +a(g180 +Vdocument +p414 +tp415 +a(g81 +V} +tp416 +a(g180 +V\u000a\u000a +p417 +tp418 +a(g13 +V\u005ctitle +p419 +tp420 +a(g180 +V +tp421 +a(g81 +V{ +tp422 +a(g180 +VSudoku Solver +p423 +tp424 +a(g81 +V} +tp425 +a(g180 +V\u000a +tp426 +a(g13 +V\u005cauthor +p427 +tp428 +a(g180 +V +tp429 +a(g81 +V{ +tp430 +a(g180 +VBrian Alliet +p431 +tp432 +a(g81 +V} +tp433 +a(g180 +V\u000a +tp434 +a(g13 +V\u005cmaketitle +p435 +tp436 +a(g180 +V +tp437 +a(g180 +V\u000a\u000a +p438 +tp439 +a(g13 +V\u005cignore +p440 +tp441 +a(g180 +V +tp442 +a(g81 +V{ +tp443 +a(g180 +V\u000a +tp444 +a(g13 +V\u005cbegin +p445 +tp446 +a(g180 +V +tp447 +a(g81 +V{ +tp448 +a(g180 +Vcode +p449 +tp450 +a(g81 +V} +tp451 +a(g180 +V\u000a +tp452 +a(g7 +Vmodule +p453 +tp454 +a(g180 +V +tp455 +a(g123 +VSudoku +p456 +tp457 +a(g180 +V +tp458 +a(g193 +V( +tp459 +a(g180 +V\u000a +p460 +tp461 +a(g359 +VSudoku +p462 +tp463 +a(g193 +V, +tp464 +a(g180 +V\u000a +p465 +tp466 +a(g46 +VmakeSudoku +p467 +tp468 +a(g193 +V, +tp469 +a(g180 +V +tp470 +a(g46 +Vsolve +p471 +tp472 +a(g193 +V, +tp473 +a(g180 +V +tp474 +a(g46 +Veliminate +p475 +tp476 +a(g193 +V, +tp477 +a(g180 +V +tp478 +a(g46 +Vanalyze +p479 +tp480 +a(g193 +V, +tp481 +a(g180 +V +tp482 +a(g46 +Vbacktrack +p483 +tp484 +a(g193 +V, +tp485 +a(g180 +V\u000a +p486 +tp487 +a(g46 +Vmain +p488 +tp489 +a(g180 +V\u000a +p490 +tp491 +a(g193 +V) +tp492 +a(g180 +V +tp493 +a(g7 +Vwhere +p494 +tp495 +a(g180 +V\u000a\u000a +p496 +tp497 +a(g7 +Vimport +p498 +tp499 +a(g180 +V +tp500 +a(g123 +VArray +p501 +tp502 +a(g180 +V\u000a +tp503 +a(g7 +Vimport +p504 +tp505 +a(g180 +V +tp506 +a(g123 +VMonad +p507 +tp508 +a(g180 +V\u000a +tp509 +a(g7 +Vimport +p510 +tp511 +a(g180 +V +tp512 +a(g123 +VList +p513 +tp514 +a(g180 +V +tp515 +a(g193 +V( +tp516 +a(g46 +Vunion +p517 +tp518 +a(g193 +V, +tp519 +a(g46 +Vintersperse +p520 +tp521 +a(g193 +V, +tp522 +a(g46 +Vtranspose +p523 +tp524 +a(g193 +V, +tp525 +a(g193 +V( +tp526 +a(g334 +V\u005c\u005c +p527 +tp528 +a(g193 +V) +tp529 +a(g193 +V, +tp530 +a(g46 +Vnub +p531 +tp532 +a(g193 +V, +tp533 +a(g46 +VnubBy +p534 +tp535 +a(g193 +V) +tp536 +a(g180 +V\u000a +tp537 +a(g13 +V\u005cend +p538 +tp539 +a(g180 +V +tp540 +a(g81 +V{ +tp541 +a(g180 +Vcode +p542 +tp543 +a(g81 +V} +tp544 +a(g180 +V\u000a +tp545 +a(g81 +V} +tp546 +a(g180 +V\u000a\u000a +p547 +tp548 +a(g13 +V\u005csection +p549 +tp550 +a(g180 +V +tp551 +a(g81 +V{ +tp552 +a(g180 +VIntroduction +p553 +tp554 +a(g81 +V} +tp555 +a(g180 +V\u000a\u000aThis Haskell module implements a solver for Sudoku~ +p556 +tp557 +a(g13 +V\u005cfootnote +p558 +tp559 +a(g180 +V +tp560 +a(g81 +V{ +tp561 +a(g180 +Vhttp://en.wikipedia.org/wiki/Sudoku +p562 +tp563 +a(g81 +V} +tp564 +a(g180 +V puzzles. It can solve\u000aany Sudoku puzzle, even those that require backtracking.\u000a\u000a +p565 +tp566 +a(g13 +V\u005csection +p567 +tp568 +a(g180 +V +tp569 +a(g81 +V{ +tp570 +a(g180 +VData Types +p571 +tp572 +a(g81 +V} +tp573 +a(g180 +V\u000a\u000a +p574 +tp575 +a(g13 +V\u005cbegin +p576 +tp577 +a(g180 +V +tp578 +a(g81 +V{ +tp579 +a(g180 +Vcode +p580 +tp581 +a(g81 +V} +tp582 +a(g180 +V\u000a +tp583 +a(g180 +V +tp584 +a(g7 +Vdata +p585 +tp586 +a(g180 +V +tp587 +a(g359 +VCellState +p588 +tp589 +a(g180 +V +tp590 +a(g43 +Va +tp591 +a(g180 +V +tp592 +a(g338 +V= +tp593 +a(g180 +V +tp594 +a(g359 +VKnown +p595 +tp596 +a(g180 +V +tp597 +a(g43 +Va +tp598 +a(g180 +V +tp599 +a(g334 +V| +tp600 +a(g180 +V +tp601 +a(g359 +VUnknown +p602 +tp603 +a(g180 +V +tp604 +a(g193 +V[ +tp605 +a(g43 +Va +tp606 +a(g193 +V] +tp607 +a(g180 +V +tp608 +a(g334 +V| +tp609 +a(g180 +V +tp610 +a(g359 +VImpossible +p611 +tp612 +a(g180 +V +tp613 +a(g7 +Vderiving +p614 +tp615 +a(g180 +V +tp616 +a(g359 +VEq +p617 +tp618 +a(g180 +V\u000a +tp619 +a(g13 +V\u005cend +p620 +tp621 +a(g180 +V +tp622 +a(g81 +V{ +tp623 +a(g180 +Vcode +p624 +tp625 +a(g81 +V} +tp626 +a(g180 +V\u000a\u000aEach cell in a Sudoku grid can be in one of three states: ``Known'' if it has a known correct value~ +p627 +tp628 +a(g13 +V\u005cfootnote +p629 +tp630 +a(g180 +V +tp631 +a(g81 +V{ +tp632 +a(g180 +VActually\u000athis doesn't always means it is correct. While we are in the backtracking stage we make our guesses ``Known''. +p633 +tp634 +a(g81 +V} +tp635 +a(g180 +V,\u000a``Unknown'' if there is still more than one possible correct value, or ``Impossible'' if there is no value that can\u000apossibly fit the cell. Sudoku grids with ``Impossible'' cells are quickly discarded by the +p636 +tp637 +a(g81 +V{ +tp638 +a(g13 +V\u005ctt +p639 +tp640 +a(g180 +V +tp641 +a(g180 +V solve +p642 +tp643 +a(g81 +V} +tp644 +a(g180 +V function.\u000a\u000a +p645 +tp646 +a(g13 +V\u005cbegin +p647 +tp648 +a(g180 +V +tp649 +a(g81 +V{ +tp650 +a(g180 +Vcode +p651 +tp652 +a(g81 +V} +tp653 +a(g180 +V\u000a +tp654 +a(g180 +V +tp655 +a(g7 +Vtype +p656 +tp657 +a(g180 +V +tp658 +a(g359 +VCoords +p659 +tp660 +a(g180 +V +tp661 +a(g338 +V= +tp662 +a(g180 +V +tp663 +a(g193 +V( +tp664 +a(g359 +VInt +p665 +tp666 +a(g193 +V, +tp667 +a(g359 +VInt +p668 +tp669 +a(g193 +V) +tp670 +a(g180 +V\u000a +tp671 +a(g7 +Vtype +p672 +tp673 +a(g180 +V +tp674 +a(g359 +VGrid +p675 +tp676 +a(g180 +V +tp677 +a(g43 +Va +tp678 +a(g180 +V +tp679 +a(g338 +V= +tp680 +a(g180 +V +tp681 +a(g359 +VArray +p682 +tp683 +a(g180 +V +tp684 +a(g359 +VCoords +p685 +tp686 +a(g180 +V +tp687 +a(g193 +V( +tp688 +a(g359 +VCellState +p689 +tp690 +a(g180 +V +tp691 +a(g43 +Va +tp692 +a(g193 +V) +tp693 +a(g180 +V\u000a +tp694 +a(g7 +Vnewtype +p695 +tp696 +a(g180 +V +tp697 +a(g359 +VSudoku +p698 +tp699 +a(g180 +V +tp700 +a(g43 +Va +tp701 +a(g180 +V +tp702 +a(g338 +V= +tp703 +a(g180 +V +tp704 +a(g359 +VSudoku +p705 +tp706 +a(g180 +V +tp707 +a(g193 +V{ +tp708 +a(g180 +V +tp709 +a(g43 +VunSudoku +p710 +tp711 +a(g180 +V +tp712 +a(g338 +V:: +p713 +tp714 +a(g180 +V +tp715 +a(g359 +VGrid +p716 +tp717 +a(g180 +V +tp718 +a(g43 +Va +tp719 +a(g180 +V +tp720 +a(g193 +V} +tp721 +a(g180 +V +tp722 +a(g7 +Vderiving +p723 +tp724 +a(g180 +V +tp725 +a(g359 +VEq +p726 +tp727 +a(g180 +V\u000a +tp728 +a(g13 +V\u005cend +p729 +tp730 +a(g180 +V +tp731 +a(g81 +V{ +tp732 +a(g180 +Vcode +p733 +tp734 +a(g81 +V} +tp735 +a(g180 +V\u000a\u000aWe represent a Sudoku grid as an Array indexed by integer coordinates. We additionally define a newtype wrapper for the\u000agrid. The smart constructor, +p736 +tp737 +a(g81 +V{ +tp738 +a(g13 +V\u005ctt +p739 +tp740 +a(g180 +V +tp741 +a(g180 +V makeSudoku +p742 +tp743 +a(g81 +V} +tp744 +a(g180 +V verifies some invariants before creating the Sudoku value. All the public\u000aAPI functions operate on the Sudoku type.\u000a\u000a +p745 +tp746 +a(g13 +V\u005cbegin +p747 +tp748 +a(g180 +V +tp749 +a(g81 +V{ +tp750 +a(g180 +Vcode +p751 +tp752 +a(g81 +V} +tp753 +a(g180 +V\u000a +tp754 +a(g180 +V +tp755 +a(g7 +Vinstance +p756 +tp757 +a(g180 +V +tp758 +a(g359 +VShow +p759 +tp760 +a(g180 +V +tp761 +a(g43 +Va +tp762 +a(g180 +V +tp763 +a(g338 +V=> +p764 +tp765 +a(g180 +V +tp766 +a(g359 +VShow +p767 +tp768 +a(g180 +V +tp769 +a(g193 +V( +tp770 +a(g359 +VSudoku +p771 +tp772 +a(g180 +V +tp773 +a(g43 +Va +tp774 +a(g193 +V) +tp775 +a(g180 +V +tp776 +a(g7 +Vwhere +p777 +tp778 +a(g180 +V +tp779 +a(g43 +VshowsPrec +p780 +tp781 +a(g180 +V +tp782 +a(g43 +Vp +tp783 +a(g180 +V +tp784 +a(g338 +V= +tp785 +a(g180 +V +tp786 +a(g43 +VshowParen +p787 +tp788 +a(g180 +V +tp789 +a(g193 +V( +tp790 +a(g43 +Vp +tp791 +a(g334 +V> +tp792 +a(g309 +V0 +tp793 +a(g193 +V) +tp794 +a(g180 +V +tp795 +a(g334 +V. +tp796 +a(g180 +V +tp797 +a(g43 +VshowsGrid +p798 +tp799 +a(g180 +V +tp800 +a(g334 +V. +tp801 +a(g180 +V +tp802 +a(g43 +VunSudoku +p803 +tp804 +a(g180 +V\u000a +tp805 +a(g7 +Vinstance +p806 +tp807 +a(g180 +V +tp808 +a(g359 +VShow +p809 +tp810 +a(g180 +V +tp811 +a(g43 +Va +tp812 +a(g180 +V +tp813 +a(g338 +V=> +p814 +tp815 +a(g180 +V +tp816 +a(g359 +VShow +p817 +tp818 +a(g180 +V +tp819 +a(g193 +V( +tp820 +a(g359 +VCellState +p821 +tp822 +a(g180 +V +tp823 +a(g43 +Va +tp824 +a(g193 +V) +tp825 +a(g180 +V +tp826 +a(g7 +Vwhere +p827 +tp828 +a(g180 +V +tp829 +a(g43 +VshowsPrec +p830 +tp831 +a(g180 +V +tp832 +a(g7 +V_ +tp833 +a(g180 +V +tp834 +a(g338 +V= +tp835 +a(g180 +V +tp836 +a(g43 +VshowsCell +p837 +tp838 +a(g180 +V\u000a +tp839 +a(g13 +V\u005cend +p840 +tp841 +a(g180 +V +tp842 +a(g81 +V{ +tp843 +a(g180 +Vcode +p844 +tp845 +a(g81 +V} +tp846 +a(g180 +V\u000a\u000aWe define +p847 +tp848 +a(g81 +V{ +tp849 +a(g13 +V\u005ctt +p850 +tp851 +a(g180 +V +tp852 +a(g180 +V Show +p853 +tp854 +a(g81 +V} +tp855 +a(g180 +V instances for the above types.\u000a\u000a +p856 +tp857 +a(g13 +V\u005csection +p858 +tp859 +a(g180 +V +tp860 +a(g81 +V{ +tp861 +a(g180 +VInternal Functions +p862 +tp863 +a(g81 +V} +tp864 +a(g180 +V\u000a\u000a +p865 +tp866 +a(g13 +V\u005cbegin +p867 +tp868 +a(g180 +V +tp869 +a(g81 +V{ +tp870 +a(g180 +Vcode +p871 +tp872 +a(g81 +V} +tp873 +a(g180 +V\u000a +tp874 +a(g180 +V +tp875 +a(g46 +Vsize +p876 +tp877 +a(g180 +V +tp878 +a(g338 +V:: +p879 +tp880 +a(g180 +V +tp881 +a(g359 +VGrid +p882 +tp883 +a(g180 +V +tp884 +a(g43 +Va +tp885 +a(g180 +V +tp886 +a(g338 +V-> +p887 +tp888 +a(g180 +V +tp889 +a(g359 +VInt +p890 +tp891 +a(g180 +V\u000a +tp892 +a(g46 +Vsize +p893 +tp894 +a(g180 +V +tp895 +a(g338 +V= +tp896 +a(g180 +V +tp897 +a(g193 +V( +tp898 +a(g334 +V+ +tp899 +a(g309 +V1 +tp900 +a(g193 +V) +tp901 +a(g334 +V. +tp902 +a(g43 +Vfst +p903 +tp904 +a(g334 +V. +tp905 +a(g43 +Vsnd +p906 +tp907 +a(g334 +V. +tp908 +a(g43 +Vbounds +p909 +tp910 +a(g180 +V\u000a +tp911 +a(g13 +V\u005cend +p912 +tp913 +a(g180 +V +tp914 +a(g81 +V{ +tp915 +a(g180 +Vcode +p916 +tp917 +a(g81 +V} +tp918 +a(g180 +V\u000a\u000a +p919 +tp920 +a(g81 +V{ +tp921 +a(g13 +V\u005ctt +p922 +tp923 +a(g180 +V +tp924 +a(g180 +V size +p925 +tp926 +a(g81 +V} +tp927 +a(g180 +V returns the size (the width, height, and number of subboxes) for a Sudoku grid. We ensure Grid's are always\u000asquare and indexed starting at +p928 +tp929 +a(g217 +V$ +tp930 +a(g334 +V( +tp931 +a(g204 +V0 +tp932 +a(g81 +V, +tp933 +a(g204 +V0 +tp934 +a(g334 +V) +tp935 +a(g217 +V$ +tp936 +a(g180 +V so simply incrementing either of the array's upper bounds is correct.\u000a\u000a +p937 +tp938 +a(g13 +V\u005cbegin +p939 +tp940 +a(g180 +V +tp941 +a(g81 +V{ +tp942 +a(g180 +Vcode +p943 +tp944 +a(g81 +V} +tp945 +a(g180 +V\u000a +tp946 +a(g180 +V +tp947 +a(g46 +VgetRow +p948 +tp949 +a(g193 +V, +tp950 +a(g43 +VgetCol +p951 +tp952 +a(g193 +V, +tp953 +a(g43 +VgetBox +p954 +tp955 +a(g180 +V +tp956 +a(g338 +V:: +p957 +tp958 +a(g180 +V +tp959 +a(g359 +VGrid +p960 +tp961 +a(g180 +V +tp962 +a(g43 +Va +tp963 +a(g180 +V +tp964 +a(g338 +V-> +p965 +tp966 +a(g180 +V +tp967 +a(g359 +VInt +p968 +tp969 +a(g180 +V +tp970 +a(g338 +V-> +p971 +tp972 +a(g180 +V +tp973 +a(g193 +V[ +tp974 +a(g193 +V( +tp975 +a(g359 +VCoords +p976 +tp977 +a(g193 +V, +tp978 +a(g359 +VCellState +p979 +tp980 +a(g180 +V +tp981 +a(g43 +Va +tp982 +a(g193 +V) +tp983 +a(g193 +V] +tp984 +a(g180 +V\u000a +tp985 +a(g46 +VgetRow +p986 +tp987 +a(g180 +V +tp988 +a(g43 +Vgrid +p989 +tp990 +a(g180 +V +tp991 +a(g43 +Vr +tp992 +a(g180 +V +tp993 +a(g338 +V= +tp994 +a(g180 +V +tp995 +a(g193 +V[ +tp996 +a(g7 +Vlet +p997 +tp998 +a(g180 +V +tp999 +a(g43 +Vl +tp1000 +a(g180 +V +tp1001 +a(g338 +V= +tp1002 +a(g180 +V +tp1003 +a(g193 +V( +tp1004 +a(g43 +Vr +tp1005 +a(g193 +V, +tp1006 +a(g43 +Vc +tp1007 +a(g193 +V) +tp1008 +a(g180 +V +tp1009 +a(g7 +Vin +p1010 +tp1011 +a(g180 +V +tp1012 +a(g193 +V( +tp1013 +a(g43 +Vl +tp1014 +a(g193 +V, +tp1015 +a(g43 +Vgrid +p1016 +tp1017 +a(g334 +V! +tp1018 +a(g43 +Vl +tp1019 +a(g193 +V) +tp1020 +a(g334 +V| +tp1021 +a(g43 +Vc +tp1022 +a(g180 +V +tp1023 +a(g338 +V<- +p1024 +tp1025 +a(g180 +V +tp1026 +a(g193 +V[ +tp1027 +a(g309 +V0 +tp1028 +a(g334 +V.. +p1029 +tp1030 +a(g43 +Vsize +p1031 +tp1032 +a(g180 +V +tp1033 +a(g43 +Vgrid +p1034 +tp1035 +a(g180 +V +tp1036 +a(g334 +V- +tp1037 +a(g180 +V +tp1038 +a(g309 +V1 +tp1039 +a(g193 +V] +tp1040 +a(g193 +V] +tp1041 +a(g180 +V\u000a +tp1042 +a(g46 +VgetCol +p1043 +tp1044 +a(g180 +V +tp1045 +a(g43 +Vgrid +p1046 +tp1047 +a(g180 +V +tp1048 +a(g43 +Vc +tp1049 +a(g180 +V +tp1050 +a(g338 +V= +tp1051 +a(g180 +V +tp1052 +a(g193 +V[ +tp1053 +a(g7 +Vlet +p1054 +tp1055 +a(g180 +V +tp1056 +a(g43 +Vl +tp1057 +a(g180 +V +tp1058 +a(g338 +V= +tp1059 +a(g180 +V +tp1060 +a(g193 +V( +tp1061 +a(g43 +Vr +tp1062 +a(g193 +V, +tp1063 +a(g43 +Vc +tp1064 +a(g193 +V) +tp1065 +a(g180 +V +tp1066 +a(g7 +Vin +p1067 +tp1068 +a(g180 +V +tp1069 +a(g193 +V( +tp1070 +a(g43 +Vl +tp1071 +a(g193 +V, +tp1072 +a(g43 +Vgrid +p1073 +tp1074 +a(g334 +V! +tp1075 +a(g43 +Vl +tp1076 +a(g193 +V) +tp1077 +a(g334 +V| +tp1078 +a(g43 +Vr +tp1079 +a(g180 +V +tp1080 +a(g338 +V<- +p1081 +tp1082 +a(g180 +V +tp1083 +a(g193 +V[ +tp1084 +a(g309 +V0 +tp1085 +a(g334 +V.. +p1086 +tp1087 +a(g43 +Vsize +p1088 +tp1089 +a(g180 +V +tp1090 +a(g43 +Vgrid +p1091 +tp1092 +a(g180 +V +tp1093 +a(g334 +V- +tp1094 +a(g180 +V +tp1095 +a(g309 +V1 +tp1096 +a(g193 +V] +tp1097 +a(g193 +V] +tp1098 +a(g180 +V\u000a +tp1099 +a(g46 +VgetBox +p1100 +tp1101 +a(g180 +V +tp1102 +a(g43 +Vgrid +p1103 +tp1104 +a(g180 +V +tp1105 +a(g43 +Vb +tp1106 +a(g180 +V +tp1107 +a(g338 +V= +tp1108 +a(g180 +V +tp1109 +a(g193 +V[ +tp1110 +a(g7 +Vlet +p1111 +tp1112 +a(g180 +V +tp1113 +a(g43 +Vl +tp1114 +a(g180 +V +tp1115 +a(g338 +V= +tp1116 +a(g180 +V +tp1117 +a(g193 +V( +tp1118 +a(g43 +Vr +tp1119 +a(g193 +V, +tp1120 +a(g43 +Vc +tp1121 +a(g193 +V) +tp1122 +a(g180 +V +tp1123 +a(g7 +Vin +p1124 +tp1125 +a(g180 +V +tp1126 +a(g193 +V( +tp1127 +a(g43 +Vl +tp1128 +a(g193 +V, +tp1129 +a(g43 +Vgrid +p1130 +tp1131 +a(g334 +V! +tp1132 +a(g43 +Vl +tp1133 +a(g193 +V) +tp1134 +a(g334 +V| +tp1135 +a(g43 +Vr +tp1136 +a(g180 +V +tp1137 +a(g338 +V<- +p1138 +tp1139 +a(g180 +V +tp1140 +a(g193 +V[ +tp1141 +a(g43 +VboxR +p1142 +tp1143 +a(g334 +V.. +p1144 +tp1145 +a(g43 +VboxR +p1146 +tp1147 +a(g334 +V+ +tp1148 +a(g43 +VboxN +p1149 +tp1150 +a(g334 +V- +tp1151 +a(g309 +V1 +tp1152 +a(g193 +V] +tp1153 +a(g193 +V, +tp1154 +a(g43 +Vc +tp1155 +a(g180 +V +tp1156 +a(g338 +V<- +p1157 +tp1158 +a(g180 +V +tp1159 +a(g193 +V[ +tp1160 +a(g43 +VboxC +p1161 +tp1162 +a(g334 +V.. +p1163 +tp1164 +a(g43 +VboxC +p1165 +tp1166 +a(g334 +V+ +tp1167 +a(g43 +VboxN +p1168 +tp1169 +a(g334 +V- +tp1170 +a(g309 +V1 +tp1171 +a(g193 +V] +tp1172 +a(g193 +V] +tp1173 +a(g180 +V\u000a +p1174 +tp1175 +a(g7 +Vwhere +p1176 +tp1177 +a(g180 +V\u000a +p1178 +tp1179 +a(g43 +VboxN +p1180 +tp1181 +a(g180 +V +tp1182 +a(g338 +V= +tp1183 +a(g180 +V +tp1184 +a(g43 +VintSqrt +p1185 +tp1186 +a(g180 +V +tp1187 +a(g193 +V( +tp1188 +a(g43 +Vsize +p1189 +tp1190 +a(g180 +V +tp1191 +a(g43 +Vgrid +p1192 +tp1193 +a(g193 +V) +tp1194 +a(g193 +V; +tp1195 +a(g180 +V +tp1196 +a(g43 +VboxR +p1197 +tp1198 +a(g180 +V +tp1199 +a(g338 +V= +tp1200 +a(g180 +V +tp1201 +a(g43 +Vb +tp1202 +a(g180 +V +tp1203 +a(g193 +V` +tp1204 +a(g43 +Vquot +p1205 +tp1206 +a(g193 +V` +tp1207 +a(g180 +V +tp1208 +a(g43 +VboxN +p1209 +tp1210 +a(g180 +V +tp1211 +a(g334 +V* +tp1212 +a(g180 +V +tp1213 +a(g43 +VboxN +p1214 +tp1215 +a(g193 +V; +tp1216 +a(g180 +V +tp1217 +a(g43 +VboxC +p1218 +tp1219 +a(g180 +V +tp1220 +a(g338 +V= +tp1221 +a(g180 +V +tp1222 +a(g43 +Vb +tp1223 +a(g180 +V +tp1224 +a(g193 +V` +tp1225 +a(g43 +Vrem +p1226 +tp1227 +a(g193 +V` +tp1228 +a(g180 +V +p1229 +tp1230 +a(g43 +VboxN +p1231 +tp1232 +a(g180 +V +tp1233 +a(g334 +V* +tp1234 +a(g180 +V +tp1235 +a(g43 +VboxN +p1236 +tp1237 +a(g180 +V\u000a\u000a +p1238 +tp1239 +a(g46 +VgetBoxOf +p1240 +tp1241 +a(g180 +V +tp1242 +a(g338 +V:: +p1243 +tp1244 +a(g180 +V +tp1245 +a(g359 +VGrid +p1246 +tp1247 +a(g180 +V +tp1248 +a(g43 +Va +tp1249 +a(g180 +V +tp1250 +a(g338 +V-> +p1251 +tp1252 +a(g180 +V +tp1253 +a(g359 +VCoords +p1254 +tp1255 +a(g180 +V +tp1256 +a(g338 +V-> +p1257 +tp1258 +a(g180 +V +tp1259 +a(g193 +V[ +tp1260 +a(g193 +V( +tp1261 +a(g359 +VCoords +p1262 +tp1263 +a(g193 +V, +tp1264 +a(g359 +VCellState +p1265 +tp1266 +a(g180 +V +tp1267 +a(g43 +Va +tp1268 +a(g193 +V) +tp1269 +a(g193 +V] +tp1270 +a(g180 +V\u000a +tp1271 +a(g46 +VgetBoxOf +p1272 +tp1273 +a(g180 +V +tp1274 +a(g43 +Vgrid +p1275 +tp1276 +a(g180 +V +tp1277 +a(g193 +V( +tp1278 +a(g43 +Vr +tp1279 +a(g193 +V, +tp1280 +a(g43 +Vc +tp1281 +a(g193 +V) +tp1282 +a(g180 +V +tp1283 +a(g338 +V= +tp1284 +a(g180 +V +tp1285 +a(g43 +Vgrid +p1286 +tp1287 +a(g180 +V +tp1288 +a(g193 +V` +tp1289 +a(g43 +VgetBox +p1290 +tp1291 +a(g193 +V` +tp1292 +a(g180 +V +tp1293 +a(g193 +V( +tp1294 +a(g193 +V( +tp1295 +a(g43 +Vr +tp1296 +a(g180 +V +tp1297 +a(g193 +V` +tp1298 +a(g43 +Vquot +p1299 +tp1300 +a(g193 +V` +tp1301 +a(g180 +V +tp1302 +a(g43 +VboxN +p1303 +tp1304 +a(g180 +V +tp1305 +a(g334 +V* +tp1306 +a(g180 +V +tp1307 +a(g43 +VboxN +p1308 +tp1309 +a(g193 +V) +tp1310 +a(g180 +V +tp1311 +a(g334 +V+ +tp1312 +a(g180 +V +tp1313 +a(g193 +V( +tp1314 +a(g43 +Vc +tp1315 +a(g180 +V +tp1316 +a(g193 +V` +tp1317 +a(g43 +Vquot +p1318 +tp1319 +a(g193 +V` +tp1320 +a(g180 +V +tp1321 +a(g43 +VboxN +p1322 +tp1323 +a(g193 +V) +tp1324 +a(g193 +V) +tp1325 +a(g180 +V\u000a +p1326 +tp1327 +a(g7 +Vwhere +p1328 +tp1329 +a(g180 +V +tp1330 +a(g43 +VboxN +p1331 +tp1332 +a(g180 +V +tp1333 +a(g338 +V= +tp1334 +a(g180 +V +tp1335 +a(g43 +VintSqrt +p1336 +tp1337 +a(g180 +V +tp1338 +a(g193 +V( +tp1339 +a(g43 +Vsize +p1340 +tp1341 +a(g180 +V +tp1342 +a(g43 +Vgrid +p1343 +tp1344 +a(g193 +V) +tp1345 +a(g180 +V\u000a +tp1346 +a(g13 +V\u005cend +p1347 +tp1348 +a(g180 +V +tp1349 +a(g81 +V{ +tp1350 +a(g180 +Vcode +p1351 +tp1352 +a(g81 +V} +tp1353 +a(g180 +V\u000a\u000a +p1354 +tp1355 +a(g81 +V{ +tp1356 +a(g13 +V\u005ctt +p1357 +tp1358 +a(g180 +V +tp1359 +a(g180 +V getRow +p1360 +tp1361 +a(g81 +V} +tp1362 +a(g180 +V, +p1363 +tp1364 +a(g81 +V{ +tp1365 +a(g13 +V\u005ctt +p1366 +tp1367 +a(g180 +V +tp1368 +a(g180 +V getCol +p1369 +tp1370 +a(g81 +V} +tp1371 +a(g180 +V, and +p1372 +tp1373 +a(g81 +V{ +tp1374 +a(g13 +V\u005ctt +p1375 +tp1376 +a(g180 +V +tp1377 +a(g180 +V getBox +p1378 +tp1379 +a(g81 +V} +tp1380 +a(g180 +V return the coordinates and values of the cell in row, column, or box\u000anumber +p1381 +tp1382 +a(g81 +V{ +tp1383 +a(g13 +V\u005ctt +p1384 +tp1385 +a(g180 +V +tp1386 +a(g180 +V n +p1387 +tp1388 +a(g81 +V} +tp1389 +a(g180 +V, +p1390 +tp1391 +a(g81 +V{ +tp1392 +a(g13 +V\u005ctt +p1393 +tp1394 +a(g180 +V +tp1395 +a(g180 +V r +p1396 +tp1397 +a(g81 +V} +tp1398 +a(g180 +V, or +p1399 +tp1400 +a(g81 +V{ +tp1401 +a(g13 +V\u005ctt +p1402 +tp1403 +a(g180 +V +tp1404 +a(g180 +V b +p1405 +tp1406 +a(g81 +V} +tp1407 +a(g180 +V.\u000a\u000a +p1408 +tp1409 +a(g13 +V\u005cbegin +p1410 +tp1411 +a(g180 +V +tp1412 +a(g81 +V{ +tp1413 +a(g180 +Vcode +p1414 +tp1415 +a(g81 +V} +tp1416 +a(g180 +V\u000a +tp1417 +a(g180 +V +tp1418 +a(g46 +VgetNeighbors +p1419 +tp1420 +a(g180 +V +tp1421 +a(g338 +V:: +p1422 +tp1423 +a(g180 +V +tp1424 +a(g359 +VEq +p1425 +tp1426 +a(g180 +V +tp1427 +a(g43 +Va +tp1428 +a(g180 +V +tp1429 +a(g338 +V=> +p1430 +tp1431 +a(g180 +V +tp1432 +a(g359 +VGrid +p1433 +tp1434 +a(g180 +V +tp1435 +a(g43 +Va +tp1436 +a(g180 +V +tp1437 +a(g338 +V-> +p1438 +tp1439 +a(g180 +V +tp1440 +a(g359 +VCoords +p1441 +tp1442 +a(g180 +V +tp1443 +a(g338 +V-> +p1444 +tp1445 +a(g180 +V +tp1446 +a(g193 +V[ +tp1447 +a(g193 +V( +tp1448 +a(g359 +VCoords +p1449 +tp1450 +a(g193 +V, +tp1451 +a(g359 +VCellState +p1452 +tp1453 +a(g180 +V +tp1454 +a(g43 +Va +tp1455 +a(g193 +V) +tp1456 +a(g193 +V] +tp1457 +a(g180 +V\u000a +tp1458 +a(g46 +VgetNeighbors +p1459 +tp1460 +a(g180 +V +tp1461 +a(g43 +Vgrid +p1462 +tp1463 +a(g180 +V +tp1464 +a(g43 +Vl +tp1465 +a(g334 +V@ +tp1466 +a(g193 +V( +tp1467 +a(g43 +Vr +tp1468 +a(g193 +V, +tp1469 +a(g43 +Vc +tp1470 +a(g193 +V) +tp1471 +a(g180 +V +tp1472 +a(g338 +V= +tp1473 +a(g180 +V +tp1474 +a(g43 +Vfilter +p1475 +tp1476 +a(g180 +V +tp1477 +a(g193 +V( +tp1478 +a(g193 +V( +tp1479 +a(g334 +V/= +p1480 +tp1481 +a(g43 +Vl +tp1482 +a(g193 +V) +tp1483 +a(g334 +V. +tp1484 +a(g43 +Vfst +p1485 +tp1486 +a(g193 +V) +tp1487 +a(g180 +V \u000a +p1488 +tp1489 +a(g334 +V$ +tp1490 +a(g180 +V +tp1491 +a(g43 +Vfoldr +p1492 +tp1493 +a(g180 +V +tp1494 +a(g193 +V( +tp1495 +a(g43 +Vunion +p1496 +tp1497 +a(g334 +V. +tp1498 +a(g193 +V( +tp1499 +a(g334 +V$ +tp1500 +a(g43 +Vgrid +p1501 +tp1502 +a(g193 +V) +tp1503 +a(g193 +V) +tp1504 +a(g180 +V +tp1505 +a(g359 +V[] +p1506 +tp1507 +a(g180 +V \u000a +p1508 +tp1509 +a(g193 +V[ +tp1510 +a(g193 +V( +tp1511 +a(g193 +V` +tp1512 +a(g43 +VgetRow +p1513 +tp1514 +a(g193 +V` +tp1515 +a(g43 +Vr +tp1516 +a(g193 +V) +tp1517 +a(g193 +V, +tp1518 +a(g193 +V( +tp1519 +a(g193 +V` +tp1520 +a(g43 +VgetCol +p1521 +tp1522 +a(g193 +V` +tp1523 +a(g43 +Vc +tp1524 +a(g193 +V) +tp1525 +a(g193 +V, +tp1526 +a(g193 +V( +tp1527 +a(g193 +V` +tp1528 +a(g43 +VgetBoxOf +p1529 +tp1530 +a(g193 +V` +tp1531 +a(g43 +Vl +tp1532 +a(g193 +V) +tp1533 +a(g193 +V] +tp1534 +a(g180 +V\u000a +tp1535 +a(g13 +V\u005cend +p1536 +tp1537 +a(g180 +V +tp1538 +a(g81 +V{ +tp1539 +a(g180 +Vcode +p1540 +tp1541 +a(g81 +V} +tp1542 +a(g180 +V\u000a\u000a +p1543 +tp1544 +a(g81 +V{ +tp1545 +a(g13 +V\u005ctt +p1546 +tp1547 +a(g180 +V +tp1548 +a(g180 +V getNeighbors +p1549 +tp1550 +a(g81 +V} +tp1551 +a(g180 +V returns the coordinates and values of all the neighbors of this cell.\u000a\u000a +p1552 +tp1553 +a(g13 +V\u005cbegin +p1554 +tp1555 +a(g180 +V +tp1556 +a(g81 +V{ +tp1557 +a(g180 +Vcode +p1558 +tp1559 +a(g81 +V} +tp1560 +a(g180 +V\u000a +tp1561 +a(g180 +V +tp1562 +a(g46 +Vimpossible +p1563 +tp1564 +a(g180 +V +tp1565 +a(g338 +V:: +p1566 +tp1567 +a(g180 +V +tp1568 +a(g359 +VEq +p1569 +tp1570 +a(g180 +V +tp1571 +a(g43 +Va +tp1572 +a(g180 +V +tp1573 +a(g338 +V=> +p1574 +tp1575 +a(g180 +V +tp1576 +a(g359 +VGrid +p1577 +tp1578 +a(g180 +V +tp1579 +a(g43 +Va +tp1580 +a(g180 +V +tp1581 +a(g338 +V-> +p1582 +tp1583 +a(g180 +V +tp1584 +a(g359 +VCoords +p1585 +tp1586 +a(g180 +V +tp1587 +a(g338 +V-> +p1588 +tp1589 +a(g180 +V +tp1590 +a(g193 +V[ +tp1591 +a(g43 +Va +tp1592 +a(g193 +V] +tp1593 +a(g180 +V\u000a +tp1594 +a(g46 +Vimpossible +p1595 +tp1596 +a(g180 +V +tp1597 +a(g43 +Vgrid +p1598 +tp1599 +a(g180 +V +tp1600 +a(g43 +Vl +tp1601 +a(g180 +V +tp1602 +a(g338 +V= +tp1603 +a(g180 +V +tp1604 +a(g43 +Vmap +p1605 +tp1606 +a(g180 +V +tp1607 +a(g43 +Vsnd +p1608 +tp1609 +a(g180 +V +tp1610 +a(g334 +V$ +tp1611 +a(g180 +V +tp1612 +a(g43 +VjustKnowns +p1613 +tp1614 +a(g180 +V +tp1615 +a(g334 +V$ +tp1616 +a(g180 +V +tp1617 +a(g43 +Vgrid +p1618 +tp1619 +a(g180 +V +tp1620 +a(g193 +V` +tp1621 +a(g43 +VgetNeighbors +p1622 +tp1623 +a(g193 +V` +tp1624 +a(g180 +V +tp1625 +a(g43 +Vl +tp1626 +a(g180 +V\u000a +tp1627 +a(g13 +V\u005cend +p1628 +tp1629 +a(g180 +V +tp1630 +a(g81 +V{ +tp1631 +a(g180 +Vcode +p1632 +tp1633 +a(g81 +V} +tp1634 +a(g180 +V\u000a\u000a +p1635 +tp1636 +a(g81 +V{ +tp1637 +a(g13 +V\u005ctt +p1638 +tp1639 +a(g180 +V +tp1640 +a(g180 +V impossible +p1641 +tp1642 +a(g81 +V} +tp1643 +a(g180 +V returns a list of impossible values for a given cell. The impossible values consist of the values any\u000a``Known'' neighbors.\u000a\u000a +p1644 +tp1645 +a(g13 +V\u005cbegin +p1646 +tp1647 +a(g180 +V +tp1648 +a(g81 +V{ +tp1649 +a(g180 +Vcode +p1650 +tp1651 +a(g81 +V} +tp1652 +a(g180 +V\u000a +tp1653 +a(g180 +V +tp1654 +a(g46 +VjustUnknowns +p1655 +tp1656 +a(g180 +V +tp1657 +a(g338 +V:: +p1658 +tp1659 +a(g180 +V +tp1660 +a(g193 +V[ +tp1661 +a(g193 +V( +tp1662 +a(g359 +VCoords +p1663 +tp1664 +a(g193 +V, +tp1665 +a(g359 +VCellState +p1666 +tp1667 +a(g180 +V +tp1668 +a(g43 +Va +tp1669 +a(g193 +V) +tp1670 +a(g193 +V] +tp1671 +a(g180 +V +tp1672 +a(g338 +V-> +p1673 +tp1674 +a(g180 +V +tp1675 +a(g193 +V[ +tp1676 +a(g193 +V( +tp1677 +a(g359 +VCoords +p1678 +tp1679 +a(g193 +V, +tp1680 +a(g193 +V[ +tp1681 +a(g43 +Va +tp1682 +a(g193 +V] +tp1683 +a(g193 +V) +tp1684 +a(g193 +V] +tp1685 +a(g180 +V\u000a +tp1686 +a(g46 +VjustUnknowns +p1687 +tp1688 +a(g180 +V +tp1689 +a(g338 +V= +tp1690 +a(g180 +V +tp1691 +a(g43 +Vfoldr +p1692 +tp1693 +a(g180 +V +tp1694 +a(g193 +V( +tp1695 +a(g46 +V\u005c +tp1696 +a(g43 +Vc +tp1697 +a(g180 +V +tp1698 +a(g338 +V-> +p1699 +tp1700 +a(g180 +V +tp1701 +a(g7 +Vcase +p1702 +tp1703 +a(g180 +V +tp1704 +a(g43 +Vc +tp1705 +a(g180 +V +tp1706 +a(g7 +Vof +p1707 +tp1708 +a(g180 +V +tp1709 +a(g193 +V( +tp1710 +a(g43 +Vp +tp1711 +a(g193 +V, +tp1712 +a(g359 +VUnknown +p1713 +tp1714 +a(g180 +V +tp1715 +a(g43 +Vxs +p1716 +tp1717 +a(g193 +V) +tp1718 +a(g180 +V +tp1719 +a(g338 +V-> +p1720 +tp1721 +a(g180 +V +tp1722 +a(g193 +V( +tp1723 +a(g193 +V( +tp1724 +a(g43 +Vp +tp1725 +a(g193 +V, +tp1726 +a(g43 +Vxs +p1727 +tp1728 +a(g193 +V) +tp1729 +a(g359 +V: +tp1730 +a(g193 +V) +tp1731 +a(g193 +V; +tp1732 +a(g180 +V +tp1733 +a(g7 +V_ +tp1734 +a(g180 +V +tp1735 +a(g338 +V-> +p1736 +tp1737 +a(g180 +V +tp1738 +a(g43 +Vid +p1739 +tp1740 +a(g193 +V) +tp1741 +a(g180 +V +tp1742 +a(g359 +V[] +p1743 +tp1744 +a(g180 +V\u000a\u000a +p1745 +tp1746 +a(g46 +VjustKnowns +p1747 +tp1748 +a(g180 +V +tp1749 +a(g338 +V:: +p1750 +tp1751 +a(g180 +V +tp1752 +a(g193 +V[ +tp1753 +a(g193 +V( +tp1754 +a(g359 +VCoords +p1755 +tp1756 +a(g193 +V, +tp1757 +a(g359 +VCellState +p1758 +tp1759 +a(g180 +V +tp1760 +a(g43 +Va +tp1761 +a(g193 +V) +tp1762 +a(g193 +V] +tp1763 +a(g180 +V +tp1764 +a(g338 +V-> +p1765 +tp1766 +a(g180 +V +tp1767 +a(g193 +V[ +tp1768 +a(g193 +V( +tp1769 +a(g359 +VCoords +p1770 +tp1771 +a(g193 +V, +tp1772 +a(g43 +Va +tp1773 +a(g193 +V) +tp1774 +a(g193 +V] +tp1775 +a(g180 +V\u000a +tp1776 +a(g46 +VjustKnowns +p1777 +tp1778 +a(g180 +V +tp1779 +a(g338 +V= +tp1780 +a(g180 +V +tp1781 +a(g43 +Vfoldr +p1782 +tp1783 +a(g180 +V +tp1784 +a(g193 +V( +tp1785 +a(g46 +V\u005c +tp1786 +a(g43 +Vc +tp1787 +a(g180 +V +tp1788 +a(g338 +V-> +p1789 +tp1790 +a(g180 +V +tp1791 +a(g7 +Vcase +p1792 +tp1793 +a(g180 +V +tp1794 +a(g43 +Vc +tp1795 +a(g180 +V +tp1796 +a(g7 +Vof +p1797 +tp1798 +a(g180 +V +tp1799 +a(g193 +V( +tp1800 +a(g43 +Vp +tp1801 +a(g193 +V, +tp1802 +a(g359 +VKnown +p1803 +tp1804 +a(g180 +V +tp1805 +a(g43 +Vx +tp1806 +a(g193 +V) +tp1807 +a(g180 +V +tp1808 +a(g338 +V-> +p1809 +tp1810 +a(g180 +V +tp1811 +a(g193 +V( +tp1812 +a(g193 +V( +tp1813 +a(g43 +Vp +tp1814 +a(g193 +V, +tp1815 +a(g43 +Vx +tp1816 +a(g193 +V) +tp1817 +a(g359 +V: +tp1818 +a(g193 +V) +tp1819 +a(g193 +V; +tp1820 +a(g180 +V +tp1821 +a(g7 +V_ +tp1822 +a(g180 +V +tp1823 +a(g338 +V-> +p1824 +tp1825 +a(g180 +V +tp1826 +a(g43 +Vid +p1827 +tp1828 +a(g193 +V) +tp1829 +a(g180 +V +tp1830 +a(g359 +V[] +p1831 +tp1832 +a(g180 +V\u000a +tp1833 +a(g13 +V\u005cend +p1834 +tp1835 +a(g180 +V +tp1836 +a(g81 +V{ +tp1837 +a(g180 +Vcode +p1838 +tp1839 +a(g81 +V} +tp1840 +a(g180 +V\u000a\u000a +p1841 +tp1842 +a(g81 +V{ +tp1843 +a(g13 +V\u005ctt +p1844 +tp1845 +a(g180 +V +tp1846 +a(g180 +V justUnknowns +p1847 +tp1848 +a(g81 +V} +tp1849 +a(g180 +V and +p1850 +tp1851 +a(g81 +V{ +tp1852 +a(g13 +V\u005ctt +p1853 +tp1854 +a(g180 +V +tp1855 +a(g180 +V justKnowns +p1856 +tp1857 +a(g81 +V} +tp1858 +a(g180 +V return only the Known or Unknown values (with the constructor stripped off)\u000afrom a list of cells.\u000a\u000a +p1859 +tp1860 +a(g13 +V\u005cbegin +p1861 +tp1862 +a(g180 +V +tp1863 +a(g81 +V{ +tp1864 +a(g180 +Vcode +p1865 +tp1866 +a(g81 +V} +tp1867 +a(g180 +V\u000a +tp1868 +a(g180 +V +tp1869 +a(g46 +VupdateGrid +p1870 +tp1871 +a(g180 +V +tp1872 +a(g338 +V:: +p1873 +tp1874 +a(g180 +V +tp1875 +a(g359 +VGrid +p1876 +tp1877 +a(g180 +V +tp1878 +a(g43 +Va +tp1879 +a(g180 +V +tp1880 +a(g338 +V-> +p1881 +tp1882 +a(g180 +V +tp1883 +a(g193 +V[ +tp1884 +a(g193 +V( +tp1885 +a(g359 +VCoords +p1886 +tp1887 +a(g193 +V, +tp1888 +a(g359 +VCellState +p1889 +tp1890 +a(g180 +V +tp1891 +a(g43 +Va +tp1892 +a(g193 +V) +tp1893 +a(g193 +V] +tp1894 +a(g180 +V +tp1895 +a(g338 +V-> +p1896 +tp1897 +a(g180 +V +tp1898 +a(g359 +VMaybe +p1899 +tp1900 +a(g180 +V +tp1901 +a(g193 +V( +tp1902 +a(g359 +VGrid +p1903 +tp1904 +a(g180 +V +tp1905 +a(g43 +Va +tp1906 +a(g193 +V) +tp1907 +a(g180 +V\u000a +tp1908 +a(g46 +VupdateGrid +p1909 +tp1910 +a(g180 +V +tp1911 +a(g7 +V_ +tp1912 +a(g180 +V +tp1913 +a(g359 +V[] +p1914 +tp1915 +a(g180 +V +tp1916 +a(g338 +V= +tp1917 +a(g180 +V +tp1918 +a(g359 +VNothing +p1919 +tp1920 +a(g180 +V\u000a +tp1921 +a(g46 +VupdateGrid +p1922 +tp1923 +a(g180 +V +tp1924 +a(g43 +Vgrid +p1925 +tp1926 +a(g180 +V +tp1927 +a(g43 +Vxs +p1928 +tp1929 +a(g180 +V +tp1930 +a(g338 +V= +tp1931 +a(g180 +V +tp1932 +a(g359 +VJust +p1933 +tp1934 +a(g180 +V +tp1935 +a(g334 +V$ +tp1936 +a(g180 +V +tp1937 +a(g43 +Vgrid +p1938 +tp1939 +a(g180 +V +tp1940 +a(g334 +V// +p1941 +tp1942 +a(g180 +V +tp1943 +a(g43 +VnubBy +p1944 +tp1945 +a(g180 +V +tp1946 +a(g193 +V( +tp1947 +a(g46 +V\u005c +tp1948 +a(g193 +V( +tp1949 +a(g43 +Vx +tp1950 +a(g193 +V, +tp1951 +a(g7 +V_ +tp1952 +a(g193 +V) +tp1953 +a(g180 +V +tp1954 +a(g193 +V( +tp1955 +a(g43 +Vy +tp1956 +a(g193 +V, +tp1957 +a(g7 +V_ +tp1958 +a(g193 +V) +tp1959 +a(g180 +V +tp1960 +a(g338 +V-> +p1961 +tp1962 +a(g180 +V +tp1963 +a(g43 +Vx +tp1964 +a(g334 +V== +p1965 +tp1966 +a(g43 +Vy +tp1967 +a(g193 +V) +tp1968 +a(g180 +V +tp1969 +a(g43 +Vxs +p1970 +tp1971 +a(g180 +V\u000a +tp1972 +a(g13 +V\u005cend +p1973 +tp1974 +a(g180 +V +tp1975 +a(g81 +V{ +tp1976 +a(g180 +Vcode +p1977 +tp1978 +a(g81 +V} +tp1979 +a(g180 +V\u000a\u000a +p1980 +tp1981 +a(g81 +V{ +tp1982 +a(g13 +V\u005ctt +p1983 +tp1984 +a(g180 +V +tp1985 +a(g180 +V updateGrid +p1986 +tp1987 +a(g81 +V} +tp1988 +a(g180 +V applies a set of updates to a grid and returns the new grid only if it was updated.\u000a\u000a +p1989 +tp1990 +a(g13 +V\u005csection +p1991 +tp1992 +a(g180 +V +tp1993 +a(g81 +V{ +tp1994 +a(g180 +VPublic API +p1995 +tp1996 +a(g81 +V} +tp1997 +a(g180 +V\u000a\u000a +p1998 +tp1999 +a(g13 +V\u005cbegin +p2000 +tp2001 +a(g180 +V +tp2002 +a(g81 +V{ +tp2003 +a(g180 +Vcode +p2004 +tp2005 +a(g81 +V} +tp2006 +a(g180 +V\u000a +tp2007 +a(g180 +V +tp2008 +a(g46 +VmakeSudoku +p2009 +tp2010 +a(g180 +V +tp2011 +a(g338 +V:: +p2012 +tp2013 +a(g180 +V +tp2014 +a(g193 +V( +tp2015 +a(g359 +VNum +p2016 +tp2017 +a(g180 +V +tp2018 +a(g43 +Va +tp2019 +a(g193 +V, +tp2020 +a(g180 +V +tp2021 +a(g359 +VOrd +p2022 +tp2023 +a(g180 +V +tp2024 +a(g43 +Va +tp2025 +a(g193 +V, +tp2026 +a(g180 +V +tp2027 +a(g359 +VEnum +p2028 +tp2029 +a(g180 +V +tp2030 +a(g43 +Va +tp2031 +a(g193 +V) +tp2032 +a(g180 +V +tp2033 +a(g338 +V=> +p2034 +tp2035 +a(g180 +V +tp2036 +a(g193 +V[ +tp2037 +a(g193 +V[ +tp2038 +a(g43 +Va +tp2039 +a(g193 +V] +tp2040 +a(g193 +V] +tp2041 +a(g180 +V +tp2042 +a(g338 +V-> +p2043 +tp2044 +a(g180 +V +tp2045 +a(g359 +VSudoku +p2046 +tp2047 +a(g180 +V +tp2048 +a(g43 +Va +tp2049 +a(g180 +V\u000a +tp2050 +a(g46 +VmakeSudoku +p2051 +tp2052 +a(g180 +V +tp2053 +a(g43 +Vxs +p2054 +tp2055 +a(g180 +V\u000a +p2056 +tp2057 +a(g334 +V| +tp2058 +a(g180 +V +tp2059 +a(g43 +Vnot +p2060 +tp2061 +a(g180 +V +tp2062 +a(g193 +V( +tp2063 +a(g43 +Vall +p2064 +tp2065 +a(g180 +V +tp2066 +a(g193 +V( +tp2067 +a(g193 +V( +tp2068 +a(g334 +V== +p2069 +tp2070 +a(g43 +Vsize +p2071 +tp2072 +a(g193 +V) +tp2073 +a(g334 +V. +tp2074 +a(g43 +Vlength +p2075 +tp2076 +a(g193 +V) +tp2077 +a(g180 +V +tp2078 +a(g43 +Vxs +p2079 +tp2080 +a(g193 +V) +tp2081 +a(g180 +V +tp2082 +a(g338 +V= +tp2083 +a(g180 +V +tp2084 +a(g50 +Verror +p2085 +tp2086 +a(g180 +V +tp2087 +a(g217 +V" +tp2088 +a(g217 +Verror not a square +p2089 +tp2090 +a(g217 +V" +tp2091 +a(g180 +V\u000a +p2092 +tp2093 +a(g334 +V| +tp2094 +a(g180 +V +tp2095 +a(g193 +V( +tp2096 +a(g43 +VintSqrt +p2097 +tp2098 +a(g180 +V +tp2099 +a(g43 +Vsize +p2100 +tp2101 +a(g193 +V) +tp2102 +a(g334 +V^ +tp2103 +a(g193 +V( +tp2104 +a(g309 +V2 +tp2105 +a(g338 +V:: +p2106 +tp2107 +a(g359 +VInt +p2108 +tp2109 +a(g193 +V) +tp2110 +a(g180 +V +tp2111 +a(g334 +V/= +p2112 +tp2113 +a(g180 +V +tp2114 +a(g43 +Vsize +p2115 +tp2116 +a(g180 +V +tp2117 +a(g338 +V= +tp2118 +a(g180 +V +tp2119 +a(g50 +Verror +p2120 +tp2121 +a(g180 +V +tp2122 +a(g217 +V" +tp2123 +a(g217 +Verror dims aren't perfect squares +p2124 +tp2125 +a(g217 +V" +tp2126 +a(g180 +V\u000a +p2127 +tp2128 +a(g334 +V| +tp2129 +a(g180 +V +tp2130 +a(g43 +Vany +p2131 +tp2132 +a(g180 +V +tp2133 +a(g193 +V( +tp2134 +a(g46 +V\u005c +tp2135 +a(g43 +Vx +tp2136 +a(g180 +V +tp2137 +a(g338 +V-> +p2138 +tp2139 +a(g180 +V +tp2140 +a(g43 +Vx +tp2141 +a(g180 +V +tp2142 +a(g334 +V< +tp2143 +a(g180 +V +tp2144 +a(g309 +V0 +tp2145 +a(g180 +V +tp2146 +a(g334 +V|| +p2147 +tp2148 +a(g180 +V +tp2149 +a(g43 +Vx +tp2150 +a(g180 +V +tp2151 +a(g334 +V> +tp2152 +a(g180 +V +tp2153 +a(g43 +VfromIntegral +p2154 +tp2155 +a(g180 +V +tp2156 +a(g43 +Vsize +p2157 +tp2158 +a(g193 +V) +tp2159 +a(g180 +V +tp2160 +a(g193 +V( +tp2161 +a(g43 +Vconcat +p2162 +tp2163 +a(g180 +V +tp2164 +a(g43 +Vxs +p2165 +tp2166 +a(g193 +V) +tp2167 +a(g180 +V +tp2168 +a(g338 +V= +tp2169 +a(g180 +V +tp2170 +a(g50 +Verror +p2171 +tp2172 +a(g180 +V +tp2173 +a(g217 +V" +tp2174 +a(g217 +Vvalue out of range +p2175 +tp2176 +a(g217 +V" +tp2177 +a(g180 +V\u000a +p2178 +tp2179 +a(g334 +V| +tp2180 +a(g180 +V +tp2181 +a(g43 +Votherwise +p2182 +tp2183 +a(g180 +V +tp2184 +a(g338 +V= +tp2185 +a(g180 +V +tp2186 +a(g359 +VSudoku +p2187 +tp2188 +a(g180 +V +tp2189 +a(g193 +V( +tp2190 +a(g43 +VlistArray +p2191 +tp2192 +a(g180 +V +tp2193 +a(g193 +V( +tp2194 +a(g193 +V( +tp2195 +a(g309 +V0 +tp2196 +a(g193 +V, +tp2197 +a(g309 +V0 +tp2198 +a(g193 +V) +tp2199 +a(g193 +V, +tp2200 +a(g193 +V( +tp2201 +a(g43 +Vsize +p2202 +tp2203 +a(g334 +V- +tp2204 +a(g309 +V1 +tp2205 +a(g193 +V, +tp2206 +a(g43 +Vsize +p2207 +tp2208 +a(g334 +V- +tp2209 +a(g309 +V1 +tp2210 +a(g193 +V) +tp2211 +a(g193 +V) +tp2212 +a(g180 +V +tp2213 +a(g43 +Vstates +p2214 +tp2215 +a(g193 +V) +tp2216 +a(g180 +V\u000a +p2217 +tp2218 +a(g7 +Vwhere +p2219 +tp2220 +a(g180 +V\u000a +p2221 +tp2222 +a(g43 +Vsize +p2223 +tp2224 +a(g180 +V +tp2225 +a(g338 +V= +tp2226 +a(g180 +V +tp2227 +a(g43 +Vlength +p2228 +tp2229 +a(g180 +V +tp2230 +a(g43 +Vxs +p2231 +tp2232 +a(g180 +V\u000a +p2233 +tp2234 +a(g43 +Vstates +p2235 +tp2236 +a(g180 +V +tp2237 +a(g338 +V= +tp2238 +a(g180 +V +tp2239 +a(g43 +Vmap +p2240 +tp2241 +a(g180 +V +tp2242 +a(g43 +Vf +tp2243 +a(g180 +V +tp2244 +a(g193 +V( +tp2245 +a(g43 +Vconcat +p2246 +tp2247 +a(g180 +V +tp2248 +a(g43 +Vxs +p2249 +tp2250 +a(g193 +V) +tp2251 +a(g180 +V\u000a +p2252 +tp2253 +a(g43 +Vf +tp2254 +a(g180 +V +tp2255 +a(g309 +V0 +tp2256 +a(g180 +V +tp2257 +a(g338 +V= +tp2258 +a(g180 +V +tp2259 +a(g359 +VUnknown +p2260 +tp2261 +a(g180 +V +tp2262 +a(g193 +V[ +tp2263 +a(g309 +V1 +tp2264 +a(g334 +V.. +p2265 +tp2266 +a(g43 +VfromIntegral +p2267 +tp2268 +a(g180 +V +tp2269 +a(g43 +Vsize +p2270 +tp2271 +a(g193 +V] +tp2272 +a(g180 +V\u000a +p2273 +tp2274 +a(g43 +Vf +tp2275 +a(g180 +V +tp2276 +a(g43 +Vx +tp2277 +a(g180 +V +tp2278 +a(g338 +V= +tp2279 +a(g180 +V +tp2280 +a(g359 +VKnown +p2281 +tp2282 +a(g180 +V +tp2283 +a(g43 +Vx +tp2284 +a(g180 +V\u000a +tp2285 +a(g13 +V\u005cend +p2286 +tp2287 +a(g180 +V +tp2288 +a(g81 +V{ +tp2289 +a(g180 +Vcode +p2290 +tp2291 +a(g81 +V} +tp2292 +a(g180 +V\u000a\u000a +p2293 +tp2294 +a(g81 +V{ +tp2295 +a(g13 +V\u005ctt +p2296 +tp2297 +a(g180 +V +tp2298 +a(g180 +V makeSudoku +p2299 +tp2300 +a(g81 +V} +tp2301 +a(g180 +V makes a +p2302 +tp2303 +a(g81 +V{ +tp2304 +a(g13 +V\u005ctt +p2305 +tp2306 +a(g180 +V +tp2307 +a(g180 +V Sudoku +p2308 +tp2309 +a(g81 +V} +tp2310 +a(g180 +V value from a list of numbers. The given matrix must be square and have dimensions\u000athat are a perfect square. The possible values for each cell range from 1 to the dimension of the square with ``0''\u000arepresenting unknown values. +p2311 +tp2312 +a(g13 +V\u005cfootnote +p2313 +tp2314 +a(g180 +V +tp2315 +a(g81 +V{ +tp2316 +a(g180 +VThe rest of the code doesn't depend on any of this weird ``0'' is unknown\u000arepresentation. In fact, it doesn't depend on numeric values at all. ``0'' is just used here because it makes\u000arepresenting grids in Haskell source code easier. +p2317 +tp2318 +a(g81 +V} +tp2319 +a(g180 +V\u000a\u000a +p2320 +tp2321 +a(g13 +V\u005cbegin +p2322 +tp2323 +a(g180 +V +tp2324 +a(g81 +V{ +tp2325 +a(g180 +Vcode +p2326 +tp2327 +a(g81 +V} +tp2328 +a(g180 +V\u000a +tp2329 +a(g180 +V +tp2330 +a(g46 +Veliminate +p2331 +tp2332 +a(g180 +V +tp2333 +a(g338 +V:: +p2334 +tp2335 +a(g180 +V +tp2336 +a(g359 +VEq +p2337 +tp2338 +a(g180 +V +tp2339 +a(g43 +Va +tp2340 +a(g180 +V +tp2341 +a(g338 +V=> +p2342 +tp2343 +a(g180 +V +tp2344 +a(g359 +VSudoku +p2345 +tp2346 +a(g180 +V +tp2347 +a(g43 +Va +tp2348 +a(g180 +V +tp2349 +a(g338 +V-> +p2350 +tp2351 +a(g180 +V +tp2352 +a(g359 +VMaybe +p2353 +tp2354 +a(g180 +V +tp2355 +a(g193 +V( +tp2356 +a(g359 +VSudoku +p2357 +tp2358 +a(g180 +V +tp2359 +a(g43 +Va +tp2360 +a(g193 +V) +tp2361 +a(g180 +V\u000a +tp2362 +a(g46 +Veliminate +p2363 +tp2364 +a(g180 +V +tp2365 +a(g193 +V( +tp2366 +a(g359 +VSudoku +p2367 +tp2368 +a(g180 +V +tp2369 +a(g43 +Vgrid +p2370 +tp2371 +a(g193 +V) +tp2372 +a(g180 +V +tp2373 +a(g338 +V= +tp2374 +a(g180 +V +tp2375 +a(g43 +Vfmap +p2376 +tp2377 +a(g180 +V +tp2378 +a(g359 +VSudoku +p2379 +tp2380 +a(g180 +V +tp2381 +a(g334 +V$ +tp2382 +a(g180 +V +tp2383 +a(g43 +VupdateGrid +p2384 +tp2385 +a(g180 +V +tp2386 +a(g43 +Vgrid +p2387 +tp2388 +a(g180 +V +tp2389 +a(g43 +Vchanges +p2390 +tp2391 +a(g180 +V +tp2392 +a(g334 +V>>= +p2393 +tp2394 +a(g180 +V +tp2395 +a(g43 +Vsanitize +p2396 +tp2397 +a(g180 +V\u000a +p2398 +tp2399 +a(g7 +Vwhere +p2400 +tp2401 +a(g180 +V\u000a +p2402 +tp2403 +a(g43 +Vchanges +p2404 +tp2405 +a(g180 +V +tp2406 +a(g338 +V= +tp2407 +a(g180 +V +tp2408 +a(g43 +VconcatMap +p2409 +tp2410 +a(g180 +V +tp2411 +a(g43 +VfindChange +p2412 +tp2413 +a(g180 +V +tp2414 +a(g334 +V$ +tp2415 +a(g180 +V +tp2416 +a(g43 +Vassocs +p2417 +tp2418 +a(g180 +V +tp2419 +a(g43 +Vgrid +p2420 +tp2421 +a(g180 +V\u000a +p2422 +tp2423 +a(g43 +VfindChange +p2424 +tp2425 +a(g180 +V +tp2426 +a(g193 +V( +tp2427 +a(g43 +Vl +tp2428 +a(g193 +V, +tp2429 +a(g359 +VUnknown +p2430 +tp2431 +a(g180 +V +tp2432 +a(g43 +Vxs +p2433 +tp2434 +a(g193 +V) +tp2435 +a(g180 +V \u000a +p2436 +tp2437 +a(g338 +V= +tp2438 +a(g180 +V +tp2439 +a(g43 +Vmap +p2440 +tp2441 +a(g180 +V +tp2442 +a(g193 +V( +tp2443 +a(g193 +V( +tp2444 +a(g193 +V, +tp2445 +a(g193 +V) +tp2446 +a(g180 +V +tp2447 +a(g43 +Vl +tp2448 +a(g193 +V) +tp2449 +a(g180 +V \u000a +p2450 +tp2451 +a(g334 +V$ +tp2452 +a(g180 +V +tp2453 +a(g7 +Vcase +p2454 +tp2455 +a(g180 +V +tp2456 +a(g43 +Vfilter +p2457 +tp2458 +a(g180 +V +tp2459 +a(g193 +V( +tp2460 +a(g43 +Vnot +p2461 +tp2462 +a(g334 +V. +tp2463 +a(g193 +V( +tp2464 +a(g193 +V` +tp2465 +a(g43 +Velem +p2466 +tp2467 +a(g193 +V` +tp2468 +a(g43 +Vimpossible +p2469 +tp2470 +a(g180 +V +tp2471 +a(g43 +Vgrid +p2472 +tp2473 +a(g180 +V +tp2474 +a(g43 +Vl +tp2475 +a(g193 +V) +tp2476 +a(g193 +V) +tp2477 +a(g180 +V +tp2478 +a(g43 +Vxs +p2479 +tp2480 +a(g180 +V +tp2481 +a(g7 +Vof +p2482 +tp2483 +a(g180 +V\u000a +p2484 +tp2485 +a(g359 +V[] +p2486 +tp2487 +a(g180 +V +tp2488 +a(g338 +V-> +p2489 +tp2490 +a(g180 +V +tp2491 +a(g43 +Vreturn +p2492 +tp2493 +a(g180 +V +tp2494 +a(g359 +VImpossible +p2495 +tp2496 +a(g180 +V\u000a +p2497 +tp2498 +a(g193 +V[ +tp2499 +a(g43 +Vx +tp2500 +a(g193 +V] +tp2501 +a(g180 +V +tp2502 +a(g338 +V-> +p2503 +tp2504 +a(g180 +V +tp2505 +a(g43 +Vreturn +p2506 +tp2507 +a(g180 +V +tp2508 +a(g334 +V$ +tp2509 +a(g180 +V +tp2510 +a(g359 +VKnown +p2511 +tp2512 +a(g180 +V +tp2513 +a(g43 +Vx +tp2514 +a(g180 +V\u000a +p2515 +tp2516 +a(g43 +Vxs' +p2517 +tp2518 +a(g180 +V\u000a +p2519 +tp2520 +a(g334 +V| +tp2521 +a(g180 +V +tp2522 +a(g43 +Vxs' +p2523 +tp2524 +a(g180 +V +tp2525 +a(g334 +V/= +p2526 +tp2527 +a(g180 +V +tp2528 +a(g43 +Vxs +p2529 +tp2530 +a(g180 +V +tp2531 +a(g338 +V-> +p2532 +tp2533 +a(g180 +V +tp2534 +a(g43 +Vreturn +p2535 +tp2536 +a(g180 +V +tp2537 +a(g334 +V$ +tp2538 +a(g180 +V +tp2539 +a(g359 +VUnknown +p2540 +tp2541 +a(g180 +V +tp2542 +a(g43 +Vxs' +p2543 +tp2544 +a(g180 +V\u000a +p2545 +tp2546 +a(g334 +V| +tp2547 +a(g180 +V +tp2548 +a(g43 +Votherwise +p2549 +tp2550 +a(g180 +V +tp2551 +a(g338 +V-> +p2552 +tp2553 +a(g180 +V +tp2554 +a(g43 +Vmzero +p2555 +tp2556 +a(g180 +V\u000a +p2557 +tp2558 +a(g43 +VfindChange +p2559 +tp2560 +a(g180 +V +tp2561 +a(g7 +V_ +tp2562 +a(g180 +V +tp2563 +a(g338 +V= +tp2564 +a(g180 +V +tp2565 +a(g43 +Vmzero +p2566 +tp2567 +a(g180 +V\u000a +p2568 +tp2569 +a(g43 +Vsanitize +p2570 +tp2571 +a(g180 +V +tp2572 +a(g43 +Vgrid +p2573 +tp2574 +a(g180 +V +tp2575 +a(g338 +V= +tp2576 +a(g180 +V +tp2577 +a(g43 +Vreturn +p2578 +tp2579 +a(g180 +V +tp2580 +a(g334 +V$ +tp2581 +a(g180 +V +tp2582 +a(g43 +Vgrid +p2583 +tp2584 +a(g180 +V +tp2585 +a(g334 +V// +p2586 +tp2587 +a(g180 +V +tp2588 +a(g193 +V[ +tp2589 +a(g193 +V( +tp2590 +a(g43 +Vl +tp2591 +a(g193 +V, +tp2592 +a(g359 +VImpossible +p2593 +tp2594 +a(g193 +V) +tp2595 +a(g180 +V +tp2596 +a(g334 +V| +tp2597 +a(g180 +V \u000a +p2598 +tp2599 +a(g193 +V( +tp2600 +a(g43 +Vl +tp2601 +a(g193 +V, +tp2602 +a(g43 +Vx +tp2603 +a(g193 +V) +tp2604 +a(g180 +V +tp2605 +a(g338 +V<- +p2606 +tp2607 +a(g180 +V +tp2608 +a(g43 +VjustKnowns +p2609 +tp2610 +a(g180 +V +tp2611 +a(g43 +Vchanges +p2612 +tp2613 +a(g193 +V, +tp2614 +a(g180 +V +tp2615 +a(g43 +Vx +tp2616 +a(g180 +V +tp2617 +a(g193 +V` +tp2618 +a(g43 +Velem +p2619 +tp2620 +a(g193 +V` +tp2621 +a(g180 +V +tp2622 +a(g43 +Vimpossible +p2623 +tp2624 +a(g180 +V +tp2625 +a(g43 +Vgrid +p2626 +tp2627 +a(g180 +V +tp2628 +a(g43 +Vl +tp2629 +a(g193 +V] +tp2630 +a(g180 +V\u000a +tp2631 +a(g13 +V\u005cend +p2632 +tp2633 +a(g180 +V +tp2634 +a(g81 +V{ +tp2635 +a(g180 +Vcode +p2636 +tp2637 +a(g81 +V} +tp2638 +a(g180 +V\u000a\u000aThe +p2639 +tp2640 +a(g81 +V{ +tp2641 +a(g13 +V\u005ctt +p2642 +tp2643 +a(g180 +V +tp2644 +a(g180 +V eliminate +p2645 +tp2646 +a(g81 +V} +tp2647 +a(g180 +V phase tries to remove possible choices for ``Unknowns'' based on ``Known'' values in the same row,\u000acolumn, or box as the ``Unknown'' value. For each cell on the grid we find its ``neighbors'', that is, cells in the\u000asame row, column, or box. Out of those neighbors we get a list of all the ``Known'' values. We can eliminate all of\u000athese from our list of candidates for this cell. If we're lucky enough to eliminate all the candidates but one we have\u000aa new ``Known'' value. If we're unlucky enough to have eliminates +p2648 +tp2649 +a(g81 +V{ +tp2650 +a(g13 +V\u005cbf +p2651 +tp2652 +a(g180 +V +tp2653 +a(g180 +V all +p2654 +tp2655 +a(g81 +V} +tp2656 +a(g180 +V the possible candidates we have a new\u000a``Impossible'' value.\u000a\u000aAfter iterating though every cell we make one more pass looking for conflicting changes. +p2657 +tp2658 +a(g81 +V{ +tp2659 +a(g13 +V\u005ctt +p2660 +tp2661 +a(g180 +V +tp2662 +a(g180 +V sanitize +p2663 +tp2664 +a(g81 +V} +tp2665 +a(g180 +V marks cells as\u000a``Impossible'' if we have conflicting ``Known'' values.\u000a\u000a +p2666 +tp2667 +a(g13 +V\u005cbegin +p2668 +tp2669 +a(g180 +V +tp2670 +a(g81 +V{ +tp2671 +a(g180 +Vcode +p2672 +tp2673 +a(g81 +V} +tp2674 +a(g180 +V\u000a +tp2675 +a(g180 +V +tp2676 +a(g46 +Vanalyze +p2677 +tp2678 +a(g180 +V +tp2679 +a(g338 +V:: +p2680 +tp2681 +a(g180 +V +tp2682 +a(g359 +VEq +p2683 +tp2684 +a(g180 +V +tp2685 +a(g43 +Va +tp2686 +a(g180 +V +tp2687 +a(g338 +V=> +p2688 +tp2689 +a(g180 +V +tp2690 +a(g359 +VSudoku +p2691 +tp2692 +a(g180 +V +tp2693 +a(g43 +Va +tp2694 +a(g180 +V +tp2695 +a(g338 +V-> +p2696 +tp2697 +a(g180 +V +tp2698 +a(g359 +VMaybe +p2699 +tp2700 +a(g180 +V +tp2701 +a(g193 +V( +tp2702 +a(g359 +VSudoku +p2703 +tp2704 +a(g180 +V +tp2705 +a(g43 +Va +tp2706 +a(g193 +V) +tp2707 +a(g180 +V\u000a +tp2708 +a(g46 +Vanalyze +p2709 +tp2710 +a(g180 +V +tp2711 +a(g193 +V( +tp2712 +a(g359 +VSudoku +p2713 +tp2714 +a(g180 +V +tp2715 +a(g43 +Vgrid +p2716 +tp2717 +a(g193 +V) +tp2718 +a(g180 +V +tp2719 +a(g338 +V= +tp2720 +a(g180 +V +tp2721 +a(g43 +Vfmap +p2722 +tp2723 +a(g180 +V +tp2724 +a(g359 +VSudoku +p2725 +tp2726 +a(g180 +V +tp2727 +a(g334 +V$ +tp2728 +a(g180 +V +tp2729 +a(g43 +VupdateGrid +p2730 +tp2731 +a(g180 +V +tp2732 +a(g43 +Vgrid +p2733 +tp2734 +a(g180 +V +tp2735 +a(g334 +V$ +tp2736 +a(g180 +V +tp2737 +a(g43 +Vnub +p2738 +tp2739 +a(g180 +V +tp2740 +a(g193 +V[ +tp2741 +a(g43 +Vu +tp2742 +a(g180 +V +tp2743 +a(g334 +V| +tp2744 +a(g180 +V\u000a +p2745 +tp2746 +a(g43 +Vf +tp2747 +a(g180 +V +tp2748 +a(g338 +V<- +p2749 +tp2750 +a(g180 +V +tp2751 +a(g43 +Vmap +p2752 +tp2753 +a(g180 +V +tp2754 +a(g193 +V( +tp2755 +a(g334 +V$ +tp2756 +a(g43 +Vgrid +p2757 +tp2758 +a(g193 +V) +tp2759 +a(g180 +V +tp2760 +a(g193 +V[ +tp2761 +a(g43 +VgetRow +p2762 +tp2763 +a(g193 +V, +tp2764 +a(g43 +VgetCol +p2765 +tp2766 +a(g193 +V, +tp2767 +a(g43 +VgetBox +p2768 +tp2769 +a(g193 +V] +tp2770 +a(g193 +V, +tp2771 +a(g180 +V\u000a +p2772 +tp2773 +a(g43 +Vn +tp2774 +a(g180 +V +tp2775 +a(g338 +V<- +p2776 +tp2777 +a(g180 +V +tp2778 +a(g193 +V[ +tp2779 +a(g309 +V0 +tp2780 +a(g334 +V.. +p2781 +tp2782 +a(g43 +Vsize +p2783 +tp2784 +a(g180 +V +tp2785 +a(g43 +Vgrid +p2786 +tp2787 +a(g180 +V +tp2788 +a(g334 +V- +tp2789 +a(g180 +V +tp2790 +a(g309 +V1 +tp2791 +a(g193 +V] +tp2792 +a(g193 +V, +tp2793 +a(g180 +V\u000a +p2794 +tp2795 +a(g43 +Vu +tp2796 +a(g180 +V +tp2797 +a(g338 +V<- +p2798 +tp2799 +a(g180 +V +tp2800 +a(g43 +Vunique +p2801 +tp2802 +a(g180 +V +tp2803 +a(g193 +V( +tp2804 +a(g43 +Vf +tp2805 +a(g180 +V +tp2806 +a(g43 +Vn +tp2807 +a(g193 +V) +tp2808 +a(g193 +V] +tp2809 +a(g180 +V\u000a +p2810 +tp2811 +a(g7 +Vwhere +p2812 +tp2813 +a(g180 +V\u000a +p2814 +tp2815 +a(g43 +Vunique +p2816 +tp2817 +a(g180 +V +tp2818 +a(g43 +Vxs +p2819 +tp2820 +a(g180 +V +tp2821 +a(g338 +V= +tp2822 +a(g180 +V +tp2823 +a(g43 +Vfoldr +p2824 +tp2825 +a(g180 +V +tp2826 +a(g43 +Vf +tp2827 +a(g180 +V +tp2828 +a(g359 +V[] +p2829 +tp2830 +a(g180 +V +tp2831 +a(g334 +V$ +tp2832 +a(g180 +V +tp2833 +a(g43 +Vfoldr +p2834 +tp2835 +a(g180 +V +tp2836 +a(g193 +V( +tp2837 +a(g43 +Vunion +p2838 +tp2839 +a(g334 +V. +tp2840 +a(g43 +Vsnd +p2841 +tp2842 +a(g193 +V) +tp2843 +a(g180 +V +tp2844 +a(g359 +V[] +p2845 +tp2846 +a(g180 +V +tp2847 +a(g43 +Vunknowns +p2848 +tp2849 +a(g180 +V +tp2850 +a(g334 +V\u005c\u005c +p2851 +tp2852 +a(g180 +V +tp2853 +a(g43 +Vmap +p2854 +tp2855 +a(g180 +V +tp2856 +a(g43 +Vsnd +p2857 +tp2858 +a(g180 +V +tp2859 +a(g193 +V( +tp2860 +a(g43 +VjustKnowns +p2861 +tp2862 +a(g180 +V +tp2863 +a(g43 +Vxs +p2864 +tp2865 +a(g193 +V) +tp2866 +a(g180 +V\u000a +p2867 +tp2868 +a(g7 +Vwhere +p2869 +tp2870 +a(g180 +V\u000a +p2871 +tp2872 +a(g43 +Vunknowns +p2873 +tp2874 +a(g180 +V +tp2875 +a(g338 +V= +tp2876 +a(g180 +V +tp2877 +a(g43 +VjustUnknowns +p2878 +tp2879 +a(g180 +V +tp2880 +a(g43 +Vxs +p2881 +tp2882 +a(g180 +V\u000a +p2883 +tp2884 +a(g43 +Vf +tp2885 +a(g180 +V +tp2886 +a(g43 +Vc +tp2887 +a(g180 +V +tp2888 +a(g338 +V= +tp2889 +a(g180 +V +tp2890 +a(g7 +Vcase +p2891 +tp2892 +a(g180 +V +tp2893 +a(g43 +Vfilter +p2894 +tp2895 +a(g180 +V +tp2896 +a(g193 +V( +tp2897 +a(g193 +V( +tp2898 +a(g43 +Vc +tp2899 +a(g193 +V` +tp2900 +a(g43 +Velem +p2901 +tp2902 +a(g193 +V` +tp2903 +a(g193 +V) +tp2904 +a(g334 +V. +tp2905 +a(g43 +Vsnd +p2906 +tp2907 +a(g193 +V) +tp2908 +a(g180 +V +tp2909 +a(g43 +Vunknowns +p2910 +tp2911 +a(g180 +V +tp2912 +a(g7 +Vof +p2913 +tp2914 +a(g180 +V\u000a +p2915 +tp2916 +a(g193 +V[ +tp2917 +a(g193 +V( +tp2918 +a(g43 +Vp +tp2919 +a(g193 +V, +tp2920 +a(g7 +V_ +tp2921 +a(g193 +V) +tp2922 +a(g193 +V] +tp2923 +a(g180 +V +tp2924 +a(g338 +V-> +p2925 +tp2926 +a(g180 +V +tp2927 +a(g193 +V( +tp2928 +a(g193 +V( +tp2929 +a(g43 +Vp +tp2930 +a(g193 +V, +tp2931 +a(g359 +VKnown +p2932 +tp2933 +a(g180 +V +tp2934 +a(g43 +Vc +tp2935 +a(g193 +V) +tp2936 +a(g359 +V: +tp2937 +a(g193 +V) +tp2938 +a(g180 +V\u000a +p2939 +tp2940 +a(g7 +V_ +tp2941 +a(g180 +V +tp2942 +a(g338 +V-> +p2943 +tp2944 +a(g180 +V +tp2945 +a(g43 +Vid +p2946 +tp2947 +a(g180 +V\u000a +tp2948 +a(g13 +V\u005cend +p2949 +tp2950 +a(g180 +V +tp2951 +a(g81 +V{ +tp2952 +a(g180 +Vcode +p2953 +tp2954 +a(g81 +V} +tp2955 +a(g180 +V\u000a\u000aThe +p2956 +tp2957 +a(g81 +V{ +tp2958 +a(g13 +V\u005ctt +p2959 +tp2960 +a(g180 +V +tp2961 +a(g180 +V analyze +p2962 +tp2963 +a(g81 +V} +tp2964 +a(g180 +V phase tries to turn ``Unknowns'' into ``Knowns'' when a certain ``Unknown'' is the only cell that\u000acontains a value needed in a given row, column, or box. We apply each of the functions +p2965 +tp2966 +a(g81 +V{ +tp2967 +a(g13 +V\u005ctt +p2968 +tp2969 +a(g180 +V +tp2970 +a(g180 +V getRow +p2971 +tp2972 +a(g81 +V} +tp2973 +a(g180 +V, +p2974 +tp2975 +a(g81 +V{ +tp2976 +a(g13 +V\u005ctt +p2977 +tp2978 +a(g180 +V +tp2979 +a(g180 +V getCol +p2980 +tp2981 +a(g81 +V} +tp2982 +a(g180 +V, and\u000a +p2983 +tp2984 +a(g81 +V{ +tp2985 +a(g13 +V\u005ctt +p2986 +tp2987 +a(g180 +V +tp2988 +a(g180 +V getBox +p2989 +tp2990 +a(g81 +V} +tp2991 +a(g180 +V to all the indices on the grid, apply +p2992 +tp2993 +a(g81 +V{ +tp2994 +a(g13 +V\u005ctt +p2995 +tp2996 +a(g180 +V +tp2997 +a(g180 +V unique +p2998 +tp2999 +a(g81 +V} +tp3000 +a(g180 +V to each group, and update the array with the\u000aresults. +p3001 +tp3002 +a(g81 +V{ +tp3003 +a(g13 +V\u005ctt +p3004 +tp3005 +a(g180 +V +tp3006 +a(g180 +V unique +p3007 +tp3008 +a(g81 +V} +tp3009 +a(g180 +V gets a list of all the unknown cells in the group and finds all the unknown values in each of\u000athose cells. Each of these values are iterated though looking for a value that is only contained in one cell. If such a\u000avalue is found the cell containing it must be that value.\u000a\u000a +p3010 +tp3011 +a(g13 +V\u005cbegin +p3012 +tp3013 +a(g180 +V +tp3014 +a(g81 +V{ +tp3015 +a(g180 +Vcode +p3016 +tp3017 +a(g81 +V} +tp3018 +a(g180 +V\u000a +tp3019 +a(g180 +V +tp3020 +a(g46 +Vbacktrack +p3021 +tp3022 +a(g180 +V +tp3023 +a(g338 +V:: +p3024 +tp3025 +a(g180 +V +tp3026 +a(g193 +V( +tp3027 +a(g359 +VMonadPlus +p3028 +tp3029 +a(g180 +V +tp3030 +a(g43 +Vm +tp3031 +a(g193 +V, +tp3032 +a(g180 +V +tp3033 +a(g359 +VEq +p3034 +tp3035 +a(g180 +V +tp3036 +a(g43 +Va +tp3037 +a(g193 +V) +tp3038 +a(g180 +V +tp3039 +a(g338 +V=> +p3040 +tp3041 +a(g180 +V +tp3042 +a(g359 +VSudoku +p3043 +tp3044 +a(g180 +V +tp3045 +a(g43 +Va +tp3046 +a(g180 +V +tp3047 +a(g338 +V-> +p3048 +tp3049 +a(g180 +V +tp3050 +a(g43 +Vm +tp3051 +a(g180 +V +tp3052 +a(g193 +V( +tp3053 +a(g359 +VSudoku +p3054 +tp3055 +a(g180 +V +tp3056 +a(g43 +Va +tp3057 +a(g193 +V) +tp3058 +a(g180 +V\u000a +tp3059 +a(g46 +Vbacktrack +p3060 +tp3061 +a(g180 +V +tp3062 +a(g193 +V( +tp3063 +a(g359 +VSudoku +p3064 +tp3065 +a(g180 +V +tp3066 +a(g43 +Vgrid +p3067 +tp3068 +a(g193 +V) +tp3069 +a(g180 +V +tp3070 +a(g338 +V= +tp3071 +a(g180 +V +tp3072 +a(g7 +Vcase +p3073 +tp3074 +a(g180 +V +tp3075 +a(g193 +V( +tp3076 +a(g43 +VjustUnknowns +p3077 +tp3078 +a(g180 +V +tp3079 +a(g193 +V( +tp3080 +a(g43 +Vassocs +p3081 +tp3082 +a(g180 +V +tp3083 +a(g43 +Vgrid +p3084 +tp3085 +a(g193 +V) +tp3086 +a(g193 +V) +tp3087 +a(g180 +V +tp3088 +a(g7 +Vof +p3089 +tp3090 +a(g180 +V\u000a +p3091 +tp3092 +a(g359 +V[] +p3093 +tp3094 +a(g180 +V +tp3095 +a(g338 +V-> +p3096 +tp3097 +a(g180 +V +tp3098 +a(g43 +Vreturn +p3099 +tp3100 +a(g180 +V +tp3101 +a(g334 +V$ +tp3102 +a(g180 +V +tp3103 +a(g359 +VSudoku +p3104 +tp3105 +a(g180 +V +tp3106 +a(g43 +Vgrid +p3107 +tp3108 +a(g180 +V\u000a +p3109 +tp3110 +a(g193 +V( +tp3111 +a(g193 +V( +tp3112 +a(g43 +Vp +tp3113 +a(g193 +V, +tp3114 +a(g43 +Vxs +p3115 +tp3116 +a(g193 +V) +tp3117 +a(g359 +V: +tp3118 +a(g7 +V_ +tp3119 +a(g193 +V) +tp3120 +a(g180 +V +tp3121 +a(g338 +V-> +p3122 +tp3123 +a(g180 +V +tp3124 +a(g43 +Vmsum +p3125 +tp3126 +a(g180 +V +tp3127 +a(g334 +V$ +tp3128 +a(g180 +V +tp3129 +a(g43 +Vmap +p3130 +tp3131 +a(g180 +V +tp3132 +a(g193 +V( +tp3133 +a(g46 +V\u005c +tp3134 +a(g43 +Vx +tp3135 +a(g180 +V +tp3136 +a(g338 +V-> +p3137 +tp3138 +a(g180 +V +tp3139 +a(g43 +Vsolve +p3140 +tp3141 +a(g180 +V +tp3142 +a(g334 +V$ +tp3143 +a(g180 +V +tp3144 +a(g359 +VSudoku +p3145 +tp3146 +a(g180 +V +tp3147 +a(g334 +V$ +tp3148 +a(g180 +V +tp3149 +a(g43 +Vgrid +p3150 +tp3151 +a(g180 +V +tp3152 +a(g334 +V// +p3153 +tp3154 +a(g180 +V +tp3155 +a(g193 +V[ +tp3156 +a(g193 +V( +tp3157 +a(g43 +Vp +tp3158 +a(g193 +V, +tp3159 +a(g359 +VKnown +p3160 +tp3161 +a(g180 +V +tp3162 +a(g43 +Vx +tp3163 +a(g193 +V) +tp3164 +a(g193 +V] +tp3165 +a(g193 +V) +tp3166 +a(g180 +V +tp3167 +a(g43 +Vxs +p3168 +tp3169 +a(g180 +V\u000a +tp3170 +a(g13 +V\u005cend +p3171 +tp3172 +a(g180 +V +tp3173 +a(g81 +V{ +tp3174 +a(g180 +Vcode +p3175 +tp3176 +a(g81 +V} +tp3177 +a(g180 +V\u000a\u000aSometimes the above two phases still aren't enough to solve a puzzle. For these rare puzzles backtracking is required.\u000aWe attempt to solve the puzzle by replacing the first ``Unknown'' value with each of the candidate values and solving\u000athe resulting puzzles. Hopefully at least one of our choices will result in a solvable puzzle.\u000a\u000aWe could actually solve any puzzle using backtracking alone, although this would be very inefficient. The above\u000afunctions simplify most puzzles enough that the backtracking phase has to do hardly any work.\u000a\u000a +p3178 +tp3179 +a(g13 +V\u005cbegin +p3180 +tp3181 +a(g180 +V +tp3182 +a(g81 +V{ +tp3183 +a(g180 +Vcode +p3184 +tp3185 +a(g81 +V} +tp3186 +a(g180 +V\u000a +tp3187 +a(g180 +V +tp3188 +a(g46 +Vsolve +p3189 +tp3190 +a(g180 +V +tp3191 +a(g338 +V:: +p3192 +tp3193 +a(g180 +V +tp3194 +a(g193 +V( +tp3195 +a(g359 +VMonadPlus +p3196 +tp3197 +a(g180 +V +tp3198 +a(g43 +Vm +tp3199 +a(g193 +V, +tp3200 +a(g180 +V +tp3201 +a(g359 +VEq +p3202 +tp3203 +a(g180 +V +tp3204 +a(g43 +Va +tp3205 +a(g193 +V) +tp3206 +a(g180 +V +tp3207 +a(g338 +V=> +p3208 +tp3209 +a(g180 +V +tp3210 +a(g359 +VSudoku +p3211 +tp3212 +a(g180 +V +tp3213 +a(g43 +Va +tp3214 +a(g180 +V +tp3215 +a(g338 +V-> +p3216 +tp3217 +a(g180 +V +tp3218 +a(g43 +Vm +tp3219 +a(g180 +V +tp3220 +a(g193 +V( +tp3221 +a(g359 +VSudoku +p3222 +tp3223 +a(g180 +V +tp3224 +a(g43 +Va +tp3225 +a(g193 +V) +tp3226 +a(g180 +V\u000a +tp3227 +a(g46 +Vsolve +p3228 +tp3229 +a(g180 +V +tp3230 +a(g43 +Vsudoku +p3231 +tp3232 +a(g180 +V +tp3233 +a(g338 +V= +tp3234 +a(g180 +V \u000a +p3235 +tp3236 +a(g7 +Vcase +p3237 +tp3238 +a(g180 +V +tp3239 +a(g43 +Veliminate +p3240 +tp3241 +a(g180 +V +tp3242 +a(g43 +Vsudoku +p3243 +tp3244 +a(g180 +V +tp3245 +a(g7 +Vof +p3246 +tp3247 +a(g180 +V\u000a +p3248 +tp3249 +a(g359 +VJust +p3250 +tp3251 +a(g180 +V +tp3252 +a(g43 +Vnew +p3253 +tp3254 +a(g180 +V \u000a +p3255 +tp3256 +a(g334 +V| +tp3257 +a(g180 +V +tp3258 +a(g43 +Vany +p3259 +tp3260 +a(g180 +V +tp3261 +a(g193 +V( +tp3262 +a(g334 +V== +p3263 +tp3264 +a(g359 +VImpossible +p3265 +tp3266 +a(g193 +V) +tp3267 +a(g180 +V +tp3268 +a(g193 +V( +tp3269 +a(g43 +Velems +p3270 +tp3271 +a(g180 +V +tp3272 +a(g193 +V( +tp3273 +a(g43 +VunSudoku +p3274 +tp3275 +a(g180 +V +tp3276 +a(g43 +Vnew +p3277 +tp3278 +a(g193 +V) +tp3279 +a(g193 +V) +tp3280 +a(g338 +V-> +p3281 +tp3282 +a(g180 +V +tp3283 +a(g43 +Vmzero +p3284 +tp3285 +a(g180 +V\u000a +p3286 +tp3287 +a(g334 +V| +tp3288 +a(g180 +V +tp3289 +a(g43 +Votherwise +p3290 +tp3291 +a(g180 +V +tp3292 +a(g338 +V-> +p3293 +tp3294 +a(g180 +V +tp3295 +a(g43 +Vsolve +p3296 +tp3297 +a(g180 +V +tp3298 +a(g43 +Vnew +p3299 +tp3300 +a(g180 +V\u000a +p3301 +tp3302 +a(g359 +VNothing +p3303 +tp3304 +a(g180 +V +tp3305 +a(g338 +V-> +p3306 +tp3307 +a(g180 +V +tp3308 +a(g7 +Vcase +p3309 +tp3310 +a(g180 +V +tp3311 +a(g43 +Vanalyze +p3312 +tp3313 +a(g180 +V +tp3314 +a(g43 +Vsudoku +p3315 +tp3316 +a(g180 +V +tp3317 +a(g7 +Vof +p3318 +tp3319 +a(g180 +V\u000a +p3320 +tp3321 +a(g359 +VJust +p3322 +tp3323 +a(g180 +V +tp3324 +a(g43 +Vnew +p3325 +tp3326 +a(g180 +V +tp3327 +a(g338 +V-> +p3328 +tp3329 +a(g180 +V +tp3330 +a(g43 +Vsolve +p3331 +tp3332 +a(g180 +V +tp3333 +a(g43 +Vnew +p3334 +tp3335 +a(g180 +V\u000a +p3336 +tp3337 +a(g359 +VNothing +p3338 +tp3339 +a(g180 +V +tp3340 +a(g338 +V-> +p3341 +tp3342 +a(g180 +V +tp3343 +a(g43 +Vbacktrack +p3344 +tp3345 +a(g180 +V +tp3346 +a(g43 +Vsudoku +p3347 +tp3348 +a(g180 +V\u000a +tp3349 +a(g13 +V\u005cend +p3350 +tp3351 +a(g180 +V +tp3352 +a(g81 +V{ +tp3353 +a(g180 +Vcode +p3354 +tp3355 +a(g81 +V} +tp3356 +a(g180 +V\u000a\u000a +p3357 +tp3358 +a(g81 +V{ +tp3359 +a(g13 +V\u005ctt +p3360 +tp3361 +a(g180 +V +tp3362 +a(g180 +V solve +p3363 +tp3364 +a(g81 +V} +tp3365 +a(g180 +V glues all the above phases together. First we run the +p3366 +tp3367 +a(g81 +V{ +tp3368 +a(g13 +V\u005ctt +p3369 +tp3370 +a(g180 +V +tp3371 +a(g180 +V eliminate +p3372 +tp3373 +a(g81 +V} +tp3374 +a(g180 +V phase. If that found the puzzle to\u000abe unsolvable we abort immediately. If +p3375 +tp3376 +a(g81 +V{ +tp3377 +a(g13 +V\u005ctt +p3378 +tp3379 +a(g180 +V +tp3380 +a(g180 +V eliminate +p3381 +tp3382 +a(g81 +V} +tp3383 +a(g180 +V changed the grid we go though the +p3384 +tp3385 +a(g81 +V{ +tp3386 +a(g13 +V\u005ctt +p3387 +tp3388 +a(g180 +V +tp3389 +a(g180 +V eliminate +p3390 +tp3391 +a(g81 +V} +tp3392 +a(g180 +V phase again\u000ahoping to eliminate more. Once +p3393 +tp3394 +a(g81 +V{ +tp3395 +a(g13 +V\u005ctt +p3396 +tp3397 +a(g180 +V +tp3398 +a(g180 +V eliminate +p3399 +tp3400 +a(g81 +V} +tp3401 +a(g180 +V can do no more work we move on to the +p3402 +tp3403 +a(g81 +V{ +tp3404 +a(g13 +V\u005ctt +p3405 +tp3406 +a(g180 +V +tp3407 +a(g180 +V analyze +p3408 +tp3409 +a(g81 +V} +tp3410 +a(g180 +V phase. If this\u000asucceeds in doing some work we start over again with the +p3411 +tp3412 +a(g81 +V{ +tp3413 +a(g13 +V\u005ctt +p3414 +tp3415 +a(g180 +V +tp3416 +a(g180 +V eliminate +p3417 +tp3418 +a(g81 +V} +tp3419 +a(g180 +V phase. Once +p3420 +tp3421 +a(g81 +V{ +tp3422 +a(g13 +V\u005ctt +p3423 +tp3424 +a(g180 +V +tp3425 +a(g180 +V analyze +p3426 +tp3427 +a(g81 +V} +tp3428 +a(g180 +V can do no more work\u000awe have no choice but to resort to backtracking. (However in most cases backtracking won't actually do anything because\u000athe puzzle is already solved.)\u000a\u000a +p3429 +tp3430 +a(g13 +V\u005cbegin +p3431 +tp3432 +a(g180 +V +tp3433 +a(g81 +V{ +tp3434 +a(g180 +Vcode +p3435 +tp3436 +a(g81 +V} +tp3437 +a(g180 +V\u000a +tp3438 +a(g180 +V +tp3439 +a(g46 +VshowsCell +p3440 +tp3441 +a(g180 +V +tp3442 +a(g338 +V:: +p3443 +tp3444 +a(g180 +V +tp3445 +a(g359 +VShow +p3446 +tp3447 +a(g180 +V +tp3448 +a(g43 +Va +tp3449 +a(g180 +V +tp3450 +a(g338 +V=> +p3451 +tp3452 +a(g180 +V +tp3453 +a(g359 +VCellState +p3454 +tp3455 +a(g180 +V +tp3456 +a(g43 +Va +tp3457 +a(g180 +V +tp3458 +a(g338 +V-> +p3459 +tp3460 +a(g180 +V +tp3461 +a(g359 +VShowS +p3462 +tp3463 +a(g180 +V\u000a +tp3464 +a(g46 +VshowsCell +p3465 +tp3466 +a(g180 +V +tp3467 +a(g193 +V( +tp3468 +a(g359 +VKnown +p3469 +tp3470 +a(g180 +V +tp3471 +a(g43 +Vx +tp3472 +a(g193 +V) +tp3473 +a(g180 +V +tp3474 +a(g338 +V= +tp3475 +a(g180 +V +tp3476 +a(g43 +Vshows +p3477 +tp3478 +a(g180 +V +tp3479 +a(g43 +Vx +tp3480 +a(g180 +V\u000a +tp3481 +a(g46 +VshowsCell +p3482 +tp3483 +a(g180 +V +tp3484 +a(g193 +V( +tp3485 +a(g359 +VImpossible +p3486 +tp3487 +a(g193 +V) +tp3488 +a(g180 +V +tp3489 +a(g338 +V= +tp3490 +a(g180 +V +tp3491 +a(g43 +VshowChar +p3492 +tp3493 +a(g180 +V +tp3494 +a(g259 +V' +tp3495 +a(g259 +VX +tp3496 +a(g259 +V' +tp3497 +a(g180 +V\u000a +tp3498 +a(g46 +VshowsCell +p3499 +tp3500 +a(g180 +V +tp3501 +a(g193 +V( +tp3502 +a(g359 +VUnknown +p3503 +tp3504 +a(g180 +V +tp3505 +a(g43 +Vxs +p3506 +tp3507 +a(g193 +V) +tp3508 +a(g180 +V +tp3509 +a(g338 +V= +tp3510 +a(g180 +V +tp3511 +a(g46 +V\u005c +tp3512 +a(g43 +Vrest +p3513 +tp3514 +a(g180 +V +tp3515 +a(g338 +V-> +p3516 +tp3517 +a(g180 +V +tp3518 +a(g193 +V( +tp3519 +a(g259 +V' +tp3520 +a(g259 +V( +tp3521 +a(g259 +V' +tp3522 +a(g359 +V: +tp3523 +a(g193 +V) +tp3524 +a(g180 +V \u000a +p3525 +tp3526 +a(g334 +V$ +tp3527 +a(g180 +V +tp3528 +a(g43 +Vfoldr +p3529 +tp3530 +a(g180 +V +tp3531 +a(g43 +Vid +p3532 +tp3533 +a(g180 +V +tp3534 +a(g193 +V( +tp3535 +a(g259 +V' +tp3536 +a(g259 +V) +tp3537 +a(g259 +V' +tp3538 +a(g359 +V: +tp3539 +a(g43 +Vrest +p3540 +tp3541 +a(g193 +V) +tp3542 +a(g180 +V\u000a +p3543 +tp3544 +a(g334 +V$ +tp3545 +a(g180 +V +tp3546 +a(g43 +Vintersperse +p3547 +tp3548 +a(g180 +V +tp3549 +a(g193 +V( +tp3550 +a(g43 +VshowChar +p3551 +tp3552 +a(g180 +V +tp3553 +a(g259 +V' +tp3554 +a(g259 +V +tp3555 +a(g259 +V' +tp3556 +a(g193 +V) +tp3557 +a(g180 +V\u000a +p3558 +tp3559 +a(g334 +V$ +tp3560 +a(g180 +V +tp3561 +a(g43 +Vmap +p3562 +tp3563 +a(g180 +V +tp3564 +a(g43 +Vshows +p3565 +tp3566 +a(g180 +V +tp3567 +a(g43 +Vxs +p3568 +tp3569 +a(g180 +V\u000a +tp3570 +a(g13 +V\u005cend +p3571 +tp3572 +a(g180 +V +tp3573 +a(g81 +V{ +tp3574 +a(g180 +Vcode +p3575 +tp3576 +a(g81 +V} +tp3577 +a(g180 +V\u000a\u000a +p3578 +tp3579 +a(g81 +V{ +tp3580 +a(g13 +V\u005ctt +p3581 +tp3582 +a(g180 +V +tp3583 +a(g180 +V showCell +p3584 +tp3585 +a(g81 +V} +tp3586 +a(g180 +V shows a cell.\u000a\u000a +p3587 +tp3588 +a(g13 +V\u005cbegin +p3589 +tp3590 +a(g180 +V +tp3591 +a(g81 +V{ +tp3592 +a(g180 +Vcode +p3593 +tp3594 +a(g81 +V} +tp3595 +a(g180 +V\u000a +tp3596 +a(g180 +V +tp3597 +a(g46 +VshowsGrid +p3598 +tp3599 +a(g180 +V +tp3600 +a(g338 +V:: +p3601 +tp3602 +a(g180 +V +tp3603 +a(g359 +VShow +p3604 +tp3605 +a(g180 +V +tp3606 +a(g43 +Va +tp3607 +a(g180 +V +tp3608 +a(g338 +V=> +p3609 +tp3610 +a(g180 +V +tp3611 +a(g359 +VGrid +p3612 +tp3613 +a(g180 +V +tp3614 +a(g43 +Va +tp3615 +a(g180 +V +tp3616 +a(g338 +V-> +p3617 +tp3618 +a(g180 +V +tp3619 +a(g359 +VShowS +p3620 +tp3621 +a(g180 +V\u000a +tp3622 +a(g46 +VshowsGrid +p3623 +tp3624 +a(g180 +V +tp3625 +a(g43 +Vgrid +p3626 +tp3627 +a(g180 +V +tp3628 +a(g338 +V= +tp3629 +a(g180 +V +tp3630 +a(g43 +VshowsTable +p3631 +tp3632 +a(g180 +V +tp3633 +a(g193 +V[ +tp3634 +a(g193 +V[ +tp3635 +a(g43 +Vgrid +p3636 +tp3637 +a(g334 +V! +tp3638 +a(g193 +V( +tp3639 +a(g43 +Vr +tp3640 +a(g193 +V, +tp3641 +a(g43 +Vc +tp3642 +a(g193 +V) +tp3643 +a(g180 +V +tp3644 +a(g334 +V| +tp3645 +a(g180 +V +tp3646 +a(g43 +Vc +tp3647 +a(g180 +V +tp3648 +a(g338 +V<- +p3649 +tp3650 +a(g180 +V +tp3651 +a(g193 +V[ +tp3652 +a(g309 +V0 +tp3653 +a(g334 +V.. +p3654 +tp3655 +a(g43 +Vsize +p3656 +tp3657 +a(g180 +V +tp3658 +a(g43 +Vgrid +p3659 +tp3660 +a(g334 +V- +tp3661 +a(g309 +V1 +tp3662 +a(g193 +V] +tp3663 +a(g193 +V] +tp3664 +a(g180 +V +tp3665 +a(g334 +V| +tp3666 +a(g180 +V +tp3667 +a(g43 +Vr +tp3668 +a(g180 +V +tp3669 +a(g338 +V<- +p3670 +tp3671 +a(g180 +V +tp3672 +a(g193 +V[ +tp3673 +a(g309 +V0 +tp3674 +a(g334 +V.. +p3675 +tp3676 +a(g43 +Vsize +p3677 +tp3678 +a(g180 +V +tp3679 +a(g43 +Vgrid +p3680 +tp3681 +a(g334 +V- +tp3682 +a(g309 +V1 +tp3683 +a(g193 +V] +tp3684 +a(g193 +V] +tp3685 +a(g180 +V\u000a +tp3686 +a(g13 +V\u005cend +p3687 +tp3688 +a(g180 +V +tp3689 +a(g81 +V{ +tp3690 +a(g180 +Vcode +p3691 +tp3692 +a(g81 +V} +tp3693 +a(g180 +V\u000a\u000a +p3694 +tp3695 +a(g81 +V{ +tp3696 +a(g13 +V\u005ctt +p3697 +tp3698 +a(g180 +V +tp3699 +a(g180 +V showGrid +p3700 +tp3701 +a(g81 +V} +tp3702 +a(g180 +V show a grid.\u000a\u000a +p3703 +tp3704 +a(g13 +V\u005cbegin +p3705 +tp3706 +a(g180 +V +tp3707 +a(g81 +V{ +tp3708 +a(g180 +Vcode +p3709 +tp3710 +a(g81 +V} +tp3711 +a(g180 +V\u000a +tp3712 +a(g180 +V +tp3713 +a(g29 +V-- FEATURE: This is pretty inefficient +p3714 +tp3715 +a(g180 +V\u000a +tp3716 +a(g46 +VshowsTable +p3717 +tp3718 +a(g180 +V +tp3719 +a(g338 +V:: +p3720 +tp3721 +a(g180 +V +tp3722 +a(g359 +VShow +p3723 +tp3724 +a(g180 +V +tp3725 +a(g43 +Va +tp3726 +a(g180 +V +tp3727 +a(g338 +V=> +p3728 +tp3729 +a(g180 +V +tp3730 +a(g193 +V[ +tp3731 +a(g193 +V[ +tp3732 +a(g43 +Va +tp3733 +a(g193 +V] +tp3734 +a(g193 +V] +tp3735 +a(g180 +V +tp3736 +a(g338 +V-> +p3737 +tp3738 +a(g180 +V +tp3739 +a(g359 +VShowS +p3740 +tp3741 +a(g180 +V\u000a +tp3742 +a(g46 +VshowsTable +p3743 +tp3744 +a(g180 +V +tp3745 +a(g43 +Vxs +p3746 +tp3747 +a(g180 +V +tp3748 +a(g338 +V= +tp3749 +a(g180 +V +tp3750 +a(g193 +V( +tp3751 +a(g43 +VshowChar +p3752 +tp3753 +a(g180 +V +tp3754 +a(g259 +V' +tp3755 +a(g243 +V\u005c +tp3756 +a(g243 +Vn +tp3757 +a(g259 +V' +tp3758 +a(g180 +V +tp3759 +a(g334 +V. +tp3760 +a(g193 +V) +tp3761 +a(g180 +V +tp3762 +a(g334 +V$ +tp3763 +a(g180 +V +tp3764 +a(g43 +VshowString +p3765 +tp3766 +a(g180 +V +tp3767 +a(g334 +V$ +tp3768 +a(g180 +V +tp3769 +a(g43 +Vunlines +p3770 +tp3771 +a(g180 +V +tp3772 +a(g334 +V$ +tp3773 +a(g180 +V +tp3774 +a(g43 +Vmap +p3775 +tp3776 +a(g180 +V +tp3777 +a(g193 +V( +tp3778 +a(g43 +Vconcat +p3779 +tp3780 +a(g180 +V +tp3781 +a(g334 +V. +tp3782 +a(g180 +V +tp3783 +a(g43 +Vintersperse +p3784 +tp3785 +a(g180 +V +tp3786 +a(g217 +V" +tp3787 +a(g217 +V +tp3788 +a(g217 +V" +tp3789 +a(g193 +V) +tp3790 +a(g180 +V +tp3791 +a(g43 +Vxs'' +p3792 +tp3793 +a(g180 +V\u000a +p3794 +tp3795 +a(g7 +Vwhere +p3796 +tp3797 +a(g180 +V\u000a +p3798 +tp3799 +a(g43 +Vxs' +p3800 +tp3801 +a(g180 +V +tp3802 +a(g338 +V= +tp3803 +a(g180 +V +tp3804 +a(g193 +V( +tp3805 +a(g43 +Vmap +p3806 +tp3807 +a(g334 +V. +tp3808 +a(g43 +Vmap +p3809 +tp3810 +a(g193 +V) +tp3811 +a(g180 +V +tp3812 +a(g43 +Vshow +p3813 +tp3814 +a(g180 +V +tp3815 +a(g43 +Vxs +p3816 +tp3817 +a(g180 +V\u000a +p3818 +tp3819 +a(g43 +VcolWidths +p3820 +tp3821 +a(g180 +V +tp3822 +a(g338 +V= +tp3823 +a(g180 +V +tp3824 +a(g43 +Vmap +p3825 +tp3826 +a(g180 +V +tp3827 +a(g193 +V( +tp3828 +a(g43 +Vmax +p3829 +tp3830 +a(g180 +V +tp3831 +a(g309 +V2 +tp3832 +a(g180 +V +tp3833 +a(g334 +V. +tp3834 +a(g180 +V +tp3835 +a(g43 +Vmaximum +p3836 +tp3837 +a(g180 +V +tp3838 +a(g334 +V. +tp3839 +a(g180 +V +tp3840 +a(g43 +Vmap +p3841 +tp3842 +a(g180 +V +tp3843 +a(g43 +Vlength +p3844 +tp3845 +a(g193 +V) +tp3846 +a(g180 +V +tp3847 +a(g193 +V( +tp3848 +a(g43 +Vtranspose +p3849 +tp3850 +a(g180 +V +tp3851 +a(g43 +Vxs' +p3852 +tp3853 +a(g193 +V) +tp3854 +a(g180 +V\u000a +p3855 +tp3856 +a(g43 +Vxs'' +p3857 +tp3858 +a(g180 +V +tp3859 +a(g338 +V= +tp3860 +a(g180 +V +tp3861 +a(g43 +Vmap +p3862 +tp3863 +a(g180 +V +tp3864 +a(g193 +V( +tp3865 +a(g43 +VzipWith +p3866 +tp3867 +a(g180 +V +tp3868 +a(g193 +V( +tp3869 +a(g46 +V\u005c +tp3870 +a(g43 +Vn +tp3871 +a(g180 +V +tp3872 +a(g43 +Vs +tp3873 +a(g180 +V +tp3874 +a(g338 +V-> +p3875 +tp3876 +a(g180 +V +tp3877 +a(g43 +Vs +tp3878 +a(g180 +V +tp3879 +a(g334 +V++ +p3880 +tp3881 +a(g180 +V +tp3882 +a(g193 +V( +tp3883 +a(g43 +Vreplicate +p3884 +tp3885 +a(g180 +V +tp3886 +a(g193 +V( +tp3887 +a(g43 +Vn +tp3888 +a(g180 +V +tp3889 +a(g334 +V- +tp3890 +a(g180 +V +tp3891 +a(g43 +Vlength +p3892 +tp3893 +a(g180 +V +tp3894 +a(g43 +Vs +tp3895 +a(g193 +V) +tp3896 +a(g180 +V +tp3897 +a(g259 +V' +tp3898 +a(g259 +V +tp3899 +a(g259 +V' +tp3900 +a(g193 +V) +tp3901 +a(g193 +V) +tp3902 +a(g180 +V +tp3903 +a(g43 +VcolWidths +p3904 +tp3905 +a(g193 +V) +tp3906 +a(g180 +V +tp3907 +a(g43 +Vxs' +p3908 +tp3909 +a(g180 +V\u000a +tp3910 +a(g13 +V\u005cend +p3911 +tp3912 +a(g180 +V +tp3913 +a(g81 +V{ +tp3914 +a(g180 +Vcode +p3915 +tp3916 +a(g81 +V} +tp3917 +a(g180 +V\u000a\u000a +p3918 +tp3919 +a(g81 +V{ +tp3920 +a(g13 +V\u005ctt +p3921 +tp3922 +a(g180 +V +tp3923 +a(g180 +V showsTable +p3924 +tp3925 +a(g81 +V} +tp3926 +a(g180 +V shows a table (or matrix). Every column has the same width so things line up.\u000a\u000a +p3927 +tp3928 +a(g13 +V\u005cbegin +p3929 +tp3930 +a(g180 +V +tp3931 +a(g81 +V{ +tp3932 +a(g180 +Vcode +p3933 +tp3934 +a(g81 +V} +tp3935 +a(g180 +V\u000a +tp3936 +a(g180 +V +tp3937 +a(g46 +VintSqrt +p3938 +tp3939 +a(g180 +V +tp3940 +a(g338 +V:: +p3941 +tp3942 +a(g180 +V +tp3943 +a(g359 +VIntegral +p3944 +tp3945 +a(g180 +V +tp3946 +a(g43 +Va +tp3947 +a(g180 +V +tp3948 +a(g338 +V=> +p3949 +tp3950 +a(g180 +V +tp3951 +a(g43 +Va +tp3952 +a(g180 +V +tp3953 +a(g338 +V-> +p3954 +tp3955 +a(g180 +V +tp3956 +a(g43 +Va +tp3957 +a(g180 +V\u000a +tp3958 +a(g46 +VintSqrt +p3959 +tp3960 +a(g180 +V +tp3961 +a(g43 +Vn +tp3962 +a(g180 +V\u000a +p3963 +tp3964 +a(g334 +V| +tp3965 +a(g180 +V +tp3966 +a(g43 +Vn +tp3967 +a(g180 +V +tp3968 +a(g334 +V< +tp3969 +a(g180 +V +tp3970 +a(g309 +V0 +tp3971 +a(g180 +V +tp3972 +a(g338 +V= +tp3973 +a(g180 +V +tp3974 +a(g50 +Verror +p3975 +tp3976 +a(g180 +V +tp3977 +a(g217 +V" +tp3978 +a(g217 +VintSqrt: negative n +p3979 +tp3980 +a(g217 +V" +tp3981 +a(g180 +V\u000a +p3982 +tp3983 +a(g334 +V| +tp3984 +a(g180 +V +tp3985 +a(g43 +Votherwise +p3986 +tp3987 +a(g180 +V +tp3988 +a(g338 +V= +tp3989 +a(g180 +V +tp3990 +a(g43 +Vf +tp3991 +a(g180 +V +tp3992 +a(g43 +Vn +tp3993 +a(g180 +V\u000a +p3994 +tp3995 +a(g7 +Vwhere +p3996 +tp3997 +a(g180 +V\u000a +p3998 +tp3999 +a(g43 +Vf +tp4000 +a(g180 +V +tp4001 +a(g43 +Vx +tp4002 +a(g180 +V +tp4003 +a(g338 +V= +tp4004 +a(g180 +V +tp4005 +a(g7 +Vif +p4006 +tp4007 +a(g180 +V +tp4008 +a(g43 +Vy +tp4009 +a(g180 +V +tp4010 +a(g334 +V< +tp4011 +a(g180 +V +tp4012 +a(g43 +Vx +tp4013 +a(g180 +V +tp4014 +a(g7 +Vthen +p4015 +tp4016 +a(g180 +V +tp4017 +a(g43 +Vf +tp4018 +a(g180 +V +tp4019 +a(g43 +Vy +tp4020 +a(g180 +V +tp4021 +a(g7 +Velse +p4022 +tp4023 +a(g180 +V +tp4024 +a(g43 +Vx +tp4025 +a(g180 +V\u000a +p4026 +tp4027 +a(g7 +Vwhere +p4028 +tp4029 +a(g180 +V +tp4030 +a(g43 +Vy +tp4031 +a(g180 +V +tp4032 +a(g338 +V= +tp4033 +a(g180 +V +tp4034 +a(g193 +V( +tp4035 +a(g43 +Vx +tp4036 +a(g180 +V +tp4037 +a(g334 +V+ +tp4038 +a(g180 +V +tp4039 +a(g193 +V( +tp4040 +a(g43 +Vn +tp4041 +a(g180 +V +tp4042 +a(g193 +V` +tp4043 +a(g43 +Vquot +p4044 +tp4045 +a(g193 +V` +tp4046 +a(g180 +V +tp4047 +a(g43 +Vx +tp4048 +a(g193 +V) +tp4049 +a(g193 +V) +tp4050 +a(g180 +V +tp4051 +a(g193 +V` +tp4052 +a(g43 +Vquot +p4053 +tp4054 +a(g193 +V` +tp4055 +a(g180 +V +tp4056 +a(g309 +V2 +tp4057 +a(g180 +V\u000a +tp4058 +a(g13 +V\u005cend +p4059 +tp4060 +a(g180 +V +tp4061 +a(g81 +V{ +tp4062 +a(g180 +Vcode +p4063 +tp4064 +a(g81 +V} +tp4065 +a(g180 +V\u000a\u000a +p4066 +tp4067 +a(g81 +V{ +tp4068 +a(g13 +V\u005ctt +p4069 +tp4070 +a(g180 +V +tp4071 +a(g180 +V intSqrt +p4072 +tp4073 +a(g81 +V} +tp4074 +a(g180 +V is Newton`s Iteration for finding integral square roots.\u000a\u000a +p4075 +tp4076 +a(g13 +V\u005cignore +p4077 +tp4078 +a(g180 +V +tp4079 +a(g81 +V{ +tp4080 +a(g180 +V\u000a +tp4081 +a(g13 +V\u005cbegin +p4082 +tp4083 +a(g180 +V +tp4084 +a(g81 +V{ +tp4085 +a(g180 +Vcode +p4086 +tp4087 +a(g81 +V} +tp4088 +a(g180 +V\u000a +tp4089 +a(g180 +V +tp4090 +a(g46 +Vtest +p4091 +tp4092 +a(g180 +V +tp4093 +a(g338 +V:: +p4094 +tp4095 +a(g180 +V +tp4096 +a(g359 +VSudoku +p4097 +tp4098 +a(g180 +V +tp4099 +a(g359 +VInt +p4100 +tp4101 +a(g180 +V\u000a +tp4102 +a(g46 +Vtest +p4103 +tp4104 +a(g180 +V +tp4105 +a(g338 +V= +tp4106 +a(g180 +V +tp4107 +a(g43 +VmakeSudoku +p4108 +tp4109 +a(g180 +V +tp4110 +a(g193 +V[ +tp4111 +a(g180 +V\u000a +p4112 +tp4113 +a(g193 +V[ +tp4114 +a(g309 +V0 +tp4115 +a(g193 +V, +tp4116 +a(g309 +V6 +tp4117 +a(g193 +V, +tp4118 +a(g309 +V0 +tp4119 +a(g193 +V, +tp4120 +a(g309 +V1 +tp4121 +a(g193 +V, +tp4122 +a(g309 +V0 +tp4123 +a(g193 +V, +tp4124 +a(g309 +V4 +tp4125 +a(g193 +V, +tp4126 +a(g309 +V0 +tp4127 +a(g193 +V, +tp4128 +a(g309 +V5 +tp4129 +a(g193 +V, +tp4130 +a(g309 +V0 +tp4131 +a(g193 +V] +tp4132 +a(g193 +V, +tp4133 +a(g180 +V\u000a +p4134 +tp4135 +a(g193 +V[ +tp4136 +a(g309 +V0 +tp4137 +a(g193 +V, +tp4138 +a(g309 +V0 +tp4139 +a(g193 +V, +tp4140 +a(g309 +V8 +tp4141 +a(g193 +V, +tp4142 +a(g309 +V3 +tp4143 +a(g193 +V, +tp4144 +a(g309 +V0 +tp4145 +a(g193 +V, +tp4146 +a(g309 +V5 +tp4147 +a(g193 +V, +tp4148 +a(g309 +V6 +tp4149 +a(g193 +V, +tp4150 +a(g309 +V0 +tp4151 +a(g193 +V, +tp4152 +a(g309 +V0 +tp4153 +a(g193 +V] +tp4154 +a(g193 +V, +tp4155 +a(g180 +V\u000a +p4156 +tp4157 +a(g193 +V[ +tp4158 +a(g309 +V2 +tp4159 +a(g193 +V, +tp4160 +a(g309 +V0 +tp4161 +a(g193 +V, +tp4162 +a(g309 +V0 +tp4163 +a(g193 +V, +tp4164 +a(g309 +V0 +tp4165 +a(g193 +V, +tp4166 +a(g309 +V0 +tp4167 +a(g193 +V, +tp4168 +a(g309 +V0 +tp4169 +a(g193 +V, +tp4170 +a(g309 +V0 +tp4171 +a(g193 +V, +tp4172 +a(g309 +V0 +tp4173 +a(g193 +V, +tp4174 +a(g309 +V1 +tp4175 +a(g193 +V] +tp4176 +a(g193 +V, +tp4177 +a(g180 +V\u000a +p4178 +tp4179 +a(g193 +V[ +tp4180 +a(g309 +V8 +tp4181 +a(g193 +V, +tp4182 +a(g309 +V0 +tp4183 +a(g193 +V, +tp4184 +a(g309 +V0 +tp4185 +a(g193 +V, +tp4186 +a(g309 +V4 +tp4187 +a(g193 +V, +tp4188 +a(g309 +V0 +tp4189 +a(g193 +V, +tp4190 +a(g309 +V7 +tp4191 +a(g193 +V, +tp4192 +a(g309 +V0 +tp4193 +a(g193 +V, +tp4194 +a(g309 +V0 +tp4195 +a(g193 +V, +tp4196 +a(g309 +V6 +tp4197 +a(g193 +V] +tp4198 +a(g193 +V, +tp4199 +a(g180 +V\u000a +p4200 +tp4201 +a(g193 +V[ +tp4202 +a(g309 +V0 +tp4203 +a(g193 +V, +tp4204 +a(g309 +V0 +tp4205 +a(g193 +V, +tp4206 +a(g309 +V6 +tp4207 +a(g193 +V, +tp4208 +a(g309 +V0 +tp4209 +a(g193 +V, +tp4210 +a(g309 +V0 +tp4211 +a(g193 +V, +tp4212 +a(g309 +V0 +tp4213 +a(g193 +V, +tp4214 +a(g309 +V3 +tp4215 +a(g193 +V, +tp4216 +a(g309 +V0 +tp4217 +a(g193 +V, +tp4218 +a(g309 +V0 +tp4219 +a(g193 +V] +tp4220 +a(g193 +V, +tp4221 +a(g180 +V\u000a +p4222 +tp4223 +a(g193 +V[ +tp4224 +a(g309 +V7 +tp4225 +a(g193 +V, +tp4226 +a(g309 +V0 +tp4227 +a(g193 +V, +tp4228 +a(g309 +V0 +tp4229 +a(g193 +V, +tp4230 +a(g309 +V9 +tp4231 +a(g193 +V, +tp4232 +a(g309 +V0 +tp4233 +a(g193 +V, +tp4234 +a(g309 +V1 +tp4235 +a(g193 +V, +tp4236 +a(g309 +V0 +tp4237 +a(g193 +V, +tp4238 +a(g309 +V0 +tp4239 +a(g193 +V, +tp4240 +a(g309 +V4 +tp4241 +a(g193 +V] +tp4242 +a(g193 +V, +tp4243 +a(g180 +V\u000a +p4244 +tp4245 +a(g193 +V[ +tp4246 +a(g309 +V5 +tp4247 +a(g193 +V, +tp4248 +a(g309 +V0 +tp4249 +a(g193 +V, +tp4250 +a(g309 +V0 +tp4251 +a(g193 +V, +tp4252 +a(g309 +V0 +tp4253 +a(g193 +V, +tp4254 +a(g309 +V0 +tp4255 +a(g193 +V, +tp4256 +a(g309 +V0 +tp4257 +a(g193 +V, +tp4258 +a(g309 +V0 +tp4259 +a(g193 +V, +tp4260 +a(g309 +V0 +tp4261 +a(g193 +V, +tp4262 +a(g309 +V2 +tp4263 +a(g193 +V] +tp4264 +a(g193 +V, +tp4265 +a(g180 +V\u000a +p4266 +tp4267 +a(g193 +V[ +tp4268 +a(g309 +V0 +tp4269 +a(g193 +V, +tp4270 +a(g309 +V0 +tp4271 +a(g193 +V, +tp4272 +a(g309 +V7 +tp4273 +a(g193 +V, +tp4274 +a(g309 +V2 +tp4275 +a(g193 +V, +tp4276 +a(g309 +V0 +tp4277 +a(g193 +V, +tp4278 +a(g309 +V6 +tp4279 +a(g193 +V, +tp4280 +a(g309 +V9 +tp4281 +a(g193 +V, +tp4282 +a(g309 +V0 +tp4283 +a(g193 +V, +tp4284 +a(g309 +V0 +tp4285 +a(g193 +V] +tp4286 +a(g193 +V, +tp4287 +a(g180 +V\u000a +p4288 +tp4289 +a(g193 +V[ +tp4290 +a(g309 +V0 +tp4291 +a(g193 +V, +tp4292 +a(g309 +V4 +tp4293 +a(g193 +V, +tp4294 +a(g309 +V0 +tp4295 +a(g193 +V, +tp4296 +a(g309 +V5 +tp4297 +a(g193 +V, +tp4298 +a(g309 +V0 +tp4299 +a(g193 +V, +tp4300 +a(g309 +V8 +tp4301 +a(g193 +V, +tp4302 +a(g309 +V0 +tp4303 +a(g193 +V, +tp4304 +a(g309 +V7 +tp4305 +a(g193 +V, +tp4306 +a(g309 +V0 +tp4307 +a(g193 +V] +tp4308 +a(g193 +V] +tp4309 +a(g180 +V\u000a\u000a +p4310 +tp4311 +a(g46 +Vtest2 +p4312 +tp4313 +a(g180 +V +tp4314 +a(g338 +V:: +p4315 +tp4316 +a(g180 +V +tp4317 +a(g359 +VSudoku +p4318 +tp4319 +a(g180 +V +tp4320 +a(g359 +VInt +p4321 +tp4322 +a(g180 +V\u000a +tp4323 +a(g46 +Vtest2 +p4324 +tp4325 +a(g180 +V +tp4326 +a(g338 +V= +tp4327 +a(g180 +V +tp4328 +a(g43 +VmakeSudoku +p4329 +tp4330 +a(g180 +V +tp4331 +a(g193 +V[ +tp4332 +a(g180 +V\u000a +p4333 +tp4334 +a(g193 +V[ +tp4335 +a(g309 +V0 +tp4336 +a(g193 +V, +tp4337 +a(g309 +V7 +tp4338 +a(g193 +V, +tp4339 +a(g309 +V0 +tp4340 +a(g193 +V, +tp4341 +a(g309 +V0 +tp4342 +a(g193 +V, +tp4343 +a(g309 +V0 +tp4344 +a(g193 +V, +tp4345 +a(g309 +V0 +tp4346 +a(g193 +V, +tp4347 +a(g309 +V8 +tp4348 +a(g193 +V, +tp4349 +a(g309 +V0 +tp4350 +a(g193 +V, +tp4351 +a(g309 +V0 +tp4352 +a(g193 +V] +tp4353 +a(g193 +V, +tp4354 +a(g180 +V\u000a +p4355 +tp4356 +a(g193 +V[ +tp4357 +a(g309 +V0 +tp4358 +a(g193 +V, +tp4359 +a(g309 +V0 +tp4360 +a(g193 +V, +tp4361 +a(g309 +V0 +tp4362 +a(g193 +V, +tp4363 +a(g309 +V2 +tp4364 +a(g193 +V, +tp4365 +a(g309 +V0 +tp4366 +a(g193 +V, +tp4367 +a(g309 +V4 +tp4368 +a(g193 +V, +tp4369 +a(g309 +V0 +tp4370 +a(g193 +V, +tp4371 +a(g309 +V0 +tp4372 +a(g193 +V, +tp4373 +a(g309 +V0 +tp4374 +a(g193 +V] +tp4375 +a(g193 +V, +tp4376 +a(g180 +V\u000a +p4377 +tp4378 +a(g193 +V[ +tp4379 +a(g309 +V0 +tp4380 +a(g193 +V, +tp4381 +a(g309 +V0 +tp4382 +a(g193 +V, +tp4383 +a(g309 +V6 +tp4384 +a(g193 +V, +tp4385 +a(g309 +V0 +tp4386 +a(g193 +V, +tp4387 +a(g309 +V0 +tp4388 +a(g193 +V, +tp4389 +a(g309 +V0 +tp4390 +a(g193 +V, +tp4391 +a(g309 +V0 +tp4392 +a(g193 +V, +tp4393 +a(g309 +V3 +tp4394 +a(g193 +V, +tp4395 +a(g309 +V0 +tp4396 +a(g193 +V] +tp4397 +a(g193 +V, +tp4398 +a(g180 +V\u000a +p4399 +tp4400 +a(g193 +V[ +tp4401 +a(g309 +V0 +tp4402 +a(g193 +V, +tp4403 +a(g309 +V0 +tp4404 +a(g193 +V, +tp4405 +a(g309 +V0 +tp4406 +a(g193 +V, +tp4407 +a(g309 +V5 +tp4408 +a(g193 +V, +tp4409 +a(g309 +V0 +tp4410 +a(g193 +V, +tp4411 +a(g309 +V0 +tp4412 +a(g193 +V, +tp4413 +a(g309 +V0 +tp4414 +a(g193 +V, +tp4415 +a(g309 +V0 +tp4416 +a(g193 +V, +tp4417 +a(g309 +V6 +tp4418 +a(g193 +V] +tp4419 +a(g193 +V, +tp4420 +a(g180 +V\u000a +p4421 +tp4422 +a(g193 +V[ +tp4423 +a(g309 +V9 +tp4424 +a(g193 +V, +tp4425 +a(g309 +V0 +tp4426 +a(g193 +V, +tp4427 +a(g309 +V8 +tp4428 +a(g193 +V, +tp4429 +a(g309 +V0 +tp4430 +a(g193 +V, +tp4431 +a(g309 +V0 +tp4432 +a(g193 +V, +tp4433 +a(g309 +V2 +tp4434 +a(g193 +V, +tp4435 +a(g309 +V0 +tp4436 +a(g193 +V, +tp4437 +a(g309 +V4 +tp4438 +a(g193 +V, +tp4439 +a(g309 +V0 +tp4440 +a(g193 +V] +tp4441 +a(g193 +V, +tp4442 +a(g180 +V\u000a +p4443 +tp4444 +a(g193 +V[ +tp4445 +a(g309 +V0 +tp4446 +a(g193 +V, +tp4447 +a(g309 +V5 +tp4448 +a(g193 +V, +tp4449 +a(g309 +V0 +tp4450 +a(g193 +V, +tp4451 +a(g309 +V0 +tp4452 +a(g193 +V, +tp4453 +a(g309 +V3 +tp4454 +a(g193 +V, +tp4455 +a(g309 +V0 +tp4456 +a(g193 +V, +tp4457 +a(g309 +V9 +tp4458 +a(g193 +V, +tp4459 +a(g309 +V0 +tp4460 +a(g193 +V, +tp4461 +a(g309 +V0 +tp4462 +a(g193 +V] +tp4463 +a(g193 +V, +tp4464 +a(g180 +V\u000a +p4465 +tp4466 +a(g193 +V[ +tp4467 +a(g309 +V0 +tp4468 +a(g193 +V, +tp4469 +a(g309 +V0 +tp4470 +a(g193 +V, +tp4471 +a(g309 +V2 +tp4472 +a(g193 +V, +tp4473 +a(g309 +V0 +tp4474 +a(g193 +V, +tp4475 +a(g309 +V8 +tp4476 +a(g193 +V, +tp4477 +a(g309 +V0 +tp4478 +a(g193 +V, +tp4479 +a(g309 +V0 +tp4480 +a(g193 +V, +tp4481 +a(g309 +V6 +tp4482 +a(g193 +V, +tp4483 +a(g309 +V0 +tp4484 +a(g193 +V] +tp4485 +a(g193 +V, +tp4486 +a(g180 +V\u000a +p4487 +tp4488 +a(g193 +V[ +tp4489 +a(g309 +V0 +tp4490 +a(g193 +V, +tp4491 +a(g309 +V6 +tp4492 +a(g193 +V, +tp4493 +a(g309 +V0 +tp4494 +a(g193 +V, +tp4495 +a(g309 +V9 +tp4496 +a(g193 +V, +tp4497 +a(g309 +V0 +tp4498 +a(g193 +V, +tp4499 +a(g309 +V0 +tp4500 +a(g193 +V, +tp4501 +a(g309 +V7 +tp4502 +a(g193 +V, +tp4503 +a(g309 +V0 +tp4504 +a(g193 +V, +tp4505 +a(g309 +V1 +tp4506 +a(g193 +V] +tp4507 +a(g193 +V, +tp4508 +a(g180 +V\u000a +p4509 +tp4510 +a(g193 +V[ +tp4511 +a(g309 +V4 +tp4512 +a(g193 +V, +tp4513 +a(g309 +V0 +tp4514 +a(g193 +V, +tp4515 +a(g309 +V0 +tp4516 +a(g193 +V, +tp4517 +a(g309 +V0 +tp4518 +a(g193 +V, +tp4519 +a(g309 +V0 +tp4520 +a(g193 +V, +tp4521 +a(g309 +V3 +tp4522 +a(g193 +V, +tp4523 +a(g309 +V0 +tp4524 +a(g193 +V, +tp4525 +a(g309 +V0 +tp4526 +a(g193 +V, +tp4527 +a(g309 +V0 +tp4528 +a(g193 +V] +tp4529 +a(g193 +V] +tp4530 +a(g180 +V\u000a\u000a +p4531 +tp4532 +a(g46 +VtestSmall +p4533 +tp4534 +a(g180 +V +tp4535 +a(g338 +V:: +p4536 +tp4537 +a(g180 +V +tp4538 +a(g359 +VSudoku +p4539 +tp4540 +a(g180 +V +tp4541 +a(g359 +VInt +p4542 +tp4543 +a(g180 +V\u000a +tp4544 +a(g46 +VtestSmall +p4545 +tp4546 +a(g180 +V +tp4547 +a(g338 +V= +tp4548 +a(g180 +V +tp4549 +a(g43 +VmakeSudoku +p4550 +tp4551 +a(g180 +V +tp4552 +a(g193 +V[ +tp4553 +a(g180 +V\u000a +p4554 +tp4555 +a(g193 +V[ +tp4556 +a(g309 +V1 +tp4557 +a(g193 +V, +tp4558 +a(g309 +V0 +tp4559 +a(g193 +V, +tp4560 +a(g309 +V0 +tp4561 +a(g193 +V, +tp4562 +a(g309 +V0 +tp4563 +a(g193 +V, +tp4564 +a(g309 +V0 +tp4565 +a(g193 +V, +tp4566 +a(g309 +V0 +tp4567 +a(g193 +V, +tp4568 +a(g309 +V0 +tp4569 +a(g193 +V, +tp4570 +a(g309 +V0 +tp4571 +a(g193 +V, +tp4572 +a(g309 +V0 +tp4573 +a(g193 +V] +tp4574 +a(g193 +V, +tp4575 +a(g180 +V\u000a +p4576 +tp4577 +a(g193 +V[ +tp4578 +a(g309 +V0 +tp4579 +a(g193 +V, +tp4580 +a(g309 +V0 +tp4581 +a(g193 +V, +tp4582 +a(g309 +V2 +tp4583 +a(g193 +V, +tp4584 +a(g309 +V7 +tp4585 +a(g193 +V, +tp4586 +a(g309 +V4 +tp4587 +a(g193 +V, +tp4588 +a(g309 +V0 +tp4589 +a(g193 +V, +tp4590 +a(g309 +V0 +tp4591 +a(g193 +V, +tp4592 +a(g309 +V0 +tp4593 +a(g193 +V, +tp4594 +a(g309 +V0 +tp4595 +a(g193 +V] +tp4596 +a(g193 +V, +tp4597 +a(g180 +V\u000a +p4598 +tp4599 +a(g193 +V[ +tp4600 +a(g309 +V0 +tp4601 +a(g193 +V, +tp4602 +a(g309 +V0 +tp4603 +a(g193 +V, +tp4604 +a(g309 +V0 +tp4605 +a(g193 +V, +tp4606 +a(g309 +V5 +tp4607 +a(g193 +V, +tp4608 +a(g309 +V0 +tp4609 +a(g193 +V, +tp4610 +a(g309 +V0 +tp4611 +a(g193 +V, +tp4612 +a(g309 +V0 +tp4613 +a(g193 +V, +tp4614 +a(g309 +V0 +tp4615 +a(g193 +V, +tp4616 +a(g309 +V4 +tp4617 +a(g193 +V] +tp4618 +a(g193 +V, +tp4619 +a(g180 +V\u000a +p4620 +tp4621 +a(g193 +V[ +tp4622 +a(g309 +V0 +tp4623 +a(g193 +V, +tp4624 +a(g309 +V3 +tp4625 +a(g193 +V, +tp4626 +a(g309 +V0 +tp4627 +a(g193 +V, +tp4628 +a(g309 +V0 +tp4629 +a(g193 +V, +tp4630 +a(g309 +V0 +tp4631 +a(g193 +V, +tp4632 +a(g309 +V0 +tp4633 +a(g193 +V, +tp4634 +a(g309 +V0 +tp4635 +a(g193 +V, +tp4636 +a(g309 +V0 +tp4637 +a(g193 +V, +tp4638 +a(g309 +V0 +tp4639 +a(g193 +V] +tp4640 +a(g193 +V, +tp4641 +a(g180 +V\u000a +p4642 +tp4643 +a(g193 +V[ +tp4644 +a(g309 +V7 +tp4645 +a(g193 +V, +tp4646 +a(g309 +V5 +tp4647 +a(g193 +V, +tp4648 +a(g309 +V0 +tp4649 +a(g193 +V, +tp4650 +a(g309 +V0 +tp4651 +a(g193 +V, +tp4652 +a(g309 +V0 +tp4653 +a(g193 +V, +tp4654 +a(g309 +V0 +tp4655 +a(g193 +V, +tp4656 +a(g309 +V0 +tp4657 +a(g193 +V, +tp4658 +a(g309 +V0 +tp4659 +a(g193 +V, +tp4660 +a(g309 +V0 +tp4661 +a(g193 +V] +tp4662 +a(g193 +V, +tp4663 +a(g180 +V\u000a +p4664 +tp4665 +a(g193 +V[ +tp4666 +a(g309 +V0 +tp4667 +a(g193 +V, +tp4668 +a(g309 +V0 +tp4669 +a(g193 +V, +tp4670 +a(g309 +V0 +tp4671 +a(g193 +V, +tp4672 +a(g309 +V0 +tp4673 +a(g193 +V, +tp4674 +a(g309 +V0 +tp4675 +a(g193 +V, +tp4676 +a(g309 +V9 +tp4677 +a(g193 +V, +tp4678 +a(g309 +V6 +tp4679 +a(g193 +V, +tp4680 +a(g309 +V0 +tp4681 +a(g193 +V, +tp4682 +a(g309 +V0 +tp4683 +a(g193 +V] +tp4684 +a(g193 +V, +tp4685 +a(g180 +V\u000a +p4686 +tp4687 +a(g193 +V[ +tp4688 +a(g309 +V0 +tp4689 +a(g193 +V, +tp4690 +a(g309 +V4 +tp4691 +a(g193 +V, +tp4692 +a(g309 +V0 +tp4693 +a(g193 +V, +tp4694 +a(g309 +V0 +tp4695 +a(g193 +V, +tp4696 +a(g309 +V0 +tp4697 +a(g193 +V, +tp4698 +a(g309 +V6 +tp4699 +a(g193 +V, +tp4700 +a(g309 +V0 +tp4701 +a(g193 +V, +tp4702 +a(g309 +V0 +tp4703 +a(g193 +V, +tp4704 +a(g309 +V0 +tp4705 +a(g193 +V] +tp4706 +a(g193 +V, +tp4707 +a(g180 +V\u000a +p4708 +tp4709 +a(g193 +V[ +tp4710 +a(g309 +V0 +tp4711 +a(g193 +V, +tp4712 +a(g309 +V0 +tp4713 +a(g193 +V, +tp4714 +a(g309 +V0 +tp4715 +a(g193 +V, +tp4716 +a(g309 +V0 +tp4717 +a(g193 +V, +tp4718 +a(g309 +V0 +tp4719 +a(g193 +V, +tp4720 +a(g309 +V0 +tp4721 +a(g193 +V, +tp4722 +a(g309 +V0 +tp4723 +a(g193 +V, +tp4724 +a(g309 +V7 +tp4725 +a(g193 +V, +tp4726 +a(g309 +V1 +tp4727 +a(g193 +V] +tp4728 +a(g193 +V, +tp4729 +a(g180 +V\u000a +p4730 +tp4731 +a(g193 +V[ +tp4732 +a(g309 +V0 +tp4733 +a(g193 +V, +tp4734 +a(g309 +V0 +tp4735 +a(g193 +V, +tp4736 +a(g309 +V0 +tp4737 +a(g193 +V, +tp4738 +a(g309 +V0 +tp4739 +a(g193 +V, +tp4740 +a(g309 +V0 +tp4741 +a(g193 +V, +tp4742 +a(g309 +V1 +tp4743 +a(g193 +V, +tp4744 +a(g309 +V0 +tp4745 +a(g193 +V, +tp4746 +a(g309 +V3 +tp4747 +a(g193 +V, +tp4748 +a(g309 +V0 +tp4749 +a(g193 +V] +tp4750 +a(g193 +V] +tp4751 +a(g180 +V\u000a\u000a +p4752 +tp4753 +a(g46 +VtestHard +p4754 +tp4755 +a(g180 +V +tp4756 +a(g338 +V:: +p4757 +tp4758 +a(g180 +V +tp4759 +a(g359 +VSudoku +p4760 +tp4761 +a(g180 +V +tp4762 +a(g359 +VInt +p4763 +tp4764 +a(g180 +V\u000a +tp4765 +a(g46 +VtestHard +p4766 +tp4767 +a(g180 +V +tp4768 +a(g338 +V= +tp4769 +a(g180 +V +tp4770 +a(g43 +VmakeSudoku +p4771 +tp4772 +a(g180 +V +tp4773 +a(g193 +V[ +tp4774 +a(g180 +V\u000a +p4775 +tp4776 +a(g193 +V[ +tp4777 +a(g309 +V0 +tp4778 +a(g193 +V, +tp4779 +a(g309 +V0 +tp4780 +a(g193 +V, +tp4781 +a(g309 +V0 +tp4782 +a(g193 +V, +tp4783 +a(g309 +V8 +tp4784 +a(g193 +V, +tp4785 +a(g309 +V0 +tp4786 +a(g193 +V, +tp4787 +a(g309 +V2 +tp4788 +a(g193 +V, +tp4789 +a(g309 +V0 +tp4790 +a(g193 +V, +tp4791 +a(g309 +V0 +tp4792 +a(g193 +V, +tp4793 +a(g309 +V0 +tp4794 +a(g193 +V] +tp4795 +a(g193 +V, +tp4796 +a(g180 +V\u000a +p4797 +tp4798 +a(g193 +V[ +tp4799 +a(g309 +V5 +tp4800 +a(g193 +V, +tp4801 +a(g309 +V0 +tp4802 +a(g193 +V, +tp4803 +a(g309 +V0 +tp4804 +a(g193 +V, +tp4805 +a(g309 +V0 +tp4806 +a(g193 +V, +tp4807 +a(g309 +V0 +tp4808 +a(g193 +V, +tp4809 +a(g309 +V0 +tp4810 +a(g193 +V, +tp4811 +a(g309 +V0 +tp4812 +a(g193 +V, +tp4813 +a(g309 +V0 +tp4814 +a(g193 +V, +tp4815 +a(g309 +V1 +tp4816 +a(g193 +V] +tp4817 +a(g193 +V, +tp4818 +a(g180 +V\u000a +p4819 +tp4820 +a(g193 +V[ +tp4821 +a(g309 +V0 +tp4822 +a(g193 +V, +tp4823 +a(g309 +V0 +tp4824 +a(g193 +V, +tp4825 +a(g309 +V6 +tp4826 +a(g193 +V, +tp4827 +a(g309 +V0 +tp4828 +a(g193 +V, +tp4829 +a(g309 +V5 +tp4830 +a(g193 +V, +tp4831 +a(g309 +V0 +tp4832 +a(g193 +V, +tp4833 +a(g309 +V3 +tp4834 +a(g193 +V, +tp4835 +a(g309 +V0 +tp4836 +a(g193 +V, +tp4837 +a(g309 +V0 +tp4838 +a(g193 +V] +tp4839 +a(g193 +V, +tp4840 +a(g180 +V\u000a +p4841 +tp4842 +a(g193 +V[ +tp4843 +a(g309 +V0 +tp4844 +a(g193 +V, +tp4845 +a(g309 +V0 +tp4846 +a(g193 +V, +tp4847 +a(g309 +V9 +tp4848 +a(g193 +V, +tp4849 +a(g309 +V0 +tp4850 +a(g193 +V, +tp4851 +a(g309 +V1 +tp4852 +a(g193 +V, +tp4853 +a(g309 +V0 +tp4854 +a(g193 +V, +tp4855 +a(g309 +V8 +tp4856 +a(g193 +V, +tp4857 +a(g309 +V0 +tp4858 +a(g193 +V, +tp4859 +a(g309 +V0 +tp4860 +a(g193 +V] +tp4861 +a(g193 +V, +tp4862 +a(g180 +V\u000a +p4863 +tp4864 +a(g193 +V[ +tp4865 +a(g309 +V1 +tp4866 +a(g193 +V, +tp4867 +a(g309 +V0 +tp4868 +a(g193 +V, +tp4869 +a(g309 +V0 +tp4870 +a(g193 +V, +tp4871 +a(g309 +V0 +tp4872 +a(g193 +V, +tp4873 +a(g309 +V0 +tp4874 +a(g193 +V, +tp4875 +a(g309 +V0 +tp4876 +a(g193 +V, +tp4877 +a(g309 +V0 +tp4878 +a(g193 +V, +tp4879 +a(g309 +V0 +tp4880 +a(g193 +V, +tp4881 +a(g309 +V2 +tp4882 +a(g193 +V] +tp4883 +a(g193 +V, +tp4884 +a(g180 +V\u000a +p4885 +tp4886 +a(g193 +V[ +tp4887 +a(g309 +V0 +tp4888 +a(g193 +V, +tp4889 +a(g309 +V0 +tp4890 +a(g193 +V, +tp4891 +a(g309 +V0 +tp4892 +a(g193 +V, +tp4893 +a(g309 +V9 +tp4894 +a(g193 +V, +tp4895 +a(g309 +V0 +tp4896 +a(g193 +V, +tp4897 +a(g309 +V7 +tp4898 +a(g193 +V, +tp4899 +a(g309 +V0 +tp4900 +a(g193 +V, +tp4901 +a(g309 +V0 +tp4902 +a(g193 +V, +tp4903 +a(g309 +V0 +tp4904 +a(g193 +V] +tp4905 +a(g193 +V, +tp4906 +a(g180 +V\u000a +p4907 +tp4908 +a(g193 +V[ +tp4909 +a(g309 +V0 +tp4910 +a(g193 +V, +tp4911 +a(g309 +V6 +tp4912 +a(g193 +V, +tp4913 +a(g309 +V1 +tp4914 +a(g193 +V, +tp4915 +a(g309 +V0 +tp4916 +a(g193 +V, +tp4917 +a(g309 +V3 +tp4918 +a(g193 +V, +tp4919 +a(g309 +V0 +tp4920 +a(g193 +V, +tp4921 +a(g309 +V7 +tp4922 +a(g193 +V, +tp4923 +a(g309 +V8 +tp4924 +a(g193 +V, +tp4925 +a(g309 +V0 +tp4926 +a(g193 +V] +tp4927 +a(g193 +V, +tp4928 +a(g180 +V\u000a +p4929 +tp4930 +a(g193 +V[ +tp4931 +a(g309 +V0 +tp4932 +a(g193 +V, +tp4933 +a(g309 +V5 +tp4934 +a(g193 +V, +tp4935 +a(g309 +V0 +tp4936 +a(g193 +V, +tp4937 +a(g309 +V0 +tp4938 +a(g193 +V, +tp4939 +a(g309 +V0 +tp4940 +a(g193 +V, +tp4941 +a(g309 +V0 +tp4942 +a(g193 +V, +tp4943 +a(g309 +V0 +tp4944 +a(g193 +V, +tp4945 +a(g309 +V4 +tp4946 +a(g193 +V, +tp4947 +a(g309 +V0 +tp4948 +a(g193 +V] +tp4949 +a(g193 +V, +tp4950 +a(g180 +V\u000a +p4951 +tp4952 +a(g193 +V[ +tp4953 +a(g309 +V0 +tp4954 +a(g193 +V, +tp4955 +a(g309 +V7 +tp4956 +a(g193 +V, +tp4957 +a(g309 +V2 +tp4958 +a(g193 +V, +tp4959 +a(g309 +V0 +tp4960 +a(g193 +V, +tp4961 +a(g309 +V4 +tp4962 +a(g193 +V, +tp4963 +a(g309 +V0 +tp4964 +a(g193 +V, +tp4965 +a(g309 +V1 +tp4966 +a(g193 +V, +tp4967 +a(g309 +V5 +tp4968 +a(g193 +V, +tp4969 +a(g309 +V0 +tp4970 +a(g193 +V] +tp4971 +a(g193 +V] +tp4972 +a(g180 +V\u000a\u000a +p4973 +tp4974 +a(g46 +VtestHard2 +p4975 +tp4976 +a(g180 +V +tp4977 +a(g338 +V:: +p4978 +tp4979 +a(g180 +V +tp4980 +a(g359 +VSudoku +p4981 +tp4982 +a(g180 +V +tp4983 +a(g359 +VInt +p4984 +tp4985 +a(g180 +V\u000a +tp4986 +a(g46 +VtestHard2 +p4987 +tp4988 +a(g180 +V +tp4989 +a(g338 +V= +tp4990 +a(g180 +V +tp4991 +a(g43 +VmakeSudoku +p4992 +tp4993 +a(g180 +V +tp4994 +a(g193 +V[ +tp4995 +a(g180 +V\u000a +p4996 +tp4997 +a(g193 +V[ +tp4998 +a(g309 +V3 +tp4999 +a(g193 +V, +tp5000 +a(g309 +V0 +tp5001 +a(g193 +V, +tp5002 +a(g309 +V0 +tp5003 +a(g193 +V, +tp5004 +a(g309 +V2 +tp5005 +a(g193 +V, +tp5006 +a(g309 +V0 +tp5007 +a(g193 +V, +tp5008 +a(g309 +V0 +tp5009 +a(g193 +V, +tp5010 +a(g309 +V9 +tp5011 +a(g193 +V, +tp5012 +a(g309 +V0 +tp5013 +a(g193 +V, +tp5014 +a(g309 +V0 +tp5015 +a(g193 +V] +tp5016 +a(g193 +V, +tp5017 +a(g180 +V\u000a +p5018 +tp5019 +a(g193 +V[ +tp5020 +a(g309 +V0 +tp5021 +a(g193 +V, +tp5022 +a(g309 +V0 +tp5023 +a(g193 +V, +tp5024 +a(g309 +V0 +tp5025 +a(g193 +V, +tp5026 +a(g309 +V0 +tp5027 +a(g193 +V, +tp5028 +a(g309 +V0 +tp5029 +a(g193 +V, +tp5030 +a(g309 +V0 +tp5031 +a(g193 +V, +tp5032 +a(g309 +V0 +tp5033 +a(g193 +V, +tp5034 +a(g309 +V0 +tp5035 +a(g193 +V, +tp5036 +a(g309 +V5 +tp5037 +a(g193 +V] +tp5038 +a(g193 +V, +tp5039 +a(g180 +V\u000a +p5040 +tp5041 +a(g193 +V[ +tp5042 +a(g309 +V0 +tp5043 +a(g193 +V, +tp5044 +a(g309 +V7 +tp5045 +a(g193 +V, +tp5046 +a(g309 +V0 +tp5047 +a(g193 +V, +tp5048 +a(g309 +V1 +tp5049 +a(g193 +V, +tp5050 +a(g309 +V0 +tp5051 +a(g193 +V, +tp5052 +a(g309 +V4 +tp5053 +a(g193 +V, +tp5054 +a(g309 +V0 +tp5055 +a(g193 +V, +tp5056 +a(g309 +V0 +tp5057 +a(g193 +V, +tp5058 +a(g309 +V0 +tp5059 +a(g193 +V] +tp5060 +a(g193 +V, +tp5061 +a(g180 +V\u000a +p5062 +tp5063 +a(g193 +V[ +tp5064 +a(g309 +V0 +tp5065 +a(g193 +V, +tp5066 +a(g309 +V0 +tp5067 +a(g193 +V, +tp5068 +a(g309 +V9 +tp5069 +a(g193 +V, +tp5070 +a(g309 +V0 +tp5071 +a(g193 +V, +tp5072 +a(g309 +V0 +tp5073 +a(g193 +V, +tp5074 +a(g309 +V0 +tp5075 +a(g193 +V, +tp5076 +a(g309 +V8 +tp5077 +a(g193 +V, +tp5078 +a(g309 +V0 +tp5079 +a(g193 +V, +tp5080 +a(g309 +V0 +tp5081 +a(g193 +V] +tp5082 +a(g193 +V, +tp5083 +a(g180 +V\u000a +p5084 +tp5085 +a(g193 +V[ +tp5086 +a(g309 +V5 +tp5087 +a(g193 +V, +tp5088 +a(g309 +V0 +tp5089 +a(g193 +V, +tp5090 +a(g309 +V0 +tp5091 +a(g193 +V, +tp5092 +a(g309 +V0 +tp5093 +a(g193 +V, +tp5094 +a(g309 +V7 +tp5095 +a(g193 +V, +tp5096 +a(g309 +V0 +tp5097 +a(g193 +V, +tp5098 +a(g309 +V0 +tp5099 +a(g193 +V, +tp5100 +a(g309 +V0 +tp5101 +a(g193 +V, +tp5102 +a(g309 +V6 +tp5103 +a(g193 +V] +tp5104 +a(g193 +V, +tp5105 +a(g180 +V\u000a +p5106 +tp5107 +a(g193 +V[ +tp5108 +a(g309 +V0 +tp5109 +a(g193 +V, +tp5110 +a(g309 +V0 +tp5111 +a(g193 +V, +tp5112 +a(g309 +V1 +tp5113 +a(g193 +V, +tp5114 +a(g309 +V0 +tp5115 +a(g193 +V, +tp5116 +a(g309 +V0 +tp5117 +a(g193 +V, +tp5118 +a(g309 +V0 +tp5119 +a(g193 +V, +tp5120 +a(g309 +V2 +tp5121 +a(g193 +V, +tp5122 +a(g309 +V0 +tp5123 +a(g193 +V, +tp5124 +a(g309 +V0 +tp5125 +a(g193 +V] +tp5126 +a(g193 +V, +tp5127 +a(g180 +V\u000a +p5128 +tp5129 +a(g193 +V[ +tp5130 +a(g309 +V0 +tp5131 +a(g193 +V, +tp5132 +a(g309 +V0 +tp5133 +a(g193 +V, +tp5134 +a(g309 +V0 +tp5135 +a(g193 +V, +tp5136 +a(g309 +V3 +tp5137 +a(g193 +V, +tp5138 +a(g309 +V0 +tp5139 +a(g193 +V, +tp5140 +a(g309 +V9 +tp5141 +a(g193 +V, +tp5142 +a(g309 +V0 +tp5143 +a(g193 +V, +tp5144 +a(g309 +V4 +tp5145 +a(g193 +V, +tp5146 +a(g309 +V0 +tp5147 +a(g193 +V] +tp5148 +a(g193 +V, +tp5149 +a(g180 +V\u000a +p5150 +tp5151 +a(g193 +V[ +tp5152 +a(g309 +V8 +tp5153 +a(g193 +V, +tp5154 +a(g309 +V0 +tp5155 +a(g193 +V, +tp5156 +a(g309 +V0 +tp5157 +a(g193 +V, +tp5158 +a(g309 +V0 +tp5159 +a(g193 +V, +tp5160 +a(g309 +V0 +tp5161 +a(g193 +V, +tp5162 +a(g309 +V0 +tp5163 +a(g193 +V, +tp5164 +a(g309 +V0 +tp5165 +a(g193 +V, +tp5166 +a(g309 +V0 +tp5167 +a(g193 +V, +tp5168 +a(g309 +V0 +tp5169 +a(g193 +V] +tp5170 +a(g193 +V, +tp5171 +a(g180 +V\u000a +p5172 +tp5173 +a(g193 +V[ +tp5174 +a(g309 +V0 +tp5175 +a(g193 +V, +tp5176 +a(g309 +V0 +tp5177 +a(g193 +V, +tp5178 +a(g309 +V6 +tp5179 +a(g193 +V, +tp5180 +a(g309 +V0 +tp5181 +a(g193 +V, +tp5182 +a(g309 +V0 +tp5183 +a(g193 +V, +tp5184 +a(g309 +V5 +tp5185 +a(g193 +V, +tp5186 +a(g309 +V0 +tp5187 +a(g193 +V, +tp5188 +a(g309 +V0 +tp5189 +a(g193 +V, +tp5190 +a(g309 +V7 +tp5191 +a(g193 +V] +tp5192 +a(g193 +V] +tp5193 +a(g180 +V\u000a\u000a +p5194 +tp5195 +a(g46 +VtestHW +p5196 +tp5197 +a(g180 +V +tp5198 +a(g338 +V:: +p5199 +tp5200 +a(g180 +V +tp5201 +a(g359 +VSudoku +p5202 +tp5203 +a(g180 +V +tp5204 +a(g359 +VInt +p5205 +tp5206 +a(g180 +V\u000a +tp5207 +a(g46 +VtestHW +p5208 +tp5209 +a(g180 +V +tp5210 +a(g338 +V= +tp5211 +a(g180 +V +tp5212 +a(g43 +VmakeSudoku +p5213 +tp5214 +a(g180 +V +tp5215 +a(g193 +V[ +tp5216 +a(g180 +V\u000a +p5217 +tp5218 +a(g193 +V[ +tp5219 +a(g309 +V0 +tp5220 +a(g193 +V, +tp5221 +a(g309 +V0 +tp5222 +a(g193 +V, +tp5223 +a(g309 +V0 +tp5224 +a(g193 +V, +tp5225 +a(g309 +V1 +tp5226 +a(g193 +V, +tp5227 +a(g309 +V0 +tp5228 +a(g193 +V, +tp5229 +a(g309 +V0 +tp5230 +a(g193 +V, +tp5231 +a(g309 +V7 +tp5232 +a(g193 +V, +tp5233 +a(g309 +V0 +tp5234 +a(g193 +V, +tp5235 +a(g309 +V2 +tp5236 +a(g193 +V] +tp5237 +a(g193 +V, +tp5238 +a(g180 +V \u000a +p5239 +tp5240 +a(g193 +V[ +tp5241 +a(g309 +V0 +tp5242 +a(g193 +V, +tp5243 +a(g309 +V3 +tp5244 +a(g193 +V, +tp5245 +a(g309 +V0 +tp5246 +a(g193 +V, +tp5247 +a(g309 +V9 +tp5248 +a(g193 +V, +tp5249 +a(g309 +V5 +tp5250 +a(g193 +V, +tp5251 +a(g309 +V0 +tp5252 +a(g193 +V, +tp5253 +a(g309 +V0 +tp5254 +a(g193 +V, +tp5255 +a(g309 +V0 +tp5256 +a(g193 +V, +tp5257 +a(g309 +V0 +tp5258 +a(g193 +V] +tp5259 +a(g193 +V, +tp5260 +a(g180 +V\u000a +p5261 +tp5262 +a(g193 +V[ +tp5263 +a(g309 +V0 +tp5264 +a(g193 +V, +tp5265 +a(g309 +V0 +tp5266 +a(g193 +V, +tp5267 +a(g309 +V1 +tp5268 +a(g193 +V, +tp5269 +a(g309 +V0 +tp5270 +a(g193 +V, +tp5271 +a(g309 +V0 +tp5272 +a(g193 +V, +tp5273 +a(g309 +V2 +tp5274 +a(g193 +V, +tp5275 +a(g309 +V0 +tp5276 +a(g193 +V, +tp5277 +a(g309 +V0 +tp5278 +a(g193 +V, +tp5279 +a(g309 +V3 +tp5280 +a(g193 +V] +tp5281 +a(g193 +V, +tp5282 +a(g180 +V\u000a +p5283 +tp5284 +a(g193 +V[ +tp5285 +a(g309 +V5 +tp5286 +a(g193 +V, +tp5287 +a(g309 +V9 +tp5288 +a(g193 +V, +tp5289 +a(g309 +V0 +tp5290 +a(g193 +V, +tp5291 +a(g309 +V0 +tp5292 +a(g193 +V, +tp5293 +a(g309 +V0 +tp5294 +a(g193 +V, +tp5295 +a(g309 +V0 +tp5296 +a(g193 +V, +tp5297 +a(g309 +V3 +tp5298 +a(g193 +V, +tp5299 +a(g309 +V0 +tp5300 +a(g193 +V, +tp5301 +a(g309 +V1 +tp5302 +a(g193 +V] +tp5303 +a(g193 +V, +tp5304 +a(g180 +V\u000a +p5305 +tp5306 +a(g193 +V[ +tp5307 +a(g309 +V0 +tp5308 +a(g193 +V, +tp5309 +a(g309 +V2 +tp5310 +a(g193 +V, +tp5311 +a(g309 +V0 +tp5312 +a(g193 +V, +tp5313 +a(g309 +V0 +tp5314 +a(g193 +V, +tp5315 +a(g309 +V0 +tp5316 +a(g193 +V, +tp5317 +a(g309 +V0 +tp5318 +a(g193 +V, +tp5319 +a(g309 +V0 +tp5320 +a(g193 +V, +tp5321 +a(g309 +V7 +tp5322 +a(g193 +V, +tp5323 +a(g309 +V0 +tp5324 +a(g193 +V] +tp5325 +a(g193 +V, +tp5326 +a(g180 +V\u000a +p5327 +tp5328 +a(g193 +V[ +tp5329 +a(g309 +V7 +tp5330 +a(g193 +V, +tp5331 +a(g309 +V0 +tp5332 +a(g193 +V, +tp5333 +a(g309 +V3 +tp5334 +a(g193 +V, +tp5335 +a(g309 +V0 +tp5336 +a(g193 +V, +tp5337 +a(g309 +V0 +tp5338 +a(g193 +V, +tp5339 +a(g309 +V0 +tp5340 +a(g193 +V, +tp5341 +a(g309 +V0 +tp5342 +a(g193 +V, +tp5343 +a(g309 +V9 +tp5344 +a(g193 +V, +tp5345 +a(g309 +V8 +tp5346 +a(g193 +V] +tp5347 +a(g193 +V, +tp5348 +a(g180 +V\u000a +p5349 +tp5350 +a(g193 +V[ +tp5351 +a(g309 +V8 +tp5352 +a(g193 +V, +tp5353 +a(g309 +V0 +tp5354 +a(g193 +V, +tp5355 +a(g309 +V0 +tp5356 +a(g193 +V, +tp5357 +a(g309 +V2 +tp5358 +a(g193 +V, +tp5359 +a(g309 +V0 +tp5360 +a(g193 +V, +tp5361 +a(g309 +V0 +tp5362 +a(g193 +V, +tp5363 +a(g309 +V1 +tp5364 +a(g193 +V, +tp5365 +a(g309 +V0 +tp5366 +a(g193 +V, +tp5367 +a(g309 +V0 +tp5368 +a(g193 +V] +tp5369 +a(g193 +V, +tp5370 +a(g180 +V\u000a +p5371 +tp5372 +a(g193 +V[ +tp5373 +a(g309 +V0 +tp5374 +a(g193 +V, +tp5375 +a(g309 +V0 +tp5376 +a(g193 +V, +tp5377 +a(g309 +V0 +tp5378 +a(g193 +V, +tp5379 +a(g309 +V0 +tp5380 +a(g193 +V, +tp5381 +a(g309 +V8 +tp5382 +a(g193 +V, +tp5383 +a(g309 +V5 +tp5384 +a(g193 +V, +tp5385 +a(g309 +V0 +tp5386 +a(g193 +V, +tp5387 +a(g309 +V6 +tp5388 +a(g193 +V, +tp5389 +a(g309 +V0 +tp5390 +a(g193 +V] +tp5391 +a(g193 +V, +tp5392 +a(g180 +V\u000a +p5393 +tp5394 +a(g193 +V[ +tp5395 +a(g309 +V6 +tp5396 +a(g193 +V, +tp5397 +a(g309 +V0 +tp5398 +a(g193 +V, +tp5399 +a(g309 +V5 +tp5400 +a(g193 +V, +tp5401 +a(g309 +V0 +tp5402 +a(g193 +V, +tp5403 +a(g309 +V0 +tp5404 +a(g193 +V, +tp5405 +a(g309 +V9 +tp5406 +a(g193 +V, +tp5407 +a(g309 +V0 +tp5408 +a(g193 +V, +tp5409 +a(g309 +V0 +tp5410 +a(g193 +V, +tp5411 +a(g309 +V0 +tp5412 +a(g193 +V] +tp5413 +a(g193 +V] +tp5414 +a(g180 +V\u000a\u000a +p5415 +tp5416 +a(g46 +VtestTough +p5417 +tp5418 +a(g180 +V +tp5419 +a(g338 +V:: +p5420 +tp5421 +a(g180 +V +tp5422 +a(g359 +VSudoku +p5423 +tp5424 +a(g180 +V +tp5425 +a(g359 +VInt +p5426 +tp5427 +a(g180 +V\u000a +tp5428 +a(g46 +VtestTough +p5429 +tp5430 +a(g180 +V +tp5431 +a(g338 +V= +tp5432 +a(g180 +V +tp5433 +a(g43 +VmakeSudoku +p5434 +tp5435 +a(g180 +V +tp5436 +a(g334 +V$ +tp5437 +a(g180 +V +tp5438 +a(g43 +Vmap +p5439 +tp5440 +a(g180 +V +tp5441 +a(g193 +V( +tp5442 +a(g43 +Vmap +p5443 +tp5444 +a(g180 +V +tp5445 +a(g43 +Vread +p5446 +tp5447 +a(g180 +V +tp5448 +a(g334 +V. +tp5449 +a(g180 +V +tp5450 +a(g43 +Vwords +p5451 +tp5452 +a(g193 +V) +tp5453 +a(g180 +V +tp5454 +a(g334 +V$ +tp5455 +a(g180 +V +tp5456 +a(g43 +Vlines +p5457 +tp5458 +a(g180 +V +tp5459 +a(g334 +V$ +tp5460 +a(g180 +V\u000a +p5461 +tp5462 +a(g217 +V" +tp5463 +a(g217 +V8 3 0 0 0 0 0 4 6 +p5464 +tp5465 +a(g243 +V\u005c +tp5466 +a(g243 +Vn +tp5467 +a(g217 +V" +tp5468 +a(g334 +V++ +p5469 +tp5470 +a(g180 +V\u000a +p5471 +tp5472 +a(g217 +V" +tp5473 +a(g217 +V0 2 0 1 0 4 0 3 0 +p5474 +tp5475 +a(g243 +V\u005c +tp5476 +a(g243 +Vn +tp5477 +a(g217 +V" +tp5478 +a(g334 +V++ +p5479 +tp5480 +a(g180 +V\u000a +p5481 +tp5482 +a(g217 +V" +tp5483 +a(g217 +V0 0 0 0 0 0 0 0 0 +p5484 +tp5485 +a(g243 +V\u005c +tp5486 +a(g243 +Vn +tp5487 +a(g217 +V" +tp5488 +a(g334 +V++ +p5489 +tp5490 +a(g180 +V\u000a +p5491 +tp5492 +a(g217 +V" +tp5493 +a(g217 +V0 0 2 9 0 6 5 0 0 +p5494 +tp5495 +a(g243 +V\u005c +tp5496 +a(g243 +Vn +tp5497 +a(g217 +V" +tp5498 +a(g334 +V++ +p5499 +tp5500 +a(g180 +V\u000a +p5501 +tp5502 +a(g217 +V" +tp5503 +a(g217 +V1 4 0 0 0 0 0 2 3 +p5504 +tp5505 +a(g243 +V\u005c +tp5506 +a(g243 +Vn +tp5507 +a(g217 +V" +tp5508 +a(g334 +V++ +p5509 +tp5510 +a(g180 +V\u000a +p5511 +tp5512 +a(g217 +V" +tp5513 +a(g217 +V0 0 5 4 0 3 1 0 0 +p5514 +tp5515 +a(g243 +V\u005c +tp5516 +a(g243 +Vn +tp5517 +a(g217 +V" +tp5518 +a(g334 +V++ +p5519 +tp5520 +a(g180 +V\u000a +p5521 +tp5522 +a(g217 +V" +tp5523 +a(g217 +V0 0 0 0 0 0 0 0 0 +p5524 +tp5525 +a(g243 +V\u005c +tp5526 +a(g243 +Vn +tp5527 +a(g217 +V" +tp5528 +a(g334 +V++ +p5529 +tp5530 +a(g180 +V\u000a +p5531 +tp5532 +a(g217 +V" +tp5533 +a(g217 +V0 6 0 3 0 8 0 7 0 +p5534 +tp5535 +a(g243 +V\u005c +tp5536 +a(g243 +Vn +tp5537 +a(g217 +V" +tp5538 +a(g334 +V++ +p5539 +tp5540 +a(g180 +V\u000a +p5541 +tp5542 +a(g217 +V" +tp5543 +a(g217 +V9 5 0 0 0 0 0 6 2 +p5544 +tp5545 +a(g243 +V\u005c +tp5546 +a(g243 +Vn +tp5547 +a(g217 +V" +tp5548 +a(g180 +V\u000a\u000a +p5549 +tp5550 +a(g46 +VtestDiabolical +p5551 +tp5552 +a(g180 +V +tp5553 +a(g338 +V:: +p5554 +tp5555 +a(g180 +V +tp5556 +a(g359 +VSudoku +p5557 +tp5558 +a(g180 +V +tp5559 +a(g359 +VInt +p5560 +tp5561 +a(g180 +V \u000a +p5562 +tp5563 +a(g46 +VtestDiabolical +p5564 +tp5565 +a(g180 +V +tp5566 +a(g338 +V= +tp5567 +a(g180 +V +tp5568 +a(g43 +VmakeSudoku +p5569 +tp5570 +a(g180 +V +tp5571 +a(g334 +V$ +tp5572 +a(g180 +V +tp5573 +a(g43 +Vmap +p5574 +tp5575 +a(g180 +V +tp5576 +a(g193 +V( +tp5577 +a(g43 +Vmap +p5578 +tp5579 +a(g180 +V +tp5580 +a(g43 +Vread +p5581 +tp5582 +a(g180 +V +tp5583 +a(g334 +V. +tp5584 +a(g180 +V +tp5585 +a(g43 +Vwords +p5586 +tp5587 +a(g193 +V) +tp5588 +a(g180 +V +tp5589 +a(g334 +V$ +tp5590 +a(g180 +V +tp5591 +a(g43 +Vlines +p5592 +tp5593 +a(g180 +V +tp5594 +a(g334 +V$ +tp5595 +a(g180 +V\u000a +p5596 +tp5597 +a(g217 +V" +tp5598 +a(g217 +V8 0 0 7 0 1 0 0 2 +p5599 +tp5600 +a(g243 +V\u005c +tp5601 +a(g243 +Vn +tp5602 +a(g217 +V" +tp5603 +a(g334 +V++ +p5604 +tp5605 +a(g180 +V\u000a +p5606 +tp5607 +a(g217 +V" +tp5608 +a(g217 +V0 0 6 0 0 0 7 0 0 +p5609 +tp5610 +a(g243 +V\u005c +tp5611 +a(g243 +Vn +tp5612 +a(g217 +V" +tp5613 +a(g334 +V++ +p5614 +tp5615 +a(g180 +V\u000a +p5616 +tp5617 +a(g217 +V" +tp5618 +a(g217 +V0 1 7 0 0 0 8 9 0 +p5619 +tp5620 +a(g243 +V\u005c +tp5621 +a(g243 +Vn +tp5622 +a(g217 +V" +tp5623 +a(g334 +V++ +p5624 +tp5625 +a(g180 +V\u000a +p5626 +tp5627 +a(g217 +V" +tp5628 +a(g217 +V0 0 0 1 7 3 0 0 0 +p5629 +tp5630 +a(g243 +V\u005c +tp5631 +a(g243 +Vn +tp5632 +a(g217 +V" +tp5633 +a(g334 +V++ +p5634 +tp5635 +a(g180 +V\u000a +p5636 +tp5637 +a(g217 +V" +tp5638 +a(g217 +V7 0 0 0 0 0 0 0 6 +p5639 +tp5640 +a(g243 +V\u005c +tp5641 +a(g243 +Vn +tp5642 +a(g217 +V" +tp5643 +a(g334 +V++ +p5644 +tp5645 +a(g180 +V\u000a +p5646 +tp5647 +a(g217 +V" +tp5648 +a(g217 +V0 0 0 9 5 6 0 0 0 +p5649 +tp5650 +a(g243 +V\u005c +tp5651 +a(g243 +Vn +tp5652 +a(g217 +V" +tp5653 +a(g334 +V++ +p5654 +tp5655 +a(g180 +V\u000a +p5656 +tp5657 +a(g217 +V" +tp5658 +a(g217 +V0 9 5 0 0 0 4 1 0 +p5659 +tp5660 +a(g243 +V\u005c +tp5661 +a(g243 +Vn +tp5662 +a(g217 +V" +tp5663 +a(g334 +V++ +p5664 +tp5665 +a(g180 +V\u000a +p5666 +tp5667 +a(g217 +V" +tp5668 +a(g217 +V0 0 8 0 0 0 5 0 0 +p5669 +tp5670 +a(g243 +V\u005c +tp5671 +a(g243 +Vn +tp5672 +a(g217 +V" +tp5673 +a(g334 +V++ +p5674 +tp5675 +a(g180 +V\u000a +p5676 +tp5677 +a(g217 +V" +tp5678 +a(g217 +V3 0 0 6 0 5 0 0 7 +p5679 +tp5680 +a(g243 +V\u005c +tp5681 +a(g243 +Vn +tp5682 +a(g217 +V" +tp5683 +a(g180 +V\u000a\u000a +p5684 +tp5685 +a(g46 +Vmain +p5686 +tp5687 +a(g180 +V +tp5688 +a(g338 +V:: +p5689 +tp5690 +a(g180 +V +tp5691 +a(g359 +VIO +p5692 +tp5693 +a(g180 +V +tp5694 +a(g81 +V() +p5695 +tp5696 +a(g180 +V\u000a +tp5697 +a(g46 +Vmain +p5698 +tp5699 +a(g180 +V +tp5700 +a(g338 +V= +tp5701 +a(g180 +V +tp5702 +a(g7 +Vdo +p5703 +tp5704 +a(g180 +V\u000a +p5705 +tp5706 +a(g7 +Vlet +p5707 +tp5708 +a(g180 +V\u000a +p5709 +tp5710 +a(g43 +Vsolve' +p5711 +tp5712 +a(g180 +V +tp5713 +a(g43 +Vp +tp5714 +a(g180 +V +tp5715 +a(g338 +V= +tp5716 +a(g180 +V +tp5717 +a(g7 +Vcase +p5718 +tp5719 +a(g180 +V +tp5720 +a(g43 +Vsolve +p5721 +tp5722 +a(g180 +V +tp5723 +a(g43 +Vp +tp5724 +a(g180 +V +tp5725 +a(g7 +Vof +p5726 +tp5727 +a(g180 +V\u000a +p5728 +tp5729 +a(g359 +V[] +p5730 +tp5731 +a(g180 +V +tp5732 +a(g338 +V-> +p5733 +tp5734 +a(g180 +V +tp5735 +a(g43 +Vfail +p5736 +tp5737 +a(g180 +V +tp5738 +a(g334 +V$ +tp5739 +a(g180 +V +tp5740 +a(g217 +V" +tp5741 +a(g217 +Vcouldn't solve: +p5742 +tp5743 +a(g217 +V" +tp5744 +a(g180 +V +tp5745 +a(g334 +V++ +p5746 +tp5747 +a(g180 +V +tp5748 +a(g43 +Vshow +p5749 +tp5750 +a(g180 +V +tp5751 +a(g43 +Vp +tp5752 +a(g180 +V\u000a +p5753 +tp5754 +a(g43 +Vsols +p5755 +tp5756 +a(g180 +V +tp5757 +a(g338 +V-> +p5758 +tp5759 +a(g180 +V +tp5760 +a(g43 +Vreturn +p5761 +tp5762 +a(g180 +V +tp5763 +a(g43 +Vsols +p5764 +tp5765 +a(g180 +V\u000a +p5766 +tp5767 +a(g43 +VmapM_ +p5768 +tp5769 +a(g180 +V +tp5770 +a(g193 +V( +tp5771 +a(g46 +V\u005c +tp5772 +a(g43 +Vp +tp5773 +a(g180 +V +tp5774 +a(g338 +V-> +p5775 +tp5776 +a(g180 +V +tp5777 +a(g43 +Vsolve' +p5778 +tp5779 +a(g180 +V +tp5780 +a(g43 +Vp +tp5781 +a(g180 +V +tp5782 +a(g334 +V>>= +p5783 +tp5784 +a(g180 +V +tp5785 +a(g43 +VputStrLn +p5786 +tp5787 +a(g334 +V. +tp5788 +a(g43 +Vshow +p5789 +tp5790 +a(g193 +V) +tp5791 +a(g180 +V +tp5792 +a(g193 +V[ +tp5793 +a(g43 +Vtest +p5794 +tp5795 +a(g193 +V, +tp5796 +a(g43 +Vtest2 +p5797 +tp5798 +a(g193 +V, +tp5799 +a(g43 +VtestSmall +p5800 +tp5801 +a(g193 +V, +tp5802 +a(g43 +VtestHard +p5803 +tp5804 +a(g193 +V, +tp5805 +a(g43 +VtestHard2 +p5806 +tp5807 +a(g193 +V, +tp5808 +a(g43 +VtestHW +p5809 +tp5810 +a(g193 +V, +tp5811 +a(g43 +VtestTough +p5812 +tp5813 +a(g193 +V, +tp5814 +a(g43 +VtestDiabolical +p5815 +tp5816 +a(g193 +V] +tp5817 +a(g180 +V\u000a +p5818 +tp5819 +a(g43 +Vreturn +p5820 +tp5821 +a(g180 +V +tp5822 +a(g81 +V() +p5823 +tp5824 +a(g180 +V\u000a\u000a +p5825 +tp5826 +a(g13 +V\u005cend +p5827 +tp5828 +a(g180 +V +tp5829 +a(g81 +V{ +tp5830 +a(g180 +Vcode +p5831 +tp5832 +a(g81 +V} +tp5833 +a(g180 +V\u000a +tp5834 +a(g81 +V} +tp5835 +a(g180 +V\u000a\u000a +p5836 +tp5837 +a(g13 +V\u005cend +p5838 +tp5839 +a(g180 +V +tp5840 +a(g81 +V{ +tp5841 +a(g180 +Vdocument +p5842 +tp5843 +a(g81 +V} +tp5844 +a(g180 +V\u000a +tp5845 +a(g180 +V +tp5846 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/apache2.conf b/tests/examplefiles/output/apache2.conf new file mode 100644 index 0000000..975d2b7 --- /dev/null +++ b/tests/examplefiles/output/apache2.conf @@ -0,0 +1,6998 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +S'Pervasive' +p109 +g2 +(g3 +g4 +(g106 +g109 +ttRp110 +(dp111 +g17 +g18 +((ltRp112 +sg8 +g107 +sbsg28 +g2 +(g3 +g4 +(g106 +g28 +ttRp113 +(dp114 +g17 +g18 +((ltRp115 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp116 +(dp117 +g17 +g18 +((ltRp118 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g106 +g126 +ttRp127 +(dp128 +g17 +g18 +((ltRp129 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp130 +(dp131 +g17 +g18 +((ltRp132 +sg8 +g107 +sbsg17 +g18 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g106 +S'Type' +p134 +ttRp135 +(dp136 +g17 +g18 +((ltRp137 +sg8 +g107 +sbag110 +ag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g140 +sbsg8 +g9 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g17 +g18 +((ltRp169 +sg8 +g140 +sbsg17 +g18 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g17 +g18 +((ltRp182 +sg8 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g17 +g18 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g17 +g18 +((ltRp195 +sg8 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg8 +g9 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g17 +g18 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g17 +g18 +((ltRp204 +sg8 +g198 +sbatRp205 +sg201 +g202 +sg8 +g9 +sbsS'Token' +p206 +g9 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g17 +g18 +((ltRp218 +sg8 +g209 +sbsg8 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g222 +sbsg8 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g17 +g18 +((ltRp243 +sg8 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g222 +sbsS'Single' +p267 +g2 +(g3 +g4 +(g208 +g221 +g267 +ttRp268 +(dp269 +g17 +g18 +((ltRp270 +sg8 +g222 +sbsg60 +g2 +(g3 +g4 +(g208 +g221 +g60 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g222 +sbsS'Doc' +p274 +g2 +(g3 +g4 +(g208 +g221 +g274 +ttRp275 +(dp276 +g17 +g18 +((ltRp277 +sg8 +g222 +sbsg17 +g18 +((lp278 +g271 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p279 +ttRp280 +(dp281 +g17 +g18 +((ltRp282 +sg8 +g222 +sbag241 +ag264 +ag256 +ag275 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag268 +ag252 +ag248 +atRp283 +sg279 +g280 +sbsg8 +g9 +sg207 +g209 +sS'Scalar' +p284 +g2 +(g3 +g4 +(g208 +g284 +ttRp285 +(dp286 +g17 +g18 +((lp287 +g2 +(g3 +g4 +(g208 +g284 +S'Plain' +p288 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g285 +sbatRp292 +sg8 +g219 +sg288 +g289 +sbsg60 +g2 +(g3 +g4 +(g208 +g60 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g219 +sbsS'Date' +p296 +g2 +(g3 +g4 +(g208 +g296 +ttRp297 +(dp298 +g17 +g18 +((ltRp299 +sg8 +g219 +sbsg17 +g18 +((lp300 +g297 +ag222 +ag293 +ag209 +ag285 +atRp301 +sbsS'Decimal' +p302 +g2 +(g3 +g4 +(g208 +g207 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g209 +sbsS'Float' +p306 +g2 +(g3 +g4 +(g208 +g207 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g209 +sbsS'Hex' +p310 +g2 +(g3 +g4 +(g208 +g207 +g310 +ttRp311 +(dp312 +g17 +g18 +((ltRp313 +sg8 +g209 +sbsS'Integer' +p314 +g2 +(g3 +g4 +(g208 +g207 +g314 +ttRp315 +(dp316 +g17 +g18 +((lp317 +g2 +(g3 +g4 +(g208 +g207 +g314 +S'Long' +p318 +ttRp319 +(dp320 +g17 +g18 +((ltRp321 +sg8 +g315 +sbatRp322 +sg318 +g319 +sg8 +g209 +sbsS'Octal' +p323 +g2 +(g3 +g4 +(g208 +g207 +g323 +ttRp324 +(dp325 +g17 +g18 +((ltRp326 +sg8 +g209 +sbsg17 +g18 +((lp327 +g212 +ag216 +ag324 +ag303 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p328 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g209 +sbag315 +ag307 +ag311 +atRp332 +sg328 +g329 +sbsg208 +g219 +sg60 +g2 +(g3 +g4 +(g60 +ttRp333 +(dp334 +g17 +g18 +((ltRp335 +sg8 +g9 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp336 +(dp337 +g17 +g18 +((ltRp338 +sg8 +g9 +sbsS'Operator' +p339 +g2 +(g3 +g4 +(g339 +ttRp340 +(dp341 +g17 +g18 +((lp342 +g2 +(g3 +g4 +(g339 +S'Word' +p343 +ttRp344 +(dp345 +g17 +g18 +((ltRp346 +sg8 +g340 +sbatRp347 +sg343 +g344 +sg8 +g9 +sbsg17 +g18 +((lp348 +g6 +ag336 +ag140 +ag185 +ag12 +ag198 +ag107 +ag219 +ag340 +ag333 +atRp349 +sg221 +g222 +sbsS'Preproc' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g17 +g18 +((ltRp353 +sg8 +g6 +sbsg267 +g2 +(g3 +g4 +(g5 +g267 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g17 +g18 +((ltRp360 +sg8 +g6 +sbsg17 +g18 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g17 +g18 +((ltRp365 +sg8 +g6 +sbag351 +ag354 +ag358 +atRp366 +sg362 +g363 +sbV# Based upon the NCSA server configuration files originally by Rob McCool. +p367 +tp368 +a(g185 +V\u000a +tp369 +a(g6 +V# Changed extensively for the Debian package by Daniel Stone +p370 +tp371 +a(g185 +V\u000a +tp372 +a(g6 +V# and also by Thom May . +p373 +tp374 +a(g185 +V\u000a\u000a +p375 +tp376 +a(g6 +V# ServerRoot: The top of the directory tree under which the server's +p377 +tp378 +a(g185 +V\u000a +tp379 +a(g6 +V# configuration, error, and log files are kept. +p380 +tp381 +a(g185 +V\u000a +tp382 +a(g6 +V# +tp383 +a(g185 +V\u000a +tp384 +a(g6 +V# NOTE! If you intend to place this on an NFS (or otherwise network) +p385 +tp386 +a(g185 +V\u000a +tp387 +a(g6 +V# mounted filesystem then please read the LockFile documentation +p388 +tp389 +a(g185 +V\u000a +tp390 +a(g6 +V# (available at ); +p391 +tp392 +a(g185 +V\u000a +tp393 +a(g6 +V# you will save yourself a lot of trouble. +p394 +tp395 +a(g185 +V\u000a\u000a +p396 +tp397 +a(g53 +VServerRoot +p398 +tp399 +a(g185 +V +tp400 +a(g241 +V"/etc/apache2" +p401 +tp402 +a(g185 +V +tp403 +a(g185 +V\u000a\u000a +p404 +tp405 +a(g6 +V# The LockFile directive sets the path to the lockfile used when Apache +p406 +tp407 +a(g185 +V\u000a +tp408 +a(g6 +V# is compiled with either USE_FCNTL_SERIALIZED_ACCEPT or +p409 +tp410 +a(g185 +V\u000a +tp411 +a(g6 +V# USE_FLOCK_SERIALIZED_ACCEPT. This directive should normally be left at +p412 +tp413 +a(g185 +V\u000a +tp414 +a(g6 +V# its default value. The main reason for changing it is if the logs +p415 +tp416 +a(g185 +V\u000a +tp417 +a(g6 +V# directory is NFS mounted, since the lockfile MUST BE STORED ON A LOCAL +p418 +tp419 +a(g185 +V\u000a +tp420 +a(g6 +V# DISK. The PID of the main server process is automatically appended to +p421 +tp422 +a(g185 +V\u000a +tp423 +a(g6 +V# the filename. +p424 +tp425 +a(g185 +V\u000a\u000a +p426 +tp427 +a(g53 +VLockFile +p428 +tp429 +a(g185 +V +tp430 +a(g271 +V/var/lock/apache2/accept.lock +p431 +tp432 +a(g185 +V +tp433 +a(g185 +V\u000a\u000a +p434 +tp435 +a(g6 +V# PidFile: The file in which the server should record its process +p436 +tp437 +a(g185 +V\u000a +tp438 +a(g6 +V# identification number when it starts. +p439 +tp440 +a(g185 +V\u000a\u000a +p441 +tp442 +a(g53 +VPidFile +p443 +tp444 +a(g185 +V +tp445 +a(g271 +V/var/run/apache2.pid +p446 +tp447 +a(g185 +V +tp448 +a(g185 +V\u000a\u000a +p449 +tp450 +a(g6 +V# Timeout: The number of seconds before receives and sends time out. +p451 +tp452 +a(g185 +V\u000a\u000a +p453 +tp454 +a(g53 +VTimeout +p455 +tp456 +a(g185 +V +tp457 +a(g209 +V300 +p458 +tp459 +a(g185 +V +tp460 +a(g185 +V\u000a\u000a +p461 +tp462 +a(g6 +V# KeepAlive: Whether or not to allow persistent connections (more than +p463 +tp464 +a(g185 +V\u000a +tp465 +a(g6 +V# one request per connection). Set to "Off" to deactivate. +p466 +tp467 +a(g185 +V\u000a\u000a +p468 +tp469 +a(g53 +VKeepAlive +p470 +tp471 +a(g185 +V +tp472 +a(g107 +VOn +p473 +tp474 +a(g185 +V +tp475 +a(g185 +V\u000a\u000a +p476 +tp477 +a(g6 +V# MaxKeepAliveRequests: The maximum number of requests to allow +p478 +tp479 +a(g185 +V\u000a +tp480 +a(g6 +V# during a persistent connection. Set to 0 to allow an unlimited amount. +p481 +tp482 +a(g185 +V\u000a +tp483 +a(g6 +V# We recommend you leave this number high, for maximum performance. +p484 +tp485 +a(g185 +V\u000a\u000a +p486 +tp487 +a(g53 +VMaxKeepAliveRequests +p488 +tp489 +a(g185 +V +tp490 +a(g209 +V100 +p491 +tp492 +a(g185 +V +tp493 +a(g185 +V\u000a\u000a +p494 +tp495 +a(g6 +V# KeepAliveTimeout: Number of seconds to wait for the next request from the +p496 +tp497 +a(g185 +V\u000a +tp498 +a(g6 +V# same client on the same connection. +p499 +tp500 +a(g185 +V\u000a\u000a +p501 +tp502 +a(g53 +VKeepAliveTimeout +p503 +tp504 +a(g185 +V +tp505 +a(g209 +V15 +p506 +tp507 +a(g185 +V +tp508 +a(g185 +V\u000a\u000a +p509 +tp510 +a(g6 +V## +p511 +tp512 +a(g185 +V\u000a +tp513 +a(g6 +V## Server-Pool Size Regulation (MPM specific) +p514 +tp515 +a(g185 +V\u000a +tp516 +a(g6 +V## +p517 +tp518 +a(g185 +V\u000a\u000a +p519 +tp520 +a(g6 +V# prefork MPM +p521 +tp522 +a(g185 +V\u000a +tp523 +a(g6 +V# StartServers ......... number of server processes to start +p524 +tp525 +a(g185 +V\u000a +tp526 +a(g6 +V# MinSpareServers ...... minimum number of server processes which are kept spare +p527 +tp528 +a(g185 +V\u000a +tp529 +a(g6 +V# MaxSpareServers ...... maximum number of server processes which are kept spare +p530 +tp531 +a(g185 +V\u000a +tp532 +a(g6 +V# MaxClients ........... maximum number of server processes allowed to start +p533 +tp534 +a(g185 +V\u000a +tp535 +a(g6 +V# MaxRequestsPerChild .. maximum number of requests a server process serves +p536 +tp537 +a(g185 +V\u000a +tp538 +a(g25 +V +tp544 +a(g185 +V\u000a +tp545 +a(g53 +VStartServers +p546 +tp547 +a(g185 +V +p548 +tp549 +a(g209 +V5 +tp550 +a(g185 +V +tp551 +a(g185 +V\u000a +tp552 +a(g53 +VMinSpareServers +p553 +tp554 +a(g185 +V +p555 +tp556 +a(g209 +V5 +tp557 +a(g185 +V +tp558 +a(g185 +V\u000a +tp559 +a(g53 +VMaxSpareServers +p560 +tp561 +a(g185 +V +p562 +tp563 +a(g209 +V10 +p564 +tp565 +a(g185 +V +tp566 +a(g185 +V\u000a +tp567 +a(g53 +VMaxClients +p568 +tp569 +a(g185 +V +p570 +tp571 +a(g209 +V20 +p572 +tp573 +a(g185 +V +tp574 +a(g185 +V\u000a +tp575 +a(g53 +VMaxRequestsPerChild +p576 +tp577 +a(g185 +V +p578 +tp579 +a(g209 +V0 +tp580 +a(g185 +V +tp581 +a(g185 +V\u000a +tp582 +a(g25 +V +tp585 +a(g185 +V\u000a\u000a +p586 +tp587 +a(g6 +V# pthread MPM +p588 +tp589 +a(g185 +V\u000a +tp590 +a(g6 +V# StartServers ......... initial number of server processes to start +p591 +tp592 +a(g185 +V\u000a +tp593 +a(g6 +V# MaxClients ........... maximum number of server processes allowed to start +p594 +tp595 +a(g185 +V\u000a +tp596 +a(g6 +V# MinSpareThreads ...... minimum number of worker threads which are kept spare +p597 +tp598 +a(g185 +V\u000a +tp599 +a(g6 +V# MaxSpareThreads ...... maximum number of worker threads which are kept spare +p600 +tp601 +a(g185 +V\u000a +tp602 +a(g6 +V# ThreadsPerChild ...... constant number of worker threads in each server process +p603 +tp604 +a(g185 +V\u000a +tp605 +a(g6 +V# MaxRequestsPerChild .. maximum number of requests a server process serves +p606 +tp607 +a(g185 +V\u000a +tp608 +a(g25 +V +tp614 +a(g185 +V\u000a +tp615 +a(g53 +VStartServers +p616 +tp617 +a(g185 +V +p618 +tp619 +a(g209 +V2 +tp620 +a(g185 +V +tp621 +a(g185 +V\u000a +tp622 +a(g53 +VMaxClients +p623 +tp624 +a(g185 +V +p625 +tp626 +a(g209 +V150 +p627 +tp628 +a(g185 +V +tp629 +a(g185 +V +tp630 +a(g185 +V\u000a +tp631 +a(g53 +VMinSpareThreads +p632 +tp633 +a(g185 +V +p634 +tp635 +a(g209 +V25 +p636 +tp637 +a(g185 +V +tp638 +a(g185 +V\u000a +tp639 +a(g53 +VMaxSpareThreads +p640 +tp641 +a(g185 +V +p642 +tp643 +a(g209 +V75 +p644 +tp645 +a(g185 +V +tp646 +a(g185 +V\u000a +tp647 +a(g53 +VThreadsPerChild +p648 +tp649 +a(g185 +V +p650 +tp651 +a(g209 +V25 +p652 +tp653 +a(g185 +V +tp654 +a(g185 +V\u000a +tp655 +a(g53 +VMaxRequestsPerChild +p656 +tp657 +a(g185 +V +p658 +tp659 +a(g209 +V0 +tp660 +a(g185 +V +tp661 +a(g185 +V\u000a +tp662 +a(g25 +V +tp665 +a(g185 +V\u000a\u000a +p666 +tp667 +a(g6 +V# perchild MPM +p668 +tp669 +a(g185 +V\u000a +tp670 +a(g6 +V# NumServers ........... constant number of server processes +p671 +tp672 +a(g185 +V\u000a +tp673 +a(g6 +V# StartThreads ......... initial number of worker threads in each server process +p674 +tp675 +a(g185 +V\u000a +tp676 +a(g6 +V# MinSpareThreads ...... minimum number of worker threads which are kept spare +p677 +tp678 +a(g185 +V\u000a +tp679 +a(g6 +V# MaxSpareThreads ...... maximum number of worker threads which are kept spare +p680 +tp681 +a(g185 +V\u000a +tp682 +a(g6 +V# MaxThreadsPerChild ... maximum number of worker threads in each server process +p683 +tp684 +a(g185 +V\u000a +tp685 +a(g6 +V# MaxRequestsPerChild .. maximum number of connections per server process (then it dies) +p686 +tp687 +a(g185 +V\u000a +tp688 +a(g25 +V +tp694 +a(g185 +V\u000a +tp695 +a(g53 +VNumServers +p696 +tp697 +a(g185 +V +p698 +tp699 +a(g209 +V5 +tp700 +a(g185 +V +tp701 +a(g185 +V\u000a +tp702 +a(g53 +VStartThreads +p703 +tp704 +a(g185 +V +p705 +tp706 +a(g209 +V5 +tp707 +a(g185 +V +tp708 +a(g185 +V\u000a +tp709 +a(g53 +VMinSpareThreads +p710 +tp711 +a(g185 +V +p712 +tp713 +a(g209 +V5 +tp714 +a(g185 +V +tp715 +a(g185 +V\u000a +tp716 +a(g53 +VMaxSpareThreads +p717 +tp718 +a(g185 +V +p719 +tp720 +a(g209 +V10 +p721 +tp722 +a(g185 +V +tp723 +a(g185 +V\u000a +tp724 +a(g53 +VMaxThreadsPerChild +p725 +tp726 +a(g185 +V +p727 +tp728 +a(g209 +V20 +p729 +tp730 +a(g185 +V +tp731 +a(g185 +V\u000a +tp732 +a(g53 +VMaxRequestsPerChild +p733 +tp734 +a(g185 +V +p735 +tp736 +a(g209 +V0 +tp737 +a(g185 +V +tp738 +a(g185 +V\u000a +tp739 +a(g53 +VAcceptMutex +p740 +tp741 +a(g185 +V +tp742 +a(g185 +Vfcntl +p743 +tp744 +a(g185 +V +tp745 +a(g185 +V\u000a +tp746 +a(g25 +V +tp749 +a(g185 +V\u000a\u000a +p750 +tp751 +a(g53 +VUser +p752 +tp753 +a(g185 +V +tp754 +a(g185 +Vwww-data +p755 +tp756 +a(g185 +V +tp757 +a(g185 +V\u000a +tp758 +a(g53 +VGroup +p759 +tp760 +a(g185 +V +tp761 +a(g185 +Vwww-data +p762 +tp763 +a(g185 +V +tp764 +a(g185 +V\u000a\u000a +p765 +tp766 +a(g6 +V# The following directives define some format nicknames for use with +p767 +tp768 +a(g185 +V\u000a +tp769 +a(g6 +V# a CustomLog directive (see below). +p770 +tp771 +a(g185 +V\u000a +tp772 +a(g53 +VLogFormat +p773 +tp774 +a(g185 +V +tp775 +a(g241 +V"%h %l %u %t \u005c"%r\u005c" %>s %b \u005c"%{Referer}i\u005c" \u005c"%{User-Agent}i\u005c"" +p776 +tp777 +a(g185 +V +tp778 +a(g185 +Vcombined +p779 +tp780 +a(g185 +V +tp781 +a(g185 +V\u000a +tp782 +a(g53 +VLogFormat +p783 +tp784 +a(g185 +V +tp785 +a(g241 +V"%h %l %u %t \u005c"%r\u005c" %>s %b" +p786 +tp787 +a(g185 +V +tp788 +a(g185 +Vcommon +p789 +tp790 +a(g185 +V +tp791 +a(g185 +V\u000a +tp792 +a(g53 +VLogFormat +p793 +tp794 +a(g185 +V +tp795 +a(g241 +V"%{Referer}i -> %U" +p796 +tp797 +a(g185 +V +tp798 +a(g185 +Vreferer +p799 +tp800 +a(g185 +V +tp801 +a(g185 +V\u000a +tp802 +a(g53 +VLogFormat +p803 +tp804 +a(g185 +V +tp805 +a(g241 +V"%{User-agent}i" +p806 +tp807 +a(g185 +V +tp808 +a(g185 +Vagent +p809 +tp810 +a(g185 +V +tp811 +a(g185 +V\u000a\u000a\u000a +p812 +tp813 +a(g6 +V# Global error log. +p814 +tp815 +a(g185 +V\u000a +tp816 +a(g53 +VErrorLog +p817 +tp818 +a(g185 +V +tp819 +a(g271 +V/var/log/apache2/error.log +p820 +tp821 +a(g185 +V +tp822 +a(g185 +V\u000a\u000a +p823 +tp824 +a(g6 +V# Include module configuration: +p825 +tp826 +a(g185 +V\u000a +tp827 +a(g53 +VInclude +p828 +tp829 +a(g185 +V +tp830 +a(g271 +V/etc/apache2/mods-enabled/ +p831 +tp832 +a(g185 +V*.load +p833 +tp834 +a(g185 +V +tp835 +a(g185 +V\u000a +tp836 +a(g53 +VInclude +p837 +tp838 +a(g185 +V +tp839 +a(g271 +V/etc/apache2/mods-enabled/ +p840 +tp841 +a(g185 +V*.conf +p842 +tp843 +a(g185 +V +tp844 +a(g185 +V\u000a\u000a +p845 +tp846 +a(g6 +V# Include all the user configurations: +p847 +tp848 +a(g185 +V\u000a +tp849 +a(g53 +VInclude +p850 +tp851 +a(g185 +V +tp852 +a(g271 +V/etc/apache2/httpd.conf +p853 +tp854 +a(g185 +V +tp855 +a(g185 +V\u000a\u000a +p856 +tp857 +a(g6 +V# Include ports listing +p858 +tp859 +a(g185 +V\u000a +tp860 +a(g53 +VInclude +p861 +tp862 +a(g185 +V +tp863 +a(g271 +V/etc/apache2/ports.conf +p864 +tp865 +a(g185 +V +tp866 +a(g185 +V\u000a\u000a +p867 +tp868 +a(g6 +V# Include generic snippets of statements +p869 +tp870 +a(g185 +V\u000a +tp871 +a(g53 +VInclude +p872 +tp873 +a(g185 +V +tp874 +a(g271 +V/etc/apache2/conf.d/ +p875 +tp876 +a(g185 +V[^.#]* +p877 +tp878 +a(g185 +V +tp879 +a(g185 +V\u000a\u000a +p880 +tp881 +a(g6 +V#Let's have some Icons, shall we? +p882 +tp883 +a(g185 +V\u000a +tp884 +a(g53 +VAlias +p885 +tp886 +a(g185 +V +tp887 +a(g271 +V/icons/ +p888 +tp889 +a(g185 +V +tp890 +a(g241 +V"/usr/share/apache2/icons/" +p891 +tp892 +a(g185 +V +tp893 +a(g185 +V\u000a +tp894 +a(g25 +V +tp900 +a(g185 +V\u000a +p901 +tp902 +a(g53 +VOptions +p903 +tp904 +a(g185 +V +tp905 +a(g185 +VIndexes +p906 +tp907 +a(g185 +V +tp908 +a(g185 +VMultiViews +p909 +tp910 +a(g185 +V +tp911 +a(g185 +V\u000a +p912 +tp913 +a(g53 +VAllowOverride +p914 +tp915 +a(g185 +V +tp916 +a(g107 +VNone +p917 +tp918 +a(g185 +V +tp919 +a(g185 +V\u000a +p920 +tp921 +a(g53 +VOrder +p922 +tp923 +a(g185 +V +tp924 +a(g185 +Vallow,deny +p925 +tp926 +a(g185 +V +tp927 +a(g185 +V\u000a +p928 +tp929 +a(g53 +VAllow +p930 +tp931 +a(g185 +V +tp932 +a(g185 +Vfrom +p933 +tp934 +a(g185 +V +tp935 +a(g107 +Vall +p936 +tp937 +a(g185 +V +tp938 +a(g185 +V\u000a +tp939 +a(g25 +V +tp942 +a(g185 +V\u000a\u000a +p943 +tp944 +a(g6 +V# Set up the default error docs. +p945 +tp946 +a(g185 +V\u000a +tp947 +a(g6 +V# +tp948 +a(g185 +V\u000a +tp949 +a(g6 +V# Customizable error responses come in three flavors: +p950 +tp951 +a(g185 +V\u000a +tp952 +a(g6 +V# 1) plain text 2) local redirects 3) external redirects +p953 +tp954 +a(g185 +V\u000a +tp955 +a(g6 +V# +tp956 +a(g185 +V\u000a +tp957 +a(g6 +V# Some examples: +p958 +tp959 +a(g185 +V\u000a +tp960 +a(g6 +V#ErrorDocument 500 "The server made a boo boo." +p961 +tp962 +a(g185 +V\u000a +tp963 +a(g6 +V#ErrorDocument 404 /missing.html +p964 +tp965 +a(g185 +V\u000a +tp966 +a(g6 +V#ErrorDocument 404 "/cgi-bin/missing_handler.pl" +p967 +tp968 +a(g185 +V\u000a +tp969 +a(g6 +V#ErrorDocument 402 http://www.example.com/subscription_info.html +p970 +tp971 +a(g185 +V\u000a +tp972 +a(g6 +V# +tp973 +a(g185 +V\u000a\u000a +p974 +tp975 +a(g6 +V# +tp976 +a(g185 +V\u000a +tp977 +a(g6 +V# Putting this all together, we can Internationalize error responses. +p978 +tp979 +a(g185 +V\u000a +tp980 +a(g6 +V# +tp981 +a(g185 +V\u000a +tp982 +a(g6 +V# We use Alias to redirect any /error/HTTP_.html.var response to +p983 +tp984 +a(g185 +V\u000a +tp985 +a(g6 +V# our collection of by-error message multi-language collections. We use +p986 +tp987 +a(g185 +V\u000a +tp988 +a(g6 +V# includes to substitute the appropriate text. +p989 +tp990 +a(g185 +V\u000a +tp991 +a(g6 +V# +tp992 +a(g185 +V\u000a +tp993 +a(g6 +V# You can modify the messages' appearance without changing any of the +p994 +tp995 +a(g185 +V\u000a +tp996 +a(g6 +V# default HTTP_.html.var files by adding the line; +p997 +tp998 +a(g185 +V\u000a +tp999 +a(g6 +V# +tp1000 +a(g185 +V\u000a +tp1001 +a(g6 +V# Alias /error/include/ "/your/include/path/" +p1002 +tp1003 +a(g185 +V\u000a +tp1004 +a(g6 +V# +tp1005 +a(g185 +V\u000a +tp1006 +a(g6 +V# which allows you to create your own set of files by starting with the +p1007 +tp1008 +a(g185 +V\u000a +tp1009 +a(g6 +V# /usr/local/apache2/error/include/ files and +p1010 +tp1011 +a(g185 +V\u000a +tp1012 +a(g6 +V# copying them to /your/include/path/, even on a per-VirtualHost basis. +p1013 +tp1014 +a(g185 +V\u000a +tp1015 +a(g6 +V# +tp1016 +a(g185 +V\u000a\u000a +p1017 +tp1018 +a(g25 +V +tp1024 +a(g185 +V\u000a +tp1025 +a(g25 +V +tp1031 +a(g185 +V\u000a +p1032 +tp1033 +a(g53 +VAlias +p1034 +tp1035 +a(g185 +V +tp1036 +a(g271 +V/error/ +p1037 +tp1038 +a(g185 +V +tp1039 +a(g241 +V"/usr/share/apache2/error/" +p1040 +tp1041 +a(g185 +V +tp1042 +a(g185 +V\u000a\u000a +p1043 +tp1044 +a(g25 +V +tp1050 +a(g185 +V\u000a +p1051 +tp1052 +a(g53 +VAllowOverride +p1053 +tp1054 +a(g185 +V +tp1055 +a(g107 +VNone +p1056 +tp1057 +a(g185 +V +tp1058 +a(g185 +V\u000a +p1059 +tp1060 +a(g53 +VOptions +p1061 +tp1062 +a(g185 +V +tp1063 +a(g185 +VIncludesNoExec +p1064 +tp1065 +a(g185 +V +tp1066 +a(g185 +V\u000a +p1067 +tp1068 +a(g53 +VAddOutputFilter +p1069 +tp1070 +a(g185 +V +tp1071 +a(g185 +VIncludes +p1072 +tp1073 +a(g185 +V +tp1074 +a(g185 +Vhtml +p1075 +tp1076 +a(g185 +V +tp1077 +a(g185 +V\u000a +p1078 +tp1079 +a(g53 +VAddHandler +p1080 +tp1081 +a(g185 +V +tp1082 +a(g185 +Vtype-map +p1083 +tp1084 +a(g185 +V +tp1085 +a(g185 +Vvar +p1086 +tp1087 +a(g185 +V +tp1088 +a(g185 +V\u000a +p1089 +tp1090 +a(g53 +VOrder +p1091 +tp1092 +a(g185 +V +tp1093 +a(g185 +Vallow,deny +p1094 +tp1095 +a(g185 +V +tp1096 +a(g185 +V\u000a +p1097 +tp1098 +a(g53 +VAllow +p1099 +tp1100 +a(g185 +V +tp1101 +a(g185 +Vfrom +p1102 +tp1103 +a(g185 +V +tp1104 +a(g107 +Vall +p1105 +tp1106 +a(g185 +V +tp1107 +a(g185 +V\u000a +p1108 +tp1109 +a(g53 +VLanguagePriority +p1110 +tp1111 +a(g185 +V +tp1112 +a(g185 +Ven +p1113 +tp1114 +a(g185 +V +tp1115 +a(g185 +Ves +p1116 +tp1117 +a(g185 +V +tp1118 +a(g185 +Vde +p1119 +tp1120 +a(g185 +V +tp1121 +a(g185 +Vfr +p1122 +tp1123 +a(g185 +V +tp1124 +a(g185 +V\u000a +p1125 +tp1126 +a(g53 +VForceLanguagePriority +p1127 +tp1128 +a(g185 +V +tp1129 +a(g185 +VPrefer +p1130 +tp1131 +a(g185 +V +tp1132 +a(g185 +VFallback +p1133 +tp1134 +a(g185 +V +tp1135 +a(g185 +V\u000a +p1136 +tp1137 +a(g25 +V +tp1140 +a(g185 +V\u000a\u000a +p1141 +tp1142 +a(g53 +VErrorDocument +p1143 +tp1144 +a(g185 +V +tp1145 +a(g209 +V400 +p1146 +tp1147 +a(g185 +V +tp1148 +a(g271 +V/error/HTTP_BAD_REQUEST.html.var +p1149 +tp1150 +a(g185 +V +tp1151 +a(g185 +V\u000a +p1152 +tp1153 +a(g53 +VErrorDocument +p1154 +tp1155 +a(g185 +V +tp1156 +a(g209 +V401 +p1157 +tp1158 +a(g185 +V +tp1159 +a(g271 +V/error/HTTP_UNAUTHORIZED.html.var +p1160 +tp1161 +a(g185 +V +tp1162 +a(g185 +V\u000a +p1163 +tp1164 +a(g53 +VErrorDocument +p1165 +tp1166 +a(g185 +V +tp1167 +a(g209 +V403 +p1168 +tp1169 +a(g185 +V +tp1170 +a(g271 +V/error/HTTP_FORBIDDEN.html.var +p1171 +tp1172 +a(g185 +V +tp1173 +a(g185 +V\u000a +p1174 +tp1175 +a(g53 +VErrorDocument +p1176 +tp1177 +a(g185 +V +tp1178 +a(g209 +V404 +p1179 +tp1180 +a(g185 +V +tp1181 +a(g271 +V/error/HTTP_NOT_FOUND.html.var +p1182 +tp1183 +a(g185 +V +tp1184 +a(g185 +V\u000a +p1185 +tp1186 +a(g53 +VErrorDocument +p1187 +tp1188 +a(g185 +V +tp1189 +a(g209 +V405 +p1190 +tp1191 +a(g185 +V +tp1192 +a(g271 +V/error/HTTP_METHOD_NOT_ALLOWED.html.var +p1193 +tp1194 +a(g185 +V +tp1195 +a(g185 +V\u000a +p1196 +tp1197 +a(g53 +VErrorDocument +p1198 +tp1199 +a(g185 +V +tp1200 +a(g209 +V408 +p1201 +tp1202 +a(g185 +V +tp1203 +a(g271 +V/error/HTTP_REQUEST_TIME_OUT.html.var +p1204 +tp1205 +a(g185 +V +tp1206 +a(g185 +V\u000a +p1207 +tp1208 +a(g53 +VErrorDocument +p1209 +tp1210 +a(g185 +V +tp1211 +a(g209 +V410 +p1212 +tp1213 +a(g185 +V +tp1214 +a(g271 +V/error/HTTP_GONE.html.var +p1215 +tp1216 +a(g185 +V +tp1217 +a(g185 +V\u000a +p1218 +tp1219 +a(g53 +VErrorDocument +p1220 +tp1221 +a(g185 +V +tp1222 +a(g209 +V411 +p1223 +tp1224 +a(g185 +V +tp1225 +a(g271 +V/error/HTTP_LENGTH_REQUIRED.html.var +p1226 +tp1227 +a(g185 +V +tp1228 +a(g185 +V\u000a +p1229 +tp1230 +a(g53 +VErrorDocument +p1231 +tp1232 +a(g185 +V +tp1233 +a(g209 +V412 +p1234 +tp1235 +a(g185 +V +tp1236 +a(g271 +V/error/HTTP_PRECONDITION_FAILED.html.var +p1237 +tp1238 +a(g185 +V +tp1239 +a(g185 +V\u000a +p1240 +tp1241 +a(g53 +VErrorDocument +p1242 +tp1243 +a(g185 +V +tp1244 +a(g209 +V413 +p1245 +tp1246 +a(g185 +V +tp1247 +a(g271 +V/error/HTTP_REQUEST_ENTITY_TOO_LARGE.html.var +p1248 +tp1249 +a(g185 +V +tp1250 +a(g185 +V\u000a +p1251 +tp1252 +a(g53 +VErrorDocument +p1253 +tp1254 +a(g185 +V +tp1255 +a(g209 +V414 +p1256 +tp1257 +a(g185 +V +tp1258 +a(g271 +V/error/HTTP_REQUEST_URI_TOO_LARGE.html.var +p1259 +tp1260 +a(g185 +V +tp1261 +a(g185 +V\u000a +p1262 +tp1263 +a(g53 +VErrorDocument +p1264 +tp1265 +a(g185 +V +tp1266 +a(g209 +V415 +p1267 +tp1268 +a(g185 +V +tp1269 +a(g271 +V/error/HTTP_SERVICE_UNAVAILABLE.html.var +p1270 +tp1271 +a(g185 +V +tp1272 +a(g185 +V\u000a +p1273 +tp1274 +a(g53 +VErrorDocument +p1275 +tp1276 +a(g185 +V +tp1277 +a(g209 +V500 +p1278 +tp1279 +a(g185 +V +tp1280 +a(g271 +V/error/HTTP_INTERNAL_SERVER_ERROR.html.var +p1281 +tp1282 +a(g185 +V +tp1283 +a(g185 +V\u000a +p1284 +tp1285 +a(g53 +VErrorDocument +p1286 +tp1287 +a(g185 +V +tp1288 +a(g209 +V501 +p1289 +tp1290 +a(g185 +V +tp1291 +a(g271 +V/error/HTTP_NOT_IMPLEMENTED.html.var +p1292 +tp1293 +a(g185 +V +tp1294 +a(g185 +V\u000a +p1295 +tp1296 +a(g53 +VErrorDocument +p1297 +tp1298 +a(g185 +V +tp1299 +a(g209 +V502 +p1300 +tp1301 +a(g185 +V +tp1302 +a(g271 +V/error/HTTP_BAD_GATEWAY.html.var +p1303 +tp1304 +a(g185 +V +tp1305 +a(g185 +V\u000a +p1306 +tp1307 +a(g53 +VErrorDocument +p1308 +tp1309 +a(g185 +V +tp1310 +a(g209 +V503 +p1311 +tp1312 +a(g185 +V +tp1313 +a(g271 +V/error/HTTP_SERVICE_UNAVAILABLE.html.var +p1314 +tp1315 +a(g185 +V +tp1316 +a(g185 +V\u000a +p1317 +tp1318 +a(g53 +VErrorDocument +p1319 +tp1320 +a(g185 +V +tp1321 +a(g209 +V506 +p1322 +tp1323 +a(g185 +V +tp1324 +a(g271 +V/error/HTTP_VARIANT_ALSO_VARIES.html.var +p1325 +tp1326 +a(g185 +V +tp1327 +a(g185 +V\u000a\u000a +p1328 +tp1329 +a(g25 +V +tp1332 +a(g185 +V\u000a +tp1333 +a(g25 +V +tp1336 +a(g185 +V\u000a\u000a +p1337 +tp1338 +a(g53 +VDirectoryIndex +p1339 +tp1340 +a(g185 +V +tp1341 +a(g185 +Vindex.html +p1342 +tp1343 +a(g185 +V +tp1344 +a(g185 +Vindex.cgi +p1345 +tp1346 +a(g185 +V +tp1347 +a(g185 +Vindex.pl +p1348 +tp1349 +a(g185 +V +tp1350 +a(g185 +Vindex.php +p1351 +tp1352 +a(g185 +V +tp1353 +a(g185 +Vindex.xhtml +p1354 +tp1355 +a(g185 +V +tp1356 +a(g185 +V\u000a\u000a +p1357 +tp1358 +a(g6 +V# UserDir is now a module +p1359 +tp1360 +a(g185 +V\u000a +tp1361 +a(g6 +V#UserDir public_html +p1362 +tp1363 +a(g185 +V\u000a +tp1364 +a(g6 +V#UserDir disabled root +p1365 +tp1366 +a(g185 +V\u000a\u000a +p1367 +tp1368 +a(g6 +V# +p1369 +tp1370 +a(g185 +V\u000a +tp1371 +a(g6 +V# AllowOverride FileInfo AuthConfig Limit +p1372 +tp1373 +a(g185 +V\u000a +tp1374 +a(g6 +V# Options Indexes SymLinksIfOwnerMatch IncludesNoExec +p1375 +tp1376 +a(g185 +V\u000a +tp1377 +a(g6 +V# +p1378 +tp1379 +a(g185 +V\u000a\u000a +p1380 +tp1381 +a(g53 +VAccessFileName +p1382 +tp1383 +a(g185 +V +tp1384 +a(g185 +V.htaccess +p1385 +tp1386 +a(g185 +V +tp1387 +a(g185 +V\u000a\u000a +p1388 +tp1389 +a(g25 +V +tp1395 +a(g185 +V\u000a +p1396 +tp1397 +a(g53 +VOrder +p1398 +tp1399 +a(g185 +V +tp1400 +a(g185 +Vallow,deny +p1401 +tp1402 +a(g185 +V +tp1403 +a(g185 +V\u000a +p1404 +tp1405 +a(g53 +VDeny +p1406 +tp1407 +a(g185 +V +tp1408 +a(g185 +Vfrom +p1409 +tp1410 +a(g185 +V +tp1411 +a(g107 +Vall +p1412 +tp1413 +a(g185 +V +tp1414 +a(g185 +V\u000a +tp1415 +a(g25 +V +tp1418 +a(g185 +V\u000a\u000a +p1419 +tp1420 +a(g53 +VUseCanonicalName +p1421 +tp1422 +a(g185 +V +tp1423 +a(g107 +VOff +p1424 +tp1425 +a(g185 +V +tp1426 +a(g185 +V\u000a\u000a +p1427 +tp1428 +a(g53 +VTypesConfig +p1429 +tp1430 +a(g185 +V +tp1431 +a(g271 +V/etc/mime.types +p1432 +tp1433 +a(g185 +V +tp1434 +a(g185 +V\u000a +tp1435 +a(g53 +VDefaultType +p1436 +tp1437 +a(g185 +V +tp1438 +a(g185 +Vtext/plain +p1439 +tp1440 +a(g185 +V +tp1441 +a(g185 +V\u000a\u000a +p1442 +tp1443 +a(g53 +VHostnameLookups +p1444 +tp1445 +a(g185 +V +tp1446 +a(g107 +VOff +p1447 +tp1448 +a(g185 +V +tp1449 +a(g185 +V\u000a\u000a +p1450 +tp1451 +a(g53 +VIndexOptions +p1452 +tp1453 +a(g185 +V +tp1454 +a(g185 +VFancyIndexing +p1455 +tp1456 +a(g185 +V +tp1457 +a(g185 +VVersionSort +p1458 +tp1459 +a(g185 +V +tp1460 +a(g185 +V\u000a\u000a +p1461 +tp1462 +a(g53 +VAddIconByEncoding +p1463 +tp1464 +a(g185 +V +tp1465 +a(g185 +V(CMP,/icons/compressed.gif) +p1466 +tp1467 +a(g185 +V +tp1468 +a(g185 +Vx-compress +p1469 +tp1470 +a(g185 +V +tp1471 +a(g185 +Vx-gzip +p1472 +tp1473 +a(g185 +V +tp1474 +a(g185 +V\u000a\u000a +p1475 +tp1476 +a(g53 +VAddIconByType +p1477 +tp1478 +a(g185 +V +tp1479 +a(g185 +V(TXT,/icons/text.gif) +p1480 +tp1481 +a(g185 +V +tp1482 +a(g185 +Vtext/* +p1483 +tp1484 +a(g185 +V +tp1485 +a(g185 +V\u000a +tp1486 +a(g53 +VAddIconByType +p1487 +tp1488 +a(g185 +V +tp1489 +a(g185 +V(IMG,/icons/image2.gif) +p1490 +tp1491 +a(g185 +V +tp1492 +a(g185 +Vimage/* +p1493 +tp1494 +a(g185 +V +tp1495 +a(g185 +V\u000a +tp1496 +a(g53 +VAddIconByType +p1497 +tp1498 +a(g185 +V +tp1499 +a(g185 +V(SND,/icons/sound2.gif) +p1500 +tp1501 +a(g185 +V +tp1502 +a(g185 +Vaudio/* +p1503 +tp1504 +a(g185 +V +tp1505 +a(g185 +V\u000a +tp1506 +a(g53 +VAddIconByType +p1507 +tp1508 +a(g185 +V +tp1509 +a(g185 +V(VID,/icons/movie.gif) +p1510 +tp1511 +a(g185 +V +tp1512 +a(g185 +Vvideo/* +p1513 +tp1514 +a(g185 +V +tp1515 +a(g185 +V\u000a\u000a +p1516 +tp1517 +a(g6 +V# This really should be .jpg. +p1518 +tp1519 +a(g185 +V\u000a\u000a +p1520 +tp1521 +a(g53 +VAddIcon +p1522 +tp1523 +a(g185 +V +tp1524 +a(g271 +V/icons/binary.gif +p1525 +tp1526 +a(g185 +V +tp1527 +a(g185 +V.bin +p1528 +tp1529 +a(g185 +V +tp1530 +a(g185 +V.exe +p1531 +tp1532 +a(g185 +V +tp1533 +a(g185 +V\u000a +tp1534 +a(g53 +VAddIcon +p1535 +tp1536 +a(g185 +V +tp1537 +a(g271 +V/icons/binhex.gif +p1538 +tp1539 +a(g185 +V +tp1540 +a(g185 +V.hqx +p1541 +tp1542 +a(g185 +V +tp1543 +a(g185 +V\u000a +tp1544 +a(g53 +VAddIcon +p1545 +tp1546 +a(g185 +V +tp1547 +a(g271 +V/icons/tar.gif +p1548 +tp1549 +a(g185 +V +tp1550 +a(g185 +V.tar +p1551 +tp1552 +a(g185 +V +tp1553 +a(g185 +V\u000a +tp1554 +a(g53 +VAddIcon +p1555 +tp1556 +a(g185 +V +tp1557 +a(g271 +V/icons/world2.gif +p1558 +tp1559 +a(g185 +V +tp1560 +a(g185 +V.wrl +p1561 +tp1562 +a(g185 +V +tp1563 +a(g185 +V.wrl.gz +p1564 +tp1565 +a(g185 +V +tp1566 +a(g185 +V.vrml +p1567 +tp1568 +a(g185 +V +tp1569 +a(g185 +V.vrm +p1570 +tp1571 +a(g185 +V +tp1572 +a(g185 +V.iv +p1573 +tp1574 +a(g185 +V +tp1575 +a(g185 +V\u000a +tp1576 +a(g53 +VAddIcon +p1577 +tp1578 +a(g185 +V +tp1579 +a(g271 +V/icons/compressed.gif +p1580 +tp1581 +a(g185 +V +tp1582 +a(g185 +V.Z +p1583 +tp1584 +a(g185 +V +tp1585 +a(g185 +V.z +p1586 +tp1587 +a(g185 +V +tp1588 +a(g185 +V.tgz +p1589 +tp1590 +a(g185 +V +tp1591 +a(g185 +V.gz +p1592 +tp1593 +a(g185 +V +tp1594 +a(g185 +V.zip +p1595 +tp1596 +a(g185 +V +tp1597 +a(g185 +V\u000a +tp1598 +a(g53 +VAddIcon +p1599 +tp1600 +a(g185 +V +tp1601 +a(g271 +V/icons/a.gif +p1602 +tp1603 +a(g185 +V +tp1604 +a(g185 +V.ps +p1605 +tp1606 +a(g185 +V +tp1607 +a(g185 +V.ai +p1608 +tp1609 +a(g185 +V +tp1610 +a(g185 +V.eps +p1611 +tp1612 +a(g185 +V +tp1613 +a(g185 +V\u000a +tp1614 +a(g53 +VAddIcon +p1615 +tp1616 +a(g185 +V +tp1617 +a(g271 +V/icons/layout.gif +p1618 +tp1619 +a(g185 +V +tp1620 +a(g185 +V.html +p1621 +tp1622 +a(g185 +V +tp1623 +a(g185 +V.shtml +p1624 +tp1625 +a(g185 +V +tp1626 +a(g185 +V.htm +p1627 +tp1628 +a(g185 +V +tp1629 +a(g185 +V.pdf +p1630 +tp1631 +a(g185 +V +tp1632 +a(g185 +V\u000a +tp1633 +a(g53 +VAddIcon +p1634 +tp1635 +a(g185 +V +tp1636 +a(g271 +V/icons/text.gif +p1637 +tp1638 +a(g185 +V +tp1639 +a(g185 +V.txt +p1640 +tp1641 +a(g185 +V +tp1642 +a(g185 +V\u000a +tp1643 +a(g53 +VAddIcon +p1644 +tp1645 +a(g185 +V +tp1646 +a(g271 +V/icons/c.gif +p1647 +tp1648 +a(g185 +V +tp1649 +a(g185 +V.c +p1650 +tp1651 +a(g185 +V +tp1652 +a(g185 +V\u000a +tp1653 +a(g53 +VAddIcon +p1654 +tp1655 +a(g185 +V +tp1656 +a(g271 +V/icons/p.gif +p1657 +tp1658 +a(g185 +V +tp1659 +a(g185 +V.pl +p1660 +tp1661 +a(g185 +V +tp1662 +a(g185 +V.py +p1663 +tp1664 +a(g185 +V +tp1665 +a(g185 +V\u000a +tp1666 +a(g53 +VAddIcon +p1667 +tp1668 +a(g185 +V +tp1669 +a(g271 +V/icons/f.gif +p1670 +tp1671 +a(g185 +V +tp1672 +a(g185 +V.for +p1673 +tp1674 +a(g185 +V +tp1675 +a(g185 +V\u000a +tp1676 +a(g53 +VAddIcon +p1677 +tp1678 +a(g185 +V +tp1679 +a(g271 +V/icons/dvi.gif +p1680 +tp1681 +a(g185 +V +tp1682 +a(g185 +V.dvi +p1683 +tp1684 +a(g185 +V +tp1685 +a(g185 +V\u000a +tp1686 +a(g53 +VAddIcon +p1687 +tp1688 +a(g185 +V +tp1689 +a(g271 +V/icons/uuencoded.gif +p1690 +tp1691 +a(g185 +V +tp1692 +a(g185 +V.uu +p1693 +tp1694 +a(g185 +V +tp1695 +a(g185 +V\u000a +tp1696 +a(g53 +VAddIcon +p1697 +tp1698 +a(g185 +V +tp1699 +a(g271 +V/icons/script.gif +p1700 +tp1701 +a(g185 +V +tp1702 +a(g185 +V.conf +p1703 +tp1704 +a(g185 +V +tp1705 +a(g185 +V.sh +p1706 +tp1707 +a(g185 +V +tp1708 +a(g185 +V.shar +p1709 +tp1710 +a(g185 +V +tp1711 +a(g185 +V.csh +p1712 +tp1713 +a(g185 +V +tp1714 +a(g185 +V.ksh +p1715 +tp1716 +a(g185 +V +tp1717 +a(g185 +V.tcl +p1718 +tp1719 +a(g185 +V +tp1720 +a(g185 +V\u000a +tp1721 +a(g53 +VAddIcon +p1722 +tp1723 +a(g185 +V +tp1724 +a(g271 +V/icons/tex.gif +p1725 +tp1726 +a(g185 +V +tp1727 +a(g185 +V.tex +p1728 +tp1729 +a(g185 +V +tp1730 +a(g185 +V\u000a +tp1731 +a(g53 +VAddIcon +p1732 +tp1733 +a(g185 +V +tp1734 +a(g271 +V/icons/bomb.gif +p1735 +tp1736 +a(g185 +V +tp1737 +a(g185 +Vcore +p1738 +tp1739 +a(g185 +V +tp1740 +a(g185 +V\u000a\u000a +p1741 +tp1742 +a(g53 +VAddIcon +p1743 +tp1744 +a(g185 +V +tp1745 +a(g271 +V/icons/back.gif +p1746 +tp1747 +a(g185 +V +tp1748 +a(g185 +V.. +p1749 +tp1750 +a(g185 +V +tp1751 +a(g185 +V\u000a +tp1752 +a(g53 +VAddIcon +p1753 +tp1754 +a(g185 +V +tp1755 +a(g271 +V/icons/hand.right.gif +p1756 +tp1757 +a(g185 +V +tp1758 +a(g185 +VREADME +p1759 +tp1760 +a(g185 +V +tp1761 +a(g185 +V\u000a +tp1762 +a(g53 +VAddIcon +p1763 +tp1764 +a(g185 +V +tp1765 +a(g271 +V/icons/folder.gif +p1766 +tp1767 +a(g185 +V +tp1768 +a(g185 +V^^DIRECTORY^^ +p1769 +tp1770 +a(g185 +V +tp1771 +a(g185 +V\u000a +tp1772 +a(g53 +VAddIcon +p1773 +tp1774 +a(g185 +V +tp1775 +a(g271 +V/icons/blank.gif +p1776 +tp1777 +a(g185 +V +tp1778 +a(g185 +V^^BLANKICON^^ +p1779 +tp1780 +a(g185 +V +tp1781 +a(g185 +V\u000a\u000a\u000a +p1782 +tp1783 +a(g6 +V# This is from Matty J's patch. Anyone want to make the icons? +p1784 +tp1785 +a(g185 +V\u000a +tp1786 +a(g6 +V#AddIcon /icons/dirsymlink.jpg ^^SYMDIR^^ +p1787 +tp1788 +a(g185 +V\u000a +tp1789 +a(g6 +V#AddIcon /icons/symlink.jpg ^^SYMLINK^^ +p1790 +tp1791 +a(g185 +V\u000a\u000a +p1792 +tp1793 +a(g53 +VDefaultIcon +p1794 +tp1795 +a(g185 +V +tp1796 +a(g271 +V/icons/unknown.gif +p1797 +tp1798 +a(g185 +V +tp1799 +a(g185 +V\u000a\u000a +p1800 +tp1801 +a(g53 +VReadmeName +p1802 +tp1803 +a(g185 +V +tp1804 +a(g185 +VREADME.html +p1805 +tp1806 +a(g185 +V +tp1807 +a(g185 +V\u000a +tp1808 +a(g53 +VHeaderName +p1809 +tp1810 +a(g185 +V +tp1811 +a(g185 +VHEADER.html +p1812 +tp1813 +a(g185 +V +tp1814 +a(g185 +V\u000a\u000a +p1815 +tp1816 +a(g53 +VIndexIgnore +p1817 +tp1818 +a(g185 +V +tp1819 +a(g185 +V.??* +p1820 +tp1821 +a(g185 +V +tp1822 +a(g185 +V*~ +p1823 +tp1824 +a(g185 +V +tp1825 +a(g185 +V*# +p1826 +tp1827 +a(g185 +V +tp1828 +a(g185 +VHEADER* +p1829 +tp1830 +a(g185 +V +tp1831 +a(g185 +VRCS +p1832 +tp1833 +a(g185 +V +tp1834 +a(g185 +VCVS +p1835 +tp1836 +a(g185 +V +tp1837 +a(g185 +V*,t +p1838 +tp1839 +a(g185 +V +tp1840 +a(g185 +V\u000a\u000a +p1841 +tp1842 +a(g53 +VAddEncoding +p1843 +tp1844 +a(g185 +V +tp1845 +a(g185 +Vx-compress +p1846 +tp1847 +a(g185 +V +tp1848 +a(g185 +VZ +tp1849 +a(g185 +V +tp1850 +a(g185 +V\u000a +tp1851 +a(g53 +VAddEncoding +p1852 +tp1853 +a(g185 +V +tp1854 +a(g185 +Vx-gzip +p1855 +tp1856 +a(g185 +V +tp1857 +a(g185 +Vgz +p1858 +tp1859 +a(g185 +V +tp1860 +a(g185 +Vtgz +p1861 +tp1862 +a(g185 +V +tp1863 +a(g185 +V\u000a\u000a +p1864 +tp1865 +a(g53 +VAddLanguage +p1866 +tp1867 +a(g185 +V +tp1868 +a(g185 +Vda +p1869 +tp1870 +a(g185 +V +tp1871 +a(g185 +V.dk +p1872 +tp1873 +a(g185 +V +tp1874 +a(g185 +V\u000a +tp1875 +a(g53 +VAddLanguage +p1876 +tp1877 +a(g185 +V +tp1878 +a(g185 +Vnl +p1879 +tp1880 +a(g185 +V +tp1881 +a(g185 +V.nl +p1882 +tp1883 +a(g185 +V +tp1884 +a(g185 +V\u000a +tp1885 +a(g53 +VAddLanguage +p1886 +tp1887 +a(g185 +V +tp1888 +a(g185 +Ven +p1889 +tp1890 +a(g185 +V +tp1891 +a(g185 +V.en +p1892 +tp1893 +a(g185 +V +tp1894 +a(g185 +V\u000a +tp1895 +a(g53 +VAddLanguage +p1896 +tp1897 +a(g185 +V +tp1898 +a(g185 +Vet +p1899 +tp1900 +a(g185 +V +tp1901 +a(g185 +V.et +p1902 +tp1903 +a(g185 +V +tp1904 +a(g185 +V\u000a +tp1905 +a(g53 +VAddLanguage +p1906 +tp1907 +a(g185 +V +tp1908 +a(g185 +Vfr +p1909 +tp1910 +a(g185 +V +tp1911 +a(g185 +V.fr +p1912 +tp1913 +a(g185 +V +tp1914 +a(g185 +V\u000a +tp1915 +a(g53 +VAddLanguage +p1916 +tp1917 +a(g185 +V +tp1918 +a(g185 +Vde +p1919 +tp1920 +a(g185 +V +tp1921 +a(g185 +V.de +p1922 +tp1923 +a(g185 +V +tp1924 +a(g185 +V\u000a +tp1925 +a(g53 +VAddLanguage +p1926 +tp1927 +a(g185 +V +tp1928 +a(g185 +Vel +p1929 +tp1930 +a(g185 +V +tp1931 +a(g185 +V.el +p1932 +tp1933 +a(g185 +V +tp1934 +a(g185 +V\u000a +tp1935 +a(g53 +VAddLanguage +p1936 +tp1937 +a(g185 +V +tp1938 +a(g185 +Vit +p1939 +tp1940 +a(g185 +V +tp1941 +a(g185 +V.it +p1942 +tp1943 +a(g185 +V +tp1944 +a(g185 +V\u000a +tp1945 +a(g53 +VAddLanguage +p1946 +tp1947 +a(g185 +V +tp1948 +a(g185 +Vja +p1949 +tp1950 +a(g185 +V +tp1951 +a(g185 +V.ja +p1952 +tp1953 +a(g185 +V +tp1954 +a(g185 +V\u000a +tp1955 +a(g53 +VAddLanguage +p1956 +tp1957 +a(g185 +V +tp1958 +a(g185 +Vpl +p1959 +tp1960 +a(g185 +V +tp1961 +a(g185 +V.po +p1962 +tp1963 +a(g185 +V +tp1964 +a(g185 +V\u000a +tp1965 +a(g53 +VAddLanguage +p1966 +tp1967 +a(g185 +V +tp1968 +a(g185 +Vko +p1969 +tp1970 +a(g185 +V +tp1971 +a(g185 +V.ko +p1972 +tp1973 +a(g185 +V +tp1974 +a(g185 +V\u000a +tp1975 +a(g53 +VAddLanguage +p1976 +tp1977 +a(g185 +V +tp1978 +a(g185 +Vpt +p1979 +tp1980 +a(g185 +V +tp1981 +a(g185 +V.pt +p1982 +tp1983 +a(g185 +V +tp1984 +a(g185 +V\u000a +tp1985 +a(g53 +VAddLanguage +p1986 +tp1987 +a(g185 +V +tp1988 +a(g185 +Vno +p1989 +tp1990 +a(g185 +V +tp1991 +a(g185 +V.no +p1992 +tp1993 +a(g185 +V +tp1994 +a(g185 +V\u000a +tp1995 +a(g53 +VAddLanguage +p1996 +tp1997 +a(g185 +V +tp1998 +a(g185 +Vpt-br +p1999 +tp2000 +a(g185 +V +tp2001 +a(g185 +V.pt-br +p2002 +tp2003 +a(g185 +V +tp2004 +a(g185 +V\u000a +tp2005 +a(g53 +VAddLanguage +p2006 +tp2007 +a(g185 +V +tp2008 +a(g185 +Vltz +p2009 +tp2010 +a(g185 +V +tp2011 +a(g185 +V.ltz +p2012 +tp2013 +a(g185 +V +tp2014 +a(g185 +V\u000a +tp2015 +a(g53 +VAddLanguage +p2016 +tp2017 +a(g185 +V +tp2018 +a(g185 +Vca +p2019 +tp2020 +a(g185 +V +tp2021 +a(g185 +V.ca +p2022 +tp2023 +a(g185 +V +tp2024 +a(g185 +V\u000a +tp2025 +a(g53 +VAddLanguage +p2026 +tp2027 +a(g185 +V +tp2028 +a(g185 +Ves +p2029 +tp2030 +a(g185 +V +tp2031 +a(g185 +V.es +p2032 +tp2033 +a(g185 +V +tp2034 +a(g185 +V\u000a +tp2035 +a(g53 +VAddLanguage +p2036 +tp2037 +a(g185 +V +tp2038 +a(g185 +Vsv +p2039 +tp2040 +a(g185 +V +tp2041 +a(g185 +V.se +p2042 +tp2043 +a(g185 +V +tp2044 +a(g185 +V\u000a +tp2045 +a(g53 +VAddLanguage +p2046 +tp2047 +a(g185 +V +tp2048 +a(g185 +Vcz +p2049 +tp2050 +a(g185 +V +tp2051 +a(g185 +V.cz +p2052 +tp2053 +a(g185 +V +tp2054 +a(g185 +V\u000a +tp2055 +a(g53 +VAddLanguage +p2056 +tp2057 +a(g185 +V +tp2058 +a(g185 +Vru +p2059 +tp2060 +a(g185 +V +tp2061 +a(g185 +V.ru +p2062 +tp2063 +a(g185 +V +tp2064 +a(g185 +V\u000a +tp2065 +a(g53 +VAddLanguage +p2066 +tp2067 +a(g185 +V +tp2068 +a(g185 +Vtw +p2069 +tp2070 +a(g185 +V +tp2071 +a(g185 +V.tw +p2072 +tp2073 +a(g185 +V +tp2074 +a(g185 +V\u000a +tp2075 +a(g53 +VAddLanguage +p2076 +tp2077 +a(g185 +V +tp2078 +a(g185 +Vzh-tw +p2079 +tp2080 +a(g185 +V +tp2081 +a(g185 +V.tw +p2082 +tp2083 +a(g185 +V +tp2084 +a(g185 +V\u000a\u000a +p2085 +tp2086 +a(g53 +VLanguagePriority +p2087 +tp2088 +a(g185 +V +tp2089 +a(g185 +Ven +p2090 +tp2091 +a(g185 +V +tp2092 +a(g185 +Vda +p2093 +tp2094 +a(g185 +V +tp2095 +a(g185 +Vnl +p2096 +tp2097 +a(g185 +V +tp2098 +a(g185 +Vet +p2099 +tp2100 +a(g185 +V +tp2101 +a(g185 +Vfr +p2102 +tp2103 +a(g185 +V +tp2104 +a(g185 +Vde +p2105 +tp2106 +a(g185 +V +tp2107 +a(g185 +Vel +p2108 +tp2109 +a(g185 +V +tp2110 +a(g185 +Vit +p2111 +tp2112 +a(g185 +V +tp2113 +a(g185 +Vja +p2114 +tp2115 +a(g185 +V +tp2116 +a(g185 +Vko +p2117 +tp2118 +a(g185 +V +tp2119 +a(g185 +Vno +p2120 +tp2121 +a(g185 +V +tp2122 +a(g185 +Vpl +p2123 +tp2124 +a(g185 +V +tp2125 +a(g185 +Vpt +p2126 +tp2127 +a(g185 +V +tp2128 +a(g185 +Vpt-br +p2129 +tp2130 +a(g185 +V +tp2131 +a(g185 +Vltz +p2132 +tp2133 +a(g185 +V +tp2134 +a(g185 +Vca +p2135 +tp2136 +a(g185 +V +tp2137 +a(g185 +Ves +p2138 +tp2139 +a(g185 +V +tp2140 +a(g185 +Vsv +p2141 +tp2142 +a(g185 +V +tp2143 +a(g185 +Vtw +p2144 +tp2145 +a(g185 +V +tp2146 +a(g185 +V\u000a\u000a\u000a +p2147 +tp2148 +a(g6 +V#AddDefaultCharset ISO-8859-1 +p2149 +tp2150 +a(g185 +V\u000a\u000a +p2151 +tp2152 +a(g53 +VAddCharset +p2153 +tp2154 +a(g185 +V +tp2155 +a(g185 +VISO-8859-1 +p2156 +tp2157 +a(g185 +V +p2158 +tp2159 +a(g185 +V.iso8859-1 +p2160 +tp2161 +a(g185 +V +p2162 +tp2163 +a(g185 +V.latin1 +p2164 +tp2165 +a(g185 +V +tp2166 +a(g185 +V\u000a +tp2167 +a(g53 +VAddCharset +p2168 +tp2169 +a(g185 +V +tp2170 +a(g185 +VISO-8859-2 +p2171 +tp2172 +a(g185 +V +p2173 +tp2174 +a(g185 +V.iso8859-2 +p2175 +tp2176 +a(g185 +V +p2177 +tp2178 +a(g185 +V.latin2 +p2179 +tp2180 +a(g185 +V +tp2181 +a(g185 +V.cen +p2182 +tp2183 +a(g185 +V +tp2184 +a(g185 +V\u000a +tp2185 +a(g53 +VAddCharset +p2186 +tp2187 +a(g185 +V +tp2188 +a(g185 +VISO-8859-3 +p2189 +tp2190 +a(g185 +V +p2191 +tp2192 +a(g185 +V.iso8859-3 +p2193 +tp2194 +a(g185 +V +p2195 +tp2196 +a(g185 +V.latin3 +p2197 +tp2198 +a(g185 +V +tp2199 +a(g185 +V\u000a +tp2200 +a(g53 +VAddCharset +p2201 +tp2202 +a(g185 +V +tp2203 +a(g185 +VISO-8859-4 +p2204 +tp2205 +a(g185 +V +p2206 +tp2207 +a(g185 +V.iso8859-4 +p2208 +tp2209 +a(g185 +V +p2210 +tp2211 +a(g185 +V.latin4 +p2212 +tp2213 +a(g185 +V +tp2214 +a(g185 +V\u000a +tp2215 +a(g53 +VAddCharset +p2216 +tp2217 +a(g185 +V +tp2218 +a(g185 +VISO-8859-5 +p2219 +tp2220 +a(g185 +V +p2221 +tp2222 +a(g185 +V.iso8859-5 +p2223 +tp2224 +a(g185 +V +p2225 +tp2226 +a(g185 +V.latin5 +p2227 +tp2228 +a(g185 +V +tp2229 +a(g185 +V.cyr +p2230 +tp2231 +a(g185 +V +tp2232 +a(g185 +V.iso-ru +p2233 +tp2234 +a(g185 +V +tp2235 +a(g185 +V\u000a +tp2236 +a(g53 +VAddCharset +p2237 +tp2238 +a(g185 +V +tp2239 +a(g185 +VISO-8859-6 +p2240 +tp2241 +a(g185 +V +p2242 +tp2243 +a(g185 +V.iso8859-6 +p2244 +tp2245 +a(g185 +V +p2246 +tp2247 +a(g185 +V.latin6 +p2248 +tp2249 +a(g185 +V +tp2250 +a(g185 +V.arb +p2251 +tp2252 +a(g185 +V +tp2253 +a(g185 +V\u000a +tp2254 +a(g53 +VAddCharset +p2255 +tp2256 +a(g185 +V +tp2257 +a(g185 +VISO-8859-7 +p2258 +tp2259 +a(g185 +V +p2260 +tp2261 +a(g185 +V.iso8859-7 +p2262 +tp2263 +a(g185 +V +p2264 +tp2265 +a(g185 +V.latin7 +p2266 +tp2267 +a(g185 +V +tp2268 +a(g185 +V.grk +p2269 +tp2270 +a(g185 +V +tp2271 +a(g185 +V\u000a +tp2272 +a(g53 +VAddCharset +p2273 +tp2274 +a(g185 +V +tp2275 +a(g185 +VISO-8859-8 +p2276 +tp2277 +a(g185 +V +p2278 +tp2279 +a(g185 +V.iso8859-8 +p2280 +tp2281 +a(g185 +V +p2282 +tp2283 +a(g185 +V.latin8 +p2284 +tp2285 +a(g185 +V +tp2286 +a(g185 +V.heb +p2287 +tp2288 +a(g185 +V +tp2289 +a(g185 +V +tp2290 +a(g185 +V\u000a +tp2291 +a(g53 +VAddCharset +p2292 +tp2293 +a(g185 +V +tp2294 +a(g185 +VISO-8859-9 +p2295 +tp2296 +a(g185 +V +p2297 +tp2298 +a(g185 +V.iso8859-9 +p2299 +tp2300 +a(g185 +V +p2301 +tp2302 +a(g185 +V.latin9 +p2303 +tp2304 +a(g185 +V +tp2305 +a(g185 +V.trk +p2306 +tp2307 +a(g185 +V +tp2308 +a(g185 +V\u000a +tp2309 +a(g53 +VAddCharset +p2310 +tp2311 +a(g185 +V +tp2312 +a(g185 +VISO-2022-JP +p2313 +tp2314 +a(g185 +V +tp2315 +a(g185 +V.iso2022-jp +p2316 +tp2317 +a(g185 +V +tp2318 +a(g185 +V.jis +p2319 +tp2320 +a(g185 +V +tp2321 +a(g185 +V\u000a +tp2322 +a(g53 +VAddCharset +p2323 +tp2324 +a(g185 +V +tp2325 +a(g185 +VISO-2022-KR +p2326 +tp2327 +a(g185 +V +tp2328 +a(g185 +V.iso2022-kr +p2329 +tp2330 +a(g185 +V +tp2331 +a(g185 +V.kis +p2332 +tp2333 +a(g185 +V +tp2334 +a(g185 +V\u000a +tp2335 +a(g53 +VAddCharset +p2336 +tp2337 +a(g185 +V +tp2338 +a(g185 +VISO-2022-CN +p2339 +tp2340 +a(g185 +V +tp2341 +a(g185 +V.iso2022-cn +p2342 +tp2343 +a(g185 +V +tp2344 +a(g185 +V.cis +p2345 +tp2346 +a(g185 +V +tp2347 +a(g185 +V\u000a +tp2348 +a(g53 +VAddCharset +p2349 +tp2350 +a(g185 +V +tp2351 +a(g185 +VBig5 +p2352 +tp2353 +a(g185 +V +p2354 +tp2355 +a(g185 +V.Big5 +p2356 +tp2357 +a(g185 +V +p2358 +tp2359 +a(g185 +V.big5 +p2360 +tp2361 +a(g185 +V +tp2362 +a(g185 +V\u000a +tp2363 +a(g6 +V# For russian, more than one charset is used (depends on client, mostly): +p2364 +tp2365 +a(g185 +V\u000a +tp2366 +a(g53 +VAddCharset +p2367 +tp2368 +a(g185 +V +tp2369 +a(g185 +VWINDOWS-1251 +p2370 +tp2371 +a(g185 +V +tp2372 +a(g185 +V.cp-1251 +p2373 +tp2374 +a(g185 +V +p2375 +tp2376 +a(g185 +V.win-1251 +p2377 +tp2378 +a(g185 +V +tp2379 +a(g185 +V\u000a +tp2380 +a(g53 +VAddCharset +p2381 +tp2382 +a(g185 +V +tp2383 +a(g185 +VCP866 +p2384 +tp2385 +a(g185 +V +p2386 +tp2387 +a(g185 +V.cp866 +p2388 +tp2389 +a(g185 +V +tp2390 +a(g185 +V\u000a +tp2391 +a(g53 +VAddCharset +p2392 +tp2393 +a(g185 +V +tp2394 +a(g185 +VKOI8-r +p2395 +tp2396 +a(g185 +V +p2397 +tp2398 +a(g185 +V.koi8-r +p2399 +tp2400 +a(g185 +V +tp2401 +a(g185 +V.koi8-ru +p2402 +tp2403 +a(g185 +V +tp2404 +a(g185 +V\u000a +tp2405 +a(g53 +VAddCharset +p2406 +tp2407 +a(g185 +V +tp2408 +a(g185 +VKOI8-ru +p2409 +tp2410 +a(g185 +V +p2411 +tp2412 +a(g185 +V.koi8-uk +p2413 +tp2414 +a(g185 +V +tp2415 +a(g185 +V.ua +p2416 +tp2417 +a(g185 +V +tp2418 +a(g185 +V\u000a +tp2419 +a(g53 +VAddCharset +p2420 +tp2421 +a(g185 +V +tp2422 +a(g185 +VISO-10646-UCS-2 +p2423 +tp2424 +a(g185 +V +tp2425 +a(g185 +V.ucs2 +p2426 +tp2427 +a(g185 +V +tp2428 +a(g185 +V\u000a +tp2429 +a(g53 +VAddCharset +p2430 +tp2431 +a(g185 +V +tp2432 +a(g185 +VISO-10646-UCS-4 +p2433 +tp2434 +a(g185 +V +tp2435 +a(g185 +V.ucs4 +p2436 +tp2437 +a(g185 +V +tp2438 +a(g185 +V\u000a +tp2439 +a(g53 +VAddCharset +p2440 +tp2441 +a(g185 +V +tp2442 +a(g185 +VUTF-8 +p2443 +tp2444 +a(g185 +V +p2445 +tp2446 +a(g185 +V.utf8 +p2447 +tp2448 +a(g185 +V +tp2449 +a(g185 +V\u000a\u000a +p2450 +tp2451 +a(g53 +VAddCharset +p2452 +tp2453 +a(g185 +V +tp2454 +a(g185 +VGB2312 +p2455 +tp2456 +a(g185 +V +p2457 +tp2458 +a(g185 +V.gb2312 +p2459 +tp2460 +a(g185 +V +tp2461 +a(g185 +V.gb +p2462 +tp2463 +a(g185 +V +tp2464 +a(g185 +V +tp2465 +a(g185 +V\u000a +tp2466 +a(g53 +VAddCharset +p2467 +tp2468 +a(g185 +V +tp2469 +a(g185 +Vutf-7 +p2470 +tp2471 +a(g185 +V +p2472 +tp2473 +a(g185 +V.utf7 +p2474 +tp2475 +a(g185 +V +tp2476 +a(g185 +V\u000a +tp2477 +a(g53 +VAddCharset +p2478 +tp2479 +a(g185 +V +tp2480 +a(g185 +Vutf-8 +p2481 +tp2482 +a(g185 +V +p2483 +tp2484 +a(g185 +V.utf8 +p2485 +tp2486 +a(g185 +V +tp2487 +a(g185 +V\u000a +tp2488 +a(g53 +VAddCharset +p2489 +tp2490 +a(g185 +V +tp2491 +a(g185 +Vbig5 +p2492 +tp2493 +a(g185 +V +p2494 +tp2495 +a(g185 +V.big5 +p2496 +tp2497 +a(g185 +V +tp2498 +a(g185 +V.b5 +p2499 +tp2500 +a(g185 +V +tp2501 +a(g185 +V\u000a +tp2502 +a(g53 +VAddCharset +p2503 +tp2504 +a(g185 +V +tp2505 +a(g185 +VEUC-TW +p2506 +tp2507 +a(g185 +V +p2508 +tp2509 +a(g185 +V.euc-tw +p2510 +tp2511 +a(g185 +V +tp2512 +a(g185 +V +tp2513 +a(g185 +V\u000a +tp2514 +a(g53 +VAddCharset +p2515 +tp2516 +a(g185 +V +tp2517 +a(g185 +VEUC-JP +p2518 +tp2519 +a(g185 +V +p2520 +tp2521 +a(g185 +V.euc-jp +p2522 +tp2523 +a(g185 +V +tp2524 +a(g185 +V\u000a +tp2525 +a(g53 +VAddCharset +p2526 +tp2527 +a(g185 +V +tp2528 +a(g185 +VEUC-KR +p2529 +tp2530 +a(g185 +V +p2531 +tp2532 +a(g185 +V.euc-kr +p2533 +tp2534 +a(g185 +V +tp2535 +a(g185 +V\u000a +tp2536 +a(g53 +VAddCharset +p2537 +tp2538 +a(g185 +V +tp2539 +a(g185 +Vshift_jis +p2540 +tp2541 +a(g185 +V +p2542 +tp2543 +a(g185 +V.sjis +p2544 +tp2545 +a(g185 +V +tp2546 +a(g185 +V\u000a\u000a +p2547 +tp2548 +a(g6 +V#AddType application/x-httpd-php .php +p2549 +tp2550 +a(g185 +V\u000a +tp2551 +a(g6 +V#AddType application/x-httpd-php-source .phps +p2552 +tp2553 +a(g185 +V\u000a\u000a +p2554 +tp2555 +a(g53 +VAddType +p2556 +tp2557 +a(g185 +V +tp2558 +a(g185 +Vapplication/x-tar +p2559 +tp2560 +a(g185 +V +tp2561 +a(g185 +V.tgz +p2562 +tp2563 +a(g185 +V +tp2564 +a(g185 +V\u000a\u000a +p2565 +tp2566 +a(g6 +V# To use CGI scripts outside /cgi-bin/: +p2567 +tp2568 +a(g185 +V\u000a +tp2569 +a(g6 +V# +tp2570 +a(g185 +V\u000a +tp2571 +a(g6 +V#AddHandler cgi-script .cgi +p2572 +tp2573 +a(g185 +V\u000a\u000a +p2574 +tp2575 +a(g6 +V# To use server-parsed HTML files +p2576 +tp2577 +a(g185 +V\u000a +tp2578 +a(g6 +V# +tp2579 +a(g185 +V\u000a +tp2580 +a(g25 +V +tp2586 +a(g185 +V\u000a +p2587 +tp2588 +a(g53 +VSetOutputFilter +p2589 +tp2590 +a(g185 +V +tp2591 +a(g185 +VINCLUDES +p2592 +tp2593 +a(g185 +V +tp2594 +a(g185 +V\u000a +tp2595 +a(g25 +V +tp2598 +a(g185 +V\u000a\u000a +p2599 +tp2600 +a(g6 +V# If you wish to use server-parsed imagemap files, use +p2601 +tp2602 +a(g185 +V\u000a +tp2603 +a(g6 +V# +tp2604 +a(g185 +V\u000a +tp2605 +a(g6 +V#AddHandler imap-file map +p2606 +tp2607 +a(g185 +V\u000a\u000a +p2608 +tp2609 +a(g53 +VBrowserMatch +p2610 +tp2611 +a(g185 +V +tp2612 +a(g241 +V"Mozilla/2" +p2613 +tp2614 +a(g185 +V +tp2615 +a(g185 +Vnokeepalive +p2616 +tp2617 +a(g185 +V +tp2618 +a(g185 +V\u000a +tp2619 +a(g53 +VBrowserMatch +p2620 +tp2621 +a(g185 +V +tp2622 +a(g241 +V"MSIE 4\u005c.0b2;" +p2623 +tp2624 +a(g185 +V +tp2625 +a(g185 +Vnokeepalive +p2626 +tp2627 +a(g185 +V +tp2628 +a(g185 +Vdowngrade-1.0 +p2629 +tp2630 +a(g185 +V +tp2631 +a(g185 +Vforce-response-1.0 +p2632 +tp2633 +a(g185 +V +tp2634 +a(g185 +V\u000a +tp2635 +a(g53 +VBrowserMatch +p2636 +tp2637 +a(g185 +V +tp2638 +a(g241 +V"RealPlayer 4\u005c.0" +p2639 +tp2640 +a(g185 +V +tp2641 +a(g185 +Vforce-response-1.0 +p2642 +tp2643 +a(g185 +V +tp2644 +a(g185 +V\u000a +tp2645 +a(g53 +VBrowserMatch +p2646 +tp2647 +a(g185 +V +tp2648 +a(g241 +V"Java/1\u005c.0" +p2649 +tp2650 +a(g185 +V +tp2651 +a(g185 +Vforce-response-1.0 +p2652 +tp2653 +a(g185 +V +tp2654 +a(g185 +V\u000a +tp2655 +a(g53 +VBrowserMatch +p2656 +tp2657 +a(g185 +V +tp2658 +a(g241 +V"JDK/1\u005c.0" +p2659 +tp2660 +a(g185 +V +tp2661 +a(g185 +Vforce-response-1.0 +p2662 +tp2663 +a(g185 +V +tp2664 +a(g185 +V\u000a\u000a +p2665 +tp2666 +a(g6 +V# +tp2667 +a(g185 +V\u000a +tp2668 +a(g6 +V# The following directive disables redirects on non-GET requests for +p2669 +tp2670 +a(g185 +V\u000a +tp2671 +a(g6 +V# a directory that does not include the trailing slash. This fixes a +p2672 +tp2673 +a(g185 +V\u000a +tp2674 +a(g6 +V# problem with Microsoft WebFolders which does not appropriately handle +p2675 +tp2676 +a(g185 +V\u000a +tp2677 +a(g6 +V# redirects for folders with DAV methods. +p2678 +tp2679 +a(g185 +V\u000a +tp2680 +a(g6 +V# +tp2681 +a(g185 +V\u000a\u000a +p2682 +tp2683 +a(g53 +VBrowserMatch +p2684 +tp2685 +a(g185 +V +tp2686 +a(g241 +V"Microsoft Data Access Internet Publishing Provider" +p2687 +tp2688 +a(g185 +V +tp2689 +a(g185 +Vredirect-carefully +p2690 +tp2691 +a(g185 +V +tp2692 +a(g185 +V\u000a +tp2693 +a(g53 +VBrowserMatch +p2694 +tp2695 +a(g185 +V +tp2696 +a(g241 +V"^WebDrive" +p2697 +tp2698 +a(g185 +V +tp2699 +a(g185 +Vredirect-carefully +p2700 +tp2701 +a(g185 +V +tp2702 +a(g185 +V\u000a +tp2703 +a(g53 +VBrowserMatch +p2704 +tp2705 +a(g185 +V +tp2706 +a(g241 +V"^gnome-vfs" +p2707 +tp2708 +a(g185 +V +tp2709 +a(g185 +Vredirect-carefully +p2710 +tp2711 +a(g185 +V +tp2712 +a(g185 +V +tp2713 +a(g185 +V\u000a +tp2714 +a(g53 +VBrowserMatch +p2715 +tp2716 +a(g185 +V +tp2717 +a(g241 +V"^WebDAVFS/1.[012]" +p2718 +tp2719 +a(g185 +V +tp2720 +a(g185 +Vredirect-carefully +p2721 +tp2722 +a(g185 +V +tp2723 +a(g185 +V\u000a\u000a +p2724 +tp2725 +a(g6 +V# Allow server status reports, with the URL of http://servername/server-status +p2726 +tp2727 +a(g185 +V\u000a +tp2728 +a(g6 +V# Change the ".your_domain.com" to match your domain to enable. +p2729 +tp2730 +a(g185 +V\u000a +tp2731 +a(g6 +V# +tp2732 +a(g185 +V\u000a +tp2733 +a(g6 +V# +p2734 +tp2735 +a(g185 +V\u000a +tp2736 +a(g6 +V# SetHandler server-status +p2737 +tp2738 +a(g185 +V\u000a +tp2739 +a(g6 +V# Order deny,allow +p2740 +tp2741 +a(g185 +V\u000a +tp2742 +a(g6 +V# Deny from all +p2743 +tp2744 +a(g185 +V\u000a +tp2745 +a(g6 +V# Allow from .your_domain.com +p2746 +tp2747 +a(g185 +V\u000a +tp2748 +a(g6 +V# +p2749 +tp2750 +a(g185 +V\u000a\u000a +p2751 +tp2752 +a(g6 +V# Allow remote server configuration reports, with the URL of +p2753 +tp2754 +a(g185 +V\u000a +tp2755 +a(g6 +V# http://servername/server-info (requires that mod_info.c be loaded). +p2756 +tp2757 +a(g185 +V\u000a +tp2758 +a(g6 +V# Change the ".your_domain.com" to match your domain to enable. +p2759 +tp2760 +a(g185 +V\u000a +tp2761 +a(g6 +V# +tp2762 +a(g185 +V\u000a +tp2763 +a(g6 +V# +p2764 +tp2765 +a(g185 +V\u000a +tp2766 +a(g6 +V# SetHandler server-info +p2767 +tp2768 +a(g185 +V\u000a +tp2769 +a(g6 +V# Order deny,allow +p2770 +tp2771 +a(g185 +V\u000a +tp2772 +a(g6 +V# Deny from all +p2773 +tp2774 +a(g185 +V\u000a +tp2775 +a(g6 +V# Allow from .your_domain.com +p2776 +tp2777 +a(g185 +V\u000a +tp2778 +a(g6 +V# +p2779 +tp2780 +a(g185 +V\u000a\u000a +p2781 +tp2782 +a(g6 +V# Include the virtual host configurations: +p2783 +tp2784 +a(g185 +V\u000a +tp2785 +a(g53 +VInclude +p2786 +tp2787 +a(g185 +V +tp2788 +a(g271 +V/etc/apache2/sites-enabled/ +p2789 +tp2790 +a(g185 +V[^.#]* +p2791 +tp2792 +a(g185 +V +tp2793 +a(g185 +V\u000a +tp2794 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/as3_test.as b/tests/examplefiles/output/as3_test.as new file mode 100644 index 0000000..b5e6b34 --- /dev/null +++ b/tests/examplefiles/output/as3_test.as @@ -0,0 +1,4273 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +p367 +tp368 +a(g138 +Vimport +p369 +tp370 +a(g6 +V +tp371 +a(g126 +Vflash.events.MouseEvent +p372 +tp373 +a(g357 +V; +tp374 +a(g6 +V\u000a +p375 +tp376 +a(g138 +Vimport +p377 +tp378 +a(g6 +V +tp379 +a(g126 +Vcom.example.programmingas3.playlist.PlayList +p380 +tp381 +a(g357 +V; +tp382 +a(g6 +V\u000a +p383 +tp384 +a(g138 +Vimport +p385 +tp386 +a(g6 +V +tp387 +a(g126 +Vcom.example.programmingas3.playlist.Song +p388 +tp389 +a(g357 +V; +tp390 +a(g6 +V\u000a +p391 +tp392 +a(g138 +Vimport +p393 +tp394 +a(g6 +V +tp395 +a(g126 +Vcom.example.programmingas3.playlist.SortProperty +p396 +tp397 +a(g357 +V; +tp398 +a(g6 +V\u000a\u000a +p399 +tp400 +a(g31 +V// constants for the different "states" of the song form\u000a +p401 +tp402 +a(g6 +V +p403 +tp404 +a(g158 +Vprivate +p405 +tp406 +a(g6 +V +tp407 +a(g158 +Vstatic +p408 +tp409 +a(g6 +V +tp410 +a(g158 +Vconst +p411 +tp412 +a(g6 +V +tp413 +a(g45 +VADD_SONG +p414 +tp415 +a(g216 +V: +tp416 +a(g166 +Vuint +p417 +tp418 +a(g6 +V +tp419 +a(g357 +V= +tp420 +a(g6 +V +tp421 +a(g332 +V1 +tp422 +a(g357 +V; +tp423 +a(g6 +V\u000a +p424 +tp425 +a(g158 +Vprivate +p426 +tp427 +a(g6 +V +tp428 +a(g158 +Vstatic +p429 +tp430 +a(g6 +V +tp431 +a(g158 +Vconst +p432 +tp433 +a(g6 +V +tp434 +a(g45 +VSONG_DETAIL +p435 +tp436 +a(g216 +V: +tp437 +a(g166 +Vuint +p438 +tp439 +a(g6 +V +tp440 +a(g357 +V= +tp441 +a(g6 +V +tp442 +a(g332 +V2 +tp443 +a(g357 +V; +tp444 +a(g6 +V\u000a \u000a +p445 +tp446 +a(g158 +Vprivate +p447 +tp448 +a(g6 +V +tp449 +a(g158 +Vvar +p450 +tp451 +a(g6 +V +tp452 +a(g45 +VplayList +p453 +tp454 +a(g216 +V: +tp455 +a(g166 +VPlayList +p456 +tp457 +a(g6 +V +tp458 +a(g357 +V= +tp459 +a(g6 +V +tp460 +a(g138 +Vnew +p461 +tp462 +a(g6 +V +tp463 +a(g166 +VPlayList +p464 +tp465 +a(g357 +V( +tp466 +a(g357 +V); +p467 +tp468 +a(g6 +V\u000a\u000a +p469 +tp470 +a(g158 +Vprivate +p471 +tp472 +a(g6 +V +tp473 +a(g158 +Vfunction +p474 +tp475 +a(g48 +VinitApp +p476 +tp477 +a(g357 +V( +tp478 +a(g357 +V) +tp479 +a(g357 +V: +tp480 +a(g166 +Vvoid +p481 +tp482 +a(g6 +V\u000a +p483 +tp484 +a(g357 +V{ +tp485 +a(g6 +V\u000a +p486 +tp487 +a(g31 +V// set the initial state of the song form, for adding a new song\u000a +p488 +tp489 +a(g6 +V +p490 +tp491 +a(g45 +VsetFormState +p492 +tp493 +a(g357 +V( +tp494 +a(g45 +VADD_SONG +p495 +tp496 +a(g357 +V); +p497 +tp498 +a(g6 +V\u000a \u000a +p499 +tp500 +a(g31 +V// prepopulate the list with a few songs\u000a +p501 +tp502 +a(g6 +V +p503 +tp504 +a(g45 +VplayList +p505 +tp506 +a(g357 +V. +tp507 +a(g68 +VaddSong +p508 +tp509 +a(g357 +V( +tp510 +a(g138 +Vnew +p511 +tp512 +a(g6 +V +tp513 +a(g166 +VSong +p514 +tp515 +a(g357 +V( +tp516 +a(g259 +V"Nessun Dorma" +p517 +tp518 +a(g357 +V, +tp519 +a(g6 +V +tp520 +a(g259 +V"Luciano Pavarotti" +p521 +tp522 +a(g357 +V, +tp523 +a(g6 +V +tp524 +a(g332 +V1990 +p525 +tp526 +a(g357 +V, +tp527 +a(g6 +V +tp528 +a(g259 +V"nessundorma.mp3" +p529 +tp530 +a(g357 +V, +tp531 +a(g6 +V +tp532 +a(g357 +V[ +tp533 +a(g259 +V"90's" +p534 +tp535 +a(g357 +V, +tp536 +a(g6 +V +tp537 +a(g259 +V"Opera" +p538 +tp539 +a(g357 +V])); +p540 +tp541 +a(g6 +V\u000a +p542 +tp543 +a(g45 +VplayList +p544 +tp545 +a(g357 +V. +tp546 +a(g68 +VaddSong +p547 +tp548 +a(g357 +V( +tp549 +a(g138 +Vnew +p550 +tp551 +a(g6 +V +tp552 +a(g166 +VSong +p553 +tp554 +a(g357 +V( +tp555 +a(g259 +V"Come Undone" +p556 +tp557 +a(g357 +V, +tp558 +a(g6 +V +tp559 +a(g259 +V"Duran Duran" +p560 +tp561 +a(g357 +V, +tp562 +a(g6 +V +tp563 +a(g332 +V1993 +p564 +tp565 +a(g357 +V, +tp566 +a(g6 +V +tp567 +a(g259 +V"comeundone.mp3" +p568 +tp569 +a(g357 +V, +tp570 +a(g6 +V +tp571 +a(g357 +V[ +tp572 +a(g259 +V"90's" +p573 +tp574 +a(g357 +V, +tp575 +a(g6 +V +tp576 +a(g259 +V"Pop" +p577 +tp578 +a(g357 +V])); +p579 +tp580 +a(g6 +V\u000a +p581 +tp582 +a(g45 +VplayList +p583 +tp584 +a(g357 +V. +tp585 +a(g68 +VaddSong +p586 +tp587 +a(g357 +V( +tp588 +a(g138 +Vnew +p589 +tp590 +a(g6 +V +tp591 +a(g166 +VSong +p592 +tp593 +a(g357 +V( +tp594 +a(g259 +V"Think of Me" +p595 +tp596 +a(g357 +V, +tp597 +a(g6 +V +tp598 +a(g259 +V"Sarah Brightman" +p599 +tp600 +a(g357 +V, +tp601 +a(g6 +V +tp602 +a(g332 +V1987 +p603 +tp604 +a(g357 +V, +tp605 +a(g6 +V +tp606 +a(g259 +V"thinkofme.mp3" +p607 +tp608 +a(g357 +V, +tp609 +a(g6 +V +tp610 +a(g357 +V[ +tp611 +a(g259 +V"Showtunes" +p612 +tp613 +a(g357 +V])); +p614 +tp615 +a(g6 +V\u000a +p616 +tp617 +a(g45 +VplayList +p618 +tp619 +a(g357 +V. +tp620 +a(g68 +VaddSong +p621 +tp622 +a(g357 +V( +tp623 +a(g138 +Vnew +p624 +tp625 +a(g6 +V +tp626 +a(g166 +VSong +p627 +tp628 +a(g357 +V( +tp629 +a(g259 +V"Unbelievable" +p630 +tp631 +a(g357 +V, +tp632 +a(g6 +V +tp633 +a(g259 +V"EMF" +p634 +tp635 +a(g357 +V, +tp636 +a(g6 +V +tp637 +a(g332 +V1991 +p638 +tp639 +a(g357 +V, +tp640 +a(g6 +V +tp641 +a(g259 +V"unbelievable.mp3" +p642 +tp643 +a(g357 +V, +tp644 +a(g6 +V +tp645 +a(g357 +V[ +tp646 +a(g259 +V"90's" +p647 +tp648 +a(g357 +V, +tp649 +a(g6 +V +tp650 +a(g259 +V"Pop" +p651 +tp652 +a(g357 +V])); +p653 +tp654 +a(g6 +V\u000a\u000a +p655 +tp656 +a(g45 +VsongList +p657 +tp658 +a(g357 +V. +tp659 +a(g68 +VdataProvider +p660 +tp661 +a(g6 +V +tp662 +a(g357 +V= +tp663 +a(g6 +V +tp664 +a(g45 +VplayList +p665 +tp666 +a(g357 +V. +tp667 +a(g68 +VsongList +p668 +tp669 +a(g357 +V; +tp670 +a(g6 +V\u000a +p671 +tp672 +a(g357 +V} +tp673 +a(g6 +V\u000a\u000a\u000a +p674 +tp675 +a(g158 +Vprivate +p676 +tp677 +a(g6 +V +tp678 +a(g158 +Vfunction +p679 +tp680 +a(g48 +VsortList +p681 +tp682 +a(g357 +V( +tp683 +a(g45 +VsortField +p684 +tp685 +a(g357 +V: +tp686 +a(g166 +VSortProperty +p687 +tp688 +a(g357 +V +tp689 +a(g357 +V) +tp690 +a(g357 +V: +tp691 +a(g166 +Vvoid +p692 +tp693 +a(g6 +V\u000a +p694 +tp695 +a(g357 +V{ +tp696 +a(g6 +V\u000a +p697 +tp698 +a(g31 +V// Make all the sort type buttons enabled.\u000a +p699 +tp700 +a(g6 +V +p701 +tp702 +a(g31 +V// The active one will be grayed-out below\u000a +p703 +tp704 +a(g6 +V +p705 +tp706 +a(g45 +VsortByTitle +p707 +tp708 +a(g357 +V. +tp709 +a(g68 +Vselected +p710 +tp711 +a(g6 +V +tp712 +a(g357 +V= +tp713 +a(g6 +V +tp714 +a(g144 +Vfalse +p715 +tp716 +a(g357 +V; +tp717 +a(g6 +V\u000a +p718 +tp719 +a(g45 +VsortByArtist +p720 +tp721 +a(g357 +V. +tp722 +a(g68 +Vselected +p723 +tp724 +a(g6 +V +tp725 +a(g357 +V= +tp726 +a(g6 +V +tp727 +a(g144 +Vfalse +p728 +tp729 +a(g357 +V; +tp730 +a(g6 +V\u000a +p731 +tp732 +a(g45 +VsortByYear +p733 +tp734 +a(g357 +V. +tp735 +a(g68 +Vselected +p736 +tp737 +a(g6 +V +tp738 +a(g357 +V= +tp739 +a(g6 +V +tp740 +a(g144 +Vfalse +p741 +tp742 +a(g357 +V; +tp743 +a(g6 +V\u000a\u000a +p744 +tp745 +a(g138 +Vswitch +p746 +tp747 +a(g6 +V +tp748 +a(g357 +V( +tp749 +a(g45 +VsortField +p750 +tp751 +a(g357 +V) +tp752 +a(g6 +V\u000a +p753 +tp754 +a(g357 +V{ +tp755 +a(g6 +V\u000a +p756 +tp757 +a(g138 +Vcase +p758 +tp759 +a(g6 +V +tp760 +a(g45 +VSortProperty +p761 +tp762 +a(g357 +V. +tp763 +a(g68 +VTITLE +p764 +tp765 +a(g357 +V: +tp766 +a(g6 +V\u000a +p767 +tp768 +a(g45 +VsortByTitle +p769 +tp770 +a(g357 +V. +tp771 +a(g68 +Vselected +p772 +tp773 +a(g6 +V +tp774 +a(g357 +V= +tp775 +a(g6 +V +tp776 +a(g144 +Vtrue +p777 +tp778 +a(g357 +V; +tp779 +a(g6 +V\u000a +p780 +tp781 +a(g138 +Vbreak +p782 +tp783 +a(g357 +V; +tp784 +a(g6 +V\u000a +p785 +tp786 +a(g138 +Vcase +p787 +tp788 +a(g6 +V +tp789 +a(g45 +VSortProperty +p790 +tp791 +a(g357 +V. +tp792 +a(g68 +VARTIST +p793 +tp794 +a(g357 +V: +tp795 +a(g6 +V\u000a +p796 +tp797 +a(g45 +VsortByArtist +p798 +tp799 +a(g357 +V. +tp800 +a(g68 +Vselected +p801 +tp802 +a(g6 +V +tp803 +a(g357 +V= +tp804 +a(g6 +V +tp805 +a(g144 +Vtrue +p806 +tp807 +a(g357 +V; +tp808 +a(g6 +V\u000a +p809 +tp810 +a(g138 +Vbreak +p811 +tp812 +a(g357 +V; +tp813 +a(g6 +V\u000a +p814 +tp815 +a(g138 +Vcase +p816 +tp817 +a(g6 +V +tp818 +a(g45 +VSortProperty +p819 +tp820 +a(g357 +V. +tp821 +a(g68 +VYEAR +p822 +tp823 +a(g357 +V: +tp824 +a(g6 +V\u000a +p825 +tp826 +a(g45 +VsortByYear +p827 +tp828 +a(g357 +V. +tp829 +a(g68 +Vselected +p830 +tp831 +a(g6 +V +tp832 +a(g357 +V= +tp833 +a(g6 +V +tp834 +a(g144 +Vtrue +p835 +tp836 +a(g357 +V; +tp837 +a(g6 +V\u000a +p838 +tp839 +a(g138 +Vbreak +p840 +tp841 +a(g357 +V; +tp842 +a(g6 +V\u000a +p843 +tp844 +a(g357 +V} +tp845 +a(g6 +V\u000a\u000a +p846 +tp847 +a(g45 +VplayList +p848 +tp849 +a(g357 +V. +tp850 +a(g68 +VsortList +p851 +tp852 +a(g357 +V( +tp853 +a(g45 +VsortField +p854 +tp855 +a(g357 +V); +p856 +tp857 +a(g6 +V\u000a \u000a +p858 +tp859 +a(g45 +VrefreshList +p860 +tp861 +a(g357 +V(); +p862 +tp863 +a(g6 +V\u000a +p864 +tp865 +a(g357 +V} +tp866 +a(g6 +V\u000a\u000a\u000a +p867 +tp868 +a(g158 +Vprivate +p869 +tp870 +a(g6 +V +tp871 +a(g158 +Vfunction +p872 +tp873 +a(g48 +VrefreshList +p874 +tp875 +a(g357 +V( +tp876 +a(g357 +V) +tp877 +a(g357 +V: +tp878 +a(g166 +Vvoid +p879 +tp880 +a(g6 +V\u000a +p881 +tp882 +a(g357 +V{ +tp883 +a(g6 +V\u000a +p884 +tp885 +a(g31 +V// remember which song was selected\u000a +p886 +tp887 +a(g6 +V +p888 +tp889 +a(g158 +Vvar +p890 +tp891 +a(g6 +V +tp892 +a(g45 +VselectedSong +p893 +tp894 +a(g216 +V: +tp895 +a(g166 +VSong +p896 +tp897 +a(g6 +V +tp898 +a(g357 +V= +tp899 +a(g6 +V +tp900 +a(g45 +VSong +p901 +tp902 +a(g357 +V( +tp903 +a(g45 +VsongList +p904 +tp905 +a(g357 +V. +tp906 +a(g68 +VselectedItem +p907 +tp908 +a(g357 +V); +p909 +tp910 +a(g6 +V\u000a \u000a +p911 +tp912 +a(g31 +V// re-assign the song list as the dataprovider to get the newly sorted list\u000a +p913 +tp914 +a(g6 +V +p915 +tp916 +a(g31 +V// and force the List control to refresh itself\u000a +p917 +tp918 +a(g6 +V +p919 +tp920 +a(g45 +VsongList +p921 +tp922 +a(g357 +V. +tp923 +a(g68 +VdataProvider +p924 +tp925 +a(g6 +V +tp926 +a(g357 +V= +tp927 +a(g6 +V +tp928 +a(g45 +VplayList +p929 +tp930 +a(g357 +V. +tp931 +a(g68 +VsongList +p932 +tp933 +a(g357 +V; +tp934 +a(g6 +V\u000a \u000a +p935 +tp936 +a(g31 +V// reset the song selection\u000a +p937 +tp938 +a(g6 +V +p939 +tp940 +a(g138 +Vif +p941 +tp942 +a(g6 +V +tp943 +a(g357 +V( +tp944 +a(g45 +VselectedSong +p945 +tp946 +a(g6 +V +tp947 +a(g357 +V!= +p948 +tp949 +a(g6 +V +tp950 +a(g144 +Vnull +p951 +tp952 +a(g357 +V) +tp953 +a(g6 +V\u000a +p954 +tp955 +a(g357 +V{ +tp956 +a(g6 +V\u000a +p957 +tp958 +a(g45 +VsongList +p959 +tp960 +a(g357 +V. +tp961 +a(g68 +VselectedItem +p962 +tp963 +a(g6 +V +tp964 +a(g357 +V= +tp965 +a(g6 +V +tp966 +a(g45 +VselectedSong +p967 +tp968 +a(g357 +V; +tp969 +a(g6 +V\u000a +p970 +tp971 +a(g357 +V} +tp972 +a(g6 +V\u000a +p973 +tp974 +a(g357 +V} +tp975 +a(g6 +V\u000a\u000a\u000a +p976 +tp977 +a(g158 +Vprivate +p978 +tp979 +a(g6 +V +tp980 +a(g158 +Vfunction +p981 +tp982 +a(g48 +VsongSelectionChange +p983 +tp984 +a(g357 +V( +tp985 +a(g357 +V) +tp986 +a(g357 +V: +tp987 +a(g166 +Vvoid +p988 +tp989 +a(g6 +V\u000a +p990 +tp991 +a(g357 +V{ +tp992 +a(g6 +V\u000a +p993 +tp994 +a(g138 +Vif +p995 +tp996 +a(g6 +V +tp997 +a(g357 +V( +tp998 +a(g45 +VsongList +p999 +tp1000 +a(g357 +V. +tp1001 +a(g68 +VselectedIndex +p1002 +tp1003 +a(g6 +V +tp1004 +a(g357 +V!= +p1005 +tp1006 +a(g6 +V +tp1007 +a(g357 +V- +tp1008 +a(g332 +V1 +tp1009 +a(g357 +V) +tp1010 +a(g6 +V\u000a +p1011 +tp1012 +a(g357 +V{ +tp1013 +a(g6 +V\u000a +p1014 +tp1015 +a(g45 +VsetFormState +p1016 +tp1017 +a(g357 +V( +tp1018 +a(g45 +VSONG_DETAIL +p1019 +tp1020 +a(g357 +V); +p1021 +tp1022 +a(g6 +V\u000a +p1023 +tp1024 +a(g357 +V} +tp1025 +a(g6 +V\u000a +p1026 +tp1027 +a(g138 +Velse +p1028 +tp1029 +a(g6 +V\u000a +p1030 +tp1031 +a(g357 +V{ +tp1032 +a(g6 +V\u000a +p1033 +tp1034 +a(g45 +VsetFormState +p1035 +tp1036 +a(g357 +V( +tp1037 +a(g45 +VADD_SONG +p1038 +tp1039 +a(g357 +V); +p1040 +tp1041 +a(g6 +V\u000a +p1042 +tp1043 +a(g357 +V} +tp1044 +a(g6 +V\u000a +p1045 +tp1046 +a(g357 +V} +tp1047 +a(g6 +V\u000a\u000a\u000a +p1048 +tp1049 +a(g158 +Vprivate +p1050 +tp1051 +a(g6 +V +tp1052 +a(g158 +Vfunction +p1053 +tp1054 +a(g48 +VaddNewSong +p1055 +tp1056 +a(g357 +V( +tp1057 +a(g357 +V) +tp1058 +a(g357 +V: +tp1059 +a(g166 +Vvoid +p1060 +tp1061 +a(g6 +V\u000a +p1062 +tp1063 +a(g357 +V{ +tp1064 +a(g6 +V\u000a +p1065 +tp1066 +a(g31 +V// gather the values from the form and add the new song\u000a +p1067 +tp1068 +a(g6 +V +p1069 +tp1070 +a(g158 +Vvar +p1071 +tp1072 +a(g6 +V +tp1073 +a(g45 +Vtitle +p1074 +tp1075 +a(g216 +V: +tp1076 +a(g166 +VString +p1077 +tp1078 +a(g6 +V +tp1079 +a(g357 +V= +tp1080 +a(g6 +V +tp1081 +a(g45 +VnewSongTitle +p1082 +tp1083 +a(g357 +V. +tp1084 +a(g68 +Vtext +p1085 +tp1086 +a(g357 +V; +tp1087 +a(g6 +V\u000a +p1088 +tp1089 +a(g158 +Vvar +p1090 +tp1091 +a(g6 +V +tp1092 +a(g45 +Vartist +p1093 +tp1094 +a(g216 +V: +tp1095 +a(g166 +VString +p1096 +tp1097 +a(g6 +V +tp1098 +a(g357 +V= +tp1099 +a(g6 +V +tp1100 +a(g45 +VnewSongArtist +p1101 +tp1102 +a(g357 +V. +tp1103 +a(g68 +Vtext +p1104 +tp1105 +a(g357 +V; +tp1106 +a(g6 +V\u000a +p1107 +tp1108 +a(g158 +Vvar +p1109 +tp1110 +a(g6 +V +tp1111 +a(g45 +Vyear +p1112 +tp1113 +a(g216 +V: +tp1114 +a(g166 +Vuint +p1115 +tp1116 +a(g6 +V +tp1117 +a(g357 +V= +tp1118 +a(g6 +V +tp1119 +a(g45 +VnewSongYear +p1120 +tp1121 +a(g357 +V. +tp1122 +a(g68 +Vvalue +p1123 +tp1124 +a(g357 +V; +tp1125 +a(g6 +V\u000a +p1126 +tp1127 +a(g158 +Vvar +p1128 +tp1129 +a(g6 +V +tp1130 +a(g45 +Vfilename +p1131 +tp1132 +a(g216 +V: +tp1133 +a(g166 +VString +p1134 +tp1135 +a(g6 +V +tp1136 +a(g357 +V= +tp1137 +a(g6 +V +tp1138 +a(g45 +VnewSongFilename +p1139 +tp1140 +a(g357 +V. +tp1141 +a(g68 +Vtext +p1142 +tp1143 +a(g357 +V; +tp1144 +a(g6 +V\u000a +p1145 +tp1146 +a(g158 +Vvar +p1147 +tp1148 +a(g6 +V +tp1149 +a(g45 +Vgenres +p1150 +tp1151 +a(g216 +V: +tp1152 +a(g166 +VArray +p1153 +tp1154 +a(g6 +V +tp1155 +a(g357 +V= +tp1156 +a(g6 +V +tp1157 +a(g45 +VnewSongGenres +p1158 +tp1159 +a(g357 +V. +tp1160 +a(g68 +VselectedItems +p1161 +tp1162 +a(g357 +V; +tp1163 +a(g6 +V\u000a\u000a +p1164 +tp1165 +a(g45 +VplayList +p1166 +tp1167 +a(g357 +V. +tp1168 +a(g68 +VaddSong +p1169 +tp1170 +a(g357 +V( +tp1171 +a(g138 +Vnew +p1172 +tp1173 +a(g6 +V +tp1174 +a(g166 +VSong +p1175 +tp1176 +a(g357 +V( +tp1177 +a(g45 +Vtitle +p1178 +tp1179 +a(g357 +V, +tp1180 +a(g6 +V +tp1181 +a(g45 +Vartist +p1182 +tp1183 +a(g357 +V, +tp1184 +a(g6 +V +tp1185 +a(g45 +Vyear +p1186 +tp1187 +a(g357 +V, +tp1188 +a(g6 +V +tp1189 +a(g45 +Vfilename +p1190 +tp1191 +a(g357 +V, +tp1192 +a(g6 +V +tp1193 +a(g45 +Vgenres +p1194 +tp1195 +a(g357 +V)); +p1196 +tp1197 +a(g6 +V\u000a\u000a +p1198 +tp1199 +a(g45 +VrefreshList +p1200 +tp1201 +a(g357 +V(); +p1202 +tp1203 +a(g6 +V\u000a \u000a +p1204 +tp1205 +a(g31 +V// clear out the "add song" form fields\u000a +p1206 +tp1207 +a(g6 +V +p1208 +tp1209 +a(g45 +VsetFormState +p1210 +tp1211 +a(g357 +V( +tp1212 +a(g45 +VADD_SONG +p1213 +tp1214 +a(g357 +V); +p1215 +tp1216 +a(g6 +V\u000a +p1217 +tp1218 +a(g357 +V} +tp1219 +a(g6 +V\u000a\u000a\u000a +p1220 +tp1221 +a(g158 +Vprivate +p1222 +tp1223 +a(g6 +V +tp1224 +a(g158 +Vfunction +p1225 +tp1226 +a(g48 +VsongListLabel +p1227 +tp1228 +a(g357 +V( +tp1229 +a(g45 +Vitem +p1230 +tp1231 +a(g357 +V: +tp1232 +a(g166 +VObject +p1233 +tp1234 +a(g357 +V +tp1235 +a(g357 +V) +tp1236 +a(g357 +V: +tp1237 +a(g166 +VString +p1238 +tp1239 +a(g6 +V\u000a +p1240 +tp1241 +a(g357 +V{ +tp1242 +a(g6 +V\u000a +p1243 +tp1244 +a(g138 +Vreturn +p1245 +tp1246 +a(g6 +V +tp1247 +a(g45 +Vitem +p1248 +tp1249 +a(g357 +V. +tp1250 +a(g68 +VtoString +p1251 +tp1252 +a(g357 +V(); +p1253 +tp1254 +a(g6 +V\u000a +p1255 +tp1256 +a(g357 +V} +tp1257 +a(g6 +V\u000a\u000a\u000a +p1258 +tp1259 +a(g158 +Vprivate +p1260 +tp1261 +a(g6 +V +tp1262 +a(g158 +Vfunction +p1263 +tp1264 +a(g48 +VsetFormState +p1265 +tp1266 +a(g357 +V( +tp1267 +a(g45 +Vstate +p1268 +tp1269 +a(g357 +V: +tp1270 +a(g166 +Vuint +p1271 +tp1272 +a(g357 +V +tp1273 +a(g357 +V) +tp1274 +a(g357 +V: +tp1275 +a(g166 +Vvoid +p1276 +tp1277 +a(g6 +V\u000a +p1278 +tp1279 +a(g357 +V{ +tp1280 +a(g6 +V\u000a +p1281 +tp1282 +a(g31 +V// set the form title and control state\u000a +p1283 +tp1284 +a(g6 +V +p1285 +tp1286 +a(g138 +Vswitch +p1287 +tp1288 +a(g6 +V +tp1289 +a(g357 +V( +tp1290 +a(g45 +Vstate +p1291 +tp1292 +a(g357 +V) +tp1293 +a(g6 +V\u000a +p1294 +tp1295 +a(g357 +V{ +tp1296 +a(g6 +V\u000a +p1297 +tp1298 +a(g138 +Vcase +p1299 +tp1300 +a(g6 +V +tp1301 +a(g45 +VADD_SONG +p1302 +tp1303 +a(g357 +V: +tp1304 +a(g6 +V\u000a +p1305 +tp1306 +a(g45 +VformTitle +p1307 +tp1308 +a(g357 +V. +tp1309 +a(g68 +Vtext +p1310 +tp1311 +a(g6 +V +tp1312 +a(g357 +V= +tp1313 +a(g6 +V +tp1314 +a(g259 +V"Add New Song" +p1315 +tp1316 +a(g357 +V; +tp1317 +a(g6 +V\u000a +p1318 +tp1319 +a(g31 +V// show the submit button\u000a +p1320 +tp1321 +a(g6 +V +p1322 +tp1323 +a(g45 +VsubmitSongData +p1324 +tp1325 +a(g357 +V. +tp1326 +a(g68 +Vvisible +p1327 +tp1328 +a(g6 +V +tp1329 +a(g357 +V= +tp1330 +a(g6 +V +tp1331 +a(g144 +Vtrue +p1332 +tp1333 +a(g357 +V; +tp1334 +a(g6 +V\u000a +p1335 +tp1336 +a(g45 +VshowAddControlsBtn +p1337 +tp1338 +a(g357 +V. +tp1339 +a(g68 +Vvisible +p1340 +tp1341 +a(g6 +V +tp1342 +a(g357 +V= +tp1343 +a(g6 +V +tp1344 +a(g144 +Vfalse +p1345 +tp1346 +a(g357 +V; +tp1347 +a(g6 +V\u000a +p1348 +tp1349 +a(g31 +V// clear the form fields\u000a +p1350 +tp1351 +a(g6 +V +p1352 +tp1353 +a(g45 +VnewSongTitle +p1354 +tp1355 +a(g357 +V. +tp1356 +a(g68 +Vtext +p1357 +tp1358 +a(g6 +V +tp1359 +a(g357 +V= +tp1360 +a(g6 +V +tp1361 +a(g259 +V"" +p1362 +tp1363 +a(g357 +V; +tp1364 +a(g6 +V\u000a +p1365 +tp1366 +a(g45 +VnewSongArtist +p1367 +tp1368 +a(g357 +V. +tp1369 +a(g68 +Vtext +p1370 +tp1371 +a(g6 +V +tp1372 +a(g357 +V= +tp1373 +a(g6 +V +tp1374 +a(g259 +V"" +p1375 +tp1376 +a(g357 +V; +tp1377 +a(g6 +V\u000a +p1378 +tp1379 +a(g45 +VnewSongYear +p1380 +tp1381 +a(g357 +V. +tp1382 +a(g68 +Vvalue +p1383 +tp1384 +a(g6 +V +tp1385 +a(g357 +V= +tp1386 +a(g6 +V +tp1387 +a(g357 +V( +tp1388 +a(g138 +Vnew +p1389 +tp1390 +a(g6 +V +tp1391 +a(g166 +VDate +p1392 +tp1393 +a(g357 +V( +tp1394 +a(g357 +V)). +p1395 +tp1396 +a(g45 +VfullYear +p1397 +tp1398 +a(g357 +V; +tp1399 +a(g6 +V\u000a +p1400 +tp1401 +a(g45 +VnewSongFilename +p1402 +tp1403 +a(g357 +V. +tp1404 +a(g68 +Vtext +p1405 +tp1406 +a(g6 +V +tp1407 +a(g357 +V= +tp1408 +a(g6 +V +tp1409 +a(g259 +V"" +p1410 +tp1411 +a(g357 +V; +tp1412 +a(g6 +V\u000a +p1413 +tp1414 +a(g45 +VnewSongGenres +p1415 +tp1416 +a(g357 +V. +tp1417 +a(g68 +VselectedIndex +p1418 +tp1419 +a(g6 +V +tp1420 +a(g357 +V= +tp1421 +a(g6 +V +tp1422 +a(g357 +V- +tp1423 +a(g332 +V1 +tp1424 +a(g357 +V; +tp1425 +a(g6 +V\u000a +p1426 +tp1427 +a(g31 +V// deselect the currently selected song (if any)\u000a +p1428 +tp1429 +a(g6 +V +p1430 +tp1431 +a(g45 +VsongList +p1432 +tp1433 +a(g357 +V. +tp1434 +a(g68 +VselectedIndex +p1435 +tp1436 +a(g6 +V +tp1437 +a(g357 +V= +tp1438 +a(g6 +V +tp1439 +a(g357 +V- +tp1440 +a(g332 +V1 +tp1441 +a(g357 +V; +tp1442 +a(g6 +V\u000a +p1443 +tp1444 +a(g138 +Vbreak +p1445 +tp1446 +a(g357 +V; +tp1447 +a(g6 +V\u000a \u000a +p1448 +tp1449 +a(g138 +Vcase +p1450 +tp1451 +a(g6 +V +tp1452 +a(g45 +VSONG_DETAIL +p1453 +tp1454 +a(g357 +V: +tp1455 +a(g6 +V\u000a +p1456 +tp1457 +a(g45 +VformTitle +p1458 +tp1459 +a(g357 +V. +tp1460 +a(g68 +Vtext +p1461 +tp1462 +a(g6 +V +tp1463 +a(g357 +V= +tp1464 +a(g6 +V +tp1465 +a(g259 +V"Song Details" +p1466 +tp1467 +a(g357 +V; +tp1468 +a(g6 +V\u000a +p1469 +tp1470 +a(g31 +V// populate the form with the selected item's data\u000a +p1471 +tp1472 +a(g6 +V +p1473 +tp1474 +a(g158 +Vvar +p1475 +tp1476 +a(g6 +V +tp1477 +a(g45 +VselectedSong +p1478 +tp1479 +a(g216 +V: +tp1480 +a(g166 +VSong +p1481 +tp1482 +a(g6 +V +tp1483 +a(g357 +V= +tp1484 +a(g6 +V +tp1485 +a(g45 +VSong +p1486 +tp1487 +a(g357 +V( +tp1488 +a(g45 +VsongList +p1489 +tp1490 +a(g357 +V. +tp1491 +a(g68 +VselectedItem +p1492 +tp1493 +a(g357 +V); +p1494 +tp1495 +a(g6 +V\u000a +p1496 +tp1497 +a(g45 +VnewSongTitle +p1498 +tp1499 +a(g357 +V. +tp1500 +a(g68 +Vtext +p1501 +tp1502 +a(g6 +V +tp1503 +a(g357 +V= +tp1504 +a(g6 +V +tp1505 +a(g45 +VselectedSong +p1506 +tp1507 +a(g357 +V. +tp1508 +a(g68 +Vtitle +p1509 +tp1510 +a(g357 +V; +tp1511 +a(g6 +V\u000a +p1512 +tp1513 +a(g45 +VnewSongArtist +p1514 +tp1515 +a(g357 +V. +tp1516 +a(g68 +Vtext +p1517 +tp1518 +a(g6 +V +tp1519 +a(g357 +V= +tp1520 +a(g6 +V +tp1521 +a(g45 +VselectedSong +p1522 +tp1523 +a(g357 +V. +tp1524 +a(g68 +Vartist +p1525 +tp1526 +a(g357 +V; +tp1527 +a(g6 +V\u000a +p1528 +tp1529 +a(g45 +VnewSongYear +p1530 +tp1531 +a(g357 +V. +tp1532 +a(g68 +Vvalue +p1533 +tp1534 +a(g6 +V +tp1535 +a(g357 +V= +tp1536 +a(g6 +V +tp1537 +a(g45 +VselectedSong +p1538 +tp1539 +a(g357 +V. +tp1540 +a(g68 +Vyear +p1541 +tp1542 +a(g357 +V; +tp1543 +a(g6 +V\u000a +p1544 +tp1545 +a(g45 +VnewSongFilename +p1546 +tp1547 +a(g357 +V. +tp1548 +a(g68 +Vtext +p1549 +tp1550 +a(g6 +V +tp1551 +a(g357 +V= +tp1552 +a(g6 +V +tp1553 +a(g45 +VselectedSong +p1554 +tp1555 +a(g357 +V. +tp1556 +a(g68 +Vfilename +p1557 +tp1558 +a(g357 +V; +tp1559 +a(g6 +V\u000a +p1560 +tp1561 +a(g45 +VnewSongGenres +p1562 +tp1563 +a(g357 +V. +tp1564 +a(g68 +VselectedItems +p1565 +tp1566 +a(g6 +V +tp1567 +a(g357 +V= +tp1568 +a(g6 +V +tp1569 +a(g45 +VselectedSong +p1570 +tp1571 +a(g357 +V. +tp1572 +a(g68 +Vgenres +p1573 +tp1574 +a(g357 +V; +tp1575 +a(g6 +V\u000a +p1576 +tp1577 +a(g31 +V// hide the submit button\u000a +p1578 +tp1579 +a(g6 +V +p1580 +tp1581 +a(g45 +VsubmitSongData +p1582 +tp1583 +a(g357 +V. +tp1584 +a(g68 +Vvisible +p1585 +tp1586 +a(g6 +V +tp1587 +a(g357 +V= +tp1588 +a(g6 +V +tp1589 +a(g144 +Vfalse +p1590 +tp1591 +a(g357 +V; +tp1592 +a(g6 +V\u000a +p1593 +tp1594 +a(g45 +VshowAddControlsBtn +p1595 +tp1596 +a(g357 +V. +tp1597 +a(g68 +Vvisible +p1598 +tp1599 +a(g6 +V +tp1600 +a(g357 +V= +tp1601 +a(g6 +V +tp1602 +a(g144 +Vtrue +p1603 +tp1604 +a(g357 +V; +tp1605 +a(g6 +V\u000a +p1606 +tp1607 +a(g138 +Vbreak +p1608 +tp1609 +a(g357 +V; +tp1610 +a(g6 +V\u000a +p1611 +tp1612 +a(g357 +V} +tp1613 +a(g6 +V\u000a +p1614 +tp1615 +a(g357 +V} +tp1616 +a(g6 +V\u000a +tp1617 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/as3_test2.as b/tests/examplefiles/output/as3_test2.as new file mode 100644 index 0000000..7f4c484 --- /dev/null +++ b/tests/examplefiles/output/as3_test2.as @@ -0,0 +1,2353 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Constant' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp15 +(dp16 +S'Comment' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +g14 +g15 +sS'Preproc' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g11 +g12 +((ltRp23 +sg14 +g18 +sbsS'Single' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g18 +sbsS'Multiline' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g18 +sbsg11 +g12 +((lp32 +g2 +(g3 +g4 +(g17 +S'Special' +p33 +ttRp34 +(dp35 +g11 +g12 +((ltRp36 +sg14 +g18 +sbag21 +ag25 +ag29 +atRp37 +sg33 +g34 +sbsS'Name' +p38 +g2 +(g3 +g4 +(g38 +ttRp39 +(dp40 +S'Function' +p41 +g2 +(g3 +g4 +(g38 +g41 +ttRp42 +(dp43 +g11 +g12 +((ltRp44 +sg14 +g39 +sbsS'Exception' +p45 +g2 +(g3 +g4 +(g38 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g39 +sbsS'Tag' +p49 +g2 +(g3 +g4 +(g38 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g39 +sbsg8 +g2 +(g3 +g4 +(g38 +g8 +ttRp53 +(dp54 +g11 +g12 +((ltRp55 +sg14 +g39 +sbsg14 +g15 +sS'Pseudo' +p56 +g2 +(g3 +g4 +(g38 +g56 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g39 +sbsS'Attribute' +p60 +g2 +(g3 +g4 +(g38 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g39 +sbsS'Label' +p64 +g2 +(g3 +g4 +(g38 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g39 +sbsS'Blubb' +p68 +g2 +(g3 +g4 +(g38 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g39 +sbsS'Entity' +p72 +g2 +(g3 +g4 +(g38 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g39 +sbsS'Builtin' +p76 +g2 +(g3 +g4 +(g38 +g76 +ttRp77 +(dp78 +g11 +g12 +((lp79 +g2 +(g3 +g4 +(g38 +g76 +g56 +ttRp80 +(dp81 +g11 +g12 +((ltRp82 +sg14 +g77 +sbatRp83 +sg56 +g80 +sg14 +g39 +sbsS'Other' +p84 +g2 +(g3 +g4 +(g38 +g84 +ttRp85 +(dp86 +g11 +g12 +((ltRp87 +sg14 +g39 +sbsS'Identifier' +p88 +g2 +(g3 +g4 +(g38 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g39 +sbsS'Variable' +p92 +g2 +(g3 +g4 +(g38 +g92 +ttRp93 +(dp94 +g14 +g39 +sS'Global' +p95 +g2 +(g3 +g4 +(g38 +g92 +g95 +ttRp96 +(dp97 +g11 +g12 +((ltRp98 +sg14 +g93 +sbsS'Instance' +p99 +g2 +(g3 +g4 +(g38 +g92 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g93 +sbsS'Anonymous' +p103 +g2 +(g3 +g4 +(g38 +g92 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g93 +sbsg11 +g12 +((lp107 +g104 +ag100 +ag96 +ag2 +(g3 +g4 +(g38 +g92 +S'Class' +p108 +ttRp109 +(dp110 +g11 +g12 +((ltRp111 +sg14 +g93 +sbatRp112 +sg108 +g109 +sbsg11 +g12 +((lp113 +g2 +(g3 +g4 +(g38 +S'Decorator' +p114 +ttRp115 +(dp116 +g11 +g12 +((ltRp117 +sg14 +g39 +sbag61 +ag53 +ag57 +ag2 +(g3 +g4 +(g38 +S'Namespace' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g39 +sbag89 +ag77 +ag93 +ag85 +ag69 +ag73 +ag42 +ag2 +(g3 +g4 +(g38 +S'Property' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g39 +sbag65 +ag50 +ag46 +ag2 +(g3 +g4 +(g38 +g108 +ttRp126 +(dp127 +g11 +g12 +((ltRp128 +sg14 +g39 +sbatRp129 +sg122 +g123 +sg108 +g126 +sg114 +g115 +sg118 +g119 +sbsg5 +g6 +sS'Generic' +p130 +g2 +(g3 +g4 +(g130 +ttRp131 +(dp132 +S'Prompt' +p133 +g2 +(g3 +g4 +(g130 +g133 +ttRp134 +(dp135 +g11 +g12 +((ltRp136 +sg14 +g131 +sbsg14 +g15 +sS'Deleted' +p137 +g2 +(g3 +g4 +(g130 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g131 +sbsS'Traceback' +p141 +g2 +(g3 +g4 +(g130 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g131 +sbsS'Emph' +p145 +g2 +(g3 +g4 +(g130 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g131 +sbsS'Output' +p149 +g2 +(g3 +g4 +(g130 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g131 +sbsS'Subheading' +p153 +g2 +(g3 +g4 +(g130 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g131 +sbsS'Error' +p157 +g2 +(g3 +g4 +(g130 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g131 +sbsg11 +g12 +((lp161 +g150 +ag146 +ag158 +ag154 +ag142 +ag138 +ag2 +(g3 +g4 +(g130 +S'Heading' +p162 +ttRp163 +(dp164 +g11 +g12 +((ltRp165 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Inserted' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Strong' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g131 +sbag134 +atRp174 +sg170 +g171 +sg166 +g167 +sg162 +g163 +sbsS'Text' +p175 +g2 +(g3 +g4 +(g175 +ttRp176 +(dp177 +g11 +g12 +((lp178 +g2 +(g3 +g4 +(g175 +S'Symbol' +p179 +ttRp180 +(dp181 +g11 +g12 +((ltRp182 +sg14 +g176 +sbag2 +(g3 +g4 +(g175 +S'Whitespace' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g176 +sbatRp187 +sg179 +g180 +sg183 +g184 +sg14 +g15 +sbsS'Punctuation' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g11 +g12 +((lp191 +g2 +(g3 +g4 +(g188 +S'Indicator' +p192 +ttRp193 +(dp194 +g11 +g12 +((ltRp195 +sg14 +g189 +sbatRp196 +sg192 +g193 +sg14 +g15 +sbsS'Token' +p197 +g15 +sS'Number' +p198 +g2 +(g3 +g4 +(S'Literal' +p199 +g198 +ttRp200 +(dp201 +S'Bin' +p202 +g2 +(g3 +g4 +(g199 +g198 +g202 +ttRp203 +(dp204 +g11 +g12 +((ltRp205 +sg14 +g200 +sbsS'Binary' +p206 +g2 +(g3 +g4 +(g199 +g198 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g200 +sbsg14 +g2 +(g3 +g4 +(g199 +ttRp210 +(dp211 +S'String' +p212 +g2 +(g3 +g4 +(g199 +g212 +ttRp213 +(dp214 +S'Regex' +p215 +g2 +(g3 +g4 +(g199 +g212 +g215 +ttRp216 +(dp217 +g11 +g12 +((ltRp218 +sg14 +g213 +sbsS'Interpol' +p219 +g2 +(g3 +g4 +(g199 +g212 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g213 +sbsS'Regexp' +p223 +g2 +(g3 +g4 +(g199 +g212 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g213 +sbsg14 +g210 +sS'Heredoc' +p227 +g2 +(g3 +g4 +(g199 +g212 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g213 +sbsS'Double' +p231 +g2 +(g3 +g4 +(g199 +g212 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g213 +sbsg179 +g2 +(g3 +g4 +(g199 +g212 +g179 +ttRp235 +(dp236 +g11 +g12 +((ltRp237 +sg14 +g213 +sbsS'Escape' +p238 +g2 +(g3 +g4 +(g199 +g212 +g238 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g213 +sbsS'Character' +p242 +g2 +(g3 +g4 +(g199 +g212 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g213 +sbsS'Interp' +p246 +g2 +(g3 +g4 +(g199 +g212 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g213 +sbsS'Backtick' +p250 +g2 +(g3 +g4 +(g199 +g212 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g213 +sbsS'Char' +p254 +g2 +(g3 +g4 +(g199 +g212 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g213 +sbsg24 +g2 +(g3 +g4 +(g199 +g212 +g24 +ttRp258 +(dp259 +g11 +g12 +((ltRp260 +sg14 +g213 +sbsg84 +g2 +(g3 +g4 +(g199 +g212 +g84 +ttRp261 +(dp262 +g11 +g12 +((ltRp263 +sg14 +g213 +sbsS'Doc' +p264 +g2 +(g3 +g4 +(g199 +g212 +g264 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g213 +sbsg11 +g12 +((lp268 +g261 +ag2 +(g3 +g4 +(g199 +g212 +S'Atom' +p269 +ttRp270 +(dp271 +g11 +g12 +((ltRp272 +sg14 +g213 +sbag232 +ag255 +ag247 +ag265 +ag228 +ag251 +ag220 +ag235 +ag224 +ag216 +ag258 +ag243 +ag239 +atRp273 +sg269 +g270 +sbsg14 +g15 +sg198 +g200 +sS'Scalar' +p274 +g2 +(g3 +g4 +(g199 +g274 +ttRp275 +(dp276 +g11 +g12 +((lp277 +g2 +(g3 +g4 +(g199 +g274 +S'Plain' +p278 +ttRp279 +(dp280 +g11 +g12 +((ltRp281 +sg14 +g275 +sbatRp282 +sg14 +g210 +sg278 +g279 +sbsg84 +g2 +(g3 +g4 +(g199 +g84 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g210 +sbsS'Date' +p286 +g2 +(g3 +g4 +(g199 +g286 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g210 +sbsg11 +g12 +((lp290 +g287 +ag213 +ag283 +ag200 +ag275 +atRp291 +sbsS'Decimal' +p292 +g2 +(g3 +g4 +(g199 +g198 +g292 +ttRp293 +(dp294 +g11 +g12 +((ltRp295 +sg14 +g200 +sbsS'Float' +p296 +g2 +(g3 +g4 +(g199 +g198 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g200 +sbsS'Hex' +p300 +g2 +(g3 +g4 +(g199 +g198 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g200 +sbsS'Integer' +p304 +g2 +(g3 +g4 +(g199 +g198 +g304 +ttRp305 +(dp306 +g11 +g12 +((lp307 +g2 +(g3 +g4 +(g199 +g198 +g304 +S'Long' +p308 +ttRp309 +(dp310 +g11 +g12 +((ltRp311 +sg14 +g305 +sbatRp312 +sg308 +g309 +sg14 +g200 +sbsS'Octal' +p313 +g2 +(g3 +g4 +(g199 +g198 +g313 +ttRp314 +(dp315 +g11 +g12 +((ltRp316 +sg14 +g200 +sbsg11 +g12 +((lp317 +g203 +ag207 +ag314 +ag293 +ag2 +(g3 +g4 +(g199 +g198 +S'Oct' +p318 +ttRp319 +(dp320 +g11 +g12 +((ltRp321 +sg14 +g200 +sbag305 +ag297 +ag301 +atRp322 +sg318 +g319 +sbsg199 +g210 +sg84 +g2 +(g3 +g4 +(g84 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g15 +sbsg157 +g2 +(g3 +g4 +(g157 +ttRp326 +(dp327 +g11 +g12 +((ltRp328 +sg14 +g15 +sbsS'Operator' +p329 +g2 +(g3 +g4 +(g329 +ttRp330 +(dp331 +g11 +g12 +((lp332 +g2 +(g3 +g4 +(g329 +S'Word' +p333 +ttRp334 +(dp335 +g11 +g12 +((ltRp336 +sg14 +g330 +sbatRp337 +sg333 +g334 +sg14 +g15 +sbsg11 +g12 +((lp338 +g18 +ag326 +ag131 +ag176 +ag39 +ag189 +ag6 +ag210 +ag330 +ag323 +atRp339 +sg212 +g213 +sbsg118 +g2 +(g3 +g4 +(g5 +g118 +ttRp340 +(dp341 +g11 +g12 +((ltRp342 +sg14 +g6 +sbsg56 +g2 +(g3 +g4 +(g5 +g56 +ttRp343 +(dp344 +g11 +g12 +((ltRp345 +sg14 +g6 +sbsS'Reserved' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Declaration' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsg92 +g2 +(g3 +g4 +(g5 +g92 +ttRp354 +(dp355 +g11 +g12 +((ltRp356 +sg14 +g6 +sbsg11 +g12 +((lp357 +g9 +ag347 +ag2 +(g3 +g4 +(g5 +S'Type' +p358 +ttRp359 +(dp360 +g11 +g12 +((ltRp361 +sg14 +g6 +sbag351 +ag354 +ag340 +ag343 +atRp362 +sg358 +g359 +sbVpackage +p363 +tp364 +a(g176 +V +tp365 +a(g119 +Vru.dfls.events +p366 +tp367 +a(g176 +V +tp368 +a(g330 +V{ +tp369 +a(g176 +V\u000a +p370 +tp371 +a(g6 +Vimport +p372 +tp373 +a(g176 +V +tp374 +a(g119 +Vflash.events.Event +p375 +tp376 +a(g330 +V; +tp377 +a(g176 +V \u000a +p378 +tp379 +a(g6 +Vimport +p380 +tp381 +a(g176 +V +tp382 +a(g119 +Vflash.events.ErrorEvent +p383 +tp384 +a(g330 +V; +tp385 +a(g176 +V\u000a \u000a +p386 +tp387 +a(g29 +V/**\u000a * This event is usually dispatched if some error was thrown from an asynchronous code, i.e. there\u000a * is no relevant user stack part to process the error. There is only one type of such event: \u000a * ErrorEvent.ERROR which is same as flash.events.ErrorEvent.ERROR.\u000a * The only difference between flash.events.ErrorEvent and \u000a * ru.dfls.events.ErrorEvent is the capability of the latter to store the underlying cause\u000a * (the Error).\u000a * \u000a * @see flash.events.ErrorEvent\u000a * @see Error\u000a * @author dragonfly\u000a */ +p388 +tp389 +a(g176 +V\u000a +p390 +tp391 +a(g351 +Vpublic +p392 +tp393 +a(g176 +V +tp394 +a(g351 +Vclass +p395 +tp396 +a(g176 +V +tp397 +a(g39 +VErrorEvent +p398 +tp399 +a(g176 +V +tp400 +a(g351 +Vextends +p401 +tp402 +a(g176 +V +tp403 +a(g39 +Vflash +p404 +tp405 +a(g330 +V. +tp406 +a(g61 +Vevents +p407 +tp408 +a(g330 +V. +tp409 +a(g61 +VErrorEvent +p410 +tp411 +a(g176 +V +tp412 +a(g330 +V{ +tp413 +a(g176 +V\u000a \u000a +p414 +tp415 +a(g351 +Vpublic +p416 +tp417 +a(g176 +V +tp418 +a(g351 +Vstatic +p419 +tp420 +a(g176 +V +tp421 +a(g351 +Vvar +p422 +tp423 +a(g176 +V +tp424 +a(g39 +VERROR +p425 +tp426 +a(g176 +V +tp427 +a(g189 +V: +tp428 +a(g176 +V +tp429 +a(g359 +VString +p430 +tp431 +a(g176 +V +tp432 +a(g330 +V= +tp433 +a(g176 +V +tp434 +a(g39 +Vflash +p435 +tp436 +a(g330 +V. +tp437 +a(g61 +Vevents +p438 +tp439 +a(g330 +V. +tp440 +a(g61 +VErrorEvent +p441 +tp442 +a(g330 +V. +tp443 +a(g61 +VERROR +p444 +tp445 +a(g330 +V; +tp446 +a(g176 +V\u000a\u000a +p447 +tp448 +a(g351 +Vprivate +p449 +tp450 +a(g176 +V +tp451 +a(g351 +Vvar +p452 +tp453 +a(g176 +V +tp454 +a(g39 +V_error +p455 +tp456 +a(g176 +V +tp457 +a(g189 +V: +tp458 +a(g176 +V +tp459 +a(g359 +VError +p460 +tp461 +a(g330 +V; +tp462 +a(g176 +V\u000a \u000a +p463 +tp464 +a(g351 +Vpublic +p465 +tp466 +a(g176 +V +tp467 +a(g351 +Vfunction +p468 +tp469 +a(g42 +VErrorEvent +p470 +tp471 +a(g330 +V( +tp472 +a(g39 +Vtype +p473 +tp474 +a(g176 +V +tp475 +a(g330 +V: +tp476 +a(g176 +V +tp477 +a(g359 +VString +p478 +tp479 +a(g330 +V, +tp480 +a(g176 +V +tp481 +a(g39 +Vbubbles +p482 +tp483 +a(g176 +V +tp484 +a(g330 +V: +tp485 +a(g176 +V +tp486 +a(g359 +VBoolean +p487 +tp488 +a(g176 +V +tp489 +a(g330 +V= +tp490 +a(g176 +V +tp491 +a(g9 +Vfalse +p492 +tp493 +a(g330 +V, +tp494 +a(g176 +V +tp495 +a(g39 +Vcancelable +p496 +tp497 +a(g176 +V +tp498 +a(g330 +V: +tp499 +a(g176 +V +tp500 +a(g359 +VBoolean +p501 +tp502 +a(g176 +V +tp503 +a(g330 +V= +tp504 +a(g176 +V +tp505 +a(g9 +Vfalse +p506 +tp507 +a(g330 +V, +tp508 +a(g176 +V \u000a +p509 +tp510 +a(g39 +Vtext +p511 +tp512 +a(g176 +V +tp513 +a(g330 +V: +tp514 +a(g176 +V +tp515 +a(g359 +VString +p516 +tp517 +a(g176 +V +tp518 +a(g330 +V= +tp519 +a(g176 +V +tp520 +a(g232 +V"" +p521 +tp522 +a(g330 +V, +tp523 +a(g176 +V +tp524 +a(g39 +Verror +p525 +tp526 +a(g176 +V +tp527 +a(g330 +V: +tp528 +a(g176 +V +tp529 +a(g359 +VError +p530 +tp531 +a(g176 +V +tp532 +a(g330 +V= +tp533 +a(g176 +V +tp534 +a(g9 +Vnull +p535 +tp536 +a(g330 +V) +tp537 +a(g176 +V +tp538 +a(g330 +V{ +tp539 +a(g176 +V\u000a +p540 +tp541 +a(g351 +Vsuper +p542 +tp543 +a(g330 +V( +tp544 +a(g39 +Vtype +p545 +tp546 +a(g330 +V, +tp547 +a(g176 +V +tp548 +a(g39 +Vbubbles +p549 +tp550 +a(g330 +V, +tp551 +a(g176 +V +tp552 +a(g39 +Vcancelable +p553 +tp554 +a(g330 +V, +tp555 +a(g176 +V +tp556 +a(g39 +Vtext +p557 +tp558 +a(g330 +V); +p559 +tp560 +a(g176 +V\u000a +p561 +tp562 +a(g39 +V_error +p563 +tp564 +a(g176 +V +tp565 +a(g330 +V= +tp566 +a(g176 +V +tp567 +a(g39 +Verror +p568 +tp569 +a(g330 +V; +tp570 +a(g176 +V\u000a +p571 +tp572 +a(g330 +V} +tp573 +a(g176 +V\u000a \u000a +p574 +tp575 +a(g351 +Vpublic +p576 +tp577 +a(g176 +V +tp578 +a(g351 +Vfunction +p579 +tp580 +a(g176 +V +tp581 +a(g351 +Vget +p582 +tp583 +a(g176 +V +tp584 +a(g39 +Verror +p585 +tp586 +a(g330 +V() +p587 +tp588 +a(g176 +V +tp589 +a(g330 +V: +tp590 +a(g176 +V +tp591 +a(g39 +VError +p592 +tp593 +a(g176 +V +tp594 +a(g330 +V{ +tp595 +a(g176 +V\u000a +p596 +tp597 +a(g6 +Vreturn +p598 +tp599 +a(g176 +V +tp600 +a(g39 +V_error +p601 +tp602 +a(g330 +V; +tp603 +a(g176 +V\u000a +p604 +tp605 +a(g330 +V} +tp606 +a(g176 +V\u000a \u000a +p607 +tp608 +a(g351 +Vpublic +p609 +tp610 +a(g176 +V +tp611 +a(g351 +Vfunction +p612 +tp613 +a(g176 +V +tp614 +a(g351 +Vset +p615 +tp616 +a(g176 +V +tp617 +a(g39 +Verror +p618 +tp619 +a(g330 +V( +tp620 +a(g39 +Vvalue +p621 +tp622 +a(g176 +V +tp623 +a(g330 +V: +tp624 +a(g176 +V +tp625 +a(g39 +VError +p626 +tp627 +a(g330 +V) +tp628 +a(g176 +V +tp629 +a(g330 +V: +tp630 +a(g176 +V +tp631 +a(g9 +Vvoid +p632 +tp633 +a(g176 +V +tp634 +a(g330 +V{ +tp635 +a(g176 +V\u000a +p636 +tp637 +a(g39 +V_error +p638 +tp639 +a(g176 +V +tp640 +a(g330 +V= +tp641 +a(g176 +V +tp642 +a(g39 +Vvalue +p643 +tp644 +a(g330 +V; +tp645 +a(g176 +V\u000a +p646 +tp647 +a(g330 +V} +tp648 +a(g176 +V\u000a \u000a +p649 +tp650 +a(g351 +Vpublic +p651 +tp652 +a(g176 +V +tp653 +a(g351 +Voverride +p654 +tp655 +a(g176 +V +tp656 +a(g351 +Vfunction +p657 +tp658 +a(g42 +VtoString +p659 +tp660 +a(g330 +V( +tp661 +a(g330 +V) +tp662 +a(g176 +V +tp663 +a(g330 +V: +tp664 +a(g176 +V +tp665 +a(g359 +VString +p666 +tp667 +a(g176 +V +tp668 +a(g330 +V{ +tp669 +a(g176 +V\u000a +p670 +tp671 +a(g6 +Vreturn +p672 +tp673 +a(g176 +V +tp674 +a(g39 +VformatToString +p675 +tp676 +a(g330 +V( +tp677 +a(g232 +V"ErrorEvent" +p678 +tp679 +a(g330 +V, +tp680 +a(g176 +V +tp681 +a(g232 +V"type" +p682 +tp683 +a(g330 +V, +tp684 +a(g176 +V +tp685 +a(g232 +V"bubbles" +p686 +tp687 +a(g330 +V, +tp688 +a(g176 +V +tp689 +a(g232 +V"cancelable" +p690 +tp691 +a(g330 +V, +tp692 +a(g176 +V +tp693 +a(g232 +V"eventPhase" +p694 +tp695 +a(g330 +V, +tp696 +a(g176 +V +tp697 +a(g232 +V"text" +p698 +tp699 +a(g330 +V, +tp700 +a(g176 +V +tp701 +a(g232 +V"error" +p702 +tp703 +a(g330 +V); +p704 +tp705 +a(g176 +V\u000a +p706 +tp707 +a(g330 +V} +tp708 +a(g176 +V\u000a \u000a +p709 +tp710 +a(g351 +Vpublic +p711 +tp712 +a(g176 +V +tp713 +a(g351 +Voverride +p714 +tp715 +a(g176 +V +tp716 +a(g351 +Vfunction +p717 +tp718 +a(g42 +Vclone +p719 +tp720 +a(g330 +V( +tp721 +a(g330 +V) +tp722 +a(g176 +V +tp723 +a(g330 +V: +tp724 +a(g176 +V +tp725 +a(g359 +VEvent +p726 +tp727 +a(g176 +V +tp728 +a(g330 +V{ +tp729 +a(g176 +V\u000a +p730 +tp731 +a(g6 +Vreturn +p732 +tp733 +a(g176 +V +tp734 +a(g6 +Vnew +p735 +tp736 +a(g176 +V +tp737 +a(g39 +Vru +p738 +tp739 +a(g330 +V. +tp740 +a(g61 +Vdfls +p741 +tp742 +a(g330 +V. +tp743 +a(g61 +Vevents +p744 +tp745 +a(g330 +V. +tp746 +a(g61 +VErrorEvent +p747 +tp748 +a(g330 +V( +tp749 +a(g39 +Vtype +p750 +tp751 +a(g330 +V, +tp752 +a(g176 +V +tp753 +a(g39 +Vbubbles +p754 +tp755 +a(g330 +V, +tp756 +a(g176 +V +tp757 +a(g39 +Vcancelable +p758 +tp759 +a(g330 +V, +tp760 +a(g176 +V +tp761 +a(g39 +Vtext +p762 +tp763 +a(g330 +V, +tp764 +a(g176 +V +tp765 +a(g39 +Verror +p766 +tp767 +a(g330 +V); +p768 +tp769 +a(g176 +V\u000a +p770 +tp771 +a(g330 +V} +tp772 +a(g176 +V\u000a \u000a +p773 +tp774 +a(g330 +V} +tp775 +a(g176 +V\u000a +tp776 +a(g330 +V} +tp777 +a(g176 +V\u000a +tp778 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/as3_test3.as b/tests/examplefiles/output/as3_test3.as new file mode 100644 index 0000000..c0b10d7 --- /dev/null +++ b/tests/examplefiles/output/as3_test3.as @@ -0,0 +1,1469 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +S'Declaration' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Constant' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g12 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g22 +sbsg9 +g10 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g9 +g10 +((ltRp40 +sg12 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g43 +sbsg15 +g2 +(g3 +g4 +(g42 +g15 +ttRp57 +(dp58 +g9 +g10 +((ltRp59 +sg12 +g43 +sbsg12 +g19 +sS'Pseudo' +p60 +g2 +(g3 +g4 +(g42 +g60 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g43 +sbsS'Attribute' +p64 +g2 +(g3 +g4 +(g42 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g43 +sbsS'Label' +p68 +g2 +(g3 +g4 +(g42 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g43 +sbsS'Blubb' +p72 +g2 +(g3 +g4 +(g42 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g43 +sbsS'Entity' +p76 +g2 +(g3 +g4 +(g42 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g43 +sbsS'Builtin' +p80 +g2 +(g3 +g4 +(g42 +g80 +ttRp81 +(dp82 +g9 +g10 +((lp83 +g2 +(g3 +g4 +(g42 +g80 +g60 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g81 +sbatRp87 +sg60 +g84 +sg12 +g43 +sbsS'Other' +p88 +g2 +(g3 +g4 +(g42 +g88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g43 +sbsS'Identifier' +p92 +g2 +(g3 +g4 +(g42 +g92 +ttRp93 +(dp94 +g9 +g10 +((ltRp95 +sg12 +g43 +sbsS'Variable' +p96 +g2 +(g3 +g4 +(g42 +g96 +ttRp97 +(dp98 +g12 +g43 +sS'Global' +p99 +g2 +(g3 +g4 +(g42 +g96 +g99 +ttRp100 +(dp101 +g9 +g10 +((ltRp102 +sg12 +g97 +sbsS'Instance' +p103 +g2 +(g3 +g4 +(g42 +g96 +g103 +ttRp104 +(dp105 +g9 +g10 +((ltRp106 +sg12 +g97 +sbsS'Anonymous' +p107 +g2 +(g3 +g4 +(g42 +g96 +g107 +ttRp108 +(dp109 +g9 +g10 +((ltRp110 +sg12 +g97 +sbsg9 +g10 +((lp111 +g108 +ag104 +ag100 +ag2 +(g3 +g4 +(g42 +g96 +S'Class' +p112 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g97 +sbatRp116 +sg112 +g113 +sbsg9 +g10 +((lp117 +g2 +(g3 +g4 +(g42 +S'Decorator' +p118 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g43 +sbag65 +ag57 +ag61 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g43 +sbag93 +ag81 +ag97 +ag89 +ag73 +ag77 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g43 +sbag69 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g112 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g43 +sbatRp133 +sg126 +g127 +sg112 +g130 +sg118 +g119 +sg122 +g123 +sbsg5 +g13 +sS'Generic' +p134 +g2 +(g3 +g4 +(g134 +ttRp135 +(dp136 +S'Prompt' +p137 +g2 +(g3 +g4 +(g134 +g137 +ttRp138 +(dp139 +g9 +g10 +((ltRp140 +sg12 +g135 +sbsg12 +g19 +sS'Deleted' +p141 +g2 +(g3 +g4 +(g134 +g141 +ttRp142 +(dp143 +g9 +g10 +((ltRp144 +sg12 +g135 +sbsS'Traceback' +p145 +g2 +(g3 +g4 +(g134 +g145 +ttRp146 +(dp147 +g9 +g10 +((ltRp148 +sg12 +g135 +sbsS'Emph' +p149 +g2 +(g3 +g4 +(g134 +g149 +ttRp150 +(dp151 +g9 +g10 +((ltRp152 +sg12 +g135 +sbsS'Output' +p153 +g2 +(g3 +g4 +(g134 +g153 +ttRp154 +(dp155 +g9 +g10 +((ltRp156 +sg12 +g135 +sbsS'Subheading' +p157 +g2 +(g3 +g4 +(g134 +g157 +ttRp158 +(dp159 +g9 +g10 +((ltRp160 +sg12 +g135 +sbsS'Error' +p161 +g2 +(g3 +g4 +(g134 +g161 +ttRp162 +(dp163 +g9 +g10 +((ltRp164 +sg12 +g135 +sbsg9 +g10 +((lp165 +g154 +ag150 +ag162 +ag158 +ag146 +ag142 +ag2 +(g3 +g4 +(g134 +S'Heading' +p166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g135 +sbag2 +(g3 +g4 +(g134 +S'Inserted' +p170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g135 +sbag2 +(g3 +g4 +(g134 +S'Strong' +p174 +ttRp175 +(dp176 +g9 +g10 +((ltRp177 +sg12 +g135 +sbag138 +atRp178 +sg174 +g175 +sg170 +g171 +sg166 +g167 +sbsS'Text' +p179 +g2 +(g3 +g4 +(g179 +ttRp180 +(dp181 +g9 +g10 +((lp182 +g2 +(g3 +g4 +(g179 +S'Symbol' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g180 +sbag2 +(g3 +g4 +(g179 +S'Whitespace' +p187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg12 +g180 +sbatRp191 +sg183 +g184 +sg187 +g188 +sg12 +g19 +sbsS'Punctuation' +p192 +g2 +(g3 +g4 +(g192 +ttRp193 +(dp194 +g9 +g10 +((lp195 +g2 +(g3 +g4 +(g192 +S'Indicator' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g193 +sbatRp200 +sg196 +g197 +sg12 +g19 +sbsS'Token' +p201 +g19 +sS'Number' +p202 +g2 +(g3 +g4 +(S'Literal' +p203 +g202 +ttRp204 +(dp205 +S'Bin' +p206 +g2 +(g3 +g4 +(g203 +g202 +g206 +ttRp207 +(dp208 +g9 +g10 +((ltRp209 +sg12 +g204 +sbsS'Binary' +p210 +g2 +(g3 +g4 +(g203 +g202 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg12 +g204 +sbsg12 +g2 +(g3 +g4 +(g203 +ttRp214 +(dp215 +S'String' +p216 +g2 +(g3 +g4 +(g203 +g216 +ttRp217 +(dp218 +S'Regex' +p219 +g2 +(g3 +g4 +(g203 +g216 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g217 +sbsS'Interpol' +p223 +g2 +(g3 +g4 +(g203 +g216 +g223 +ttRp224 +(dp225 +g9 +g10 +((ltRp226 +sg12 +g217 +sbsS'Regexp' +p227 +g2 +(g3 +g4 +(g203 +g216 +g227 +ttRp228 +(dp229 +g9 +g10 +((ltRp230 +sg12 +g217 +sbsg12 +g214 +sS'Heredoc' +p231 +g2 +(g3 +g4 +(g203 +g216 +g231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g217 +sbsS'Double' +p235 +g2 +(g3 +g4 +(g203 +g216 +g235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g217 +sbsg183 +g2 +(g3 +g4 +(g203 +g216 +g183 +ttRp239 +(dp240 +g9 +g10 +((ltRp241 +sg12 +g217 +sbsS'Escape' +p242 +g2 +(g3 +g4 +(g203 +g216 +g242 +ttRp243 +(dp244 +g9 +g10 +((ltRp245 +sg12 +g217 +sbsS'Character' +p246 +g2 +(g3 +g4 +(g203 +g216 +g246 +ttRp247 +(dp248 +g9 +g10 +((ltRp249 +sg12 +g217 +sbsS'Interp' +p250 +g2 +(g3 +g4 +(g203 +g216 +g250 +ttRp251 +(dp252 +g9 +g10 +((ltRp253 +sg12 +g217 +sbsS'Backtick' +p254 +g2 +(g3 +g4 +(g203 +g216 +g254 +ttRp255 +(dp256 +g9 +g10 +((ltRp257 +sg12 +g217 +sbsS'Char' +p258 +g2 +(g3 +g4 +(g203 +g216 +g258 +ttRp259 +(dp260 +g9 +g10 +((ltRp261 +sg12 +g217 +sbsg28 +g2 +(g3 +g4 +(g203 +g216 +g28 +ttRp262 +(dp263 +g9 +g10 +((ltRp264 +sg12 +g217 +sbsg88 +g2 +(g3 +g4 +(g203 +g216 +g88 +ttRp265 +(dp266 +g9 +g10 +((ltRp267 +sg12 +g217 +sbsS'Doc' +p268 +g2 +(g3 +g4 +(g203 +g216 +g268 +ttRp269 +(dp270 +g9 +g10 +((ltRp271 +sg12 +g217 +sbsg9 +g10 +((lp272 +g265 +ag2 +(g3 +g4 +(g203 +g216 +S'Atom' +p273 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g217 +sbag236 +ag259 +ag251 +ag269 +ag232 +ag255 +ag224 +ag239 +ag228 +ag220 +ag262 +ag247 +ag243 +atRp277 +sg273 +g274 +sbsg12 +g19 +sg202 +g204 +sS'Scalar' +p278 +g2 +(g3 +g4 +(g203 +g278 +ttRp279 +(dp280 +g9 +g10 +((lp281 +g2 +(g3 +g4 +(g203 +g278 +S'Plain' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g279 +sbatRp286 +sg12 +g214 +sg282 +g283 +sbsg88 +g2 +(g3 +g4 +(g203 +g88 +ttRp287 +(dp288 +g9 +g10 +((ltRp289 +sg12 +g214 +sbsS'Date' +p290 +g2 +(g3 +g4 +(g203 +g290 +ttRp291 +(dp292 +g9 +g10 +((ltRp293 +sg12 +g214 +sbsg9 +g10 +((lp294 +g291 +ag217 +ag287 +ag204 +ag279 +atRp295 +sbsS'Decimal' +p296 +g2 +(g3 +g4 +(g203 +g202 +g296 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g204 +sbsS'Float' +p300 +g2 +(g3 +g4 +(g203 +g202 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g204 +sbsS'Hex' +p304 +g2 +(g3 +g4 +(g203 +g202 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g204 +sbsS'Integer' +p308 +g2 +(g3 +g4 +(g203 +g202 +g308 +ttRp309 +(dp310 +g9 +g10 +((lp311 +g2 +(g3 +g4 +(g203 +g202 +g308 +S'Long' +p312 +ttRp313 +(dp314 +g9 +g10 +((ltRp315 +sg12 +g309 +sbatRp316 +sg312 +g313 +sg12 +g204 +sbsS'Octal' +p317 +g2 +(g3 +g4 +(g203 +g202 +g317 +ttRp318 +(dp319 +g9 +g10 +((ltRp320 +sg12 +g204 +sbsg9 +g10 +((lp321 +g207 +ag211 +ag318 +ag297 +ag2 +(g3 +g4 +(g203 +g202 +S'Oct' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g204 +sbag309 +ag301 +ag305 +atRp326 +sg322 +g323 +sbsg203 +g214 +sg88 +g2 +(g3 +g4 +(g88 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g19 +sbsg161 +g2 +(g3 +g4 +(g161 +ttRp330 +(dp331 +g9 +g10 +((ltRp332 +sg12 +g19 +sbsS'Operator' +p333 +g2 +(g3 +g4 +(g333 +ttRp334 +(dp335 +g9 +g10 +((lp336 +g2 +(g3 +g4 +(g333 +S'Word' +p337 +ttRp338 +(dp339 +g9 +g10 +((ltRp340 +sg12 +g334 +sbatRp341 +sg337 +g338 +sg12 +g19 +sbsg9 +g10 +((lp342 +g22 +ag330 +ag135 +ag180 +ag43 +ag193 +ag13 +ag214 +ag334 +ag327 +atRp343 +sg216 +g217 +sbsg122 +g2 +(g3 +g4 +(g5 +g122 +ttRp344 +(dp345 +g9 +g10 +((ltRp346 +sg12 +g13 +sbsg60 +g2 +(g3 +g4 +(g5 +g60 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g13 +sbsS'Reserved' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbsg6 +g7 +sg96 +g2 +(g3 +g4 +(g5 +g96 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg9 +g10 +((lp357 +g16 +ag351 +ag2 +(g3 +g4 +(g5 +S'Type' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag7 +ag354 +ag344 +ag347 +atRp362 +sg358 +g359 +sbsbVprotected +p363 +tp364 +a(g180 +V +tp365 +a(g7 +Vfunction +p366 +tp367 +a(g46 +Vremote +p368 +tp369 +a(g334 +V( +tp370 +a(g43 +Vmethod +p371 +tp372 +a(g180 +V +tp373 +a(g334 +V: +tp374 +a(g180 +V +tp375 +a(g359 +VString +p376 +tp377 +a(g334 +V, +tp378 +a(g180 +V +tp379 +a(g193 +V... +p380 +tp381 +a(g43 +Vargs +p382 +tp383 +a(g180 +V +tp384 +a(g334 +V: +tp385 +a(g180 +V +tp386 +a(g359 +VArray +p387 +tp388 +a(g334 +V +tp389 +a(g334 +V) +tp390 +a(g180 +V +tp391 +a(g334 +V: +tp392 +a(g180 +V +tp393 +a(g359 +VBoolean +p394 +tp395 +a(g180 +V +tp396 +a(g334 +V{ +tp397 +a(g180 +V\u000a +p398 +tp399 +a(g13 +Vreturn +p400 +tp401 +a(g180 +V +tp402 +a(g16 +Vtrue +p403 +tp404 +a(g334 +V; +tp405 +a(g180 +V\u000a +tp406 +a(g334 +V} +tp407 +a(g180 +V\u000a +tp408 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/aspx-cs_example b/tests/examplefiles/output/aspx-cs_example new file mode 100644 index 0000000..366c212 --- /dev/null +++ b/tests/examplefiles/output/aspx-cs_example @@ -0,0 +1,1786 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +tp367 +a(g56 +V<%@ +p368 +tp369 +a(g6 +V +tp370 +a(g45 +VPage +p371 +tp372 +a(g6 +V +tp373 +a(g45 +VLanguage +p374 +tp375 +a(g216 +V= +tp376 +a(g240 +V"C#" +p377 +tp378 +a(g6 +V +tp379 +a(g56 +V%> +p380 +tp381 +a(g6 +V\u000a \u000a +p382 +tp383 +a(g27 +V +p384 +tp385 +a(g6 +V\u000a \u000a +p386 +tp387 +a(g56 +V +p456 +tp457 +a(g6 +V\u000a \u000a +p458 +tp459 +a(g56 +V +tp467 +a(g6 +V\u000a +tp468 +a(g56 +V +tp476 +a(g6 +V\u000a +p477 +tp478 +a(g56 +V +tp481 +a(g6 +VSample page +p482 +tp483 +a(g56 +V +p484 +tp485 +a(g6 +V\u000a +tp486 +a(g56 +V +p487 +tp488 +a(g6 +V\u000a +tp489 +a(g56 +V +tp492 +a(g6 +V\u000a +p493 +tp494 +a(g56 +V
    +tp507 +a(g6 +V\u000a +p508 +tp509 +a(g56 +V
    +tp512 +a(g6 +V\u000a The current time is: +p513 +tp514 +a(g56 +V +p528 +tp529 +a(g6 +V\u000a +p530 +tp531 +a(g56 +V
    +p532 +tp533 +a(g6 +V\u000a +p534 +tp535 +a(g56 +V
    +p536 +tp537 +a(g6 +V\u000a \u000a +p538 +tp539 +a(g56 +V +p540 +tp541 +a(g6 +V\u000a +tp542 +a(g56 +V +p543 +tp544 +a(g6 +V\u000a +tp545 +a(g6 +V +tp546 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/badcase.java b/tests/examplefiles/output/badcase.java new file mode 100644 index 0000000..14328ee --- /dev/null +++ b/tests/examplefiles/output/badcase.java @@ -0,0 +1,1417 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV// this used to take ages\u000a +p367 +tp368 +a(g139 +Vvoid +p369 +tp370 +a(g189 +V +tp371 +a(g21 +Vfoo +p372 +tp373 +a(g343 +V( +tp374 +a(g343 +V) +tp375 +a(g189 +V +tp376 +a(g131 +Vthrows +p377 +tp378 +a(g189 +V +tp379 +a(g18 +Vxxxxxxxxxxxxxxxxxxxxxx +p380 +tp381 +a(g343 +V{ +tp382 +a(g189 +V +tp383 +a(g343 +V} +tp384 +a(g189 +V\u000a +tp385 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/batchfile.bat b/tests/examplefiles/output/batchfile.bat new file mode 100644 index 0000000..1ad70dd --- /dev/null +++ b/tests/examplefiles/output/batchfile.bat @@ -0,0 +1,2925 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +g28 +g2 +(g3 +g4 +(g106 +g28 +ttRp109 +(dp110 +g17 +g18 +((ltRp111 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp112 +(dp113 +g17 +g18 +((ltRp114 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp115 +(dp116 +g17 +g18 +((ltRp117 +sg8 +g107 +sbsS'Reserved' +p118 +g2 +(g3 +g4 +(g106 +g118 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Declaration' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp126 +(dp127 +g17 +g18 +((ltRp128 +sg8 +g107 +sbsg17 +g18 +((lp129 +g109 +ag119 +ag2 +(g3 +g4 +(g106 +S'Type' +p130 +ttRp131 +(dp132 +g17 +g18 +((ltRp133 +sg8 +g107 +sbag123 +ag126 +ag112 +ag115 +atRp134 +sg130 +g131 +sbsS'Generic' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +S'Prompt' +p138 +g2 +(g3 +g4 +(g135 +g138 +ttRp139 +(dp140 +g17 +g18 +((ltRp141 +sg8 +g136 +sbsg8 +g9 +sS'Deleted' +p142 +g2 +(g3 +g4 +(g135 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g136 +sbsS'Traceback' +p146 +g2 +(g3 +g4 +(g135 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g136 +sbsS'Emph' +p150 +g2 +(g3 +g4 +(g135 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g136 +sbsS'Output' +p154 +g2 +(g3 +g4 +(g135 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g136 +sbsS'Subheading' +p158 +g2 +(g3 +g4 +(g135 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g136 +sbsS'Error' +p162 +g2 +(g3 +g4 +(g135 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g136 +sbsg17 +g18 +((lp166 +g155 +ag151 +ag163 +ag159 +ag147 +ag143 +ag2 +(g3 +g4 +(g135 +S'Heading' +p167 +ttRp168 +(dp169 +g17 +g18 +((ltRp170 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Inserted' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Strong' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g136 +sbag139 +atRp179 +sg175 +g176 +sg171 +g172 +sg167 +g168 +sbsS'Text' +p180 +g2 +(g3 +g4 +(g180 +ttRp181 +(dp182 +g17 +g18 +((lp183 +g2 +(g3 +g4 +(g180 +S'Symbol' +p184 +ttRp185 +(dp186 +g17 +g18 +((ltRp187 +sg8 +g181 +sbag2 +(g3 +g4 +(g180 +S'Whitespace' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g181 +sbatRp192 +sg184 +g185 +sg188 +g189 +sg8 +g9 +sbsS'Punctuation' +p193 +g2 +(g3 +g4 +(g193 +ttRp194 +(dp195 +g17 +g18 +((lp196 +g2 +(g3 +g4 +(g193 +S'Indicator' +p197 +ttRp198 +(dp199 +g17 +g18 +((ltRp200 +sg8 +g194 +sbatRp201 +sg197 +g198 +sg8 +g9 +sbsS'Token' +p202 +g9 +sS'Number' +p203 +g2 +(g3 +g4 +(S'Literal' +p204 +g203 +ttRp205 +(dp206 +S'Bin' +p207 +g2 +(g3 +g4 +(g204 +g203 +g207 +ttRp208 +(dp209 +g17 +g18 +((ltRp210 +sg8 +g205 +sbsS'Binary' +p211 +g2 +(g3 +g4 +(g204 +g203 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g205 +sbsg8 +g2 +(g3 +g4 +(g204 +ttRp215 +(dp216 +S'String' +p217 +g2 +(g3 +g4 +(g204 +g217 +ttRp218 +(dp219 +S'Regex' +p220 +g2 +(g3 +g4 +(g204 +g217 +g220 +ttRp221 +(dp222 +g17 +g18 +((ltRp223 +sg8 +g218 +sbsS'Interpol' +p224 +g2 +(g3 +g4 +(g204 +g217 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g218 +sbsS'Regexp' +p228 +g2 +(g3 +g4 +(g204 +g217 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g218 +sbsg8 +g215 +sS'Heredoc' +p232 +g2 +(g3 +g4 +(g204 +g217 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g218 +sbsS'Double' +p236 +g2 +(g3 +g4 +(g204 +g217 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g218 +sbsg184 +g2 +(g3 +g4 +(g204 +g217 +g184 +ttRp240 +(dp241 +g17 +g18 +((ltRp242 +sg8 +g218 +sbsS'Escape' +p243 +g2 +(g3 +g4 +(g204 +g217 +g243 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g218 +sbsS'Character' +p247 +g2 +(g3 +g4 +(g204 +g217 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g218 +sbsS'Interp' +p251 +g2 +(g3 +g4 +(g204 +g217 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g218 +sbsS'Backtick' +p255 +g2 +(g3 +g4 +(g204 +g217 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g218 +sbsS'Char' +p259 +g2 +(g3 +g4 +(g204 +g217 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g218 +sbsS'Single' +p263 +g2 +(g3 +g4 +(g204 +g217 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g218 +sbsg60 +g2 +(g3 +g4 +(g204 +g217 +g60 +ttRp267 +(dp268 +g17 +g18 +((ltRp269 +sg8 +g218 +sbsS'Doc' +p270 +g2 +(g3 +g4 +(g204 +g217 +g270 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g218 +sbsg17 +g18 +((lp274 +g267 +ag2 +(g3 +g4 +(g204 +g217 +S'Atom' +p275 +ttRp276 +(dp277 +g17 +g18 +((ltRp278 +sg8 +g218 +sbag237 +ag260 +ag252 +ag271 +ag233 +ag256 +ag225 +ag240 +ag229 +ag221 +ag264 +ag248 +ag244 +atRp279 +sg275 +g276 +sbsg8 +g9 +sg203 +g205 +sS'Scalar' +p280 +g2 +(g3 +g4 +(g204 +g280 +ttRp281 +(dp282 +g17 +g18 +((lp283 +g2 +(g3 +g4 +(g204 +g280 +S'Plain' +p284 +ttRp285 +(dp286 +g17 +g18 +((ltRp287 +sg8 +g281 +sbatRp288 +sg8 +g215 +sg284 +g285 +sbsg60 +g2 +(g3 +g4 +(g204 +g60 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g215 +sbsS'Date' +p292 +g2 +(g3 +g4 +(g204 +g292 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g215 +sbsg17 +g18 +((lp296 +g293 +ag218 +ag289 +ag205 +ag281 +atRp297 +sbsS'Decimal' +p298 +g2 +(g3 +g4 +(g204 +g203 +g298 +ttRp299 +(dp300 +g17 +g18 +((ltRp301 +sg8 +g205 +sbsS'Float' +p302 +g2 +(g3 +g4 +(g204 +g203 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g205 +sbsS'Hex' +p306 +g2 +(g3 +g4 +(g204 +g203 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g205 +sbsS'Integer' +p310 +g2 +(g3 +g4 +(g204 +g203 +g310 +ttRp311 +(dp312 +g17 +g18 +((lp313 +g2 +(g3 +g4 +(g204 +g203 +g310 +S'Long' +p314 +ttRp315 +(dp316 +g17 +g18 +((ltRp317 +sg8 +g311 +sbatRp318 +sg314 +g315 +sg8 +g205 +sbsS'Octal' +p319 +g2 +(g3 +g4 +(g204 +g203 +g319 +ttRp320 +(dp321 +g17 +g18 +((ltRp322 +sg8 +g205 +sbsg17 +g18 +((lp323 +g208 +ag212 +ag320 +ag299 +ag2 +(g3 +g4 +(g204 +g203 +S'Oct' +p324 +ttRp325 +(dp326 +g17 +g18 +((ltRp327 +sg8 +g205 +sbag311 +ag303 +ag307 +atRp328 +sg324 +g325 +sbsg204 +g215 +sg60 +g2 +(g3 +g4 +(g60 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g9 +sbsg162 +g2 +(g3 +g4 +(g162 +ttRp332 +(dp333 +g17 +g18 +((ltRp334 +sg8 +g9 +sbsS'Operator' +p335 +g2 +(g3 +g4 +(g335 +ttRp336 +(dp337 +g17 +g18 +((lp338 +g2 +(g3 +g4 +(g335 +S'Word' +p339 +ttRp340 +(dp341 +g17 +g18 +((ltRp342 +sg8 +g336 +sbatRp343 +sg339 +g340 +sg8 +g9 +sbsg17 +g18 +((lp344 +g6 +ag332 +ag136 +ag181 +ag12 +ag194 +ag107 +ag215 +ag336 +ag329 +atRp345 +sg217 +g218 +sbsS'Preproc' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g17 +g18 +((ltRp349 +sg8 +g6 +sbsg263 +g2 +(g3 +g4 +(g5 +g263 +ttRp350 +(dp351 +g17 +g18 +((ltRp352 +sg8 +g6 +sbsS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsg17 +g18 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g17 +g18 +((ltRp361 +sg8 +g6 +sbag347 +ag350 +ag354 +atRp362 +sg358 +g359 +sbVrem this is a demo file. +p363 +tp364 +a(g181 +V\u000a +tp365 +a(g194 +V@ +tp366 +a(g181 +Vr +tp367 +a(g181 +Ve +tp368 +a(g181 +Vm +tp369 +a(g181 +V\u000a +tp370 +a(g194 +V@ +tp371 +a(g107 +Vecho +p372 +tp373 +a(g181 +V +tp374 +a(g107 +Voff +p375 +tp376 +a(g181 +V\u000a +tp377 +a(g181 +V\u000a +tp378 +a(g107 +Vcall +p379 +tp380 +a(g181 +V +tp381 +a(g181 +Vc +tp382 +a(g181 +V: +tp383 +a(g181 +V\u005c +tp384 +a(g181 +Vt +tp385 +a(g181 +Ve +tp386 +a(g181 +Vm +tp387 +a(g181 +Vp +tp388 +a(g181 +V. +tp389 +a(g181 +Vb +tp390 +a(g181 +Va +tp391 +a(g181 +Vt +tp392 +a(g181 +V +tp393 +a(g181 +Vs +tp394 +a(g181 +Vo +tp395 +a(g181 +Vm +tp396 +a(g181 +Ve +tp397 +a(g181 +Va +tp398 +a(g181 +Vr +tp399 +a(g181 +Vg +tp400 +a(g181 +V\u000a +tp401 +a(g107 +Vcall +p402 +tp403 +a(g181 +V +tp404 +a(g41 +V:lab +p405 +tp406 +a(g181 +V +tp407 +a(g181 +Vs +tp408 +a(g181 +Vo +tp409 +a(g181 +Vm +tp410 +a(g181 +Ve +tp411 +a(g181 +Va +tp412 +a(g181 +Vr +tp413 +a(g181 +Vg +tp414 +a(g181 +V\u000a +tp415 +a(g6 +Vrem This next one is wrong in the vim lexer! +p416 +tp417 +a(g181 +V\u000a +tp418 +a(g107 +Vcall +p419 +tp420 +a(g181 +V +tp421 +a(g181 +Vc:temp +p422 +tp423 +a(g181 +V. +tp424 +a(g181 +Vb +tp425 +a(g181 +Va +tp426 +a(g181 +Vt +tp427 +a(g181 +V\u000a +tp428 +a(g181 +V\u000a +tp429 +a(g107 +Vecho +p430 +tp431 +a(g181 +V +tp432 +a(g237 +V"Hi!" +p433 +tp434 +a(g181 +V\u000a +tp435 +a(g107 +Vecho +p436 +tp437 +a(g181 +V +tp438 +a(g181 +Vh +tp439 +a(g181 +Vi +tp440 +a(g181 +V\u000a +tp441 +a(g107 +Vecho +p442 +tp443 +a(g181 +V +tp444 +a(g107 +Von +p445 +tp446 +a(g181 +V\u000a +tp447 +a(g107 +Vecho +p448 +tp449 +a(g181 +V +tp450 +a(g107 +Voff +p451 +tp452 +a(g181 +V\u000a +tp453 +a(g107 +Vecho +p454 +tp455 +a(g181 +V. +tp456 +a(g181 +V\u000a +tp457 +a(g194 +V@ +tp458 +a(g107 +Vecho +p459 +tp460 +a(g181 +V +tp461 +a(g107 +Voff +p462 +tp463 +a(g181 +V\u000a +tp464 +a(g107 +Vif +p465 +tp466 +a(g181 +V +tp467 +a(g107 +Vexist +p468 +tp469 +a(g181 +V +tp470 +a(g181 +V* +tp471 +a(g181 +V. +tp472 +a(g181 +Vl +tp473 +a(g181 +Vo +tp474 +a(g181 +Vg +tp475 +a(g181 +V +tp476 +a(g107 +Vecho +p477 +tp478 +a(g181 +V +tp479 +a(g181 +VT +tp480 +a(g181 +Vh +tp481 +a(g181 +Ve +tp482 +a(g181 +V +tp483 +a(g181 +Vl +tp484 +a(g181 +Vo +tp485 +a(g181 +Vg +tp486 +a(g181 +V +tp487 +a(g181 +Vf +tp488 +a(g181 +Vi +tp489 +a(g181 +Vl +tp490 +a(g181 +Ve +tp491 +a(g181 +V +tp492 +a(g181 +Vh +tp493 +a(g181 +Va +tp494 +a(g181 +Vs +tp495 +a(g181 +V +tp496 +a(g181 +Va +tp497 +a(g181 +Vr +tp498 +a(g181 +Vr +tp499 +a(g181 +Vi +tp500 +a(g181 +Vv +tp501 +a(g181 +Ve +tp502 +a(g181 +Vd +tp503 +a(g181 +V. +tp504 +a(g181 +V\u000a +tp505 +a(g6 +Vrem These are all escapes, also done incorrectly by the vim lexer +p506 +tp507 +a(g181 +V\u000a +tp508 +a(g107 +Vecho +p509 +tp510 +a(g181 +V +tp511 +a(g181 +V^ +tp512 +a(g181 +V^ +tp513 +a(g181 +V +tp514 +a(g181 +V^ +tp515 +a(g181 +V> +tp516 +a(g181 +V +tp517 +a(g181 +V^ +tp518 +a(g181 +V< +tp519 +a(g181 +V +tp520 +a(g181 +V^ +tp521 +a(g194 +V| +tp522 +a(g181 +V\u000a\u000a +p523 +tp524 +a(g12 +Vx +tp525 +a(g336 +V= +tp526 +a(g181 +Vb +tp527 +a(g181 +Ve +tp528 +a(g181 +Vg +tp529 +a(g181 +Vi +tp530 +a(g181 +Vn +tp531 +a(g181 +Vn +tp532 +a(g181 +Vi +tp533 +a(g181 +Vn +tp534 +a(g181 +Vg +tp535 +a(g181 +V\u000a +tp536 +a(g107 +Vsetlocal +p537 +tp538 +a(g181 +V\u000a +tp539 +a(g181 +Vx +tp540 +a(g181 +V +tp541 +a(g336 +V= +tp542 +a(g181 +V +tp543 +a(g181 +Vn +tp544 +a(g181 +Ve +tp545 +a(g181 +Vw +tp546 +a(g181 +V +tp547 +a(g181 +Vt +tp548 +a(g181 +Ve +tp549 +a(g181 +Vx +tp550 +a(g181 +Vt +tp551 +a(g181 +V\u000a +tp552 +a(g107 +Vendlocal +p553 +tp554 +a(g181 +V\u000a +tp555 +a(g181 +V\u000a +tp556 +a(g107 +Vecho +p557 +tp558 +a(g181 +V +tp559 +a(g181 +Vt +tp560 +a(g181 +Ve +tp561 +a(g181 +Vs +tp562 +a(g181 +Vt +tp563 +a(g181 +Vr +tp564 +a(g181 +Ve +tp565 +a(g181 +Vm +tp566 +a(g181 +V +tp567 +a(g181 +Vx +tp568 +a(g181 +V\u000a +tp569 +a(g107 +Vecho +p570 +tp571 +a(g181 +V +tp572 +a(g181 +Vt +tp573 +a(g181 +Ve +tp574 +a(g181 +Vs +tp575 +a(g181 +Vt +tp576 +a(g181 +V +tp577 +a(g181 +Vr +tp578 +a(g181 +Ve +tp579 +a(g181 +Vm +tp580 +a(g181 +V +tp581 +a(g181 +Vx +tp582 +a(g181 +V\u000a +tp583 +a(g181 +V\u000a +tp584 +a(g107 +Vfor +p585 +tp586 +a(g181 +V +tp587 +a(g69 +V%%var +p588 +tp589 +a(g181 +V +tp590 +a(g181 +Vi +tp591 +a(g181 +Vn +tp592 +a(g181 +V +tp593 +a(g181 +V( +tp594 +a(g181 +V* +tp595 +a(g181 +V. +tp596 +a(g181 +Vj +tp597 +a(g181 +Vp +tp598 +a(g181 +Vg +tp599 +a(g181 +V) +tp600 +a(g181 +V +tp601 +a(g107 +Vdo +p602 +tp603 +a(g181 +V +tp604 +a(g107 +Vecho +p605 +tp606 +a(g181 +V +tp607 +a(g69 +V%%var +p608 +tp609 +a(g181 +V\u000a +tp610 +a(g107 +Vfor +p611 +tp612 +a(g181 +V +tp613 +a(g12 +V/D +p614 +tp615 +a(g181 +V +tp616 +a(g69 +V%%var +p617 +tp618 +a(g181 +V +tp619 +a(g181 +Vi +tp620 +a(g181 +Vn +tp621 +a(g181 +V +tp622 +a(g181 +V( +tp623 +a(g181 +Va +tp624 +a(g181 +V +tp625 +a(g181 +Vb +tp626 +a(g181 +V +tp627 +a(g181 +Vc +tp628 +a(g181 +V) +tp629 +a(g181 +V +tp630 +a(g107 +Vdo +p631 +tp632 +a(g181 +V +tp633 +a(g107 +Vecho +p634 +tp635 +a(g181 +V +tp636 +a(g69 +V%%var +p637 +tp638 +a(g181 +V\u000a +tp639 +a(g107 +Vfor +p640 +tp641 +a(g181 +V +tp642 +a(g12 +V/R +p643 +tp644 +a(g181 +V +tp645 +a(g181 +VC +tp646 +a(g181 +V: +tp647 +a(g181 +V\u005c +tp648 +a(g181 +Vt +tp649 +a(g181 +Ve +tp650 +a(g181 +Vm +tp651 +a(g181 +Vp +tp652 +a(g181 +V +tp653 +a(g69 +V%%var +p654 +tp655 +a(g181 +V +tp656 +a(g181 +Vi +tp657 +a(g181 +Vn +tp658 +a(g181 +V +tp659 +a(g181 +V( +tp660 +a(g181 +V* +tp661 +a(g181 +V. +tp662 +a(g181 +Vj +tp663 +a(g181 +Vp +tp664 +a(g181 +Vg +tp665 +a(g181 +V) +tp666 +a(g181 +V +tp667 +a(g107 +Vdo +p668 +tp669 +a(g181 +V +tp670 +a(g181 +Vi +tp671 +a(g181 +Ve +tp672 +a(g181 +Vx +tp673 +a(g181 +Vp +tp674 +a(g181 +Vl +tp675 +a(g181 +Vo +tp676 +a(g181 +Vr +tp677 +a(g181 +Ve +tp678 +a(g181 +V. +tp679 +a(g181 +Ve +tp680 +a(g181 +Vx +tp681 +a(g181 +Ve +tp682 +a(g181 +V +tp683 +a(g69 +V%%var +p684 +tp685 +a(g181 +V\u000a +tp686 +a(g6 +Vrem Vim has this one wrong too. +p687 +tp688 +a(g181 +V\u000a +tp689 +a(g107 +Vfor +p690 +tp691 +a(g181 +V +tp692 +a(g12 +V/L +p693 +tp694 +a(g181 +V +tp695 +a(g69 +V%%var +p696 +tp697 +a(g181 +V +tp698 +a(g181 +Vi +tp699 +a(g181 +Vn +tp700 +a(g181 +V +tp701 +a(g181 +V( +tp702 +a(g205 +V10 +p703 +tp704 +a(g194 +V, +tp705 +a(g205 +V-1 +p706 +tp707 +a(g194 +V, +tp708 +a(g205 +V1 +tp709 +a(g181 +V) +tp710 +a(g181 +V +tp711 +a(g107 +Vdo +p712 +tp713 +a(g181 +V +tp714 +a(g107 +Vecho +p715 +tp716 +a(g181 +V +tp717 +a(g69 +V%%var +p718 +tp719 +a(g181 +V\u000a +tp720 +a(g107 +Vfor +p721 +tp722 +a(g181 +V +tp723 +a(g12 +V/F +p724 +tp725 +a(g181 +V +tp726 +a(g69 +V%%var +p727 +tp728 +a(g181 +V +tp729 +a(g181 +Vi +tp730 +a(g181 +Vn +tp731 +a(g181 +V +tp732 +a(g181 +V( +tp733 +a(g237 +V"hi!" +p734 +tp735 +a(g181 +V) +tp736 +a(g181 +V +tp737 +a(g107 +Vdo +p738 +tp739 +a(g181 +V +tp740 +a(g107 +Vecho +p741 +tp742 +a(g181 +V +tp743 +a(g69 +V%%var +p744 +tp745 +a(g181 +V\u000a +tp746 +a(g107 +Vfor +p747 +tp748 +a(g181 +V +tp749 +a(g12 +V/F +p750 +tp751 +a(g181 +V +tp752 +a(g237 +V"eol=c,skip=1,usebackq" +p753 +tp754 +a(g181 +V +tp755 +a(g69 +V%%var +p756 +tp757 +a(g181 +V +tp758 +a(g181 +Vi +tp759 +a(g181 +Vn +tp760 +a(g181 +V +tp761 +a(g181 +V( +tp762 +a(g256 +V`command` +p763 +tp764 +a(g181 +V) +tp765 +a(g181 +V +tp766 +a(g107 +Vdo +p767 +tp768 +a(g181 +V +tp769 +a(g107 +Vecho +p770 +tp771 +a(g181 +V +tp772 +a(g69 +V%%var +p773 +tp774 +a(g181 +V +tp775 +a(g69 +V%~l +p776 +tp777 +a(g181 +V +tp778 +a(g69 +V%~fl +p779 +tp780 +a(g181 +V +tp781 +a(g69 +V%~dl +p782 +tp783 +a(g181 +V +tp784 +a(g69 +V%~pl +p785 +tp786 +a(g181 +V +tp787 +a(g69 +V%~nl +p788 +tp789 +a(g181 +V +tp790 +a(g69 +V%~xl +p791 +tp792 +a(g181 +V +tp793 +a(g69 +V%~sl +p794 +tp795 +a(g181 +V +tp796 +a(g69 +V%~al +p797 +tp798 +a(g181 +V +tp799 +a(g69 +V%~tl +p800 +tp801 +a(g181 +V +tp802 +a(g69 +V%~zl +p803 +tp804 +a(g181 +V +tp805 +a(g69 +V%~$PATH:l +p806 +tp807 +a(g181 +V +tp808 +a(g69 +V%~dpl +p809 +tp810 +a(g181 +V +tp811 +a(g69 +V%~dp$PATH:l +p812 +tp813 +a(g181 +V +tp814 +a(g69 +V%~ftzal +p815 +tp816 +a(g181 +V\u000a +tp817 +a(g181 +V\u000a +tp818 +a(g107 +Vecho +p819 +tp820 +a(g181 +V +tp821 +a(g181 +Vs +tp822 +a(g181 +Vo +tp823 +a(g181 +Vm +tp824 +a(g181 +Ve +tp825 +a(g181 +V +tp826 +a(g181 +Vf +tp827 +a(g181 +Vi +tp828 +a(g181 +Vl +tp829 +a(g181 +Ve +tp830 +a(g181 +V +tp831 +a(g181 +V? +tp832 +a(g181 +V! +tp833 +a(g181 +V +tp834 +a(g194 +V> +tp835 +a(g181 +V +tp836 +a(g12 +Vsomefile +p837 +tp838 +a(g181 +V. +tp839 +a(g181 +Vt +tp840 +a(g181 +Vx +tp841 +a(g181 +Vt +tp842 +a(g181 +V\u000a +tp843 +a(g181 +V\u000a +tp844 +a(g107 +Vset +p845 +tp846 +a(g181 +V +tp847 +a(g69 +VPATH +p848 +tp849 +a(g336 +V= +tp850 +a(g69 +V%PATH% +p851 +tp852 +a(g181 +V; +tp853 +a(g181 +Vc +tp854 +a(g181 +V: +tp855 +a(g181 +V\u005c +tp856 +a(g181 +Vw +tp857 +a(g181 +Vi +tp858 +a(g181 +Vn +tp859 +a(g181 +Vd +tp860 +a(g181 +Vo +tp861 +a(g181 +Vw +tp862 +a(g181 +Vs +tp863 +a(g181 +V\u000a +tp864 +a(g181 +V\u000a +tp865 +a(g107 +Vgoto +p866 +tp867 +a(g181 +V +tp868 +a(g41 +Vanswer +p869 +tp870 +a(g69 +V%errorlevel% +p871 +tp872 +a(g181 +V\u000a +tp873 +a(g181 +V +tp874 +a(g181 +V +tp875 +a(g181 +V +tp876 +a(g181 +V +tp877 +a(g41 +V:answer0 +p878 +tp879 +a(g181 +V\u000a +tp880 +a(g181 +V +tp881 +a(g181 +V +tp882 +a(g181 +V +tp883 +a(g181 +V +tp884 +a(g107 +Vecho +p885 +tp886 +a(g181 +V +tp887 +a(g181 +VH +tp888 +a(g181 +Vi +tp889 +a(g181 +V +tp890 +a(g181 +Vi +tp891 +a(g181 +Vt +tp892 +a(g181 +V' +tp893 +a(g181 +Vs +tp894 +a(g181 +V +tp895 +a(g181 +Vz +tp896 +a(g181 +Ve +tp897 +a(g181 +Vr +tp898 +a(g181 +Vo +tp899 +a(g181 +V\u000a +tp900 +a(g181 +V +tp901 +a(g181 +V +tp902 +a(g181 +V +tp903 +a(g181 +V +tp904 +a(g41 +V:answer1 +p905 +tp906 +a(g181 +V\u000a +tp907 +a(g181 +V +tp908 +a(g181 +V +tp909 +a(g181 +V +tp910 +a(g181 +V +tp911 +a(g107 +Vecho +p912 +tp913 +a(g181 +V +tp914 +a(g181 +VN +tp915 +a(g181 +Ve +tp916 +a(g181 +Vw +tp917 +a(g181 +V\u000a +tp918 +a(g181 +V\u000a +tp919 +a(g107 +Vif +p920 +tp921 +a(g181 +V +tp922 +a(g107 +Vexist +p923 +tp924 +a(g181 +V +tp925 +a(g181 +Va +tp926 +a(g181 +V +tp927 +a(g107 +Vdel +p928 +tp929 +a(g181 +V +tp930 +a(g181 +Va +tp931 +a(g181 +V\u000a +tp932 +a(g107 +Velse +p933 +tp934 +a(g181 +V +tp935 +a(g107 +Vecho +p936 +tp937 +a(g181 +V +tp938 +a(g181 +VA +tp939 +a(g181 +V +tp940 +a(g181 +Vi +tp941 +a(g181 +Vs +tp942 +a(g181 +V +tp943 +a(g181 +Vm +tp944 +a(g181 +Vi +tp945 +a(g181 +Vs +tp946 +a(g181 +Vs +tp947 +a(g181 +Vi +tp948 +a(g181 +Vn +tp949 +a(g181 +Vg +tp950 +a(g181 +V! +tp951 +a(g181 +V\u000a +tp952 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/boot-9.scm b/tests/examplefiles/output/boot-9.scm new file mode 100644 index 0000000..d99b8ea --- /dev/null +++ b/tests/examplefiles/output/boot-9.scm @@ -0,0 +1,36114 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV;;; installed-scm-file +p367 +tp368 +a(g189 +V\u000a\u000a +p369 +tp370 +a(g7 +V;;;; Copyright (C) 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004 Free Software Foundation, Inc. +p371 +tp372 +a(g189 +V\u000a +tp373 +a(g7 +V;;;; +p374 +tp375 +a(g189 +V\u000a +tp376 +a(g7 +V;;;; This program is free software; you can redistribute it and/or modify +p377 +tp378 +a(g189 +V\u000a +tp379 +a(g7 +V;;;; it under the terms of the GNU General Public License as published by +p380 +tp381 +a(g189 +V\u000a +tp382 +a(g7 +V;;;; the Free Software Foundation; either version 2, or (at your option) +p383 +tp384 +a(g189 +V\u000a +tp385 +a(g7 +V;;;; any later version. +p386 +tp387 +a(g189 +V\u000a +tp388 +a(g7 +V;;;; +p389 +tp390 +a(g189 +V\u000a +tp391 +a(g7 +V;;;; This program is distributed in the hope that it will be useful, +p392 +tp393 +a(g189 +V\u000a +tp394 +a(g7 +V;;;; but WITHOUT ANY WARRANTY; without even the implied warranty of +p395 +tp396 +a(g189 +V\u000a +tp397 +a(g7 +V;;;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +p398 +tp399 +a(g189 +V\u000a +tp400 +a(g7 +V;;;; GNU General Public License for more details. +p401 +tp402 +a(g189 +V\u000a +tp403 +a(g7 +V;;;; +p404 +tp405 +a(g189 +V\u000a +tp406 +a(g7 +V;;;; You should have received a copy of the GNU General Public License +p407 +tp408 +a(g189 +V\u000a +tp409 +a(g7 +V;;;; along with this software; see the file COPYING. If not, write to +p410 +tp411 +a(g189 +V\u000a +tp412 +a(g7 +V;;;; the Free Software Foundation, Inc., 59 Temple Place, Suite 330, +p413 +tp414 +a(g189 +V\u000a +tp415 +a(g7 +V;;;; Boston, MA 02111-1307 USA +p416 +tp417 +a(g189 +V\u000a +tp418 +a(g7 +V;;;; +p419 +tp420 +a(g189 +V\u000a +tp421 +a(g7 +V;;;; As a special exception, the Free Software Foundation gives permission +p422 +tp423 +a(g189 +V\u000a +tp424 +a(g7 +V;;;; for additional uses of the text contained in its release of GUILE. +p425 +tp426 +a(g189 +V\u000a +tp427 +a(g7 +V;;;; +p428 +tp429 +a(g189 +V\u000a +tp430 +a(g7 +V;;;; The exception is that, if you link the GUILE library with other files +p431 +tp432 +a(g189 +V\u000a +tp433 +a(g7 +V;;;; to produce an executable, this does not by itself cause the +p434 +tp435 +a(g189 +V\u000a +tp436 +a(g7 +V;;;; resulting executable to be covered by the GNU General Public License. +p437 +tp438 +a(g189 +V\u000a +tp439 +a(g7 +V;;;; Your use of that executable is in no way restricted on account of +p440 +tp441 +a(g189 +V\u000a +tp442 +a(g7 +V;;;; linking the GUILE library code into it. +p443 +tp444 +a(g189 +V\u000a +tp445 +a(g7 +V;;;; +p446 +tp447 +a(g189 +V\u000a +tp448 +a(g7 +V;;;; This exception does not however invalidate any other reasons why +p449 +tp450 +a(g189 +V\u000a +tp451 +a(g7 +V;;;; the executable file might be covered by the GNU General Public License. +p452 +tp453 +a(g189 +V\u000a +tp454 +a(g7 +V;;;; +p455 +tp456 +a(g189 +V\u000a +tp457 +a(g7 +V;;;; This exception applies only to the code released by the +p458 +tp459 +a(g189 +V\u000a +tp460 +a(g7 +V;;;; Free Software Foundation under the name GUILE. If you copy +p461 +tp462 +a(g189 +V\u000a +tp463 +a(g7 +V;;;; code from other Free Software Foundation releases into a copy of +p464 +tp465 +a(g189 +V\u000a +tp466 +a(g7 +V;;;; GUILE, as the General Public License permits, the exception does +p467 +tp468 +a(g189 +V\u000a +tp469 +a(g7 +V;;;; not apply to the code that you add in this way. To avoid misleading +p470 +tp471 +a(g189 +V\u000a +tp472 +a(g7 +V;;;; anyone as to the status of such modified files, you must delete +p473 +tp474 +a(g189 +V\u000a +tp475 +a(g7 +V;;;; this exception notice from them. +p476 +tp477 +a(g189 +V\u000a +tp478 +a(g7 +V;;;; +p479 +tp480 +a(g189 +V\u000a +tp481 +a(g7 +V;;;; If you write modifications of your own for GUILE, it is your choice +p482 +tp483 +a(g189 +V\u000a +tp484 +a(g7 +V;;;; whether to permit this exception to apply to your modifications. +p485 +tp486 +a(g189 +V\u000a +tp487 +a(g7 +V;;;; If you do not wish that, delete this exception notice. +p488 +tp489 +a(g189 +V\u000a +tp490 +a(g7 +V;;;; +p491 +tp492 +a(g189 +V\u000a \u000a\u000a +p493 +tp494 +a(g7 +V;;; Commentary: +p495 +tp496 +a(g189 +V\u000a\u000a +p497 +tp498 +a(g7 +V;;; This file is the first thing loaded into Guile. It adds many mundane +p499 +tp500 +a(g189 +V\u000a +tp501 +a(g7 +V;;; definitions and a few that are interesting. +p502 +tp503 +a(g189 +V\u000a +tp504 +a(g7 +V;;; +p505 +tp506 +a(g189 +V\u000a +tp507 +a(g7 +V;;; The module system (hence the hierarchical namespace) are defined in this +p508 +tp509 +a(g189 +V\u000a +tp510 +a(g7 +V;;; file. +p511 +tp512 +a(g189 +V\u000a +tp513 +a(g7 +V;;; +p514 +tp515 +a(g189 +V\u000a\u000a +p516 +tp517 +a(g7 +V;;; Code: +p518 +tp519 +a(g189 +V\u000a\u000a \u000a +p520 +tp521 +a(g7 +V;;; {Deprecation} +p522 +tp523 +a(g189 +V\u000a +tp524 +a(g7 +V;;; +p525 +tp526 +a(g189 +V\u000a\u000a +p527 +tp528 +a(g7 +V;; We don't have macros here, but we do want to define +p529 +tp530 +a(g189 +V\u000a +tp531 +a(g7 +V;; `begin-deprecated' early. +p532 +tp533 +a(g189 +V\u000a\u000a +p534 +tp535 +a(g202 +V( +tp536 +a(g111 +Vdefine +p537 +tp538 +a(g73 +Vbegin-deprecated +p539 +tp540 +a(g189 +V\u000a +p541 +tp542 +a(g202 +V( +tp543 +a(g21 +Vprocedure->memoizing-macro +p544 +tp545 +a(g189 +V\u000a +p546 +tp547 +a(g202 +V( +tp548 +a(g111 +Vlambda +p549 +tp550 +a(g202 +V( +tp551 +a(g57 +Vexp +p552 +tp553 +a(g73 +Venv +p554 +tp555 +a(g202 +V) +tp556 +a(g189 +V\u000a +p557 +tp558 +a(g202 +V( +tp559 +a(g111 +Vif +p560 +tp561 +a(g202 +V( +tp562 +a(g21 +Vinclude-deprecated-features +p563 +tp564 +a(g202 +V) +tp565 +a(g189 +V\u000a +p566 +tp567 +a(g343 +V` +tp568 +a(g202 +V( +tp569 +a(g111 +Vbegin +p570 +tp571 +a(g343 +V,@ +p572 +tp573 +a(g202 +V( +tp574 +a(g57 +Vcdr +p575 +tp576 +a(g73 +Vexp +p577 +tp578 +a(g202 +V) +tp579 +a(g202 +V) +tp580 +a(g189 +V\u000a +p581 +tp582 +a(g343 +V` +tp583 +a(g33 +V#f +p584 +tp585 +a(g202 +V) +tp586 +a(g202 +V) +tp587 +a(g202 +V) +tp588 +a(g202 +V) +tp589 +a(g189 +V\u000a\u000a \u000a +p590 +tp591 +a(g7 +V;;; {Features} +p592 +tp593 +a(g189 +V\u000a +tp594 +a(g7 +V;; +p595 +tp596 +a(g189 +V\u000a\u000a +p597 +tp598 +a(g202 +V( +tp599 +a(g111 +Vdefine +p600 +tp601 +a(g202 +V( +tp602 +a(g21 +Vprovide +p603 +tp604 +a(g189 +V +tp605 +a(g73 +Vsym +p606 +tp607 +a(g202 +V) +tp608 +a(g189 +V\u000a +p609 +tp610 +a(g202 +V( +tp611 +a(g111 +Vif +p612 +tp613 +a(g202 +V( +tp614 +a(g57 +Vnot +p615 +tp616 +a(g202 +V( +tp617 +a(g57 +Vmemq +p618 +tp619 +a(g73 +Vsym +p620 +tp621 +a(g189 +V +tp622 +a(g73 +V*features* +p623 +tp624 +a(g202 +V) +tp625 +a(g202 +V) +tp626 +a(g189 +V\u000a +p627 +tp628 +a(g202 +V( +tp629 +a(g111 +Vset! +p630 +tp631 +a(g73 +V*features* +p632 +tp633 +a(g189 +V +tp634 +a(g202 +V( +tp635 +a(g57 +Vcons +p636 +tp637 +a(g73 +Vsym +p638 +tp639 +a(g189 +V +tp640 +a(g73 +V*features* +p641 +tp642 +a(g202 +V) +tp643 +a(g202 +V) +tp644 +a(g202 +V) +tp645 +a(g202 +V) +tp646 +a(g189 +V\u000a\u000a +p647 +tp648 +a(g7 +V;;; Return #t iff FEATURE is available to this Guile interpreter. +p649 +tp650 +a(g189 +V\u000a +tp651 +a(g7 +V;;; In SLIB, provided? also checks to see if the module is available. +p652 +tp653 +a(g189 +V\u000a +tp654 +a(g7 +V;;; We should do that too, but don't. +p655 +tp656 +a(g189 +V\u000a +tp657 +a(g202 +V( +tp658 +a(g111 +Vdefine +p659 +tp660 +a(g202 +V( +tp661 +a(g21 +Vprovided? +p662 +tp663 +a(g189 +V +tp664 +a(g73 +Vfeature +p665 +tp666 +a(g202 +V) +tp667 +a(g189 +V\u000a +p668 +tp669 +a(g202 +V( +tp670 +a(g111 +Vand +p671 +tp672 +a(g202 +V( +tp673 +a(g57 +Vmemq +p674 +tp675 +a(g73 +Vfeature +p676 +tp677 +a(g189 +V +tp678 +a(g73 +V*features* +p679 +tp680 +a(g202 +V) +tp681 +a(g189 +V +tp682 +a(g33 +V#t +p683 +tp684 +a(g202 +V) +tp685 +a(g202 +V) +tp686 +a(g189 +V\u000a\u000a +p687 +tp688 +a(g202 +V( +tp689 +a(g21 +Vbegin-deprecated +p690 +tp691 +a(g189 +V\u000a +p692 +tp693 +a(g202 +V( +tp694 +a(g111 +Vdefine +p695 +tp696 +a(g202 +V( +tp697 +a(g21 +Vfeature? +p698 +tp699 +a(g189 +V +tp700 +a(g73 +Vsym +p701 +tp702 +a(g202 +V) +tp703 +a(g189 +V\u000a +p704 +tp705 +a(g202 +V( +tp706 +a(g21 +Vissue-deprecation-warning +p707 +tp708 +a(g189 +V\u000a +p709 +tp710 +a(g226 +V"`feature?' is deprecated. Use `provided?' instead." +p711 +tp712 +a(g202 +V) +tp713 +a(g189 +V\u000a +p714 +tp715 +a(g202 +V( +tp716 +a(g21 +Vprovided? +p717 +tp718 +a(g189 +V +tp719 +a(g73 +Vsym +p720 +tp721 +a(g202 +V) +tp722 +a(g202 +V) +tp723 +a(g202 +V) +tp724 +a(g189 +V\u000a\u000a +p725 +tp726 +a(g7 +V;;; let format alias simple-format until the more complete version is loaded +p727 +tp728 +a(g189 +V\u000a +tp729 +a(g202 +V( +tp730 +a(g111 +Vdefine +p731 +tp732 +a(g73 +Vformat +p733 +tp734 +a(g189 +V +tp735 +a(g73 +Vsimple-format +p736 +tp737 +a(g202 +V) +tp738 +a(g189 +V\u000a\u000a \u000a +p739 +tp740 +a(g7 +V;;; {R4RS compliance} +p741 +tp742 +a(g189 +V\u000a\u000a +p743 +tp744 +a(g202 +V( +tp745 +a(g21 +Vprimitive-load-path +p746 +tp747 +a(g189 +V +tp748 +a(g226 +V"ice-9/r4rs.scm" +p749 +tp750 +a(g202 +V) +tp751 +a(g189 +V\u000a\u000a \u000a +p752 +tp753 +a(g7 +V;;; {Simple Debugging Tools} +p754 +tp755 +a(g189 +V\u000a +tp756 +a(g7 +V;; +p757 +tp758 +a(g189 +V\u000a\u000a\u000a +p759 +tp760 +a(g7 +V;; peek takes any number of arguments, writes them to the +p761 +tp762 +a(g189 +V\u000a +tp763 +a(g7 +V;; current ouput port, and returns the last argument. +p764 +tp765 +a(g189 +V\u000a +tp766 +a(g7 +V;; It is handy to wrap around an expression to look at +p767 +tp768 +a(g189 +V\u000a +tp769 +a(g7 +V;; a value each time is evaluated, e.g.: +p770 +tp771 +a(g189 +V\u000a +tp772 +a(g7 +V;; +p773 +tp774 +a(g189 +V\u000a +tp775 +a(g7 +V;; (+ 10 (troublesome-fn)) +p776 +tp777 +a(g189 +V\u000a +tp778 +a(g7 +V;; => (+ 10 (pk 'troublesome-fn-returned (troublesome-fn))) +p779 +tp780 +a(g189 +V\u000a +tp781 +a(g7 +V;; +p782 +tp783 +a(g189 +V\u000a\u000a +p784 +tp785 +a(g202 +V( +tp786 +a(g111 +Vdefine +p787 +tp788 +a(g202 +V( +tp789 +a(g21 +Vpeek +p790 +tp791 +a(g189 +V +tp792 +a(g343 +V. +tp793 +a(g189 +V +tp794 +a(g73 +Vstuff +p795 +tp796 +a(g202 +V) +tp797 +a(g189 +V\u000a +p798 +tp799 +a(g202 +V( +tp800 +a(g21 +Vnewline +p801 +tp802 +a(g202 +V) +tp803 +a(g189 +V\u000a +p804 +tp805 +a(g202 +V( +tp806 +a(g57 +Vdisplay +p807 +tp808 +a(g226 +V";;; " +p809 +tp810 +a(g202 +V) +tp811 +a(g189 +V\u000a +p812 +tp813 +a(g202 +V( +tp814 +a(g57 +Vwrite +p815 +tp816 +a(g73 +Vstuff +p817 +tp818 +a(g202 +V) +tp819 +a(g189 +V\u000a +p820 +tp821 +a(g202 +V( +tp822 +a(g21 +Vnewline +p823 +tp824 +a(g202 +V) +tp825 +a(g189 +V\u000a +p826 +tp827 +a(g202 +V( +tp828 +a(g57 +Vcar +p829 +tp830 +a(g202 +V( +tp831 +a(g21 +Vlast-pair +p832 +tp833 +a(g189 +V +tp834 +a(g73 +Vstuff +p835 +tp836 +a(g202 +V) +tp837 +a(g202 +V) +tp838 +a(g202 +V) +tp839 +a(g189 +V\u000a\u000a +p840 +tp841 +a(g202 +V( +tp842 +a(g111 +Vdefine +p843 +tp844 +a(g73 +Vpk +p845 +tp846 +a(g189 +V +tp847 +a(g73 +Vpeek +p848 +tp849 +a(g202 +V) +tp850 +a(g189 +V\u000a\u000a +p851 +tp852 +a(g202 +V( +tp853 +a(g111 +Vdefine +p854 +tp855 +a(g202 +V( +tp856 +a(g21 +Vwarn +p857 +tp858 +a(g189 +V +tp859 +a(g343 +V. +tp860 +a(g189 +V +tp861 +a(g73 +Vstuff +p862 +tp863 +a(g202 +V) +tp864 +a(g189 +V\u000a +p865 +tp866 +a(g202 +V( +tp867 +a(g21 +Vwith-output-to-port +p868 +tp869 +a(g189 +V +tp870 +a(g202 +V( +tp871 +a(g21 +Vcurrent-error-port +p872 +tp873 +a(g202 +V) +tp874 +a(g189 +V\u000a +p875 +tp876 +a(g202 +V( +tp877 +a(g111 +Vlambda +p878 +tp879 +a(g202 +V( +tp880 +a(g202 +V) +tp881 +a(g189 +V\u000a +p882 +tp883 +a(g202 +V( +tp884 +a(g21 +Vnewline +p885 +tp886 +a(g202 +V) +tp887 +a(g189 +V\u000a +p888 +tp889 +a(g202 +V( +tp890 +a(g57 +Vdisplay +p891 +tp892 +a(g226 +V";;; WARNING " +p893 +tp894 +a(g202 +V) +tp895 +a(g189 +V\u000a +p896 +tp897 +a(g202 +V( +tp898 +a(g57 +Vdisplay +p899 +tp900 +a(g73 +Vstuff +p901 +tp902 +a(g202 +V) +tp903 +a(g189 +V\u000a +p904 +tp905 +a(g202 +V( +tp906 +a(g21 +Vnewline +p907 +tp908 +a(g202 +V) +tp909 +a(g189 +V\u000a +p910 +tp911 +a(g202 +V( +tp912 +a(g57 +Vcar +p913 +tp914 +a(g202 +V( +tp915 +a(g21 +Vlast-pair +p916 +tp917 +a(g189 +V +tp918 +a(g73 +Vstuff +p919 +tp920 +a(g202 +V) +tp921 +a(g202 +V) +tp922 +a(g202 +V) +tp923 +a(g202 +V) +tp924 +a(g202 +V) +tp925 +a(g189 +V\u000a\u000a \u000a +p926 +tp927 +a(g7 +V;;; {Trivial Functions} +p928 +tp929 +a(g189 +V\u000a +tp930 +a(g7 +V;;; +p931 +tp932 +a(g189 +V\u000a\u000a +p933 +tp934 +a(g202 +V( +tp935 +a(g111 +Vdefine +p936 +tp937 +a(g202 +V( +tp938 +a(g21 +Videntity +p939 +tp940 +a(g189 +V +tp941 +a(g73 +Vx +tp942 +a(g202 +V) +tp943 +a(g189 +V +tp944 +a(g73 +Vx +tp945 +a(g202 +V) +tp946 +a(g189 +V\u000a +tp947 +a(g202 +V( +tp948 +a(g111 +Vdefine +p949 +tp950 +a(g202 +V( +tp951 +a(g318 +V1 +tp952 +a(g73 +V+ +tp953 +a(g189 +V +tp954 +a(g73 +Vn +tp955 +a(g202 +V) +tp956 +a(g189 +V +tp957 +a(g202 +V( +tp958 +a(g57 +V+ +p959 +tp960 +a(g73 +Vn +tp961 +a(g189 +V +tp962 +a(g318 +V1 +tp963 +a(g202 +V) +tp964 +a(g202 +V) +tp965 +a(g189 +V\u000a +tp966 +a(g202 +V( +tp967 +a(g111 +Vdefine +p968 +tp969 +a(g202 +V( +tp970 +a(g318 +V1 +tp971 +a(g73 +V- +tp972 +a(g189 +V +tp973 +a(g73 +Vn +tp974 +a(g202 +V) +tp975 +a(g189 +V +tp976 +a(g202 +V( +tp977 +a(g57 +V+ +p978 +tp979 +a(g73 +Vn +tp980 +a(g189 +V +tp981 +a(g318 +V-1 +p982 +tp983 +a(g202 +V) +tp984 +a(g202 +V) +tp985 +a(g189 +V\u000a +tp986 +a(g202 +V( +tp987 +a(g111 +Vdefine +p988 +tp989 +a(g202 +V( +tp990 +a(g21 +Vand=> +p991 +tp992 +a(g189 +V +tp993 +a(g73 +Vvalue +p994 +tp995 +a(g189 +V +tp996 +a(g73 +Vprocedure +p997 +tp998 +a(g202 +V) +tp999 +a(g189 +V +tp1000 +a(g202 +V( +tp1001 +a(g111 +Vand +p1002 +tp1003 +a(g73 +Vvalue +p1004 +tp1005 +a(g189 +V +tp1006 +a(g202 +V( +tp1007 +a(g21 +Vprocedure +p1008 +tp1009 +a(g189 +V +tp1010 +a(g73 +Vvalue +p1011 +tp1012 +a(g202 +V) +tp1013 +a(g202 +V) +tp1014 +a(g202 +V) +tp1015 +a(g189 +V\u000a +tp1016 +a(g202 +V( +tp1017 +a(g111 +Vdefine +p1018 +tp1019 +a(g202 +V( +tp1020 +a(g21 +Vmake-hash-table +p1021 +tp1022 +a(g189 +V +tp1023 +a(g73 +Vk +tp1024 +a(g202 +V) +tp1025 +a(g189 +V +tp1026 +a(g202 +V( +tp1027 +a(g57 +Vmake-vector +p1028 +tp1029 +a(g73 +Vk +tp1030 +a(g189 +V +tp1031 +a(g343 +V' +tp1032 +a(g202 +V( +tp1033 +a(g202 +V) +tp1034 +a(g202 +V) +tp1035 +a(g202 +V) +tp1036 +a(g189 +V\u000a\u000a +p1037 +tp1038 +a(g202 +V( +tp1039 +a(g21 +Vbegin-deprecated +p1040 +tp1041 +a(g189 +V\u000a +p1042 +tp1043 +a(g202 +V( +tp1044 +a(g111 +Vdefine +p1045 +tp1046 +a(g202 +V( +tp1047 +a(g21 +Vid +p1048 +tp1049 +a(g189 +V +tp1050 +a(g73 +Vx +tp1051 +a(g202 +V) +tp1052 +a(g189 +V\u000a +p1053 +tp1054 +a(g202 +V( +tp1055 +a(g21 +Vissue-deprecation-warning +p1056 +tp1057 +a(g189 +V +tp1058 +a(g226 +V"`id' is deprecated. Use `identity' instead." +p1059 +tp1060 +a(g202 +V) +tp1061 +a(g189 +V\u000a +p1062 +tp1063 +a(g202 +V( +tp1064 +a(g21 +Videntity +p1065 +tp1066 +a(g189 +V +tp1067 +a(g73 +Vx +tp1068 +a(g202 +V) +tp1069 +a(g202 +V) +tp1070 +a(g189 +V\u000a +p1071 +tp1072 +a(g202 +V( +tp1073 +a(g111 +Vdefine +p1074 +tp1075 +a(g202 +V( +tp1076 +a(g318 +V-1 +p1077 +tp1078 +a(g73 +V+ +tp1079 +a(g189 +V +tp1080 +a(g73 +Vn +tp1081 +a(g202 +V) +tp1082 +a(g189 +V\u000a +p1083 +tp1084 +a(g202 +V( +tp1085 +a(g21 +Vissue-deprecation-warning +p1086 +tp1087 +a(g189 +V +tp1088 +a(g226 +V"`-1+' is deprecated. Use `1-' instead." +p1089 +tp1090 +a(g202 +V) +tp1091 +a(g189 +V\u000a +p1092 +tp1093 +a(g202 +V( +tp1094 +a(g318 +V1 +tp1095 +a(g73 +V- +tp1096 +a(g189 +V +tp1097 +a(g73 +Vn +tp1098 +a(g202 +V) +tp1099 +a(g202 +V) +tp1100 +a(g189 +V\u000a +p1101 +tp1102 +a(g202 +V( +tp1103 +a(g111 +Vdefine +p1104 +tp1105 +a(g202 +V( +tp1106 +a(g21 +Vreturn-it +p1107 +tp1108 +a(g189 +V +tp1109 +a(g343 +V. +tp1110 +a(g189 +V +tp1111 +a(g73 +Vargs +p1112 +tp1113 +a(g202 +V) +tp1114 +a(g189 +V\u000a +p1115 +tp1116 +a(g202 +V( +tp1117 +a(g21 +Vissue-deprecation-warning +p1118 +tp1119 +a(g189 +V +tp1120 +a(g226 +V"`return-it' is deprecated. Use `noop' instead." +p1121 +tp1122 +a(g202 +V) +tp1123 +a(g189 +V\u000a +p1124 +tp1125 +a(g202 +V( +tp1126 +a(g57 +Vapply +p1127 +tp1128 +a(g73 +Vnoop +p1129 +tp1130 +a(g189 +V +tp1131 +a(g73 +Vargs +p1132 +tp1133 +a(g202 +V) +tp1134 +a(g202 +V) +tp1135 +a(g202 +V) +tp1136 +a(g189 +V\u000a\u000a +p1137 +tp1138 +a(g7 +V;;; apply-to-args is functionally redundant with apply and, worse, +p1139 +tp1140 +a(g189 +V\u000a +tp1141 +a(g7 +V;;; is less general than apply since it only takes two arguments. +p1142 +tp1143 +a(g189 +V\u000a +tp1144 +a(g7 +V;;; +p1145 +tp1146 +a(g189 +V\u000a +tp1147 +a(g7 +V;;; On the other hand, apply-to-args is a syntacticly convenient way to +p1148 +tp1149 +a(g189 +V\u000a +tp1150 +a(g7 +V;;; perform binding in many circumstances when the "let" family of +p1151 +tp1152 +a(g189 +V\u000a +tp1153 +a(g7 +V;;; of forms don't cut it. E.g.: +p1154 +tp1155 +a(g189 +V\u000a +tp1156 +a(g7 +V;;; +p1157 +tp1158 +a(g189 +V\u000a +tp1159 +a(g7 +V;;; (apply-to-args (return-3d-mouse-coords) +p1160 +tp1161 +a(g189 +V\u000a +tp1162 +a(g7 +V;;; (lambda (x y z) +p1163 +tp1164 +a(g189 +V\u000a +tp1165 +a(g7 +V;;; ...)) +p1166 +tp1167 +a(g189 +V\u000a +tp1168 +a(g7 +V;;; +p1169 +tp1170 +a(g189 +V\u000a\u000a +p1171 +tp1172 +a(g202 +V( +tp1173 +a(g111 +Vdefine +p1174 +tp1175 +a(g202 +V( +tp1176 +a(g21 +Vapply-to-args +p1177 +tp1178 +a(g189 +V +tp1179 +a(g73 +Vargs +p1180 +tp1181 +a(g189 +V +tp1182 +a(g73 +Vfn +p1183 +tp1184 +a(g202 +V) +tp1185 +a(g189 +V +tp1186 +a(g202 +V( +tp1187 +a(g57 +Vapply +p1188 +tp1189 +a(g73 +Vfn +p1190 +tp1191 +a(g189 +V +tp1192 +a(g73 +Vargs +p1193 +tp1194 +a(g202 +V) +tp1195 +a(g202 +V) +tp1196 +a(g189 +V\u000a\u000a \u000a\u000a +p1197 +tp1198 +a(g7 +V;;; {Integer Math} +p1199 +tp1200 +a(g189 +V\u000a +tp1201 +a(g7 +V;;; +p1202 +tp1203 +a(g189 +V\u000a\u000a +p1204 +tp1205 +a(g202 +V( +tp1206 +a(g111 +Vdefine +p1207 +tp1208 +a(g202 +V( +tp1209 +a(g21 +Vipow-by-squaring +p1210 +tp1211 +a(g189 +V +tp1212 +a(g73 +Vx +tp1213 +a(g189 +V +tp1214 +a(g73 +Vk +tp1215 +a(g189 +V +tp1216 +a(g73 +Vacc +p1217 +tp1218 +a(g189 +V +tp1219 +a(g73 +Vproc +p1220 +tp1221 +a(g202 +V) +tp1222 +a(g189 +V\u000a +p1223 +tp1224 +a(g202 +V( +tp1225 +a(g111 +Vcond +p1226 +tp1227 +a(g202 +V( +tp1228 +a(g202 +V( +tp1229 +a(g57 +Vzero? +p1230 +tp1231 +a(g73 +Vk +tp1232 +a(g202 +V) +tp1233 +a(g189 +V +tp1234 +a(g73 +Vacc +p1235 +tp1236 +a(g202 +V) +tp1237 +a(g189 +V\u000a +p1238 +tp1239 +a(g202 +V( +tp1240 +a(g202 +V( +tp1241 +a(g57 +V= +p1242 +tp1243 +a(g318 +V1 +tp1244 +a(g189 +V +tp1245 +a(g73 +Vk +tp1246 +a(g202 +V) +tp1247 +a(g189 +V +tp1248 +a(g202 +V( +tp1249 +a(g21 +Vproc +p1250 +tp1251 +a(g189 +V +tp1252 +a(g73 +Vacc +p1253 +tp1254 +a(g189 +V +tp1255 +a(g73 +Vx +tp1256 +a(g202 +V) +tp1257 +a(g202 +V) +tp1258 +a(g189 +V\u000a +p1259 +tp1260 +a(g202 +V( +tp1261 +a(g111 +Velse +p1262 +tp1263 +a(g202 +V( +tp1264 +a(g21 +Vipow-by-squaring +p1265 +tp1266 +a(g189 +V +tp1267 +a(g202 +V( +tp1268 +a(g21 +Vproc +p1269 +tp1270 +a(g189 +V +tp1271 +a(g73 +Vx +tp1272 +a(g189 +V +tp1273 +a(g73 +Vx +tp1274 +a(g202 +V) +tp1275 +a(g189 +V\u000a +p1276 +tp1277 +a(g202 +V( +tp1278 +a(g57 +Vquotient +p1279 +tp1280 +a(g73 +Vk +tp1281 +a(g189 +V +tp1282 +a(g318 +V2 +tp1283 +a(g202 +V) +tp1284 +a(g189 +V\u000a +p1285 +tp1286 +a(g202 +V( +tp1287 +a(g111 +Vif +p1288 +tp1289 +a(g202 +V( +tp1290 +a(g57 +Veven? +p1291 +tp1292 +a(g73 +Vk +tp1293 +a(g202 +V) +tp1294 +a(g189 +V +tp1295 +a(g73 +Vacc +p1296 +tp1297 +a(g189 +V +tp1298 +a(g202 +V( +tp1299 +a(g21 +Vproc +p1300 +tp1301 +a(g189 +V +tp1302 +a(g73 +Vacc +p1303 +tp1304 +a(g189 +V +tp1305 +a(g73 +Vx +tp1306 +a(g202 +V) +tp1307 +a(g202 +V) +tp1308 +a(g189 +V\u000a +p1309 +tp1310 +a(g73 +Vproc +p1311 +tp1312 +a(g202 +V) +tp1313 +a(g202 +V) +tp1314 +a(g202 +V) +tp1315 +a(g202 +V) +tp1316 +a(g189 +V\u000a\u000a +p1317 +tp1318 +a(g202 +V( +tp1319 +a(g21 +Vbegin-deprecated +p1320 +tp1321 +a(g189 +V\u000a +p1322 +tp1323 +a(g202 +V( +tp1324 +a(g111 +Vdefine +p1325 +tp1326 +a(g202 +V( +tp1327 +a(g21 +Vstring-character-length +p1328 +tp1329 +a(g189 +V +tp1330 +a(g73 +Vs +tp1331 +a(g202 +V) +tp1332 +a(g189 +V\u000a +p1333 +tp1334 +a(g202 +V( +tp1335 +a(g21 +Vissue-deprecation-warning +p1336 +tp1337 +a(g189 +V +tp1338 +a(g226 +V"`string-character-length' is deprecated. Use `string-length' instead." +p1339 +tp1340 +a(g202 +V) +tp1341 +a(g189 +V\u000a +p1342 +tp1343 +a(g202 +V( +tp1344 +a(g57 +Vstring-length +p1345 +tp1346 +a(g73 +Vs +tp1347 +a(g202 +V) +tp1348 +a(g202 +V) +tp1349 +a(g189 +V\u000a +p1350 +tp1351 +a(g202 +V( +tp1352 +a(g111 +Vdefine +p1353 +tp1354 +a(g202 +V( +tp1355 +a(g21 +Vflags +p1356 +tp1357 +a(g189 +V +tp1358 +a(g343 +V. +tp1359 +a(g189 +V +tp1360 +a(g73 +Vargs +p1361 +tp1362 +a(g202 +V) +tp1363 +a(g189 +V\u000a +p1364 +tp1365 +a(g202 +V( +tp1366 +a(g21 +Vissue-deprecation-warning +p1367 +tp1368 +a(g189 +V +tp1369 +a(g226 +V"`flags' is deprecated. Use `logior' instead." +p1370 +tp1371 +a(g202 +V) +tp1372 +a(g189 +V\u000a +p1373 +tp1374 +a(g202 +V( +tp1375 +a(g57 +Vapply +p1376 +tp1377 +a(g73 +Vlogior +p1378 +tp1379 +a(g189 +V +tp1380 +a(g73 +Vargs +p1381 +tp1382 +a(g202 +V) +tp1383 +a(g202 +V) +tp1384 +a(g202 +V) +tp1385 +a(g189 +V\u000a\u000a \u000a +p1386 +tp1387 +a(g7 +V;;; {Symbol Properties} +p1388 +tp1389 +a(g189 +V\u000a +tp1390 +a(g7 +V;;; +p1391 +tp1392 +a(g189 +V\u000a\u000a +p1393 +tp1394 +a(g202 +V( +tp1395 +a(g111 +Vdefine +p1396 +tp1397 +a(g202 +V( +tp1398 +a(g21 +Vsymbol-property +p1399 +tp1400 +a(g189 +V +tp1401 +a(g73 +Vsym +p1402 +tp1403 +a(g189 +V +tp1404 +a(g73 +Vprop +p1405 +tp1406 +a(g202 +V) +tp1407 +a(g189 +V\u000a +p1408 +tp1409 +a(g202 +V( +tp1410 +a(g111 +Vlet +p1411 +tp1412 +a(g202 +V( +tp1413 +a(g202 +V( +tp1414 +a(g21 +Vpair +p1415 +tp1416 +a(g189 +V +tp1417 +a(g202 +V( +tp1418 +a(g57 +Vassoc +p1419 +tp1420 +a(g73 +Vprop +p1421 +tp1422 +a(g189 +V +tp1423 +a(g202 +V( +tp1424 +a(g21 +Vsymbol-pref +p1425 +tp1426 +a(g189 +V +tp1427 +a(g73 +Vsym +p1428 +tp1429 +a(g202 +V) +tp1430 +a(g202 +V) +tp1431 +a(g202 +V) +tp1432 +a(g202 +V) +tp1433 +a(g189 +V\u000a +p1434 +tp1435 +a(g202 +V( +tp1436 +a(g111 +Vand +p1437 +tp1438 +a(g73 +Vpair +p1439 +tp1440 +a(g189 +V +tp1441 +a(g202 +V( +tp1442 +a(g57 +Vcdr +p1443 +tp1444 +a(g73 +Vpair +p1445 +tp1446 +a(g202 +V) +tp1447 +a(g202 +V) +tp1448 +a(g202 +V) +tp1449 +a(g202 +V) +tp1450 +a(g189 +V\u000a\u000a +p1451 +tp1452 +a(g202 +V( +tp1453 +a(g111 +Vdefine +p1454 +tp1455 +a(g202 +V( +tp1456 +a(g21 +Vset-symbol-property! +p1457 +tp1458 +a(g189 +V +tp1459 +a(g73 +Vsym +p1460 +tp1461 +a(g189 +V +tp1462 +a(g73 +Vprop +p1463 +tp1464 +a(g189 +V +tp1465 +a(g73 +Vval +p1466 +tp1467 +a(g202 +V) +tp1468 +a(g189 +V\u000a +p1469 +tp1470 +a(g202 +V( +tp1471 +a(g111 +Vlet +p1472 +tp1473 +a(g202 +V( +tp1474 +a(g202 +V( +tp1475 +a(g21 +Vpair +p1476 +tp1477 +a(g189 +V +tp1478 +a(g202 +V( +tp1479 +a(g57 +Vassoc +p1480 +tp1481 +a(g73 +Vprop +p1482 +tp1483 +a(g189 +V +tp1484 +a(g202 +V( +tp1485 +a(g21 +Vsymbol-pref +p1486 +tp1487 +a(g189 +V +tp1488 +a(g73 +Vsym +p1489 +tp1490 +a(g202 +V) +tp1491 +a(g202 +V) +tp1492 +a(g202 +V) +tp1493 +a(g202 +V) +tp1494 +a(g189 +V\u000a +p1495 +tp1496 +a(g202 +V( +tp1497 +a(g111 +Vif +p1498 +tp1499 +a(g73 +Vpair +p1500 +tp1501 +a(g189 +V\u000a +p1502 +tp1503 +a(g202 +V( +tp1504 +a(g57 +Vset-cdr! +p1505 +tp1506 +a(g73 +Vpair +p1507 +tp1508 +a(g189 +V +tp1509 +a(g73 +Vval +p1510 +tp1511 +a(g202 +V) +tp1512 +a(g189 +V\u000a +p1513 +tp1514 +a(g202 +V( +tp1515 +a(g21 +Vsymbol-pset! +p1516 +tp1517 +a(g189 +V +tp1518 +a(g73 +Vsym +p1519 +tp1520 +a(g189 +V +tp1521 +a(g202 +V( +tp1522 +a(g21 +Vacons +p1523 +tp1524 +a(g189 +V +tp1525 +a(g73 +Vprop +p1526 +tp1527 +a(g189 +V +tp1528 +a(g73 +Vval +p1529 +tp1530 +a(g189 +V +tp1531 +a(g202 +V( +tp1532 +a(g21 +Vsymbol-pref +p1533 +tp1534 +a(g189 +V +tp1535 +a(g73 +Vsym +p1536 +tp1537 +a(g202 +V) +tp1538 +a(g202 +V) +tp1539 +a(g202 +V) +tp1540 +a(g202 +V) +tp1541 +a(g202 +V) +tp1542 +a(g202 +V) +tp1543 +a(g189 +V\u000a\u000a +p1544 +tp1545 +a(g202 +V( +tp1546 +a(g111 +Vdefine +p1547 +tp1548 +a(g202 +V( +tp1549 +a(g21 +Vsymbol-property-remove! +p1550 +tp1551 +a(g189 +V +tp1552 +a(g73 +Vsym +p1553 +tp1554 +a(g189 +V +tp1555 +a(g73 +Vprop +p1556 +tp1557 +a(g202 +V) +tp1558 +a(g189 +V\u000a +p1559 +tp1560 +a(g202 +V( +tp1561 +a(g111 +Vlet +p1562 +tp1563 +a(g202 +V( +tp1564 +a(g202 +V( +tp1565 +a(g21 +Vpair +p1566 +tp1567 +a(g189 +V +tp1568 +a(g202 +V( +tp1569 +a(g57 +Vassoc +p1570 +tp1571 +a(g73 +Vprop +p1572 +tp1573 +a(g189 +V +tp1574 +a(g202 +V( +tp1575 +a(g21 +Vsymbol-pref +p1576 +tp1577 +a(g189 +V +tp1578 +a(g73 +Vsym +p1579 +tp1580 +a(g202 +V) +tp1581 +a(g202 +V) +tp1582 +a(g202 +V) +tp1583 +a(g202 +V) +tp1584 +a(g189 +V\u000a +p1585 +tp1586 +a(g202 +V( +tp1587 +a(g111 +Vif +p1588 +tp1589 +a(g73 +Vpair +p1590 +tp1591 +a(g189 +V\u000a +p1592 +tp1593 +a(g202 +V( +tp1594 +a(g21 +Vsymbol-pset! +p1595 +tp1596 +a(g189 +V +tp1597 +a(g73 +Vsym +p1598 +tp1599 +a(g189 +V +tp1600 +a(g202 +V( +tp1601 +a(g21 +Vdelq! +p1602 +tp1603 +a(g189 +V +tp1604 +a(g73 +Vpair +p1605 +tp1606 +a(g189 +V +tp1607 +a(g202 +V( +tp1608 +a(g21 +Vsymbol-pref +p1609 +tp1610 +a(g189 +V +tp1611 +a(g73 +Vsym +p1612 +tp1613 +a(g202 +V) +tp1614 +a(g202 +V) +tp1615 +a(g202 +V) +tp1616 +a(g202 +V) +tp1617 +a(g202 +V) +tp1618 +a(g202 +V) +tp1619 +a(g189 +V\u000a\u000a +p1620 +tp1621 +a(g7 +V;;; {General Properties} +p1622 +tp1623 +a(g189 +V\u000a +tp1624 +a(g7 +V;;; +p1625 +tp1626 +a(g189 +V\u000a\u000a +p1627 +tp1628 +a(g7 +V;; This is a more modern interface to properties. It will replace all +p1629 +tp1630 +a(g189 +V\u000a +tp1631 +a(g7 +V;; other property-like things eventually. +p1632 +tp1633 +a(g189 +V\u000a\u000a +p1634 +tp1635 +a(g202 +V( +tp1636 +a(g111 +Vdefine +p1637 +tp1638 +a(g202 +V( +tp1639 +a(g21 +Vmake-object-property +p1640 +tp1641 +a(g202 +V) +tp1642 +a(g189 +V\u000a +p1643 +tp1644 +a(g202 +V( +tp1645 +a(g111 +Vlet +p1646 +tp1647 +a(g202 +V( +tp1648 +a(g202 +V( +tp1649 +a(g21 +Vprop +p1650 +tp1651 +a(g189 +V +tp1652 +a(g202 +V( +tp1653 +a(g21 +Vprimitive-make-property +p1654 +tp1655 +a(g189 +V +tp1656 +a(g33 +V#f +p1657 +tp1658 +a(g202 +V) +tp1659 +a(g202 +V) +tp1660 +a(g202 +V) +tp1661 +a(g189 +V\u000a +p1662 +tp1663 +a(g202 +V( +tp1664 +a(g21 +Vmake-procedure-with-setter +p1665 +tp1666 +a(g189 +V\u000a +p1667 +tp1668 +a(g202 +V( +tp1669 +a(g111 +Vlambda +p1670 +tp1671 +a(g202 +V( +tp1672 +a(g21 +Vobj +p1673 +tp1674 +a(g202 +V) +tp1675 +a(g189 +V +tp1676 +a(g202 +V( +tp1677 +a(g21 +Vprimitive-property-ref +p1678 +tp1679 +a(g189 +V +tp1680 +a(g73 +Vprop +p1681 +tp1682 +a(g189 +V +tp1683 +a(g73 +Vobj +p1684 +tp1685 +a(g202 +V) +tp1686 +a(g202 +V) +tp1687 +a(g189 +V\u000a +p1688 +tp1689 +a(g202 +V( +tp1690 +a(g111 +Vlambda +p1691 +tp1692 +a(g202 +V( +tp1693 +a(g21 +Vobj +p1694 +tp1695 +a(g189 +V +tp1696 +a(g73 +Vval +p1697 +tp1698 +a(g202 +V) +tp1699 +a(g189 +V +tp1700 +a(g202 +V( +tp1701 +a(g21 +Vprimitive-property-set! +p1702 +tp1703 +a(g189 +V +tp1704 +a(g73 +Vprop +p1705 +tp1706 +a(g189 +V +tp1707 +a(g73 +Vobj +p1708 +tp1709 +a(g189 +V +tp1710 +a(g73 +Vval +p1711 +tp1712 +a(g202 +V) +tp1713 +a(g202 +V) +tp1714 +a(g202 +V) +tp1715 +a(g202 +V) +tp1716 +a(g202 +V) +tp1717 +a(g189 +V\u000a\u000a \u000a\u000a +p1718 +tp1719 +a(g7 +V;;; {Arrays} +p1720 +tp1721 +a(g189 +V\u000a +tp1722 +a(g7 +V;;; +p1723 +tp1724 +a(g189 +V\u000a\u000a +p1725 +tp1726 +a(g202 +V( +tp1727 +a(g111 +Vif +p1728 +tp1729 +a(g202 +V( +tp1730 +a(g21 +Vprovided? +p1731 +tp1732 +a(g189 +V +tp1733 +a(g248 +V'array +p1734 +tp1735 +a(g202 +V) +tp1736 +a(g189 +V\u000a +p1737 +tp1738 +a(g202 +V( +tp1739 +a(g21 +Vprimitive-load-path +p1740 +tp1741 +a(g189 +V +tp1742 +a(g226 +V"ice-9/arrays.scm" +p1743 +tp1744 +a(g202 +V) +tp1745 +a(g202 +V) +tp1746 +a(g189 +V\u000a\u000a \u000a +p1747 +tp1748 +a(g7 +V;;; {Keywords} +p1749 +tp1750 +a(g189 +V\u000a +tp1751 +a(g7 +V;;; +p1752 +tp1753 +a(g189 +V\u000a\u000a +p1754 +tp1755 +a(g202 +V( +tp1756 +a(g111 +Vdefine +p1757 +tp1758 +a(g202 +V( +tp1759 +a(g21 +Vsymbol->keyword +p1760 +tp1761 +a(g189 +V +tp1762 +a(g73 +Vsymbol +p1763 +tp1764 +a(g202 +V) +tp1765 +a(g189 +V\u000a +p1766 +tp1767 +a(g202 +V( +tp1768 +a(g21 +Vmake-keyword-from-dash-symbol +p1769 +tp1770 +a(g189 +V +tp1771 +a(g202 +V( +tp1772 +a(g21 +Vsymbol-append +p1773 +tp1774 +a(g189 +V +tp1775 +a(g248 +V'- +p1776 +tp1777 +a(g189 +V +tp1778 +a(g73 +Vsymbol +p1779 +tp1780 +a(g202 +V) +tp1781 +a(g202 +V) +tp1782 +a(g202 +V) +tp1783 +a(g189 +V\u000a\u000a +p1784 +tp1785 +a(g202 +V( +tp1786 +a(g111 +Vdefine +p1787 +tp1788 +a(g202 +V( +tp1789 +a(g21 +Vkeyword->symbol +p1790 +tp1791 +a(g189 +V +tp1792 +a(g73 +Vkw +p1793 +tp1794 +a(g202 +V) +tp1795 +a(g189 +V\u000a +p1796 +tp1797 +a(g202 +V( +tp1798 +a(g111 +Vlet +p1799 +tp1800 +a(g202 +V( +tp1801 +a(g202 +V( +tp1802 +a(g21 +Vsym +p1803 +tp1804 +a(g189 +V +tp1805 +a(g202 +V( +tp1806 +a(g57 +Vsymbol->string +p1807 +tp1808 +a(g202 +V( +tp1809 +a(g21 +Vkeyword-dash-symbol +p1810 +tp1811 +a(g189 +V +tp1812 +a(g73 +Vkw +p1813 +tp1814 +a(g202 +V) +tp1815 +a(g202 +V) +tp1816 +a(g202 +V) +tp1817 +a(g202 +V) +tp1818 +a(g189 +V\u000a +p1819 +tp1820 +a(g202 +V( +tp1821 +a(g57 +Vstring->symbol +p1822 +tp1823 +a(g202 +V( +tp1824 +a(g57 +Vsubstring +p1825 +tp1826 +a(g73 +Vsym +p1827 +tp1828 +a(g189 +V +tp1829 +a(g318 +V1 +tp1830 +a(g189 +V +tp1831 +a(g202 +V( +tp1832 +a(g57 +Vstring-length +p1833 +tp1834 +a(g73 +Vsym +p1835 +tp1836 +a(g202 +V) +tp1837 +a(g202 +V) +tp1838 +a(g202 +V) +tp1839 +a(g202 +V) +tp1840 +a(g202 +V) +tp1841 +a(g189 +V\u000a\u000a +p1842 +tp1843 +a(g202 +V( +tp1844 +a(g111 +Vdefine +p1845 +tp1846 +a(g202 +V( +tp1847 +a(g21 +Vkw-arg-ref +p1848 +tp1849 +a(g189 +V +tp1850 +a(g73 +Vargs +p1851 +tp1852 +a(g189 +V +tp1853 +a(g73 +Vkw +p1854 +tp1855 +a(g202 +V) +tp1856 +a(g189 +V\u000a +p1857 +tp1858 +a(g202 +V( +tp1859 +a(g111 +Vlet +p1860 +tp1861 +a(g202 +V( +tp1862 +a(g202 +V( +tp1863 +a(g21 +Vrem +p1864 +tp1865 +a(g189 +V +tp1866 +a(g202 +V( +tp1867 +a(g57 +Vmember +p1868 +tp1869 +a(g73 +Vkw +p1870 +tp1871 +a(g189 +V +tp1872 +a(g73 +Vargs +p1873 +tp1874 +a(g202 +V) +tp1875 +a(g202 +V) +tp1876 +a(g202 +V) +tp1877 +a(g189 +V\u000a +p1878 +tp1879 +a(g202 +V( +tp1880 +a(g111 +Vand +p1881 +tp1882 +a(g73 +Vrem +p1883 +tp1884 +a(g189 +V +tp1885 +a(g202 +V( +tp1886 +a(g57 +Vpair? +p1887 +tp1888 +a(g202 +V( +tp1889 +a(g57 +Vcdr +p1890 +tp1891 +a(g73 +Vrem +p1892 +tp1893 +a(g202 +V) +tp1894 +a(g202 +V) +tp1895 +a(g189 +V +tp1896 +a(g202 +V( +tp1897 +a(g57 +Vcadr +p1898 +tp1899 +a(g73 +Vrem +p1900 +tp1901 +a(g202 +V) +tp1902 +a(g202 +V) +tp1903 +a(g202 +V) +tp1904 +a(g202 +V) +tp1905 +a(g189 +V\u000a\u000a \u000a\u000a +p1906 +tp1907 +a(g7 +V;;; {Structs} +p1908 +tp1909 +a(g189 +V\u000a\u000a +p1910 +tp1911 +a(g202 +V( +tp1912 +a(g111 +Vdefine +p1913 +tp1914 +a(g202 +V( +tp1915 +a(g21 +Vstruct-layout +p1916 +tp1917 +a(g189 +V +tp1918 +a(g73 +Vs +tp1919 +a(g202 +V) +tp1920 +a(g189 +V\u000a +p1921 +tp1922 +a(g202 +V( +tp1923 +a(g21 +Vstruct-ref +p1924 +tp1925 +a(g189 +V +tp1926 +a(g202 +V( +tp1927 +a(g21 +Vstruct-vtable +p1928 +tp1929 +a(g189 +V +tp1930 +a(g73 +Vs +tp1931 +a(g202 +V) +tp1932 +a(g189 +V +tp1933 +a(g73 +Vvtable-index-layout +p1934 +tp1935 +a(g202 +V) +tp1936 +a(g202 +V) +tp1937 +a(g189 +V\u000a\u000a \u000a\u000a +p1938 +tp1939 +a(g7 +V;;; Environments +p1940 +tp1941 +a(g189 +V\u000a\u000a +p1942 +tp1943 +a(g202 +V( +tp1944 +a(g111 +Vdefine +p1945 +tp1946 +a(g73 +Vthe-environment +p1947 +tp1948 +a(g189 +V\u000a +p1949 +tp1950 +a(g202 +V( +tp1951 +a(g21 +Vprocedure->syntax +p1952 +tp1953 +a(g189 +V\u000a +p1954 +tp1955 +a(g202 +V( +tp1956 +a(g111 +Vlambda +p1957 +tp1958 +a(g202 +V( +tp1959 +a(g21 +Vx +tp1960 +a(g189 +V +tp1961 +a(g73 +Ve +tp1962 +a(g202 +V) +tp1963 +a(g189 +V\u000a +p1964 +tp1965 +a(g73 +Ve +tp1966 +a(g202 +V) +tp1967 +a(g202 +V) +tp1968 +a(g202 +V) +tp1969 +a(g189 +V\u000a\u000a +p1970 +tp1971 +a(g202 +V( +tp1972 +a(g111 +Vdefine +p1973 +tp1974 +a(g73 +Vthe-root-environment +p1975 +tp1976 +a(g189 +V +tp1977 +a(g202 +V( +tp1978 +a(g21 +Vthe-environment +p1979 +tp1980 +a(g202 +V) +tp1981 +a(g202 +V) +tp1982 +a(g189 +V\u000a\u000a +p1983 +tp1984 +a(g202 +V( +tp1985 +a(g111 +Vdefine +p1986 +tp1987 +a(g202 +V( +tp1988 +a(g21 +Venvironment-module +p1989 +tp1990 +a(g189 +V +tp1991 +a(g73 +Venv +p1992 +tp1993 +a(g202 +V) +tp1994 +a(g189 +V\u000a +p1995 +tp1996 +a(g202 +V( +tp1997 +a(g111 +Vlet +p1998 +tp1999 +a(g202 +V( +tp2000 +a(g202 +V( +tp2001 +a(g21 +Vclosure +p2002 +tp2003 +a(g189 +V +tp2004 +a(g202 +V( +tp2005 +a(g111 +Vand +p2006 +tp2007 +a(g202 +V( +tp2008 +a(g57 +Vpair? +p2009 +tp2010 +a(g73 +Venv +p2011 +tp2012 +a(g202 +V) +tp2013 +a(g189 +V +tp2014 +a(g202 +V( +tp2015 +a(g57 +Vcar +p2016 +tp2017 +a(g202 +V( +tp2018 +a(g21 +Vlast-pair +p2019 +tp2020 +a(g189 +V +tp2021 +a(g73 +Venv +p2022 +tp2023 +a(g202 +V) +tp2024 +a(g202 +V) +tp2025 +a(g202 +V) +tp2026 +a(g202 +V) +tp2027 +a(g202 +V) +tp2028 +a(g189 +V\u000a +p2029 +tp2030 +a(g202 +V( +tp2031 +a(g111 +Vand +p2032 +tp2033 +a(g73 +Vclosure +p2034 +tp2035 +a(g189 +V +tp2036 +a(g202 +V( +tp2037 +a(g21 +Vprocedure-property +p2038 +tp2039 +a(g189 +V +tp2040 +a(g73 +Vclosure +p2041 +tp2042 +a(g189 +V +tp2043 +a(g248 +V'module +p2044 +tp2045 +a(g202 +V) +tp2046 +a(g202 +V) +tp2047 +a(g202 +V) +tp2048 +a(g202 +V) +tp2049 +a(g189 +V\u000a\u000a \u000a +p2050 +tp2051 +a(g7 +V;;; {Records} +p2052 +tp2053 +a(g189 +V\u000a +tp2054 +a(g7 +V;;; +p2055 +tp2056 +a(g189 +V\u000a\u000a +p2057 +tp2058 +a(g7 +V;; Printing records: by default, records are printed as +p2059 +tp2060 +a(g189 +V\u000a +tp2061 +a(g7 +V;; +p2062 +tp2063 +a(g189 +V\u000a +tp2064 +a(g7 +V;; # +p2065 +tp2066 +a(g189 +V\u000a +tp2067 +a(g7 +V;; +p2068 +tp2069 +a(g189 +V\u000a +tp2070 +a(g7 +V;; You can change that by giving a custom printing function to +p2071 +tp2072 +a(g189 +V\u000a +tp2073 +a(g7 +V;; MAKE-RECORD-TYPE (after the list of field symbols). This function +p2074 +tp2075 +a(g189 +V\u000a +tp2076 +a(g7 +V;; will be called like +p2077 +tp2078 +a(g189 +V\u000a +tp2079 +a(g7 +V;; +p2080 +tp2081 +a(g189 +V\u000a +tp2082 +a(g7 +V;; ( object port) +p2083 +tp2084 +a(g189 +V\u000a +tp2085 +a(g7 +V;; +p2086 +tp2087 +a(g189 +V\u000a +tp2088 +a(g7 +V;; It should print OBJECT to PORT. +p2089 +tp2090 +a(g189 +V\u000a\u000a +p2091 +tp2092 +a(g202 +V( +tp2093 +a(g111 +Vdefine +p2094 +tp2095 +a(g202 +V( +tp2096 +a(g21 +Vinherit-print-state +p2097 +tp2098 +a(g189 +V +tp2099 +a(g73 +Vold-port +p2100 +tp2101 +a(g189 +V +tp2102 +a(g73 +Vnew-port +p2103 +tp2104 +a(g202 +V) +tp2105 +a(g189 +V\u000a +p2106 +tp2107 +a(g202 +V( +tp2108 +a(g111 +Vif +p2109 +tp2110 +a(g202 +V( +tp2111 +a(g21 +Vget-print-state +p2112 +tp2113 +a(g189 +V +tp2114 +a(g73 +Vold-port +p2115 +tp2116 +a(g202 +V) +tp2117 +a(g189 +V\u000a +p2118 +tp2119 +a(g202 +V( +tp2120 +a(g21 +Vport-with-print-state +p2121 +tp2122 +a(g189 +V +tp2123 +a(g73 +Vnew-port +p2124 +tp2125 +a(g189 +V +tp2126 +a(g202 +V( +tp2127 +a(g21 +Vget-print-state +p2128 +tp2129 +a(g189 +V +tp2130 +a(g73 +Vold-port +p2131 +tp2132 +a(g202 +V) +tp2133 +a(g202 +V) +tp2134 +a(g189 +V\u000a +p2135 +tp2136 +a(g73 +Vnew-port +p2137 +tp2138 +a(g202 +V) +tp2139 +a(g202 +V) +tp2140 +a(g189 +V\u000a\u000a +p2141 +tp2142 +a(g7 +V;; 0: type-name, 1: fields +p2143 +tp2144 +a(g189 +V\u000a +tp2145 +a(g202 +V( +tp2146 +a(g111 +Vdefine +p2147 +tp2148 +a(g73 +Vrecord-type-vtable +p2149 +tp2150 +a(g189 +V\u000a +p2151 +tp2152 +a(g202 +V( +tp2153 +a(g21 +Vmake-vtable-vtable +p2154 +tp2155 +a(g189 +V +tp2156 +a(g226 +V"prpr" +p2157 +tp2158 +a(g189 +V +tp2159 +a(g318 +V0 +tp2160 +a(g189 +V\u000a +p2161 +tp2162 +a(g202 +V( +tp2163 +a(g111 +Vlambda +p2164 +tp2165 +a(g202 +V( +tp2166 +a(g21 +Vs +tp2167 +a(g189 +V +tp2168 +a(g73 +Vp +tp2169 +a(g202 +V) +tp2170 +a(g189 +V\u000a +p2171 +tp2172 +a(g202 +V( +tp2173 +a(g111 +Vcond +p2174 +tp2175 +a(g202 +V( +tp2176 +a(g202 +V( +tp2177 +a(g57 +Veq? +p2178 +tp2179 +a(g73 +Vs +tp2180 +a(g189 +V +tp2181 +a(g73 +Vrecord-type-vtable +p2182 +tp2183 +a(g202 +V) +tp2184 +a(g189 +V\u000a +p2185 +tp2186 +a(g202 +V( +tp2187 +a(g57 +Vdisplay +p2188 +tp2189 +a(g226 +V"#" +p2190 +tp2191 +a(g189 +V +tp2192 +a(g73 +Vp +tp2193 +a(g202 +V) +tp2194 +a(g202 +V) +tp2195 +a(g189 +V\u000a +p2196 +tp2197 +a(g202 +V( +tp2198 +a(g21 +Velse +p2199 +tp2200 +a(g189 +V\u000a +p2201 +tp2202 +a(g202 +V( +tp2203 +a(g57 +Vdisplay +p2204 +tp2205 +a(g226 +V"#" +p2230 +tp2231 +a(g189 +V +tp2232 +a(g73 +Vp +tp2233 +a(g202 +V) +tp2234 +a(g202 +V) +tp2235 +a(g202 +V) +tp2236 +a(g202 +V) +tp2237 +a(g202 +V) +tp2238 +a(g202 +V) +tp2239 +a(g189 +V\u000a\u000a +p2240 +tp2241 +a(g202 +V( +tp2242 +a(g111 +Vdefine +p2243 +tp2244 +a(g202 +V( +tp2245 +a(g21 +Vrecord-type? +p2246 +tp2247 +a(g189 +V +tp2248 +a(g73 +Vobj +p2249 +tp2250 +a(g202 +V) +tp2251 +a(g189 +V\u000a +p2252 +tp2253 +a(g202 +V( +tp2254 +a(g111 +Vand +p2255 +tp2256 +a(g202 +V( +tp2257 +a(g21 +Vstruct? +p2258 +tp2259 +a(g189 +V +tp2260 +a(g73 +Vobj +p2261 +tp2262 +a(g202 +V) +tp2263 +a(g189 +V +tp2264 +a(g202 +V( +tp2265 +a(g57 +Veq? +p2266 +tp2267 +a(g73 +Vrecord-type-vtable +p2268 +tp2269 +a(g189 +V +tp2270 +a(g202 +V( +tp2271 +a(g21 +Vstruct-vtable +p2272 +tp2273 +a(g189 +V +tp2274 +a(g73 +Vobj +p2275 +tp2276 +a(g202 +V) +tp2277 +a(g202 +V) +tp2278 +a(g202 +V) +tp2279 +a(g202 +V) +tp2280 +a(g189 +V\u000a\u000a +p2281 +tp2282 +a(g202 +V( +tp2283 +a(g111 +Vdefine +p2284 +tp2285 +a(g202 +V( +tp2286 +a(g21 +Vmake-record-type +p2287 +tp2288 +a(g189 +V +tp2289 +a(g73 +Vtype-name +p2290 +tp2291 +a(g189 +V +tp2292 +a(g73 +Vfields +p2293 +tp2294 +a(g189 +V +tp2295 +a(g343 +V. +tp2296 +a(g189 +V +tp2297 +a(g73 +Vopt +p2298 +tp2299 +a(g202 +V) +tp2300 +a(g189 +V\u000a +p2301 +tp2302 +a(g202 +V( +tp2303 +a(g111 +Vlet +p2304 +tp2305 +a(g202 +V( +tp2306 +a(g202 +V( +tp2307 +a(g21 +Vprinter-fn +p2308 +tp2309 +a(g189 +V +tp2310 +a(g202 +V( +tp2311 +a(g111 +Vand +p2312 +tp2313 +a(g202 +V( +tp2314 +a(g57 +Vpair? +p2315 +tp2316 +a(g73 +Vopt +p2317 +tp2318 +a(g202 +V) +tp2319 +a(g189 +V +tp2320 +a(g202 +V( +tp2321 +a(g57 +Vcar +p2322 +tp2323 +a(g73 +Vopt +p2324 +tp2325 +a(g202 +V) +tp2326 +a(g202 +V) +tp2327 +a(g202 +V) +tp2328 +a(g202 +V) +tp2329 +a(g189 +V\u000a +p2330 +tp2331 +a(g202 +V( +tp2332 +a(g111 +Vlet +p2333 +tp2334 +a(g202 +V( +tp2335 +a(g202 +V( +tp2336 +a(g21 +Vstruct +p2337 +tp2338 +a(g189 +V +tp2339 +a(g202 +V( +tp2340 +a(g21 +Vmake-struct +p2341 +tp2342 +a(g189 +V +tp2343 +a(g73 +Vrecord-type-vtable +p2344 +tp2345 +a(g189 +V +tp2346 +a(g318 +V0 +tp2347 +a(g189 +V\u000a +p2348 +tp2349 +a(g202 +V( +tp2350 +a(g21 +Vmake-struct-layout +p2351 +tp2352 +a(g189 +V\u000a +p2353 +tp2354 +a(g202 +V( +tp2355 +a(g57 +Vapply +p2356 +tp2357 +a(g73 +Vstring-append +p2358 +tp2359 +a(g189 +V\u000a +p2360 +tp2361 +a(g202 +V( +tp2362 +a(g57 +Vmap +p2363 +tp2364 +a(g202 +V( +tp2365 +a(g111 +Vlambda +p2366 +tp2367 +a(g202 +V( +tp2368 +a(g21 +Vf +tp2369 +a(g202 +V) +tp2370 +a(g189 +V +tp2371 +a(g226 +V"pw" +p2372 +tp2373 +a(g202 +V) +tp2374 +a(g189 +V +tp2375 +a(g73 +Vfields +p2376 +tp2377 +a(g202 +V) +tp2378 +a(g202 +V) +tp2379 +a(g202 +V) +tp2380 +a(g189 +V\u000a +p2381 +tp2382 +a(g202 +V( +tp2383 +a(g111 +Vor +p2384 +tp2385 +a(g73 +Vprinter-fn +p2386 +tp2387 +a(g189 +V\u000a +p2388 +tp2389 +a(g202 +V( +tp2390 +a(g111 +Vlambda +p2391 +tp2392 +a(g202 +V( +tp2393 +a(g21 +Vs +tp2394 +a(g189 +V +tp2395 +a(g73 +Vp +tp2396 +a(g202 +V) +tp2397 +a(g189 +V\u000a +p2398 +tp2399 +a(g202 +V( +tp2400 +a(g57 +Vdisplay +p2401 +tp2402 +a(g226 +V"#<" +p2403 +tp2404 +a(g189 +V +tp2405 +a(g73 +Vp +tp2406 +a(g202 +V) +tp2407 +a(g189 +V\u000a +p2408 +tp2409 +a(g202 +V( +tp2410 +a(g57 +Vdisplay +p2411 +tp2412 +a(g73 +Vtype-name +p2413 +tp2414 +a(g189 +V +tp2415 +a(g73 +Vp +tp2416 +a(g202 +V) +tp2417 +a(g189 +V\u000a +p2418 +tp2419 +a(g202 +V( +tp2420 +a(g111 +Vlet +p2421 +tp2422 +a(g73 +Vloop +p2423 +tp2424 +a(g189 +V +tp2425 +a(g202 +V( +tp2426 +a(g202 +V( +tp2427 +a(g21 +Vfields +p2428 +tp2429 +a(g189 +V +tp2430 +a(g73 +Vfields +p2431 +tp2432 +a(g202 +V) +tp2433 +a(g189 +V\u000a +p2434 +tp2435 +a(g202 +V( +tp2436 +a(g21 +Voff +p2437 +tp2438 +a(g189 +V +tp2439 +a(g318 +V0 +tp2440 +a(g202 +V) +tp2441 +a(g202 +V) +tp2442 +a(g189 +V\u000a +p2443 +tp2444 +a(g202 +V( +tp2445 +a(g21 +Vcond +p2446 +tp2447 +a(g189 +V\u000a +p2448 +tp2449 +a(g202 +V( +tp2450 +a(g202 +V( +tp2451 +a(g57 +Vnot +p2452 +tp2453 +a(g202 +V( +tp2454 +a(g57 +Vnull? +p2455 +tp2456 +a(g73 +Vfields +p2457 +tp2458 +a(g202 +V) +tp2459 +a(g202 +V) +tp2460 +a(g189 +V\u000a +p2461 +tp2462 +a(g202 +V( +tp2463 +a(g57 +Vdisplay +p2464 +tp2465 +a(g226 +V" " +p2466 +tp2467 +a(g189 +V +tp2468 +a(g73 +Vp +tp2469 +a(g202 +V) +tp2470 +a(g189 +V\u000a +p2471 +tp2472 +a(g202 +V( +tp2473 +a(g57 +Vdisplay +p2474 +tp2475 +a(g202 +V( +tp2476 +a(g57 +Vcar +p2477 +tp2478 +a(g73 +Vfields +p2479 +tp2480 +a(g202 +V) +tp2481 +a(g189 +V +tp2482 +a(g73 +Vp +tp2483 +a(g202 +V) +tp2484 +a(g189 +V\u000a +p2485 +tp2486 +a(g202 +V( +tp2487 +a(g57 +Vdisplay +p2488 +tp2489 +a(g226 +V": " +p2490 +tp2491 +a(g189 +V +tp2492 +a(g73 +Vp +tp2493 +a(g202 +V) +tp2494 +a(g189 +V\u000a +p2495 +tp2496 +a(g202 +V( +tp2497 +a(g57 +Vdisplay +p2498 +tp2499 +a(g202 +V( +tp2500 +a(g21 +Vstruct-ref +p2501 +tp2502 +a(g189 +V +tp2503 +a(g73 +Vs +tp2504 +a(g189 +V +tp2505 +a(g73 +Voff +p2506 +tp2507 +a(g202 +V) +tp2508 +a(g189 +V +tp2509 +a(g73 +Vp +tp2510 +a(g202 +V) +tp2511 +a(g189 +V\u000a +p2512 +tp2513 +a(g202 +V( +tp2514 +a(g21 +Vloop +p2515 +tp2516 +a(g189 +V +tp2517 +a(g202 +V( +tp2518 +a(g57 +Vcdr +p2519 +tp2520 +a(g73 +Vfields +p2521 +tp2522 +a(g202 +V) +tp2523 +a(g189 +V +tp2524 +a(g202 +V( +tp2525 +a(g57 +V+ +p2526 +tp2527 +a(g318 +V1 +tp2528 +a(g189 +V +tp2529 +a(g73 +Voff +p2530 +tp2531 +a(g202 +V) +tp2532 +a(g202 +V) +tp2533 +a(g202 +V) +tp2534 +a(g202 +V) +tp2535 +a(g202 +V) +tp2536 +a(g189 +V\u000a +p2537 +tp2538 +a(g202 +V( +tp2539 +a(g57 +Vdisplay +p2540 +tp2541 +a(g226 +V">" +p2542 +tp2543 +a(g189 +V +tp2544 +a(g73 +Vp +tp2545 +a(g202 +V) +tp2546 +a(g202 +V) +tp2547 +a(g202 +V) +tp2548 +a(g189 +V\u000a +p2549 +tp2550 +a(g73 +Vtype-name +p2551 +tp2552 +a(g189 +V\u000a +p2553 +tp2554 +a(g202 +V( +tp2555 +a(g21 +Vcopy-tree +p2556 +tp2557 +a(g189 +V +tp2558 +a(g73 +Vfields +p2559 +tp2560 +a(g202 +V) +tp2561 +a(g202 +V) +tp2562 +a(g202 +V) +tp2563 +a(g202 +V) +tp2564 +a(g189 +V\u000a +p2565 +tp2566 +a(g7 +V;; Temporary solution: Associate a name to the record type descriptor +p2567 +tp2568 +a(g189 +V\u000a +p2569 +tp2570 +a(g7 +V;; so that the object system can create a wrapper class for it. +p2571 +tp2572 +a(g189 +V\u000a +p2573 +tp2574 +a(g202 +V( +tp2575 +a(g21 +Vset-struct-vtable-name! +p2576 +tp2577 +a(g189 +V +tp2578 +a(g73 +Vstruct +p2579 +tp2580 +a(g189 +V +tp2581 +a(g202 +V( +tp2582 +a(g111 +Vif +p2583 +tp2584 +a(g202 +V( +tp2585 +a(g57 +Vsymbol? +p2586 +tp2587 +a(g73 +Vtype-name +p2588 +tp2589 +a(g202 +V) +tp2590 +a(g189 +V\u000a +p2591 +tp2592 +a(g73 +Vtype-name +p2593 +tp2594 +a(g189 +V\u000a +p2595 +tp2596 +a(g202 +V( +tp2597 +a(g57 +Vstring->symbol +p2598 +tp2599 +a(g73 +Vtype-name +p2600 +tp2601 +a(g202 +V) +tp2602 +a(g202 +V) +tp2603 +a(g202 +V) +tp2604 +a(g189 +V\u000a +p2605 +tp2606 +a(g73 +Vstruct +p2607 +tp2608 +a(g202 +V) +tp2609 +a(g202 +V) +tp2610 +a(g202 +V) +tp2611 +a(g189 +V\u000a\u000a +p2612 +tp2613 +a(g202 +V( +tp2614 +a(g111 +Vdefine +p2615 +tp2616 +a(g202 +V( +tp2617 +a(g21 +Vrecord-type-name +p2618 +tp2619 +a(g189 +V +tp2620 +a(g73 +Vobj +p2621 +tp2622 +a(g202 +V) +tp2623 +a(g189 +V\u000a +p2624 +tp2625 +a(g202 +V( +tp2626 +a(g111 +Vif +p2627 +tp2628 +a(g202 +V( +tp2629 +a(g21 +Vrecord-type? +p2630 +tp2631 +a(g189 +V +tp2632 +a(g73 +Vobj +p2633 +tp2634 +a(g202 +V) +tp2635 +a(g189 +V\u000a +p2636 +tp2637 +a(g202 +V( +tp2638 +a(g21 +Vstruct-ref +p2639 +tp2640 +a(g189 +V +tp2641 +a(g73 +Vobj +p2642 +tp2643 +a(g189 +V +tp2644 +a(g73 +Vvtable-offset-user +p2645 +tp2646 +a(g202 +V) +tp2647 +a(g189 +V\u000a +p2648 +tp2649 +a(g202 +V( +tp2650 +a(g21 +Verror +p2651 +tp2652 +a(g189 +V +tp2653 +a(g248 +V'not-a-record-type +p2654 +tp2655 +a(g189 +V +tp2656 +a(g73 +Vobj +p2657 +tp2658 +a(g202 +V) +tp2659 +a(g202 +V) +tp2660 +a(g202 +V) +tp2661 +a(g189 +V\u000a\u000a +p2662 +tp2663 +a(g202 +V( +tp2664 +a(g111 +Vdefine +p2665 +tp2666 +a(g202 +V( +tp2667 +a(g21 +Vrecord-type-fields +p2668 +tp2669 +a(g189 +V +tp2670 +a(g73 +Vobj +p2671 +tp2672 +a(g202 +V) +tp2673 +a(g189 +V\u000a +p2674 +tp2675 +a(g202 +V( +tp2676 +a(g111 +Vif +p2677 +tp2678 +a(g202 +V( +tp2679 +a(g21 +Vrecord-type? +p2680 +tp2681 +a(g189 +V +tp2682 +a(g73 +Vobj +p2683 +tp2684 +a(g202 +V) +tp2685 +a(g189 +V\u000a +p2686 +tp2687 +a(g202 +V( +tp2688 +a(g21 +Vstruct-ref +p2689 +tp2690 +a(g189 +V +tp2691 +a(g73 +Vobj +p2692 +tp2693 +a(g189 +V +tp2694 +a(g202 +V( +tp2695 +a(g57 +V+ +p2696 +tp2697 +a(g318 +V1 +tp2698 +a(g189 +V +tp2699 +a(g73 +Vvtable-offset-user +p2700 +tp2701 +a(g202 +V) +tp2702 +a(g202 +V) +tp2703 +a(g189 +V\u000a +p2704 +tp2705 +a(g202 +V( +tp2706 +a(g21 +Verror +p2707 +tp2708 +a(g189 +V +tp2709 +a(g248 +V'not-a-record-type +p2710 +tp2711 +a(g189 +V +tp2712 +a(g73 +Vobj +p2713 +tp2714 +a(g202 +V) +tp2715 +a(g202 +V) +tp2716 +a(g202 +V) +tp2717 +a(g189 +V\u000a\u000a +p2718 +tp2719 +a(g202 +V( +tp2720 +a(g111 +Vdefine +p2721 +tp2722 +a(g202 +V( +tp2723 +a(g21 +Vrecord-constructor +p2724 +tp2725 +a(g189 +V +tp2726 +a(g73 +Vrtd +p2727 +tp2728 +a(g189 +V +tp2729 +a(g343 +V. +tp2730 +a(g189 +V +tp2731 +a(g73 +Vopt +p2732 +tp2733 +a(g202 +V) +tp2734 +a(g189 +V\u000a +p2735 +tp2736 +a(g202 +V( +tp2737 +a(g111 +Vlet +p2738 +tp2739 +a(g202 +V( +tp2740 +a(g202 +V( +tp2741 +a(g21 +Vfield-names +p2742 +tp2743 +a(g189 +V +tp2744 +a(g202 +V( +tp2745 +a(g111 +Vif +p2746 +tp2747 +a(g202 +V( +tp2748 +a(g57 +Vpair? +p2749 +tp2750 +a(g73 +Vopt +p2751 +tp2752 +a(g202 +V) +tp2753 +a(g189 +V +tp2754 +a(g202 +V( +tp2755 +a(g57 +Vcar +p2756 +tp2757 +a(g73 +Vopt +p2758 +tp2759 +a(g202 +V) +tp2760 +a(g189 +V +tp2761 +a(g202 +V( +tp2762 +a(g21 +Vrecord-type-fields +p2763 +tp2764 +a(g189 +V +tp2765 +a(g73 +Vrtd +p2766 +tp2767 +a(g202 +V) +tp2768 +a(g202 +V) +tp2769 +a(g202 +V) +tp2770 +a(g202 +V) +tp2771 +a(g189 +V\u000a +p2772 +tp2773 +a(g202 +V( +tp2774 +a(g21 +Vlocal-eval +p2775 +tp2776 +a(g189 +V +tp2777 +a(g343 +V` +tp2778 +a(g202 +V( +tp2779 +a(g111 +Vlambda +p2780 +tp2781 +a(g343 +V, +tp2782 +a(g73 +Vfield-names +p2783 +tp2784 +a(g189 +V\u000a +p2785 +tp2786 +a(g202 +V( +tp2787 +a(g21 +Vmake-struct +p2788 +tp2789 +a(g189 +V +tp2790 +a(g248 +V',rtd +p2791 +tp2792 +a(g189 +V +tp2793 +a(g318 +V0 +tp2794 +a(g189 +V +tp2795 +a(g343 +V,@ +p2796 +tp2797 +a(g202 +V( +tp2798 +a(g57 +Vmap +p2799 +tp2800 +a(g202 +V( +tp2801 +a(g111 +Vlambda +p2802 +tp2803 +a(g202 +V( +tp2804 +a(g21 +Vf +tp2805 +a(g202 +V) +tp2806 +a(g189 +V\u000a +p2807 +tp2808 +a(g202 +V( +tp2809 +a(g111 +Vif +p2810 +tp2811 +a(g202 +V( +tp2812 +a(g57 +Vmemq +p2813 +tp2814 +a(g73 +Vf +tp2815 +a(g189 +V +tp2816 +a(g73 +Vfield-names +p2817 +tp2818 +a(g202 +V) +tp2819 +a(g189 +V\u000a +p2820 +tp2821 +a(g73 +Vf +tp2822 +a(g189 +V\u000a +p2823 +tp2824 +a(g33 +V#f +p2825 +tp2826 +a(g202 +V) +tp2827 +a(g202 +V) +tp2828 +a(g189 +V\u000a +p2829 +tp2830 +a(g202 +V( +tp2831 +a(g21 +Vrecord-type-fields +p2832 +tp2833 +a(g189 +V +tp2834 +a(g73 +Vrtd +p2835 +tp2836 +a(g202 +V) +tp2837 +a(g202 +V) +tp2838 +a(g202 +V) +tp2839 +a(g202 +V) +tp2840 +a(g189 +V\u000a +p2841 +tp2842 +a(g73 +Vthe-root-environment +p2843 +tp2844 +a(g202 +V) +tp2845 +a(g202 +V) +tp2846 +a(g202 +V) +tp2847 +a(g189 +V\u000a\u000a +p2848 +tp2849 +a(g202 +V( +tp2850 +a(g111 +Vdefine +p2851 +tp2852 +a(g202 +V( +tp2853 +a(g21 +Vrecord-predicate +p2854 +tp2855 +a(g189 +V +tp2856 +a(g73 +Vrtd +p2857 +tp2858 +a(g202 +V) +tp2859 +a(g189 +V\u000a +p2860 +tp2861 +a(g202 +V( +tp2862 +a(g111 +Vlambda +p2863 +tp2864 +a(g202 +V( +tp2865 +a(g21 +Vobj +p2866 +tp2867 +a(g202 +V) +tp2868 +a(g189 +V +tp2869 +a(g202 +V( +tp2870 +a(g111 +Vand +p2871 +tp2872 +a(g202 +V( +tp2873 +a(g21 +Vstruct? +p2874 +tp2875 +a(g189 +V +tp2876 +a(g73 +Vobj +p2877 +tp2878 +a(g202 +V) +tp2879 +a(g189 +V +tp2880 +a(g202 +V( +tp2881 +a(g57 +Veq? +p2882 +tp2883 +a(g73 +Vrtd +p2884 +tp2885 +a(g189 +V +tp2886 +a(g202 +V( +tp2887 +a(g21 +Vstruct-vtable +p2888 +tp2889 +a(g189 +V +tp2890 +a(g73 +Vobj +p2891 +tp2892 +a(g202 +V) +tp2893 +a(g202 +V) +tp2894 +a(g202 +V) +tp2895 +a(g202 +V) +tp2896 +a(g202 +V) +tp2897 +a(g189 +V\u000a\u000a +p2898 +tp2899 +a(g202 +V( +tp2900 +a(g111 +Vdefine +p2901 +tp2902 +a(g202 +V( +tp2903 +a(g21 +Vrecord-accessor +p2904 +tp2905 +a(g189 +V +tp2906 +a(g73 +Vrtd +p2907 +tp2908 +a(g189 +V +tp2909 +a(g73 +Vfield-name +p2910 +tp2911 +a(g202 +V) +tp2912 +a(g189 +V\u000a +p2913 +tp2914 +a(g202 +V( +tp2915 +a(g111 +Vlet* +p2916 +tp2917 +a(g202 +V( +tp2918 +a(g202 +V( +tp2919 +a(g21 +Vpos +p2920 +tp2921 +a(g189 +V +tp2922 +a(g202 +V( +tp2923 +a(g21 +Vlist-index +p2924 +tp2925 +a(g189 +V +tp2926 +a(g202 +V( +tp2927 +a(g21 +Vrecord-type-fields +p2928 +tp2929 +a(g189 +V +tp2930 +a(g73 +Vrtd +p2931 +tp2932 +a(g202 +V) +tp2933 +a(g189 +V +tp2934 +a(g73 +Vfield-name +p2935 +tp2936 +a(g202 +V) +tp2937 +a(g202 +V) +tp2938 +a(g202 +V) +tp2939 +a(g189 +V\u000a +p2940 +tp2941 +a(g202 +V( +tp2942 +a(g111 +Vif +p2943 +tp2944 +a(g202 +V( +tp2945 +a(g57 +Vnot +p2946 +tp2947 +a(g73 +Vpos +p2948 +tp2949 +a(g202 +V) +tp2950 +a(g189 +V\u000a +p2951 +tp2952 +a(g202 +V( +tp2953 +a(g21 +Verror +p2954 +tp2955 +a(g189 +V +tp2956 +a(g248 +V'no-such-field +p2957 +tp2958 +a(g189 +V +tp2959 +a(g73 +Vfield-name +p2960 +tp2961 +a(g202 +V) +tp2962 +a(g202 +V) +tp2963 +a(g189 +V\u000a +p2964 +tp2965 +a(g202 +V( +tp2966 +a(g21 +Vlocal-eval +p2967 +tp2968 +a(g189 +V +tp2969 +a(g343 +V` +tp2970 +a(g202 +V( +tp2971 +a(g111 +Vlambda +p2972 +tp2973 +a(g202 +V( +tp2974 +a(g21 +Vobj +p2975 +tp2976 +a(g202 +V) +tp2977 +a(g189 +V\u000a +p2978 +tp2979 +a(g202 +V( +tp2980 +a(g111 +Vand +p2981 +tp2982 +a(g202 +V( +tp2983 +a(g57 +Veq? +p2984 +tp2985 +a(g248 +V',rtd +p2986 +tp2987 +a(g189 +V +tp2988 +a(g202 +V( +tp2989 +a(g21 +Vrecord-type-descriptor +p2990 +tp2991 +a(g189 +V +tp2992 +a(g73 +Vobj +p2993 +tp2994 +a(g202 +V) +tp2995 +a(g202 +V) +tp2996 +a(g189 +V\u000a +p2997 +tp2998 +a(g202 +V( +tp2999 +a(g21 +Vstruct-ref +p3000 +tp3001 +a(g189 +V +tp3002 +a(g73 +Vobj +p3003 +tp3004 +a(g189 +V +tp3005 +a(g343 +V, +tp3006 +a(g73 +Vpos +p3007 +tp3008 +a(g202 +V) +tp3009 +a(g202 +V) +tp3010 +a(g202 +V) +tp3011 +a(g189 +V\u000a +p3012 +tp3013 +a(g73 +Vthe-root-environment +p3014 +tp3015 +a(g202 +V) +tp3016 +a(g202 +V) +tp3017 +a(g202 +V) +tp3018 +a(g189 +V\u000a\u000a +p3019 +tp3020 +a(g202 +V( +tp3021 +a(g111 +Vdefine +p3022 +tp3023 +a(g202 +V( +tp3024 +a(g21 +Vrecord-modifier +p3025 +tp3026 +a(g189 +V +tp3027 +a(g73 +Vrtd +p3028 +tp3029 +a(g189 +V +tp3030 +a(g73 +Vfield-name +p3031 +tp3032 +a(g202 +V) +tp3033 +a(g189 +V\u000a +p3034 +tp3035 +a(g202 +V( +tp3036 +a(g111 +Vlet* +p3037 +tp3038 +a(g202 +V( +tp3039 +a(g202 +V( +tp3040 +a(g21 +Vpos +p3041 +tp3042 +a(g189 +V +tp3043 +a(g202 +V( +tp3044 +a(g21 +Vlist-index +p3045 +tp3046 +a(g189 +V +tp3047 +a(g202 +V( +tp3048 +a(g21 +Vrecord-type-fields +p3049 +tp3050 +a(g189 +V +tp3051 +a(g73 +Vrtd +p3052 +tp3053 +a(g202 +V) +tp3054 +a(g189 +V +tp3055 +a(g73 +Vfield-name +p3056 +tp3057 +a(g202 +V) +tp3058 +a(g202 +V) +tp3059 +a(g202 +V) +tp3060 +a(g189 +V\u000a +p3061 +tp3062 +a(g202 +V( +tp3063 +a(g111 +Vif +p3064 +tp3065 +a(g202 +V( +tp3066 +a(g57 +Vnot +p3067 +tp3068 +a(g73 +Vpos +p3069 +tp3070 +a(g202 +V) +tp3071 +a(g189 +V\u000a +p3072 +tp3073 +a(g202 +V( +tp3074 +a(g21 +Verror +p3075 +tp3076 +a(g189 +V +tp3077 +a(g248 +V'no-such-field +p3078 +tp3079 +a(g189 +V +tp3080 +a(g73 +Vfield-name +p3081 +tp3082 +a(g202 +V) +tp3083 +a(g202 +V) +tp3084 +a(g189 +V\u000a +p3085 +tp3086 +a(g202 +V( +tp3087 +a(g21 +Vlocal-eval +p3088 +tp3089 +a(g189 +V +tp3090 +a(g343 +V` +tp3091 +a(g202 +V( +tp3092 +a(g111 +Vlambda +p3093 +tp3094 +a(g202 +V( +tp3095 +a(g21 +Vobj +p3096 +tp3097 +a(g189 +V +tp3098 +a(g73 +Vval +p3099 +tp3100 +a(g202 +V) +tp3101 +a(g189 +V\u000a +p3102 +tp3103 +a(g202 +V( +tp3104 +a(g111 +Vand +p3105 +tp3106 +a(g202 +V( +tp3107 +a(g57 +Veq? +p3108 +tp3109 +a(g248 +V',rtd +p3110 +tp3111 +a(g189 +V +tp3112 +a(g202 +V( +tp3113 +a(g21 +Vrecord-type-descriptor +p3114 +tp3115 +a(g189 +V +tp3116 +a(g73 +Vobj +p3117 +tp3118 +a(g202 +V) +tp3119 +a(g202 +V) +tp3120 +a(g189 +V\u000a +p3121 +tp3122 +a(g202 +V( +tp3123 +a(g21 +Vstruct-set! +p3124 +tp3125 +a(g189 +V +tp3126 +a(g73 +Vobj +p3127 +tp3128 +a(g189 +V +tp3129 +a(g343 +V, +tp3130 +a(g73 +Vpos +p3131 +tp3132 +a(g189 +V +tp3133 +a(g73 +Vval +p3134 +tp3135 +a(g202 +V) +tp3136 +a(g202 +V) +tp3137 +a(g202 +V) +tp3138 +a(g189 +V\u000a +p3139 +tp3140 +a(g73 +Vthe-root-environment +p3141 +tp3142 +a(g202 +V) +tp3143 +a(g202 +V) +tp3144 +a(g202 +V) +tp3145 +a(g189 +V\u000a\u000a\u000a +p3146 +tp3147 +a(g202 +V( +tp3148 +a(g111 +Vdefine +p3149 +tp3150 +a(g202 +V( +tp3151 +a(g21 +Vrecord? +p3152 +tp3153 +a(g189 +V +tp3154 +a(g73 +Vobj +p3155 +tp3156 +a(g202 +V) +tp3157 +a(g189 +V\u000a +p3158 +tp3159 +a(g202 +V( +tp3160 +a(g111 +Vand +p3161 +tp3162 +a(g202 +V( +tp3163 +a(g21 +Vstruct? +p3164 +tp3165 +a(g189 +V +tp3166 +a(g73 +Vobj +p3167 +tp3168 +a(g202 +V) +tp3169 +a(g189 +V +tp3170 +a(g202 +V( +tp3171 +a(g21 +Vrecord-type? +p3172 +tp3173 +a(g189 +V +tp3174 +a(g202 +V( +tp3175 +a(g21 +Vstruct-vtable +p3176 +tp3177 +a(g189 +V +tp3178 +a(g73 +Vobj +p3179 +tp3180 +a(g202 +V) +tp3181 +a(g202 +V) +tp3182 +a(g202 +V) +tp3183 +a(g202 +V) +tp3184 +a(g189 +V\u000a\u000a +p3185 +tp3186 +a(g202 +V( +tp3187 +a(g111 +Vdefine +p3188 +tp3189 +a(g202 +V( +tp3190 +a(g21 +Vrecord-type-descriptor +p3191 +tp3192 +a(g189 +V +tp3193 +a(g73 +Vobj +p3194 +tp3195 +a(g202 +V) +tp3196 +a(g189 +V\u000a +p3197 +tp3198 +a(g202 +V( +tp3199 +a(g111 +Vif +p3200 +tp3201 +a(g202 +V( +tp3202 +a(g21 +Vstruct? +p3203 +tp3204 +a(g189 +V +tp3205 +a(g73 +Vobj +p3206 +tp3207 +a(g202 +V) +tp3208 +a(g189 +V\u000a +p3209 +tp3210 +a(g202 +V( +tp3211 +a(g21 +Vstruct-vtable +p3212 +tp3213 +a(g189 +V +tp3214 +a(g73 +Vobj +p3215 +tp3216 +a(g202 +V) +tp3217 +a(g189 +V\u000a +p3218 +tp3219 +a(g202 +V( +tp3220 +a(g21 +Verror +p3221 +tp3222 +a(g189 +V +tp3223 +a(g248 +V'not-a-record +p3224 +tp3225 +a(g189 +V +tp3226 +a(g73 +Vobj +p3227 +tp3228 +a(g202 +V) +tp3229 +a(g202 +V) +tp3230 +a(g202 +V) +tp3231 +a(g189 +V\u000a\u000a +p3232 +tp3233 +a(g202 +V( +tp3234 +a(g21 +Vprovide +p3235 +tp3236 +a(g189 +V +tp3237 +a(g248 +V'record +p3238 +tp3239 +a(g202 +V) +tp3240 +a(g189 +V\u000a\u000a \u000a +p3241 +tp3242 +a(g7 +V;;; {Booleans} +p3243 +tp3244 +a(g189 +V\u000a +tp3245 +a(g7 +V;;; +p3246 +tp3247 +a(g189 +V\u000a\u000a +p3248 +tp3249 +a(g202 +V( +tp3250 +a(g111 +Vdefine +p3251 +tp3252 +a(g202 +V( +tp3253 +a(g21 +V->bool +p3254 +tp3255 +a(g189 +V +tp3256 +a(g73 +Vx +tp3257 +a(g202 +V) +tp3258 +a(g189 +V +tp3259 +a(g202 +V( +tp3260 +a(g57 +Vnot +p3261 +tp3262 +a(g202 +V( +tp3263 +a(g57 +Vnot +p3264 +tp3265 +a(g73 +Vx +tp3266 +a(g202 +V) +tp3267 +a(g202 +V) +tp3268 +a(g202 +V) +tp3269 +a(g189 +V\u000a\u000a \u000a +p3270 +tp3271 +a(g7 +V;;; {Symbols} +p3272 +tp3273 +a(g189 +V\u000a +tp3274 +a(g7 +V;;; +p3275 +tp3276 +a(g189 +V\u000a\u000a +p3277 +tp3278 +a(g202 +V( +tp3279 +a(g111 +Vdefine +p3280 +tp3281 +a(g202 +V( +tp3282 +a(g21 +Vsymbol-append +p3283 +tp3284 +a(g189 +V +tp3285 +a(g343 +V. +tp3286 +a(g189 +V +tp3287 +a(g73 +Vargs +p3288 +tp3289 +a(g202 +V) +tp3290 +a(g189 +V\u000a +p3291 +tp3292 +a(g202 +V( +tp3293 +a(g57 +Vstring->symbol +p3294 +tp3295 +a(g202 +V( +tp3296 +a(g57 +Vapply +p3297 +tp3298 +a(g73 +Vstring-append +p3299 +tp3300 +a(g189 +V +tp3301 +a(g202 +V( +tp3302 +a(g57 +Vmap +p3303 +tp3304 +a(g73 +Vsymbol->string +p3305 +tp3306 +a(g189 +V +tp3307 +a(g73 +Vargs +p3308 +tp3309 +a(g202 +V) +tp3310 +a(g202 +V) +tp3311 +a(g202 +V) +tp3312 +a(g202 +V) +tp3313 +a(g189 +V\u000a\u000a +p3314 +tp3315 +a(g202 +V( +tp3316 +a(g111 +Vdefine +p3317 +tp3318 +a(g202 +V( +tp3319 +a(g21 +Vlist->symbol +p3320 +tp3321 +a(g189 +V +tp3322 +a(g343 +V. +tp3323 +a(g189 +V +tp3324 +a(g73 +Vargs +p3325 +tp3326 +a(g202 +V) +tp3327 +a(g189 +V\u000a +p3328 +tp3329 +a(g202 +V( +tp3330 +a(g57 +Vstring->symbol +p3331 +tp3332 +a(g202 +V( +tp3333 +a(g57 +Vapply +p3334 +tp3335 +a(g73 +Vlist->string +p3336 +tp3337 +a(g189 +V +tp3338 +a(g73 +Vargs +p3339 +tp3340 +a(g202 +V) +tp3341 +a(g202 +V) +tp3342 +a(g202 +V) +tp3343 +a(g189 +V\u000a\u000a +p3344 +tp3345 +a(g202 +V( +tp3346 +a(g111 +Vdefine +p3347 +tp3348 +a(g202 +V( +tp3349 +a(g21 +Vsymbol +p3350 +tp3351 +a(g189 +V +tp3352 +a(g343 +V. +tp3353 +a(g189 +V +tp3354 +a(g73 +Vargs +p3355 +tp3356 +a(g202 +V) +tp3357 +a(g189 +V\u000a +p3358 +tp3359 +a(g202 +V( +tp3360 +a(g57 +Vstring->symbol +p3361 +tp3362 +a(g202 +V( +tp3363 +a(g57 +Vapply +p3364 +tp3365 +a(g73 +Vstring +p3366 +tp3367 +a(g189 +V +tp3368 +a(g73 +Vargs +p3369 +tp3370 +a(g202 +V) +tp3371 +a(g202 +V) +tp3372 +a(g202 +V) +tp3373 +a(g189 +V\u000a\u000a \u000a +p3374 +tp3375 +a(g7 +V;;; {Lists} +p3376 +tp3377 +a(g189 +V\u000a +tp3378 +a(g7 +V;;; +p3379 +tp3380 +a(g189 +V\u000a\u000a +p3381 +tp3382 +a(g202 +V( +tp3383 +a(g111 +Vdefine +p3384 +tp3385 +a(g202 +V( +tp3386 +a(g21 +Vlist-index +p3387 +tp3388 +a(g189 +V +tp3389 +a(g73 +Vl +tp3390 +a(g189 +V +tp3391 +a(g73 +Vk +tp3392 +a(g202 +V) +tp3393 +a(g189 +V\u000a +p3394 +tp3395 +a(g202 +V( +tp3396 +a(g111 +Vlet +p3397 +tp3398 +a(g73 +Vloop +p3399 +tp3400 +a(g189 +V +tp3401 +a(g202 +V( +tp3402 +a(g202 +V( +tp3403 +a(g21 +Vn +tp3404 +a(g189 +V +tp3405 +a(g318 +V0 +tp3406 +a(g202 +V) +tp3407 +a(g189 +V\u000a +p3408 +tp3409 +a(g202 +V( +tp3410 +a(g21 +Vl +tp3411 +a(g189 +V +tp3412 +a(g73 +Vl +tp3413 +a(g202 +V) +tp3414 +a(g202 +V) +tp3415 +a(g189 +V\u000a +p3416 +tp3417 +a(g202 +V( +tp3418 +a(g111 +Vand +p3419 +tp3420 +a(g202 +V( +tp3421 +a(g57 +Vnot +p3422 +tp3423 +a(g202 +V( +tp3424 +a(g57 +Vnull? +p3425 +tp3426 +a(g73 +Vl +tp3427 +a(g202 +V) +tp3428 +a(g202 +V) +tp3429 +a(g189 +V\u000a +p3430 +tp3431 +a(g202 +V( +tp3432 +a(g111 +Vif +p3433 +tp3434 +a(g202 +V( +tp3435 +a(g57 +Veq? +p3436 +tp3437 +a(g202 +V( +tp3438 +a(g57 +Vcar +p3439 +tp3440 +a(g73 +Vl +tp3441 +a(g202 +V) +tp3442 +a(g189 +V +tp3443 +a(g73 +Vk +tp3444 +a(g202 +V) +tp3445 +a(g189 +V\u000a +p3446 +tp3447 +a(g73 +Vn +tp3448 +a(g189 +V\u000a +p3449 +tp3450 +a(g202 +V( +tp3451 +a(g21 +Vloop +p3452 +tp3453 +a(g189 +V +tp3454 +a(g202 +V( +tp3455 +a(g57 +V+ +p3456 +tp3457 +a(g73 +Vn +tp3458 +a(g189 +V +tp3459 +a(g318 +V1 +tp3460 +a(g202 +V) +tp3461 +a(g189 +V +tp3462 +a(g202 +V( +tp3463 +a(g57 +Vcdr +p3464 +tp3465 +a(g73 +Vl +tp3466 +a(g202 +V) +tp3467 +a(g202 +V) +tp3468 +a(g202 +V) +tp3469 +a(g202 +V) +tp3470 +a(g202 +V) +tp3471 +a(g202 +V) +tp3472 +a(g189 +V\u000a\u000a +p3473 +tp3474 +a(g202 +V( +tp3475 +a(g111 +Vdefine +p3476 +tp3477 +a(g202 +V( +tp3478 +a(g21 +Vmake-list +p3479 +tp3480 +a(g189 +V +tp3481 +a(g73 +Vn +tp3482 +a(g189 +V +tp3483 +a(g343 +V. +tp3484 +a(g189 +V +tp3485 +a(g73 +Vinit +p3486 +tp3487 +a(g202 +V) +tp3488 +a(g189 +V\u000a +p3489 +tp3490 +a(g202 +V( +tp3491 +a(g111 +Vif +p3492 +tp3493 +a(g202 +V( +tp3494 +a(g57 +Vpair? +p3495 +tp3496 +a(g73 +Vinit +p3497 +tp3498 +a(g202 +V) +tp3499 +a(g189 +V +tp3500 +a(g202 +V( +tp3501 +a(g111 +Vset! +p3502 +tp3503 +a(g73 +Vinit +p3504 +tp3505 +a(g189 +V +tp3506 +a(g202 +V( +tp3507 +a(g57 +Vcar +p3508 +tp3509 +a(g73 +Vinit +p3510 +tp3511 +a(g202 +V) +tp3512 +a(g202 +V) +tp3513 +a(g202 +V) +tp3514 +a(g189 +V\u000a +p3515 +tp3516 +a(g202 +V( +tp3517 +a(g111 +Vlet +p3518 +tp3519 +a(g73 +Vloop +p3520 +tp3521 +a(g189 +V +tp3522 +a(g202 +V( +tp3523 +a(g202 +V( +tp3524 +a(g21 +Vanswer +p3525 +tp3526 +a(g189 +V +tp3527 +a(g343 +V' +tp3528 +a(g202 +V( +tp3529 +a(g202 +V) +tp3530 +a(g202 +V) +tp3531 +a(g189 +V\u000a +p3532 +tp3533 +a(g202 +V( +tp3534 +a(g21 +Vn +tp3535 +a(g189 +V +tp3536 +a(g73 +Vn +tp3537 +a(g202 +V) +tp3538 +a(g202 +V) +tp3539 +a(g189 +V\u000a +p3540 +tp3541 +a(g202 +V( +tp3542 +a(g111 +Vif +p3543 +tp3544 +a(g202 +V( +tp3545 +a(g57 +V<= +p3546 +tp3547 +a(g73 +Vn +tp3548 +a(g189 +V +tp3549 +a(g318 +V0 +tp3550 +a(g202 +V) +tp3551 +a(g189 +V\u000a +p3552 +tp3553 +a(g73 +Vanswer +p3554 +tp3555 +a(g189 +V\u000a +p3556 +tp3557 +a(g202 +V( +tp3558 +a(g21 +Vloop +p3559 +tp3560 +a(g189 +V +tp3561 +a(g202 +V( +tp3562 +a(g57 +Vcons +p3563 +tp3564 +a(g73 +Vinit +p3565 +tp3566 +a(g189 +V +tp3567 +a(g73 +Vanswer +p3568 +tp3569 +a(g202 +V) +tp3570 +a(g189 +V +tp3571 +a(g202 +V( +tp3572 +a(g57 +V- +p3573 +tp3574 +a(g73 +Vn +tp3575 +a(g189 +V +tp3576 +a(g318 +V1 +tp3577 +a(g202 +V) +tp3578 +a(g202 +V) +tp3579 +a(g202 +V) +tp3580 +a(g202 +V) +tp3581 +a(g202 +V) +tp3582 +a(g189 +V\u000a\u000a \u000a +p3583 +tp3584 +a(g7 +V;;; {and-map and or-map} +p3585 +tp3586 +a(g189 +V\u000a +tp3587 +a(g7 +V;;; +p3588 +tp3589 +a(g189 +V\u000a +tp3590 +a(g7 +V;;; (and-map fn lst) is like (and (fn (car lst)) (fn (cadr lst)) (fn...) ...) +p3591 +tp3592 +a(g189 +V\u000a +tp3593 +a(g7 +V;;; (or-map fn lst) is like (or (fn (car lst)) (fn (cadr lst)) (fn...) ...) +p3594 +tp3595 +a(g189 +V\u000a +tp3596 +a(g7 +V;;; +p3597 +tp3598 +a(g189 +V\u000a\u000a +p3599 +tp3600 +a(g7 +V;; and-map f l +p3601 +tp3602 +a(g189 +V\u000a +tp3603 +a(g7 +V;; +p3604 +tp3605 +a(g189 +V\u000a +tp3606 +a(g7 +V;; Apply f to successive elements of l until exhaustion or f returns #f. +p3607 +tp3608 +a(g189 +V\u000a +tp3609 +a(g7 +V;; If returning early, return #f. Otherwise, return the last value returned +p3610 +tp3611 +a(g189 +V\u000a +tp3612 +a(g7 +V;; by f. If f has never been called because l is empty, return #t. +p3613 +tp3614 +a(g189 +V\u000a +tp3615 +a(g7 +V;; +p3616 +tp3617 +a(g189 +V\u000a +tp3618 +a(g202 +V( +tp3619 +a(g111 +Vdefine +p3620 +tp3621 +a(g202 +V( +tp3622 +a(g21 +Vand-map +p3623 +tp3624 +a(g189 +V +tp3625 +a(g73 +Vf +tp3626 +a(g189 +V +tp3627 +a(g73 +Vlst +p3628 +tp3629 +a(g202 +V) +tp3630 +a(g189 +V\u000a +p3631 +tp3632 +a(g202 +V( +tp3633 +a(g111 +Vlet +p3634 +tp3635 +a(g73 +Vloop +p3636 +tp3637 +a(g189 +V +tp3638 +a(g202 +V( +tp3639 +a(g202 +V( +tp3640 +a(g21 +Vresult +p3641 +tp3642 +a(g189 +V +tp3643 +a(g33 +V#t +p3644 +tp3645 +a(g202 +V) +tp3646 +a(g189 +V\u000a +p3647 +tp3648 +a(g202 +V( +tp3649 +a(g21 +Vl +tp3650 +a(g189 +V +tp3651 +a(g73 +Vlst +p3652 +tp3653 +a(g202 +V) +tp3654 +a(g202 +V) +tp3655 +a(g189 +V\u000a +p3656 +tp3657 +a(g202 +V( +tp3658 +a(g111 +Vand +p3659 +tp3660 +a(g73 +Vresult +p3661 +tp3662 +a(g189 +V\u000a +p3663 +tp3664 +a(g202 +V( +tp3665 +a(g111 +Vor +p3666 +tp3667 +a(g202 +V( +tp3668 +a(g111 +Vand +p3669 +tp3670 +a(g202 +V( +tp3671 +a(g57 +Vnull? +p3672 +tp3673 +a(g73 +Vl +tp3674 +a(g202 +V) +tp3675 +a(g189 +V\u000a +p3676 +tp3677 +a(g73 +Vresult +p3678 +tp3679 +a(g202 +V) +tp3680 +a(g189 +V\u000a +p3681 +tp3682 +a(g202 +V( +tp3683 +a(g21 +Vloop +p3684 +tp3685 +a(g189 +V +tp3686 +a(g202 +V( +tp3687 +a(g21 +Vf +tp3688 +a(g189 +V +tp3689 +a(g202 +V( +tp3690 +a(g57 +Vcar +p3691 +tp3692 +a(g73 +Vl +tp3693 +a(g202 +V) +tp3694 +a(g202 +V) +tp3695 +a(g189 +V +tp3696 +a(g202 +V( +tp3697 +a(g57 +Vcdr +p3698 +tp3699 +a(g73 +Vl +tp3700 +a(g202 +V) +tp3701 +a(g202 +V) +tp3702 +a(g202 +V) +tp3703 +a(g202 +V) +tp3704 +a(g202 +V) +tp3705 +a(g202 +V) +tp3706 +a(g189 +V\u000a\u000a +p3707 +tp3708 +a(g7 +V;; or-map f l +p3709 +tp3710 +a(g189 +V\u000a +tp3711 +a(g7 +V;; +p3712 +tp3713 +a(g189 +V\u000a +tp3714 +a(g7 +V;; Apply f to successive elements of l until exhaustion or while f returns #f. +p3715 +tp3716 +a(g189 +V\u000a +tp3717 +a(g7 +V;; If returning early, return the return value of f. +p3718 +tp3719 +a(g189 +V\u000a +tp3720 +a(g7 +V;; +p3721 +tp3722 +a(g189 +V\u000a +tp3723 +a(g202 +V( +tp3724 +a(g111 +Vdefine +p3725 +tp3726 +a(g202 +V( +tp3727 +a(g21 +Vor-map +p3728 +tp3729 +a(g189 +V +tp3730 +a(g73 +Vf +tp3731 +a(g189 +V +tp3732 +a(g73 +Vlst +p3733 +tp3734 +a(g202 +V) +tp3735 +a(g189 +V\u000a +p3736 +tp3737 +a(g202 +V( +tp3738 +a(g111 +Vlet +p3739 +tp3740 +a(g73 +Vloop +p3741 +tp3742 +a(g189 +V +tp3743 +a(g202 +V( +tp3744 +a(g202 +V( +tp3745 +a(g21 +Vresult +p3746 +tp3747 +a(g189 +V +tp3748 +a(g33 +V#f +p3749 +tp3750 +a(g202 +V) +tp3751 +a(g189 +V\u000a +p3752 +tp3753 +a(g202 +V( +tp3754 +a(g21 +Vl +tp3755 +a(g189 +V +tp3756 +a(g73 +Vlst +p3757 +tp3758 +a(g202 +V) +tp3759 +a(g202 +V) +tp3760 +a(g189 +V\u000a +p3761 +tp3762 +a(g202 +V( +tp3763 +a(g111 +Vor +p3764 +tp3765 +a(g73 +Vresult +p3766 +tp3767 +a(g189 +V\u000a +p3768 +tp3769 +a(g202 +V( +tp3770 +a(g111 +Vand +p3771 +tp3772 +a(g202 +V( +tp3773 +a(g57 +Vnot +p3774 +tp3775 +a(g202 +V( +tp3776 +a(g57 +Vnull? +p3777 +tp3778 +a(g73 +Vl +tp3779 +a(g202 +V) +tp3780 +a(g202 +V) +tp3781 +a(g189 +V\u000a +p3782 +tp3783 +a(g202 +V( +tp3784 +a(g21 +Vloop +p3785 +tp3786 +a(g189 +V +tp3787 +a(g202 +V( +tp3788 +a(g21 +Vf +tp3789 +a(g189 +V +tp3790 +a(g202 +V( +tp3791 +a(g57 +Vcar +p3792 +tp3793 +a(g73 +Vl +tp3794 +a(g202 +V) +tp3795 +a(g202 +V) +tp3796 +a(g189 +V +tp3797 +a(g202 +V( +tp3798 +a(g57 +Vcdr +p3799 +tp3800 +a(g73 +Vl +tp3801 +a(g202 +V) +tp3802 +a(g202 +V) +tp3803 +a(g202 +V) +tp3804 +a(g202 +V) +tp3805 +a(g202 +V) +tp3806 +a(g202 +V) +tp3807 +a(g189 +V\u000a\u000a \u000a\u000a +p3808 +tp3809 +a(g202 +V( +tp3810 +a(g111 +Vif +p3811 +tp3812 +a(g202 +V( +tp3813 +a(g21 +Vprovided? +p3814 +tp3815 +a(g189 +V +tp3816 +a(g248 +V'posix +p3817 +tp3818 +a(g202 +V) +tp3819 +a(g189 +V\u000a +p3820 +tp3821 +a(g202 +V( +tp3822 +a(g21 +Vprimitive-load-path +p3823 +tp3824 +a(g189 +V +tp3825 +a(g226 +V"ice-9/posix.scm" +p3826 +tp3827 +a(g202 +V) +tp3828 +a(g202 +V) +tp3829 +a(g189 +V\u000a\u000a +p3830 +tp3831 +a(g202 +V( +tp3832 +a(g111 +Vif +p3833 +tp3834 +a(g202 +V( +tp3835 +a(g21 +Vprovided? +p3836 +tp3837 +a(g189 +V +tp3838 +a(g248 +V'socket +p3839 +tp3840 +a(g202 +V) +tp3841 +a(g189 +V\u000a +p3842 +tp3843 +a(g202 +V( +tp3844 +a(g21 +Vprimitive-load-path +p3845 +tp3846 +a(g189 +V +tp3847 +a(g226 +V"ice-9/networking.scm" +p3848 +tp3849 +a(g202 +V) +tp3850 +a(g202 +V) +tp3851 +a(g189 +V\u000a\u000a +p3852 +tp3853 +a(g202 +V( +tp3854 +a(g111 +Vdefine +p3855 +tp3856 +a(g73 +Vfile-exists? +p3857 +tp3858 +a(g189 +V\u000a +p3859 +tp3860 +a(g202 +V( +tp3861 +a(g111 +Vif +p3862 +tp3863 +a(g202 +V( +tp3864 +a(g21 +Vprovided? +p3865 +tp3866 +a(g189 +V +tp3867 +a(g248 +V'posix +p3868 +tp3869 +a(g202 +V) +tp3870 +a(g189 +V\u000a +p3871 +tp3872 +a(g202 +V( +tp3873 +a(g111 +Vlambda +p3874 +tp3875 +a(g202 +V( +tp3876 +a(g21 +Vstr +p3877 +tp3878 +a(g202 +V) +tp3879 +a(g189 +V\u000a +p3880 +tp3881 +a(g202 +V( +tp3882 +a(g21 +V->bool +p3883 +tp3884 +a(g189 +V +tp3885 +a(g202 +V( +tp3886 +a(g21 +Vfalse-if-exception +p3887 +tp3888 +a(g189 +V +tp3889 +a(g202 +V( +tp3890 +a(g21 +Vstat +p3891 +tp3892 +a(g189 +V +tp3893 +a(g73 +Vstr +p3894 +tp3895 +a(g202 +V) +tp3896 +a(g202 +V) +tp3897 +a(g202 +V) +tp3898 +a(g202 +V) +tp3899 +a(g189 +V\u000a +p3900 +tp3901 +a(g202 +V( +tp3902 +a(g111 +Vlambda +p3903 +tp3904 +a(g202 +V( +tp3905 +a(g21 +Vstr +p3906 +tp3907 +a(g202 +V) +tp3908 +a(g189 +V\u000a +p3909 +tp3910 +a(g202 +V( +tp3911 +a(g111 +Vlet +p3912 +tp3913 +a(g202 +V( +tp3914 +a(g202 +V( +tp3915 +a(g21 +Vport +p3916 +tp3917 +a(g189 +V +tp3918 +a(g202 +V( +tp3919 +a(g21 +Vcatch +p3920 +tp3921 +a(g189 +V +tp3922 +a(g248 +V'system-error +p3923 +tp3924 +a(g189 +V +tp3925 +a(g202 +V( +tp3926 +a(g111 +Vlambda +p3927 +tp3928 +a(g202 +V( +tp3929 +a(g202 +V) +tp3930 +a(g189 +V +tp3931 +a(g202 +V( +tp3932 +a(g21 +Vopen-file +p3933 +tp3934 +a(g189 +V +tp3935 +a(g73 +Vstr +p3936 +tp3937 +a(g189 +V +tp3938 +a(g73 +VOPEN_READ +p3939 +tp3940 +a(g202 +V) +tp3941 +a(g202 +V) +tp3942 +a(g189 +V\u000a +p3943 +tp3944 +a(g202 +V( +tp3945 +a(g111 +Vlambda +p3946 +tp3947 +a(g73 +Vargs +p3948 +tp3949 +a(g189 +V +tp3950 +a(g33 +V#f +p3951 +tp3952 +a(g202 +V) +tp3953 +a(g202 +V) +tp3954 +a(g202 +V) +tp3955 +a(g202 +V) +tp3956 +a(g189 +V\u000a +p3957 +tp3958 +a(g202 +V( +tp3959 +a(g111 +Vif +p3960 +tp3961 +a(g73 +Vport +p3962 +tp3963 +a(g189 +V +tp3964 +a(g202 +V( +tp3965 +a(g111 +Vbegin +p3966 +tp3967 +a(g202 +V( +tp3968 +a(g21 +Vclose-port +p3969 +tp3970 +a(g189 +V +tp3971 +a(g73 +Vport +p3972 +tp3973 +a(g202 +V) +tp3974 +a(g189 +V +tp3975 +a(g33 +V#t +p3976 +tp3977 +a(g202 +V) +tp3978 +a(g189 +V\u000a +p3979 +tp3980 +a(g33 +V#f +p3981 +tp3982 +a(g202 +V) +tp3983 +a(g202 +V) +tp3984 +a(g202 +V) +tp3985 +a(g202 +V) +tp3986 +a(g202 +V) +tp3987 +a(g189 +V\u000a\u000a +p3988 +tp3989 +a(g202 +V( +tp3990 +a(g111 +Vdefine +p3991 +tp3992 +a(g73 +Vfile-is-directory? +p3993 +tp3994 +a(g189 +V\u000a +p3995 +tp3996 +a(g202 +V( +tp3997 +a(g111 +Vif +p3998 +tp3999 +a(g202 +V( +tp4000 +a(g21 +Vprovided? +p4001 +tp4002 +a(g189 +V +tp4003 +a(g248 +V'posix +p4004 +tp4005 +a(g202 +V) +tp4006 +a(g189 +V\u000a +p4007 +tp4008 +a(g202 +V( +tp4009 +a(g111 +Vlambda +p4010 +tp4011 +a(g202 +V( +tp4012 +a(g21 +Vstr +p4013 +tp4014 +a(g202 +V) +tp4015 +a(g189 +V\u000a +p4016 +tp4017 +a(g202 +V( +tp4018 +a(g57 +Veq? +p4019 +tp4020 +a(g202 +V( +tp4021 +a(g21 +Vstat:type +p4022 +tp4023 +a(g189 +V +tp4024 +a(g202 +V( +tp4025 +a(g21 +Vstat +p4026 +tp4027 +a(g189 +V +tp4028 +a(g73 +Vstr +p4029 +tp4030 +a(g202 +V) +tp4031 +a(g202 +V) +tp4032 +a(g189 +V +tp4033 +a(g248 +V'directory +p4034 +tp4035 +a(g202 +V) +tp4036 +a(g202 +V) +tp4037 +a(g189 +V\u000a +p4038 +tp4039 +a(g202 +V( +tp4040 +a(g111 +Vlambda +p4041 +tp4042 +a(g202 +V( +tp4043 +a(g21 +Vstr +p4044 +tp4045 +a(g202 +V) +tp4046 +a(g189 +V\u000a +p4047 +tp4048 +a(g202 +V( +tp4049 +a(g111 +Vlet +p4050 +tp4051 +a(g202 +V( +tp4052 +a(g202 +V( +tp4053 +a(g21 +Vport +p4054 +tp4055 +a(g189 +V +tp4056 +a(g202 +V( +tp4057 +a(g21 +Vcatch +p4058 +tp4059 +a(g189 +V +tp4060 +a(g248 +V'system-error +p4061 +tp4062 +a(g189 +V\u000a +p4063 +tp4064 +a(g202 +V( +tp4065 +a(g111 +Vlambda +p4066 +tp4067 +a(g202 +V( +tp4068 +a(g202 +V) +tp4069 +a(g189 +V +tp4070 +a(g202 +V( +tp4071 +a(g21 +Vopen-file +p4072 +tp4073 +a(g189 +V +tp4074 +a(g202 +V( +tp4075 +a(g57 +Vstring-append +p4076 +tp4077 +a(g73 +Vstr +p4078 +tp4079 +a(g189 +V +tp4080 +a(g226 +V"/." +p4081 +tp4082 +a(g202 +V) +tp4083 +a(g189 +V\u000a +p4084 +tp4085 +a(g73 +VOPEN_READ +p4086 +tp4087 +a(g202 +V) +tp4088 +a(g202 +V) +tp4089 +a(g189 +V\u000a +p4090 +tp4091 +a(g202 +V( +tp4092 +a(g111 +Vlambda +p4093 +tp4094 +a(g73 +Vargs +p4095 +tp4096 +a(g189 +V +tp4097 +a(g33 +V#f +p4098 +tp4099 +a(g202 +V) +tp4100 +a(g202 +V) +tp4101 +a(g202 +V) +tp4102 +a(g202 +V) +tp4103 +a(g189 +V\u000a +p4104 +tp4105 +a(g202 +V( +tp4106 +a(g111 +Vif +p4107 +tp4108 +a(g73 +Vport +p4109 +tp4110 +a(g189 +V +tp4111 +a(g202 +V( +tp4112 +a(g111 +Vbegin +p4113 +tp4114 +a(g202 +V( +tp4115 +a(g21 +Vclose-port +p4116 +tp4117 +a(g189 +V +tp4118 +a(g73 +Vport +p4119 +tp4120 +a(g202 +V) +tp4121 +a(g189 +V +tp4122 +a(g33 +V#t +p4123 +tp4124 +a(g202 +V) +tp4125 +a(g189 +V\u000a +p4126 +tp4127 +a(g33 +V#f +p4128 +tp4129 +a(g202 +V) +tp4130 +a(g202 +V) +tp4131 +a(g202 +V) +tp4132 +a(g202 +V) +tp4133 +a(g202 +V) +tp4134 +a(g189 +V\u000a\u000a +p4135 +tp4136 +a(g202 +V( +tp4137 +a(g111 +Vdefine +p4138 +tp4139 +a(g202 +V( +tp4140 +a(g21 +Vhas-suffix? +p4141 +tp4142 +a(g189 +V +tp4143 +a(g73 +Vstr +p4144 +tp4145 +a(g189 +V +tp4146 +a(g73 +Vsuffix +p4147 +tp4148 +a(g202 +V) +tp4149 +a(g189 +V\u000a +p4150 +tp4151 +a(g202 +V( +tp4152 +a(g111 +Vlet +p4153 +tp4154 +a(g202 +V( +tp4155 +a(g202 +V( +tp4156 +a(g21 +Vsufl +p4157 +tp4158 +a(g189 +V +tp4159 +a(g202 +V( +tp4160 +a(g57 +Vstring-length +p4161 +tp4162 +a(g73 +Vsuffix +p4163 +tp4164 +a(g202 +V) +tp4165 +a(g202 +V) +tp4166 +a(g189 +V\u000a +p4167 +tp4168 +a(g202 +V( +tp4169 +a(g21 +Vsl +p4170 +tp4171 +a(g189 +V +tp4172 +a(g202 +V( +tp4173 +a(g57 +Vstring-length +p4174 +tp4175 +a(g73 +Vstr +p4176 +tp4177 +a(g202 +V) +tp4178 +a(g202 +V) +tp4179 +a(g202 +V) +tp4180 +a(g189 +V\u000a +p4181 +tp4182 +a(g202 +V( +tp4183 +a(g111 +Vand +p4184 +tp4185 +a(g202 +V( +tp4186 +a(g57 +V> +p4187 +tp4188 +a(g73 +Vsl +p4189 +tp4190 +a(g189 +V +tp4191 +a(g73 +Vsufl +p4192 +tp4193 +a(g202 +V) +tp4194 +a(g189 +V\u000a +p4195 +tp4196 +a(g202 +V( +tp4197 +a(g57 +Vstring=? +p4198 +tp4199 +a(g202 +V( +tp4200 +a(g57 +Vsubstring +p4201 +tp4202 +a(g73 +Vstr +p4203 +tp4204 +a(g189 +V +tp4205 +a(g202 +V( +tp4206 +a(g57 +V- +p4207 +tp4208 +a(g73 +Vsl +p4209 +tp4210 +a(g189 +V +tp4211 +a(g73 +Vsufl +p4212 +tp4213 +a(g202 +V) +tp4214 +a(g189 +V +tp4215 +a(g73 +Vsl +p4216 +tp4217 +a(g202 +V) +tp4218 +a(g189 +V +tp4219 +a(g73 +Vsuffix +p4220 +tp4221 +a(g202 +V) +tp4222 +a(g202 +V) +tp4223 +a(g202 +V) +tp4224 +a(g202 +V) +tp4225 +a(g189 +V\u000a\u000a +p4226 +tp4227 +a(g202 +V( +tp4228 +a(g111 +Vdefine +p4229 +tp4230 +a(g202 +V( +tp4231 +a(g21 +Vsystem-error-errno +p4232 +tp4233 +a(g189 +V +tp4234 +a(g73 +Vargs +p4235 +tp4236 +a(g202 +V) +tp4237 +a(g189 +V\u000a +p4238 +tp4239 +a(g202 +V( +tp4240 +a(g111 +Vif +p4241 +tp4242 +a(g202 +V( +tp4243 +a(g57 +Veq? +p4244 +tp4245 +a(g202 +V( +tp4246 +a(g57 +Vcar +p4247 +tp4248 +a(g73 +Vargs +p4249 +tp4250 +a(g202 +V) +tp4251 +a(g189 +V +tp4252 +a(g248 +V'system-error +p4253 +tp4254 +a(g202 +V) +tp4255 +a(g189 +V\u000a +p4256 +tp4257 +a(g202 +V( +tp4258 +a(g57 +Vcar +p4259 +tp4260 +a(g202 +V( +tp4261 +a(g57 +Vlist-ref +p4262 +tp4263 +a(g73 +Vargs +p4264 +tp4265 +a(g189 +V +tp4266 +a(g318 +V4 +tp4267 +a(g202 +V) +tp4268 +a(g202 +V) +tp4269 +a(g189 +V\u000a +p4270 +tp4271 +a(g33 +V#f +p4272 +tp4273 +a(g202 +V) +tp4274 +a(g202 +V) +tp4275 +a(g189 +V\u000a\u000a \u000a +p4276 +tp4277 +a(g7 +V;;; {Error Handling} +p4278 +tp4279 +a(g189 +V\u000a +tp4280 +a(g7 +V;;; +p4281 +tp4282 +a(g189 +V\u000a\u000a +p4283 +tp4284 +a(g202 +V( +tp4285 +a(g111 +Vdefine +p4286 +tp4287 +a(g202 +V( +tp4288 +a(g21 +Verror +p4289 +tp4290 +a(g189 +V +tp4291 +a(g343 +V. +tp4292 +a(g189 +V +tp4293 +a(g73 +Vargs +p4294 +tp4295 +a(g202 +V) +tp4296 +a(g189 +V\u000a +p4297 +tp4298 +a(g202 +V( +tp4299 +a(g21 +Vsave-stack +p4300 +tp4301 +a(g202 +V) +tp4302 +a(g189 +V\u000a +p4303 +tp4304 +a(g202 +V( +tp4305 +a(g111 +Vif +p4306 +tp4307 +a(g202 +V( +tp4308 +a(g57 +Vnull? +p4309 +tp4310 +a(g73 +Vargs +p4311 +tp4312 +a(g202 +V) +tp4313 +a(g189 +V\u000a +p4314 +tp4315 +a(g202 +V( +tp4316 +a(g21 +Vscm-error +p4317 +tp4318 +a(g189 +V +tp4319 +a(g248 +V'misc-error +p4320 +tp4321 +a(g189 +V +tp4322 +a(g33 +V#f +p4323 +tp4324 +a(g189 +V +tp4325 +a(g226 +V"?" +p4326 +tp4327 +a(g189 +V +tp4328 +a(g33 +V#f +p4329 +tp4330 +a(g189 +V +tp4331 +a(g33 +V#f +p4332 +tp4333 +a(g202 +V) +tp4334 +a(g189 +V\u000a +p4335 +tp4336 +a(g202 +V( +tp4337 +a(g111 +Vlet +p4338 +tp4339 +a(g73 +Vloop +p4340 +tp4341 +a(g189 +V +tp4342 +a(g202 +V( +tp4343 +a(g202 +V( +tp4344 +a(g21 +Vmsg +p4345 +tp4346 +a(g189 +V +tp4347 +a(g226 +V"~A" +p4348 +tp4349 +a(g202 +V) +tp4350 +a(g189 +V\u000a +p4351 +tp4352 +a(g202 +V( +tp4353 +a(g21 +Vrest +p4354 +tp4355 +a(g189 +V +tp4356 +a(g202 +V( +tp4357 +a(g57 +Vcdr +p4358 +tp4359 +a(g73 +Vargs +p4360 +tp4361 +a(g202 +V) +tp4362 +a(g202 +V) +tp4363 +a(g202 +V) +tp4364 +a(g189 +V\u000a +p4365 +tp4366 +a(g202 +V( +tp4367 +a(g111 +Vif +p4368 +tp4369 +a(g202 +V( +tp4370 +a(g57 +Vnot +p4371 +tp4372 +a(g202 +V( +tp4373 +a(g57 +Vnull? +p4374 +tp4375 +a(g73 +Vrest +p4376 +tp4377 +a(g202 +V) +tp4378 +a(g202 +V) +tp4379 +a(g189 +V\u000a +p4380 +tp4381 +a(g202 +V( +tp4382 +a(g21 +Vloop +p4383 +tp4384 +a(g189 +V +tp4385 +a(g202 +V( +tp4386 +a(g57 +Vstring-append +p4387 +tp4388 +a(g73 +Vmsg +p4389 +tp4390 +a(g189 +V +tp4391 +a(g226 +V" ~S" +p4392 +tp4393 +a(g202 +V) +tp4394 +a(g189 +V\u000a +p4395 +tp4396 +a(g202 +V( +tp4397 +a(g57 +Vcdr +p4398 +tp4399 +a(g73 +Vrest +p4400 +tp4401 +a(g202 +V) +tp4402 +a(g202 +V) +tp4403 +a(g189 +V\u000a +p4404 +tp4405 +a(g202 +V( +tp4406 +a(g21 +Vscm-error +p4407 +tp4408 +a(g189 +V +tp4409 +a(g248 +V'misc-error +p4410 +tp4411 +a(g189 +V +tp4412 +a(g33 +V#f +p4413 +tp4414 +a(g189 +V +tp4415 +a(g73 +Vmsg +p4416 +tp4417 +a(g189 +V +tp4418 +a(g73 +Vargs +p4419 +tp4420 +a(g189 +V +tp4421 +a(g33 +V#f +p4422 +tp4423 +a(g202 +V) +tp4424 +a(g202 +V) +tp4425 +a(g202 +V) +tp4426 +a(g202 +V) +tp4427 +a(g202 +V) +tp4428 +a(g189 +V\u000a\u000a +p4429 +tp4430 +a(g7 +V;; bad-throw is the hook that is called upon a throw to a an unhandled +p4431 +tp4432 +a(g189 +V\u000a +tp4433 +a(g7 +V;; key (unless the throw has four arguments, in which case +p4434 +tp4435 +a(g189 +V\u000a +tp4436 +a(g7 +V;; it's usually interpreted as an error throw.) +p4437 +tp4438 +a(g189 +V\u000a +tp4439 +a(g7 +V;; If the key has a default handler (a throw-handler-default property), +p4440 +tp4441 +a(g189 +V\u000a +tp4442 +a(g7 +V;; it is applied to the throw. +p4443 +tp4444 +a(g189 +V\u000a +tp4445 +a(g7 +V;; +p4446 +tp4447 +a(g189 +V\u000a +tp4448 +a(g202 +V( +tp4449 +a(g111 +Vdefine +p4450 +tp4451 +a(g202 +V( +tp4452 +a(g21 +Vbad-throw +p4453 +tp4454 +a(g189 +V +tp4455 +a(g73 +Vkey +p4456 +tp4457 +a(g189 +V +tp4458 +a(g343 +V. +tp4459 +a(g189 +V +tp4460 +a(g73 +Vargs +p4461 +tp4462 +a(g202 +V) +tp4463 +a(g189 +V\u000a +p4464 +tp4465 +a(g202 +V( +tp4466 +a(g111 +Vlet +p4467 +tp4468 +a(g202 +V( +tp4469 +a(g202 +V( +tp4470 +a(g21 +Vdefault +p4471 +tp4472 +a(g189 +V +tp4473 +a(g202 +V( +tp4474 +a(g21 +Vsymbol-property +p4475 +tp4476 +a(g189 +V +tp4477 +a(g73 +Vkey +p4478 +tp4479 +a(g189 +V +tp4480 +a(g248 +V'throw-handler-default +p4481 +tp4482 +a(g202 +V) +tp4483 +a(g202 +V) +tp4484 +a(g202 +V) +tp4485 +a(g189 +V\u000a +p4486 +tp4487 +a(g202 +V( +tp4488 +a(g111 +Vor +p4489 +tp4490 +a(g202 +V( +tp4491 +a(g111 +Vand +p4492 +tp4493 +a(g73 +Vdefault +p4494 +tp4495 +a(g189 +V +tp4496 +a(g202 +V( +tp4497 +a(g57 +Vapply +p4498 +tp4499 +a(g73 +Vdefault +p4500 +tp4501 +a(g189 +V +tp4502 +a(g73 +Vkey +p4503 +tp4504 +a(g189 +V +tp4505 +a(g73 +Vargs +p4506 +tp4507 +a(g202 +V) +tp4508 +a(g202 +V) +tp4509 +a(g189 +V\u000a +p4510 +tp4511 +a(g202 +V( +tp4512 +a(g57 +Vapply +p4513 +tp4514 +a(g73 +Verror +p4515 +tp4516 +a(g189 +V +tp4517 +a(g226 +V"unhandled-exception:" +p4518 +tp4519 +a(g189 +V +tp4520 +a(g73 +Vkey +p4521 +tp4522 +a(g189 +V +tp4523 +a(g73 +Vargs +p4524 +tp4525 +a(g202 +V) +tp4526 +a(g202 +V) +tp4527 +a(g202 +V) +tp4528 +a(g202 +V) +tp4529 +a(g189 +V\u000a\u000a \u000a\u000a +p4530 +tp4531 +a(g202 +V( +tp4532 +a(g111 +Vdefine +p4533 +tp4534 +a(g202 +V( +tp4535 +a(g21 +Vtm:sec +p4536 +tp4537 +a(g189 +V +tp4538 +a(g73 +Vobj +p4539 +tp4540 +a(g202 +V) +tp4541 +a(g189 +V +tp4542 +a(g202 +V( +tp4543 +a(g57 +Vvector-ref +p4544 +tp4545 +a(g73 +Vobj +p4546 +tp4547 +a(g189 +V +tp4548 +a(g318 +V0 +tp4549 +a(g202 +V) +tp4550 +a(g202 +V) +tp4551 +a(g189 +V\u000a +tp4552 +a(g202 +V( +tp4553 +a(g111 +Vdefine +p4554 +tp4555 +a(g202 +V( +tp4556 +a(g21 +Vtm:min +p4557 +tp4558 +a(g189 +V +tp4559 +a(g73 +Vobj +p4560 +tp4561 +a(g202 +V) +tp4562 +a(g189 +V +tp4563 +a(g202 +V( +tp4564 +a(g57 +Vvector-ref +p4565 +tp4566 +a(g73 +Vobj +p4567 +tp4568 +a(g189 +V +tp4569 +a(g318 +V1 +tp4570 +a(g202 +V) +tp4571 +a(g202 +V) +tp4572 +a(g189 +V\u000a +tp4573 +a(g202 +V( +tp4574 +a(g111 +Vdefine +p4575 +tp4576 +a(g202 +V( +tp4577 +a(g21 +Vtm:hour +p4578 +tp4579 +a(g189 +V +tp4580 +a(g73 +Vobj +p4581 +tp4582 +a(g202 +V) +tp4583 +a(g189 +V +tp4584 +a(g202 +V( +tp4585 +a(g57 +Vvector-ref +p4586 +tp4587 +a(g73 +Vobj +p4588 +tp4589 +a(g189 +V +tp4590 +a(g318 +V2 +tp4591 +a(g202 +V) +tp4592 +a(g202 +V) +tp4593 +a(g189 +V\u000a +tp4594 +a(g202 +V( +tp4595 +a(g111 +Vdefine +p4596 +tp4597 +a(g202 +V( +tp4598 +a(g21 +Vtm:mday +p4599 +tp4600 +a(g189 +V +tp4601 +a(g73 +Vobj +p4602 +tp4603 +a(g202 +V) +tp4604 +a(g189 +V +tp4605 +a(g202 +V( +tp4606 +a(g57 +Vvector-ref +p4607 +tp4608 +a(g73 +Vobj +p4609 +tp4610 +a(g189 +V +tp4611 +a(g318 +V3 +tp4612 +a(g202 +V) +tp4613 +a(g202 +V) +tp4614 +a(g189 +V\u000a +tp4615 +a(g202 +V( +tp4616 +a(g111 +Vdefine +p4617 +tp4618 +a(g202 +V( +tp4619 +a(g21 +Vtm:mon +p4620 +tp4621 +a(g189 +V +tp4622 +a(g73 +Vobj +p4623 +tp4624 +a(g202 +V) +tp4625 +a(g189 +V +tp4626 +a(g202 +V( +tp4627 +a(g57 +Vvector-ref +p4628 +tp4629 +a(g73 +Vobj +p4630 +tp4631 +a(g189 +V +tp4632 +a(g318 +V4 +tp4633 +a(g202 +V) +tp4634 +a(g202 +V) +tp4635 +a(g189 +V\u000a +tp4636 +a(g202 +V( +tp4637 +a(g111 +Vdefine +p4638 +tp4639 +a(g202 +V( +tp4640 +a(g21 +Vtm:year +p4641 +tp4642 +a(g189 +V +tp4643 +a(g73 +Vobj +p4644 +tp4645 +a(g202 +V) +tp4646 +a(g189 +V +tp4647 +a(g202 +V( +tp4648 +a(g57 +Vvector-ref +p4649 +tp4650 +a(g73 +Vobj +p4651 +tp4652 +a(g189 +V +tp4653 +a(g318 +V5 +tp4654 +a(g202 +V) +tp4655 +a(g202 +V) +tp4656 +a(g189 +V\u000a +tp4657 +a(g202 +V( +tp4658 +a(g111 +Vdefine +p4659 +tp4660 +a(g202 +V( +tp4661 +a(g21 +Vtm:wday +p4662 +tp4663 +a(g189 +V +tp4664 +a(g73 +Vobj +p4665 +tp4666 +a(g202 +V) +tp4667 +a(g189 +V +tp4668 +a(g202 +V( +tp4669 +a(g57 +Vvector-ref +p4670 +tp4671 +a(g73 +Vobj +p4672 +tp4673 +a(g189 +V +tp4674 +a(g318 +V6 +tp4675 +a(g202 +V) +tp4676 +a(g202 +V) +tp4677 +a(g189 +V\u000a +tp4678 +a(g202 +V( +tp4679 +a(g111 +Vdefine +p4680 +tp4681 +a(g202 +V( +tp4682 +a(g21 +Vtm:yday +p4683 +tp4684 +a(g189 +V +tp4685 +a(g73 +Vobj +p4686 +tp4687 +a(g202 +V) +tp4688 +a(g189 +V +tp4689 +a(g202 +V( +tp4690 +a(g57 +Vvector-ref +p4691 +tp4692 +a(g73 +Vobj +p4693 +tp4694 +a(g189 +V +tp4695 +a(g318 +V7 +tp4696 +a(g202 +V) +tp4697 +a(g202 +V) +tp4698 +a(g189 +V\u000a +tp4699 +a(g202 +V( +tp4700 +a(g111 +Vdefine +p4701 +tp4702 +a(g202 +V( +tp4703 +a(g21 +Vtm:isdst +p4704 +tp4705 +a(g189 +V +tp4706 +a(g73 +Vobj +p4707 +tp4708 +a(g202 +V) +tp4709 +a(g189 +V +tp4710 +a(g202 +V( +tp4711 +a(g57 +Vvector-ref +p4712 +tp4713 +a(g73 +Vobj +p4714 +tp4715 +a(g189 +V +tp4716 +a(g318 +V8 +tp4717 +a(g202 +V) +tp4718 +a(g202 +V) +tp4719 +a(g189 +V\u000a +tp4720 +a(g202 +V( +tp4721 +a(g111 +Vdefine +p4722 +tp4723 +a(g202 +V( +tp4724 +a(g21 +Vtm:gmtoff +p4725 +tp4726 +a(g189 +V +tp4727 +a(g73 +Vobj +p4728 +tp4729 +a(g202 +V) +tp4730 +a(g189 +V +tp4731 +a(g202 +V( +tp4732 +a(g57 +Vvector-ref +p4733 +tp4734 +a(g73 +Vobj +p4735 +tp4736 +a(g189 +V +tp4737 +a(g318 +V9 +tp4738 +a(g202 +V) +tp4739 +a(g202 +V) +tp4740 +a(g189 +V\u000a +tp4741 +a(g202 +V( +tp4742 +a(g111 +Vdefine +p4743 +tp4744 +a(g202 +V( +tp4745 +a(g21 +Vtm:zone +p4746 +tp4747 +a(g189 +V +tp4748 +a(g73 +Vobj +p4749 +tp4750 +a(g202 +V) +tp4751 +a(g189 +V +tp4752 +a(g202 +V( +tp4753 +a(g57 +Vvector-ref +p4754 +tp4755 +a(g73 +Vobj +p4756 +tp4757 +a(g189 +V +tp4758 +a(g318 +V10 +p4759 +tp4760 +a(g202 +V) +tp4761 +a(g202 +V) +tp4762 +a(g189 +V\u000a\u000a +p4763 +tp4764 +a(g202 +V( +tp4765 +a(g111 +Vdefine +p4766 +tp4767 +a(g202 +V( +tp4768 +a(g21 +Vset-tm:sec +p4769 +tp4770 +a(g189 +V +tp4771 +a(g73 +Vobj +p4772 +tp4773 +a(g189 +V +tp4774 +a(g73 +Vval +p4775 +tp4776 +a(g202 +V) +tp4777 +a(g189 +V +tp4778 +a(g202 +V( +tp4779 +a(g57 +Vvector-set! +p4780 +tp4781 +a(g73 +Vobj +p4782 +tp4783 +a(g189 +V +tp4784 +a(g318 +V0 +tp4785 +a(g189 +V +tp4786 +a(g73 +Vval +p4787 +tp4788 +a(g202 +V) +tp4789 +a(g202 +V) +tp4790 +a(g189 +V\u000a +tp4791 +a(g202 +V( +tp4792 +a(g111 +Vdefine +p4793 +tp4794 +a(g202 +V( +tp4795 +a(g21 +Vset-tm:min +p4796 +tp4797 +a(g189 +V +tp4798 +a(g73 +Vobj +p4799 +tp4800 +a(g189 +V +tp4801 +a(g73 +Vval +p4802 +tp4803 +a(g202 +V) +tp4804 +a(g189 +V +tp4805 +a(g202 +V( +tp4806 +a(g57 +Vvector-set! +p4807 +tp4808 +a(g73 +Vobj +p4809 +tp4810 +a(g189 +V +tp4811 +a(g318 +V1 +tp4812 +a(g189 +V +tp4813 +a(g73 +Vval +p4814 +tp4815 +a(g202 +V) +tp4816 +a(g202 +V) +tp4817 +a(g189 +V\u000a +tp4818 +a(g202 +V( +tp4819 +a(g111 +Vdefine +p4820 +tp4821 +a(g202 +V( +tp4822 +a(g21 +Vset-tm:hour +p4823 +tp4824 +a(g189 +V +tp4825 +a(g73 +Vobj +p4826 +tp4827 +a(g189 +V +tp4828 +a(g73 +Vval +p4829 +tp4830 +a(g202 +V) +tp4831 +a(g189 +V +tp4832 +a(g202 +V( +tp4833 +a(g57 +Vvector-set! +p4834 +tp4835 +a(g73 +Vobj +p4836 +tp4837 +a(g189 +V +tp4838 +a(g318 +V2 +tp4839 +a(g189 +V +tp4840 +a(g73 +Vval +p4841 +tp4842 +a(g202 +V) +tp4843 +a(g202 +V) +tp4844 +a(g189 +V\u000a +tp4845 +a(g202 +V( +tp4846 +a(g111 +Vdefine +p4847 +tp4848 +a(g202 +V( +tp4849 +a(g21 +Vset-tm:mday +p4850 +tp4851 +a(g189 +V +tp4852 +a(g73 +Vobj +p4853 +tp4854 +a(g189 +V +tp4855 +a(g73 +Vval +p4856 +tp4857 +a(g202 +V) +tp4858 +a(g189 +V +tp4859 +a(g202 +V( +tp4860 +a(g57 +Vvector-set! +p4861 +tp4862 +a(g73 +Vobj +p4863 +tp4864 +a(g189 +V +tp4865 +a(g318 +V3 +tp4866 +a(g189 +V +tp4867 +a(g73 +Vval +p4868 +tp4869 +a(g202 +V) +tp4870 +a(g202 +V) +tp4871 +a(g189 +V\u000a +tp4872 +a(g202 +V( +tp4873 +a(g111 +Vdefine +p4874 +tp4875 +a(g202 +V( +tp4876 +a(g21 +Vset-tm:mon +p4877 +tp4878 +a(g189 +V +tp4879 +a(g73 +Vobj +p4880 +tp4881 +a(g189 +V +tp4882 +a(g73 +Vval +p4883 +tp4884 +a(g202 +V) +tp4885 +a(g189 +V +tp4886 +a(g202 +V( +tp4887 +a(g57 +Vvector-set! +p4888 +tp4889 +a(g73 +Vobj +p4890 +tp4891 +a(g189 +V +tp4892 +a(g318 +V4 +tp4893 +a(g189 +V +tp4894 +a(g73 +Vval +p4895 +tp4896 +a(g202 +V) +tp4897 +a(g202 +V) +tp4898 +a(g189 +V\u000a +tp4899 +a(g202 +V( +tp4900 +a(g111 +Vdefine +p4901 +tp4902 +a(g202 +V( +tp4903 +a(g21 +Vset-tm:year +p4904 +tp4905 +a(g189 +V +tp4906 +a(g73 +Vobj +p4907 +tp4908 +a(g189 +V +tp4909 +a(g73 +Vval +p4910 +tp4911 +a(g202 +V) +tp4912 +a(g189 +V +tp4913 +a(g202 +V( +tp4914 +a(g57 +Vvector-set! +p4915 +tp4916 +a(g73 +Vobj +p4917 +tp4918 +a(g189 +V +tp4919 +a(g318 +V5 +tp4920 +a(g189 +V +tp4921 +a(g73 +Vval +p4922 +tp4923 +a(g202 +V) +tp4924 +a(g202 +V) +tp4925 +a(g189 +V\u000a +tp4926 +a(g202 +V( +tp4927 +a(g111 +Vdefine +p4928 +tp4929 +a(g202 +V( +tp4930 +a(g21 +Vset-tm:wday +p4931 +tp4932 +a(g189 +V +tp4933 +a(g73 +Vobj +p4934 +tp4935 +a(g189 +V +tp4936 +a(g73 +Vval +p4937 +tp4938 +a(g202 +V) +tp4939 +a(g189 +V +tp4940 +a(g202 +V( +tp4941 +a(g57 +Vvector-set! +p4942 +tp4943 +a(g73 +Vobj +p4944 +tp4945 +a(g189 +V +tp4946 +a(g318 +V6 +tp4947 +a(g189 +V +tp4948 +a(g73 +Vval +p4949 +tp4950 +a(g202 +V) +tp4951 +a(g202 +V) +tp4952 +a(g189 +V\u000a +tp4953 +a(g202 +V( +tp4954 +a(g111 +Vdefine +p4955 +tp4956 +a(g202 +V( +tp4957 +a(g21 +Vset-tm:yday +p4958 +tp4959 +a(g189 +V +tp4960 +a(g73 +Vobj +p4961 +tp4962 +a(g189 +V +tp4963 +a(g73 +Vval +p4964 +tp4965 +a(g202 +V) +tp4966 +a(g189 +V +tp4967 +a(g202 +V( +tp4968 +a(g57 +Vvector-set! +p4969 +tp4970 +a(g73 +Vobj +p4971 +tp4972 +a(g189 +V +tp4973 +a(g318 +V7 +tp4974 +a(g189 +V +tp4975 +a(g73 +Vval +p4976 +tp4977 +a(g202 +V) +tp4978 +a(g202 +V) +tp4979 +a(g189 +V\u000a +tp4980 +a(g202 +V( +tp4981 +a(g111 +Vdefine +p4982 +tp4983 +a(g202 +V( +tp4984 +a(g21 +Vset-tm:isdst +p4985 +tp4986 +a(g189 +V +tp4987 +a(g73 +Vobj +p4988 +tp4989 +a(g189 +V +tp4990 +a(g73 +Vval +p4991 +tp4992 +a(g202 +V) +tp4993 +a(g189 +V +tp4994 +a(g202 +V( +tp4995 +a(g57 +Vvector-set! +p4996 +tp4997 +a(g73 +Vobj +p4998 +tp4999 +a(g189 +V +tp5000 +a(g318 +V8 +tp5001 +a(g189 +V +tp5002 +a(g73 +Vval +p5003 +tp5004 +a(g202 +V) +tp5005 +a(g202 +V) +tp5006 +a(g189 +V\u000a +tp5007 +a(g202 +V( +tp5008 +a(g111 +Vdefine +p5009 +tp5010 +a(g202 +V( +tp5011 +a(g21 +Vset-tm:gmtoff +p5012 +tp5013 +a(g189 +V +tp5014 +a(g73 +Vobj +p5015 +tp5016 +a(g189 +V +tp5017 +a(g73 +Vval +p5018 +tp5019 +a(g202 +V) +tp5020 +a(g189 +V +tp5021 +a(g202 +V( +tp5022 +a(g57 +Vvector-set! +p5023 +tp5024 +a(g73 +Vobj +p5025 +tp5026 +a(g189 +V +tp5027 +a(g318 +V9 +tp5028 +a(g189 +V +tp5029 +a(g73 +Vval +p5030 +tp5031 +a(g202 +V) +tp5032 +a(g202 +V) +tp5033 +a(g189 +V\u000a +tp5034 +a(g202 +V( +tp5035 +a(g111 +Vdefine +p5036 +tp5037 +a(g202 +V( +tp5038 +a(g21 +Vset-tm:zone +p5039 +tp5040 +a(g189 +V +tp5041 +a(g73 +Vobj +p5042 +tp5043 +a(g189 +V +tp5044 +a(g73 +Vval +p5045 +tp5046 +a(g202 +V) +tp5047 +a(g189 +V +tp5048 +a(g202 +V( +tp5049 +a(g57 +Vvector-set! +p5050 +tp5051 +a(g73 +Vobj +p5052 +tp5053 +a(g189 +V +tp5054 +a(g318 +V10 +p5055 +tp5056 +a(g189 +V +tp5057 +a(g73 +Vval +p5058 +tp5059 +a(g202 +V) +tp5060 +a(g202 +V) +tp5061 +a(g189 +V\u000a\u000a +p5062 +tp5063 +a(g202 +V( +tp5064 +a(g111 +Vdefine +p5065 +tp5066 +a(g202 +V( +tp5067 +a(g21 +Vtms:clock +p5068 +tp5069 +a(g189 +V +tp5070 +a(g73 +Vobj +p5071 +tp5072 +a(g202 +V) +tp5073 +a(g189 +V +tp5074 +a(g202 +V( +tp5075 +a(g57 +Vvector-ref +p5076 +tp5077 +a(g73 +Vobj +p5078 +tp5079 +a(g189 +V +tp5080 +a(g318 +V0 +tp5081 +a(g202 +V) +tp5082 +a(g202 +V) +tp5083 +a(g189 +V\u000a +tp5084 +a(g202 +V( +tp5085 +a(g111 +Vdefine +p5086 +tp5087 +a(g202 +V( +tp5088 +a(g21 +Vtms:utime +p5089 +tp5090 +a(g189 +V +tp5091 +a(g73 +Vobj +p5092 +tp5093 +a(g202 +V) +tp5094 +a(g189 +V +tp5095 +a(g202 +V( +tp5096 +a(g57 +Vvector-ref +p5097 +tp5098 +a(g73 +Vobj +p5099 +tp5100 +a(g189 +V +tp5101 +a(g318 +V1 +tp5102 +a(g202 +V) +tp5103 +a(g202 +V) +tp5104 +a(g189 +V\u000a +tp5105 +a(g202 +V( +tp5106 +a(g111 +Vdefine +p5107 +tp5108 +a(g202 +V( +tp5109 +a(g21 +Vtms:stime +p5110 +tp5111 +a(g189 +V +tp5112 +a(g73 +Vobj +p5113 +tp5114 +a(g202 +V) +tp5115 +a(g189 +V +tp5116 +a(g202 +V( +tp5117 +a(g57 +Vvector-ref +p5118 +tp5119 +a(g73 +Vobj +p5120 +tp5121 +a(g189 +V +tp5122 +a(g318 +V2 +tp5123 +a(g202 +V) +tp5124 +a(g202 +V) +tp5125 +a(g189 +V\u000a +tp5126 +a(g202 +V( +tp5127 +a(g111 +Vdefine +p5128 +tp5129 +a(g202 +V( +tp5130 +a(g21 +Vtms:cutime +p5131 +tp5132 +a(g189 +V +tp5133 +a(g73 +Vobj +p5134 +tp5135 +a(g202 +V) +tp5136 +a(g189 +V +tp5137 +a(g202 +V( +tp5138 +a(g57 +Vvector-ref +p5139 +tp5140 +a(g73 +Vobj +p5141 +tp5142 +a(g189 +V +tp5143 +a(g318 +V3 +tp5144 +a(g202 +V) +tp5145 +a(g202 +V) +tp5146 +a(g189 +V\u000a +tp5147 +a(g202 +V( +tp5148 +a(g111 +Vdefine +p5149 +tp5150 +a(g202 +V( +tp5151 +a(g21 +Vtms:cstime +p5152 +tp5153 +a(g189 +V +tp5154 +a(g73 +Vobj +p5155 +tp5156 +a(g202 +V) +tp5157 +a(g189 +V +tp5158 +a(g202 +V( +tp5159 +a(g57 +Vvector-ref +p5160 +tp5161 +a(g73 +Vobj +p5162 +tp5163 +a(g189 +V +tp5164 +a(g318 +V4 +tp5165 +a(g202 +V) +tp5166 +a(g202 +V) +tp5167 +a(g189 +V\u000a\u000a +p5168 +tp5169 +a(g202 +V( +tp5170 +a(g111 +Vdefine +p5171 +tp5172 +a(g73 +Vfile-position +p5173 +tp5174 +a(g189 +V +tp5175 +a(g73 +Vftell +p5176 +tp5177 +a(g202 +V) +tp5178 +a(g189 +V\u000a +tp5179 +a(g202 +V( +tp5180 +a(g111 +Vdefine +p5181 +tp5182 +a(g202 +V( +tp5183 +a(g21 +Vfile-set-position +p5184 +tp5185 +a(g189 +V +tp5186 +a(g73 +Vport +p5187 +tp5188 +a(g189 +V +tp5189 +a(g73 +Voffset +p5190 +tp5191 +a(g189 +V +tp5192 +a(g343 +V. +tp5193 +a(g189 +V +tp5194 +a(g73 +Vwhence +p5195 +tp5196 +a(g202 +V) +tp5197 +a(g189 +V\u000a +p5198 +tp5199 +a(g202 +V( +tp5200 +a(g111 +Vlet +p5201 +tp5202 +a(g202 +V( +tp5203 +a(g202 +V( +tp5204 +a(g21 +Vwhence +p5205 +tp5206 +a(g189 +V +tp5207 +a(g202 +V( +tp5208 +a(g111 +Vif +p5209 +tp5210 +a(g202 +V( +tp5211 +a(g57 +Veq? +p5212 +tp5213 +a(g73 +Vwhence +p5214 +tp5215 +a(g189 +V +tp5216 +a(g343 +V' +tp5217 +a(g202 +V( +tp5218 +a(g202 +V) +tp5219 +a(g202 +V) +tp5220 +a(g189 +V +tp5221 +a(g73 +VSEEK_SET +p5222 +tp5223 +a(g189 +V +tp5224 +a(g202 +V( +tp5225 +a(g57 +Vcar +p5226 +tp5227 +a(g73 +Vwhence +p5228 +tp5229 +a(g202 +V) +tp5230 +a(g202 +V) +tp5231 +a(g202 +V) +tp5232 +a(g202 +V) +tp5233 +a(g189 +V\u000a +p5234 +tp5235 +a(g202 +V( +tp5236 +a(g21 +Vseek +p5237 +tp5238 +a(g189 +V +tp5239 +a(g73 +Vport +p5240 +tp5241 +a(g189 +V +tp5242 +a(g73 +Voffset +p5243 +tp5244 +a(g189 +V +tp5245 +a(g73 +Vwhence +p5246 +tp5247 +a(g202 +V) +tp5248 +a(g202 +V) +tp5249 +a(g202 +V) +tp5250 +a(g189 +V\u000a\u000a +p5251 +tp5252 +a(g202 +V( +tp5253 +a(g111 +Vdefine +p5254 +tp5255 +a(g202 +V( +tp5256 +a(g21 +Vmove->fdes +p5257 +tp5258 +a(g189 +V +tp5259 +a(g73 +Vfd/port +p5260 +tp5261 +a(g189 +V +tp5262 +a(g73 +Vfd +p5263 +tp5264 +a(g202 +V) +tp5265 +a(g189 +V\u000a +p5266 +tp5267 +a(g202 +V( +tp5268 +a(g111 +Vcond +p5269 +tp5270 +a(g202 +V( +tp5271 +a(g202 +V( +tp5272 +a(g57 +Vinteger? +p5273 +tp5274 +a(g73 +Vfd/port +p5275 +tp5276 +a(g202 +V) +tp5277 +a(g189 +V\u000a +p5278 +tp5279 +a(g202 +V( +tp5280 +a(g21 +Vdup->fdes +p5281 +tp5282 +a(g189 +V +tp5283 +a(g73 +Vfd/port +p5284 +tp5285 +a(g189 +V +tp5286 +a(g73 +Vfd +p5287 +tp5288 +a(g202 +V) +tp5289 +a(g189 +V\u000a +p5290 +tp5291 +a(g202 +V( +tp5292 +a(g21 +Vclose +p5293 +tp5294 +a(g189 +V +tp5295 +a(g73 +Vfd/port +p5296 +tp5297 +a(g202 +V) +tp5298 +a(g189 +V\u000a +p5299 +tp5300 +a(g73 +Vfd +p5301 +tp5302 +a(g202 +V) +tp5303 +a(g189 +V\u000a +p5304 +tp5305 +a(g202 +V( +tp5306 +a(g21 +Velse +p5307 +tp5308 +a(g189 +V\u000a +p5309 +tp5310 +a(g202 +V( +tp5311 +a(g21 +Vprimitive-move->fdes +p5312 +tp5313 +a(g189 +V +tp5314 +a(g73 +Vfd/port +p5315 +tp5316 +a(g189 +V +tp5317 +a(g73 +Vfd +p5318 +tp5319 +a(g202 +V) +tp5320 +a(g189 +V\u000a +p5321 +tp5322 +a(g202 +V( +tp5323 +a(g21 +Vset-port-revealed! +p5324 +tp5325 +a(g189 +V +tp5326 +a(g73 +Vfd/port +p5327 +tp5328 +a(g189 +V +tp5329 +a(g318 +V1 +tp5330 +a(g202 +V) +tp5331 +a(g189 +V\u000a +p5332 +tp5333 +a(g73 +Vfd/port +p5334 +tp5335 +a(g202 +V) +tp5336 +a(g202 +V) +tp5337 +a(g202 +V) +tp5338 +a(g189 +V\u000a\u000a +p5339 +tp5340 +a(g202 +V( +tp5341 +a(g111 +Vdefine +p5342 +tp5343 +a(g202 +V( +tp5344 +a(g21 +Vrelease-port-handle +p5345 +tp5346 +a(g189 +V +tp5347 +a(g73 +Vport +p5348 +tp5349 +a(g202 +V) +tp5350 +a(g189 +V\u000a +p5351 +tp5352 +a(g202 +V( +tp5353 +a(g111 +Vlet +p5354 +tp5355 +a(g202 +V( +tp5356 +a(g202 +V( +tp5357 +a(g21 +Vrevealed +p5358 +tp5359 +a(g189 +V +tp5360 +a(g202 +V( +tp5361 +a(g21 +Vport-revealed +p5362 +tp5363 +a(g189 +V +tp5364 +a(g73 +Vport +p5365 +tp5366 +a(g202 +V) +tp5367 +a(g202 +V) +tp5368 +a(g202 +V) +tp5369 +a(g189 +V\u000a +p5370 +tp5371 +a(g202 +V( +tp5372 +a(g111 +Vif +p5373 +tp5374 +a(g202 +V( +tp5375 +a(g57 +V> +p5376 +tp5377 +a(g73 +Vrevealed +p5378 +tp5379 +a(g189 +V +tp5380 +a(g318 +V0 +tp5381 +a(g202 +V) +tp5382 +a(g189 +V\u000a +p5383 +tp5384 +a(g202 +V( +tp5385 +a(g21 +Vset-port-revealed! +p5386 +tp5387 +a(g189 +V +tp5388 +a(g73 +Vport +p5389 +tp5390 +a(g189 +V +tp5391 +a(g202 +V( +tp5392 +a(g57 +V- +p5393 +tp5394 +a(g73 +Vrevealed +p5395 +tp5396 +a(g189 +V +tp5397 +a(g318 +V1 +tp5398 +a(g202 +V) +tp5399 +a(g202 +V) +tp5400 +a(g202 +V) +tp5401 +a(g202 +V) +tp5402 +a(g202 +V) +tp5403 +a(g189 +V\u000a\u000a +p5404 +tp5405 +a(g202 +V( +tp5406 +a(g111 +Vdefine +p5407 +tp5408 +a(g202 +V( +tp5409 +a(g21 +Vdup->port +p5410 +tp5411 +a(g189 +V +tp5412 +a(g73 +Vport/fd +p5413 +tp5414 +a(g189 +V +tp5415 +a(g73 +Vmode +p5416 +tp5417 +a(g189 +V +tp5418 +a(g343 +V. +tp5419 +a(g189 +V +tp5420 +a(g73 +Vmaybe-fd +p5421 +tp5422 +a(g202 +V) +tp5423 +a(g189 +V\u000a +p5424 +tp5425 +a(g202 +V( +tp5426 +a(g111 +Vlet +p5427 +tp5428 +a(g202 +V( +tp5429 +a(g202 +V( +tp5430 +a(g21 +Vport +p5431 +tp5432 +a(g189 +V +tp5433 +a(g202 +V( +tp5434 +a(g21 +Vfdopen +p5435 +tp5436 +a(g189 +V +tp5437 +a(g202 +V( +tp5438 +a(g57 +Vapply +p5439 +tp5440 +a(g73 +Vdup->fdes +p5441 +tp5442 +a(g189 +V +tp5443 +a(g73 +Vport/fd +p5444 +tp5445 +a(g189 +V +tp5446 +a(g73 +Vmaybe-fd +p5447 +tp5448 +a(g202 +V) +tp5449 +a(g189 +V\u000a +p5450 +tp5451 +a(g73 +Vmode +p5452 +tp5453 +a(g202 +V) +tp5454 +a(g202 +V) +tp5455 +a(g202 +V) +tp5456 +a(g189 +V\u000a +p5457 +tp5458 +a(g202 +V( +tp5459 +a(g111 +Vif +p5460 +tp5461 +a(g202 +V( +tp5462 +a(g57 +Vpair? +p5463 +tp5464 +a(g73 +Vmaybe-fd +p5465 +tp5466 +a(g202 +V) +tp5467 +a(g189 +V\u000a +p5468 +tp5469 +a(g202 +V( +tp5470 +a(g21 +Vset-port-revealed! +p5471 +tp5472 +a(g189 +V +tp5473 +a(g73 +Vport +p5474 +tp5475 +a(g189 +V +tp5476 +a(g318 +V1 +tp5477 +a(g202 +V) +tp5478 +a(g202 +V) +tp5479 +a(g189 +V\u000a +p5480 +tp5481 +a(g73 +Vport +p5482 +tp5483 +a(g202 +V) +tp5484 +a(g202 +V) +tp5485 +a(g189 +V\u000a\u000a +p5486 +tp5487 +a(g202 +V( +tp5488 +a(g111 +Vdefine +p5489 +tp5490 +a(g202 +V( +tp5491 +a(g21 +Vdup->inport +p5492 +tp5493 +a(g189 +V +tp5494 +a(g73 +Vport/fd +p5495 +tp5496 +a(g189 +V +tp5497 +a(g343 +V. +tp5498 +a(g189 +V +tp5499 +a(g73 +Vmaybe-fd +p5500 +tp5501 +a(g202 +V) +tp5502 +a(g189 +V\u000a +p5503 +tp5504 +a(g202 +V( +tp5505 +a(g57 +Vapply +p5506 +tp5507 +a(g73 +Vdup->port +p5508 +tp5509 +a(g189 +V +tp5510 +a(g73 +Vport/fd +p5511 +tp5512 +a(g189 +V +tp5513 +a(g226 +V"r" +p5514 +tp5515 +a(g189 +V +tp5516 +a(g73 +Vmaybe-fd +p5517 +tp5518 +a(g202 +V) +tp5519 +a(g202 +V) +tp5520 +a(g189 +V\u000a\u000a +p5521 +tp5522 +a(g202 +V( +tp5523 +a(g111 +Vdefine +p5524 +tp5525 +a(g202 +V( +tp5526 +a(g21 +Vdup->outport +p5527 +tp5528 +a(g189 +V +tp5529 +a(g73 +Vport/fd +p5530 +tp5531 +a(g189 +V +tp5532 +a(g343 +V. +tp5533 +a(g189 +V +tp5534 +a(g73 +Vmaybe-fd +p5535 +tp5536 +a(g202 +V) +tp5537 +a(g189 +V\u000a +p5538 +tp5539 +a(g202 +V( +tp5540 +a(g57 +Vapply +p5541 +tp5542 +a(g73 +Vdup->port +p5543 +tp5544 +a(g189 +V +tp5545 +a(g73 +Vport/fd +p5546 +tp5547 +a(g189 +V +tp5548 +a(g226 +V"w" +p5549 +tp5550 +a(g189 +V +tp5551 +a(g73 +Vmaybe-fd +p5552 +tp5553 +a(g202 +V) +tp5554 +a(g202 +V) +tp5555 +a(g189 +V\u000a\u000a +p5556 +tp5557 +a(g202 +V( +tp5558 +a(g111 +Vdefine +p5559 +tp5560 +a(g202 +V( +tp5561 +a(g21 +Vdup +p5562 +tp5563 +a(g189 +V +tp5564 +a(g73 +Vport/fd +p5565 +tp5566 +a(g189 +V +tp5567 +a(g343 +V. +tp5568 +a(g189 +V +tp5569 +a(g73 +Vmaybe-fd +p5570 +tp5571 +a(g202 +V) +tp5572 +a(g189 +V\u000a +p5573 +tp5574 +a(g202 +V( +tp5575 +a(g111 +Vif +p5576 +tp5577 +a(g202 +V( +tp5578 +a(g57 +Vinteger? +p5579 +tp5580 +a(g73 +Vport/fd +p5581 +tp5582 +a(g202 +V) +tp5583 +a(g189 +V\u000a +p5584 +tp5585 +a(g202 +V( +tp5586 +a(g57 +Vapply +p5587 +tp5588 +a(g73 +Vdup->fdes +p5589 +tp5590 +a(g189 +V +tp5591 +a(g73 +Vport/fd +p5592 +tp5593 +a(g189 +V +tp5594 +a(g73 +Vmaybe-fd +p5595 +tp5596 +a(g202 +V) +tp5597 +a(g189 +V\u000a +p5598 +tp5599 +a(g202 +V( +tp5600 +a(g57 +Vapply +p5601 +tp5602 +a(g73 +Vdup->port +p5603 +tp5604 +a(g189 +V +tp5605 +a(g73 +Vport/fd +p5606 +tp5607 +a(g189 +V +tp5608 +a(g202 +V( +tp5609 +a(g21 +Vport-mode +p5610 +tp5611 +a(g189 +V +tp5612 +a(g73 +Vport/fd +p5613 +tp5614 +a(g202 +V) +tp5615 +a(g189 +V +tp5616 +a(g73 +Vmaybe-fd +p5617 +tp5618 +a(g202 +V) +tp5619 +a(g202 +V) +tp5620 +a(g202 +V) +tp5621 +a(g189 +V\u000a\u000a +p5622 +tp5623 +a(g202 +V( +tp5624 +a(g111 +Vdefine +p5625 +tp5626 +a(g202 +V( +tp5627 +a(g21 +Vduplicate-port +p5628 +tp5629 +a(g189 +V +tp5630 +a(g73 +Vport +p5631 +tp5632 +a(g189 +V +tp5633 +a(g73 +Vmodes +p5634 +tp5635 +a(g202 +V) +tp5636 +a(g189 +V\u000a +p5637 +tp5638 +a(g202 +V( +tp5639 +a(g21 +Vdup->port +p5640 +tp5641 +a(g189 +V +tp5642 +a(g73 +Vport +p5643 +tp5644 +a(g189 +V +tp5645 +a(g73 +Vmodes +p5646 +tp5647 +a(g202 +V) +tp5648 +a(g202 +V) +tp5649 +a(g189 +V\u000a\u000a +p5650 +tp5651 +a(g202 +V( +tp5652 +a(g111 +Vdefine +p5653 +tp5654 +a(g202 +V( +tp5655 +a(g21 +Vfdes->inport +p5656 +tp5657 +a(g189 +V +tp5658 +a(g73 +Vfdes +p5659 +tp5660 +a(g202 +V) +tp5661 +a(g189 +V\u000a +p5662 +tp5663 +a(g202 +V( +tp5664 +a(g111 +Vlet +p5665 +tp5666 +a(g73 +Vloop +p5667 +tp5668 +a(g189 +V +tp5669 +a(g202 +V( +tp5670 +a(g202 +V( +tp5671 +a(g21 +Vrest-ports +p5672 +tp5673 +a(g189 +V +tp5674 +a(g202 +V( +tp5675 +a(g21 +Vfdes->ports +p5676 +tp5677 +a(g189 +V +tp5678 +a(g73 +Vfdes +p5679 +tp5680 +a(g202 +V) +tp5681 +a(g202 +V) +tp5682 +a(g202 +V) +tp5683 +a(g189 +V\u000a +p5684 +tp5685 +a(g202 +V( +tp5686 +a(g111 +Vcond +p5687 +tp5688 +a(g202 +V( +tp5689 +a(g202 +V( +tp5690 +a(g57 +Vnull? +p5691 +tp5692 +a(g73 +Vrest-ports +p5693 +tp5694 +a(g202 +V) +tp5695 +a(g189 +V\u000a +p5696 +tp5697 +a(g202 +V( +tp5698 +a(g111 +Vlet +p5699 +tp5700 +a(g202 +V( +tp5701 +a(g202 +V( +tp5702 +a(g21 +Vresult +p5703 +tp5704 +a(g189 +V +tp5705 +a(g202 +V( +tp5706 +a(g21 +Vfdopen +p5707 +tp5708 +a(g189 +V +tp5709 +a(g73 +Vfdes +p5710 +tp5711 +a(g189 +V +tp5712 +a(g226 +V"r" +p5713 +tp5714 +a(g202 +V) +tp5715 +a(g202 +V) +tp5716 +a(g202 +V) +tp5717 +a(g189 +V\u000a +p5718 +tp5719 +a(g202 +V( +tp5720 +a(g21 +Vset-port-revealed! +p5721 +tp5722 +a(g189 +V +tp5723 +a(g73 +Vresult +p5724 +tp5725 +a(g189 +V +tp5726 +a(g318 +V1 +tp5727 +a(g202 +V) +tp5728 +a(g189 +V\u000a +p5729 +tp5730 +a(g73 +Vresult +p5731 +tp5732 +a(g202 +V) +tp5733 +a(g202 +V) +tp5734 +a(g189 +V\u000a +p5735 +tp5736 +a(g202 +V( +tp5737 +a(g202 +V( +tp5738 +a(g57 +Vinput-port? +p5739 +tp5740 +a(g202 +V( +tp5741 +a(g57 +Vcar +p5742 +tp5743 +a(g73 +Vrest-ports +p5744 +tp5745 +a(g202 +V) +tp5746 +a(g202 +V) +tp5747 +a(g189 +V\u000a +p5748 +tp5749 +a(g202 +V( +tp5750 +a(g21 +Vset-port-revealed! +p5751 +tp5752 +a(g189 +V +tp5753 +a(g202 +V( +tp5754 +a(g57 +Vcar +p5755 +tp5756 +a(g73 +Vrest-ports +p5757 +tp5758 +a(g202 +V) +tp5759 +a(g189 +V\u000a +p5760 +tp5761 +a(g202 +V( +tp5762 +a(g57 +V+ +p5763 +tp5764 +a(g202 +V( +tp5765 +a(g21 +Vport-revealed +p5766 +tp5767 +a(g189 +V +tp5768 +a(g202 +V( +tp5769 +a(g57 +Vcar +p5770 +tp5771 +a(g73 +Vrest-ports +p5772 +tp5773 +a(g202 +V) +tp5774 +a(g202 +V) +tp5775 +a(g189 +V +tp5776 +a(g318 +V1 +tp5777 +a(g202 +V) +tp5778 +a(g202 +V) +tp5779 +a(g189 +V\u000a +p5780 +tp5781 +a(g202 +V( +tp5782 +a(g57 +Vcar +p5783 +tp5784 +a(g73 +Vrest-ports +p5785 +tp5786 +a(g202 +V) +tp5787 +a(g202 +V) +tp5788 +a(g189 +V\u000a +p5789 +tp5790 +a(g202 +V( +tp5791 +a(g21 +Velse +p5792 +tp5793 +a(g189 +V\u000a +p5794 +tp5795 +a(g202 +V( +tp5796 +a(g21 +Vloop +p5797 +tp5798 +a(g189 +V +tp5799 +a(g202 +V( +tp5800 +a(g57 +Vcdr +p5801 +tp5802 +a(g73 +Vrest-ports +p5803 +tp5804 +a(g202 +V) +tp5805 +a(g202 +V) +tp5806 +a(g202 +V) +tp5807 +a(g202 +V) +tp5808 +a(g202 +V) +tp5809 +a(g202 +V) +tp5810 +a(g189 +V\u000a\u000a +p5811 +tp5812 +a(g202 +V( +tp5813 +a(g111 +Vdefine +p5814 +tp5815 +a(g202 +V( +tp5816 +a(g21 +Vfdes->outport +p5817 +tp5818 +a(g189 +V +tp5819 +a(g73 +Vfdes +p5820 +tp5821 +a(g202 +V) +tp5822 +a(g189 +V\u000a +p5823 +tp5824 +a(g202 +V( +tp5825 +a(g111 +Vlet +p5826 +tp5827 +a(g73 +Vloop +p5828 +tp5829 +a(g189 +V +tp5830 +a(g202 +V( +tp5831 +a(g202 +V( +tp5832 +a(g21 +Vrest-ports +p5833 +tp5834 +a(g189 +V +tp5835 +a(g202 +V( +tp5836 +a(g21 +Vfdes->ports +p5837 +tp5838 +a(g189 +V +tp5839 +a(g73 +Vfdes +p5840 +tp5841 +a(g202 +V) +tp5842 +a(g202 +V) +tp5843 +a(g202 +V) +tp5844 +a(g189 +V\u000a +p5845 +tp5846 +a(g202 +V( +tp5847 +a(g111 +Vcond +p5848 +tp5849 +a(g202 +V( +tp5850 +a(g202 +V( +tp5851 +a(g57 +Vnull? +p5852 +tp5853 +a(g73 +Vrest-ports +p5854 +tp5855 +a(g202 +V) +tp5856 +a(g189 +V\u000a +p5857 +tp5858 +a(g202 +V( +tp5859 +a(g111 +Vlet +p5860 +tp5861 +a(g202 +V( +tp5862 +a(g202 +V( +tp5863 +a(g21 +Vresult +p5864 +tp5865 +a(g189 +V +tp5866 +a(g202 +V( +tp5867 +a(g21 +Vfdopen +p5868 +tp5869 +a(g189 +V +tp5870 +a(g73 +Vfdes +p5871 +tp5872 +a(g189 +V +tp5873 +a(g226 +V"w" +p5874 +tp5875 +a(g202 +V) +tp5876 +a(g202 +V) +tp5877 +a(g202 +V) +tp5878 +a(g189 +V\u000a +p5879 +tp5880 +a(g202 +V( +tp5881 +a(g21 +Vset-port-revealed! +p5882 +tp5883 +a(g189 +V +tp5884 +a(g73 +Vresult +p5885 +tp5886 +a(g189 +V +tp5887 +a(g318 +V1 +tp5888 +a(g202 +V) +tp5889 +a(g189 +V\u000a +p5890 +tp5891 +a(g73 +Vresult +p5892 +tp5893 +a(g202 +V) +tp5894 +a(g202 +V) +tp5895 +a(g189 +V\u000a +p5896 +tp5897 +a(g202 +V( +tp5898 +a(g202 +V( +tp5899 +a(g57 +Voutput-port? +p5900 +tp5901 +a(g202 +V( +tp5902 +a(g57 +Vcar +p5903 +tp5904 +a(g73 +Vrest-ports +p5905 +tp5906 +a(g202 +V) +tp5907 +a(g202 +V) +tp5908 +a(g189 +V\u000a +p5909 +tp5910 +a(g202 +V( +tp5911 +a(g21 +Vset-port-revealed! +p5912 +tp5913 +a(g189 +V +tp5914 +a(g202 +V( +tp5915 +a(g57 +Vcar +p5916 +tp5917 +a(g73 +Vrest-ports +p5918 +tp5919 +a(g202 +V) +tp5920 +a(g189 +V\u000a +p5921 +tp5922 +a(g202 +V( +tp5923 +a(g57 +V+ +p5924 +tp5925 +a(g202 +V( +tp5926 +a(g21 +Vport-revealed +p5927 +tp5928 +a(g189 +V +tp5929 +a(g202 +V( +tp5930 +a(g57 +Vcar +p5931 +tp5932 +a(g73 +Vrest-ports +p5933 +tp5934 +a(g202 +V) +tp5935 +a(g202 +V) +tp5936 +a(g189 +V +tp5937 +a(g318 +V1 +tp5938 +a(g202 +V) +tp5939 +a(g202 +V) +tp5940 +a(g189 +V\u000a +p5941 +tp5942 +a(g202 +V( +tp5943 +a(g57 +Vcar +p5944 +tp5945 +a(g73 +Vrest-ports +p5946 +tp5947 +a(g202 +V) +tp5948 +a(g202 +V) +tp5949 +a(g189 +V\u000a +p5950 +tp5951 +a(g202 +V( +tp5952 +a(g21 +Velse +p5953 +tp5954 +a(g189 +V\u000a +p5955 +tp5956 +a(g202 +V( +tp5957 +a(g21 +Vloop +p5958 +tp5959 +a(g189 +V +tp5960 +a(g202 +V( +tp5961 +a(g57 +Vcdr +p5962 +tp5963 +a(g73 +Vrest-ports +p5964 +tp5965 +a(g202 +V) +tp5966 +a(g202 +V) +tp5967 +a(g202 +V) +tp5968 +a(g202 +V) +tp5969 +a(g202 +V) +tp5970 +a(g202 +V) +tp5971 +a(g189 +V\u000a\u000a +p5972 +tp5973 +a(g202 +V( +tp5974 +a(g111 +Vdefine +p5975 +tp5976 +a(g202 +V( +tp5977 +a(g21 +Vport->fdes +p5978 +tp5979 +a(g189 +V +tp5980 +a(g73 +Vport +p5981 +tp5982 +a(g202 +V) +tp5983 +a(g189 +V\u000a +p5984 +tp5985 +a(g202 +V( +tp5986 +a(g21 +Vset-port-revealed! +p5987 +tp5988 +a(g189 +V +tp5989 +a(g73 +Vport +p5990 +tp5991 +a(g189 +V +tp5992 +a(g202 +V( +tp5993 +a(g57 +V+ +p5994 +tp5995 +a(g202 +V( +tp5996 +a(g21 +Vport-revealed +p5997 +tp5998 +a(g189 +V +tp5999 +a(g73 +Vport +p6000 +tp6001 +a(g202 +V) +tp6002 +a(g189 +V +tp6003 +a(g318 +V1 +tp6004 +a(g202 +V) +tp6005 +a(g202 +V) +tp6006 +a(g189 +V\u000a +p6007 +tp6008 +a(g202 +V( +tp6009 +a(g21 +Vfileno +p6010 +tp6011 +a(g189 +V +tp6012 +a(g73 +Vport +p6013 +tp6014 +a(g202 +V) +tp6015 +a(g202 +V) +tp6016 +a(g189 +V\u000a\u000a +p6017 +tp6018 +a(g202 +V( +tp6019 +a(g111 +Vdefine +p6020 +tp6021 +a(g202 +V( +tp6022 +a(g21 +Vsetenv +p6023 +tp6024 +a(g189 +V +tp6025 +a(g73 +Vname +p6026 +tp6027 +a(g189 +V +tp6028 +a(g73 +Vvalue +p6029 +tp6030 +a(g202 +V) +tp6031 +a(g189 +V\u000a +p6032 +tp6033 +a(g202 +V( +tp6034 +a(g111 +Vif +p6035 +tp6036 +a(g73 +Vvalue +p6037 +tp6038 +a(g189 +V\u000a +p6039 +tp6040 +a(g202 +V( +tp6041 +a(g21 +Vputenv +p6042 +tp6043 +a(g189 +V +tp6044 +a(g202 +V( +tp6045 +a(g57 +Vstring-append +p6046 +tp6047 +a(g73 +Vname +p6048 +tp6049 +a(g189 +V +tp6050 +a(g226 +V"=" +p6051 +tp6052 +a(g189 +V +tp6053 +a(g73 +Vvalue +p6054 +tp6055 +a(g202 +V) +tp6056 +a(g202 +V) +tp6057 +a(g189 +V\u000a +p6058 +tp6059 +a(g202 +V( +tp6060 +a(g21 +Vputenv +p6061 +tp6062 +a(g189 +V +tp6063 +a(g73 +Vname +p6064 +tp6065 +a(g202 +V) +tp6066 +a(g202 +V) +tp6067 +a(g202 +V) +tp6068 +a(g189 +V\u000a\u000a \u000a +p6069 +tp6070 +a(g7 +V;;; {Load Paths} +p6071 +tp6072 +a(g189 +V\u000a +tp6073 +a(g7 +V;;; +p6074 +tp6075 +a(g189 +V\u000a\u000a +p6076 +tp6077 +a(g7 +V;;; Here for backward compatability +p6078 +tp6079 +a(g189 +V\u000a +tp6080 +a(g7 +V;; +p6081 +tp6082 +a(g189 +V\u000a +tp6083 +a(g202 +V( +tp6084 +a(g111 +Vdefine +p6085 +tp6086 +a(g73 +Vscheme-file-suffix +p6087 +tp6088 +a(g189 +V +tp6089 +a(g202 +V( +tp6090 +a(g111 +Vlambda +p6091 +tp6092 +a(g202 +V( +tp6093 +a(g202 +V) +tp6094 +a(g189 +V +tp6095 +a(g226 +V".scm" +p6096 +tp6097 +a(g202 +V) +tp6098 +a(g202 +V) +tp6099 +a(g189 +V\u000a\u000a +p6100 +tp6101 +a(g202 +V( +tp6102 +a(g111 +Vdefine +p6103 +tp6104 +a(g202 +V( +tp6105 +a(g21 +Vin-vicinity +p6106 +tp6107 +a(g189 +V +tp6108 +a(g73 +Vvicinity +p6109 +tp6110 +a(g189 +V +tp6111 +a(g73 +Vfile +p6112 +tp6113 +a(g202 +V) +tp6114 +a(g189 +V\u000a +p6115 +tp6116 +a(g202 +V( +tp6117 +a(g111 +Vlet +p6118 +tp6119 +a(g202 +V( +tp6120 +a(g202 +V( +tp6121 +a(g21 +Vtail +p6122 +tp6123 +a(g189 +V +tp6124 +a(g202 +V( +tp6125 +a(g111 +Vlet +p6126 +tp6127 +a(g202 +V( +tp6128 +a(g202 +V( +tp6129 +a(g21 +Vlen +p6130 +tp6131 +a(g189 +V +tp6132 +a(g202 +V( +tp6133 +a(g57 +Vstring-length +p6134 +tp6135 +a(g73 +Vvicinity +p6136 +tp6137 +a(g202 +V) +tp6138 +a(g202 +V) +tp6139 +a(g202 +V) +tp6140 +a(g189 +V\u000a +p6141 +tp6142 +a(g202 +V( +tp6143 +a(g111 +Vif +p6144 +tp6145 +a(g202 +V( +tp6146 +a(g57 +Vzero? +p6147 +tp6148 +a(g73 +Vlen +p6149 +tp6150 +a(g202 +V) +tp6151 +a(g189 +V\u000a +p6152 +tp6153 +a(g33 +V#f +p6154 +tp6155 +a(g189 +V\u000a +p6156 +tp6157 +a(g202 +V( +tp6158 +a(g57 +Vstring-ref +p6159 +tp6160 +a(g73 +Vvicinity +p6161 +tp6162 +a(g189 +V +tp6163 +a(g202 +V( +tp6164 +a(g57 +V- +p6165 +tp6166 +a(g73 +Vlen +p6167 +tp6168 +a(g189 +V +tp6169 +a(g318 +V1 +tp6170 +a(g202 +V) +tp6171 +a(g202 +V) +tp6172 +a(g202 +V) +tp6173 +a(g202 +V) +tp6174 +a(g202 +V) +tp6175 +a(g202 +V) +tp6176 +a(g189 +V\u000a +p6177 +tp6178 +a(g202 +V( +tp6179 +a(g57 +Vstring-append +p6180 +tp6181 +a(g73 +Vvicinity +p6182 +tp6183 +a(g189 +V\u000a +p6184 +tp6185 +a(g202 +V( +tp6186 +a(g111 +Vif +p6187 +tp6188 +a(g202 +V( +tp6189 +a(g111 +Vor +p6190 +tp6191 +a(g202 +V( +tp6192 +a(g57 +Vnot +p6193 +tp6194 +a(g73 +Vtail +p6195 +tp6196 +a(g202 +V) +tp6197 +a(g189 +V\u000a +p6198 +tp6199 +a(g202 +V( +tp6200 +a(g57 +Veq? +p6201 +tp6202 +a(g73 +Vtail +p6203 +tp6204 +a(g189 +V +tp6205 +a(g268 +V#\u005c/ +p6206 +tp6207 +a(g202 +V) +tp6208 +a(g202 +V) +tp6209 +a(g189 +V\u000a +p6210 +tp6211 +a(g226 +V"" +p6212 +tp6213 +a(g189 +V\u000a +p6214 +tp6215 +a(g226 +V"/" +p6216 +tp6217 +a(g202 +V) +tp6218 +a(g189 +V\u000a +p6219 +tp6220 +a(g73 +Vfile +p6221 +tp6222 +a(g202 +V) +tp6223 +a(g202 +V) +tp6224 +a(g202 +V) +tp6225 +a(g189 +V\u000a\u000a \u000a +p6226 +tp6227 +a(g7 +V;;; {Help for scm_shell} +p6228 +tp6229 +a(g189 +V\u000a +tp6230 +a(g7 +V;;; The argument-processing code used by Guile-based shells generates +p6231 +tp6232 +a(g189 +V\u000a +tp6233 +a(g7 +V;;; Scheme code based on the argument list. This page contains help +p6234 +tp6235 +a(g189 +V\u000a +tp6236 +a(g7 +V;;; functions for the code it generates. +p6237 +tp6238 +a(g189 +V\u000a\u000a +p6239 +tp6240 +a(g202 +V( +tp6241 +a(g111 +Vdefine +p6242 +tp6243 +a(g202 +V( +tp6244 +a(g21 +Vcommand-line +p6245 +tp6246 +a(g202 +V) +tp6247 +a(g189 +V +tp6248 +a(g202 +V( +tp6249 +a(g21 +Vprogram-arguments +p6250 +tp6251 +a(g202 +V) +tp6252 +a(g202 +V) +tp6253 +a(g189 +V\u000a\u000a +p6254 +tp6255 +a(g7 +V;; This is mostly for the internal use of the code generated by +p6256 +tp6257 +a(g189 +V\u000a +tp6258 +a(g7 +V;; scm_compile_shell_switches. +p6259 +tp6260 +a(g189 +V\u000a +tp6261 +a(g202 +V( +tp6262 +a(g111 +Vdefine +p6263 +tp6264 +a(g202 +V( +tp6265 +a(g21 +Vload-user-init +p6266 +tp6267 +a(g202 +V) +tp6268 +a(g189 +V\u000a +p6269 +tp6270 +a(g202 +V( +tp6271 +a(g111 +Vlet* +p6272 +tp6273 +a(g202 +V( +tp6274 +a(g202 +V( +tp6275 +a(g21 +Vhome +p6276 +tp6277 +a(g189 +V +tp6278 +a(g202 +V( +tp6279 +a(g111 +Vor +p6280 +tp6281 +a(g202 +V( +tp6282 +a(g21 +Vgetenv +p6283 +tp6284 +a(g189 +V +tp6285 +a(g226 +V"HOME" +p6286 +tp6287 +a(g202 +V) +tp6288 +a(g189 +V\u000a +p6289 +tp6290 +a(g202 +V( +tp6291 +a(g21 +Vfalse-if-exception +p6292 +tp6293 +a(g189 +V +tp6294 +a(g202 +V( +tp6295 +a(g21 +Vpasswd:dir +p6296 +tp6297 +a(g189 +V +tp6298 +a(g202 +V( +tp6299 +a(g21 +Vgetpwuid +p6300 +tp6301 +a(g189 +V +tp6302 +a(g202 +V( +tp6303 +a(g21 +Vgetuid +p6304 +tp6305 +a(g202 +V) +tp6306 +a(g202 +V) +tp6307 +a(g202 +V) +tp6308 +a(g202 +V) +tp6309 +a(g189 +V\u000a +p6310 +tp6311 +a(g226 +V"/" +p6312 +tp6313 +a(g202 +V) +tp6314 +a(g202 +V) +tp6315 +a(g189 +V +p6316 +tp6317 +a(g7 +V;; fallback for cygwin etc. +p6318 +tp6319 +a(g189 +V\u000a +p6320 +tp6321 +a(g202 +V( +tp6322 +a(g21 +Vinit-file +p6323 +tp6324 +a(g189 +V +tp6325 +a(g202 +V( +tp6326 +a(g21 +Vin-vicinity +p6327 +tp6328 +a(g189 +V +tp6329 +a(g73 +Vhome +p6330 +tp6331 +a(g189 +V +tp6332 +a(g226 +V".guile" +p6333 +tp6334 +a(g202 +V) +tp6335 +a(g202 +V) +tp6336 +a(g202 +V) +tp6337 +a(g189 +V\u000a +p6338 +tp6339 +a(g202 +V( +tp6340 +a(g111 +Vif +p6341 +tp6342 +a(g202 +V( +tp6343 +a(g21 +Vfile-exists? +p6344 +tp6345 +a(g189 +V +tp6346 +a(g73 +Vinit-file +p6347 +tp6348 +a(g202 +V) +tp6349 +a(g189 +V\u000a +p6350 +tp6351 +a(g202 +V( +tp6352 +a(g21 +Vprimitive-load +p6353 +tp6354 +a(g189 +V +tp6355 +a(g73 +Vinit-file +p6356 +tp6357 +a(g202 +V) +tp6358 +a(g202 +V) +tp6359 +a(g202 +V) +tp6360 +a(g202 +V) +tp6361 +a(g189 +V\u000a\u000a \u000a +p6362 +tp6363 +a(g7 +V;;; {Loading by paths} +p6364 +tp6365 +a(g189 +V\u000a\u000a +p6366 +tp6367 +a(g7 +V;;; Load a Scheme source file named NAME, searching for it in the +p6368 +tp6369 +a(g189 +V\u000a +tp6370 +a(g7 +V;;; directories listed in %load-path, and applying each of the file +p6371 +tp6372 +a(g189 +V\u000a +tp6373 +a(g7 +V;;; name extensions listed in %load-extensions. +p6374 +tp6375 +a(g189 +V\u000a +tp6376 +a(g202 +V( +tp6377 +a(g111 +Vdefine +p6378 +tp6379 +a(g202 +V( +tp6380 +a(g21 +Vload-from-path +p6381 +tp6382 +a(g189 +V +tp6383 +a(g73 +Vname +p6384 +tp6385 +a(g202 +V) +tp6386 +a(g189 +V\u000a +p6387 +tp6388 +a(g202 +V( +tp6389 +a(g21 +Vstart-stack +p6390 +tp6391 +a(g189 +V +tp6392 +a(g248 +V'load-stack +p6393 +tp6394 +a(g189 +V\u000a +p6395 +tp6396 +a(g202 +V( +tp6397 +a(g21 +Vprimitive-load-path +p6398 +tp6399 +a(g189 +V +tp6400 +a(g73 +Vname +p6401 +tp6402 +a(g202 +V) +tp6403 +a(g202 +V) +tp6404 +a(g202 +V) +tp6405 +a(g189 +V\u000a\u000a\u000a \u000a +p6406 +tp6407 +a(g7 +V;;; {Transcendental Functions} +p6408 +tp6409 +a(g189 +V\u000a +tp6410 +a(g7 +V;;; +p6411 +tp6412 +a(g189 +V\u000a +tp6413 +a(g7 +V;;; Derived from "Transcen.scm", Complex trancendental functions for SCM. +p6414 +tp6415 +a(g189 +V\u000a +tp6416 +a(g7 +V;;; Written by Jerry D. Hedden, (C) FSF. +p6417 +tp6418 +a(g189 +V\u000a +tp6419 +a(g7 +V;;; See the file `COPYING' for terms applying to this program. +p6420 +tp6421 +a(g189 +V\u000a +tp6422 +a(g7 +V;;; +p6423 +tp6424 +a(g189 +V\u000a\u000a +p6425 +tp6426 +a(g202 +V( +tp6427 +a(g111 +Vdefine +p6428 +tp6429 +a(g202 +V( +tp6430 +a(g57 +Vexp +p6431 +tp6432 +a(g73 +Vz +tp6433 +a(g202 +V) +tp6434 +a(g189 +V\u000a +p6435 +tp6436 +a(g202 +V( +tp6437 +a(g111 +Vif +p6438 +tp6439 +a(g202 +V( +tp6440 +a(g57 +Vreal? +p6441 +tp6442 +a(g73 +Vz +tp6443 +a(g202 +V) +tp6444 +a(g189 +V +tp6445 +a(g202 +V( +tp6446 +a(g21 +V$exp +p6447 +tp6448 +a(g189 +V +tp6449 +a(g73 +Vz +tp6450 +a(g202 +V) +tp6451 +a(g189 +V\u000a +p6452 +tp6453 +a(g202 +V( +tp6454 +a(g57 +Vmake-polar +p6455 +tp6456 +a(g202 +V( +tp6457 +a(g21 +V$exp +p6458 +tp6459 +a(g189 +V +tp6460 +a(g202 +V( +tp6461 +a(g57 +Vreal-part +p6462 +tp6463 +a(g73 +Vz +tp6464 +a(g202 +V) +tp6465 +a(g202 +V) +tp6466 +a(g189 +V +tp6467 +a(g202 +V( +tp6468 +a(g57 +Vimag-part +p6469 +tp6470 +a(g73 +Vz +tp6471 +a(g202 +V) +tp6472 +a(g202 +V) +tp6473 +a(g202 +V) +tp6474 +a(g202 +V) +tp6475 +a(g189 +V\u000a\u000a +p6476 +tp6477 +a(g202 +V( +tp6478 +a(g111 +Vdefine +p6479 +tp6480 +a(g202 +V( +tp6481 +a(g57 +Vlog +p6482 +tp6483 +a(g73 +Vz +tp6484 +a(g202 +V) +tp6485 +a(g189 +V\u000a +p6486 +tp6487 +a(g202 +V( +tp6488 +a(g111 +Vif +p6489 +tp6490 +a(g202 +V( +tp6491 +a(g111 +Vand +p6492 +tp6493 +a(g202 +V( +tp6494 +a(g57 +Vreal? +p6495 +tp6496 +a(g73 +Vz +tp6497 +a(g202 +V) +tp6498 +a(g189 +V +tp6499 +a(g202 +V( +tp6500 +a(g57 +V>= +p6501 +tp6502 +a(g73 +Vz +tp6503 +a(g189 +V +tp6504 +a(g318 +V0 +tp6505 +a(g202 +V) +tp6506 +a(g202 +V) +tp6507 +a(g189 +V\u000a +p6508 +tp6509 +a(g202 +V( +tp6510 +a(g21 +V$log +p6511 +tp6512 +a(g189 +V +tp6513 +a(g73 +Vz +tp6514 +a(g202 +V) +tp6515 +a(g189 +V\u000a +p6516 +tp6517 +a(g202 +V( +tp6518 +a(g57 +Vmake-rectangular +p6519 +tp6520 +a(g202 +V( +tp6521 +a(g21 +V$log +p6522 +tp6523 +a(g189 +V +tp6524 +a(g202 +V( +tp6525 +a(g57 +Vmagnitude +p6526 +tp6527 +a(g73 +Vz +tp6528 +a(g202 +V) +tp6529 +a(g202 +V) +tp6530 +a(g189 +V +tp6531 +a(g202 +V( +tp6532 +a(g57 +Vangle +p6533 +tp6534 +a(g73 +Vz +tp6535 +a(g202 +V) +tp6536 +a(g202 +V) +tp6537 +a(g202 +V) +tp6538 +a(g202 +V) +tp6539 +a(g189 +V\u000a\u000a +p6540 +tp6541 +a(g202 +V( +tp6542 +a(g111 +Vdefine +p6543 +tp6544 +a(g202 +V( +tp6545 +a(g57 +Vsqrt +p6546 +tp6547 +a(g73 +Vz +tp6548 +a(g202 +V) +tp6549 +a(g189 +V\u000a +p6550 +tp6551 +a(g202 +V( +tp6552 +a(g111 +Vif +p6553 +tp6554 +a(g202 +V( +tp6555 +a(g57 +Vreal? +p6556 +tp6557 +a(g73 +Vz +tp6558 +a(g202 +V) +tp6559 +a(g189 +V\u000a +p6560 +tp6561 +a(g202 +V( +tp6562 +a(g111 +Vif +p6563 +tp6564 +a(g202 +V( +tp6565 +a(g57 +Vnegative? +p6566 +tp6567 +a(g73 +Vz +tp6568 +a(g202 +V) +tp6569 +a(g189 +V +tp6570 +a(g202 +V( +tp6571 +a(g57 +Vmake-rectangular +p6572 +tp6573 +a(g318 +V0 +tp6574 +a(g189 +V +tp6575 +a(g202 +V( +tp6576 +a(g21 +V$sqrt +p6577 +tp6578 +a(g189 +V +tp6579 +a(g202 +V( +tp6580 +a(g57 +V- +p6581 +tp6582 +a(g73 +Vz +tp6583 +a(g202 +V) +tp6584 +a(g202 +V) +tp6585 +a(g202 +V) +tp6586 +a(g189 +V\u000a +p6587 +tp6588 +a(g202 +V( +tp6589 +a(g21 +V$sqrt +p6590 +tp6591 +a(g189 +V +tp6592 +a(g73 +Vz +tp6593 +a(g202 +V) +tp6594 +a(g202 +V) +tp6595 +a(g189 +V\u000a +p6596 +tp6597 +a(g202 +V( +tp6598 +a(g57 +Vmake-polar +p6599 +tp6600 +a(g202 +V( +tp6601 +a(g21 +V$sqrt +p6602 +tp6603 +a(g189 +V +tp6604 +a(g202 +V( +tp6605 +a(g57 +Vmagnitude +p6606 +tp6607 +a(g73 +Vz +tp6608 +a(g202 +V) +tp6609 +a(g202 +V) +tp6610 +a(g189 +V +tp6611 +a(g202 +V( +tp6612 +a(g57 +V/ +p6613 +tp6614 +a(g202 +V( +tp6615 +a(g57 +Vangle +p6616 +tp6617 +a(g73 +Vz +tp6618 +a(g202 +V) +tp6619 +a(g189 +V +tp6620 +a(g318 +V2 +tp6621 +a(g202 +V) +tp6622 +a(g202 +V) +tp6623 +a(g202 +V) +tp6624 +a(g202 +V) +tp6625 +a(g189 +V\u000a\u000a +p6626 +tp6627 +a(g202 +V( +tp6628 +a(g111 +Vdefine +p6629 +tp6630 +a(g73 +Vexpt +p6631 +tp6632 +a(g189 +V\u000a +p6633 +tp6634 +a(g202 +V( +tp6635 +a(g111 +Vlet +p6636 +tp6637 +a(g202 +V( +tp6638 +a(g202 +V( +tp6639 +a(g21 +Vinteger-expt +p6640 +tp6641 +a(g189 +V +tp6642 +a(g73 +Vinteger-expt +p6643 +tp6644 +a(g202 +V) +tp6645 +a(g202 +V) +tp6646 +a(g189 +V\u000a +p6647 +tp6648 +a(g202 +V( +tp6649 +a(g111 +Vlambda +p6650 +tp6651 +a(g202 +V( +tp6652 +a(g21 +Vz1 +p6653 +tp6654 +a(g189 +V +tp6655 +a(g73 +Vz2 +p6656 +tp6657 +a(g202 +V) +tp6658 +a(g189 +V\u000a +p6659 +tp6660 +a(g202 +V( +tp6661 +a(g111 +Vcond +p6662 +tp6663 +a(g202 +V( +tp6664 +a(g202 +V( +tp6665 +a(g57 +Vinteger? +p6666 +tp6667 +a(g73 +Vz2 +p6668 +tp6669 +a(g202 +V) +tp6670 +a(g189 +V\u000a +p6671 +tp6672 +a(g202 +V( +tp6673 +a(g111 +Vif +p6674 +tp6675 +a(g202 +V( +tp6676 +a(g57 +Vnegative? +p6677 +tp6678 +a(g73 +Vz2 +p6679 +tp6680 +a(g202 +V) +tp6681 +a(g189 +V\u000a +p6682 +tp6683 +a(g202 +V( +tp6684 +a(g57 +V/ +p6685 +tp6686 +a(g318 +V1 +tp6687 +a(g189 +V +tp6688 +a(g202 +V( +tp6689 +a(g21 +Vinteger-expt +p6690 +tp6691 +a(g189 +V +tp6692 +a(g73 +Vz1 +p6693 +tp6694 +a(g189 +V +tp6695 +a(g202 +V( +tp6696 +a(g57 +V- +p6697 +tp6698 +a(g73 +Vz2 +p6699 +tp6700 +a(g202 +V) +tp6701 +a(g202 +V) +tp6702 +a(g202 +V) +tp6703 +a(g189 +V\u000a +p6704 +tp6705 +a(g202 +V( +tp6706 +a(g21 +Vinteger-expt +p6707 +tp6708 +a(g189 +V +tp6709 +a(g73 +Vz1 +p6710 +tp6711 +a(g189 +V +tp6712 +a(g73 +Vz2 +p6713 +tp6714 +a(g202 +V) +tp6715 +a(g202 +V) +tp6716 +a(g202 +V) +tp6717 +a(g189 +V\u000a +p6718 +tp6719 +a(g202 +V( +tp6720 +a(g202 +V( +tp6721 +a(g111 +Vand +p6722 +tp6723 +a(g202 +V( +tp6724 +a(g57 +Vreal? +p6725 +tp6726 +a(g73 +Vz2 +p6727 +tp6728 +a(g202 +V) +tp6729 +a(g189 +V +tp6730 +a(g202 +V( +tp6731 +a(g57 +Vreal? +p6732 +tp6733 +a(g73 +Vz1 +p6734 +tp6735 +a(g202 +V) +tp6736 +a(g189 +V +tp6737 +a(g202 +V( +tp6738 +a(g57 +V>= +p6739 +tp6740 +a(g73 +Vz1 +p6741 +tp6742 +a(g189 +V +tp6743 +a(g318 +V0 +tp6744 +a(g202 +V) +tp6745 +a(g202 +V) +tp6746 +a(g189 +V\u000a +p6747 +tp6748 +a(g202 +V( +tp6749 +a(g21 +V$expt +p6750 +tp6751 +a(g189 +V +tp6752 +a(g73 +Vz1 +p6753 +tp6754 +a(g189 +V +tp6755 +a(g73 +Vz2 +p6756 +tp6757 +a(g202 +V) +tp6758 +a(g202 +V) +tp6759 +a(g189 +V\u000a +p6760 +tp6761 +a(g202 +V( +tp6762 +a(g21 +Velse +p6763 +tp6764 +a(g189 +V\u000a +p6765 +tp6766 +a(g202 +V( +tp6767 +a(g57 +Vexp +p6768 +tp6769 +a(g202 +V( +tp6770 +a(g57 +V* +p6771 +tp6772 +a(g73 +Vz2 +p6773 +tp6774 +a(g189 +V +tp6775 +a(g202 +V( +tp6776 +a(g57 +Vlog +p6777 +tp6778 +a(g73 +Vz1 +p6779 +tp6780 +a(g202 +V) +tp6781 +a(g202 +V) +tp6782 +a(g202 +V) +tp6783 +a(g202 +V) +tp6784 +a(g202 +V) +tp6785 +a(g202 +V) +tp6786 +a(g202 +V) +tp6787 +a(g202 +V) +tp6788 +a(g189 +V\u000a\u000a +p6789 +tp6790 +a(g202 +V( +tp6791 +a(g111 +Vdefine +p6792 +tp6793 +a(g202 +V( +tp6794 +a(g21 +Vsinh +p6795 +tp6796 +a(g189 +V +tp6797 +a(g73 +Vz +tp6798 +a(g202 +V) +tp6799 +a(g189 +V\u000a +p6800 +tp6801 +a(g202 +V( +tp6802 +a(g111 +Vif +p6803 +tp6804 +a(g202 +V( +tp6805 +a(g57 +Vreal? +p6806 +tp6807 +a(g73 +Vz +tp6808 +a(g202 +V) +tp6809 +a(g189 +V +tp6810 +a(g202 +V( +tp6811 +a(g21 +V$sinh +p6812 +tp6813 +a(g189 +V +tp6814 +a(g73 +Vz +tp6815 +a(g202 +V) +tp6816 +a(g189 +V\u000a +p6817 +tp6818 +a(g202 +V( +tp6819 +a(g111 +Vlet +p6820 +tp6821 +a(g202 +V( +tp6822 +a(g202 +V( +tp6823 +a(g21 +Vx +tp6824 +a(g189 +V +tp6825 +a(g202 +V( +tp6826 +a(g57 +Vreal-part +p6827 +tp6828 +a(g73 +Vz +tp6829 +a(g202 +V) +tp6830 +a(g202 +V) +tp6831 +a(g189 +V +tp6832 +a(g202 +V( +tp6833 +a(g21 +Vy +tp6834 +a(g189 +V +tp6835 +a(g202 +V( +tp6836 +a(g57 +Vimag-part +p6837 +tp6838 +a(g73 +Vz +tp6839 +a(g202 +V) +tp6840 +a(g202 +V) +tp6841 +a(g202 +V) +tp6842 +a(g189 +V\u000a +p6843 +tp6844 +a(g202 +V( +tp6845 +a(g57 +Vmake-rectangular +p6846 +tp6847 +a(g202 +V( +tp6848 +a(g57 +V* +p6849 +tp6850 +a(g202 +V( +tp6851 +a(g21 +V$sinh +p6852 +tp6853 +a(g189 +V +tp6854 +a(g73 +Vx +tp6855 +a(g202 +V) +tp6856 +a(g189 +V +tp6857 +a(g202 +V( +tp6858 +a(g21 +V$cos +p6859 +tp6860 +a(g189 +V +tp6861 +a(g73 +Vy +tp6862 +a(g202 +V) +tp6863 +a(g202 +V) +tp6864 +a(g189 +V\u000a +p6865 +tp6866 +a(g202 +V( +tp6867 +a(g57 +V* +p6868 +tp6869 +a(g202 +V( +tp6870 +a(g21 +V$cosh +p6871 +tp6872 +a(g189 +V +tp6873 +a(g73 +Vx +tp6874 +a(g202 +V) +tp6875 +a(g189 +V +tp6876 +a(g202 +V( +tp6877 +a(g21 +V$sin +p6878 +tp6879 +a(g189 +V +tp6880 +a(g73 +Vy +tp6881 +a(g202 +V) +tp6882 +a(g202 +V) +tp6883 +a(g202 +V) +tp6884 +a(g202 +V) +tp6885 +a(g202 +V) +tp6886 +a(g202 +V) +tp6887 +a(g189 +V\u000a +tp6888 +a(g202 +V( +tp6889 +a(g111 +Vdefine +p6890 +tp6891 +a(g202 +V( +tp6892 +a(g21 +Vcosh +p6893 +tp6894 +a(g189 +V +tp6895 +a(g73 +Vz +tp6896 +a(g202 +V) +tp6897 +a(g189 +V\u000a +p6898 +tp6899 +a(g202 +V( +tp6900 +a(g111 +Vif +p6901 +tp6902 +a(g202 +V( +tp6903 +a(g57 +Vreal? +p6904 +tp6905 +a(g73 +Vz +tp6906 +a(g202 +V) +tp6907 +a(g189 +V +tp6908 +a(g202 +V( +tp6909 +a(g21 +V$cosh +p6910 +tp6911 +a(g189 +V +tp6912 +a(g73 +Vz +tp6913 +a(g202 +V) +tp6914 +a(g189 +V\u000a +p6915 +tp6916 +a(g202 +V( +tp6917 +a(g111 +Vlet +p6918 +tp6919 +a(g202 +V( +tp6920 +a(g202 +V( +tp6921 +a(g21 +Vx +tp6922 +a(g189 +V +tp6923 +a(g202 +V( +tp6924 +a(g57 +Vreal-part +p6925 +tp6926 +a(g73 +Vz +tp6927 +a(g202 +V) +tp6928 +a(g202 +V) +tp6929 +a(g189 +V +tp6930 +a(g202 +V( +tp6931 +a(g21 +Vy +tp6932 +a(g189 +V +tp6933 +a(g202 +V( +tp6934 +a(g57 +Vimag-part +p6935 +tp6936 +a(g73 +Vz +tp6937 +a(g202 +V) +tp6938 +a(g202 +V) +tp6939 +a(g202 +V) +tp6940 +a(g189 +V\u000a +p6941 +tp6942 +a(g202 +V( +tp6943 +a(g57 +Vmake-rectangular +p6944 +tp6945 +a(g202 +V( +tp6946 +a(g57 +V* +p6947 +tp6948 +a(g202 +V( +tp6949 +a(g21 +V$cosh +p6950 +tp6951 +a(g189 +V +tp6952 +a(g73 +Vx +tp6953 +a(g202 +V) +tp6954 +a(g189 +V +tp6955 +a(g202 +V( +tp6956 +a(g21 +V$cos +p6957 +tp6958 +a(g189 +V +tp6959 +a(g73 +Vy +tp6960 +a(g202 +V) +tp6961 +a(g202 +V) +tp6962 +a(g189 +V\u000a +p6963 +tp6964 +a(g202 +V( +tp6965 +a(g57 +V* +p6966 +tp6967 +a(g202 +V( +tp6968 +a(g21 +V$sinh +p6969 +tp6970 +a(g189 +V +tp6971 +a(g73 +Vx +tp6972 +a(g202 +V) +tp6973 +a(g189 +V +tp6974 +a(g202 +V( +tp6975 +a(g21 +V$sin +p6976 +tp6977 +a(g189 +V +tp6978 +a(g73 +Vy +tp6979 +a(g202 +V) +tp6980 +a(g202 +V) +tp6981 +a(g202 +V) +tp6982 +a(g202 +V) +tp6983 +a(g202 +V) +tp6984 +a(g202 +V) +tp6985 +a(g189 +V\u000a +tp6986 +a(g202 +V( +tp6987 +a(g111 +Vdefine +p6988 +tp6989 +a(g202 +V( +tp6990 +a(g21 +Vtanh +p6991 +tp6992 +a(g189 +V +tp6993 +a(g73 +Vz +tp6994 +a(g202 +V) +tp6995 +a(g189 +V\u000a +p6996 +tp6997 +a(g202 +V( +tp6998 +a(g111 +Vif +p6999 +tp7000 +a(g202 +V( +tp7001 +a(g57 +Vreal? +p7002 +tp7003 +a(g73 +Vz +tp7004 +a(g202 +V) +tp7005 +a(g189 +V +tp7006 +a(g202 +V( +tp7007 +a(g21 +V$tanh +p7008 +tp7009 +a(g189 +V +tp7010 +a(g73 +Vz +tp7011 +a(g202 +V) +tp7012 +a(g189 +V\u000a +p7013 +tp7014 +a(g202 +V( +tp7015 +a(g111 +Vlet* +p7016 +tp7017 +a(g202 +V( +tp7018 +a(g202 +V( +tp7019 +a(g21 +Vx +tp7020 +a(g189 +V +tp7021 +a(g202 +V( +tp7022 +a(g57 +V* +p7023 +tp7024 +a(g318 +V2 +tp7025 +a(g189 +V +tp7026 +a(g202 +V( +tp7027 +a(g57 +Vreal-part +p7028 +tp7029 +a(g73 +Vz +tp7030 +a(g202 +V) +tp7031 +a(g202 +V) +tp7032 +a(g202 +V) +tp7033 +a(g189 +V\u000a +p7034 +tp7035 +a(g202 +V( +tp7036 +a(g21 +Vy +tp7037 +a(g189 +V +tp7038 +a(g202 +V( +tp7039 +a(g57 +V* +p7040 +tp7041 +a(g318 +V2 +tp7042 +a(g189 +V +tp7043 +a(g202 +V( +tp7044 +a(g57 +Vimag-part +p7045 +tp7046 +a(g73 +Vz +tp7047 +a(g202 +V) +tp7048 +a(g202 +V) +tp7049 +a(g202 +V) +tp7050 +a(g189 +V\u000a +p7051 +tp7052 +a(g202 +V( +tp7053 +a(g21 +Vw +tp7054 +a(g189 +V +tp7055 +a(g202 +V( +tp7056 +a(g57 +V+ +p7057 +tp7058 +a(g202 +V( +tp7059 +a(g21 +V$cosh +p7060 +tp7061 +a(g189 +V +tp7062 +a(g73 +Vx +tp7063 +a(g202 +V) +tp7064 +a(g189 +V +tp7065 +a(g202 +V( +tp7066 +a(g21 +V$cos +p7067 +tp7068 +a(g189 +V +tp7069 +a(g73 +Vy +tp7070 +a(g202 +V) +tp7071 +a(g202 +V) +tp7072 +a(g202 +V) +tp7073 +a(g202 +V) +tp7074 +a(g189 +V\u000a +p7075 +tp7076 +a(g202 +V( +tp7077 +a(g57 +Vmake-rectangular +p7078 +tp7079 +a(g202 +V( +tp7080 +a(g57 +V/ +p7081 +tp7082 +a(g202 +V( +tp7083 +a(g21 +V$sinh +p7084 +tp7085 +a(g189 +V +tp7086 +a(g73 +Vx +tp7087 +a(g202 +V) +tp7088 +a(g189 +V +tp7089 +a(g73 +Vw +tp7090 +a(g202 +V) +tp7091 +a(g189 +V +tp7092 +a(g202 +V( +tp7093 +a(g57 +V/ +p7094 +tp7095 +a(g202 +V( +tp7096 +a(g21 +V$sin +p7097 +tp7098 +a(g189 +V +tp7099 +a(g73 +Vy +tp7100 +a(g202 +V) +tp7101 +a(g189 +V +tp7102 +a(g73 +Vw +tp7103 +a(g202 +V) +tp7104 +a(g202 +V) +tp7105 +a(g202 +V) +tp7106 +a(g202 +V) +tp7107 +a(g202 +V) +tp7108 +a(g189 +V\u000a\u000a +p7109 +tp7110 +a(g202 +V( +tp7111 +a(g111 +Vdefine +p7112 +tp7113 +a(g202 +V( +tp7114 +a(g21 +Vasinh +p7115 +tp7116 +a(g189 +V +tp7117 +a(g73 +Vz +tp7118 +a(g202 +V) +tp7119 +a(g189 +V\u000a +p7120 +tp7121 +a(g202 +V( +tp7122 +a(g111 +Vif +p7123 +tp7124 +a(g202 +V( +tp7125 +a(g57 +Vreal? +p7126 +tp7127 +a(g73 +Vz +tp7128 +a(g202 +V) +tp7129 +a(g189 +V +tp7130 +a(g202 +V( +tp7131 +a(g21 +V$asinh +p7132 +tp7133 +a(g189 +V +tp7134 +a(g73 +Vz +tp7135 +a(g202 +V) +tp7136 +a(g189 +V\u000a +p7137 +tp7138 +a(g202 +V( +tp7139 +a(g57 +Vlog +p7140 +tp7141 +a(g202 +V( +tp7142 +a(g57 +V+ +p7143 +tp7144 +a(g73 +Vz +tp7145 +a(g189 +V +tp7146 +a(g202 +V( +tp7147 +a(g57 +Vsqrt +p7148 +tp7149 +a(g202 +V( +tp7150 +a(g57 +V+ +p7151 +tp7152 +a(g202 +V( +tp7153 +a(g57 +V* +p7154 +tp7155 +a(g73 +Vz +tp7156 +a(g189 +V +tp7157 +a(g73 +Vz +tp7158 +a(g202 +V) +tp7159 +a(g189 +V +tp7160 +a(g318 +V1 +tp7161 +a(g202 +V) +tp7162 +a(g202 +V) +tp7163 +a(g202 +V) +tp7164 +a(g202 +V) +tp7165 +a(g202 +V) +tp7166 +a(g202 +V) +tp7167 +a(g189 +V\u000a\u000a +p7168 +tp7169 +a(g202 +V( +tp7170 +a(g111 +Vdefine +p7171 +tp7172 +a(g202 +V( +tp7173 +a(g21 +Vacosh +p7174 +tp7175 +a(g189 +V +tp7176 +a(g73 +Vz +tp7177 +a(g202 +V) +tp7178 +a(g189 +V\u000a +p7179 +tp7180 +a(g202 +V( +tp7181 +a(g111 +Vif +p7182 +tp7183 +a(g202 +V( +tp7184 +a(g111 +Vand +p7185 +tp7186 +a(g202 +V( +tp7187 +a(g57 +Vreal? +p7188 +tp7189 +a(g73 +Vz +tp7190 +a(g202 +V) +tp7191 +a(g189 +V +tp7192 +a(g202 +V( +tp7193 +a(g57 +V>= +p7194 +tp7195 +a(g73 +Vz +tp7196 +a(g189 +V +tp7197 +a(g318 +V1 +tp7198 +a(g202 +V) +tp7199 +a(g202 +V) +tp7200 +a(g189 +V\u000a +p7201 +tp7202 +a(g202 +V( +tp7203 +a(g21 +V$acosh +p7204 +tp7205 +a(g189 +V +tp7206 +a(g73 +Vz +tp7207 +a(g202 +V) +tp7208 +a(g189 +V\u000a +p7209 +tp7210 +a(g202 +V( +tp7211 +a(g57 +Vlog +p7212 +tp7213 +a(g202 +V( +tp7214 +a(g57 +V+ +p7215 +tp7216 +a(g73 +Vz +tp7217 +a(g189 +V +tp7218 +a(g202 +V( +tp7219 +a(g57 +Vsqrt +p7220 +tp7221 +a(g202 +V( +tp7222 +a(g57 +V- +p7223 +tp7224 +a(g202 +V( +tp7225 +a(g57 +V* +p7226 +tp7227 +a(g73 +Vz +tp7228 +a(g189 +V +tp7229 +a(g73 +Vz +tp7230 +a(g202 +V) +tp7231 +a(g189 +V +tp7232 +a(g318 +V1 +tp7233 +a(g202 +V) +tp7234 +a(g202 +V) +tp7235 +a(g202 +V) +tp7236 +a(g202 +V) +tp7237 +a(g202 +V) +tp7238 +a(g202 +V) +tp7239 +a(g189 +V\u000a\u000a +p7240 +tp7241 +a(g202 +V( +tp7242 +a(g111 +Vdefine +p7243 +tp7244 +a(g202 +V( +tp7245 +a(g21 +Vatanh +p7246 +tp7247 +a(g189 +V +tp7248 +a(g73 +Vz +tp7249 +a(g202 +V) +tp7250 +a(g189 +V\u000a +p7251 +tp7252 +a(g202 +V( +tp7253 +a(g111 +Vif +p7254 +tp7255 +a(g202 +V( +tp7256 +a(g111 +Vand +p7257 +tp7258 +a(g202 +V( +tp7259 +a(g57 +Vreal? +p7260 +tp7261 +a(g73 +Vz +tp7262 +a(g202 +V) +tp7263 +a(g189 +V +tp7264 +a(g202 +V( +tp7265 +a(g57 +V> +p7266 +tp7267 +a(g73 +Vz +tp7268 +a(g189 +V +tp7269 +a(g318 +V-1 +p7270 +tp7271 +a(g202 +V) +tp7272 +a(g189 +V +tp7273 +a(g202 +V( +tp7274 +a(g57 +V< +p7275 +tp7276 +a(g73 +Vz +tp7277 +a(g189 +V +tp7278 +a(g318 +V1 +tp7279 +a(g202 +V) +tp7280 +a(g202 +V) +tp7281 +a(g189 +V\u000a +p7282 +tp7283 +a(g202 +V( +tp7284 +a(g21 +V$atanh +p7285 +tp7286 +a(g189 +V +tp7287 +a(g73 +Vz +tp7288 +a(g202 +V) +tp7289 +a(g189 +V\u000a +p7290 +tp7291 +a(g202 +V( +tp7292 +a(g57 +V/ +p7293 +tp7294 +a(g202 +V( +tp7295 +a(g57 +Vlog +p7296 +tp7297 +a(g202 +V( +tp7298 +a(g57 +V/ +p7299 +tp7300 +a(g202 +V( +tp7301 +a(g57 +V+ +p7302 +tp7303 +a(g318 +V1 +tp7304 +a(g189 +V +tp7305 +a(g73 +Vz +tp7306 +a(g202 +V) +tp7307 +a(g189 +V +tp7308 +a(g202 +V( +tp7309 +a(g57 +V- +p7310 +tp7311 +a(g318 +V1 +tp7312 +a(g189 +V +tp7313 +a(g73 +Vz +tp7314 +a(g202 +V) +tp7315 +a(g202 +V) +tp7316 +a(g202 +V) +tp7317 +a(g189 +V +tp7318 +a(g318 +V2 +tp7319 +a(g202 +V) +tp7320 +a(g202 +V) +tp7321 +a(g202 +V) +tp7322 +a(g189 +V\u000a\u000a +p7323 +tp7324 +a(g202 +V( +tp7325 +a(g111 +Vdefine +p7326 +tp7327 +a(g202 +V( +tp7328 +a(g57 +Vsin +p7329 +tp7330 +a(g73 +Vz +tp7331 +a(g202 +V) +tp7332 +a(g189 +V\u000a +p7333 +tp7334 +a(g202 +V( +tp7335 +a(g111 +Vif +p7336 +tp7337 +a(g202 +V( +tp7338 +a(g57 +Vreal? +p7339 +tp7340 +a(g73 +Vz +tp7341 +a(g202 +V) +tp7342 +a(g189 +V +tp7343 +a(g202 +V( +tp7344 +a(g21 +V$sin +p7345 +tp7346 +a(g189 +V +tp7347 +a(g73 +Vz +tp7348 +a(g202 +V) +tp7349 +a(g189 +V\u000a +p7350 +tp7351 +a(g202 +V( +tp7352 +a(g111 +Vlet +p7353 +tp7354 +a(g202 +V( +tp7355 +a(g202 +V( +tp7356 +a(g21 +Vx +tp7357 +a(g189 +V +tp7358 +a(g202 +V( +tp7359 +a(g57 +Vreal-part +p7360 +tp7361 +a(g73 +Vz +tp7362 +a(g202 +V) +tp7363 +a(g202 +V) +tp7364 +a(g189 +V +tp7365 +a(g202 +V( +tp7366 +a(g21 +Vy +tp7367 +a(g189 +V +tp7368 +a(g202 +V( +tp7369 +a(g57 +Vimag-part +p7370 +tp7371 +a(g73 +Vz +tp7372 +a(g202 +V) +tp7373 +a(g202 +V) +tp7374 +a(g202 +V) +tp7375 +a(g189 +V\u000a +p7376 +tp7377 +a(g202 +V( +tp7378 +a(g57 +Vmake-rectangular +p7379 +tp7380 +a(g202 +V( +tp7381 +a(g57 +V* +p7382 +tp7383 +a(g202 +V( +tp7384 +a(g21 +V$sin +p7385 +tp7386 +a(g189 +V +tp7387 +a(g73 +Vx +tp7388 +a(g202 +V) +tp7389 +a(g189 +V +tp7390 +a(g202 +V( +tp7391 +a(g21 +V$cosh +p7392 +tp7393 +a(g189 +V +tp7394 +a(g73 +Vy +tp7395 +a(g202 +V) +tp7396 +a(g202 +V) +tp7397 +a(g189 +V\u000a +p7398 +tp7399 +a(g202 +V( +tp7400 +a(g57 +V* +p7401 +tp7402 +a(g202 +V( +tp7403 +a(g21 +V$cos +p7404 +tp7405 +a(g189 +V +tp7406 +a(g73 +Vx +tp7407 +a(g202 +V) +tp7408 +a(g189 +V +tp7409 +a(g202 +V( +tp7410 +a(g21 +V$sinh +p7411 +tp7412 +a(g189 +V +tp7413 +a(g73 +Vy +tp7414 +a(g202 +V) +tp7415 +a(g202 +V) +tp7416 +a(g202 +V) +tp7417 +a(g202 +V) +tp7418 +a(g202 +V) +tp7419 +a(g202 +V) +tp7420 +a(g189 +V\u000a +tp7421 +a(g202 +V( +tp7422 +a(g111 +Vdefine +p7423 +tp7424 +a(g202 +V( +tp7425 +a(g57 +Vcos +p7426 +tp7427 +a(g73 +Vz +tp7428 +a(g202 +V) +tp7429 +a(g189 +V\u000a +p7430 +tp7431 +a(g202 +V( +tp7432 +a(g111 +Vif +p7433 +tp7434 +a(g202 +V( +tp7435 +a(g57 +Vreal? +p7436 +tp7437 +a(g73 +Vz +tp7438 +a(g202 +V) +tp7439 +a(g189 +V +tp7440 +a(g202 +V( +tp7441 +a(g21 +V$cos +p7442 +tp7443 +a(g189 +V +tp7444 +a(g73 +Vz +tp7445 +a(g202 +V) +tp7446 +a(g189 +V\u000a +p7447 +tp7448 +a(g202 +V( +tp7449 +a(g111 +Vlet +p7450 +tp7451 +a(g202 +V( +tp7452 +a(g202 +V( +tp7453 +a(g21 +Vx +tp7454 +a(g189 +V +tp7455 +a(g202 +V( +tp7456 +a(g57 +Vreal-part +p7457 +tp7458 +a(g73 +Vz +tp7459 +a(g202 +V) +tp7460 +a(g202 +V) +tp7461 +a(g189 +V +tp7462 +a(g202 +V( +tp7463 +a(g21 +Vy +tp7464 +a(g189 +V +tp7465 +a(g202 +V( +tp7466 +a(g57 +Vimag-part +p7467 +tp7468 +a(g73 +Vz +tp7469 +a(g202 +V) +tp7470 +a(g202 +V) +tp7471 +a(g202 +V) +tp7472 +a(g189 +V\u000a +p7473 +tp7474 +a(g202 +V( +tp7475 +a(g57 +Vmake-rectangular +p7476 +tp7477 +a(g202 +V( +tp7478 +a(g57 +V* +p7479 +tp7480 +a(g202 +V( +tp7481 +a(g21 +V$cos +p7482 +tp7483 +a(g189 +V +tp7484 +a(g73 +Vx +tp7485 +a(g202 +V) +tp7486 +a(g189 +V +tp7487 +a(g202 +V( +tp7488 +a(g21 +V$cosh +p7489 +tp7490 +a(g189 +V +tp7491 +a(g73 +Vy +tp7492 +a(g202 +V) +tp7493 +a(g202 +V) +tp7494 +a(g189 +V\u000a +p7495 +tp7496 +a(g202 +V( +tp7497 +a(g57 +V- +p7498 +tp7499 +a(g202 +V( +tp7500 +a(g57 +V* +p7501 +tp7502 +a(g202 +V( +tp7503 +a(g21 +V$sin +p7504 +tp7505 +a(g189 +V +tp7506 +a(g73 +Vx +tp7507 +a(g202 +V) +tp7508 +a(g189 +V +tp7509 +a(g202 +V( +tp7510 +a(g21 +V$sinh +p7511 +tp7512 +a(g189 +V +tp7513 +a(g73 +Vy +tp7514 +a(g202 +V) +tp7515 +a(g202 +V) +tp7516 +a(g202 +V) +tp7517 +a(g202 +V) +tp7518 +a(g202 +V) +tp7519 +a(g202 +V) +tp7520 +a(g202 +V) +tp7521 +a(g189 +V\u000a +tp7522 +a(g202 +V( +tp7523 +a(g111 +Vdefine +p7524 +tp7525 +a(g202 +V( +tp7526 +a(g57 +Vtan +p7527 +tp7528 +a(g73 +Vz +tp7529 +a(g202 +V) +tp7530 +a(g189 +V\u000a +p7531 +tp7532 +a(g202 +V( +tp7533 +a(g111 +Vif +p7534 +tp7535 +a(g202 +V( +tp7536 +a(g57 +Vreal? +p7537 +tp7538 +a(g73 +Vz +tp7539 +a(g202 +V) +tp7540 +a(g189 +V +tp7541 +a(g202 +V( +tp7542 +a(g21 +V$tan +p7543 +tp7544 +a(g189 +V +tp7545 +a(g73 +Vz +tp7546 +a(g202 +V) +tp7547 +a(g189 +V\u000a +p7548 +tp7549 +a(g202 +V( +tp7550 +a(g111 +Vlet* +p7551 +tp7552 +a(g202 +V( +tp7553 +a(g202 +V( +tp7554 +a(g21 +Vx +tp7555 +a(g189 +V +tp7556 +a(g202 +V( +tp7557 +a(g57 +V* +p7558 +tp7559 +a(g318 +V2 +tp7560 +a(g189 +V +tp7561 +a(g202 +V( +tp7562 +a(g57 +Vreal-part +p7563 +tp7564 +a(g73 +Vz +tp7565 +a(g202 +V) +tp7566 +a(g202 +V) +tp7567 +a(g202 +V) +tp7568 +a(g189 +V\u000a +p7569 +tp7570 +a(g202 +V( +tp7571 +a(g21 +Vy +tp7572 +a(g189 +V +tp7573 +a(g202 +V( +tp7574 +a(g57 +V* +p7575 +tp7576 +a(g318 +V2 +tp7577 +a(g189 +V +tp7578 +a(g202 +V( +tp7579 +a(g57 +Vimag-part +p7580 +tp7581 +a(g73 +Vz +tp7582 +a(g202 +V) +tp7583 +a(g202 +V) +tp7584 +a(g202 +V) +tp7585 +a(g189 +V\u000a +p7586 +tp7587 +a(g202 +V( +tp7588 +a(g21 +Vw +tp7589 +a(g189 +V +tp7590 +a(g202 +V( +tp7591 +a(g57 +V+ +p7592 +tp7593 +a(g202 +V( +tp7594 +a(g21 +V$cos +p7595 +tp7596 +a(g189 +V +tp7597 +a(g73 +Vx +tp7598 +a(g202 +V) +tp7599 +a(g189 +V +tp7600 +a(g202 +V( +tp7601 +a(g21 +V$cosh +p7602 +tp7603 +a(g189 +V +tp7604 +a(g73 +Vy +tp7605 +a(g202 +V) +tp7606 +a(g202 +V) +tp7607 +a(g202 +V) +tp7608 +a(g202 +V) +tp7609 +a(g189 +V\u000a +p7610 +tp7611 +a(g202 +V( +tp7612 +a(g57 +Vmake-rectangular +p7613 +tp7614 +a(g202 +V( +tp7615 +a(g57 +V/ +p7616 +tp7617 +a(g202 +V( +tp7618 +a(g21 +V$sin +p7619 +tp7620 +a(g189 +V +tp7621 +a(g73 +Vx +tp7622 +a(g202 +V) +tp7623 +a(g189 +V +tp7624 +a(g73 +Vw +tp7625 +a(g202 +V) +tp7626 +a(g189 +V +tp7627 +a(g202 +V( +tp7628 +a(g57 +V/ +p7629 +tp7630 +a(g202 +V( +tp7631 +a(g21 +V$sinh +p7632 +tp7633 +a(g189 +V +tp7634 +a(g73 +Vy +tp7635 +a(g202 +V) +tp7636 +a(g189 +V +tp7637 +a(g73 +Vw +tp7638 +a(g202 +V) +tp7639 +a(g202 +V) +tp7640 +a(g202 +V) +tp7641 +a(g202 +V) +tp7642 +a(g202 +V) +tp7643 +a(g189 +V\u000a\u000a +p7644 +tp7645 +a(g202 +V( +tp7646 +a(g111 +Vdefine +p7647 +tp7648 +a(g202 +V( +tp7649 +a(g57 +Vasin +p7650 +tp7651 +a(g73 +Vz +tp7652 +a(g202 +V) +tp7653 +a(g189 +V\u000a +p7654 +tp7655 +a(g202 +V( +tp7656 +a(g111 +Vif +p7657 +tp7658 +a(g202 +V( +tp7659 +a(g111 +Vand +p7660 +tp7661 +a(g202 +V( +tp7662 +a(g57 +Vreal? +p7663 +tp7664 +a(g73 +Vz +tp7665 +a(g202 +V) +tp7666 +a(g189 +V +tp7667 +a(g202 +V( +tp7668 +a(g57 +V>= +p7669 +tp7670 +a(g73 +Vz +tp7671 +a(g189 +V +tp7672 +a(g318 +V-1 +p7673 +tp7674 +a(g202 +V) +tp7675 +a(g189 +V +tp7676 +a(g202 +V( +tp7677 +a(g57 +V<= +p7678 +tp7679 +a(g73 +Vz +tp7680 +a(g189 +V +tp7681 +a(g318 +V1 +tp7682 +a(g202 +V) +tp7683 +a(g202 +V) +tp7684 +a(g189 +V\u000a +p7685 +tp7686 +a(g202 +V( +tp7687 +a(g21 +V$asin +p7688 +tp7689 +a(g189 +V +tp7690 +a(g73 +Vz +tp7691 +a(g202 +V) +tp7692 +a(g189 +V\u000a +p7693 +tp7694 +a(g202 +V( +tp7695 +a(g57 +V* +p7696 +tp7697 +a(g73 +V-i +p7698 +tp7699 +a(g189 +V +tp7700 +a(g202 +V( +tp7701 +a(g21 +Vasinh +p7702 +tp7703 +a(g189 +V +tp7704 +a(g202 +V( +tp7705 +a(g57 +V* +p7706 +tp7707 +a(g73 +V+i +p7708 +tp7709 +a(g189 +V +tp7710 +a(g73 +Vz +tp7711 +a(g202 +V) +tp7712 +a(g202 +V) +tp7713 +a(g202 +V) +tp7714 +a(g202 +V) +tp7715 +a(g202 +V) +tp7716 +a(g189 +V\u000a\u000a +p7717 +tp7718 +a(g202 +V( +tp7719 +a(g111 +Vdefine +p7720 +tp7721 +a(g202 +V( +tp7722 +a(g57 +Vacos +p7723 +tp7724 +a(g73 +Vz +tp7725 +a(g202 +V) +tp7726 +a(g189 +V\u000a +p7727 +tp7728 +a(g202 +V( +tp7729 +a(g111 +Vif +p7730 +tp7731 +a(g202 +V( +tp7732 +a(g111 +Vand +p7733 +tp7734 +a(g202 +V( +tp7735 +a(g57 +Vreal? +p7736 +tp7737 +a(g73 +Vz +tp7738 +a(g202 +V) +tp7739 +a(g189 +V +tp7740 +a(g202 +V( +tp7741 +a(g57 +V>= +p7742 +tp7743 +a(g73 +Vz +tp7744 +a(g189 +V +tp7745 +a(g318 +V-1 +p7746 +tp7747 +a(g202 +V) +tp7748 +a(g189 +V +tp7749 +a(g202 +V( +tp7750 +a(g57 +V<= +p7751 +tp7752 +a(g73 +Vz +tp7753 +a(g189 +V +tp7754 +a(g318 +V1 +tp7755 +a(g202 +V) +tp7756 +a(g202 +V) +tp7757 +a(g189 +V\u000a +p7758 +tp7759 +a(g202 +V( +tp7760 +a(g21 +V$acos +p7761 +tp7762 +a(g189 +V +tp7763 +a(g73 +Vz +tp7764 +a(g202 +V) +tp7765 +a(g189 +V\u000a +p7766 +tp7767 +a(g202 +V( +tp7768 +a(g57 +V+ +p7769 +tp7770 +a(g202 +V( +tp7771 +a(g57 +V/ +p7772 +tp7773 +a(g202 +V( +tp7774 +a(g57 +Vangle +p7775 +tp7776 +a(g318 +V-1 +p7777 +tp7778 +a(g202 +V) +tp7779 +a(g189 +V +tp7780 +a(g318 +V2 +tp7781 +a(g202 +V) +tp7782 +a(g189 +V +tp7783 +a(g202 +V( +tp7784 +a(g57 +V* +p7785 +tp7786 +a(g73 +V+i +p7787 +tp7788 +a(g189 +V +tp7789 +a(g202 +V( +tp7790 +a(g21 +Vasinh +p7791 +tp7792 +a(g189 +V +tp7793 +a(g202 +V( +tp7794 +a(g57 +V* +p7795 +tp7796 +a(g73 +V+i +p7797 +tp7798 +a(g189 +V +tp7799 +a(g73 +Vz +tp7800 +a(g202 +V) +tp7801 +a(g202 +V) +tp7802 +a(g202 +V) +tp7803 +a(g202 +V) +tp7804 +a(g202 +V) +tp7805 +a(g202 +V) +tp7806 +a(g189 +V\u000a\u000a +p7807 +tp7808 +a(g202 +V( +tp7809 +a(g111 +Vdefine +p7810 +tp7811 +a(g202 +V( +tp7812 +a(g57 +Vatan +p7813 +tp7814 +a(g73 +Vz +tp7815 +a(g189 +V +tp7816 +a(g343 +V. +tp7817 +a(g189 +V +tp7818 +a(g73 +Vy +tp7819 +a(g202 +V) +tp7820 +a(g189 +V\u000a +p7821 +tp7822 +a(g202 +V( +tp7823 +a(g111 +Vif +p7824 +tp7825 +a(g202 +V( +tp7826 +a(g57 +Vnull? +p7827 +tp7828 +a(g73 +Vy +tp7829 +a(g202 +V) +tp7830 +a(g189 +V\u000a +p7831 +tp7832 +a(g202 +V( +tp7833 +a(g111 +Vif +p7834 +tp7835 +a(g202 +V( +tp7836 +a(g57 +Vreal? +p7837 +tp7838 +a(g73 +Vz +tp7839 +a(g202 +V) +tp7840 +a(g189 +V +tp7841 +a(g202 +V( +tp7842 +a(g21 +V$atan +p7843 +tp7844 +a(g189 +V +tp7845 +a(g73 +Vz +tp7846 +a(g202 +V) +tp7847 +a(g189 +V\u000a +p7848 +tp7849 +a(g202 +V( +tp7850 +a(g57 +V/ +p7851 +tp7852 +a(g202 +V( +tp7853 +a(g57 +Vlog +p7854 +tp7855 +a(g202 +V( +tp7856 +a(g57 +V/ +p7857 +tp7858 +a(g202 +V( +tp7859 +a(g57 +V- +p7860 +tp7861 +a(g73 +V+i +p7862 +tp7863 +a(g189 +V +tp7864 +a(g73 +Vz +tp7865 +a(g202 +V) +tp7866 +a(g189 +V +tp7867 +a(g202 +V( +tp7868 +a(g57 +V+ +p7869 +tp7870 +a(g73 +V+i +p7871 +tp7872 +a(g189 +V +tp7873 +a(g73 +Vz +tp7874 +a(g202 +V) +tp7875 +a(g202 +V) +tp7876 +a(g202 +V) +tp7877 +a(g189 +V +tp7878 +a(g73 +V+2i +p7879 +tp7880 +a(g202 +V) +tp7881 +a(g202 +V) +tp7882 +a(g189 +V\u000a +p7883 +tp7884 +a(g202 +V( +tp7885 +a(g21 +V$atan2 +p7886 +tp7887 +a(g189 +V +tp7888 +a(g73 +Vz +tp7889 +a(g189 +V +tp7890 +a(g202 +V( +tp7891 +a(g57 +Vcar +p7892 +tp7893 +a(g73 +Vy +tp7894 +a(g202 +V) +tp7895 +a(g202 +V) +tp7896 +a(g202 +V) +tp7897 +a(g202 +V) +tp7898 +a(g189 +V\u000a\u000a +p7899 +tp7900 +a(g202 +V( +tp7901 +a(g111 +Vdefine +p7902 +tp7903 +a(g202 +V( +tp7904 +a(g21 +Vlog10 +p7905 +tp7906 +a(g189 +V +tp7907 +a(g73 +Varg +p7908 +tp7909 +a(g202 +V) +tp7910 +a(g189 +V\u000a +p7911 +tp7912 +a(g202 +V( +tp7913 +a(g57 +V/ +p7914 +tp7915 +a(g202 +V( +tp7916 +a(g57 +Vlog +p7917 +tp7918 +a(g73 +Varg +p7919 +tp7920 +a(g202 +V) +tp7921 +a(g189 +V +tp7922 +a(g202 +V( +tp7923 +a(g57 +Vlog +p7924 +tp7925 +a(g318 +V10 +p7926 +tp7927 +a(g202 +V) +tp7928 +a(g202 +V) +tp7929 +a(g202 +V) +tp7930 +a(g189 +V\u000a\u000a \u000a\u000a +p7931 +tp7932 +a(g7 +V;;; {Reader Extensions} +p7933 +tp7934 +a(g189 +V\u000a +tp7935 +a(g7 +V;;; +p7936 +tp7937 +a(g189 +V\u000a\u000a +p7938 +tp7939 +a(g7 +V;;; Reader code for various "#c" forms. +p7940 +tp7941 +a(g189 +V\u000a +tp7942 +a(g7 +V;;; +p7943 +tp7944 +a(g189 +V\u000a\u000a +p7945 +tp7946 +a(g202 +V( +tp7947 +a(g21 +Vread-hash-extend +p7948 +tp7949 +a(g189 +V +tp7950 +a(g268 +V#\u005c' +p7951 +tp7952 +a(g189 +V +tp7953 +a(g202 +V( +tp7954 +a(g111 +Vlambda +p7955 +tp7956 +a(g202 +V( +tp7957 +a(g21 +Vc +tp7958 +a(g189 +V +tp7959 +a(g73 +Vport +p7960 +tp7961 +a(g202 +V) +tp7962 +a(g189 +V\u000a +p7963 +tp7964 +a(g202 +V( +tp7965 +a(g57 +Vread +p7966 +tp7967 +a(g73 +Vport +p7968 +tp7969 +a(g202 +V) +tp7970 +a(g202 +V) +tp7971 +a(g202 +V) +tp7972 +a(g189 +V\u000a\u000a +p7973 +tp7974 +a(g202 +V( +tp7975 +a(g111 +Vdefine +p7976 +tp7977 +a(g73 +Vread-eval? +p7978 +tp7979 +a(g189 +V +tp7980 +a(g202 +V( +tp7981 +a(g21 +Vmake-fluid +p7982 +tp7983 +a(g202 +V) +tp7984 +a(g202 +V) +tp7985 +a(g189 +V\u000a +tp7986 +a(g202 +V( +tp7987 +a(g21 +Vfluid-set! +p7988 +tp7989 +a(g189 +V +tp7990 +a(g73 +Vread-eval? +p7991 +tp7992 +a(g189 +V +tp7993 +a(g33 +V#f +p7994 +tp7995 +a(g202 +V) +tp7996 +a(g189 +V\u000a +tp7997 +a(g202 +V( +tp7998 +a(g21 +Vread-hash-extend +p7999 +tp8000 +a(g189 +V +tp8001 +a(g268 +V#\u005c. +p8002 +tp8003 +a(g189 +V\u000a +p8004 +tp8005 +a(g202 +V( +tp8006 +a(g111 +Vlambda +p8007 +tp8008 +a(g202 +V( +tp8009 +a(g21 +Vc +tp8010 +a(g189 +V +tp8011 +a(g73 +Vport +p8012 +tp8013 +a(g202 +V) +tp8014 +a(g189 +V\u000a +p8015 +tp8016 +a(g202 +V( +tp8017 +a(g111 +Vif +p8018 +tp8019 +a(g202 +V( +tp8020 +a(g21 +Vfluid-ref +p8021 +tp8022 +a(g189 +V +tp8023 +a(g73 +Vread-eval? +p8024 +tp8025 +a(g202 +V) +tp8026 +a(g189 +V\u000a +p8027 +tp8028 +a(g202 +V( +tp8029 +a(g57 +Veval +p8030 +tp8031 +a(g202 +V( +tp8032 +a(g57 +Vread +p8033 +tp8034 +a(g73 +Vport +p8035 +tp8036 +a(g202 +V) +tp8037 +a(g189 +V +tp8038 +a(g202 +V( +tp8039 +a(g21 +Vinteraction-environment +p8040 +tp8041 +a(g202 +V) +tp8042 +a(g202 +V) +tp8043 +a(g189 +V\u000a +p8044 +tp8045 +a(g202 +V( +tp8046 +a(g21 +Verror +p8047 +tp8048 +a(g189 +V\u000a +p8049 +tp8050 +a(g226 +V"#. read expansion found and read-eval? is #f." +p8051 +tp8052 +a(g202 +V) +tp8053 +a(g202 +V) +tp8054 +a(g202 +V) +tp8055 +a(g202 +V) +tp8056 +a(g189 +V\u000a\u000a \u000a +p8057 +tp8058 +a(g7 +V;;; {Command Line Options} +p8059 +tp8060 +a(g189 +V\u000a +tp8061 +a(g7 +V;;; +p8062 +tp8063 +a(g189 +V\u000a\u000a +p8064 +tp8065 +a(g202 +V( +tp8066 +a(g111 +Vdefine +p8067 +tp8068 +a(g202 +V( +tp8069 +a(g21 +Vget-option +p8070 +tp8071 +a(g189 +V +tp8072 +a(g73 +Vargv +p8073 +tp8074 +a(g189 +V +tp8075 +a(g73 +Vkw-opts +p8076 +tp8077 +a(g189 +V +tp8078 +a(g73 +Vkw-args +p8079 +tp8080 +a(g189 +V +tp8081 +a(g73 +Vreturn +p8082 +tp8083 +a(g202 +V) +tp8084 +a(g189 +V\u000a +p8085 +tp8086 +a(g202 +V( +tp8087 +a(g21 +Vcond +p8088 +tp8089 +a(g189 +V\u000a +p8090 +tp8091 +a(g202 +V( +tp8092 +a(g202 +V( +tp8093 +a(g57 +Vnull? +p8094 +tp8095 +a(g73 +Vargv +p8096 +tp8097 +a(g202 +V) +tp8098 +a(g189 +V\u000a +p8099 +tp8100 +a(g202 +V( +tp8101 +a(g21 +Vreturn +p8102 +tp8103 +a(g189 +V +tp8104 +a(g33 +V#f +p8105 +tp8106 +a(g189 +V +tp8107 +a(g33 +V#f +p8108 +tp8109 +a(g189 +V +tp8110 +a(g73 +Vargv +p8111 +tp8112 +a(g202 +V) +tp8113 +a(g202 +V) +tp8114 +a(g189 +V\u000a\u000a +p8115 +tp8116 +a(g202 +V( +tp8117 +a(g202 +V( +tp8118 +a(g111 +Vor +p8119 +tp8120 +a(g202 +V( +tp8121 +a(g57 +Vnot +p8122 +tp8123 +a(g202 +V( +tp8124 +a(g57 +Veq? +p8125 +tp8126 +a(g268 +V#\u005c- +p8127 +tp8128 +a(g189 +V +tp8129 +a(g202 +V( +tp8130 +a(g57 +Vstring-ref +p8131 +tp8132 +a(g202 +V( +tp8133 +a(g57 +Vcar +p8134 +tp8135 +a(g73 +Vargv +p8136 +tp8137 +a(g202 +V) +tp8138 +a(g189 +V +tp8139 +a(g318 +V0 +tp8140 +a(g202 +V) +tp8141 +a(g202 +V) +tp8142 +a(g202 +V) +tp8143 +a(g189 +V\u000a +p8144 +tp8145 +a(g202 +V( +tp8146 +a(g57 +Veq? +p8147 +tp8148 +a(g202 +V( +tp8149 +a(g57 +Vstring-length +p8150 +tp8151 +a(g202 +V( +tp8152 +a(g57 +Vcar +p8153 +tp8154 +a(g73 +Vargv +p8155 +tp8156 +a(g202 +V) +tp8157 +a(g202 +V) +tp8158 +a(g189 +V +tp8159 +a(g318 +V1 +tp8160 +a(g202 +V) +tp8161 +a(g202 +V) +tp8162 +a(g189 +V\u000a +p8163 +tp8164 +a(g202 +V( +tp8165 +a(g21 +Vreturn +p8166 +tp8167 +a(g189 +V +tp8168 +a(g248 +V'normal-arg +p8169 +tp8170 +a(g189 +V +tp8171 +a(g202 +V( +tp8172 +a(g57 +Vcar +p8173 +tp8174 +a(g73 +Vargv +p8175 +tp8176 +a(g202 +V) +tp8177 +a(g189 +V +tp8178 +a(g202 +V( +tp8179 +a(g57 +Vcdr +p8180 +tp8181 +a(g73 +Vargv +p8182 +tp8183 +a(g202 +V) +tp8184 +a(g202 +V) +tp8185 +a(g202 +V) +tp8186 +a(g189 +V\u000a\u000a +p8187 +tp8188 +a(g202 +V( +tp8189 +a(g202 +V( +tp8190 +a(g57 +Veq? +p8191 +tp8192 +a(g268 +V#\u005c- +p8193 +tp8194 +a(g189 +V +tp8195 +a(g202 +V( +tp8196 +a(g57 +Vstring-ref +p8197 +tp8198 +a(g202 +V( +tp8199 +a(g57 +Vcar +p8200 +tp8201 +a(g73 +Vargv +p8202 +tp8203 +a(g202 +V) +tp8204 +a(g189 +V +tp8205 +a(g318 +V1 +tp8206 +a(g202 +V) +tp8207 +a(g202 +V) +tp8208 +a(g189 +V\u000a +p8209 +tp8210 +a(g202 +V( +tp8211 +a(g111 +Vlet* +p8212 +tp8213 +a(g202 +V( +tp8214 +a(g202 +V( +tp8215 +a(g21 +Vkw-arg-pos +p8216 +tp8217 +a(g189 +V +tp8218 +a(g202 +V( +tp8219 +a(g111 +Vor +p8220 +tp8221 +a(g202 +V( +tp8222 +a(g21 +Vstring-index +p8223 +tp8224 +a(g189 +V +tp8225 +a(g202 +V( +tp8226 +a(g57 +Vcar +p8227 +tp8228 +a(g73 +Vargv +p8229 +tp8230 +a(g202 +V) +tp8231 +a(g189 +V +tp8232 +a(g268 +V#\u005c= +p8233 +tp8234 +a(g202 +V) +tp8235 +a(g189 +V\u000a +p8236 +tp8237 +a(g202 +V( +tp8238 +a(g57 +Vstring-length +p8239 +tp8240 +a(g202 +V( +tp8241 +a(g57 +Vcar +p8242 +tp8243 +a(g73 +Vargv +p8244 +tp8245 +a(g202 +V) +tp8246 +a(g202 +V) +tp8247 +a(g202 +V) +tp8248 +a(g202 +V) +tp8249 +a(g189 +V\u000a +p8250 +tp8251 +a(g202 +V( +tp8252 +a(g21 +Vkw +p8253 +tp8254 +a(g189 +V +tp8255 +a(g202 +V( +tp8256 +a(g21 +Vsymbol->keyword +p8257 +tp8258 +a(g189 +V +tp8259 +a(g202 +V( +tp8260 +a(g57 +Vsubstring +p8261 +tp8262 +a(g202 +V( +tp8263 +a(g57 +Vcar +p8264 +tp8265 +a(g73 +Vargv +p8266 +tp8267 +a(g202 +V) +tp8268 +a(g189 +V +tp8269 +a(g318 +V2 +tp8270 +a(g189 +V +tp8271 +a(g73 +Vkw-arg-pos +p8272 +tp8273 +a(g202 +V) +tp8274 +a(g202 +V) +tp8275 +a(g202 +V) +tp8276 +a(g189 +V\u000a +p8277 +tp8278 +a(g202 +V( +tp8279 +a(g21 +Vkw-opt? +p8280 +tp8281 +a(g189 +V +tp8282 +a(g202 +V( +tp8283 +a(g57 +Vmember +p8284 +tp8285 +a(g73 +Vkw +p8286 +tp8287 +a(g189 +V +tp8288 +a(g73 +Vkw-opts +p8289 +tp8290 +a(g202 +V) +tp8291 +a(g202 +V) +tp8292 +a(g189 +V\u000a +p8293 +tp8294 +a(g202 +V( +tp8295 +a(g21 +Vkw-arg? +p8296 +tp8297 +a(g189 +V +tp8298 +a(g202 +V( +tp8299 +a(g57 +Vmember +p8300 +tp8301 +a(g73 +Vkw +p8302 +tp8303 +a(g189 +V +tp8304 +a(g73 +Vkw-args +p8305 +tp8306 +a(g202 +V) +tp8307 +a(g202 +V) +tp8308 +a(g189 +V\u000a +p8309 +tp8310 +a(g202 +V( +tp8311 +a(g21 +Varg +p8312 +tp8313 +a(g189 +V +tp8314 +a(g202 +V( +tp8315 +a(g111 +Vor +p8316 +tp8317 +a(g202 +V( +tp8318 +a(g111 +Vand +p8319 +tp8320 +a(g202 +V( +tp8321 +a(g57 +Vnot +p8322 +tp8323 +a(g202 +V( +tp8324 +a(g57 +Veq? +p8325 +tp8326 +a(g73 +Vkw-arg-pos +p8327 +tp8328 +a(g189 +V +tp8329 +a(g202 +V( +tp8330 +a(g57 +Vstring-length +p8331 +tp8332 +a(g202 +V( +tp8333 +a(g57 +Vcar +p8334 +tp8335 +a(g73 +Vargv +p8336 +tp8337 +a(g202 +V) +tp8338 +a(g202 +V) +tp8339 +a(g202 +V) +tp8340 +a(g202 +V) +tp8341 +a(g189 +V\u000a +p8342 +tp8343 +a(g202 +V( +tp8344 +a(g57 +Vsubstring +p8345 +tp8346 +a(g202 +V( +tp8347 +a(g57 +Vcar +p8348 +tp8349 +a(g73 +Vargv +p8350 +tp8351 +a(g202 +V) +tp8352 +a(g189 +V\u000a +p8353 +tp8354 +a(g202 +V( +tp8355 +a(g57 +V+ +p8356 +tp8357 +a(g73 +Vkw-arg-pos +p8358 +tp8359 +a(g189 +V +tp8360 +a(g318 +V1 +tp8361 +a(g202 +V) +tp8362 +a(g189 +V\u000a +p8363 +tp8364 +a(g202 +V( +tp8365 +a(g57 +Vstring-length +p8366 +tp8367 +a(g202 +V( +tp8368 +a(g57 +Vcar +p8369 +tp8370 +a(g73 +Vargv +p8371 +tp8372 +a(g202 +V) +tp8373 +a(g202 +V) +tp8374 +a(g202 +V) +tp8375 +a(g202 +V) +tp8376 +a(g189 +V\u000a +p8377 +tp8378 +a(g202 +V( +tp8379 +a(g111 +Vand +p8380 +tp8381 +a(g73 +Vkw-arg? +p8382 +tp8383 +a(g189 +V\u000a +p8384 +tp8385 +a(g202 +V( +tp8386 +a(g111 +Vbegin +p8387 +tp8388 +a(g202 +V( +tp8389 +a(g111 +Vset! +p8390 +tp8391 +a(g73 +Vargv +p8392 +tp8393 +a(g189 +V +tp8394 +a(g202 +V( +tp8395 +a(g57 +Vcdr +p8396 +tp8397 +a(g73 +Vargv +p8398 +tp8399 +a(g202 +V) +tp8400 +a(g202 +V) +tp8401 +a(g189 +V +tp8402 +a(g202 +V( +tp8403 +a(g57 +Vcar +p8404 +tp8405 +a(g73 +Vargv +p8406 +tp8407 +a(g202 +V) +tp8408 +a(g202 +V) +tp8409 +a(g202 +V) +tp8410 +a(g202 +V) +tp8411 +a(g202 +V) +tp8412 +a(g202 +V) +tp8413 +a(g189 +V\u000a +p8414 +tp8415 +a(g202 +V( +tp8416 +a(g111 +Vif +p8417 +tp8418 +a(g202 +V( +tp8419 +a(g111 +Vor +p8420 +tp8421 +a(g73 +Vkw-opt? +p8422 +tp8423 +a(g189 +V +tp8424 +a(g73 +Vkw-arg? +p8425 +tp8426 +a(g202 +V) +tp8427 +a(g189 +V\u000a +p8428 +tp8429 +a(g202 +V( +tp8430 +a(g21 +Vreturn +p8431 +tp8432 +a(g189 +V +tp8433 +a(g73 +Vkw +p8434 +tp8435 +a(g189 +V +tp8436 +a(g73 +Varg +p8437 +tp8438 +a(g189 +V +tp8439 +a(g202 +V( +tp8440 +a(g57 +Vcdr +p8441 +tp8442 +a(g73 +Vargv +p8443 +tp8444 +a(g202 +V) +tp8445 +a(g202 +V) +tp8446 +a(g189 +V\u000a +p8447 +tp8448 +a(g202 +V( +tp8449 +a(g21 +Vreturn +p8450 +tp8451 +a(g189 +V +tp8452 +a(g248 +V'usage-error +p8453 +tp8454 +a(g189 +V +tp8455 +a(g73 +Vkw +p8456 +tp8457 +a(g189 +V +tp8458 +a(g202 +V( +tp8459 +a(g57 +Vcdr +p8460 +tp8461 +a(g73 +Vargv +p8462 +tp8463 +a(g202 +V) +tp8464 +a(g202 +V) +tp8465 +a(g202 +V) +tp8466 +a(g202 +V) +tp8467 +a(g202 +V) +tp8468 +a(g189 +V\u000a\u000a +p8469 +tp8470 +a(g202 +V( +tp8471 +a(g21 +Velse +p8472 +tp8473 +a(g189 +V\u000a +p8474 +tp8475 +a(g202 +V( +tp8476 +a(g111 +Vlet* +p8477 +tp8478 +a(g202 +V( +tp8479 +a(g202 +V( +tp8480 +a(g21 +Vchar +p8481 +tp8482 +a(g189 +V +tp8483 +a(g202 +V( +tp8484 +a(g57 +Vsubstring +p8485 +tp8486 +a(g202 +V( +tp8487 +a(g57 +Vcar +p8488 +tp8489 +a(g73 +Vargv +p8490 +tp8491 +a(g202 +V) +tp8492 +a(g189 +V +tp8493 +a(g318 +V1 +tp8494 +a(g189 +V +tp8495 +a(g318 +V2 +tp8496 +a(g202 +V) +tp8497 +a(g202 +V) +tp8498 +a(g189 +V\u000a +p8499 +tp8500 +a(g202 +V( +tp8501 +a(g21 +Vkw +p8502 +tp8503 +a(g189 +V +tp8504 +a(g202 +V( +tp8505 +a(g21 +Vsymbol->keyword +p8506 +tp8507 +a(g189 +V +tp8508 +a(g73 +Vchar +p8509 +tp8510 +a(g202 +V) +tp8511 +a(g202 +V) +tp8512 +a(g202 +V) +tp8513 +a(g189 +V\u000a +p8514 +tp8515 +a(g202 +V( +tp8516 +a(g21 +Vcond +p8517 +tp8518 +a(g189 +V\u000a\u000a +p8519 +tp8520 +a(g202 +V( +tp8521 +a(g202 +V( +tp8522 +a(g57 +Vmember +p8523 +tp8524 +a(g73 +Vkw +p8525 +tp8526 +a(g189 +V +tp8527 +a(g73 +Vkw-opts +p8528 +tp8529 +a(g202 +V) +tp8530 +a(g189 +V\u000a +p8531 +tp8532 +a(g202 +V( +tp8533 +a(g111 +Vlet* +p8534 +tp8535 +a(g202 +V( +tp8536 +a(g202 +V( +tp8537 +a(g21 +Vrest-car +p8538 +tp8539 +a(g189 +V +tp8540 +a(g202 +V( +tp8541 +a(g57 +Vsubstring +p8542 +tp8543 +a(g202 +V( +tp8544 +a(g57 +Vcar +p8545 +tp8546 +a(g73 +Vargv +p8547 +tp8548 +a(g202 +V) +tp8549 +a(g189 +V +tp8550 +a(g318 +V2 +tp8551 +a(g189 +V +tp8552 +a(g202 +V( +tp8553 +a(g57 +Vstring-length +p8554 +tp8555 +a(g202 +V( +tp8556 +a(g57 +Vcar +p8557 +tp8558 +a(g73 +Vargv +p8559 +tp8560 +a(g202 +V) +tp8561 +a(g202 +V) +tp8562 +a(g202 +V) +tp8563 +a(g202 +V) +tp8564 +a(g189 +V\u000a +p8565 +tp8566 +a(g202 +V( +tp8567 +a(g21 +Vnew-argv +p8568 +tp8569 +a(g189 +V +tp8570 +a(g202 +V( +tp8571 +a(g111 +Vif +p8572 +tp8573 +a(g202 +V( +tp8574 +a(g57 +V= +p8575 +tp8576 +a(g318 +V0 +tp8577 +a(g189 +V +tp8578 +a(g202 +V( +tp8579 +a(g57 +Vstring-length +p8580 +tp8581 +a(g73 +Vrest-car +p8582 +tp8583 +a(g202 +V) +tp8584 +a(g202 +V) +tp8585 +a(g189 +V\u000a +p8586 +tp8587 +a(g202 +V( +tp8588 +a(g57 +Vcdr +p8589 +tp8590 +a(g73 +Vargv +p8591 +tp8592 +a(g202 +V) +tp8593 +a(g189 +V\u000a +p8594 +tp8595 +a(g202 +V( +tp8596 +a(g57 +Vcons +p8597 +tp8598 +a(g202 +V( +tp8599 +a(g57 +Vstring-append +p8600 +tp8601 +a(g226 +V"-" +p8602 +tp8603 +a(g189 +V +tp8604 +a(g73 +Vrest-car +p8605 +tp8606 +a(g202 +V) +tp8607 +a(g189 +V +tp8608 +a(g202 +V( +tp8609 +a(g57 +Vcdr +p8610 +tp8611 +a(g73 +Vargv +p8612 +tp8613 +a(g202 +V) +tp8614 +a(g202 +V) +tp8615 +a(g202 +V) +tp8616 +a(g202 +V) +tp8617 +a(g202 +V) +tp8618 +a(g189 +V\u000a +p8619 +tp8620 +a(g202 +V( +tp8621 +a(g21 +Vreturn +p8622 +tp8623 +a(g189 +V +tp8624 +a(g73 +Vkw +p8625 +tp8626 +a(g189 +V +tp8627 +a(g33 +V#f +p8628 +tp8629 +a(g189 +V +tp8630 +a(g73 +Vnew-argv +p8631 +tp8632 +a(g202 +V) +tp8633 +a(g202 +V) +tp8634 +a(g202 +V) +tp8635 +a(g189 +V\u000a\u000a +p8636 +tp8637 +a(g202 +V( +tp8638 +a(g202 +V( +tp8639 +a(g57 +Vmember +p8640 +tp8641 +a(g73 +Vkw +p8642 +tp8643 +a(g189 +V +tp8644 +a(g73 +Vkw-args +p8645 +tp8646 +a(g202 +V) +tp8647 +a(g189 +V\u000a +p8648 +tp8649 +a(g202 +V( +tp8650 +a(g111 +Vlet* +p8651 +tp8652 +a(g202 +V( +tp8653 +a(g202 +V( +tp8654 +a(g21 +Vrest-car +p8655 +tp8656 +a(g189 +V +tp8657 +a(g202 +V( +tp8658 +a(g57 +Vsubstring +p8659 +tp8660 +a(g202 +V( +tp8661 +a(g57 +Vcar +p8662 +tp8663 +a(g73 +Vargv +p8664 +tp8665 +a(g202 +V) +tp8666 +a(g189 +V +tp8667 +a(g318 +V2 +tp8668 +a(g189 +V +tp8669 +a(g202 +V( +tp8670 +a(g57 +Vstring-length +p8671 +tp8672 +a(g202 +V( +tp8673 +a(g57 +Vcar +p8674 +tp8675 +a(g73 +Vargv +p8676 +tp8677 +a(g202 +V) +tp8678 +a(g202 +V) +tp8679 +a(g202 +V) +tp8680 +a(g202 +V) +tp8681 +a(g189 +V\u000a +p8682 +tp8683 +a(g202 +V( +tp8684 +a(g21 +Varg +p8685 +tp8686 +a(g189 +V +tp8687 +a(g202 +V( +tp8688 +a(g111 +Vif +p8689 +tp8690 +a(g202 +V( +tp8691 +a(g57 +V= +p8692 +tp8693 +a(g318 +V0 +tp8694 +a(g189 +V +tp8695 +a(g202 +V( +tp8696 +a(g57 +Vstring-length +p8697 +tp8698 +a(g73 +Vrest-car +p8699 +tp8700 +a(g202 +V) +tp8701 +a(g202 +V) +tp8702 +a(g189 +V\u000a +p8703 +tp8704 +a(g202 +V( +tp8705 +a(g57 +Vcadr +p8706 +tp8707 +a(g73 +Vargv +p8708 +tp8709 +a(g202 +V) +tp8710 +a(g189 +V\u000a +p8711 +tp8712 +a(g73 +Vrest-car +p8713 +tp8714 +a(g202 +V) +tp8715 +a(g202 +V) +tp8716 +a(g189 +V\u000a +p8717 +tp8718 +a(g202 +V( +tp8719 +a(g21 +Vnew-argv +p8720 +tp8721 +a(g189 +V +tp8722 +a(g202 +V( +tp8723 +a(g111 +Vif +p8724 +tp8725 +a(g202 +V( +tp8726 +a(g57 +V= +p8727 +tp8728 +a(g318 +V0 +tp8729 +a(g189 +V +tp8730 +a(g202 +V( +tp8731 +a(g57 +Vstring-length +p8732 +tp8733 +a(g73 +Vrest-car +p8734 +tp8735 +a(g202 +V) +tp8736 +a(g202 +V) +tp8737 +a(g189 +V\u000a +p8738 +tp8739 +a(g202 +V( +tp8740 +a(g57 +Vcddr +p8741 +tp8742 +a(g73 +Vargv +p8743 +tp8744 +a(g202 +V) +tp8745 +a(g189 +V\u000a +p8746 +tp8747 +a(g202 +V( +tp8748 +a(g57 +Vcdr +p8749 +tp8750 +a(g73 +Vargv +p8751 +tp8752 +a(g202 +V) +tp8753 +a(g202 +V) +tp8754 +a(g202 +V) +tp8755 +a(g202 +V) +tp8756 +a(g189 +V\u000a +p8757 +tp8758 +a(g202 +V( +tp8759 +a(g21 +Vreturn +p8760 +tp8761 +a(g189 +V +tp8762 +a(g73 +Vkw +p8763 +tp8764 +a(g189 +V +tp8765 +a(g73 +Varg +p8766 +tp8767 +a(g189 +V +tp8768 +a(g73 +Vnew-argv +p8769 +tp8770 +a(g202 +V) +tp8771 +a(g202 +V) +tp8772 +a(g202 +V) +tp8773 +a(g189 +V\u000a\u000a +p8774 +tp8775 +a(g202 +V( +tp8776 +a(g111 +Velse +p8777 +tp8778 +a(g202 +V( +tp8779 +a(g21 +Vreturn +p8780 +tp8781 +a(g189 +V +tp8782 +a(g248 +V'usage-error +p8783 +tp8784 +a(g189 +V +tp8785 +a(g73 +Vkw +p8786 +tp8787 +a(g189 +V +tp8788 +a(g73 +Vargv +p8789 +tp8790 +a(g202 +V) +tp8791 +a(g202 +V) +tp8792 +a(g202 +V) +tp8793 +a(g202 +V) +tp8794 +a(g202 +V) +tp8795 +a(g202 +V) +tp8796 +a(g202 +V) +tp8797 +a(g189 +V\u000a\u000a +p8798 +tp8799 +a(g202 +V( +tp8800 +a(g111 +Vdefine +p8801 +tp8802 +a(g202 +V( +tp8803 +a(g21 +Vfor-next-option +p8804 +tp8805 +a(g189 +V +tp8806 +a(g73 +Vproc +p8807 +tp8808 +a(g189 +V +tp8809 +a(g73 +Vargv +p8810 +tp8811 +a(g189 +V +tp8812 +a(g73 +Vkw-opts +p8813 +tp8814 +a(g189 +V +tp8815 +a(g73 +Vkw-args +p8816 +tp8817 +a(g202 +V) +tp8818 +a(g189 +V\u000a +p8819 +tp8820 +a(g202 +V( +tp8821 +a(g111 +Vlet +p8822 +tp8823 +a(g73 +Vloop +p8824 +tp8825 +a(g189 +V +tp8826 +a(g202 +V( +tp8827 +a(g202 +V( +tp8828 +a(g21 +Vargv +p8829 +tp8830 +a(g189 +V +tp8831 +a(g73 +Vargv +p8832 +tp8833 +a(g202 +V) +tp8834 +a(g202 +V) +tp8835 +a(g189 +V\u000a +p8836 +tp8837 +a(g202 +V( +tp8838 +a(g21 +Vget-option +p8839 +tp8840 +a(g189 +V +tp8841 +a(g73 +Vargv +p8842 +tp8843 +a(g189 +V +tp8844 +a(g73 +Vkw-opts +p8845 +tp8846 +a(g189 +V +tp8847 +a(g73 +Vkw-args +p8848 +tp8849 +a(g189 +V\u000a +p8850 +tp8851 +a(g202 +V( +tp8852 +a(g111 +Vlambda +p8853 +tp8854 +a(g202 +V( +tp8855 +a(g21 +Vopt +p8856 +tp8857 +a(g189 +V +tp8858 +a(g73 +Vopt-arg +p8859 +tp8860 +a(g189 +V +tp8861 +a(g73 +Vargv +p8862 +tp8863 +a(g202 +V) +tp8864 +a(g189 +V\u000a +p8865 +tp8866 +a(g202 +V( +tp8867 +a(g111 +Vand +p8868 +tp8869 +a(g73 +Vopt +p8870 +tp8871 +a(g189 +V +tp8872 +a(g202 +V( +tp8873 +a(g21 +Vproc +p8874 +tp8875 +a(g189 +V +tp8876 +a(g73 +Vopt +p8877 +tp8878 +a(g189 +V +tp8879 +a(g73 +Vopt-arg +p8880 +tp8881 +a(g189 +V +tp8882 +a(g73 +Vargv +p8883 +tp8884 +a(g189 +V +tp8885 +a(g73 +Vloop +p8886 +tp8887 +a(g202 +V) +tp8888 +a(g202 +V) +tp8889 +a(g202 +V) +tp8890 +a(g202 +V) +tp8891 +a(g202 +V) +tp8892 +a(g202 +V) +tp8893 +a(g189 +V\u000a\u000a +p8894 +tp8895 +a(g202 +V( +tp8896 +a(g111 +Vdefine +p8897 +tp8898 +a(g202 +V( +tp8899 +a(g21 +Vdisplay-usage-report +p8900 +tp8901 +a(g189 +V +tp8902 +a(g73 +Vkw-desc +p8903 +tp8904 +a(g202 +V) +tp8905 +a(g189 +V\u000a +p8906 +tp8907 +a(g202 +V( +tp8908 +a(g21 +Vfor-each +p8909 +tp8910 +a(g189 +V\u000a +p8911 +tp8912 +a(g202 +V( +tp8913 +a(g111 +Vlambda +p8914 +tp8915 +a(g202 +V( +tp8916 +a(g21 +Vkw +p8917 +tp8918 +a(g202 +V) +tp8919 +a(g189 +V\u000a +p8920 +tp8921 +a(g202 +V( +tp8922 +a(g111 +Vor +p8923 +tp8924 +a(g202 +V( +tp8925 +a(g57 +Veq? +p8926 +tp8927 +a(g202 +V( +tp8928 +a(g57 +Vcar +p8929 +tp8930 +a(g73 +Vkw +p8931 +tp8932 +a(g202 +V) +tp8933 +a(g189 +V +tp8934 +a(g33 +V#t +p8935 +tp8936 +a(g202 +V) +tp8937 +a(g189 +V\u000a +p8938 +tp8939 +a(g202 +V( +tp8940 +a(g57 +Veq? +p8941 +tp8942 +a(g202 +V( +tp8943 +a(g57 +Vcar +p8944 +tp8945 +a(g73 +Vkw +p8946 +tp8947 +a(g202 +V) +tp8948 +a(g189 +V +tp8949 +a(g248 +V'else +p8950 +tp8951 +a(g202 +V) +tp8952 +a(g189 +V\u000a +p8953 +tp8954 +a(g202 +V( +tp8955 +a(g111 +Vlet* +p8956 +tp8957 +a(g202 +V( +tp8958 +a(g202 +V( +tp8959 +a(g21 +Vopt-desc +p8960 +tp8961 +a(g189 +V +tp8962 +a(g73 +Vkw +p8963 +tp8964 +a(g202 +V) +tp8965 +a(g189 +V\u000a +p8966 +tp8967 +a(g202 +V( +tp8968 +a(g21 +Vhelp +p8969 +tp8970 +a(g189 +V +tp8971 +a(g202 +V( +tp8972 +a(g57 +Vcadr +p8973 +tp8974 +a(g73 +Vopt-desc +p8975 +tp8976 +a(g202 +V) +tp8977 +a(g202 +V) +tp8978 +a(g189 +V\u000a +p8979 +tp8980 +a(g202 +V( +tp8981 +a(g21 +Vopts +p8982 +tp8983 +a(g189 +V +tp8984 +a(g202 +V( +tp8985 +a(g57 +Vcar +p8986 +tp8987 +a(g73 +Vopt-desc +p8988 +tp8989 +a(g202 +V) +tp8990 +a(g202 +V) +tp8991 +a(g189 +V\u000a +p8992 +tp8993 +a(g202 +V( +tp8994 +a(g21 +Vopts-proper +p8995 +tp8996 +a(g189 +V +tp8997 +a(g202 +V( +tp8998 +a(g111 +Vif +p8999 +tp9000 +a(g202 +V( +tp9001 +a(g57 +Vstring? +p9002 +tp9003 +a(g202 +V( +tp9004 +a(g57 +Vcar +p9005 +tp9006 +a(g73 +Vopts +p9007 +tp9008 +a(g202 +V) +tp9009 +a(g202 +V) +tp9010 +a(g189 +V +tp9011 +a(g202 +V( +tp9012 +a(g57 +Vcdr +p9013 +tp9014 +a(g73 +Vopts +p9015 +tp9016 +a(g202 +V) +tp9017 +a(g189 +V +tp9018 +a(g73 +Vopts +p9019 +tp9020 +a(g202 +V) +tp9021 +a(g202 +V) +tp9022 +a(g189 +V\u000a +p9023 +tp9024 +a(g202 +V( +tp9025 +a(g21 +Varg-name +p9026 +tp9027 +a(g189 +V +tp9028 +a(g202 +V( +tp9029 +a(g111 +Vif +p9030 +tp9031 +a(g202 +V( +tp9032 +a(g57 +Vstring? +p9033 +tp9034 +a(g202 +V( +tp9035 +a(g57 +Vcar +p9036 +tp9037 +a(g73 +Vopts +p9038 +tp9039 +a(g202 +V) +tp9040 +a(g202 +V) +tp9041 +a(g189 +V\u000a +p9042 +tp9043 +a(g202 +V( +tp9044 +a(g57 +Vstring-append +p9045 +tp9046 +a(g226 +V"<" +p9047 +tp9048 +a(g189 +V +tp9049 +a(g202 +V( +tp9050 +a(g57 +Vcar +p9051 +tp9052 +a(g73 +Vopts +p9053 +tp9054 +a(g202 +V) +tp9055 +a(g189 +V +tp9056 +a(g226 +V">" +p9057 +tp9058 +a(g202 +V) +tp9059 +a(g189 +V\u000a +p9060 +tp9061 +a(g226 +V"" +p9062 +tp9063 +a(g202 +V) +tp9064 +a(g202 +V) +tp9065 +a(g189 +V\u000a +p9066 +tp9067 +a(g202 +V( +tp9068 +a(g21 +Vleft-part +p9069 +tp9070 +a(g189 +V +tp9071 +a(g202 +V( +tp9072 +a(g21 +Vstring-append +p9073 +tp9074 +a(g189 +V\u000a +p9075 +tp9076 +a(g202 +V( +tp9077 +a(g21 +Vwith-output-to-string +p9078 +tp9079 +a(g189 +V\u000a +p9080 +tp9081 +a(g202 +V( +tp9082 +a(g111 +Vlambda +p9083 +tp9084 +a(g202 +V( +tp9085 +a(g202 +V) +tp9086 +a(g189 +V\u000a +p9087 +tp9088 +a(g202 +V( +tp9089 +a(g57 +Vmap +p9090 +tp9091 +a(g202 +V( +tp9092 +a(g111 +Vlambda +p9093 +tp9094 +a(g202 +V( +tp9095 +a(g21 +Vx +tp9096 +a(g202 +V) +tp9097 +a(g189 +V +tp9098 +a(g202 +V( +tp9099 +a(g57 +Vdisplay +p9100 +tp9101 +a(g202 +V( +tp9102 +a(g21 +Vkeyword->symbol +p9103 +tp9104 +a(g189 +V +tp9105 +a(g73 +Vx +tp9106 +a(g202 +V) +tp9107 +a(g202 +V) +tp9108 +a(g189 +V +tp9109 +a(g202 +V( +tp9110 +a(g57 +Vdisplay +p9111 +tp9112 +a(g226 +V" " +p9113 +tp9114 +a(g202 +V) +tp9115 +a(g202 +V) +tp9116 +a(g189 +V\u000a +p9117 +tp9118 +a(g73 +Vopts-proper +p9119 +tp9120 +a(g202 +V) +tp9121 +a(g202 +V) +tp9122 +a(g202 +V) +tp9123 +a(g189 +V\u000a +p9124 +tp9125 +a(g73 +Varg-name +p9126 +tp9127 +a(g202 +V) +tp9128 +a(g202 +V) +tp9129 +a(g189 +V\u000a +p9130 +tp9131 +a(g202 +V( +tp9132 +a(g21 +Vmiddle-part +p9133 +tp9134 +a(g189 +V +tp9135 +a(g202 +V( +tp9136 +a(g111 +Vif +p9137 +tp9138 +a(g202 +V( +tp9139 +a(g111 +Vand +p9140 +tp9141 +a(g202 +V( +tp9142 +a(g57 +V< +p9143 +tp9144 +a(g202 +V( +tp9145 +a(g57 +Vstring-length +p9146 +tp9147 +a(g73 +Vleft-part +p9148 +tp9149 +a(g202 +V) +tp9150 +a(g189 +V +tp9151 +a(g318 +V30 +p9152 +tp9153 +a(g202 +V) +tp9154 +a(g189 +V\u000a +p9155 +tp9156 +a(g202 +V( +tp9157 +a(g57 +V< +p9158 +tp9159 +a(g202 +V( +tp9160 +a(g57 +Vstring-length +p9161 +tp9162 +a(g73 +Vhelp +p9163 +tp9164 +a(g202 +V) +tp9165 +a(g189 +V +tp9166 +a(g318 +V40 +p9167 +tp9168 +a(g202 +V) +tp9169 +a(g202 +V) +tp9170 +a(g189 +V\u000a +p9171 +tp9172 +a(g202 +V( +tp9173 +a(g57 +Vmake-string +p9174 +tp9175 +a(g202 +V( +tp9176 +a(g57 +V- +p9177 +tp9178 +a(g318 +V30 +p9179 +tp9180 +a(g189 +V +tp9181 +a(g202 +V( +tp9182 +a(g57 +Vstring-length +p9183 +tp9184 +a(g73 +Vleft-part +p9185 +tp9186 +a(g202 +V) +tp9187 +a(g202 +V) +tp9188 +a(g189 +V +tp9189 +a(g268 +V#\u005c +p9190 +tp9191 +a(g202 +V) +tp9192 +a(g189 +V\u000a +p9193 +tp9194 +a(g226 +V"\u005cn\u005ct" +p9195 +tp9196 +a(g202 +V) +tp9197 +a(g202 +V) +tp9198 +a(g202 +V) +tp9199 +a(g189 +V\u000a +p9200 +tp9201 +a(g202 +V( +tp9202 +a(g57 +Vdisplay +p9203 +tp9204 +a(g73 +Vleft-part +p9205 +tp9206 +a(g202 +V) +tp9207 +a(g189 +V\u000a +p9208 +tp9209 +a(g202 +V( +tp9210 +a(g57 +Vdisplay +p9211 +tp9212 +a(g73 +Vmiddle-part +p9213 +tp9214 +a(g202 +V) +tp9215 +a(g189 +V\u000a +p9216 +tp9217 +a(g202 +V( +tp9218 +a(g57 +Vdisplay +p9219 +tp9220 +a(g73 +Vhelp +p9221 +tp9222 +a(g202 +V) +tp9223 +a(g189 +V\u000a +p9224 +tp9225 +a(g202 +V( +tp9226 +a(g21 +Vnewline +p9227 +tp9228 +a(g202 +V) +tp9229 +a(g202 +V) +tp9230 +a(g202 +V) +tp9231 +a(g202 +V) +tp9232 +a(g189 +V\u000a +p9233 +tp9234 +a(g73 +Vkw-desc +p9235 +tp9236 +a(g202 +V) +tp9237 +a(g202 +V) +tp9238 +a(g189 +V\u000a\u000a\u000a\u000a +p9239 +tp9240 +a(g202 +V( +tp9241 +a(g111 +Vdefine +p9242 +tp9243 +a(g202 +V( +tp9244 +a(g21 +Vtransform-usage-lambda +p9245 +tp9246 +a(g189 +V +tp9247 +a(g73 +Vcases +p9248 +tp9249 +a(g202 +V) +tp9250 +a(g189 +V\u000a +p9251 +tp9252 +a(g202 +V( +tp9253 +a(g111 +Vlet* +p9254 +tp9255 +a(g202 +V( +tp9256 +a(g202 +V( +tp9257 +a(g21 +Vraw-usage +p9258 +tp9259 +a(g189 +V +tp9260 +a(g202 +V( +tp9261 +a(g21 +Vdelq! +p9262 +tp9263 +a(g189 +V +tp9264 +a(g248 +V'else +p9265 +tp9266 +a(g189 +V +tp9267 +a(g202 +V( +tp9268 +a(g57 +Vmap +p9269 +tp9270 +a(g73 +Vcar +p9271 +tp9272 +a(g189 +V +tp9273 +a(g73 +Vcases +p9274 +tp9275 +a(g202 +V) +tp9276 +a(g202 +V) +tp9277 +a(g202 +V) +tp9278 +a(g189 +V\u000a +p9279 +tp9280 +a(g202 +V( +tp9281 +a(g21 +Vusage-sans-specials +p9282 +tp9283 +a(g189 +V +tp9284 +a(g202 +V( +tp9285 +a(g57 +Vmap +p9286 +tp9287 +a(g202 +V( +tp9288 +a(g111 +Vlambda +p9289 +tp9290 +a(g202 +V( +tp9291 +a(g21 +Vx +tp9292 +a(g202 +V) +tp9293 +a(g189 +V\u000a +p9294 +tp9295 +a(g202 +V( +tp9296 +a(g111 +Vor +p9297 +tp9298 +a(g202 +V( +tp9299 +a(g111 +Vand +p9300 +tp9301 +a(g202 +V( +tp9302 +a(g57 +Vnot +p9303 +tp9304 +a(g202 +V( +tp9305 +a(g57 +Vlist? +p9306 +tp9307 +a(g73 +Vx +tp9308 +a(g202 +V) +tp9309 +a(g202 +V) +tp9310 +a(g189 +V +tp9311 +a(g73 +Vx +tp9312 +a(g202 +V) +tp9313 +a(g189 +V\u000a +p9314 +tp9315 +a(g202 +V( +tp9316 +a(g111 +Vand +p9317 +tp9318 +a(g202 +V( +tp9319 +a(g57 +Vsymbol? +p9320 +tp9321 +a(g202 +V( +tp9322 +a(g57 +Vcar +p9323 +tp9324 +a(g73 +Vx +tp9325 +a(g202 +V) +tp9326 +a(g202 +V) +tp9327 +a(g189 +V +tp9328 +a(g33 +V#t +p9329 +tp9330 +a(g202 +V) +tp9331 +a(g189 +V\u000a +p9332 +tp9333 +a(g202 +V( +tp9334 +a(g111 +Vand +p9335 +tp9336 +a(g202 +V( +tp9337 +a(g57 +Vboolean? +p9338 +tp9339 +a(g202 +V( +tp9340 +a(g57 +Vcar +p9341 +tp9342 +a(g73 +Vx +tp9343 +a(g202 +V) +tp9344 +a(g202 +V) +tp9345 +a(g189 +V +tp9346 +a(g33 +V#t +p9347 +tp9348 +a(g202 +V) +tp9349 +a(g189 +V\u000a +p9350 +tp9351 +a(g73 +Vx +tp9352 +a(g202 +V) +tp9353 +a(g202 +V) +tp9354 +a(g189 +V\u000a +p9355 +tp9356 +a(g73 +Vraw-usage +p9357 +tp9358 +a(g202 +V) +tp9359 +a(g202 +V) +tp9360 +a(g189 +V\u000a +p9361 +tp9362 +a(g202 +V( +tp9363 +a(g21 +Vusage-desc +p9364 +tp9365 +a(g189 +V +tp9366 +a(g202 +V( +tp9367 +a(g21 +Vdelq! +p9368 +tp9369 +a(g189 +V +tp9370 +a(g33 +V#t +p9371 +tp9372 +a(g189 +V +tp9373 +a(g73 +Vusage-sans-specials +p9374 +tp9375 +a(g202 +V) +tp9376 +a(g202 +V) +tp9377 +a(g189 +V\u000a +p9378 +tp9379 +a(g202 +V( +tp9380 +a(g21 +Vkw-desc +p9381 +tp9382 +a(g189 +V +tp9383 +a(g202 +V( +tp9384 +a(g57 +Vmap +p9385 +tp9386 +a(g73 +Vcar +p9387 +tp9388 +a(g189 +V +tp9389 +a(g73 +Vusage-desc +p9390 +tp9391 +a(g202 +V) +tp9392 +a(g202 +V) +tp9393 +a(g189 +V\u000a +p9394 +tp9395 +a(g202 +V( +tp9396 +a(g21 +Vkw-opts +p9397 +tp9398 +a(g189 +V +tp9399 +a(g202 +V( +tp9400 +a(g57 +Vapply +p9401 +tp9402 +a(g73 +Vappend +p9403 +tp9404 +a(g189 +V +tp9405 +a(g202 +V( +tp9406 +a(g57 +Vmap +p9407 +tp9408 +a(g202 +V( +tp9409 +a(g111 +Vlambda +p9410 +tp9411 +a(g202 +V( +tp9412 +a(g21 +Vx +tp9413 +a(g202 +V) +tp9414 +a(g189 +V +tp9415 +a(g202 +V( +tp9416 +a(g111 +Vand +p9417 +tp9418 +a(g202 +V( +tp9419 +a(g57 +Vnot +p9420 +tp9421 +a(g202 +V( +tp9422 +a(g57 +Vstring? +p9423 +tp9424 +a(g202 +V( +tp9425 +a(g57 +Vcar +p9426 +tp9427 +a(g73 +Vx +tp9428 +a(g202 +V) +tp9429 +a(g202 +V) +tp9430 +a(g202 +V) +tp9431 +a(g189 +V +tp9432 +a(g73 +Vx +tp9433 +a(g202 +V) +tp9434 +a(g202 +V) +tp9435 +a(g189 +V +tp9436 +a(g73 +Vkw-desc +p9437 +tp9438 +a(g202 +V) +tp9439 +a(g202 +V) +tp9440 +a(g202 +V) +tp9441 +a(g189 +V\u000a +p9442 +tp9443 +a(g202 +V( +tp9444 +a(g21 +Vkw-args +p9445 +tp9446 +a(g189 +V +tp9447 +a(g202 +V( +tp9448 +a(g57 +Vapply +p9449 +tp9450 +a(g73 +Vappend +p9451 +tp9452 +a(g189 +V +tp9453 +a(g202 +V( +tp9454 +a(g57 +Vmap +p9455 +tp9456 +a(g202 +V( +tp9457 +a(g111 +Vlambda +p9458 +tp9459 +a(g202 +V( +tp9460 +a(g21 +Vx +tp9461 +a(g202 +V) +tp9462 +a(g189 +V +tp9463 +a(g202 +V( +tp9464 +a(g111 +Vand +p9465 +tp9466 +a(g202 +V( +tp9467 +a(g57 +Vstring? +p9468 +tp9469 +a(g202 +V( +tp9470 +a(g57 +Vcar +p9471 +tp9472 +a(g73 +Vx +tp9473 +a(g202 +V) +tp9474 +a(g202 +V) +tp9475 +a(g189 +V +tp9476 +a(g202 +V( +tp9477 +a(g57 +Vcdr +p9478 +tp9479 +a(g73 +Vx +tp9480 +a(g202 +V) +tp9481 +a(g202 +V) +tp9482 +a(g202 +V) +tp9483 +a(g189 +V +tp9484 +a(g73 +Vkw-desc +p9485 +tp9486 +a(g202 +V) +tp9487 +a(g202 +V) +tp9488 +a(g202 +V) +tp9489 +a(g189 +V\u000a +p9490 +tp9491 +a(g202 +V( +tp9492 +a(g21 +Vtransmogrified-cases +p9493 +tp9494 +a(g189 +V +tp9495 +a(g202 +V( +tp9496 +a(g57 +Vmap +p9497 +tp9498 +a(g202 +V( +tp9499 +a(g111 +Vlambda +p9500 +tp9501 +a(g202 +V( +tp9502 +a(g21 +Vcase +p9503 +tp9504 +a(g202 +V) +tp9505 +a(g189 +V\u000a +p9506 +tp9507 +a(g202 +V( +tp9508 +a(g57 +Vcons +p9509 +tp9510 +a(g202 +V( +tp9511 +a(g111 +Vlet +p9512 +tp9513 +a(g202 +V( +tp9514 +a(g202 +V( +tp9515 +a(g21 +Vopts +p9516 +tp9517 +a(g189 +V +tp9518 +a(g202 +V( +tp9519 +a(g57 +Vcar +p9520 +tp9521 +a(g73 +Vcase +p9522 +tp9523 +a(g202 +V) +tp9524 +a(g202 +V) +tp9525 +a(g202 +V) +tp9526 +a(g189 +V\u000a +p9527 +tp9528 +a(g202 +V( +tp9529 +a(g111 +Vif +p9530 +tp9531 +a(g202 +V( +tp9532 +a(g111 +Vor +p9533 +tp9534 +a(g202 +V( +tp9535 +a(g57 +Vboolean? +p9536 +tp9537 +a(g73 +Vopts +p9538 +tp9539 +a(g202 +V) +tp9540 +a(g189 +V +tp9541 +a(g202 +V( +tp9542 +a(g57 +Veq? +p9543 +tp9544 +a(g248 +V'else +p9545 +tp9546 +a(g189 +V +tp9547 +a(g73 +Vopts +p9548 +tp9549 +a(g202 +V) +tp9550 +a(g202 +V) +tp9551 +a(g189 +V\u000a +p9552 +tp9553 +a(g73 +Vopts +p9554 +tp9555 +a(g189 +V\u000a +p9556 +tp9557 +a(g202 +V( +tp9558 +a(g21 +Vcond +p9559 +tp9560 +a(g189 +V\u000a +p9561 +tp9562 +a(g202 +V( +tp9563 +a(g202 +V( +tp9564 +a(g57 +Vsymbol? +p9565 +tp9566 +a(g202 +V( +tp9567 +a(g57 +Vcar +p9568 +tp9569 +a(g73 +Vopts +p9570 +tp9571 +a(g202 +V) +tp9572 +a(g202 +V) +tp9573 +a(g189 +V +p9574 +tp9575 +a(g73 +Vopts +p9576 +tp9577 +a(g202 +V) +tp9578 +a(g189 +V\u000a +p9579 +tp9580 +a(g202 +V( +tp9581 +a(g202 +V( +tp9582 +a(g57 +Vboolean? +p9583 +tp9584 +a(g202 +V( +tp9585 +a(g57 +Vcar +p9586 +tp9587 +a(g73 +Vopts +p9588 +tp9589 +a(g202 +V) +tp9590 +a(g202 +V) +tp9591 +a(g189 +V +tp9592 +a(g73 +Vopts +p9593 +tp9594 +a(g202 +V) +tp9595 +a(g189 +V\u000a +p9596 +tp9597 +a(g202 +V( +tp9598 +a(g202 +V( +tp9599 +a(g57 +Vstring? +p9600 +tp9601 +a(g202 +V( +tp9602 +a(g57 +Vcaar +p9603 +tp9604 +a(g73 +Vopts +p9605 +tp9606 +a(g202 +V) +tp9607 +a(g202 +V) +tp9608 +a(g189 +V +tp9609 +a(g202 +V( +tp9610 +a(g57 +Vcdar +p9611 +tp9612 +a(g73 +Vopts +p9613 +tp9614 +a(g202 +V) +tp9615 +a(g202 +V) +tp9616 +a(g189 +V\u000a +p9617 +tp9618 +a(g202 +V( +tp9619 +a(g111 +Velse +p9620 +tp9621 +a(g202 +V( +tp9622 +a(g57 +Vcar +p9623 +tp9624 +a(g73 +Vopts +p9625 +tp9626 +a(g202 +V) +tp9627 +a(g202 +V) +tp9628 +a(g202 +V) +tp9629 +a(g202 +V) +tp9630 +a(g202 +V) +tp9631 +a(g189 +V\u000a +p9632 +tp9633 +a(g202 +V( +tp9634 +a(g57 +Vcdr +p9635 +tp9636 +a(g73 +Vcase +p9637 +tp9638 +a(g202 +V) +tp9639 +a(g202 +V) +tp9640 +a(g202 +V) +tp9641 +a(g189 +V\u000a +p9642 +tp9643 +a(g73 +Vcases +p9644 +tp9645 +a(g202 +V) +tp9646 +a(g202 +V) +tp9647 +a(g202 +V) +tp9648 +a(g189 +V\u000a +p9649 +tp9650 +a(g343 +V` +tp9651 +a(g202 +V( +tp9652 +a(g111 +Vlet +p9653 +tp9654 +a(g202 +V( +tp9655 +a(g202 +V( +tp9656 +a(g21 +V%display-usage +p9657 +tp9658 +a(g189 +V +tp9659 +a(g202 +V( +tp9660 +a(g111 +Vlambda +p9661 +tp9662 +a(g202 +V( +tp9663 +a(g202 +V) +tp9664 +a(g189 +V +tp9665 +a(g202 +V( +tp9666 +a(g21 +Vdisplay-usage-report +p9667 +tp9668 +a(g189 +V +tp9669 +a(g248 +V',usage-desc +p9670 +tp9671 +a(g202 +V) +tp9672 +a(g202 +V) +tp9673 +a(g202 +V) +tp9674 +a(g202 +V) +tp9675 +a(g189 +V\u000a +p9676 +tp9677 +a(g202 +V( +tp9678 +a(g111 +Vlambda +p9679 +tp9680 +a(g202 +V( +tp9681 +a(g21 +V%argv +p9682 +tp9683 +a(g202 +V) +tp9684 +a(g189 +V\u000a +p9685 +tp9686 +a(g202 +V( +tp9687 +a(g111 +Vlet +p9688 +tp9689 +a(g73 +V%next-arg +p9690 +tp9691 +a(g189 +V +tp9692 +a(g202 +V( +tp9693 +a(g202 +V( +tp9694 +a(g21 +V%argv +p9695 +tp9696 +a(g189 +V +tp9697 +a(g73 +V%argv +p9698 +tp9699 +a(g202 +V) +tp9700 +a(g202 +V) +tp9701 +a(g189 +V\u000a +p9702 +tp9703 +a(g202 +V( +tp9704 +a(g21 +Vget-option +p9705 +tp9706 +a(g189 +V +tp9707 +a(g73 +V%argv +p9708 +tp9709 +a(g189 +V\u000a +p9710 +tp9711 +a(g248 +V',kw-opts +p9712 +tp9713 +a(g189 +V\u000a +p9714 +tp9715 +a(g248 +V',kw-args +p9716 +tp9717 +a(g189 +V\u000a +p9718 +tp9719 +a(g202 +V( +tp9720 +a(g111 +Vlambda +p9721 +tp9722 +a(g202 +V( +tp9723 +a(g21 +V%opt +p9724 +tp9725 +a(g189 +V +tp9726 +a(g73 +V%arg +p9727 +tp9728 +a(g189 +V +tp9729 +a(g73 +V%new-argv +p9730 +tp9731 +a(g202 +V) +tp9732 +a(g189 +V\u000a +p9733 +tp9734 +a(g202 +V( +tp9735 +a(g111 +Vcase +p9736 +tp9737 +a(g73 +V%opt +p9738 +tp9739 +a(g189 +V\u000a +p9740 +tp9741 +a(g343 +V,@ +p9742 +tp9743 +a(g189 +V +tp9744 +a(g73 +Vtransmogrified-cases +p9745 +tp9746 +a(g202 +V) +tp9747 +a(g202 +V) +tp9748 +a(g202 +V) +tp9749 +a(g202 +V) +tp9750 +a(g202 +V) +tp9751 +a(g202 +V) +tp9752 +a(g202 +V) +tp9753 +a(g202 +V) +tp9754 +a(g189 +V\u000a\u000a\u000a \u000a\u000a +p9755 +tp9756 +a(g7 +V;;; {Low Level Modules} +p9757 +tp9758 +a(g189 +V\u000a +tp9759 +a(g7 +V;;; +p9760 +tp9761 +a(g189 +V\u000a +tp9762 +a(g7 +V;;; These are the low level data structures for modules. +p9763 +tp9764 +a(g189 +V\u000a +tp9765 +a(g7 +V;;; +p9766 +tp9767 +a(g189 +V\u000a +tp9768 +a(g7 +V;;; !!! warning: The interface to lazy binder procedures is going +p9769 +tp9770 +a(g189 +V\u000a +tp9771 +a(g7 +V;;; to be changed in an incompatible way to permit all the basic +p9772 +tp9773 +a(g189 +V\u000a +tp9774 +a(g7 +V;;; module ops to be virtualized. +p9775 +tp9776 +a(g189 +V\u000a +tp9777 +a(g7 +V;;; +p9778 +tp9779 +a(g189 +V\u000a +tp9780 +a(g7 +V;;; (make-module size use-list lazy-binding-proc) => module +p9781 +tp9782 +a(g189 +V\u000a +tp9783 +a(g7 +V;;; module-{obarray,uses,binder}[|-set!] +p9784 +tp9785 +a(g189 +V\u000a +tp9786 +a(g7 +V;;; (module? obj) => [#t|#f] +p9787 +tp9788 +a(g189 +V\u000a +tp9789 +a(g7 +V;;; (module-locally-bound? module symbol) => [#t|#f] +p9790 +tp9791 +a(g189 +V\u000a +tp9792 +a(g7 +V;;; (module-bound? module symbol) => [#t|#f] +p9793 +tp9794 +a(g189 +V\u000a +tp9795 +a(g7 +V;;; (module-symbol-locally-interned? module symbol) => [#t|#f] +p9796 +tp9797 +a(g189 +V\u000a +tp9798 +a(g7 +V;;; (module-symbol-interned? module symbol) => [#t|#f] +p9799 +tp9800 +a(g189 +V\u000a +tp9801 +a(g7 +V;;; (module-local-variable module symbol) => [# | #f] +p9802 +tp9803 +a(g189 +V\u000a +tp9804 +a(g7 +V;;; (module-variable module symbol) => [# | #f] +p9805 +tp9806 +a(g189 +V\u000a +tp9807 +a(g7 +V;;; (module-symbol-binding module symbol opt-value) +p9808 +tp9809 +a(g189 +V\u000a +tp9810 +a(g7 +V;;; => [ | opt-value | an error occurs ] +p9811 +tp9812 +a(g189 +V\u000a +tp9813 +a(g7 +V;;; (module-make-local-var! module symbol) => # +p9814 +tp9815 +a(g189 +V\u000a +tp9816 +a(g7 +V;;; (module-add! module symbol var) => unspecified +p9817 +tp9818 +a(g189 +V\u000a +tp9819 +a(g7 +V;;; (module-remove! module symbol) => unspecified +p9820 +tp9821 +a(g189 +V\u000a +tp9822 +a(g7 +V;;; (module-for-each proc module) => unspecified +p9823 +tp9824 +a(g189 +V\u000a +tp9825 +a(g7 +V;;; (make-scm-module) => module ; a lazy copy of the symhash module +p9826 +tp9827 +a(g189 +V\u000a +tp9828 +a(g7 +V;;; (set-current-module module) => unspecified +p9829 +tp9830 +a(g189 +V\u000a +tp9831 +a(g7 +V;;; (current-module) => # +p9832 +tp9833 +a(g189 +V\u000a +tp9834 +a(g7 +V;;; +p9835 +tp9836 +a(g189 +V\u000a +tp9837 +a(g7 +V;;; +p9838 +tp9839 +a(g189 +V\u000a\u000a \u000a +p9840 +tp9841 +a(g7 +V;;; {Printing Modules} +p9842 +tp9843 +a(g189 +V\u000a +tp9844 +a(g7 +V;; This is how modules are printed. You can re-define it. +p9845 +tp9846 +a(g189 +V\u000a +tp9847 +a(g7 +V;; (Redefining is actually more complicated than simply redefining +p9848 +tp9849 +a(g189 +V\u000a +tp9850 +a(g7 +V;; %print-module because that would only change the binding and not +p9851 +tp9852 +a(g189 +V\u000a +tp9853 +a(g7 +V;; the value stored in the vtable that determines how record are +p9854 +tp9855 +a(g189 +V\u000a +tp9856 +a(g7 +V;; printed. Sigh.) +p9857 +tp9858 +a(g189 +V\u000a\u000a +p9859 +tp9860 +a(g202 +V( +tp9861 +a(g111 +Vdefine +p9862 +tp9863 +a(g202 +V( +tp9864 +a(g21 +V%print-module +p9865 +tp9866 +a(g189 +V +tp9867 +a(g73 +Vmod +p9868 +tp9869 +a(g189 +V +tp9870 +a(g73 +Vport +p9871 +tp9872 +a(g202 +V) +tp9873 +a(g189 +V +p9874 +tp9875 +a(g7 +V; unused args: depth length style table) +p9876 +tp9877 +a(g189 +V\u000a +p9878 +tp9879 +a(g202 +V( +tp9880 +a(g57 +Vdisplay +p9881 +tp9882 +a(g226 +V"#<" +p9883 +tp9884 +a(g189 +V +tp9885 +a(g73 +Vport +p9886 +tp9887 +a(g202 +V) +tp9888 +a(g189 +V\u000a +p9889 +tp9890 +a(g202 +V( +tp9891 +a(g57 +Vdisplay +p9892 +tp9893 +a(g202 +V( +tp9894 +a(g111 +Vor +p9895 +tp9896 +a(g202 +V( +tp9897 +a(g21 +Vmodule-kind +p9898 +tp9899 +a(g189 +V +tp9900 +a(g73 +Vmod +p9901 +tp9902 +a(g202 +V) +tp9903 +a(g189 +V +tp9904 +a(g226 +V"module" +p9905 +tp9906 +a(g202 +V) +tp9907 +a(g189 +V +tp9908 +a(g73 +Vport +p9909 +tp9910 +a(g202 +V) +tp9911 +a(g189 +V\u000a +p9912 +tp9913 +a(g202 +V( +tp9914 +a(g111 +Vlet +p9915 +tp9916 +a(g202 +V( +tp9917 +a(g202 +V( +tp9918 +a(g21 +Vname +p9919 +tp9920 +a(g189 +V +tp9921 +a(g202 +V( +tp9922 +a(g21 +Vmodule-name +p9923 +tp9924 +a(g189 +V +tp9925 +a(g73 +Vmod +p9926 +tp9927 +a(g202 +V) +tp9928 +a(g202 +V) +tp9929 +a(g202 +V) +tp9930 +a(g189 +V\u000a +p9931 +tp9932 +a(g202 +V( +tp9933 +a(g111 +Vif +p9934 +tp9935 +a(g73 +Vname +p9936 +tp9937 +a(g189 +V\u000a +p9938 +tp9939 +a(g202 +V( +tp9940 +a(g21 +Vbegin +p9941 +tp9942 +a(g189 +V\u000a +p9943 +tp9944 +a(g202 +V( +tp9945 +a(g57 +Vdisplay +p9946 +tp9947 +a(g226 +V" " +p9948 +tp9949 +a(g189 +V +tp9950 +a(g73 +Vport +p9951 +tp9952 +a(g202 +V) +tp9953 +a(g189 +V\u000a +p9954 +tp9955 +a(g202 +V( +tp9956 +a(g57 +Vdisplay +p9957 +tp9958 +a(g73 +Vname +p9959 +tp9960 +a(g189 +V +tp9961 +a(g73 +Vport +p9962 +tp9963 +a(g202 +V) +tp9964 +a(g202 +V) +tp9965 +a(g202 +V) +tp9966 +a(g202 +V) +tp9967 +a(g189 +V\u000a +p9968 +tp9969 +a(g202 +V( +tp9970 +a(g57 +Vdisplay +p9971 +tp9972 +a(g226 +V" " +p9973 +tp9974 +a(g189 +V +tp9975 +a(g73 +Vport +p9976 +tp9977 +a(g202 +V) +tp9978 +a(g189 +V\u000a +p9979 +tp9980 +a(g202 +V( +tp9981 +a(g57 +Vdisplay +p9982 +tp9983 +a(g202 +V( +tp9984 +a(g57 +Vnumber->string +p9985 +tp9986 +a(g202 +V( +tp9987 +a(g21 +Vobject-address +p9988 +tp9989 +a(g189 +V +tp9990 +a(g73 +Vmod +p9991 +tp9992 +a(g202 +V) +tp9993 +a(g189 +V +tp9994 +a(g318 +V16 +p9995 +tp9996 +a(g202 +V) +tp9997 +a(g189 +V +tp9998 +a(g73 +Vport +p9999 +tp10000 +a(g202 +V) +tp10001 +a(g189 +V\u000a +p10002 +tp10003 +a(g202 +V( +tp10004 +a(g57 +Vdisplay +p10005 +tp10006 +a(g226 +V">" +p10007 +tp10008 +a(g189 +V +tp10009 +a(g73 +Vport +p10010 +tp10011 +a(g202 +V) +tp10012 +a(g202 +V) +tp10013 +a(g189 +V\u000a\u000a +p10014 +tp10015 +a(g7 +V;; module-type +p10016 +tp10017 +a(g189 +V\u000a +tp10018 +a(g7 +V;; +p10019 +tp10020 +a(g189 +V\u000a +tp10021 +a(g7 +V;; A module is characterized by an obarray in which local symbols +p10022 +tp10023 +a(g189 +V\u000a +tp10024 +a(g7 +V;; are interned, a list of modules, "uses", from which non-local +p10025 +tp10026 +a(g189 +V\u000a +tp10027 +a(g7 +V;; bindings can be inherited, and an optional lazy-binder which +p10028 +tp10029 +a(g189 +V\u000a +tp10030 +a(g7 +V;; is a (CLOSURE module symbol) which, as a last resort, can provide +p10031 +tp10032 +a(g189 +V\u000a +tp10033 +a(g7 +V;; bindings that would otherwise not be found locally in the module. +p10034 +tp10035 +a(g189 +V\u000a +tp10036 +a(g7 +V;; +p10037 +tp10038 +a(g189 +V\u000a +tp10039 +a(g7 +V;; NOTE: If you change here, you also need to change libguile/modules.h. +p10040 +tp10041 +a(g189 +V\u000a +tp10042 +a(g7 +V;; +p10043 +tp10044 +a(g189 +V\u000a +tp10045 +a(g202 +V( +tp10046 +a(g111 +Vdefine +p10047 +tp10048 +a(g73 +Vmodule-type +p10049 +tp10050 +a(g189 +V\u000a +p10051 +tp10052 +a(g202 +V( +tp10053 +a(g21 +Vmake-record-type +p10054 +tp10055 +a(g189 +V +tp10056 +a(g248 +V'module +p10057 +tp10058 +a(g189 +V\u000a +p10059 +tp10060 +a(g343 +V' +tp10061 +a(g202 +V( +tp10062 +a(g73 +Vobarray +p10063 +tp10064 +a(g189 +V +tp10065 +a(g73 +Vuses +p10066 +tp10067 +a(g189 +V +tp10068 +a(g73 +Vbinder +p10069 +tp10070 +a(g189 +V +tp10071 +a(g73 +Veval-closure +p10072 +tp10073 +a(g189 +V +tp10074 +a(g73 +Vtransformer +p10075 +tp10076 +a(g189 +V +tp10077 +a(g73 +Vname +p10078 +tp10079 +a(g189 +V +tp10080 +a(g73 +Vkind +p10081 +tp10082 +a(g189 +V\u000a +p10083 +tp10084 +a(g73 +Vobservers +p10085 +tp10086 +a(g189 +V +tp10087 +a(g73 +Vweak-observers +p10088 +tp10089 +a(g189 +V +tp10090 +a(g73 +Vobserver-id +p10091 +tp10092 +a(g202 +V) +tp10093 +a(g189 +V\u000a +p10094 +tp10095 +a(g73 +V%print-module +p10096 +tp10097 +a(g202 +V) +tp10098 +a(g202 +V) +tp10099 +a(g189 +V\u000a\u000a +p10100 +tp10101 +a(g7 +V;; make-module &opt size uses binder +p10102 +tp10103 +a(g189 +V\u000a +tp10104 +a(g7 +V;; +p10105 +tp10106 +a(g189 +V\u000a +tp10107 +a(g7 +V;; Create a new module, perhaps with a particular size of obarray, +p10108 +tp10109 +a(g189 +V\u000a +tp10110 +a(g7 +V;; initial uses list, or binding procedure. +p10111 +tp10112 +a(g189 +V\u000a +tp10113 +a(g7 +V;; +p10114 +tp10115 +a(g189 +V\u000a +tp10116 +a(g202 +V( +tp10117 +a(g111 +Vdefine +p10118 +tp10119 +a(g73 +Vmake-module +p10120 +tp10121 +a(g189 +V\u000a +p10122 +tp10123 +a(g202 +V( +tp10124 +a(g111 +Vlambda +p10125 +tp10126 +a(g73 +Vargs +p10127 +tp10128 +a(g189 +V\u000a\u000a +p10129 +tp10130 +a(g202 +V( +tp10131 +a(g111 +Vdefine +p10132 +tp10133 +a(g202 +V( +tp10134 +a(g21 +Vparse-arg +p10135 +tp10136 +a(g189 +V +tp10137 +a(g73 +Vindex +p10138 +tp10139 +a(g189 +V +tp10140 +a(g73 +Vdefault +p10141 +tp10142 +a(g202 +V) +tp10143 +a(g189 +V\u000a +p10144 +tp10145 +a(g202 +V( +tp10146 +a(g111 +Vif +p10147 +tp10148 +a(g202 +V( +tp10149 +a(g57 +V> +p10150 +tp10151 +a(g202 +V( +tp10152 +a(g57 +Vlength +p10153 +tp10154 +a(g73 +Vargs +p10155 +tp10156 +a(g202 +V) +tp10157 +a(g189 +V +tp10158 +a(g73 +Vindex +p10159 +tp10160 +a(g202 +V) +tp10161 +a(g189 +V\u000a +p10162 +tp10163 +a(g202 +V( +tp10164 +a(g57 +Vlist-ref +p10165 +tp10166 +a(g73 +Vargs +p10167 +tp10168 +a(g189 +V +tp10169 +a(g73 +Vindex +p10170 +tp10171 +a(g202 +V) +tp10172 +a(g189 +V\u000a +p10173 +tp10174 +a(g73 +Vdefault +p10175 +tp10176 +a(g202 +V) +tp10177 +a(g202 +V) +tp10178 +a(g189 +V\u000a\u000a +p10179 +tp10180 +a(g202 +V( +tp10181 +a(g111 +Vif +p10182 +tp10183 +a(g202 +V( +tp10184 +a(g57 +V> +p10185 +tp10186 +a(g202 +V( +tp10187 +a(g57 +Vlength +p10188 +tp10189 +a(g73 +Vargs +p10190 +tp10191 +a(g202 +V) +tp10192 +a(g189 +V +tp10193 +a(g318 +V3 +tp10194 +a(g202 +V) +tp10195 +a(g189 +V\u000a +p10196 +tp10197 +a(g202 +V( +tp10198 +a(g21 +Verror +p10199 +tp10200 +a(g189 +V +tp10201 +a(g226 +V"Too many args to make-module." +p10202 +tp10203 +a(g189 +V +tp10204 +a(g73 +Vargs +p10205 +tp10206 +a(g202 +V) +tp10207 +a(g202 +V) +tp10208 +a(g189 +V\u000a\u000a +p10209 +tp10210 +a(g202 +V( +tp10211 +a(g111 +Vlet +p10212 +tp10213 +a(g202 +V( +tp10214 +a(g202 +V( +tp10215 +a(g21 +Vsize +p10216 +tp10217 +a(g189 +V +tp10218 +a(g202 +V( +tp10219 +a(g21 +Vparse-arg +p10220 +tp10221 +a(g189 +V +tp10222 +a(g318 +V0 +tp10223 +a(g189 +V +tp10224 +a(g318 +V1021 +p10225 +tp10226 +a(g202 +V) +tp10227 +a(g202 +V) +tp10228 +a(g189 +V\u000a +p10229 +tp10230 +a(g202 +V( +tp10231 +a(g21 +Vuses +p10232 +tp10233 +a(g189 +V +tp10234 +a(g202 +V( +tp10235 +a(g21 +Vparse-arg +p10236 +tp10237 +a(g189 +V +tp10238 +a(g318 +V1 +tp10239 +a(g189 +V +tp10240 +a(g343 +V' +tp10241 +a(g202 +V( +tp10242 +a(g202 +V) +tp10243 +a(g202 +V) +tp10244 +a(g202 +V) +tp10245 +a(g189 +V\u000a +p10246 +tp10247 +a(g202 +V( +tp10248 +a(g21 +Vbinder +p10249 +tp10250 +a(g189 +V +tp10251 +a(g202 +V( +tp10252 +a(g21 +Vparse-arg +p10253 +tp10254 +a(g189 +V +tp10255 +a(g318 +V2 +tp10256 +a(g189 +V +tp10257 +a(g33 +V#f +p10258 +tp10259 +a(g202 +V) +tp10260 +a(g202 +V) +tp10261 +a(g202 +V) +tp10262 +a(g189 +V\u000a\u000a +p10263 +tp10264 +a(g202 +V( +tp10265 +a(g111 +Vif +p10266 +tp10267 +a(g202 +V( +tp10268 +a(g57 +Vnot +p10269 +tp10270 +a(g202 +V( +tp10271 +a(g57 +Vinteger? +p10272 +tp10273 +a(g73 +Vsize +p10274 +tp10275 +a(g202 +V) +tp10276 +a(g202 +V) +tp10277 +a(g189 +V\u000a +p10278 +tp10279 +a(g202 +V( +tp10280 +a(g21 +Verror +p10281 +tp10282 +a(g189 +V +tp10283 +a(g226 +V"Illegal size to make-module." +p10284 +tp10285 +a(g189 +V +tp10286 +a(g73 +Vsize +p10287 +tp10288 +a(g202 +V) +tp10289 +a(g202 +V) +tp10290 +a(g189 +V\u000a +p10291 +tp10292 +a(g202 +V( +tp10293 +a(g111 +Vif +p10294 +tp10295 +a(g202 +V( +tp10296 +a(g57 +Vnot +p10297 +tp10298 +a(g202 +V( +tp10299 +a(g111 +Vand +p10300 +tp10301 +a(g202 +V( +tp10302 +a(g57 +Vlist? +p10303 +tp10304 +a(g73 +Vuses +p10305 +tp10306 +a(g202 +V) +tp10307 +a(g189 +V\u000a +p10308 +tp10309 +a(g202 +V( +tp10310 +a(g21 +Vand-map +p10311 +tp10312 +a(g189 +V +tp10313 +a(g73 +Vmodule? +p10314 +tp10315 +a(g189 +V +tp10316 +a(g73 +Vuses +p10317 +tp10318 +a(g202 +V) +tp10319 +a(g202 +V) +tp10320 +a(g202 +V) +tp10321 +a(g189 +V\u000a +p10322 +tp10323 +a(g202 +V( +tp10324 +a(g21 +Verror +p10325 +tp10326 +a(g189 +V +tp10327 +a(g226 +V"Incorrect use list." +p10328 +tp10329 +a(g189 +V +tp10330 +a(g73 +Vuses +p10331 +tp10332 +a(g202 +V) +tp10333 +a(g202 +V) +tp10334 +a(g189 +V\u000a +p10335 +tp10336 +a(g202 +V( +tp10337 +a(g111 +Vif +p10338 +tp10339 +a(g202 +V( +tp10340 +a(g111 +Vand +p10341 +tp10342 +a(g73 +Vbinder +p10343 +tp10344 +a(g189 +V +tp10345 +a(g202 +V( +tp10346 +a(g57 +Vnot +p10347 +tp10348 +a(g202 +V( +tp10349 +a(g57 +Vprocedure? +p10350 +tp10351 +a(g73 +Vbinder +p10352 +tp10353 +a(g202 +V) +tp10354 +a(g202 +V) +tp10355 +a(g202 +V) +tp10356 +a(g189 +V\u000a +p10357 +tp10358 +a(g202 +V( +tp10359 +a(g21 +Verror +p10360 +tp10361 +a(g189 +V\u000a +p10362 +tp10363 +a(g226 +V"Lazy-binder expected to be a procedure or #f." +p10364 +tp10365 +a(g189 +V +tp10366 +a(g73 +Vbinder +p10367 +tp10368 +a(g202 +V) +tp10369 +a(g202 +V) +tp10370 +a(g189 +V\u000a\u000a +p10371 +tp10372 +a(g202 +V( +tp10373 +a(g111 +Vlet +p10374 +tp10375 +a(g202 +V( +tp10376 +a(g202 +V( +tp10377 +a(g21 +Vmodule +p10378 +tp10379 +a(g189 +V +tp10380 +a(g202 +V( +tp10381 +a(g21 +Vmodule-constructor +p10382 +tp10383 +a(g189 +V +tp10384 +a(g202 +V( +tp10385 +a(g57 +Vmake-vector +p10386 +tp10387 +a(g73 +Vsize +p10388 +tp10389 +a(g189 +V +tp10390 +a(g343 +V' +tp10391 +a(g202 +V( +tp10392 +a(g202 +V) +tp10393 +a(g202 +V) +tp10394 +a(g189 +V\u000a +p10395 +tp10396 +a(g73 +Vuses +p10397 +tp10398 +a(g189 +V +tp10399 +a(g73 +Vbinder +p10400 +tp10401 +a(g189 +V +tp10402 +a(g33 +V#f +p10403 +tp10404 +a(g189 +V +tp10405 +a(g33 +V#f +p10406 +tp10407 +a(g189 +V +tp10408 +a(g33 +V#f +p10409 +tp10410 +a(g189 +V +tp10411 +a(g33 +V#f +p10412 +tp10413 +a(g189 +V\u000a +p10414 +tp10415 +a(g343 +V' +tp10416 +a(g202 +V( +tp10417 +a(g202 +V) +tp10418 +a(g189 +V\u000a +p10419 +tp10420 +a(g202 +V( +tp10421 +a(g21 +Vmake-weak-value-hash-table +p10422 +tp10423 +a(g189 +V +tp10424 +a(g318 +V31 +p10425 +tp10426 +a(g202 +V) +tp10427 +a(g189 +V\u000a +p10428 +tp10429 +a(g318 +V0 +tp10430 +a(g202 +V) +tp10431 +a(g202 +V) +tp10432 +a(g202 +V) +tp10433 +a(g189 +V\u000a\u000a +p10434 +tp10435 +a(g7 +V;; We can't pass this as an argument to module-constructor, +p10436 +tp10437 +a(g189 +V\u000a +p10438 +tp10439 +a(g7 +V;; because we need it to close over a pointer to the module +p10440 +tp10441 +a(g189 +V\u000a +p10442 +tp10443 +a(g7 +V;; itself. +p10444 +tp10445 +a(g189 +V\u000a +p10446 +tp10447 +a(g202 +V( +tp10448 +a(g21 +Vset-module-eval-closure! +p10449 +tp10450 +a(g189 +V +tp10451 +a(g73 +Vmodule +p10452 +tp10453 +a(g189 +V +tp10454 +a(g202 +V( +tp10455 +a(g21 +Vstandard-eval-closure +p10456 +tp10457 +a(g189 +V +tp10458 +a(g73 +Vmodule +p10459 +tp10460 +a(g202 +V) +tp10461 +a(g202 +V) +tp10462 +a(g189 +V\u000a\u000a +p10463 +tp10464 +a(g73 +Vmodule +p10465 +tp10466 +a(g202 +V) +tp10467 +a(g202 +V) +tp10468 +a(g202 +V) +tp10469 +a(g202 +V) +tp10470 +a(g189 +V\u000a\u000a +p10471 +tp10472 +a(g202 +V( +tp10473 +a(g111 +Vdefine +p10474 +tp10475 +a(g73 +Vmodule-constructor +p10476 +tp10477 +a(g189 +V +tp10478 +a(g202 +V( +tp10479 +a(g21 +Vrecord-constructor +p10480 +tp10481 +a(g189 +V +tp10482 +a(g73 +Vmodule-type +p10483 +tp10484 +a(g202 +V) +tp10485 +a(g202 +V) +tp10486 +a(g189 +V\u000a +tp10487 +a(g202 +V( +tp10488 +a(g111 +Vdefine +p10489 +tp10490 +a(g73 +Vmodule-obarray +p10491 +tp10492 +a(g189 +V +p10493 +tp10494 +a(g202 +V( +tp10495 +a(g21 +Vrecord-accessor +p10496 +tp10497 +a(g189 +V +tp10498 +a(g73 +Vmodule-type +p10499 +tp10500 +a(g189 +V +tp10501 +a(g248 +V'obarray +p10502 +tp10503 +a(g202 +V) +tp10504 +a(g202 +V) +tp10505 +a(g189 +V\u000a +tp10506 +a(g202 +V( +tp10507 +a(g111 +Vdefine +p10508 +tp10509 +a(g73 +Vset-module-obarray! +p10510 +tp10511 +a(g189 +V +tp10512 +a(g202 +V( +tp10513 +a(g21 +Vrecord-modifier +p10514 +tp10515 +a(g189 +V +tp10516 +a(g73 +Vmodule-type +p10517 +tp10518 +a(g189 +V +tp10519 +a(g248 +V'obarray +p10520 +tp10521 +a(g202 +V) +tp10522 +a(g202 +V) +tp10523 +a(g189 +V\u000a +tp10524 +a(g202 +V( +tp10525 +a(g111 +Vdefine +p10526 +tp10527 +a(g73 +Vmodule-uses +p10528 +tp10529 +a(g189 +V +p10530 +tp10531 +a(g202 +V( +tp10532 +a(g21 +Vrecord-accessor +p10533 +tp10534 +a(g189 +V +tp10535 +a(g73 +Vmodule-type +p10536 +tp10537 +a(g189 +V +tp10538 +a(g248 +V'uses +p10539 +tp10540 +a(g202 +V) +tp10541 +a(g202 +V) +tp10542 +a(g189 +V\u000a +tp10543 +a(g202 +V( +tp10544 +a(g111 +Vdefine +p10545 +tp10546 +a(g73 +Vset-module-uses! +p10547 +tp10548 +a(g189 +V +tp10549 +a(g202 +V( +tp10550 +a(g21 +Vrecord-modifier +p10551 +tp10552 +a(g189 +V +tp10553 +a(g73 +Vmodule-type +p10554 +tp10555 +a(g189 +V +tp10556 +a(g248 +V'uses +p10557 +tp10558 +a(g202 +V) +tp10559 +a(g202 +V) +tp10560 +a(g189 +V\u000a +tp10561 +a(g202 +V( +tp10562 +a(g111 +Vdefine +p10563 +tp10564 +a(g73 +Vmodule-binder +p10565 +tp10566 +a(g189 +V +tp10567 +a(g202 +V( +tp10568 +a(g21 +Vrecord-accessor +p10569 +tp10570 +a(g189 +V +tp10571 +a(g73 +Vmodule-type +p10572 +tp10573 +a(g189 +V +tp10574 +a(g248 +V'binder +p10575 +tp10576 +a(g202 +V) +tp10577 +a(g202 +V) +tp10578 +a(g189 +V\u000a +tp10579 +a(g202 +V( +tp10580 +a(g111 +Vdefine +p10581 +tp10582 +a(g73 +Vset-module-binder! +p10583 +tp10584 +a(g189 +V +tp10585 +a(g202 +V( +tp10586 +a(g21 +Vrecord-modifier +p10587 +tp10588 +a(g189 +V +tp10589 +a(g73 +Vmodule-type +p10590 +tp10591 +a(g189 +V +tp10592 +a(g248 +V'binder +p10593 +tp10594 +a(g202 +V) +tp10595 +a(g202 +V) +tp10596 +a(g189 +V\u000a\u000a +p10597 +tp10598 +a(g7 +V;; NOTE: This binding is used in libguile/modules.c. +p10599 +tp10600 +a(g189 +V\u000a +tp10601 +a(g202 +V( +tp10602 +a(g111 +Vdefine +p10603 +tp10604 +a(g73 +Vmodule-eval-closure +p10605 +tp10606 +a(g189 +V +tp10607 +a(g202 +V( +tp10608 +a(g21 +Vrecord-accessor +p10609 +tp10610 +a(g189 +V +tp10611 +a(g73 +Vmodule-type +p10612 +tp10613 +a(g189 +V +tp10614 +a(g248 +V'eval-closure +p10615 +tp10616 +a(g202 +V) +tp10617 +a(g202 +V) +tp10618 +a(g189 +V\u000a\u000a +p10619 +tp10620 +a(g202 +V( +tp10621 +a(g111 +Vdefine +p10622 +tp10623 +a(g73 +Vmodule-transformer +p10624 +tp10625 +a(g189 +V +tp10626 +a(g202 +V( +tp10627 +a(g21 +Vrecord-accessor +p10628 +tp10629 +a(g189 +V +tp10630 +a(g73 +Vmodule-type +p10631 +tp10632 +a(g189 +V +tp10633 +a(g248 +V'transformer +p10634 +tp10635 +a(g202 +V) +tp10636 +a(g202 +V) +tp10637 +a(g189 +V\u000a +tp10638 +a(g202 +V( +tp10639 +a(g111 +Vdefine +p10640 +tp10641 +a(g73 +Vset-module-transformer! +p10642 +tp10643 +a(g189 +V +tp10644 +a(g202 +V( +tp10645 +a(g21 +Vrecord-modifier +p10646 +tp10647 +a(g189 +V +tp10648 +a(g73 +Vmodule-type +p10649 +tp10650 +a(g189 +V +tp10651 +a(g248 +V'transformer +p10652 +tp10653 +a(g202 +V) +tp10654 +a(g202 +V) +tp10655 +a(g189 +V\u000a +tp10656 +a(g202 +V( +tp10657 +a(g111 +Vdefine +p10658 +tp10659 +a(g73 +Vmodule-name +p10660 +tp10661 +a(g189 +V +tp10662 +a(g202 +V( +tp10663 +a(g21 +Vrecord-accessor +p10664 +tp10665 +a(g189 +V +tp10666 +a(g73 +Vmodule-type +p10667 +tp10668 +a(g189 +V +tp10669 +a(g248 +V'name +p10670 +tp10671 +a(g202 +V) +tp10672 +a(g202 +V) +tp10673 +a(g189 +V\u000a +tp10674 +a(g202 +V( +tp10675 +a(g111 +Vdefine +p10676 +tp10677 +a(g73 +Vset-module-name! +p10678 +tp10679 +a(g189 +V +tp10680 +a(g202 +V( +tp10681 +a(g21 +Vrecord-modifier +p10682 +tp10683 +a(g189 +V +tp10684 +a(g73 +Vmodule-type +p10685 +tp10686 +a(g189 +V +tp10687 +a(g248 +V'name +p10688 +tp10689 +a(g202 +V) +tp10690 +a(g202 +V) +tp10691 +a(g189 +V\u000a +tp10692 +a(g202 +V( +tp10693 +a(g111 +Vdefine +p10694 +tp10695 +a(g73 +Vmodule-kind +p10696 +tp10697 +a(g189 +V +tp10698 +a(g202 +V( +tp10699 +a(g21 +Vrecord-accessor +p10700 +tp10701 +a(g189 +V +tp10702 +a(g73 +Vmodule-type +p10703 +tp10704 +a(g189 +V +tp10705 +a(g248 +V'kind +p10706 +tp10707 +a(g202 +V) +tp10708 +a(g202 +V) +tp10709 +a(g189 +V\u000a +tp10710 +a(g202 +V( +tp10711 +a(g111 +Vdefine +p10712 +tp10713 +a(g73 +Vset-module-kind! +p10714 +tp10715 +a(g189 +V +tp10716 +a(g202 +V( +tp10717 +a(g21 +Vrecord-modifier +p10718 +tp10719 +a(g189 +V +tp10720 +a(g73 +Vmodule-type +p10721 +tp10722 +a(g189 +V +tp10723 +a(g248 +V'kind +p10724 +tp10725 +a(g202 +V) +tp10726 +a(g202 +V) +tp10727 +a(g189 +V\u000a +tp10728 +a(g202 +V( +tp10729 +a(g111 +Vdefine +p10730 +tp10731 +a(g73 +Vmodule-observers +p10732 +tp10733 +a(g189 +V +tp10734 +a(g202 +V( +tp10735 +a(g21 +Vrecord-accessor +p10736 +tp10737 +a(g189 +V +tp10738 +a(g73 +Vmodule-type +p10739 +tp10740 +a(g189 +V +tp10741 +a(g248 +V'observers +p10742 +tp10743 +a(g202 +V) +tp10744 +a(g202 +V) +tp10745 +a(g189 +V\u000a +tp10746 +a(g202 +V( +tp10747 +a(g111 +Vdefine +p10748 +tp10749 +a(g73 +Vset-module-observers! +p10750 +tp10751 +a(g189 +V +tp10752 +a(g202 +V( +tp10753 +a(g21 +Vrecord-modifier +p10754 +tp10755 +a(g189 +V +tp10756 +a(g73 +Vmodule-type +p10757 +tp10758 +a(g189 +V +tp10759 +a(g248 +V'observers +p10760 +tp10761 +a(g202 +V) +tp10762 +a(g202 +V) +tp10763 +a(g189 +V\u000a +tp10764 +a(g202 +V( +tp10765 +a(g111 +Vdefine +p10766 +tp10767 +a(g73 +Vmodule-weak-observers +p10768 +tp10769 +a(g189 +V +tp10770 +a(g202 +V( +tp10771 +a(g21 +Vrecord-accessor +p10772 +tp10773 +a(g189 +V +tp10774 +a(g73 +Vmodule-type +p10775 +tp10776 +a(g189 +V +tp10777 +a(g248 +V'weak-observers +p10778 +tp10779 +a(g202 +V) +tp10780 +a(g202 +V) +tp10781 +a(g189 +V\u000a +tp10782 +a(g202 +V( +tp10783 +a(g111 +Vdefine +p10784 +tp10785 +a(g73 +Vmodule-observer-id +p10786 +tp10787 +a(g189 +V +tp10788 +a(g202 +V( +tp10789 +a(g21 +Vrecord-accessor +p10790 +tp10791 +a(g189 +V +tp10792 +a(g73 +Vmodule-type +p10793 +tp10794 +a(g189 +V +tp10795 +a(g248 +V'observer-id +p10796 +tp10797 +a(g202 +V) +tp10798 +a(g202 +V) +tp10799 +a(g189 +V\u000a +tp10800 +a(g202 +V( +tp10801 +a(g111 +Vdefine +p10802 +tp10803 +a(g73 +Vset-module-observer-id! +p10804 +tp10805 +a(g189 +V +tp10806 +a(g202 +V( +tp10807 +a(g21 +Vrecord-modifier +p10808 +tp10809 +a(g189 +V +tp10810 +a(g73 +Vmodule-type +p10811 +tp10812 +a(g189 +V +tp10813 +a(g248 +V'observer-id +p10814 +tp10815 +a(g202 +V) +tp10816 +a(g202 +V) +tp10817 +a(g189 +V\u000a +tp10818 +a(g202 +V( +tp10819 +a(g111 +Vdefine +p10820 +tp10821 +a(g73 +Vmodule? +p10822 +tp10823 +a(g189 +V +tp10824 +a(g202 +V( +tp10825 +a(g21 +Vrecord-predicate +p10826 +tp10827 +a(g189 +V +tp10828 +a(g73 +Vmodule-type +p10829 +tp10830 +a(g202 +V) +tp10831 +a(g202 +V) +tp10832 +a(g189 +V\u000a\u000a +p10833 +tp10834 +a(g202 +V( +tp10835 +a(g111 +Vdefine +p10836 +tp10837 +a(g73 +Vset-module-eval-closure! +p10838 +tp10839 +a(g189 +V\u000a +p10840 +tp10841 +a(g202 +V( +tp10842 +a(g111 +Vlet +p10843 +tp10844 +a(g202 +V( +tp10845 +a(g202 +V( +tp10846 +a(g21 +Vsetter +p10847 +tp10848 +a(g189 +V +tp10849 +a(g202 +V( +tp10850 +a(g21 +Vrecord-modifier +p10851 +tp10852 +a(g189 +V +tp10853 +a(g73 +Vmodule-type +p10854 +tp10855 +a(g189 +V +tp10856 +a(g248 +V'eval-closure +p10857 +tp10858 +a(g202 +V) +tp10859 +a(g202 +V) +tp10860 +a(g202 +V) +tp10861 +a(g189 +V\u000a +p10862 +tp10863 +a(g202 +V( +tp10864 +a(g111 +Vlambda +p10865 +tp10866 +a(g202 +V( +tp10867 +a(g21 +Vmodule +p10868 +tp10869 +a(g189 +V +tp10870 +a(g73 +Vclosure +p10871 +tp10872 +a(g202 +V) +tp10873 +a(g189 +V\u000a +p10874 +tp10875 +a(g202 +V( +tp10876 +a(g21 +Vsetter +p10877 +tp10878 +a(g189 +V +tp10879 +a(g73 +Vmodule +p10880 +tp10881 +a(g189 +V +tp10882 +a(g73 +Vclosure +p10883 +tp10884 +a(g202 +V) +tp10885 +a(g189 +V\u000a +p10886 +tp10887 +a(g7 +V;; Make it possible to lookup the module from the environment. +p10888 +tp10889 +a(g189 +V\u000a +p10890 +tp10891 +a(g7 +V;; This implementation is correct since an eval closure can belong +p10892 +tp10893 +a(g189 +V\u000a +p10894 +tp10895 +a(g7 +V;; to maximally one module. +p10896 +tp10897 +a(g189 +V\u000a +p10898 +tp10899 +a(g202 +V( +tp10900 +a(g21 +Vset-procedure-property! +p10901 +tp10902 +a(g189 +V +tp10903 +a(g73 +Vclosure +p10904 +tp10905 +a(g189 +V +tp10906 +a(g248 +V'module +p10907 +tp10908 +a(g189 +V +tp10909 +a(g73 +Vmodule +p10910 +tp10911 +a(g202 +V) +tp10912 +a(g202 +V) +tp10913 +a(g202 +V) +tp10914 +a(g202 +V) +tp10915 +a(g189 +V\u000a\u000a +p10916 +tp10917 +a(g202 +V( +tp10918 +a(g21 +Vbegin-deprecated +p10919 +tp10920 +a(g189 +V\u000a +p10921 +tp10922 +a(g202 +V( +tp10923 +a(g111 +Vdefine +p10924 +tp10925 +a(g202 +V( +tp10926 +a(g21 +Veval-in-module +p10927 +tp10928 +a(g189 +V +tp10929 +a(g73 +Vexp +p10930 +tp10931 +a(g189 +V +tp10932 +a(g73 +Vmod +p10933 +tp10934 +a(g202 +V) +tp10935 +a(g189 +V\u000a +p10936 +tp10937 +a(g202 +V( +tp10938 +a(g21 +Vissue-deprecation-warning +p10939 +tp10940 +a(g189 +V\u000a +p10941 +tp10942 +a(g226 +V"`eval-in-module' is deprecated. Use `eval' instead." +p10943 +tp10944 +a(g202 +V) +tp10945 +a(g189 +V\u000a +p10946 +tp10947 +a(g202 +V( +tp10948 +a(g57 +Veval +p10949 +tp10950 +a(g73 +Vexp +p10951 +tp10952 +a(g189 +V +tp10953 +a(g73 +Vmod +p10954 +tp10955 +a(g202 +V) +tp10956 +a(g202 +V) +tp10957 +a(g202 +V) +tp10958 +a(g189 +V\u000a\u000a \u000a +p10959 +tp10960 +a(g7 +V;;; {Observer protocol} +p10961 +tp10962 +a(g189 +V\u000a +tp10963 +a(g7 +V;;; +p10964 +tp10965 +a(g189 +V\u000a\u000a +p10966 +tp10967 +a(g202 +V( +tp10968 +a(g111 +Vdefine +p10969 +tp10970 +a(g202 +V( +tp10971 +a(g21 +Vmodule-observe +p10972 +tp10973 +a(g189 +V +tp10974 +a(g73 +Vmodule +p10975 +tp10976 +a(g189 +V +tp10977 +a(g73 +Vproc +p10978 +tp10979 +a(g202 +V) +tp10980 +a(g189 +V\u000a +p10981 +tp10982 +a(g202 +V( +tp10983 +a(g21 +Vset-module-observers! +p10984 +tp10985 +a(g189 +V +tp10986 +a(g73 +Vmodule +p10987 +tp10988 +a(g189 +V +tp10989 +a(g202 +V( +tp10990 +a(g57 +Vcons +p10991 +tp10992 +a(g73 +Vproc +p10993 +tp10994 +a(g189 +V +tp10995 +a(g202 +V( +tp10996 +a(g21 +Vmodule-observers +p10997 +tp10998 +a(g189 +V +tp10999 +a(g73 +Vmodule +p11000 +tp11001 +a(g202 +V) +tp11002 +a(g202 +V) +tp11003 +a(g202 +V) +tp11004 +a(g189 +V\u000a +p11005 +tp11006 +a(g202 +V( +tp11007 +a(g57 +Vcons +p11008 +tp11009 +a(g73 +Vmodule +p11010 +tp11011 +a(g189 +V +tp11012 +a(g73 +Vproc +p11013 +tp11014 +a(g202 +V) +tp11015 +a(g202 +V) +tp11016 +a(g189 +V\u000a\u000a +p11017 +tp11018 +a(g202 +V( +tp11019 +a(g111 +Vdefine +p11020 +tp11021 +a(g202 +V( +tp11022 +a(g21 +Vmodule-observe-weak +p11023 +tp11024 +a(g189 +V +tp11025 +a(g73 +Vmodule +p11026 +tp11027 +a(g189 +V +tp11028 +a(g73 +Vproc +p11029 +tp11030 +a(g202 +V) +tp11031 +a(g189 +V\u000a +p11032 +tp11033 +a(g202 +V( +tp11034 +a(g111 +Vlet +p11035 +tp11036 +a(g202 +V( +tp11037 +a(g202 +V( +tp11038 +a(g21 +Vid +p11039 +tp11040 +a(g189 +V +tp11041 +a(g202 +V( +tp11042 +a(g21 +Vmodule-observer-id +p11043 +tp11044 +a(g189 +V +tp11045 +a(g73 +Vmodule +p11046 +tp11047 +a(g202 +V) +tp11048 +a(g202 +V) +tp11049 +a(g202 +V) +tp11050 +a(g189 +V\u000a +p11051 +tp11052 +a(g202 +V( +tp11053 +a(g21 +Vhash-set! +p11054 +tp11055 +a(g189 +V +tp11056 +a(g202 +V( +tp11057 +a(g21 +Vmodule-weak-observers +p11058 +tp11059 +a(g189 +V +tp11060 +a(g73 +Vmodule +p11061 +tp11062 +a(g202 +V) +tp11063 +a(g189 +V +tp11064 +a(g73 +Vid +p11065 +tp11066 +a(g189 +V +tp11067 +a(g73 +Vproc +p11068 +tp11069 +a(g202 +V) +tp11070 +a(g189 +V\u000a +p11071 +tp11072 +a(g202 +V( +tp11073 +a(g21 +Vset-module-observer-id! +p11074 +tp11075 +a(g189 +V +tp11076 +a(g73 +Vmodule +p11077 +tp11078 +a(g189 +V +tp11079 +a(g202 +V( +tp11080 +a(g57 +V+ +p11081 +tp11082 +a(g318 +V1 +tp11083 +a(g189 +V +tp11084 +a(g73 +Vid +p11085 +tp11086 +a(g202 +V) +tp11087 +a(g202 +V) +tp11088 +a(g189 +V\u000a +p11089 +tp11090 +a(g202 +V( +tp11091 +a(g57 +Vcons +p11092 +tp11093 +a(g73 +Vmodule +p11094 +tp11095 +a(g189 +V +tp11096 +a(g73 +Vid +p11097 +tp11098 +a(g202 +V) +tp11099 +a(g202 +V) +tp11100 +a(g202 +V) +tp11101 +a(g189 +V\u000a\u000a +p11102 +tp11103 +a(g202 +V( +tp11104 +a(g111 +Vdefine +p11105 +tp11106 +a(g202 +V( +tp11107 +a(g21 +Vmodule-unobserve +p11108 +tp11109 +a(g189 +V +tp11110 +a(g73 +Vtoken +p11111 +tp11112 +a(g202 +V) +tp11113 +a(g189 +V\u000a +p11114 +tp11115 +a(g202 +V( +tp11116 +a(g111 +Vlet +p11117 +tp11118 +a(g202 +V( +tp11119 +a(g202 +V( +tp11120 +a(g21 +Vmodule +p11121 +tp11122 +a(g189 +V +tp11123 +a(g202 +V( +tp11124 +a(g57 +Vcar +p11125 +tp11126 +a(g73 +Vtoken +p11127 +tp11128 +a(g202 +V) +tp11129 +a(g202 +V) +tp11130 +a(g189 +V\u000a +p11131 +tp11132 +a(g202 +V( +tp11133 +a(g21 +Vid +p11134 +tp11135 +a(g189 +V +tp11136 +a(g202 +V( +tp11137 +a(g57 +Vcdr +p11138 +tp11139 +a(g73 +Vtoken +p11140 +tp11141 +a(g202 +V) +tp11142 +a(g202 +V) +tp11143 +a(g202 +V) +tp11144 +a(g189 +V\u000a +p11145 +tp11146 +a(g202 +V( +tp11147 +a(g111 +Vif +p11148 +tp11149 +a(g202 +V( +tp11150 +a(g57 +Vinteger? +p11151 +tp11152 +a(g73 +Vid +p11153 +tp11154 +a(g202 +V) +tp11155 +a(g189 +V\u000a +p11156 +tp11157 +a(g202 +V( +tp11158 +a(g21 +Vhash-remove! +p11159 +tp11160 +a(g189 +V +tp11161 +a(g202 +V( +tp11162 +a(g21 +Vmodule-weak-observers +p11163 +tp11164 +a(g189 +V +tp11165 +a(g73 +Vmodule +p11166 +tp11167 +a(g202 +V) +tp11168 +a(g189 +V +tp11169 +a(g73 +Vid +p11170 +tp11171 +a(g202 +V) +tp11172 +a(g189 +V\u000a +p11173 +tp11174 +a(g202 +V( +tp11175 +a(g21 +Vset-module-observers! +p11176 +tp11177 +a(g189 +V +tp11178 +a(g73 +Vmodule +p11179 +tp11180 +a(g189 +V +tp11181 +a(g202 +V( +tp11182 +a(g21 +Vdelq1! +p11183 +tp11184 +a(g189 +V +tp11185 +a(g73 +Vid +p11186 +tp11187 +a(g189 +V +tp11188 +a(g202 +V( +tp11189 +a(g21 +Vmodule-observers +p11190 +tp11191 +a(g189 +V +tp11192 +a(g73 +Vmodule +p11193 +tp11194 +a(g202 +V) +tp11195 +a(g202 +V) +tp11196 +a(g202 +V) +tp11197 +a(g202 +V) +tp11198 +a(g202 +V) +tp11199 +a(g189 +V\u000a +p11200 +tp11201 +a(g73 +V*unspecified* +p11202 +tp11203 +a(g202 +V) +tp11204 +a(g189 +V\u000a\u000a +p11205 +tp11206 +a(g202 +V( +tp11207 +a(g111 +Vdefine +p11208 +tp11209 +a(g202 +V( +tp11210 +a(g21 +Vmodule-modified +p11211 +tp11212 +a(g189 +V +tp11213 +a(g73 +Vm +tp11214 +a(g202 +V) +tp11215 +a(g189 +V\u000a +p11216 +tp11217 +a(g202 +V( +tp11218 +a(g57 +Vfor-each +p11219 +tp11220 +a(g202 +V( +tp11221 +a(g111 +Vlambda +p11222 +tp11223 +a(g202 +V( +tp11224 +a(g21 +Vproc +p11225 +tp11226 +a(g202 +V) +tp11227 +a(g189 +V +tp11228 +a(g202 +V( +tp11229 +a(g21 +Vproc +p11230 +tp11231 +a(g189 +V +tp11232 +a(g73 +Vm +tp11233 +a(g202 +V) +tp11234 +a(g202 +V) +tp11235 +a(g189 +V +tp11236 +a(g202 +V( +tp11237 +a(g21 +Vmodule-observers +p11238 +tp11239 +a(g189 +V +tp11240 +a(g73 +Vm +tp11241 +a(g202 +V) +tp11242 +a(g202 +V) +tp11243 +a(g189 +V\u000a +p11244 +tp11245 +a(g202 +V( +tp11246 +a(g21 +Vhash-fold +p11247 +tp11248 +a(g189 +V +tp11249 +a(g202 +V( +tp11250 +a(g111 +Vlambda +p11251 +tp11252 +a(g202 +V( +tp11253 +a(g21 +Vid +p11254 +tp11255 +a(g189 +V +tp11256 +a(g73 +Vproc +p11257 +tp11258 +a(g189 +V +tp11259 +a(g73 +Vres +p11260 +tp11261 +a(g202 +V) +tp11262 +a(g189 +V +tp11263 +a(g202 +V( +tp11264 +a(g21 +Vproc +p11265 +tp11266 +a(g189 +V +tp11267 +a(g73 +Vm +tp11268 +a(g202 +V) +tp11269 +a(g202 +V) +tp11270 +a(g189 +V +tp11271 +a(g33 +V#f +p11272 +tp11273 +a(g189 +V +tp11274 +a(g202 +V( +tp11275 +a(g21 +Vmodule-weak-observers +p11276 +tp11277 +a(g189 +V +tp11278 +a(g73 +Vm +tp11279 +a(g202 +V) +tp11280 +a(g202 +V) +tp11281 +a(g202 +V) +tp11282 +a(g189 +V\u000a\u000a \u000a +p11283 +tp11284 +a(g7 +V;;; {Module Searching in General} +p11285 +tp11286 +a(g189 +V\u000a +tp11287 +a(g7 +V;;; +p11288 +tp11289 +a(g189 +V\u000a +tp11290 +a(g7 +V;;; We sometimes want to look for properties of a symbol +p11291 +tp11292 +a(g189 +V\u000a +tp11293 +a(g7 +V;;; just within the obarray of one module. If the property +p11294 +tp11295 +a(g189 +V\u000a +tp11296 +a(g7 +V;;; holds, then it is said to hold ``locally'' as in, ``The symbol +p11297 +tp11298 +a(g189 +V\u000a +tp11299 +a(g7 +V;;; DISPLAY is locally rebound in the module `safe-guile'.'' +p11300 +tp11301 +a(g189 +V\u000a +tp11302 +a(g7 +V;;; +p11303 +tp11304 +a(g189 +V\u000a +tp11305 +a(g7 +V;;; +p11306 +tp11307 +a(g189 +V\u000a +tp11308 +a(g7 +V;;; Other times, we want to test for a symbol property in the obarray +p11309 +tp11310 +a(g189 +V\u000a +tp11311 +a(g7 +V;;; of M and, if it is not found there, try each of the modules in the +p11312 +tp11313 +a(g189 +V\u000a +tp11314 +a(g7 +V;;; uses list of M. This is the normal way of testing for some +p11315 +tp11316 +a(g189 +V\u000a +tp11317 +a(g7 +V;;; property, so we state these properties without qualification as +p11318 +tp11319 +a(g189 +V\u000a +tp11320 +a(g7 +V;;; in: ``The symbol 'fnord is interned in module M because it is +p11321 +tp11322 +a(g189 +V\u000a +tp11323 +a(g7 +V;;; interned locally in module M2 which is a member of the uses list +p11324 +tp11325 +a(g189 +V\u000a +tp11326 +a(g7 +V;;; of M.'' +p11327 +tp11328 +a(g189 +V\u000a +tp11329 +a(g7 +V;;; +p11330 +tp11331 +a(g189 +V\u000a\u000a +p11332 +tp11333 +a(g7 +V;; module-search fn m +p11334 +tp11335 +a(g189 +V\u000a +tp11336 +a(g7 +V;; +p11337 +tp11338 +a(g189 +V\u000a +tp11339 +a(g7 +V;; return the first non-#f result of FN applied to M and then to +p11340 +tp11341 +a(g189 +V\u000a +tp11342 +a(g7 +V;; the modules in the uses of m, and so on recursively. If all applications +p11343 +tp11344 +a(g189 +V\u000a +tp11345 +a(g7 +V;; return #f, then so does this function. +p11346 +tp11347 +a(g189 +V\u000a +tp11348 +a(g7 +V;; +p11349 +tp11350 +a(g189 +V\u000a +tp11351 +a(g202 +V( +tp11352 +a(g111 +Vdefine +p11353 +tp11354 +a(g202 +V( +tp11355 +a(g21 +Vmodule-search +p11356 +tp11357 +a(g189 +V +tp11358 +a(g73 +Vfn +p11359 +tp11360 +a(g189 +V +tp11361 +a(g73 +Vm +tp11362 +a(g189 +V +tp11363 +a(g73 +Vv +tp11364 +a(g202 +V) +tp11365 +a(g189 +V\u000a +p11366 +tp11367 +a(g202 +V( +tp11368 +a(g111 +Vdefine +p11369 +tp11370 +a(g202 +V( +tp11371 +a(g21 +Vloop +p11372 +tp11373 +a(g189 +V +tp11374 +a(g73 +Vpos +p11375 +tp11376 +a(g202 +V) +tp11377 +a(g189 +V\u000a +p11378 +tp11379 +a(g202 +V( +tp11380 +a(g111 +Vand +p11381 +tp11382 +a(g202 +V( +tp11383 +a(g57 +Vpair? +p11384 +tp11385 +a(g73 +Vpos +p11386 +tp11387 +a(g202 +V) +tp11388 +a(g189 +V\u000a +p11389 +tp11390 +a(g202 +V( +tp11391 +a(g111 +Vor +p11392 +tp11393 +a(g202 +V( +tp11394 +a(g21 +Vmodule-search +p11395 +tp11396 +a(g189 +V +tp11397 +a(g73 +Vfn +p11398 +tp11399 +a(g189 +V +tp11400 +a(g202 +V( +tp11401 +a(g57 +Vcar +p11402 +tp11403 +a(g73 +Vpos +p11404 +tp11405 +a(g202 +V) +tp11406 +a(g189 +V +tp11407 +a(g73 +Vv +tp11408 +a(g202 +V) +tp11409 +a(g189 +V\u000a +p11410 +tp11411 +a(g202 +V( +tp11412 +a(g21 +Vloop +p11413 +tp11414 +a(g189 +V +tp11415 +a(g202 +V( +tp11416 +a(g57 +Vcdr +p11417 +tp11418 +a(g73 +Vpos +p11419 +tp11420 +a(g202 +V) +tp11421 +a(g202 +V) +tp11422 +a(g202 +V) +tp11423 +a(g202 +V) +tp11424 +a(g202 +V) +tp11425 +a(g189 +V\u000a +p11426 +tp11427 +a(g202 +V( +tp11428 +a(g111 +Vor +p11429 +tp11430 +a(g202 +V( +tp11431 +a(g21 +Vfn +p11432 +tp11433 +a(g189 +V +tp11434 +a(g73 +Vm +tp11435 +a(g189 +V +tp11436 +a(g73 +Vv +tp11437 +a(g202 +V) +tp11438 +a(g189 +V\u000a +p11439 +tp11440 +a(g202 +V( +tp11441 +a(g21 +Vloop +p11442 +tp11443 +a(g189 +V +tp11444 +a(g202 +V( +tp11445 +a(g21 +Vmodule-uses +p11446 +tp11447 +a(g189 +V +tp11448 +a(g73 +Vm +tp11449 +a(g202 +V) +tp11450 +a(g202 +V) +tp11451 +a(g202 +V) +tp11452 +a(g202 +V) +tp11453 +a(g189 +V\u000a\u000a\u000a +p11454 +tp11455 +a(g7 +V;;; {Is a symbol bound in a module?} +p11456 +tp11457 +a(g189 +V\u000a +tp11458 +a(g7 +V;;; +p11459 +tp11460 +a(g189 +V\u000a +tp11461 +a(g7 +V;;; Symbol S in Module M is bound if S is interned in M and if the binding +p11462 +tp11463 +a(g189 +V\u000a +tp11464 +a(g7 +V;;; of S in M has been set to some well-defined value. +p11465 +tp11466 +a(g189 +V\u000a +tp11467 +a(g7 +V;;; +p11468 +tp11469 +a(g189 +V\u000a\u000a +p11470 +tp11471 +a(g7 +V;; module-locally-bound? module symbol +p11472 +tp11473 +a(g189 +V\u000a +tp11474 +a(g7 +V;; +p11475 +tp11476 +a(g189 +V\u000a +tp11477 +a(g7 +V;; Is a symbol bound (interned and defined) locally in a given module? +p11478 +tp11479 +a(g189 +V\u000a +tp11480 +a(g7 +V;; +p11481 +tp11482 +a(g189 +V\u000a +tp11483 +a(g202 +V( +tp11484 +a(g111 +Vdefine +p11485 +tp11486 +a(g202 +V( +tp11487 +a(g21 +Vmodule-locally-bound? +p11488 +tp11489 +a(g189 +V +tp11490 +a(g73 +Vm +tp11491 +a(g189 +V +tp11492 +a(g73 +Vv +tp11493 +a(g202 +V) +tp11494 +a(g189 +V\u000a +p11495 +tp11496 +a(g202 +V( +tp11497 +a(g111 +Vlet +p11498 +tp11499 +a(g202 +V( +tp11500 +a(g202 +V( +tp11501 +a(g21 +Vvar +p11502 +tp11503 +a(g189 +V +tp11504 +a(g202 +V( +tp11505 +a(g21 +Vmodule-local-variable +p11506 +tp11507 +a(g189 +V +tp11508 +a(g73 +Vm +tp11509 +a(g189 +V +tp11510 +a(g73 +Vv +tp11511 +a(g202 +V) +tp11512 +a(g202 +V) +tp11513 +a(g202 +V) +tp11514 +a(g189 +V\u000a +p11515 +tp11516 +a(g202 +V( +tp11517 +a(g111 +Vand +p11518 +tp11519 +a(g73 +Vvar +p11520 +tp11521 +a(g189 +V\u000a +p11522 +tp11523 +a(g202 +V( +tp11524 +a(g21 +Vvariable-bound? +p11525 +tp11526 +a(g189 +V +tp11527 +a(g73 +Vvar +p11528 +tp11529 +a(g202 +V) +tp11530 +a(g202 +V) +tp11531 +a(g202 +V) +tp11532 +a(g202 +V) +tp11533 +a(g189 +V\u000a\u000a +p11534 +tp11535 +a(g7 +V;; module-bound? module symbol +p11536 +tp11537 +a(g189 +V\u000a +tp11538 +a(g7 +V;; +p11539 +tp11540 +a(g189 +V\u000a +tp11541 +a(g7 +V;; Is a symbol bound (interned and defined) anywhere in a given module +p11542 +tp11543 +a(g189 +V\u000a +tp11544 +a(g7 +V;; or its uses? +p11545 +tp11546 +a(g189 +V\u000a +tp11547 +a(g7 +V;; +p11548 +tp11549 +a(g189 +V\u000a +tp11550 +a(g202 +V( +tp11551 +a(g111 +Vdefine +p11552 +tp11553 +a(g202 +V( +tp11554 +a(g21 +Vmodule-bound? +p11555 +tp11556 +a(g189 +V +tp11557 +a(g73 +Vm +tp11558 +a(g189 +V +tp11559 +a(g73 +Vv +tp11560 +a(g202 +V) +tp11561 +a(g189 +V\u000a +p11562 +tp11563 +a(g202 +V( +tp11564 +a(g21 +Vmodule-search +p11565 +tp11566 +a(g189 +V +tp11567 +a(g73 +Vmodule-locally-bound? +p11568 +tp11569 +a(g189 +V +tp11570 +a(g73 +Vm +tp11571 +a(g189 +V +tp11572 +a(g73 +Vv +tp11573 +a(g202 +V) +tp11574 +a(g202 +V) +tp11575 +a(g189 +V\u000a\u000a +p11576 +tp11577 +a(g7 +V;;; {Is a symbol interned in a module?} +p11578 +tp11579 +a(g189 +V\u000a +tp11580 +a(g7 +V;;; +p11581 +tp11582 +a(g189 +V\u000a +tp11583 +a(g7 +V;;; Symbol S in Module M is interned if S occurs in +p11584 +tp11585 +a(g189 +V\u000a +tp11586 +a(g7 +V;;; of S in M has been set to some well-defined value. +p11587 +tp11588 +a(g189 +V\u000a +tp11589 +a(g7 +V;;; +p11590 +tp11591 +a(g189 +V\u000a +tp11592 +a(g7 +V;;; It is possible to intern a symbol in a module without providing +p11593 +tp11594 +a(g189 +V\u000a +tp11595 +a(g7 +V;;; an initial binding for the corresponding variable. This is done +p11596 +tp11597 +a(g189 +V\u000a +tp11598 +a(g7 +V;;; with: +p11599 +tp11600 +a(g189 +V\u000a +tp11601 +a(g7 +V;;; (module-add! module symbol (make-undefined-variable)) +p11602 +tp11603 +a(g189 +V\u000a +tp11604 +a(g7 +V;;; +p11605 +tp11606 +a(g189 +V\u000a +tp11607 +a(g7 +V;;; In that case, the symbol is interned in the module, but not +p11608 +tp11609 +a(g189 +V\u000a +tp11610 +a(g7 +V;;; bound there. The unbound symbol shadows any binding for that +p11611 +tp11612 +a(g189 +V\u000a +tp11613 +a(g7 +V;;; symbol that might otherwise be inherited from a member of the uses list. +p11614 +tp11615 +a(g189 +V\u000a +tp11616 +a(g7 +V;;; +p11617 +tp11618 +a(g189 +V\u000a\u000a +p11619 +tp11620 +a(g202 +V( +tp11621 +a(g111 +Vdefine +p11622 +tp11623 +a(g202 +V( +tp11624 +a(g21 +Vmodule-obarray-get-handle +p11625 +tp11626 +a(g189 +V +tp11627 +a(g73 +Vob +p11628 +tp11629 +a(g189 +V +tp11630 +a(g73 +Vkey +p11631 +tp11632 +a(g202 +V) +tp11633 +a(g189 +V\u000a +p11634 +tp11635 +a(g202 +V( +tp11636 +a(g202 +V( +tp11637 +a(g111 +Vif +p11638 +tp11639 +a(g202 +V( +tp11640 +a(g57 +Vsymbol? +p11641 +tp11642 +a(g73 +Vkey +p11643 +tp11644 +a(g202 +V) +tp11645 +a(g189 +V +tp11646 +a(g73 +Vhashq-get-handle +p11647 +tp11648 +a(g189 +V +tp11649 +a(g73 +Vhash-get-handle +p11650 +tp11651 +a(g202 +V) +tp11652 +a(g189 +V +tp11653 +a(g73 +Vob +p11654 +tp11655 +a(g189 +V +tp11656 +a(g73 +Vkey +p11657 +tp11658 +a(g202 +V) +tp11659 +a(g202 +V) +tp11660 +a(g189 +V\u000a\u000a +p11661 +tp11662 +a(g202 +V( +tp11663 +a(g111 +Vdefine +p11664 +tp11665 +a(g202 +V( +tp11666 +a(g21 +Vmodule-obarray-ref +p11667 +tp11668 +a(g189 +V +tp11669 +a(g73 +Vob +p11670 +tp11671 +a(g189 +V +tp11672 +a(g73 +Vkey +p11673 +tp11674 +a(g202 +V) +tp11675 +a(g189 +V\u000a +p11676 +tp11677 +a(g202 +V( +tp11678 +a(g202 +V( +tp11679 +a(g111 +Vif +p11680 +tp11681 +a(g202 +V( +tp11682 +a(g57 +Vsymbol? +p11683 +tp11684 +a(g73 +Vkey +p11685 +tp11686 +a(g202 +V) +tp11687 +a(g189 +V +tp11688 +a(g73 +Vhashq-ref +p11689 +tp11690 +a(g189 +V +tp11691 +a(g73 +Vhash-ref +p11692 +tp11693 +a(g202 +V) +tp11694 +a(g189 +V +tp11695 +a(g73 +Vob +p11696 +tp11697 +a(g189 +V +tp11698 +a(g73 +Vkey +p11699 +tp11700 +a(g202 +V) +tp11701 +a(g202 +V) +tp11702 +a(g189 +V\u000a\u000a +p11703 +tp11704 +a(g202 +V( +tp11705 +a(g111 +Vdefine +p11706 +tp11707 +a(g202 +V( +tp11708 +a(g21 +Vmodule-obarray-set! +p11709 +tp11710 +a(g189 +V +tp11711 +a(g73 +Vob +p11712 +tp11713 +a(g189 +V +tp11714 +a(g73 +Vkey +p11715 +tp11716 +a(g189 +V +tp11717 +a(g73 +Vval +p11718 +tp11719 +a(g202 +V) +tp11720 +a(g189 +V\u000a +p11721 +tp11722 +a(g202 +V( +tp11723 +a(g202 +V( +tp11724 +a(g111 +Vif +p11725 +tp11726 +a(g202 +V( +tp11727 +a(g57 +Vsymbol? +p11728 +tp11729 +a(g73 +Vkey +p11730 +tp11731 +a(g202 +V) +tp11732 +a(g189 +V +tp11733 +a(g73 +Vhashq-set! +p11734 +tp11735 +a(g189 +V +tp11736 +a(g73 +Vhash-set! +p11737 +tp11738 +a(g202 +V) +tp11739 +a(g189 +V +tp11740 +a(g73 +Vob +p11741 +tp11742 +a(g189 +V +tp11743 +a(g73 +Vkey +p11744 +tp11745 +a(g189 +V +tp11746 +a(g73 +Vval +p11747 +tp11748 +a(g202 +V) +tp11749 +a(g202 +V) +tp11750 +a(g189 +V\u000a\u000a +p11751 +tp11752 +a(g202 +V( +tp11753 +a(g111 +Vdefine +p11754 +tp11755 +a(g202 +V( +tp11756 +a(g21 +Vmodule-obarray-remove! +p11757 +tp11758 +a(g189 +V +tp11759 +a(g73 +Vob +p11760 +tp11761 +a(g189 +V +tp11762 +a(g73 +Vkey +p11763 +tp11764 +a(g202 +V) +tp11765 +a(g189 +V\u000a +p11766 +tp11767 +a(g202 +V( +tp11768 +a(g202 +V( +tp11769 +a(g111 +Vif +p11770 +tp11771 +a(g202 +V( +tp11772 +a(g57 +Vsymbol? +p11773 +tp11774 +a(g73 +Vkey +p11775 +tp11776 +a(g202 +V) +tp11777 +a(g189 +V +tp11778 +a(g73 +Vhashq-remove! +p11779 +tp11780 +a(g189 +V +tp11781 +a(g73 +Vhash-remove! +p11782 +tp11783 +a(g202 +V) +tp11784 +a(g189 +V +tp11785 +a(g73 +Vob +p11786 +tp11787 +a(g189 +V +tp11788 +a(g73 +Vkey +p11789 +tp11790 +a(g202 +V) +tp11791 +a(g202 +V) +tp11792 +a(g189 +V\u000a\u000a +p11793 +tp11794 +a(g7 +V;; module-symbol-locally-interned? module symbol +p11795 +tp11796 +a(g189 +V\u000a +tp11797 +a(g7 +V;; +p11798 +tp11799 +a(g189 +V\u000a +tp11800 +a(g7 +V;; is a symbol interned (not neccessarily defined) locally in a given module +p11801 +tp11802 +a(g189 +V\u000a +tp11803 +a(g7 +V;; or its uses? Interned symbols shadow inherited bindings even if +p11804 +tp11805 +a(g189 +V\u000a +tp11806 +a(g7 +V;; they are not themselves bound to a defined value. +p11807 +tp11808 +a(g189 +V\u000a +tp11809 +a(g7 +V;; +p11810 +tp11811 +a(g189 +V\u000a +tp11812 +a(g202 +V( +tp11813 +a(g111 +Vdefine +p11814 +tp11815 +a(g202 +V( +tp11816 +a(g21 +Vmodule-symbol-locally-interned? +p11817 +tp11818 +a(g189 +V +tp11819 +a(g73 +Vm +tp11820 +a(g189 +V +tp11821 +a(g73 +Vv +tp11822 +a(g202 +V) +tp11823 +a(g189 +V\u000a +p11824 +tp11825 +a(g202 +V( +tp11826 +a(g57 +Vnot +p11827 +tp11828 +a(g202 +V( +tp11829 +a(g57 +Vnot +p11830 +tp11831 +a(g202 +V( +tp11832 +a(g21 +Vmodule-obarray-get-handle +p11833 +tp11834 +a(g189 +V +tp11835 +a(g202 +V( +tp11836 +a(g21 +Vmodule-obarray +p11837 +tp11838 +a(g189 +V +tp11839 +a(g73 +Vm +tp11840 +a(g202 +V) +tp11841 +a(g189 +V +tp11842 +a(g73 +Vv +tp11843 +a(g202 +V) +tp11844 +a(g202 +V) +tp11845 +a(g202 +V) +tp11846 +a(g202 +V) +tp11847 +a(g189 +V\u000a\u000a +p11848 +tp11849 +a(g7 +V;; module-symbol-interned? module symbol +p11850 +tp11851 +a(g189 +V\u000a +tp11852 +a(g7 +V;; +p11853 +tp11854 +a(g189 +V\u000a +tp11855 +a(g7 +V;; is a symbol interned (not neccessarily defined) anywhere in a given module +p11856 +tp11857 +a(g189 +V\u000a +tp11858 +a(g7 +V;; or its uses? Interned symbols shadow inherited bindings even if +p11859 +tp11860 +a(g189 +V\u000a +tp11861 +a(g7 +V;; they are not themselves bound to a defined value. +p11862 +tp11863 +a(g189 +V\u000a +tp11864 +a(g7 +V;; +p11865 +tp11866 +a(g189 +V\u000a +tp11867 +a(g202 +V( +tp11868 +a(g111 +Vdefine +p11869 +tp11870 +a(g202 +V( +tp11871 +a(g21 +Vmodule-symbol-interned? +p11872 +tp11873 +a(g189 +V +tp11874 +a(g73 +Vm +tp11875 +a(g189 +V +tp11876 +a(g73 +Vv +tp11877 +a(g202 +V) +tp11878 +a(g189 +V\u000a +p11879 +tp11880 +a(g202 +V( +tp11881 +a(g21 +Vmodule-search +p11882 +tp11883 +a(g189 +V +tp11884 +a(g73 +Vmodule-symbol-locally-interned? +p11885 +tp11886 +a(g189 +V +tp11887 +a(g73 +Vm +tp11888 +a(g189 +V +tp11889 +a(g73 +Vv +tp11890 +a(g202 +V) +tp11891 +a(g202 +V) +tp11892 +a(g189 +V\u000a\u000a\u000a +p11893 +tp11894 +a(g7 +V;;; {Mapping modules x symbols --> variables} +p11895 +tp11896 +a(g189 +V\u000a +tp11897 +a(g7 +V;;; +p11898 +tp11899 +a(g189 +V\u000a\u000a +p11900 +tp11901 +a(g7 +V;; module-local-variable module symbol +p11902 +tp11903 +a(g189 +V\u000a +tp11904 +a(g7 +V;; return the local variable associated with a MODULE and SYMBOL. +p11905 +tp11906 +a(g189 +V\u000a +tp11907 +a(g7 +V;; +p11908 +tp11909 +a(g189 +V\u000a +tp11910 +a(g7 +V;;; This function is very important. It is the only function that can +p11911 +tp11912 +a(g189 +V\u000a +tp11913 +a(g7 +V;;; return a variable from a module other than the mutators that store +p11914 +tp11915 +a(g189 +V\u000a +tp11916 +a(g7 +V;;; new variables in modules. Therefore, this function is the location +p11917 +tp11918 +a(g189 +V\u000a +tp11919 +a(g7 +V;;; of the "lazy binder" hack. +p11920 +tp11921 +a(g189 +V\u000a +tp11922 +a(g7 +V;;; +p11923 +tp11924 +a(g189 +V\u000a +tp11925 +a(g7 +V;;; If symbol is defined in MODULE, and if the definition binds symbol +p11926 +tp11927 +a(g189 +V\u000a +tp11928 +a(g7 +V;;; to a variable, return that variable object. +p11929 +tp11930 +a(g189 +V\u000a +tp11931 +a(g7 +V;;; +p11932 +tp11933 +a(g189 +V\u000a +tp11934 +a(g7 +V;;; If the symbols is not found at first, but the module has a lazy binder, +p11935 +tp11936 +a(g189 +V\u000a +tp11937 +a(g7 +V;;; then try the binder. +p11938 +tp11939 +a(g189 +V\u000a +tp11940 +a(g7 +V;;; +p11941 +tp11942 +a(g189 +V\u000a +tp11943 +a(g7 +V;;; If the symbol is not found at all, return #f. +p11944 +tp11945 +a(g189 +V\u000a +tp11946 +a(g7 +V;;; +p11947 +tp11948 +a(g189 +V\u000a +tp11949 +a(g202 +V( +tp11950 +a(g111 +Vdefine +p11951 +tp11952 +a(g202 +V( +tp11953 +a(g21 +Vmodule-local-variable +p11954 +tp11955 +a(g189 +V +tp11956 +a(g73 +Vm +tp11957 +a(g189 +V +tp11958 +a(g73 +Vv +tp11959 +a(g202 +V) +tp11960 +a(g189 +V\u000a +tp11961 +a(g7 +V; (caddr +p11962 +tp11963 +a(g189 +V\u000a +tp11964 +a(g7 +V; (list m v +p11965 +tp11966 +a(g189 +V\u000a +p11967 +tp11968 +a(g202 +V( +tp11969 +a(g111 +Vlet +p11970 +tp11971 +a(g202 +V( +tp11972 +a(g202 +V( +tp11973 +a(g21 +Vb +tp11974 +a(g189 +V +tp11975 +a(g202 +V( +tp11976 +a(g21 +Vmodule-obarray-ref +p11977 +tp11978 +a(g189 +V +tp11979 +a(g202 +V( +tp11980 +a(g21 +Vmodule-obarray +p11981 +tp11982 +a(g189 +V +tp11983 +a(g73 +Vm +tp11984 +a(g202 +V) +tp11985 +a(g189 +V +tp11986 +a(g73 +Vv +tp11987 +a(g202 +V) +tp11988 +a(g202 +V) +tp11989 +a(g202 +V) +tp11990 +a(g189 +V\u000a +p11991 +tp11992 +a(g202 +V( +tp11993 +a(g111 +Vor +p11994 +tp11995 +a(g202 +V( +tp11996 +a(g111 +Vand +p11997 +tp11998 +a(g202 +V( +tp11999 +a(g21 +Vvariable? +p12000 +tp12001 +a(g189 +V +tp12002 +a(g73 +Vb +tp12003 +a(g202 +V) +tp12004 +a(g189 +V +tp12005 +a(g73 +Vb +tp12006 +a(g202 +V) +tp12007 +a(g189 +V\u000a +p12008 +tp12009 +a(g202 +V( +tp12010 +a(g111 +Vand +p12011 +tp12012 +a(g202 +V( +tp12013 +a(g21 +Vmodule-binder +p12014 +tp12015 +a(g189 +V +tp12016 +a(g73 +Vm +tp12017 +a(g202 +V) +tp12018 +a(g189 +V\u000a +p12019 +tp12020 +a(g202 +V( +tp12021 +a(g202 +V( +tp12022 +a(g21 +Vmodule-binder +p12023 +tp12024 +a(g189 +V +tp12025 +a(g73 +Vm +tp12026 +a(g202 +V) +tp12027 +a(g189 +V +tp12028 +a(g73 +Vm +tp12029 +a(g189 +V +tp12030 +a(g73 +Vv +tp12031 +a(g189 +V +tp12032 +a(g33 +V#f +p12033 +tp12034 +a(g202 +V) +tp12035 +a(g202 +V) +tp12036 +a(g202 +V) +tp12037 +a(g202 +V) +tp12038 +a(g202 +V) +tp12039 +a(g189 +V\u000a +tp12040 +a(g7 +V;)) +p12041 +tp12042 +a(g189 +V\u000a\u000a +p12043 +tp12044 +a(g7 +V;; module-variable module symbol +p12045 +tp12046 +a(g189 +V\u000a +tp12047 +a(g7 +V;; +p12048 +tp12049 +a(g189 +V\u000a +tp12050 +a(g7 +V;; like module-local-variable, except search the uses in the +p12051 +tp12052 +a(g189 +V\u000a +tp12053 +a(g7 +V;; case V is not found in M. +p12054 +tp12055 +a(g189 +V\u000a +tp12056 +a(g7 +V;; +p12057 +tp12058 +a(g189 +V\u000a +tp12059 +a(g7 +V;; NOTE: This function is superseded with C code (see modules.c) +p12060 +tp12061 +a(g189 +V\u000a +tp12062 +a(g7 +V;;; when using the standard eval closure. +p12063 +tp12064 +a(g189 +V\u000a +tp12065 +a(g7 +V;; +p12066 +tp12067 +a(g189 +V\u000a +tp12068 +a(g202 +V( +tp12069 +a(g111 +Vdefine +p12070 +tp12071 +a(g202 +V( +tp12072 +a(g21 +Vmodule-variable +p12073 +tp12074 +a(g189 +V +tp12075 +a(g73 +Vm +tp12076 +a(g189 +V +tp12077 +a(g73 +Vv +tp12078 +a(g202 +V) +tp12079 +a(g189 +V\u000a +p12080 +tp12081 +a(g202 +V( +tp12082 +a(g21 +Vmodule-search +p12083 +tp12084 +a(g189 +V +tp12085 +a(g73 +Vmodule-local-variable +p12086 +tp12087 +a(g189 +V +tp12088 +a(g73 +Vm +tp12089 +a(g189 +V +tp12090 +a(g73 +Vv +tp12091 +a(g202 +V) +tp12092 +a(g202 +V) +tp12093 +a(g189 +V\u000a\u000a\u000a +p12094 +tp12095 +a(g7 +V;;; {Mapping modules x symbols --> bindings} +p12096 +tp12097 +a(g189 +V\u000a +tp12098 +a(g7 +V;;; +p12099 +tp12100 +a(g189 +V\u000a +tp12101 +a(g7 +V;;; These are similar to the mapping to variables, except that the +p12102 +tp12103 +a(g189 +V\u000a +tp12104 +a(g7 +V;;; variable is dereferenced. +p12105 +tp12106 +a(g189 +V\u000a +tp12107 +a(g7 +V;;; +p12108 +tp12109 +a(g189 +V\u000a\u000a +p12110 +tp12111 +a(g7 +V;; module-symbol-binding module symbol opt-value +p12112 +tp12113 +a(g189 +V\u000a +tp12114 +a(g7 +V;; +p12115 +tp12116 +a(g189 +V\u000a +tp12117 +a(g7 +V;; return the binding of a variable specified by name within +p12118 +tp12119 +a(g189 +V\u000a +tp12120 +a(g7 +V;; a given module, signalling an error if the variable is unbound. +p12121 +tp12122 +a(g189 +V\u000a +tp12123 +a(g7 +V;; If the OPT-VALUE is passed, then instead of signalling an error, +p12124 +tp12125 +a(g189 +V\u000a +tp12126 +a(g7 +V;; return OPT-VALUE. +p12127 +tp12128 +a(g189 +V\u000a +tp12129 +a(g7 +V;; +p12130 +tp12131 +a(g189 +V\u000a +tp12132 +a(g202 +V( +tp12133 +a(g111 +Vdefine +p12134 +tp12135 +a(g202 +V( +tp12136 +a(g21 +Vmodule-symbol-local-binding +p12137 +tp12138 +a(g189 +V +tp12139 +a(g73 +Vm +tp12140 +a(g189 +V +tp12141 +a(g73 +Vv +tp12142 +a(g189 +V +tp12143 +a(g343 +V. +tp12144 +a(g189 +V +tp12145 +a(g73 +Vopt-val +p12146 +tp12147 +a(g202 +V) +tp12148 +a(g189 +V\u000a +p12149 +tp12150 +a(g202 +V( +tp12151 +a(g111 +Vlet +p12152 +tp12153 +a(g202 +V( +tp12154 +a(g202 +V( +tp12155 +a(g21 +Vvar +p12156 +tp12157 +a(g189 +V +tp12158 +a(g202 +V( +tp12159 +a(g21 +Vmodule-local-variable +p12160 +tp12161 +a(g189 +V +tp12162 +a(g73 +Vm +tp12163 +a(g189 +V +tp12164 +a(g73 +Vv +tp12165 +a(g202 +V) +tp12166 +a(g202 +V) +tp12167 +a(g202 +V) +tp12168 +a(g189 +V\u000a +p12169 +tp12170 +a(g202 +V( +tp12171 +a(g111 +Vif +p12172 +tp12173 +a(g73 +Vvar +p12174 +tp12175 +a(g189 +V\u000a +p12176 +tp12177 +a(g202 +V( +tp12178 +a(g21 +Vvariable-ref +p12179 +tp12180 +a(g189 +V +tp12181 +a(g73 +Vvar +p12182 +tp12183 +a(g202 +V) +tp12184 +a(g189 +V\u000a +p12185 +tp12186 +a(g202 +V( +tp12187 +a(g111 +Vif +p12188 +tp12189 +a(g202 +V( +tp12190 +a(g57 +Vnot +p12191 +tp12192 +a(g202 +V( +tp12193 +a(g57 +Vnull? +p12194 +tp12195 +a(g73 +Vopt-val +p12196 +tp12197 +a(g202 +V) +tp12198 +a(g202 +V) +tp12199 +a(g189 +V\u000a +p12200 +tp12201 +a(g202 +V( +tp12202 +a(g57 +Vcar +p12203 +tp12204 +a(g73 +Vopt-val +p12205 +tp12206 +a(g202 +V) +tp12207 +a(g189 +V\u000a +p12208 +tp12209 +a(g202 +V( +tp12210 +a(g21 +Verror +p12211 +tp12212 +a(g189 +V +tp12213 +a(g226 +V"Locally unbound variable." +p12214 +tp12215 +a(g189 +V +tp12216 +a(g73 +Vv +tp12217 +a(g202 +V) +tp12218 +a(g202 +V) +tp12219 +a(g202 +V) +tp12220 +a(g202 +V) +tp12221 +a(g202 +V) +tp12222 +a(g189 +V\u000a\u000a +p12223 +tp12224 +a(g7 +V;; module-symbol-binding module symbol opt-value +p12225 +tp12226 +a(g189 +V\u000a +tp12227 +a(g7 +V;; +p12228 +tp12229 +a(g189 +V\u000a +tp12230 +a(g7 +V;; return the binding of a variable specified by name within +p12231 +tp12232 +a(g189 +V\u000a +tp12233 +a(g7 +V;; a given module, signalling an error if the variable is unbound. +p12234 +tp12235 +a(g189 +V\u000a +tp12236 +a(g7 +V;; If the OPT-VALUE is passed, then instead of signalling an error, +p12237 +tp12238 +a(g189 +V\u000a +tp12239 +a(g7 +V;; return OPT-VALUE. +p12240 +tp12241 +a(g189 +V\u000a +tp12242 +a(g7 +V;; +p12243 +tp12244 +a(g189 +V\u000a +tp12245 +a(g202 +V( +tp12246 +a(g111 +Vdefine +p12247 +tp12248 +a(g202 +V( +tp12249 +a(g21 +Vmodule-symbol-binding +p12250 +tp12251 +a(g189 +V +tp12252 +a(g73 +Vm +tp12253 +a(g189 +V +tp12254 +a(g73 +Vv +tp12255 +a(g189 +V +tp12256 +a(g343 +V. +tp12257 +a(g189 +V +tp12258 +a(g73 +Vopt-val +p12259 +tp12260 +a(g202 +V) +tp12261 +a(g189 +V\u000a +p12262 +tp12263 +a(g202 +V( +tp12264 +a(g111 +Vlet +p12265 +tp12266 +a(g202 +V( +tp12267 +a(g202 +V( +tp12268 +a(g21 +Vvar +p12269 +tp12270 +a(g189 +V +tp12271 +a(g202 +V( +tp12272 +a(g21 +Vmodule-variable +p12273 +tp12274 +a(g189 +V +tp12275 +a(g73 +Vm +tp12276 +a(g189 +V +tp12277 +a(g73 +Vv +tp12278 +a(g202 +V) +tp12279 +a(g202 +V) +tp12280 +a(g202 +V) +tp12281 +a(g189 +V\u000a +p12282 +tp12283 +a(g202 +V( +tp12284 +a(g111 +Vif +p12285 +tp12286 +a(g73 +Vvar +p12287 +tp12288 +a(g189 +V\u000a +p12289 +tp12290 +a(g202 +V( +tp12291 +a(g21 +Vvariable-ref +p12292 +tp12293 +a(g189 +V +tp12294 +a(g73 +Vvar +p12295 +tp12296 +a(g202 +V) +tp12297 +a(g189 +V\u000a +p12298 +tp12299 +a(g202 +V( +tp12300 +a(g111 +Vif +p12301 +tp12302 +a(g202 +V( +tp12303 +a(g57 +Vnot +p12304 +tp12305 +a(g202 +V( +tp12306 +a(g57 +Vnull? +p12307 +tp12308 +a(g73 +Vopt-val +p12309 +tp12310 +a(g202 +V) +tp12311 +a(g202 +V) +tp12312 +a(g189 +V\u000a +p12313 +tp12314 +a(g202 +V( +tp12315 +a(g57 +Vcar +p12316 +tp12317 +a(g73 +Vopt-val +p12318 +tp12319 +a(g202 +V) +tp12320 +a(g189 +V\u000a +p12321 +tp12322 +a(g202 +V( +tp12323 +a(g21 +Verror +p12324 +tp12325 +a(g189 +V +tp12326 +a(g226 +V"Unbound variable." +p12327 +tp12328 +a(g189 +V +tp12329 +a(g73 +Vv +tp12330 +a(g202 +V) +tp12331 +a(g202 +V) +tp12332 +a(g202 +V) +tp12333 +a(g202 +V) +tp12334 +a(g202 +V) +tp12335 +a(g189 +V\u000a\u000a\u000a \u000a +p12336 +tp12337 +a(g7 +V;;; {Adding Variables to Modules} +p12338 +tp12339 +a(g189 +V\u000a +tp12340 +a(g7 +V;;; +p12341 +tp12342 +a(g189 +V\u000a +tp12343 +a(g7 +V;;; +p12344 +tp12345 +a(g189 +V\u000a\u000a\u000a +p12346 +tp12347 +a(g7 +V;; module-make-local-var! module symbol +p12348 +tp12349 +a(g189 +V\u000a +tp12350 +a(g7 +V;; +p12351 +tp12352 +a(g189 +V\u000a +tp12353 +a(g7 +V;; ensure a variable for V in the local namespace of M. +p12354 +tp12355 +a(g189 +V\u000a +tp12356 +a(g7 +V;; If no variable was already there, then create a new and uninitialzied +p12357 +tp12358 +a(g189 +V\u000a +tp12359 +a(g7 +V;; variable. +p12360 +tp12361 +a(g189 +V\u000a +tp12362 +a(g7 +V;; +p12363 +tp12364 +a(g189 +V\u000a +tp12365 +a(g202 +V( +tp12366 +a(g111 +Vdefine +p12367 +tp12368 +a(g202 +V( +tp12369 +a(g21 +Vmodule-make-local-var! +p12370 +tp12371 +a(g189 +V +tp12372 +a(g73 +Vm +tp12373 +a(g189 +V +tp12374 +a(g73 +Vv +tp12375 +a(g202 +V) +tp12376 +a(g189 +V\u000a +p12377 +tp12378 +a(g202 +V( +tp12379 +a(g111 +Vor +p12380 +tp12381 +a(g202 +V( +tp12382 +a(g111 +Vlet +p12383 +tp12384 +a(g202 +V( +tp12385 +a(g202 +V( +tp12386 +a(g21 +Vb +tp12387 +a(g189 +V +tp12388 +a(g202 +V( +tp12389 +a(g21 +Vmodule-obarray-ref +p12390 +tp12391 +a(g189 +V +tp12392 +a(g202 +V( +tp12393 +a(g21 +Vmodule-obarray +p12394 +tp12395 +a(g189 +V +tp12396 +a(g73 +Vm +tp12397 +a(g202 +V) +tp12398 +a(g189 +V +tp12399 +a(g73 +Vv +tp12400 +a(g202 +V) +tp12401 +a(g202 +V) +tp12402 +a(g202 +V) +tp12403 +a(g189 +V\u000a +p12404 +tp12405 +a(g202 +V( +tp12406 +a(g111 +Vand +p12407 +tp12408 +a(g202 +V( +tp12409 +a(g21 +Vvariable? +p12410 +tp12411 +a(g189 +V +tp12412 +a(g73 +Vb +tp12413 +a(g202 +V) +tp12414 +a(g189 +V\u000a +p12415 +tp12416 +a(g202 +V( +tp12417 +a(g21 +Vbegin +p12418 +tp12419 +a(g189 +V\u000a +p12420 +tp12421 +a(g202 +V( +tp12422 +a(g21 +Vmodule-modified +p12423 +tp12424 +a(g189 +V +tp12425 +a(g73 +Vm +tp12426 +a(g202 +V) +tp12427 +a(g189 +V\u000a +p12428 +tp12429 +a(g73 +Vb +tp12430 +a(g202 +V) +tp12431 +a(g202 +V) +tp12432 +a(g202 +V) +tp12433 +a(g189 +V\u000a +p12434 +tp12435 +a(g202 +V( +tp12436 +a(g111 +Vand +p12437 +tp12438 +a(g202 +V( +tp12439 +a(g21 +Vmodule-binder +p12440 +tp12441 +a(g189 +V +tp12442 +a(g73 +Vm +tp12443 +a(g202 +V) +tp12444 +a(g189 +V\u000a +p12445 +tp12446 +a(g202 +V( +tp12447 +a(g202 +V( +tp12448 +a(g21 +Vmodule-binder +p12449 +tp12450 +a(g189 +V +tp12451 +a(g73 +Vm +tp12452 +a(g202 +V) +tp12453 +a(g189 +V +tp12454 +a(g73 +Vm +tp12455 +a(g189 +V +tp12456 +a(g73 +Vv +tp12457 +a(g189 +V +tp12458 +a(g33 +V#t +p12459 +tp12460 +a(g202 +V) +tp12461 +a(g202 +V) +tp12462 +a(g189 +V\u000a +p12463 +tp12464 +a(g202 +V( +tp12465 +a(g21 +Vbegin +p12466 +tp12467 +a(g189 +V\u000a +p12468 +tp12469 +a(g202 +V( +tp12470 +a(g111 +Vlet +p12471 +tp12472 +a(g202 +V( +tp12473 +a(g202 +V( +tp12474 +a(g21 +Vanswer +p12475 +tp12476 +a(g189 +V +tp12477 +a(g202 +V( +tp12478 +a(g21 +Vmake-undefined-variable +p12479 +tp12480 +a(g202 +V) +tp12481 +a(g202 +V) +tp12482 +a(g202 +V) +tp12483 +a(g189 +V\u000a +p12484 +tp12485 +a(g202 +V( +tp12486 +a(g21 +Vvariable-set-name-hint! +p12487 +tp12488 +a(g189 +V +tp12489 +a(g73 +Vanswer +p12490 +tp12491 +a(g189 +V +tp12492 +a(g73 +Vv +tp12493 +a(g202 +V) +tp12494 +a(g189 +V\u000a +p12495 +tp12496 +a(g202 +V( +tp12497 +a(g21 +Vmodule-obarray-set! +p12498 +tp12499 +a(g189 +V +tp12500 +a(g202 +V( +tp12501 +a(g21 +Vmodule-obarray +p12502 +tp12503 +a(g189 +V +tp12504 +a(g73 +Vm +tp12505 +a(g202 +V) +tp12506 +a(g189 +V +tp12507 +a(g73 +Vv +tp12508 +a(g189 +V +tp12509 +a(g73 +Vanswer +p12510 +tp12511 +a(g202 +V) +tp12512 +a(g189 +V\u000a +p12513 +tp12514 +a(g202 +V( +tp12515 +a(g21 +Vmodule-modified +p12516 +tp12517 +a(g189 +V +tp12518 +a(g73 +Vm +tp12519 +a(g202 +V) +tp12520 +a(g189 +V\u000a +p12521 +tp12522 +a(g73 +Vanswer +p12523 +tp12524 +a(g202 +V) +tp12525 +a(g202 +V) +tp12526 +a(g202 +V) +tp12527 +a(g202 +V) +tp12528 +a(g189 +V\u000a\u000a +p12529 +tp12530 +a(g7 +V;; module-ensure-local-variable! module symbol +p12531 +tp12532 +a(g189 +V\u000a +tp12533 +a(g7 +V;; +p12534 +tp12535 +a(g189 +V\u000a +tp12536 +a(g7 +V;; Ensure that there is a local variable in MODULE for SYMBOL. If +p12537 +tp12538 +a(g189 +V\u000a +tp12539 +a(g7 +V;; there is no binding for SYMBOL, create a new uninitialized +p12540 +tp12541 +a(g189 +V\u000a +tp12542 +a(g7 +V;; variable. Return the local variable. +p12543 +tp12544 +a(g189 +V\u000a +tp12545 +a(g7 +V;; +p12546 +tp12547 +a(g189 +V\u000a +tp12548 +a(g202 +V( +tp12549 +a(g111 +Vdefine +p12550 +tp12551 +a(g202 +V( +tp12552 +a(g21 +Vmodule-ensure-local-variable! +p12553 +tp12554 +a(g189 +V +tp12555 +a(g73 +Vmodule +p12556 +tp12557 +a(g189 +V +tp12558 +a(g73 +Vsymbol +p12559 +tp12560 +a(g202 +V) +tp12561 +a(g189 +V\u000a +p12562 +tp12563 +a(g202 +V( +tp12564 +a(g111 +Vor +p12565 +tp12566 +a(g202 +V( +tp12567 +a(g21 +Vmodule-local-variable +p12568 +tp12569 +a(g189 +V +tp12570 +a(g73 +Vmodule +p12571 +tp12572 +a(g189 +V +tp12573 +a(g73 +Vsymbol +p12574 +tp12575 +a(g202 +V) +tp12576 +a(g189 +V\u000a +p12577 +tp12578 +a(g202 +V( +tp12579 +a(g111 +Vlet +p12580 +tp12581 +a(g202 +V( +tp12582 +a(g202 +V( +tp12583 +a(g21 +Vvar +p12584 +tp12585 +a(g189 +V +tp12586 +a(g202 +V( +tp12587 +a(g21 +Vmake-undefined-variable +p12588 +tp12589 +a(g202 +V) +tp12590 +a(g202 +V) +tp12591 +a(g202 +V) +tp12592 +a(g189 +V\u000a +p12593 +tp12594 +a(g202 +V( +tp12595 +a(g21 +Vvariable-set-name-hint! +p12596 +tp12597 +a(g189 +V +tp12598 +a(g73 +Vvar +p12599 +tp12600 +a(g189 +V +tp12601 +a(g73 +Vsymbol +p12602 +tp12603 +a(g202 +V) +tp12604 +a(g189 +V\u000a +p12605 +tp12606 +a(g202 +V( +tp12607 +a(g21 +Vmodule-add! +p12608 +tp12609 +a(g189 +V +tp12610 +a(g73 +Vmodule +p12611 +tp12612 +a(g189 +V +tp12613 +a(g73 +Vsymbol +p12614 +tp12615 +a(g189 +V +tp12616 +a(g73 +Vvar +p12617 +tp12618 +a(g202 +V) +tp12619 +a(g189 +V\u000a +p12620 +tp12621 +a(g73 +Vvar +p12622 +tp12623 +a(g202 +V) +tp12624 +a(g202 +V) +tp12625 +a(g202 +V) +tp12626 +a(g189 +V\u000a\u000a +p12627 +tp12628 +a(g7 +V;; module-add! module symbol var +p12629 +tp12630 +a(g189 +V\u000a +tp12631 +a(g7 +V;; +p12632 +tp12633 +a(g189 +V\u000a +tp12634 +a(g7 +V;; ensure a particular variable for V in the local namespace of M. +p12635 +tp12636 +a(g189 +V\u000a +tp12637 +a(g7 +V;; +p12638 +tp12639 +a(g189 +V\u000a +tp12640 +a(g202 +V( +tp12641 +a(g111 +Vdefine +p12642 +tp12643 +a(g202 +V( +tp12644 +a(g21 +Vmodule-add! +p12645 +tp12646 +a(g189 +V +tp12647 +a(g73 +Vm +tp12648 +a(g189 +V +tp12649 +a(g73 +Vv +tp12650 +a(g189 +V +tp12651 +a(g73 +Vvar +p12652 +tp12653 +a(g202 +V) +tp12654 +a(g189 +V\u000a +p12655 +tp12656 +a(g202 +V( +tp12657 +a(g111 +Vif +p12658 +tp12659 +a(g202 +V( +tp12660 +a(g57 +Vnot +p12661 +tp12662 +a(g202 +V( +tp12663 +a(g21 +Vvariable? +p12664 +tp12665 +a(g189 +V +tp12666 +a(g73 +Vvar +p12667 +tp12668 +a(g202 +V) +tp12669 +a(g202 +V) +tp12670 +a(g189 +V\u000a +p12671 +tp12672 +a(g202 +V( +tp12673 +a(g21 +Verror +p12674 +tp12675 +a(g189 +V +tp12676 +a(g226 +V"Bad variable to module-add!" +p12677 +tp12678 +a(g189 +V +tp12679 +a(g73 +Vvar +p12680 +tp12681 +a(g202 +V) +tp12682 +a(g202 +V) +tp12683 +a(g189 +V\u000a +p12684 +tp12685 +a(g202 +V( +tp12686 +a(g21 +Vmodule-obarray-set! +p12687 +tp12688 +a(g189 +V +tp12689 +a(g202 +V( +tp12690 +a(g21 +Vmodule-obarray +p12691 +tp12692 +a(g189 +V +tp12693 +a(g73 +Vm +tp12694 +a(g202 +V) +tp12695 +a(g189 +V +tp12696 +a(g73 +Vv +tp12697 +a(g189 +V +tp12698 +a(g73 +Vvar +p12699 +tp12700 +a(g202 +V) +tp12701 +a(g189 +V\u000a +p12702 +tp12703 +a(g202 +V( +tp12704 +a(g21 +Vmodule-modified +p12705 +tp12706 +a(g189 +V +tp12707 +a(g73 +Vm +tp12708 +a(g202 +V) +tp12709 +a(g202 +V) +tp12710 +a(g189 +V\u000a\u000a +p12711 +tp12712 +a(g7 +V;; module-remove! +p12713 +tp12714 +a(g189 +V\u000a +tp12715 +a(g7 +V;; +p12716 +tp12717 +a(g189 +V\u000a +tp12718 +a(g7 +V;; make sure that a symbol is undefined in the local namespace of M. +p12719 +tp12720 +a(g189 +V\u000a +tp12721 +a(g7 +V;; +p12722 +tp12723 +a(g189 +V\u000a +tp12724 +a(g202 +V( +tp12725 +a(g111 +Vdefine +p12726 +tp12727 +a(g202 +V( +tp12728 +a(g21 +Vmodule-remove! +p12729 +tp12730 +a(g189 +V +tp12731 +a(g73 +Vm +tp12732 +a(g189 +V +tp12733 +a(g73 +Vv +tp12734 +a(g202 +V) +tp12735 +a(g189 +V\u000a +p12736 +tp12737 +a(g202 +V( +tp12738 +a(g21 +Vmodule-obarray-remove! +p12739 +tp12740 +a(g189 +V +p12741 +tp12742 +a(g202 +V( +tp12743 +a(g21 +Vmodule-obarray +p12744 +tp12745 +a(g189 +V +tp12746 +a(g73 +Vm +tp12747 +a(g202 +V) +tp12748 +a(g189 +V +tp12749 +a(g73 +Vv +tp12750 +a(g202 +V) +tp12751 +a(g189 +V\u000a +p12752 +tp12753 +a(g202 +V( +tp12754 +a(g21 +Vmodule-modified +p12755 +tp12756 +a(g189 +V +tp12757 +a(g73 +Vm +tp12758 +a(g202 +V) +tp12759 +a(g202 +V) +tp12760 +a(g189 +V\u000a\u000a +p12761 +tp12762 +a(g202 +V( +tp12763 +a(g111 +Vdefine +p12764 +tp12765 +a(g202 +V( +tp12766 +a(g21 +Vmodule-clear! +p12767 +tp12768 +a(g189 +V +tp12769 +a(g73 +Vm +tp12770 +a(g202 +V) +tp12771 +a(g189 +V\u000a +p12772 +tp12773 +a(g202 +V( +tp12774 +a(g57 +Vvector-fill! +p12775 +tp12776 +a(g202 +V( +tp12777 +a(g21 +Vmodule-obarray +p12778 +tp12779 +a(g189 +V +tp12780 +a(g73 +Vm +tp12781 +a(g202 +V) +tp12782 +a(g189 +V +tp12783 +a(g343 +V' +tp12784 +a(g202 +V( +tp12785 +a(g202 +V) +tp12786 +a(g202 +V) +tp12787 +a(g189 +V\u000a +p12788 +tp12789 +a(g202 +V( +tp12790 +a(g21 +Vmodule-modified +p12791 +tp12792 +a(g189 +V +tp12793 +a(g73 +Vm +tp12794 +a(g202 +V) +tp12795 +a(g202 +V) +tp12796 +a(g189 +V\u000a\u000a +p12797 +tp12798 +a(g7 +V;; MODULE-FOR-EACH -- exported +p12799 +tp12800 +a(g189 +V\u000a +tp12801 +a(g7 +V;; +p12802 +tp12803 +a(g189 +V\u000a +tp12804 +a(g7 +V;; Call PROC on each symbol in MODULE, with arguments of (SYMBOL VARIABLE). +p12805 +tp12806 +a(g189 +V\u000a +tp12807 +a(g7 +V;; +p12808 +tp12809 +a(g189 +V\u000a +tp12810 +a(g202 +V( +tp12811 +a(g111 +Vdefine +p12812 +tp12813 +a(g202 +V( +tp12814 +a(g21 +Vmodule-for-each +p12815 +tp12816 +a(g189 +V +tp12817 +a(g73 +Vproc +p12818 +tp12819 +a(g189 +V +tp12820 +a(g73 +Vmodule +p12821 +tp12822 +a(g202 +V) +tp12823 +a(g189 +V\u000a +p12824 +tp12825 +a(g202 +V( +tp12826 +a(g111 +Vlet +p12827 +tp12828 +a(g202 +V( +tp12829 +a(g202 +V( +tp12830 +a(g21 +Vobarray +p12831 +tp12832 +a(g189 +V +tp12833 +a(g202 +V( +tp12834 +a(g21 +Vmodule-obarray +p12835 +tp12836 +a(g189 +V +tp12837 +a(g73 +Vmodule +p12838 +tp12839 +a(g202 +V) +tp12840 +a(g202 +V) +tp12841 +a(g202 +V) +tp12842 +a(g189 +V\u000a +p12843 +tp12844 +a(g202 +V( +tp12845 +a(g111 +Vdo +p12846 +tp12847 +a(g202 +V( +tp12848 +a(g202 +V( +tp12849 +a(g21 +Vindex +p12850 +tp12851 +a(g189 +V +tp12852 +a(g318 +V0 +tp12853 +a(g189 +V +tp12854 +a(g202 +V( +tp12855 +a(g57 +V+ +p12856 +tp12857 +a(g73 +Vindex +p12858 +tp12859 +a(g189 +V +tp12860 +a(g318 +V1 +tp12861 +a(g202 +V) +tp12862 +a(g202 +V) +tp12863 +a(g189 +V\u000a +p12864 +tp12865 +a(g202 +V( +tp12866 +a(g21 +Vend +p12867 +tp12868 +a(g189 +V +tp12869 +a(g202 +V( +tp12870 +a(g57 +Vvector-length +p12871 +tp12872 +a(g73 +Vobarray +p12873 +tp12874 +a(g202 +V) +tp12875 +a(g202 +V) +tp12876 +a(g202 +V) +tp12877 +a(g189 +V\u000a +p12878 +tp12879 +a(g202 +V( +tp12880 +a(g202 +V( +tp12881 +a(g57 +V= +p12882 +tp12883 +a(g73 +Vindex +p12884 +tp12885 +a(g189 +V +tp12886 +a(g73 +Vend +p12887 +tp12888 +a(g202 +V) +tp12889 +a(g202 +V) +tp12890 +a(g189 +V\u000a +p12891 +tp12892 +a(g202 +V( +tp12893 +a(g21 +Vfor-each +p12894 +tp12895 +a(g189 +V\u000a +p12896 +tp12897 +a(g202 +V( +tp12898 +a(g111 +Vlambda +p12899 +tp12900 +a(g202 +V( +tp12901 +a(g21 +Vbucket +p12902 +tp12903 +a(g202 +V) +tp12904 +a(g189 +V\u000a +p12905 +tp12906 +a(g202 +V( +tp12907 +a(g21 +Vproc +p12908 +tp12909 +a(g189 +V +tp12910 +a(g202 +V( +tp12911 +a(g57 +Vcar +p12912 +tp12913 +a(g73 +Vbucket +p12914 +tp12915 +a(g202 +V) +tp12916 +a(g189 +V +tp12917 +a(g202 +V( +tp12918 +a(g57 +Vcdr +p12919 +tp12920 +a(g73 +Vbucket +p12921 +tp12922 +a(g202 +V) +tp12923 +a(g202 +V) +tp12924 +a(g202 +V) +tp12925 +a(g189 +V\u000a +p12926 +tp12927 +a(g202 +V( +tp12928 +a(g57 +Vvector-ref +p12929 +tp12930 +a(g73 +Vobarray +p12931 +tp12932 +a(g189 +V +tp12933 +a(g73 +Vindex +p12934 +tp12935 +a(g202 +V) +tp12936 +a(g202 +V) +tp12937 +a(g202 +V) +tp12938 +a(g202 +V) +tp12939 +a(g202 +V) +tp12940 +a(g189 +V\u000a\u000a\u000a +p12941 +tp12942 +a(g202 +V( +tp12943 +a(g111 +Vdefine +p12944 +tp12945 +a(g202 +V( +tp12946 +a(g21 +Vmodule-map +p12947 +tp12948 +a(g189 +V +tp12949 +a(g73 +Vproc +p12950 +tp12951 +a(g189 +V +tp12952 +a(g73 +Vmodule +p12953 +tp12954 +a(g202 +V) +tp12955 +a(g189 +V\u000a +p12956 +tp12957 +a(g202 +V( +tp12958 +a(g111 +Vlet* +p12959 +tp12960 +a(g202 +V( +tp12961 +a(g202 +V( +tp12962 +a(g21 +Vobarray +p12963 +tp12964 +a(g189 +V +tp12965 +a(g202 +V( +tp12966 +a(g21 +Vmodule-obarray +p12967 +tp12968 +a(g189 +V +tp12969 +a(g73 +Vmodule +p12970 +tp12971 +a(g202 +V) +tp12972 +a(g202 +V) +tp12973 +a(g189 +V\u000a +p12974 +tp12975 +a(g202 +V( +tp12976 +a(g21 +Vend +p12977 +tp12978 +a(g189 +V +tp12979 +a(g202 +V( +tp12980 +a(g57 +Vvector-length +p12981 +tp12982 +a(g73 +Vobarray +p12983 +tp12984 +a(g202 +V) +tp12985 +a(g202 +V) +tp12986 +a(g202 +V) +tp12987 +a(g189 +V\u000a\u000a +p12988 +tp12989 +a(g202 +V( +tp12990 +a(g111 +Vlet +p12991 +tp12992 +a(g73 +Vloop +p12993 +tp12994 +a(g189 +V +tp12995 +a(g202 +V( +tp12996 +a(g202 +V( +tp12997 +a(g21 +Vi +tp12998 +a(g189 +V +tp12999 +a(g318 +V0 +tp13000 +a(g202 +V) +tp13001 +a(g189 +V\u000a +p13002 +tp13003 +a(g202 +V( +tp13004 +a(g21 +Vanswer +p13005 +tp13006 +a(g189 +V +tp13007 +a(g343 +V' +tp13008 +a(g202 +V( +tp13009 +a(g202 +V) +tp13010 +a(g202 +V) +tp13011 +a(g202 +V) +tp13012 +a(g189 +V\u000a +p13013 +tp13014 +a(g202 +V( +tp13015 +a(g111 +Vif +p13016 +tp13017 +a(g202 +V( +tp13018 +a(g57 +V= +p13019 +tp13020 +a(g73 +Vi +tp13021 +a(g189 +V +tp13022 +a(g73 +Vend +p13023 +tp13024 +a(g202 +V) +tp13025 +a(g189 +V\u000a +p13026 +tp13027 +a(g73 +Vanswer +p13028 +tp13029 +a(g189 +V\u000a +p13030 +tp13031 +a(g202 +V( +tp13032 +a(g21 +Vloop +p13033 +tp13034 +a(g189 +V +tp13035 +a(g202 +V( +tp13036 +a(g57 +V+ +p13037 +tp13038 +a(g318 +V1 +tp13039 +a(g189 +V +tp13040 +a(g73 +Vi +tp13041 +a(g202 +V) +tp13042 +a(g189 +V\u000a +p13043 +tp13044 +a(g202 +V( +tp13045 +a(g21 +Vappend! +p13046 +tp13047 +a(g189 +V\u000a +p13048 +tp13049 +a(g202 +V( +tp13050 +a(g57 +Vmap +p13051 +tp13052 +a(g202 +V( +tp13053 +a(g111 +Vlambda +p13054 +tp13055 +a(g202 +V( +tp13056 +a(g21 +Vbucket +p13057 +tp13058 +a(g202 +V) +tp13059 +a(g189 +V\u000a +p13060 +tp13061 +a(g202 +V( +tp13062 +a(g21 +Vproc +p13063 +tp13064 +a(g189 +V +tp13065 +a(g202 +V( +tp13066 +a(g57 +Vcar +p13067 +tp13068 +a(g73 +Vbucket +p13069 +tp13070 +a(g202 +V) +tp13071 +a(g189 +V +tp13072 +a(g202 +V( +tp13073 +a(g57 +Vcdr +p13074 +tp13075 +a(g73 +Vbucket +p13076 +tp13077 +a(g202 +V) +tp13078 +a(g202 +V) +tp13079 +a(g202 +V) +tp13080 +a(g189 +V\u000a +p13081 +tp13082 +a(g202 +V( +tp13083 +a(g57 +Vvector-ref +p13084 +tp13085 +a(g73 +Vobarray +p13086 +tp13087 +a(g189 +V +tp13088 +a(g73 +Vi +tp13089 +a(g202 +V) +tp13090 +a(g202 +V) +tp13091 +a(g189 +V\u000a +p13092 +tp13093 +a(g73 +Vanswer +p13094 +tp13095 +a(g202 +V) +tp13096 +a(g202 +V) +tp13097 +a(g202 +V) +tp13098 +a(g202 +V) +tp13099 +a(g202 +V) +tp13100 +a(g202 +V) +tp13101 +a(g189 +V\u000a \u000a\u000a +p13102 +tp13103 +a(g7 +V;;; {Low Level Bootstrapping} +p13104 +tp13105 +a(g189 +V\u000a +tp13106 +a(g7 +V;;; +p13107 +tp13108 +a(g189 +V\u000a\u000a +p13109 +tp13110 +a(g7 +V;; make-root-module +p13111 +tp13112 +a(g189 +V\u000a\u000a +p13113 +tp13114 +a(g7 +V;; A root module uses the pre-modules-obarray as its obarray. This +p13115 +tp13116 +a(g189 +V\u000a +tp13117 +a(g7 +V;; special obarray accumulates all bindings that have been established +p13118 +tp13119 +a(g189 +V\u000a +tp13120 +a(g7 +V;; before the module system is fully booted. +p13121 +tp13122 +a(g189 +V\u000a +tp13123 +a(g7 +V;; +p13124 +tp13125 +a(g189 +V\u000a +tp13126 +a(g7 +V;; (The obarray continues to be used by code that has been closed over +p13127 +tp13128 +a(g189 +V\u000a +tp13129 +a(g7 +V;; before the module system has been booted.) +p13130 +tp13131 +a(g189 +V\u000a\u000a +p13132 +tp13133 +a(g202 +V( +tp13134 +a(g111 +Vdefine +p13135 +tp13136 +a(g202 +V( +tp13137 +a(g21 +Vmake-root-module +p13138 +tp13139 +a(g202 +V) +tp13140 +a(g189 +V\u000a +p13141 +tp13142 +a(g202 +V( +tp13143 +a(g111 +Vlet +p13144 +tp13145 +a(g202 +V( +tp13146 +a(g202 +V( +tp13147 +a(g21 +Vm +tp13148 +a(g189 +V +tp13149 +a(g202 +V( +tp13150 +a(g21 +Vmake-module +p13151 +tp13152 +a(g189 +V +tp13153 +a(g318 +V0 +tp13154 +a(g202 +V) +tp13155 +a(g202 +V) +tp13156 +a(g202 +V) +tp13157 +a(g189 +V\u000a +p13158 +tp13159 +a(g202 +V( +tp13160 +a(g21 +Vset-module-obarray! +p13161 +tp13162 +a(g189 +V +tp13163 +a(g73 +Vm +tp13164 +a(g189 +V +tp13165 +a(g202 +V( +tp13166 +a(g21 +V%get-pre-modules-obarray +p13167 +tp13168 +a(g202 +V) +tp13169 +a(g202 +V) +tp13170 +a(g189 +V\u000a +p13171 +tp13172 +a(g73 +Vm +tp13173 +a(g202 +V) +tp13174 +a(g202 +V) +tp13175 +a(g189 +V\u000a\u000a +p13176 +tp13177 +a(g7 +V;; make-scm-module +p13178 +tp13179 +a(g189 +V\u000a\u000a +p13180 +tp13181 +a(g7 +V;; The root interface is a module that uses the same obarray as the +p13182 +tp13183 +a(g189 +V\u000a +tp13184 +a(g7 +V;; root module. It does not allow new definitions, tho. +p13185 +tp13186 +a(g189 +V\u000a\u000a +p13187 +tp13188 +a(g202 +V( +tp13189 +a(g111 +Vdefine +p13190 +tp13191 +a(g202 +V( +tp13192 +a(g21 +Vmake-scm-module +p13193 +tp13194 +a(g202 +V) +tp13195 +a(g189 +V\u000a +p13196 +tp13197 +a(g202 +V( +tp13198 +a(g111 +Vlet +p13199 +tp13200 +a(g202 +V( +tp13201 +a(g202 +V( +tp13202 +a(g21 +Vm +tp13203 +a(g189 +V +tp13204 +a(g202 +V( +tp13205 +a(g21 +Vmake-module +p13206 +tp13207 +a(g189 +V +tp13208 +a(g318 +V0 +tp13209 +a(g202 +V) +tp13210 +a(g202 +V) +tp13211 +a(g202 +V) +tp13212 +a(g189 +V\u000a +p13213 +tp13214 +a(g202 +V( +tp13215 +a(g21 +Vset-module-obarray! +p13216 +tp13217 +a(g189 +V +tp13218 +a(g73 +Vm +tp13219 +a(g189 +V +tp13220 +a(g202 +V( +tp13221 +a(g21 +V%get-pre-modules-obarray +p13222 +tp13223 +a(g202 +V) +tp13224 +a(g202 +V) +tp13225 +a(g189 +V\u000a +p13226 +tp13227 +a(g202 +V( +tp13228 +a(g21 +Vset-module-eval-closure! +p13229 +tp13230 +a(g189 +V +tp13231 +a(g73 +Vm +tp13232 +a(g189 +V +tp13233 +a(g202 +V( +tp13234 +a(g21 +Vstandard-interface-eval-closure +p13235 +tp13236 +a(g189 +V +tp13237 +a(g73 +Vm +tp13238 +a(g202 +V) +tp13239 +a(g202 +V) +tp13240 +a(g189 +V\u000a +p13241 +tp13242 +a(g73 +Vm +tp13243 +a(g202 +V) +tp13244 +a(g202 +V) +tp13245 +a(g189 +V\u000a\u000a\u000a \u000a +p13246 +tp13247 +a(g7 +V;;; {Module-based Loading} +p13248 +tp13249 +a(g189 +V\u000a +tp13250 +a(g7 +V;;; +p13251 +tp13252 +a(g189 +V\u000a\u000a +p13253 +tp13254 +a(g202 +V( +tp13255 +a(g111 +Vdefine +p13256 +tp13257 +a(g202 +V( +tp13258 +a(g21 +Vsave-module-excursion +p13259 +tp13260 +a(g189 +V +tp13261 +a(g73 +Vthunk +p13262 +tp13263 +a(g202 +V) +tp13264 +a(g189 +V\u000a +p13265 +tp13266 +a(g202 +V( +tp13267 +a(g111 +Vlet +p13268 +tp13269 +a(g202 +V( +tp13270 +a(g202 +V( +tp13271 +a(g21 +Vinner-module +p13272 +tp13273 +a(g189 +V +tp13274 +a(g202 +V( +tp13275 +a(g21 +Vcurrent-module +p13276 +tp13277 +a(g202 +V) +tp13278 +a(g202 +V) +tp13279 +a(g189 +V\u000a +p13280 +tp13281 +a(g202 +V( +tp13282 +a(g21 +Vouter-module +p13283 +tp13284 +a(g189 +V +tp13285 +a(g33 +V#f +p13286 +tp13287 +a(g202 +V) +tp13288 +a(g202 +V) +tp13289 +a(g189 +V\u000a +p13290 +tp13291 +a(g202 +V( +tp13292 +a(g57 +Vdynamic-wind +p13293 +tp13294 +a(g202 +V( +tp13295 +a(g111 +Vlambda +p13296 +tp13297 +a(g202 +V( +tp13298 +a(g202 +V) +tp13299 +a(g189 +V\u000a +p13300 +tp13301 +a(g202 +V( +tp13302 +a(g111 +Vset! +p13303 +tp13304 +a(g73 +Vouter-module +p13305 +tp13306 +a(g189 +V +tp13307 +a(g202 +V( +tp13308 +a(g21 +Vcurrent-module +p13309 +tp13310 +a(g202 +V) +tp13311 +a(g202 +V) +tp13312 +a(g189 +V\u000a +p13313 +tp13314 +a(g202 +V( +tp13315 +a(g21 +Vset-current-module +p13316 +tp13317 +a(g189 +V +tp13318 +a(g73 +Vinner-module +p13319 +tp13320 +a(g202 +V) +tp13321 +a(g189 +V\u000a +p13322 +tp13323 +a(g202 +V( +tp13324 +a(g111 +Vset! +p13325 +tp13326 +a(g73 +Vinner-module +p13327 +tp13328 +a(g189 +V +tp13329 +a(g33 +V#f +p13330 +tp13331 +a(g202 +V) +tp13332 +a(g202 +V) +tp13333 +a(g189 +V\u000a +p13334 +tp13335 +a(g73 +Vthunk +p13336 +tp13337 +a(g189 +V\u000a +p13338 +tp13339 +a(g202 +V( +tp13340 +a(g111 +Vlambda +p13341 +tp13342 +a(g202 +V( +tp13343 +a(g202 +V) +tp13344 +a(g189 +V\u000a +p13345 +tp13346 +a(g202 +V( +tp13347 +a(g111 +Vset! +p13348 +tp13349 +a(g73 +Vinner-module +p13350 +tp13351 +a(g189 +V +tp13352 +a(g202 +V( +tp13353 +a(g21 +Vcurrent-module +p13354 +tp13355 +a(g202 +V) +tp13356 +a(g202 +V) +tp13357 +a(g189 +V\u000a +p13358 +tp13359 +a(g202 +V( +tp13360 +a(g21 +Vset-current-module +p13361 +tp13362 +a(g189 +V +tp13363 +a(g73 +Vouter-module +p13364 +tp13365 +a(g202 +V) +tp13366 +a(g189 +V\u000a +p13367 +tp13368 +a(g202 +V( +tp13369 +a(g111 +Vset! +p13370 +tp13371 +a(g73 +Vouter-module +p13372 +tp13373 +a(g189 +V +tp13374 +a(g33 +V#f +p13375 +tp13376 +a(g202 +V) +tp13377 +a(g202 +V) +tp13378 +a(g202 +V) +tp13379 +a(g202 +V) +tp13380 +a(g202 +V) +tp13381 +a(g189 +V\u000a\u000a +p13382 +tp13383 +a(g202 +V( +tp13384 +a(g111 +Vdefine +p13385 +tp13386 +a(g73 +Vbasic-load +p13387 +tp13388 +a(g189 +V +tp13389 +a(g73 +Vload +p13390 +tp13391 +a(g202 +V) +tp13392 +a(g189 +V\u000a\u000a +p13393 +tp13394 +a(g202 +V( +tp13395 +a(g111 +Vdefine +p13396 +tp13397 +a(g202 +V( +tp13398 +a(g21 +Vload-module +p13399 +tp13400 +a(g189 +V +tp13401 +a(g73 +Vfilename +p13402 +tp13403 +a(g202 +V) +tp13404 +a(g189 +V\u000a +p13405 +tp13406 +a(g202 +V( +tp13407 +a(g21 +Vsave-module-excursion +p13408 +tp13409 +a(g189 +V\u000a +p13410 +tp13411 +a(g202 +V( +tp13412 +a(g111 +Vlambda +p13413 +tp13414 +a(g202 +V( +tp13415 +a(g202 +V) +tp13416 +a(g189 +V\u000a +p13417 +tp13418 +a(g202 +V( +tp13419 +a(g111 +Vlet +p13420 +tp13421 +a(g202 +V( +tp13422 +a(g202 +V( +tp13423 +a(g21 +Voldname +p13424 +tp13425 +a(g189 +V +tp13426 +a(g202 +V( +tp13427 +a(g111 +Vand +p13428 +tp13429 +a(g202 +V( +tp13430 +a(g21 +Vcurrent-load-port +p13431 +tp13432 +a(g202 +V) +tp13433 +a(g189 +V\u000a +p13434 +tp13435 +a(g202 +V( +tp13436 +a(g21 +Vport-filename +p13437 +tp13438 +a(g189 +V +tp13439 +a(g202 +V( +tp13440 +a(g21 +Vcurrent-load-port +p13441 +tp13442 +a(g202 +V) +tp13443 +a(g202 +V) +tp13444 +a(g202 +V) +tp13445 +a(g202 +V) +tp13446 +a(g202 +V) +tp13447 +a(g189 +V\u000a +p13448 +tp13449 +a(g202 +V( +tp13450 +a(g21 +Vbasic-load +p13451 +tp13452 +a(g189 +V +tp13453 +a(g202 +V( +tp13454 +a(g111 +Vif +p13455 +tp13456 +a(g202 +V( +tp13457 +a(g111 +Vand +p13458 +tp13459 +a(g73 +Voldname +p13460 +tp13461 +a(g189 +V\u000a +p13462 +tp13463 +a(g202 +V( +tp13464 +a(g57 +V> +p13465 +tp13466 +a(g202 +V( +tp13467 +a(g57 +Vstring-length +p13468 +tp13469 +a(g73 +Vfilename +p13470 +tp13471 +a(g202 +V) +tp13472 +a(g189 +V +tp13473 +a(g318 +V0 +tp13474 +a(g202 +V) +tp13475 +a(g189 +V\u000a +p13476 +tp13477 +a(g202 +V( +tp13478 +a(g57 +Vnot +p13479 +tp13480 +a(g202 +V( +tp13481 +a(g57 +Vchar=? +p13482 +tp13483 +a(g202 +V( +tp13484 +a(g57 +Vstring-ref +p13485 +tp13486 +a(g73 +Vfilename +p13487 +tp13488 +a(g189 +V +tp13489 +a(g318 +V0 +tp13490 +a(g202 +V) +tp13491 +a(g189 +V +tp13492 +a(g268 +V#\u005c/ +p13493 +tp13494 +a(g202 +V) +tp13495 +a(g202 +V) +tp13496 +a(g189 +V\u000a +p13497 +tp13498 +a(g202 +V( +tp13499 +a(g57 +Vnot +p13500 +tp13501 +a(g202 +V( +tp13502 +a(g57 +Vstring=? +p13503 +tp13504 +a(g202 +V( +tp13505 +a(g21 +Vdirname +p13506 +tp13507 +a(g189 +V +tp13508 +a(g73 +Voldname +p13509 +tp13510 +a(g202 +V) +tp13511 +a(g189 +V +tp13512 +a(g226 +V"." +p13513 +tp13514 +a(g202 +V) +tp13515 +a(g202 +V) +tp13516 +a(g202 +V) +tp13517 +a(g189 +V\u000a +p13518 +tp13519 +a(g202 +V( +tp13520 +a(g57 +Vstring-append +p13521 +tp13522 +a(g202 +V( +tp13523 +a(g21 +Vdirname +p13524 +tp13525 +a(g189 +V +tp13526 +a(g73 +Voldname +p13527 +tp13528 +a(g202 +V) +tp13529 +a(g189 +V +tp13530 +a(g226 +V"/" +p13531 +tp13532 +a(g189 +V +tp13533 +a(g73 +Vfilename +p13534 +tp13535 +a(g202 +V) +tp13536 +a(g189 +V\u000a +p13537 +tp13538 +a(g73 +Vfilename +p13539 +tp13540 +a(g202 +V) +tp13541 +a(g202 +V) +tp13542 +a(g202 +V) +tp13543 +a(g202 +V) +tp13544 +a(g202 +V) +tp13545 +a(g202 +V) +tp13546 +a(g189 +V\u000a\u000a\u000a \u000a +p13547 +tp13548 +a(g7 +V;;; {MODULE-REF -- exported} +p13549 +tp13550 +a(g189 +V\u000a +tp13551 +a(g7 +V;; +p13552 +tp13553 +a(g189 +V\u000a +tp13554 +a(g7 +V;; Returns the value of a variable called NAME in MODULE or any of its +p13555 +tp13556 +a(g189 +V\u000a +tp13557 +a(g7 +V;; used modules. If there is no such variable, then if the optional third +p13558 +tp13559 +a(g189 +V\u000a +tp13560 +a(g7 +V;; argument DEFAULT is present, it is returned; otherwise an error is signaled. +p13561 +tp13562 +a(g189 +V\u000a +tp13563 +a(g7 +V;; +p13564 +tp13565 +a(g189 +V\u000a +tp13566 +a(g202 +V( +tp13567 +a(g111 +Vdefine +p13568 +tp13569 +a(g202 +V( +tp13570 +a(g21 +Vmodule-ref +p13571 +tp13572 +a(g189 +V +tp13573 +a(g73 +Vmodule +p13574 +tp13575 +a(g189 +V +tp13576 +a(g73 +Vname +p13577 +tp13578 +a(g189 +V +tp13579 +a(g343 +V. +tp13580 +a(g189 +V +tp13581 +a(g73 +Vrest +p13582 +tp13583 +a(g202 +V) +tp13584 +a(g189 +V\u000a +p13585 +tp13586 +a(g202 +V( +tp13587 +a(g111 +Vlet +p13588 +tp13589 +a(g202 +V( +tp13590 +a(g202 +V( +tp13591 +a(g21 +Vvariable +p13592 +tp13593 +a(g189 +V +tp13594 +a(g202 +V( +tp13595 +a(g21 +Vmodule-variable +p13596 +tp13597 +a(g189 +V +tp13598 +a(g73 +Vmodule +p13599 +tp13600 +a(g189 +V +tp13601 +a(g73 +Vname +p13602 +tp13603 +a(g202 +V) +tp13604 +a(g202 +V) +tp13605 +a(g202 +V) +tp13606 +a(g189 +V\u000a +p13607 +tp13608 +a(g202 +V( +tp13609 +a(g111 +Vif +p13610 +tp13611 +a(g202 +V( +tp13612 +a(g111 +Vand +p13613 +tp13614 +a(g73 +Vvariable +p13615 +tp13616 +a(g189 +V +tp13617 +a(g202 +V( +tp13618 +a(g21 +Vvariable-bound? +p13619 +tp13620 +a(g189 +V +tp13621 +a(g73 +Vvariable +p13622 +tp13623 +a(g202 +V) +tp13624 +a(g202 +V) +tp13625 +a(g189 +V\u000a +p13626 +tp13627 +a(g202 +V( +tp13628 +a(g21 +Vvariable-ref +p13629 +tp13630 +a(g189 +V +tp13631 +a(g73 +Vvariable +p13632 +tp13633 +a(g202 +V) +tp13634 +a(g189 +V\u000a +p13635 +tp13636 +a(g202 +V( +tp13637 +a(g111 +Vif +p13638 +tp13639 +a(g202 +V( +tp13640 +a(g57 +Vnull? +p13641 +tp13642 +a(g73 +Vrest +p13643 +tp13644 +a(g202 +V) +tp13645 +a(g189 +V\u000a +p13646 +tp13647 +a(g202 +V( +tp13648 +a(g21 +Verror +p13649 +tp13650 +a(g189 +V +tp13651 +a(g226 +V"No variable named" +p13652 +tp13653 +a(g189 +V +tp13654 +a(g73 +Vname +p13655 +tp13656 +a(g189 +V +tp13657 +a(g248 +V'in +p13658 +tp13659 +a(g189 +V +tp13660 +a(g73 +Vmodule +p13661 +tp13662 +a(g202 +V) +tp13663 +a(g189 +V\u000a +p13664 +tp13665 +a(g202 +V( +tp13666 +a(g57 +Vcar +p13667 +tp13668 +a(g73 +Vrest +p13669 +tp13670 +a(g202 +V) +tp13671 +a(g189 +V +p13672 +tp13673 +a(g7 +V; default value +p13674 +tp13675 +a(g189 +V\u000a +p13676 +tp13677 +a(g202 +V) +tp13678 +a(g202 +V) +tp13679 +a(g202 +V) +tp13680 +a(g202 +V) +tp13681 +a(g189 +V\u000a\u000a +p13682 +tp13683 +a(g7 +V;; MODULE-SET! -- exported +p13684 +tp13685 +a(g189 +V\u000a +tp13686 +a(g7 +V;; +p13687 +tp13688 +a(g189 +V\u000a +tp13689 +a(g7 +V;; Sets the variable called NAME in MODULE (or in a module that MODULE uses) +p13690 +tp13691 +a(g189 +V\u000a +tp13692 +a(g7 +V;; to VALUE; if there is no such variable, an error is signaled. +p13693 +tp13694 +a(g189 +V\u000a +tp13695 +a(g7 +V;; +p13696 +tp13697 +a(g189 +V\u000a +tp13698 +a(g202 +V( +tp13699 +a(g111 +Vdefine +p13700 +tp13701 +a(g202 +V( +tp13702 +a(g21 +Vmodule-set! +p13703 +tp13704 +a(g189 +V +tp13705 +a(g73 +Vmodule +p13706 +tp13707 +a(g189 +V +tp13708 +a(g73 +Vname +p13709 +tp13710 +a(g189 +V +tp13711 +a(g73 +Vvalue +p13712 +tp13713 +a(g202 +V) +tp13714 +a(g189 +V\u000a +p13715 +tp13716 +a(g202 +V( +tp13717 +a(g111 +Vlet +p13718 +tp13719 +a(g202 +V( +tp13720 +a(g202 +V( +tp13721 +a(g21 +Vvariable +p13722 +tp13723 +a(g189 +V +tp13724 +a(g202 +V( +tp13725 +a(g21 +Vmodule-variable +p13726 +tp13727 +a(g189 +V +tp13728 +a(g73 +Vmodule +p13729 +tp13730 +a(g189 +V +tp13731 +a(g73 +Vname +p13732 +tp13733 +a(g202 +V) +tp13734 +a(g202 +V) +tp13735 +a(g202 +V) +tp13736 +a(g189 +V\u000a +p13737 +tp13738 +a(g202 +V( +tp13739 +a(g111 +Vif +p13740 +tp13741 +a(g73 +Vvariable +p13742 +tp13743 +a(g189 +V\u000a +p13744 +tp13745 +a(g202 +V( +tp13746 +a(g21 +Vvariable-set! +p13747 +tp13748 +a(g189 +V +tp13749 +a(g73 +Vvariable +p13750 +tp13751 +a(g189 +V +tp13752 +a(g73 +Vvalue +p13753 +tp13754 +a(g202 +V) +tp13755 +a(g189 +V\u000a +p13756 +tp13757 +a(g202 +V( +tp13758 +a(g21 +Verror +p13759 +tp13760 +a(g189 +V +tp13761 +a(g226 +V"No variable named" +p13762 +tp13763 +a(g189 +V +tp13764 +a(g73 +Vname +p13765 +tp13766 +a(g189 +V +tp13767 +a(g248 +V'in +p13768 +tp13769 +a(g189 +V +tp13770 +a(g73 +Vmodule +p13771 +tp13772 +a(g202 +V) +tp13773 +a(g202 +V) +tp13774 +a(g202 +V) +tp13775 +a(g202 +V) +tp13776 +a(g189 +V\u000a\u000a +p13777 +tp13778 +a(g7 +V;; MODULE-DEFINE! -- exported +p13779 +tp13780 +a(g189 +V\u000a +tp13781 +a(g7 +V;; +p13782 +tp13783 +a(g189 +V\u000a +tp13784 +a(g7 +V;; Sets the variable called NAME in MODULE to VALUE; if there is no such +p13785 +tp13786 +a(g189 +V\u000a +tp13787 +a(g7 +V;; variable, it is added first. +p13788 +tp13789 +a(g189 +V\u000a +tp13790 +a(g7 +V;; +p13791 +tp13792 +a(g189 +V\u000a +tp13793 +a(g202 +V( +tp13794 +a(g111 +Vdefine +p13795 +tp13796 +a(g202 +V( +tp13797 +a(g21 +Vmodule-define! +p13798 +tp13799 +a(g189 +V +tp13800 +a(g73 +Vmodule +p13801 +tp13802 +a(g189 +V +tp13803 +a(g73 +Vname +p13804 +tp13805 +a(g189 +V +tp13806 +a(g73 +Vvalue +p13807 +tp13808 +a(g202 +V) +tp13809 +a(g189 +V\u000a +p13810 +tp13811 +a(g202 +V( +tp13812 +a(g111 +Vlet +p13813 +tp13814 +a(g202 +V( +tp13815 +a(g202 +V( +tp13816 +a(g21 +Vvariable +p13817 +tp13818 +a(g189 +V +tp13819 +a(g202 +V( +tp13820 +a(g21 +Vmodule-local-variable +p13821 +tp13822 +a(g189 +V +tp13823 +a(g73 +Vmodule +p13824 +tp13825 +a(g189 +V +tp13826 +a(g73 +Vname +p13827 +tp13828 +a(g202 +V) +tp13829 +a(g202 +V) +tp13830 +a(g202 +V) +tp13831 +a(g189 +V\u000a +p13832 +tp13833 +a(g202 +V( +tp13834 +a(g111 +Vif +p13835 +tp13836 +a(g73 +Vvariable +p13837 +tp13838 +a(g189 +V\u000a +p13839 +tp13840 +a(g202 +V( +tp13841 +a(g21 +Vbegin +p13842 +tp13843 +a(g189 +V\u000a +p13844 +tp13845 +a(g202 +V( +tp13846 +a(g21 +Vvariable-set! +p13847 +tp13848 +a(g189 +V +tp13849 +a(g73 +Vvariable +p13850 +tp13851 +a(g189 +V +tp13852 +a(g73 +Vvalue +p13853 +tp13854 +a(g202 +V) +tp13855 +a(g189 +V\u000a +p13856 +tp13857 +a(g202 +V( +tp13858 +a(g21 +Vmodule-modified +p13859 +tp13860 +a(g189 +V +tp13861 +a(g73 +Vmodule +p13862 +tp13863 +a(g202 +V) +tp13864 +a(g202 +V) +tp13865 +a(g189 +V\u000a +p13866 +tp13867 +a(g202 +V( +tp13868 +a(g111 +Vlet +p13869 +tp13870 +a(g202 +V( +tp13871 +a(g202 +V( +tp13872 +a(g21 +Vvariable +p13873 +tp13874 +a(g189 +V +tp13875 +a(g202 +V( +tp13876 +a(g21 +Vmake-variable +p13877 +tp13878 +a(g189 +V +tp13879 +a(g73 +Vvalue +p13880 +tp13881 +a(g202 +V) +tp13882 +a(g202 +V) +tp13883 +a(g202 +V) +tp13884 +a(g189 +V\u000a +p13885 +tp13886 +a(g202 +V( +tp13887 +a(g21 +Vvariable-set-name-hint! +p13888 +tp13889 +a(g189 +V +tp13890 +a(g73 +Vvariable +p13891 +tp13892 +a(g189 +V +tp13893 +a(g73 +Vname +p13894 +tp13895 +a(g202 +V) +tp13896 +a(g189 +V\u000a +p13897 +tp13898 +a(g202 +V( +tp13899 +a(g21 +Vmodule-add! +p13900 +tp13901 +a(g189 +V +tp13902 +a(g73 +Vmodule +p13903 +tp13904 +a(g189 +V +tp13905 +a(g73 +Vname +p13906 +tp13907 +a(g189 +V +tp13908 +a(g73 +Vvariable +p13909 +tp13910 +a(g202 +V) +tp13911 +a(g202 +V) +tp13912 +a(g202 +V) +tp13913 +a(g202 +V) +tp13914 +a(g202 +V) +tp13915 +a(g189 +V\u000a\u000a +p13916 +tp13917 +a(g7 +V;; MODULE-DEFINED? -- exported +p13918 +tp13919 +a(g189 +V\u000a +tp13920 +a(g7 +V;; +p13921 +tp13922 +a(g189 +V\u000a +tp13923 +a(g7 +V;; Return #t iff NAME is defined in MODULE (or in a module that MODULE +p13924 +tp13925 +a(g189 +V\u000a +tp13926 +a(g7 +V;; uses) +p13927 +tp13928 +a(g189 +V\u000a +tp13929 +a(g7 +V;; +p13930 +tp13931 +a(g189 +V\u000a +tp13932 +a(g202 +V( +tp13933 +a(g111 +Vdefine +p13934 +tp13935 +a(g202 +V( +tp13936 +a(g21 +Vmodule-defined? +p13937 +tp13938 +a(g189 +V +tp13939 +a(g73 +Vmodule +p13940 +tp13941 +a(g189 +V +tp13942 +a(g73 +Vname +p13943 +tp13944 +a(g202 +V) +tp13945 +a(g189 +V\u000a +p13946 +tp13947 +a(g202 +V( +tp13948 +a(g111 +Vlet +p13949 +tp13950 +a(g202 +V( +tp13951 +a(g202 +V( +tp13952 +a(g21 +Vvariable +p13953 +tp13954 +a(g189 +V +tp13955 +a(g202 +V( +tp13956 +a(g21 +Vmodule-variable +p13957 +tp13958 +a(g189 +V +tp13959 +a(g73 +Vmodule +p13960 +tp13961 +a(g189 +V +tp13962 +a(g73 +Vname +p13963 +tp13964 +a(g202 +V) +tp13965 +a(g202 +V) +tp13966 +a(g202 +V) +tp13967 +a(g189 +V\u000a +p13968 +tp13969 +a(g202 +V( +tp13970 +a(g111 +Vand +p13971 +tp13972 +a(g73 +Vvariable +p13973 +tp13974 +a(g189 +V +tp13975 +a(g202 +V( +tp13976 +a(g21 +Vvariable-bound? +p13977 +tp13978 +a(g189 +V +tp13979 +a(g73 +Vvariable +p13980 +tp13981 +a(g202 +V) +tp13982 +a(g202 +V) +tp13983 +a(g202 +V) +tp13984 +a(g202 +V) +tp13985 +a(g189 +V\u000a\u000a +p13986 +tp13987 +a(g7 +V;; MODULE-USE! module interface +p13988 +tp13989 +a(g189 +V\u000a +tp13990 +a(g7 +V;; +p13991 +tp13992 +a(g189 +V\u000a +tp13993 +a(g7 +V;; Add INTERFACE to the list of interfaces used by MODULE. +p13994 +tp13995 +a(g189 +V\u000a +tp13996 +a(g7 +V;; +p13997 +tp13998 +a(g189 +V\u000a +tp13999 +a(g202 +V( +tp14000 +a(g111 +Vdefine +p14001 +tp14002 +a(g202 +V( +tp14003 +a(g21 +Vmodule-use! +p14004 +tp14005 +a(g189 +V +tp14006 +a(g73 +Vmodule +p14007 +tp14008 +a(g189 +V +tp14009 +a(g73 +Vinterface +p14010 +tp14011 +a(g202 +V) +tp14012 +a(g189 +V\u000a +p14013 +tp14014 +a(g202 +V( +tp14015 +a(g21 +Vset-module-uses! +p14016 +tp14017 +a(g189 +V +tp14018 +a(g73 +Vmodule +p14019 +tp14020 +a(g189 +V\u000a +p14021 +tp14022 +a(g202 +V( +tp14023 +a(g57 +Vcons +p14024 +tp14025 +a(g73 +Vinterface +p14026 +tp14027 +a(g189 +V +tp14028 +a(g202 +V( +tp14029 +a(g21 +Vdelq! +p14030 +tp14031 +a(g189 +V +tp14032 +a(g73 +Vinterface +p14033 +tp14034 +a(g189 +V +tp14035 +a(g202 +V( +tp14036 +a(g21 +Vmodule-uses +p14037 +tp14038 +a(g189 +V +tp14039 +a(g73 +Vmodule +p14040 +tp14041 +a(g202 +V) +tp14042 +a(g202 +V) +tp14043 +a(g202 +V) +tp14044 +a(g202 +V) +tp14045 +a(g189 +V\u000a +p14046 +tp14047 +a(g202 +V( +tp14048 +a(g21 +Vmodule-modified +p14049 +tp14050 +a(g189 +V +tp14051 +a(g73 +Vmodule +p14052 +tp14053 +a(g202 +V) +tp14054 +a(g202 +V) +tp14055 +a(g189 +V\u000a\u000a \u000a +p14056 +tp14057 +a(g7 +V;;; {Recursive Namespaces} +p14058 +tp14059 +a(g189 +V\u000a +tp14060 +a(g7 +V;;; +p14061 +tp14062 +a(g189 +V\u000a +tp14063 +a(g7 +V;;; +p14064 +tp14065 +a(g189 +V\u000a +tp14066 +a(g7 +V;;; A hierarchical namespace emerges if we consider some module to be +p14067 +tp14068 +a(g189 +V\u000a +tp14069 +a(g7 +V;;; root, and variables bound to modules as nested namespaces. +p14070 +tp14071 +a(g189 +V\u000a +tp14072 +a(g7 +V;;; +p14073 +tp14074 +a(g189 +V\u000a +tp14075 +a(g7 +V;;; The routines in this file manage variable names in hierarchical namespace. +p14076 +tp14077 +a(g189 +V\u000a +tp14078 +a(g7 +V;;; Each variable name is a list of elements, looked up in successively nested +p14079 +tp14080 +a(g189 +V\u000a +tp14081 +a(g7 +V;;; modules. +p14082 +tp14083 +a(g189 +V\u000a +tp14084 +a(g7 +V;;; +p14085 +tp14086 +a(g189 +V\u000a +tp14087 +a(g7 +V;;; (nested-ref some-root-module '(foo bar baz)) +p14088 +tp14089 +a(g189 +V\u000a +tp14090 +a(g7 +V;;; => +p14094 +tp14095 +a(g189 +V\u000a +tp14096 +a(g7 +V;;; +p14097 +tp14098 +a(g189 +V\u000a +tp14099 +a(g7 +V;;; +p14100 +tp14101 +a(g189 +V\u000a +tp14102 +a(g7 +V;;; There are: +p14103 +tp14104 +a(g189 +V\u000a +tp14105 +a(g7 +V;;; +p14106 +tp14107 +a(g189 +V\u000a +tp14108 +a(g7 +V;;; ;; a-root is a module +p14109 +tp14110 +a(g189 +V\u000a +tp14111 +a(g7 +V;;; ;; name is a list of symbols +p14112 +tp14113 +a(g189 +V\u000a +tp14114 +a(g7 +V;;; +p14115 +tp14116 +a(g189 +V\u000a +tp14117 +a(g7 +V;;; nested-ref a-root name +p14118 +tp14119 +a(g189 +V\u000a +tp14120 +a(g7 +V;;; nested-set! a-root name val +p14121 +tp14122 +a(g189 +V\u000a +tp14123 +a(g7 +V;;; nested-define! a-root name val +p14124 +tp14125 +a(g189 +V\u000a +tp14126 +a(g7 +V;;; nested-remove! a-root name +p14127 +tp14128 +a(g189 +V\u000a +tp14129 +a(g7 +V;;; +p14130 +tp14131 +a(g189 +V\u000a +tp14132 +a(g7 +V;;; +p14133 +tp14134 +a(g189 +V\u000a +tp14135 +a(g7 +V;;; (current-module) is a natural choice for a-root so for convenience there are +p14136 +tp14137 +a(g189 +V\u000a +tp14138 +a(g7 +V;;; also: +p14139 +tp14140 +a(g189 +V\u000a +tp14141 +a(g7 +V;;; +p14142 +tp14143 +a(g189 +V\u000a +tp14144 +a(g7 +V;;; local-ref name == nested-ref (current-module) name +p14145 +tp14146 +a(g189 +V\u000a +tp14147 +a(g7 +V;;; local-set! name val == nested-set! (current-module) name val +p14148 +tp14149 +a(g189 +V\u000a +tp14150 +a(g7 +V;;; local-define! name val == nested-define! (current-module) name val +p14151 +tp14152 +a(g189 +V\u000a +tp14153 +a(g7 +V;;; local-remove! name == nested-remove! (current-module) name +p14154 +tp14155 +a(g189 +V\u000a +tp14156 +a(g7 +V;;; +p14157 +tp14158 +a(g189 +V\u000a\u000a\u000a +p14159 +tp14160 +a(g202 +V( +tp14161 +a(g111 +Vdefine +p14162 +tp14163 +a(g202 +V( +tp14164 +a(g21 +Vnested-ref +p14165 +tp14166 +a(g189 +V +tp14167 +a(g73 +Vroot +p14168 +tp14169 +a(g189 +V +tp14170 +a(g73 +Vnames +p14171 +tp14172 +a(g202 +V) +tp14173 +a(g189 +V\u000a +p14174 +tp14175 +a(g202 +V( +tp14176 +a(g111 +Vlet +p14177 +tp14178 +a(g73 +Vloop +p14179 +tp14180 +a(g189 +V +tp14181 +a(g202 +V( +tp14182 +a(g202 +V( +tp14183 +a(g21 +Vcur +p14184 +tp14185 +a(g189 +V +tp14186 +a(g73 +Vroot +p14187 +tp14188 +a(g202 +V) +tp14189 +a(g189 +V\u000a +p14190 +tp14191 +a(g202 +V( +tp14192 +a(g21 +Velts +p14193 +tp14194 +a(g189 +V +tp14195 +a(g73 +Vnames +p14196 +tp14197 +a(g202 +V) +tp14198 +a(g202 +V) +tp14199 +a(g189 +V\u000a +p14200 +tp14201 +a(g202 +V( +tp14202 +a(g21 +Vcond +p14203 +tp14204 +a(g189 +V\u000a +p14205 +tp14206 +a(g202 +V( +tp14207 +a(g202 +V( +tp14208 +a(g57 +Vnull? +p14209 +tp14210 +a(g73 +Velts +p14211 +tp14212 +a(g202 +V) +tp14213 +a(g189 +V +p14214 +tp14215 +a(g73 +Vcur +p14216 +tp14217 +a(g202 +V) +tp14218 +a(g189 +V\u000a +p14219 +tp14220 +a(g202 +V( +tp14221 +a(g202 +V( +tp14222 +a(g57 +Vnot +p14223 +tp14224 +a(g202 +V( +tp14225 +a(g21 +Vmodule? +p14226 +tp14227 +a(g189 +V +tp14228 +a(g73 +Vcur +p14229 +tp14230 +a(g202 +V) +tp14231 +a(g202 +V) +tp14232 +a(g189 +V +tp14233 +a(g33 +V#f +p14234 +tp14235 +a(g202 +V) +tp14236 +a(g189 +V\u000a +p14237 +tp14238 +a(g202 +V( +tp14239 +a(g111 +Velse +p14240 +tp14241 +a(g202 +V( +tp14242 +a(g21 +Vloop +p14243 +tp14244 +a(g189 +V +tp14245 +a(g202 +V( +tp14246 +a(g21 +Vmodule-ref +p14247 +tp14248 +a(g189 +V +tp14249 +a(g73 +Vcur +p14250 +tp14251 +a(g189 +V +tp14252 +a(g202 +V( +tp14253 +a(g57 +Vcar +p14254 +tp14255 +a(g73 +Velts +p14256 +tp14257 +a(g202 +V) +tp14258 +a(g189 +V +tp14259 +a(g33 +V#f +p14260 +tp14261 +a(g202 +V) +tp14262 +a(g189 +V +tp14263 +a(g202 +V( +tp14264 +a(g57 +Vcdr +p14265 +tp14266 +a(g73 +Velts +p14267 +tp14268 +a(g202 +V) +tp14269 +a(g202 +V) +tp14270 +a(g202 +V) +tp14271 +a(g202 +V) +tp14272 +a(g202 +V) +tp14273 +a(g202 +V) +tp14274 +a(g189 +V\u000a\u000a +p14275 +tp14276 +a(g202 +V( +tp14277 +a(g111 +Vdefine +p14278 +tp14279 +a(g202 +V( +tp14280 +a(g21 +Vnested-set! +p14281 +tp14282 +a(g189 +V +tp14283 +a(g73 +Vroot +p14284 +tp14285 +a(g189 +V +tp14286 +a(g73 +Vnames +p14287 +tp14288 +a(g189 +V +tp14289 +a(g73 +Vval +p14290 +tp14291 +a(g202 +V) +tp14292 +a(g189 +V\u000a +p14293 +tp14294 +a(g202 +V( +tp14295 +a(g111 +Vlet +p14296 +tp14297 +a(g73 +Vloop +p14298 +tp14299 +a(g189 +V +tp14300 +a(g202 +V( +tp14301 +a(g202 +V( +tp14302 +a(g21 +Vcur +p14303 +tp14304 +a(g189 +V +tp14305 +a(g73 +Vroot +p14306 +tp14307 +a(g202 +V) +tp14308 +a(g189 +V\u000a +p14309 +tp14310 +a(g202 +V( +tp14311 +a(g21 +Velts +p14312 +tp14313 +a(g189 +V +tp14314 +a(g73 +Vnames +p14315 +tp14316 +a(g202 +V) +tp14317 +a(g202 +V) +tp14318 +a(g189 +V\u000a +p14319 +tp14320 +a(g202 +V( +tp14321 +a(g111 +Vif +p14322 +tp14323 +a(g202 +V( +tp14324 +a(g57 +Vnull? +p14325 +tp14326 +a(g202 +V( +tp14327 +a(g57 +Vcdr +p14328 +tp14329 +a(g73 +Velts +p14330 +tp14331 +a(g202 +V) +tp14332 +a(g202 +V) +tp14333 +a(g189 +V\u000a +p14334 +tp14335 +a(g202 +V( +tp14336 +a(g21 +Vmodule-set! +p14337 +tp14338 +a(g189 +V +tp14339 +a(g73 +Vcur +p14340 +tp14341 +a(g189 +V +tp14342 +a(g202 +V( +tp14343 +a(g57 +Vcar +p14344 +tp14345 +a(g73 +Velts +p14346 +tp14347 +a(g202 +V) +tp14348 +a(g189 +V +tp14349 +a(g73 +Vval +p14350 +tp14351 +a(g202 +V) +tp14352 +a(g189 +V\u000a +p14353 +tp14354 +a(g202 +V( +tp14355 +a(g21 +Vloop +p14356 +tp14357 +a(g189 +V +tp14358 +a(g202 +V( +tp14359 +a(g21 +Vmodule-ref +p14360 +tp14361 +a(g189 +V +tp14362 +a(g73 +Vcur +p14363 +tp14364 +a(g189 +V +tp14365 +a(g202 +V( +tp14366 +a(g57 +Vcar +p14367 +tp14368 +a(g73 +Velts +p14369 +tp14370 +a(g202 +V) +tp14371 +a(g202 +V) +tp14372 +a(g189 +V +tp14373 +a(g202 +V( +tp14374 +a(g57 +Vcdr +p14375 +tp14376 +a(g73 +Velts +p14377 +tp14378 +a(g202 +V) +tp14379 +a(g202 +V) +tp14380 +a(g202 +V) +tp14381 +a(g202 +V) +tp14382 +a(g202 +V) +tp14383 +a(g189 +V\u000a\u000a +p14384 +tp14385 +a(g202 +V( +tp14386 +a(g111 +Vdefine +p14387 +tp14388 +a(g202 +V( +tp14389 +a(g21 +Vnested-define! +p14390 +tp14391 +a(g189 +V +tp14392 +a(g73 +Vroot +p14393 +tp14394 +a(g189 +V +tp14395 +a(g73 +Vnames +p14396 +tp14397 +a(g189 +V +tp14398 +a(g73 +Vval +p14399 +tp14400 +a(g202 +V) +tp14401 +a(g189 +V\u000a +p14402 +tp14403 +a(g202 +V( +tp14404 +a(g111 +Vlet +p14405 +tp14406 +a(g73 +Vloop +p14407 +tp14408 +a(g189 +V +tp14409 +a(g202 +V( +tp14410 +a(g202 +V( +tp14411 +a(g21 +Vcur +p14412 +tp14413 +a(g189 +V +tp14414 +a(g73 +Vroot +p14415 +tp14416 +a(g202 +V) +tp14417 +a(g189 +V\u000a +p14418 +tp14419 +a(g202 +V( +tp14420 +a(g21 +Velts +p14421 +tp14422 +a(g189 +V +tp14423 +a(g73 +Vnames +p14424 +tp14425 +a(g202 +V) +tp14426 +a(g202 +V) +tp14427 +a(g189 +V\u000a +p14428 +tp14429 +a(g202 +V( +tp14430 +a(g111 +Vif +p14431 +tp14432 +a(g202 +V( +tp14433 +a(g57 +Vnull? +p14434 +tp14435 +a(g202 +V( +tp14436 +a(g57 +Vcdr +p14437 +tp14438 +a(g73 +Velts +p14439 +tp14440 +a(g202 +V) +tp14441 +a(g202 +V) +tp14442 +a(g189 +V\u000a +p14443 +tp14444 +a(g202 +V( +tp14445 +a(g21 +Vmodule-define! +p14446 +tp14447 +a(g189 +V +tp14448 +a(g73 +Vcur +p14449 +tp14450 +a(g189 +V +tp14451 +a(g202 +V( +tp14452 +a(g57 +Vcar +p14453 +tp14454 +a(g73 +Velts +p14455 +tp14456 +a(g202 +V) +tp14457 +a(g189 +V +tp14458 +a(g73 +Vval +p14459 +tp14460 +a(g202 +V) +tp14461 +a(g189 +V\u000a +p14462 +tp14463 +a(g202 +V( +tp14464 +a(g21 +Vloop +p14465 +tp14466 +a(g189 +V +tp14467 +a(g202 +V( +tp14468 +a(g21 +Vmodule-ref +p14469 +tp14470 +a(g189 +V +tp14471 +a(g73 +Vcur +p14472 +tp14473 +a(g189 +V +tp14474 +a(g202 +V( +tp14475 +a(g57 +Vcar +p14476 +tp14477 +a(g73 +Velts +p14478 +tp14479 +a(g202 +V) +tp14480 +a(g202 +V) +tp14481 +a(g189 +V +tp14482 +a(g202 +V( +tp14483 +a(g57 +Vcdr +p14484 +tp14485 +a(g73 +Velts +p14486 +tp14487 +a(g202 +V) +tp14488 +a(g202 +V) +tp14489 +a(g202 +V) +tp14490 +a(g202 +V) +tp14491 +a(g202 +V) +tp14492 +a(g189 +V\u000a\u000a +p14493 +tp14494 +a(g202 +V( +tp14495 +a(g111 +Vdefine +p14496 +tp14497 +a(g202 +V( +tp14498 +a(g21 +Vnested-remove! +p14499 +tp14500 +a(g189 +V +tp14501 +a(g73 +Vroot +p14502 +tp14503 +a(g189 +V +tp14504 +a(g73 +Vnames +p14505 +tp14506 +a(g202 +V) +tp14507 +a(g189 +V\u000a +p14508 +tp14509 +a(g202 +V( +tp14510 +a(g111 +Vlet +p14511 +tp14512 +a(g73 +Vloop +p14513 +tp14514 +a(g189 +V +tp14515 +a(g202 +V( +tp14516 +a(g202 +V( +tp14517 +a(g21 +Vcur +p14518 +tp14519 +a(g189 +V +tp14520 +a(g73 +Vroot +p14521 +tp14522 +a(g202 +V) +tp14523 +a(g189 +V\u000a +p14524 +tp14525 +a(g202 +V( +tp14526 +a(g21 +Velts +p14527 +tp14528 +a(g189 +V +tp14529 +a(g73 +Vnames +p14530 +tp14531 +a(g202 +V) +tp14532 +a(g202 +V) +tp14533 +a(g189 +V\u000a +p14534 +tp14535 +a(g202 +V( +tp14536 +a(g111 +Vif +p14537 +tp14538 +a(g202 +V( +tp14539 +a(g57 +Vnull? +p14540 +tp14541 +a(g202 +V( +tp14542 +a(g57 +Vcdr +p14543 +tp14544 +a(g73 +Velts +p14545 +tp14546 +a(g202 +V) +tp14547 +a(g202 +V) +tp14548 +a(g189 +V\u000a +p14549 +tp14550 +a(g202 +V( +tp14551 +a(g21 +Vmodule-remove! +p14552 +tp14553 +a(g189 +V +tp14554 +a(g73 +Vcur +p14555 +tp14556 +a(g189 +V +tp14557 +a(g202 +V( +tp14558 +a(g57 +Vcar +p14559 +tp14560 +a(g73 +Velts +p14561 +tp14562 +a(g202 +V) +tp14563 +a(g202 +V) +tp14564 +a(g189 +V\u000a +p14565 +tp14566 +a(g202 +V( +tp14567 +a(g21 +Vloop +p14568 +tp14569 +a(g189 +V +tp14570 +a(g202 +V( +tp14571 +a(g21 +Vmodule-ref +p14572 +tp14573 +a(g189 +V +tp14574 +a(g73 +Vcur +p14575 +tp14576 +a(g189 +V +tp14577 +a(g202 +V( +tp14578 +a(g57 +Vcar +p14579 +tp14580 +a(g73 +Velts +p14581 +tp14582 +a(g202 +V) +tp14583 +a(g202 +V) +tp14584 +a(g189 +V +tp14585 +a(g202 +V( +tp14586 +a(g57 +Vcdr +p14587 +tp14588 +a(g73 +Velts +p14589 +tp14590 +a(g202 +V) +tp14591 +a(g202 +V) +tp14592 +a(g202 +V) +tp14593 +a(g202 +V) +tp14594 +a(g202 +V) +tp14595 +a(g189 +V\u000a\u000a +p14596 +tp14597 +a(g202 +V( +tp14598 +a(g111 +Vdefine +p14599 +tp14600 +a(g202 +V( +tp14601 +a(g21 +Vlocal-ref +p14602 +tp14603 +a(g189 +V +tp14604 +a(g73 +Vnames +p14605 +tp14606 +a(g202 +V) +tp14607 +a(g189 +V +tp14608 +a(g202 +V( +tp14609 +a(g21 +Vnested-ref +p14610 +tp14611 +a(g189 +V +tp14612 +a(g202 +V( +tp14613 +a(g21 +Vcurrent-module +p14614 +tp14615 +a(g202 +V) +tp14616 +a(g189 +V +tp14617 +a(g73 +Vnames +p14618 +tp14619 +a(g202 +V) +tp14620 +a(g202 +V) +tp14621 +a(g189 +V\u000a +tp14622 +a(g202 +V( +tp14623 +a(g111 +Vdefine +p14624 +tp14625 +a(g202 +V( +tp14626 +a(g21 +Vlocal-set! +p14627 +tp14628 +a(g189 +V +tp14629 +a(g73 +Vnames +p14630 +tp14631 +a(g189 +V +tp14632 +a(g73 +Vval +p14633 +tp14634 +a(g202 +V) +tp14635 +a(g189 +V +tp14636 +a(g202 +V( +tp14637 +a(g21 +Vnested-set! +p14638 +tp14639 +a(g189 +V +tp14640 +a(g202 +V( +tp14641 +a(g21 +Vcurrent-module +p14642 +tp14643 +a(g202 +V) +tp14644 +a(g189 +V +tp14645 +a(g73 +Vnames +p14646 +tp14647 +a(g189 +V +tp14648 +a(g73 +Vval +p14649 +tp14650 +a(g202 +V) +tp14651 +a(g202 +V) +tp14652 +a(g189 +V\u000a +tp14653 +a(g202 +V( +tp14654 +a(g111 +Vdefine +p14655 +tp14656 +a(g202 +V( +tp14657 +a(g21 +Vlocal-define +p14658 +tp14659 +a(g189 +V +tp14660 +a(g73 +Vnames +p14661 +tp14662 +a(g189 +V +tp14663 +a(g73 +Vval +p14664 +tp14665 +a(g202 +V) +tp14666 +a(g189 +V +tp14667 +a(g202 +V( +tp14668 +a(g21 +Vnested-define! +p14669 +tp14670 +a(g189 +V +tp14671 +a(g202 +V( +tp14672 +a(g21 +Vcurrent-module +p14673 +tp14674 +a(g202 +V) +tp14675 +a(g189 +V +tp14676 +a(g73 +Vnames +p14677 +tp14678 +a(g189 +V +tp14679 +a(g73 +Vval +p14680 +tp14681 +a(g202 +V) +tp14682 +a(g202 +V) +tp14683 +a(g189 +V\u000a +tp14684 +a(g202 +V( +tp14685 +a(g111 +Vdefine +p14686 +tp14687 +a(g202 +V( +tp14688 +a(g21 +Vlocal-remove +p14689 +tp14690 +a(g189 +V +tp14691 +a(g73 +Vnames +p14692 +tp14693 +a(g202 +V) +tp14694 +a(g189 +V +tp14695 +a(g202 +V( +tp14696 +a(g21 +Vnested-remove! +p14697 +tp14698 +a(g189 +V +tp14699 +a(g202 +V( +tp14700 +a(g21 +Vcurrent-module +p14701 +tp14702 +a(g202 +V) +tp14703 +a(g189 +V +tp14704 +a(g73 +Vnames +p14705 +tp14706 +a(g202 +V) +tp14707 +a(g202 +V) +tp14708 +a(g189 +V\u000a +tp14709 +a(g7 +V;;; boot-9.scm ends here +p14710 +tp14711 +a(g189 +V\u000a +tp14712 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ceval.c b/tests/examplefiles/output/ceval.c new file mode 100644 index 0000000..5720c8c --- /dev/null +++ b/tests/examplefiles/output/ceval.c @@ -0,0 +1,61590 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsS'Preproc' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag355 +ag358 +ag7 +atRp366 +sg362 +g363 +sbsbV/* Execute compiled code */ +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g189 +V\u000a +tp370 +a(g7 +V/* XXX TO DO:\u000a XXX speed up searching for keywords by using a dictionary\u000a XXX document it!\u000a */ +p371 +tp372 +a(g189 +V\u000a +tp373 +a(g189 +V\u000a +tp374 +a(g7 +V/* enable more aggressive intra-module optimizations, where available */ +p375 +tp376 +a(g189 +V\u000a +tp377 +a(g355 +V# +tp378 +a(g355 +Vdefine PY_LOCAL_AGGRESSIVE +p379 +tp380 +a(g355 +V\u000a +tp381 +a(g355 +V\u000a# +p382 +tp383 +a(g355 +Vinclude "Python.h" +p384 +tp385 +a(g355 +V\u000a +tp386 +a(g355 +V\u000a# +p387 +tp388 +a(g355 +Vinclude "code.h" +p389 +tp390 +a(g355 +V\u000a +tp391 +a(g355 +V# +tp392 +a(g355 +Vinclude "frameobject.h" +p393 +tp394 +a(g355 +V\u000a +tp395 +a(g355 +V# +tp396 +a(g355 +Vinclude "eval.h" +p397 +tp398 +a(g355 +V\u000a +tp399 +a(g355 +V# +tp400 +a(g355 +Vinclude "opcode.h" +p401 +tp402 +a(g355 +V\u000a +tp403 +a(g355 +V# +tp404 +a(g355 +Vinclude "structmember.h" +p405 +tp406 +a(g355 +V\u000a +tp407 +a(g355 +V\u000a# +p408 +tp409 +a(g355 +Vinclude +p410 +tp411 +a(g355 +V\u000a +tp412 +a(g355 +V\u000a# +p413 +tp414 +a(g355 +Vifndef WITH_TSC +p415 +tp416 +a(g355 +V\u000a +tp417 +a(g355 +V\u000a# +p418 +tp419 +a(g355 +Vdefine READ_TIMESTAMP(var) +p420 +tp421 +a(g355 +V\u000a +tp422 +a(g355 +V\u000a# +p423 +tp424 +a(g355 +Velse +p425 +tp426 +a(g355 +V\u000a +tp427 +a(g189 +V\u000a +tp428 +a(g189 +V +tp429 +a(g111 +Vtypedef +p430 +tp431 +a(g189 +V +tp432 +a(g139 +Vunsigned +p433 +tp434 +a(g189 +V +tp435 +a(g139 +Vlong +p436 +tp437 +a(g189 +V +tp438 +a(g139 +Vlong +p439 +tp440 +a(g189 +V +tp441 +a(g18 +Vuint64 +p442 +tp443 +a(g202 +V; +tp444 +a(g189 +V\u000a +tp445 +a(g355 +V\u000a# +p446 +tp447 +a(g355 +Vif defined(__ppc__) +p448 +tp449 +a(g7 +V/* <- Don't know if this is the correct symbol; this\u000a section should work for GCC on any PowerPC platform,\u000a irrespective of OS. POWER? Who knows :-) */ +p450 +tp451 +a(g355 +V\u000a +tp452 +a(g355 +V\u000a# +p453 +tp454 +a(g355 +Vdefine READ_TIMESTAMP(var) ppc_getcounter(&var) +p455 +tp456 +a(g355 +V\u000a +tp457 +a(g189 +V\u000a +tp458 +a(g189 +V +tp459 +a(g111 +Vstatic +p460 +tp461 +a(g189 +V +tp462 +a(g139 +Vvoid +p463 +tp464 +a(g189 +V\u000a +tp465 +a(g21 +Vppc_getcounter +p466 +tp467 +a(g189 +V +tp468 +a(g202 +V( +tp469 +a(g18 +Vuint64 +p470 +tp471 +a(g189 +V +tp472 +a(g344 +V* +tp473 +a(g18 +Vv +tp474 +a(g202 +V) +tp475 +a(g189 +V\u000a +tp476 +a(g189 +V +tp477 +a(g202 +V{ +tp478 +a(g189 +V\u000a +tp479 +a(g189 +V +tp480 +a(g111 +Vregister +p481 +tp482 +a(g189 +V +tp483 +a(g139 +Vunsigned +p484 +tp485 +a(g189 +V +tp486 +a(g139 +Vlong +p487 +tp488 +a(g189 +V +tp489 +a(g18 +Vtbu +p490 +tp491 +a(g202 +V, +tp492 +a(g189 +V +tp493 +a(g18 +Vtb +p494 +tp495 +a(g202 +V, +tp496 +a(g189 +V +tp497 +a(g18 +Vtbu2 +p498 +tp499 +a(g202 +V; +tp500 +a(g189 +V\u000a +tp501 +a(g189 +V\u000a +p502 +tp503 +a(g45 +Vloop: +p504 +tp505 +a(g189 +V\u000a +tp506 +a(g189 +V +tp507 +a(g18 +Vasm +p508 +tp509 +a(g189 +V +tp510 +a(g111 +Vvolatile +p511 +tp512 +a(g189 +V +tp513 +a(g202 +V( +tp514 +a(g226 +V" +tp515 +a(g226 +Vmftbu %0 +p516 +tp517 +a(g226 +V" +tp518 +a(g189 +V +tp519 +a(g344 +V: +tp520 +a(g189 +V +tp521 +a(g226 +V" +tp522 +a(g226 +V=r +p523 +tp524 +a(g226 +V" +tp525 +a(g189 +V +tp526 +a(g202 +V( +tp527 +a(g18 +Vtbu +p528 +tp529 +a(g202 +V) +tp530 +a(g189 +V +tp531 +a(g202 +V) +tp532 +a(g202 +V; +tp533 +a(g189 +V\u000a +tp534 +a(g189 +V +tp535 +a(g18 +Vasm +p536 +tp537 +a(g189 +V +tp538 +a(g111 +Vvolatile +p539 +tp540 +a(g189 +V +tp541 +a(g202 +V( +tp542 +a(g226 +V" +tp543 +a(g226 +Vmftb %0 +p544 +tp545 +a(g226 +V" +tp546 +a(g189 +V +tp547 +a(g344 +V: +tp548 +a(g189 +V +tp549 +a(g226 +V" +tp550 +a(g226 +V=r +p551 +tp552 +a(g226 +V" +tp553 +a(g189 +V +tp554 +a(g202 +V( +tp555 +a(g18 +Vtb +p556 +tp557 +a(g202 +V) +tp558 +a(g189 +V +p559 +tp560 +a(g202 +V) +tp561 +a(g202 +V; +tp562 +a(g189 +V\u000a +tp563 +a(g189 +V +tp564 +a(g18 +Vasm +p565 +tp566 +a(g189 +V +tp567 +a(g111 +Vvolatile +p568 +tp569 +a(g189 +V +tp570 +a(g202 +V( +tp571 +a(g226 +V" +tp572 +a(g226 +Vmftbu %0 +p573 +tp574 +a(g226 +V" +tp575 +a(g189 +V +tp576 +a(g344 +V: +tp577 +a(g189 +V +tp578 +a(g226 +V" +tp579 +a(g226 +V=r +p580 +tp581 +a(g226 +V" +tp582 +a(g189 +V +tp583 +a(g202 +V( +tp584 +a(g18 +Vtbu2 +p585 +tp586 +a(g202 +V) +tp587 +a(g202 +V) +tp588 +a(g202 +V; +tp589 +a(g189 +V\u000a +tp590 +a(g189 +V +tp591 +a(g111 +Vif +p592 +tp593 +a(g189 +V +tp594 +a(g202 +V( +tp595 +a(g18 +V__builtin_expect +p596 +tp597 +a(g202 +V( +tp598 +a(g18 +Vtbu +p599 +tp600 +a(g189 +V +tp601 +a(g344 +V! +tp602 +a(g344 +V= +tp603 +a(g189 +V +tp604 +a(g18 +Vtbu2 +p605 +tp606 +a(g202 +V, +tp607 +a(g189 +V +tp608 +a(g319 +V0 +tp609 +a(g202 +V) +tp610 +a(g202 +V) +tp611 +a(g189 +V +tp612 +a(g111 +Vgoto +p613 +tp614 +a(g189 +V +tp615 +a(g18 +Vloop +p616 +tp617 +a(g202 +V; +tp618 +a(g189 +V\u000a +tp619 +a(g189 +V\u000a +tp620 +a(g189 +V +tp621 +a(g7 +V/* The slightly peculiar way of writing the next lines is\u000a compiled better by GCC than any other way I tried. */ +p622 +tp623 +a(g189 +V\u000a +tp624 +a(g189 +V +tp625 +a(g202 +V( +tp626 +a(g202 +V( +tp627 +a(g139 +Vlong +p628 +tp629 +a(g344 +V* +tp630 +a(g202 +V) +tp631 +a(g202 +V( +tp632 +a(g18 +Vv +tp633 +a(g202 +V) +tp634 +a(g202 +V) +tp635 +a(g202 +V[ +tp636 +a(g319 +V0 +tp637 +a(g202 +V] +tp638 +a(g189 +V +tp639 +a(g344 +V= +tp640 +a(g189 +V +tp641 +a(g18 +Vtbu +p642 +tp643 +a(g202 +V; +tp644 +a(g189 +V\u000a +tp645 +a(g189 +V +tp646 +a(g202 +V( +tp647 +a(g202 +V( +tp648 +a(g139 +Vlong +p649 +tp650 +a(g344 +V* +tp651 +a(g202 +V) +tp652 +a(g202 +V( +tp653 +a(g18 +Vv +tp654 +a(g202 +V) +tp655 +a(g202 +V) +tp656 +a(g202 +V[ +tp657 +a(g319 +V1 +tp658 +a(g202 +V] +tp659 +a(g189 +V +tp660 +a(g344 +V= +tp661 +a(g189 +V +tp662 +a(g18 +Vtb +p663 +tp664 +a(g202 +V; +tp665 +a(g189 +V\u000a +tp666 +a(g202 +V} +tp667 +a(g189 +V\u000a +tp668 +a(g355 +V\u000a# +p669 +tp670 +a(g355 +Velse +p671 +tp672 +a(g7 +V/* this is for linux/x86 (and probably any other GCC/x86 combo) */ +p673 +tp674 +a(g355 +V\u000a +tp675 +a(g355 +V\u000a# +p676 +tp677 +a(g355 +Vdefine READ_TIMESTAMP(val) \u005c +p678 +tp679 +a(g355 +V\u000a +tp680 +a(g355 +V __asm__ __volatile__("rdtsc" : "=A" (val)) +p681 +tp682 +a(g355 +V\u000a +tp683 +a(g355 +V\u000a# +p684 +tp685 +a(g355 +Vendif +p686 +tp687 +a(g355 +V\u000a +tp688 +a(g189 +V\u000a +tp689 +a(g189 +V +tp690 +a(g139 +Vvoid +p691 +tp692 +a(g189 +V +tp693 +a(g21 +Vdump_tsc +p694 +tp695 +a(g189 +V +tp696 +a(g202 +V( +tp697 +a(g139 +Vint +p698 +tp699 +a(g189 +V +tp700 +a(g18 +Vopcode +p701 +tp702 +a(g202 +V, +tp703 +a(g189 +V +tp704 +a(g139 +Vint +p705 +tp706 +a(g189 +V +tp707 +a(g18 +Vticked +p708 +tp709 +a(g202 +V, +tp710 +a(g189 +V +tp711 +a(g18 +Vuint64 +p712 +tp713 +a(g189 +V +tp714 +a(g18 +Vinst0 +p715 +tp716 +a(g202 +V, +tp717 +a(g189 +V +tp718 +a(g18 +Vuint64 +p719 +tp720 +a(g189 +V +tp721 +a(g18 +Vinst1 +p722 +tp723 +a(g202 +V, +tp724 +a(g189 +V\u000a +tp725 +a(g189 +V +p726 +tp727 +a(g18 +Vuint64 +p728 +tp729 +a(g189 +V +tp730 +a(g18 +Vloop0 +p731 +tp732 +a(g202 +V, +tp733 +a(g189 +V +tp734 +a(g18 +Vuint64 +p735 +tp736 +a(g189 +V +tp737 +a(g18 +Vloop1 +p738 +tp739 +a(g202 +V, +tp740 +a(g189 +V +tp741 +a(g18 +Vuint64 +p742 +tp743 +a(g189 +V +tp744 +a(g18 +Vintr0 +p745 +tp746 +a(g202 +V, +tp747 +a(g189 +V +tp748 +a(g18 +Vuint64 +p749 +tp750 +a(g189 +V +tp751 +a(g18 +Vintr1 +p752 +tp753 +a(g202 +V) +tp754 +a(g189 +V\u000a +tp755 +a(g189 +V +tp756 +a(g202 +V{ +tp757 +a(g189 +V\u000a +tp758 +a(g189 +V +tp759 +a(g18 +Vuint64 +p760 +tp761 +a(g189 +V +tp762 +a(g18 +Vintr +p763 +tp764 +a(g202 +V, +tp765 +a(g189 +V +tp766 +a(g18 +Vinst +p767 +tp768 +a(g202 +V, +tp769 +a(g189 +V +tp770 +a(g18 +Vloop +p771 +tp772 +a(g202 +V; +tp773 +a(g189 +V\u000a +tp774 +a(g189 +V +tp775 +a(g18 +VPyThreadState +p776 +tp777 +a(g189 +V +tp778 +a(g344 +V* +tp779 +a(g18 +Vtstate +p780 +tp781 +a(g189 +V +tp782 +a(g344 +V= +tp783 +a(g189 +V +tp784 +a(g18 +VPyThreadState_Get +p785 +tp786 +a(g202 +V( +tp787 +a(g202 +V) +tp788 +a(g202 +V; +tp789 +a(g189 +V\u000a +tp790 +a(g189 +V +tp791 +a(g111 +Vif +p792 +tp793 +a(g189 +V +tp794 +a(g202 +V( +tp795 +a(g344 +V! +tp796 +a(g18 +Vtstate +p797 +tp798 +a(g344 +V- +tp799 +a(g344 +V> +tp800 +a(g18 +Vinterp +p801 +tp802 +a(g344 +V- +tp803 +a(g344 +V> +tp804 +a(g18 +Vtscdump +p805 +tp806 +a(g202 +V) +tp807 +a(g189 +V\u000a +tp808 +a(g189 +V +p809 +tp810 +a(g111 +Vreturn +p811 +tp812 +a(g202 +V; +tp813 +a(g189 +V\u000a +tp814 +a(g189 +V +tp815 +a(g18 +Vintr +p816 +tp817 +a(g189 +V +tp818 +a(g344 +V= +tp819 +a(g189 +V +tp820 +a(g18 +Vintr1 +p821 +tp822 +a(g189 +V +tp823 +a(g344 +V- +tp824 +a(g189 +V +tp825 +a(g18 +Vintr0 +p826 +tp827 +a(g202 +V; +tp828 +a(g189 +V\u000a +tp829 +a(g189 +V +tp830 +a(g18 +Vinst +p831 +tp832 +a(g189 +V +tp833 +a(g344 +V= +tp834 +a(g189 +V +tp835 +a(g18 +Vinst1 +p836 +tp837 +a(g189 +V +tp838 +a(g344 +V- +tp839 +a(g189 +V +tp840 +a(g18 +Vinst0 +p841 +tp842 +a(g189 +V +tp843 +a(g344 +V- +tp844 +a(g189 +V +tp845 +a(g18 +Vintr +p846 +tp847 +a(g202 +V; +tp848 +a(g189 +V\u000a +tp849 +a(g189 +V +tp850 +a(g18 +Vloop +p851 +tp852 +a(g189 +V +tp853 +a(g344 +V= +tp854 +a(g189 +V +tp855 +a(g18 +Vloop1 +p856 +tp857 +a(g189 +V +tp858 +a(g344 +V- +tp859 +a(g189 +V +tp860 +a(g18 +Vloop0 +p861 +tp862 +a(g189 +V +tp863 +a(g344 +V- +tp864 +a(g189 +V +tp865 +a(g18 +Vintr +p866 +tp867 +a(g202 +V; +tp868 +a(g189 +V\u000a +tp869 +a(g189 +V +tp870 +a(g18 +Vfprintf +p871 +tp872 +a(g202 +V( +tp873 +a(g18 +Vstderr +p874 +tp875 +a(g202 +V, +tp876 +a(g189 +V +tp877 +a(g226 +V" +tp878 +a(g226 +Vopcode=%03d t=%d inst=%06lld loop=%06lld +p879 +tp880 +a(g252 +V\u005cn +p881 +tp882 +a(g226 +V" +tp883 +a(g202 +V, +tp884 +a(g189 +V\u000a +tp885 +a(g189 +V +p886 +tp887 +a(g18 +Vopcode +p888 +tp889 +a(g202 +V, +tp890 +a(g189 +V +tp891 +a(g18 +Vticked +p892 +tp893 +a(g202 +V, +tp894 +a(g189 +V +tp895 +a(g18 +Vinst +p896 +tp897 +a(g202 +V, +tp898 +a(g189 +V +tp899 +a(g18 +Vloop +p900 +tp901 +a(g202 +V) +tp902 +a(g202 +V; +tp903 +a(g189 +V\u000a +tp904 +a(g202 +V} +tp905 +a(g189 +V\u000a +tp906 +a(g355 +V\u000a# +p907 +tp908 +a(g355 +Vendif +p909 +tp910 +a(g355 +V\u000a +tp911 +a(g189 +V\u000a +tp912 +a(g7 +V/* Turn this on if your compiler chokes on the big switch: */ +p913 +tp914 +a(g189 +V\u000a +tp915 +a(g7 +V/* #define CASE_TOO_BIG 1 */ +p916 +tp917 +a(g189 +V\u000a +tp918 +a(g355 +V\u000a# +p919 +tp920 +a(g355 +Vifdef Py_DEBUG +p921 +tp922 +a(g355 +V\u000a +tp923 +a(g7 +V/* For debugging the interpreter: */ +p924 +tp925 +a(g189 +V\u000a +tp926 +a(g355 +V# +tp927 +a(g355 +Vdefine LLTRACE 1 +p928 +tp929 +a(g7 +V/* Low-level trace feature */ +p930 +tp931 +a(g355 +V\u000a +tp932 +a(g355 +V# +tp933 +a(g355 +Vdefine CHECKEXC 1 +p934 +tp935 +a(g7 +V/* Double-check exception checking */ +p936 +tp937 +a(g355 +V\u000a +tp938 +a(g355 +V# +tp939 +a(g355 +Vendif +p940 +tp941 +a(g355 +V\u000a +tp942 +a(g189 +V\u000a +tp943 +a(g189 +V +tp944 +a(g111 +Vtypedef +p945 +tp946 +a(g189 +V +tp947 +a(g18 +VPyObject +p948 +tp949 +a(g189 +V +tp950 +a(g344 +V* +tp951 +a(g202 +V( +tp952 +a(g344 +V* +tp953 +a(g18 +Vcallproc +p954 +tp955 +a(g202 +V) +tp956 +a(g202 +V( +tp957 +a(g18 +VPyObject +p958 +tp959 +a(g189 +V +tp960 +a(g344 +V* +tp961 +a(g202 +V, +tp962 +a(g189 +V +tp963 +a(g18 +VPyObject +p964 +tp965 +a(g189 +V +tp966 +a(g344 +V* +tp967 +a(g202 +V, +tp968 +a(g189 +V +tp969 +a(g18 +VPyObject +p970 +tp971 +a(g189 +V +tp972 +a(g344 +V* +tp973 +a(g202 +V) +tp974 +a(g202 +V; +tp975 +a(g189 +V\u000a +tp976 +a(g189 +V\u000a +tp977 +a(g7 +V/* Forward declarations */ +p978 +tp979 +a(g189 +V\u000a +tp980 +a(g355 +V# +tp981 +a(g355 +Vifdef WITH_TSC +p982 +tp983 +a(g355 +V\u000a +tp984 +a(g189 +V +tp985 +a(g111 +Vstatic +p986 +tp987 +a(g189 +V +tp988 +a(g18 +VPyObject +p989 +tp990 +a(g189 +V +tp991 +a(g344 +V* +tp992 +a(g189 +V +tp993 +a(g18 +Vcall_function +p994 +tp995 +a(g202 +V( +tp996 +a(g18 +VPyObject +p997 +tp998 +a(g189 +V +tp999 +a(g344 +V* +tp1000 +a(g344 +V* +tp1001 +a(g344 +V* +tp1002 +a(g202 +V, +tp1003 +a(g189 +V +tp1004 +a(g139 +Vint +p1005 +tp1006 +a(g202 +V, +tp1007 +a(g189 +V +tp1008 +a(g18 +Vuint64 +p1009 +tp1010 +a(g344 +V* +tp1011 +a(g202 +V, +tp1012 +a(g189 +V +tp1013 +a(g18 +Vuint64 +p1014 +tp1015 +a(g344 +V* +tp1016 +a(g202 +V) +tp1017 +a(g202 +V; +tp1018 +a(g189 +V\u000a +tp1019 +a(g355 +V# +tp1020 +a(g355 +Velse +p1021 +tp1022 +a(g355 +V\u000a +tp1023 +a(g189 +V +tp1024 +a(g111 +Vstatic +p1025 +tp1026 +a(g189 +V +tp1027 +a(g18 +VPyObject +p1028 +tp1029 +a(g189 +V +tp1030 +a(g344 +V* +tp1031 +a(g189 +V +tp1032 +a(g18 +Vcall_function +p1033 +tp1034 +a(g202 +V( +tp1035 +a(g18 +VPyObject +p1036 +tp1037 +a(g189 +V +tp1038 +a(g344 +V* +tp1039 +a(g344 +V* +tp1040 +a(g344 +V* +tp1041 +a(g202 +V, +tp1042 +a(g189 +V +tp1043 +a(g139 +Vint +p1044 +tp1045 +a(g202 +V) +tp1046 +a(g202 +V; +tp1047 +a(g189 +V\u000a +tp1048 +a(g355 +V# +tp1049 +a(g355 +Vendif +p1050 +tp1051 +a(g355 +V\u000a +tp1052 +a(g189 +V +tp1053 +a(g111 +Vstatic +p1054 +tp1055 +a(g189 +V +tp1056 +a(g18 +VPyObject +p1057 +tp1058 +a(g189 +V +tp1059 +a(g344 +V* +tp1060 +a(g189 +V +tp1061 +a(g18 +Vfast_function +p1062 +tp1063 +a(g202 +V( +tp1064 +a(g18 +VPyObject +p1065 +tp1066 +a(g189 +V +tp1067 +a(g344 +V* +tp1068 +a(g202 +V, +tp1069 +a(g189 +V +tp1070 +a(g18 +VPyObject +p1071 +tp1072 +a(g189 +V +tp1073 +a(g344 +V* +tp1074 +a(g344 +V* +tp1075 +a(g344 +V* +tp1076 +a(g202 +V, +tp1077 +a(g189 +V +tp1078 +a(g139 +Vint +p1079 +tp1080 +a(g202 +V, +tp1081 +a(g189 +V +tp1082 +a(g139 +Vint +p1083 +tp1084 +a(g202 +V, +tp1085 +a(g189 +V +tp1086 +a(g139 +Vint +p1087 +tp1088 +a(g202 +V) +tp1089 +a(g202 +V; +tp1090 +a(g189 +V\u000a +tp1091 +a(g189 +V +tp1092 +a(g111 +Vstatic +p1093 +tp1094 +a(g189 +V +tp1095 +a(g18 +VPyObject +p1096 +tp1097 +a(g189 +V +tp1098 +a(g344 +V* +tp1099 +a(g189 +V +tp1100 +a(g18 +Vdo_call +p1101 +tp1102 +a(g202 +V( +tp1103 +a(g18 +VPyObject +p1104 +tp1105 +a(g189 +V +tp1106 +a(g344 +V* +tp1107 +a(g202 +V, +tp1108 +a(g189 +V +tp1109 +a(g18 +VPyObject +p1110 +tp1111 +a(g189 +V +tp1112 +a(g344 +V* +tp1113 +a(g344 +V* +tp1114 +a(g344 +V* +tp1115 +a(g202 +V, +tp1116 +a(g189 +V +tp1117 +a(g139 +Vint +p1118 +tp1119 +a(g202 +V, +tp1120 +a(g189 +V +tp1121 +a(g139 +Vint +p1122 +tp1123 +a(g202 +V) +tp1124 +a(g202 +V; +tp1125 +a(g189 +V\u000a +tp1126 +a(g189 +V +tp1127 +a(g111 +Vstatic +p1128 +tp1129 +a(g189 +V +tp1130 +a(g18 +VPyObject +p1131 +tp1132 +a(g189 +V +tp1133 +a(g344 +V* +tp1134 +a(g189 +V +tp1135 +a(g18 +Vext_do_call +p1136 +tp1137 +a(g202 +V( +tp1138 +a(g18 +VPyObject +p1139 +tp1140 +a(g189 +V +tp1141 +a(g344 +V* +tp1142 +a(g202 +V, +tp1143 +a(g189 +V +tp1144 +a(g18 +VPyObject +p1145 +tp1146 +a(g189 +V +tp1147 +a(g344 +V* +tp1148 +a(g344 +V* +tp1149 +a(g344 +V* +tp1150 +a(g202 +V, +tp1151 +a(g189 +V +tp1152 +a(g139 +Vint +p1153 +tp1154 +a(g202 +V, +tp1155 +a(g189 +V +tp1156 +a(g139 +Vint +p1157 +tp1158 +a(g202 +V, +tp1159 +a(g189 +V +tp1160 +a(g139 +Vint +p1161 +tp1162 +a(g202 +V) +tp1163 +a(g202 +V; +tp1164 +a(g189 +V\u000a +tp1165 +a(g189 +V +tp1166 +a(g111 +Vstatic +p1167 +tp1168 +a(g189 +V +tp1169 +a(g18 +VPyObject +p1170 +tp1171 +a(g189 +V +tp1172 +a(g344 +V* +tp1173 +a(g189 +V +tp1174 +a(g18 +Vupdate_keyword_args +p1175 +tp1176 +a(g202 +V( +tp1177 +a(g18 +VPyObject +p1178 +tp1179 +a(g189 +V +tp1180 +a(g344 +V* +tp1181 +a(g202 +V, +tp1182 +a(g189 +V +tp1183 +a(g139 +Vint +p1184 +tp1185 +a(g202 +V, +tp1186 +a(g189 +V +tp1187 +a(g18 +VPyObject +p1188 +tp1189 +a(g189 +V +tp1190 +a(g344 +V* +tp1191 +a(g344 +V* +tp1192 +a(g344 +V* +tp1193 +a(g202 +V, +tp1194 +a(g18 +VPyObject +p1195 +tp1196 +a(g189 +V +tp1197 +a(g344 +V* +tp1198 +a(g202 +V) +tp1199 +a(g202 +V; +tp1200 +a(g189 +V\u000a +tp1201 +a(g189 +V +tp1202 +a(g111 +Vstatic +p1203 +tp1204 +a(g189 +V +tp1205 +a(g18 +VPyObject +p1206 +tp1207 +a(g189 +V +tp1208 +a(g344 +V* +tp1209 +a(g189 +V +tp1210 +a(g18 +Vupdate_star_args +p1211 +tp1212 +a(g202 +V( +tp1213 +a(g139 +Vint +p1214 +tp1215 +a(g202 +V, +tp1216 +a(g189 +V +tp1217 +a(g139 +Vint +p1218 +tp1219 +a(g202 +V, +tp1220 +a(g189 +V +tp1221 +a(g18 +VPyObject +p1222 +tp1223 +a(g189 +V +tp1224 +a(g344 +V* +tp1225 +a(g202 +V, +tp1226 +a(g189 +V +tp1227 +a(g18 +VPyObject +p1228 +tp1229 +a(g189 +V +tp1230 +a(g344 +V* +tp1231 +a(g344 +V* +tp1232 +a(g344 +V* +tp1233 +a(g202 +V) +tp1234 +a(g202 +V; +tp1235 +a(g189 +V\u000a +tp1236 +a(g189 +V +tp1237 +a(g111 +Vstatic +p1238 +tp1239 +a(g189 +V +tp1240 +a(g18 +VPyObject +p1241 +tp1242 +a(g189 +V +tp1243 +a(g344 +V* +tp1244 +a(g189 +V +tp1245 +a(g18 +Vload_args +p1246 +tp1247 +a(g202 +V( +tp1248 +a(g18 +VPyObject +p1249 +tp1250 +a(g189 +V +tp1251 +a(g344 +V* +tp1252 +a(g344 +V* +tp1253 +a(g344 +V* +tp1254 +a(g202 +V, +tp1255 +a(g189 +V +tp1256 +a(g139 +Vint +p1257 +tp1258 +a(g202 +V) +tp1259 +a(g202 +V; +tp1260 +a(g189 +V\u000a +tp1261 +a(g355 +V# +tp1262 +a(g355 +Vdefine CALL_FLAG_VAR 1 +p1263 +tp1264 +a(g355 +V\u000a +tp1265 +a(g355 +V# +tp1266 +a(g355 +Vdefine CALL_FLAG_KW 2 +p1267 +tp1268 +a(g355 +V\u000a +tp1269 +a(g355 +V\u000a# +p1270 +tp1271 +a(g355 +Vifdef LLTRACE +p1272 +tp1273 +a(g355 +V\u000a +tp1274 +a(g189 +V +tp1275 +a(g111 +Vstatic +p1276 +tp1277 +a(g189 +V +tp1278 +a(g139 +Vint +p1279 +tp1280 +a(g189 +V +tp1281 +a(g18 +Vlltrace +p1282 +tp1283 +a(g202 +V; +tp1284 +a(g189 +V\u000a +tp1285 +a(g189 +V +tp1286 +a(g111 +Vstatic +p1287 +tp1288 +a(g189 +V +tp1289 +a(g139 +Vint +p1290 +tp1291 +a(g189 +V +tp1292 +a(g18 +Vprtrace +p1293 +tp1294 +a(g202 +V( +tp1295 +a(g18 +VPyObject +p1296 +tp1297 +a(g189 +V +tp1298 +a(g344 +V* +tp1299 +a(g202 +V, +tp1300 +a(g189 +V +tp1301 +a(g139 +Vchar +p1302 +tp1303 +a(g189 +V +tp1304 +a(g344 +V* +tp1305 +a(g202 +V) +tp1306 +a(g202 +V; +tp1307 +a(g189 +V\u000a +tp1308 +a(g355 +V# +tp1309 +a(g355 +Vendif +p1310 +tp1311 +a(g355 +V\u000a +tp1312 +a(g189 +V +tp1313 +a(g111 +Vstatic +p1314 +tp1315 +a(g189 +V +tp1316 +a(g139 +Vint +p1317 +tp1318 +a(g189 +V +tp1319 +a(g18 +Vcall_trace +p1320 +tp1321 +a(g202 +V( +tp1322 +a(g18 +VPy_tracefunc +p1323 +tp1324 +a(g202 +V, +tp1325 +a(g189 +V +tp1326 +a(g18 +VPyObject +p1327 +tp1328 +a(g189 +V +tp1329 +a(g344 +V* +tp1330 +a(g202 +V, +tp1331 +a(g189 +V +tp1332 +a(g18 +VPyFrameObject +p1333 +tp1334 +a(g189 +V +tp1335 +a(g344 +V* +tp1336 +a(g202 +V, +tp1337 +a(g189 +V\u000a +tp1338 +a(g189 +V +p1339 +tp1340 +a(g139 +Vint +p1341 +tp1342 +a(g202 +V, +tp1343 +a(g189 +V +tp1344 +a(g18 +VPyObject +p1345 +tp1346 +a(g189 +V +tp1347 +a(g344 +V* +tp1348 +a(g202 +V) +tp1349 +a(g202 +V; +tp1350 +a(g189 +V\u000a +tp1351 +a(g189 +V +tp1352 +a(g111 +Vstatic +p1353 +tp1354 +a(g189 +V +tp1355 +a(g139 +Vvoid +p1356 +tp1357 +a(g189 +V +tp1358 +a(g18 +Vcall_trace_protected +p1359 +tp1360 +a(g202 +V( +tp1361 +a(g18 +VPy_tracefunc +p1362 +tp1363 +a(g202 +V, +tp1364 +a(g189 +V +tp1365 +a(g18 +VPyObject +p1366 +tp1367 +a(g189 +V +tp1368 +a(g344 +V* +tp1369 +a(g202 +V, +tp1370 +a(g189 +V\u000a +tp1371 +a(g189 +V +p1372 +tp1373 +a(g18 +VPyFrameObject +p1374 +tp1375 +a(g189 +V +tp1376 +a(g344 +V* +tp1377 +a(g202 +V, +tp1378 +a(g189 +V +tp1379 +a(g139 +Vint +p1380 +tp1381 +a(g202 +V, +tp1382 +a(g189 +V +tp1383 +a(g18 +VPyObject +p1384 +tp1385 +a(g189 +V +tp1386 +a(g344 +V* +tp1387 +a(g202 +V) +tp1388 +a(g202 +V; +tp1389 +a(g189 +V\u000a +tp1390 +a(g189 +V +tp1391 +a(g111 +Vstatic +p1392 +tp1393 +a(g189 +V +tp1394 +a(g139 +Vvoid +p1395 +tp1396 +a(g189 +V +tp1397 +a(g18 +Vcall_exc_trace +p1398 +tp1399 +a(g202 +V( +tp1400 +a(g18 +VPy_tracefunc +p1401 +tp1402 +a(g202 +V, +tp1403 +a(g189 +V +tp1404 +a(g18 +VPyObject +p1405 +tp1406 +a(g189 +V +tp1407 +a(g344 +V* +tp1408 +a(g202 +V, +tp1409 +a(g189 +V +tp1410 +a(g18 +VPyFrameObject +p1411 +tp1412 +a(g189 +V +tp1413 +a(g344 +V* +tp1414 +a(g202 +V) +tp1415 +a(g202 +V; +tp1416 +a(g189 +V\u000a +tp1417 +a(g189 +V +tp1418 +a(g111 +Vstatic +p1419 +tp1420 +a(g189 +V +tp1421 +a(g139 +Vint +p1422 +tp1423 +a(g189 +V +tp1424 +a(g18 +Vmaybe_call_line_trace +p1425 +tp1426 +a(g202 +V( +tp1427 +a(g18 +VPy_tracefunc +p1428 +tp1429 +a(g202 +V, +tp1430 +a(g189 +V +tp1431 +a(g18 +VPyObject +p1432 +tp1433 +a(g189 +V +tp1434 +a(g344 +V* +tp1435 +a(g202 +V, +tp1436 +a(g189 +V\u000a +tp1437 +a(g189 +V +p1438 +tp1439 +a(g18 +VPyFrameObject +p1440 +tp1441 +a(g189 +V +tp1442 +a(g344 +V* +tp1443 +a(g202 +V, +tp1444 +a(g189 +V +tp1445 +a(g139 +Vint +p1446 +tp1447 +a(g189 +V +tp1448 +a(g344 +V* +tp1449 +a(g202 +V, +tp1450 +a(g189 +V +tp1451 +a(g139 +Vint +p1452 +tp1453 +a(g189 +V +tp1454 +a(g344 +V* +tp1455 +a(g202 +V, +tp1456 +a(g189 +V +tp1457 +a(g139 +Vint +p1458 +tp1459 +a(g189 +V +tp1460 +a(g344 +V* +tp1461 +a(g202 +V) +tp1462 +a(g202 +V; +tp1463 +a(g189 +V\u000a +tp1464 +a(g189 +V\u000a +tp1465 +a(g189 +V +tp1466 +a(g111 +Vstatic +p1467 +tp1468 +a(g189 +V +tp1469 +a(g18 +VPyObject +p1470 +tp1471 +a(g189 +V +tp1472 +a(g344 +V* +tp1473 +a(g189 +V +tp1474 +a(g18 +Vapply_slice +p1475 +tp1476 +a(g202 +V( +tp1477 +a(g18 +VPyObject +p1478 +tp1479 +a(g189 +V +tp1480 +a(g344 +V* +tp1481 +a(g202 +V, +tp1482 +a(g189 +V +tp1483 +a(g18 +VPyObject +p1484 +tp1485 +a(g189 +V +tp1486 +a(g344 +V* +tp1487 +a(g202 +V, +tp1488 +a(g189 +V +tp1489 +a(g18 +VPyObject +p1490 +tp1491 +a(g189 +V +tp1492 +a(g344 +V* +tp1493 +a(g202 +V) +tp1494 +a(g202 +V; +tp1495 +a(g189 +V\u000a +tp1496 +a(g189 +V +tp1497 +a(g111 +Vstatic +p1498 +tp1499 +a(g189 +V +tp1500 +a(g139 +Vint +p1501 +tp1502 +a(g189 +V +tp1503 +a(g18 +Vassign_slice +p1504 +tp1505 +a(g202 +V( +tp1506 +a(g18 +VPyObject +p1507 +tp1508 +a(g189 +V +tp1509 +a(g344 +V* +tp1510 +a(g202 +V, +tp1511 +a(g189 +V +tp1512 +a(g18 +VPyObject +p1513 +tp1514 +a(g189 +V +tp1515 +a(g344 +V* +tp1516 +a(g202 +V, +tp1517 +a(g189 +V\u000a +tp1518 +a(g189 +V +p1519 +tp1520 +a(g18 +VPyObject +p1521 +tp1522 +a(g189 +V +tp1523 +a(g344 +V* +tp1524 +a(g202 +V, +tp1525 +a(g189 +V +tp1526 +a(g18 +VPyObject +p1527 +tp1528 +a(g189 +V +tp1529 +a(g344 +V* +tp1530 +a(g202 +V) +tp1531 +a(g202 +V; +tp1532 +a(g189 +V\u000a +tp1533 +a(g189 +V +tp1534 +a(g111 +Vstatic +p1535 +tp1536 +a(g189 +V +tp1537 +a(g18 +VPyObject +p1538 +tp1539 +a(g189 +V +tp1540 +a(g344 +V* +tp1541 +a(g189 +V +tp1542 +a(g18 +Vcmp_outcome +p1543 +tp1544 +a(g202 +V( +tp1545 +a(g139 +Vint +p1546 +tp1547 +a(g202 +V, +tp1548 +a(g189 +V +tp1549 +a(g18 +VPyObject +p1550 +tp1551 +a(g189 +V +tp1552 +a(g344 +V* +tp1553 +a(g202 +V, +tp1554 +a(g189 +V +tp1555 +a(g18 +VPyObject +p1556 +tp1557 +a(g189 +V +tp1558 +a(g344 +V* +tp1559 +a(g202 +V) +tp1560 +a(g202 +V; +tp1561 +a(g189 +V\u000a +tp1562 +a(g189 +V +tp1563 +a(g111 +Vstatic +p1564 +tp1565 +a(g189 +V +tp1566 +a(g18 +VPyObject +p1567 +tp1568 +a(g189 +V +tp1569 +a(g344 +V* +tp1570 +a(g189 +V +tp1571 +a(g18 +Vimport_from +p1572 +tp1573 +a(g202 +V( +tp1574 +a(g18 +VPyObject +p1575 +tp1576 +a(g189 +V +tp1577 +a(g344 +V* +tp1578 +a(g202 +V, +tp1579 +a(g189 +V +tp1580 +a(g18 +VPyObject +p1581 +tp1582 +a(g189 +V +tp1583 +a(g344 +V* +tp1584 +a(g202 +V) +tp1585 +a(g202 +V; +tp1586 +a(g189 +V\u000a +tp1587 +a(g189 +V +tp1588 +a(g111 +Vstatic +p1589 +tp1590 +a(g189 +V +tp1591 +a(g139 +Vint +p1592 +tp1593 +a(g189 +V +tp1594 +a(g18 +Vimport_all_from +p1595 +tp1596 +a(g202 +V( +tp1597 +a(g18 +VPyObject +p1598 +tp1599 +a(g189 +V +tp1600 +a(g344 +V* +tp1601 +a(g202 +V, +tp1602 +a(g189 +V +tp1603 +a(g18 +VPyObject +p1604 +tp1605 +a(g189 +V +tp1606 +a(g344 +V* +tp1607 +a(g202 +V) +tp1608 +a(g202 +V; +tp1609 +a(g189 +V\u000a +tp1610 +a(g189 +V +tp1611 +a(g111 +Vstatic +p1612 +tp1613 +a(g189 +V +tp1614 +a(g18 +VPyObject +p1615 +tp1616 +a(g189 +V +tp1617 +a(g344 +V* +tp1618 +a(g189 +V +tp1619 +a(g18 +Vbuild_class +p1620 +tp1621 +a(g202 +V( +tp1622 +a(g18 +VPyObject +p1623 +tp1624 +a(g189 +V +tp1625 +a(g344 +V* +tp1626 +a(g202 +V, +tp1627 +a(g189 +V +tp1628 +a(g18 +VPyObject +p1629 +tp1630 +a(g189 +V +tp1631 +a(g344 +V* +tp1632 +a(g202 +V, +tp1633 +a(g189 +V +tp1634 +a(g18 +VPyObject +p1635 +tp1636 +a(g189 +V +tp1637 +a(g344 +V* +tp1638 +a(g202 +V) +tp1639 +a(g202 +V; +tp1640 +a(g189 +V\u000a +tp1641 +a(g189 +V +tp1642 +a(g111 +Vstatic +p1643 +tp1644 +a(g189 +V +tp1645 +a(g139 +Vint +p1646 +tp1647 +a(g189 +V +tp1648 +a(g18 +Vexec_statement +p1649 +tp1650 +a(g202 +V( +tp1651 +a(g18 +VPyFrameObject +p1652 +tp1653 +a(g189 +V +tp1654 +a(g344 +V* +tp1655 +a(g202 +V, +tp1656 +a(g189 +V\u000a +tp1657 +a(g189 +V +p1658 +tp1659 +a(g18 +VPyObject +p1660 +tp1661 +a(g189 +V +tp1662 +a(g344 +V* +tp1663 +a(g202 +V, +tp1664 +a(g189 +V +tp1665 +a(g18 +VPyObject +p1666 +tp1667 +a(g189 +V +tp1668 +a(g344 +V* +tp1669 +a(g202 +V, +tp1670 +a(g189 +V +tp1671 +a(g18 +VPyObject +p1672 +tp1673 +a(g189 +V +tp1674 +a(g344 +V* +tp1675 +a(g202 +V) +tp1676 +a(g202 +V; +tp1677 +a(g189 +V\u000a +tp1678 +a(g189 +V +tp1679 +a(g111 +Vstatic +p1680 +tp1681 +a(g189 +V +tp1682 +a(g139 +Vvoid +p1683 +tp1684 +a(g189 +V +tp1685 +a(g18 +Vset_exc_info +p1686 +tp1687 +a(g202 +V( +tp1688 +a(g18 +VPyThreadState +p1689 +tp1690 +a(g189 +V +tp1691 +a(g344 +V* +tp1692 +a(g202 +V, +tp1693 +a(g189 +V +tp1694 +a(g18 +VPyObject +p1695 +tp1696 +a(g189 +V +tp1697 +a(g344 +V* +tp1698 +a(g202 +V, +tp1699 +a(g189 +V +tp1700 +a(g18 +VPyObject +p1701 +tp1702 +a(g189 +V +tp1703 +a(g344 +V* +tp1704 +a(g202 +V, +tp1705 +a(g189 +V +tp1706 +a(g18 +VPyObject +p1707 +tp1708 +a(g189 +V +tp1709 +a(g344 +V* +tp1710 +a(g202 +V) +tp1711 +a(g202 +V; +tp1712 +a(g189 +V\u000a +tp1713 +a(g189 +V +tp1714 +a(g111 +Vstatic +p1715 +tp1716 +a(g189 +V +tp1717 +a(g139 +Vvoid +p1718 +tp1719 +a(g189 +V +tp1720 +a(g18 +Vreset_exc_info +p1721 +tp1722 +a(g202 +V( +tp1723 +a(g18 +VPyThreadState +p1724 +tp1725 +a(g189 +V +tp1726 +a(g344 +V* +tp1727 +a(g202 +V) +tp1728 +a(g202 +V; +tp1729 +a(g189 +V\u000a +tp1730 +a(g189 +V +tp1731 +a(g111 +Vstatic +p1732 +tp1733 +a(g189 +V +tp1734 +a(g139 +Vvoid +p1735 +tp1736 +a(g189 +V +tp1737 +a(g18 +Vformat_exc_check_arg +p1738 +tp1739 +a(g202 +V( +tp1740 +a(g18 +VPyObject +p1741 +tp1742 +a(g189 +V +tp1743 +a(g344 +V* +tp1744 +a(g202 +V, +tp1745 +a(g189 +V +tp1746 +a(g139 +Vchar +p1747 +tp1748 +a(g189 +V +tp1749 +a(g344 +V* +tp1750 +a(g202 +V, +tp1751 +a(g189 +V +tp1752 +a(g18 +VPyObject +p1753 +tp1754 +a(g189 +V +tp1755 +a(g344 +V* +tp1756 +a(g202 +V) +tp1757 +a(g202 +V; +tp1758 +a(g189 +V\u000a +tp1759 +a(g189 +V +tp1760 +a(g111 +Vstatic +p1761 +tp1762 +a(g189 +V +tp1763 +a(g18 +VPyObject +p1764 +tp1765 +a(g189 +V +tp1766 +a(g344 +V* +tp1767 +a(g189 +V +tp1768 +a(g18 +Vstring_concatenate +p1769 +tp1770 +a(g202 +V( +tp1771 +a(g18 +VPyObject +p1772 +tp1773 +a(g189 +V +tp1774 +a(g344 +V* +tp1775 +a(g202 +V, +tp1776 +a(g189 +V +tp1777 +a(g18 +VPyObject +p1778 +tp1779 +a(g189 +V +tp1780 +a(g344 +V* +tp1781 +a(g202 +V, +tp1782 +a(g189 +V\u000a +tp1783 +a(g189 +V +p1784 +tp1785 +a(g18 +VPyFrameObject +p1786 +tp1787 +a(g189 +V +tp1788 +a(g344 +V* +tp1789 +a(g202 +V, +tp1790 +a(g189 +V +tp1791 +a(g139 +Vunsigned +p1792 +tp1793 +a(g189 +V +tp1794 +a(g139 +Vchar +p1795 +tp1796 +a(g189 +V +tp1797 +a(g344 +V* +tp1798 +a(g202 +V) +tp1799 +a(g202 +V; +tp1800 +a(g189 +V\u000a +tp1801 +a(g355 +V\u000a# +p1802 +tp1803 +a(g355 +Vdefine NAME_ERROR_MSG \u005c +p1804 +tp1805 +a(g355 +V\u000a +tp1806 +a(g355 +V "name '%.200s' is not defined" +p1807 +tp1808 +a(g355 +V\u000a +tp1809 +a(g355 +V# +tp1810 +a(g355 +Vdefine GLOBAL_NAME_ERROR_MSG \u005c +p1811 +tp1812 +a(g355 +V\u000a +tp1813 +a(g355 +V "global name '%.200s' is not defined" +p1814 +tp1815 +a(g355 +V\u000a +tp1816 +a(g355 +V# +tp1817 +a(g355 +Vdefine UNBOUNDLOCAL_ERROR_MSG \u005c +p1818 +tp1819 +a(g355 +V\u000a +tp1820 +a(g355 +V "local variable '%.200s' referenced before assignment" +p1821 +tp1822 +a(g355 +V\u000a +tp1823 +a(g355 +V# +tp1824 +a(g355 +Vdefine UNBOUNDFREE_ERROR_MSG \u005c +p1825 +tp1826 +a(g355 +V\u000a +tp1827 +a(g355 +V "free variable '%.200s' referenced before assignment" \u005c +p1828 +tp1829 +a(g355 +V\u000a +tp1830 +a(g355 +V " in enclosing scope" +p1831 +tp1832 +a(g355 +V\u000a +tp1833 +a(g189 +V\u000a +tp1834 +a(g7 +V/* Dynamic execution profile */ +p1835 +tp1836 +a(g189 +V\u000a +tp1837 +a(g355 +V# +tp1838 +a(g355 +Vifdef DYNAMIC_EXECUTION_PROFILE +p1839 +tp1840 +a(g355 +V\u000a +tp1841 +a(g355 +V# +tp1842 +a(g355 +Vifdef DXPAIRS +p1843 +tp1844 +a(g355 +V\u000a +tp1845 +a(g189 +V +tp1846 +a(g111 +Vstatic +p1847 +tp1848 +a(g189 +V +tp1849 +a(g139 +Vlong +p1850 +tp1851 +a(g189 +V +tp1852 +a(g18 +Vdxpairs +p1853 +tp1854 +a(g202 +V[ +tp1855 +a(g319 +V257 +p1856 +tp1857 +a(g202 +V] +tp1858 +a(g202 +V[ +tp1859 +a(g319 +V256 +p1860 +tp1861 +a(g202 +V] +tp1862 +a(g202 +V; +tp1863 +a(g189 +V\u000a +tp1864 +a(g355 +V# +tp1865 +a(g355 +Vdefine dxp dxpairs[256] +p1866 +tp1867 +a(g355 +V\u000a +tp1868 +a(g355 +V# +tp1869 +a(g355 +Velse +p1870 +tp1871 +a(g355 +V\u000a +tp1872 +a(g189 +V +tp1873 +a(g111 +Vstatic +p1874 +tp1875 +a(g189 +V +tp1876 +a(g139 +Vlong +p1877 +tp1878 +a(g189 +V +tp1879 +a(g18 +Vdxp +p1880 +tp1881 +a(g202 +V[ +tp1882 +a(g319 +V256 +p1883 +tp1884 +a(g202 +V] +tp1885 +a(g202 +V; +tp1886 +a(g189 +V\u000a +tp1887 +a(g355 +V# +tp1888 +a(g355 +Vendif +p1889 +tp1890 +a(g355 +V\u000a +tp1891 +a(g355 +V# +tp1892 +a(g355 +Vendif +p1893 +tp1894 +a(g355 +V\u000a +tp1895 +a(g189 +V\u000a +tp1896 +a(g7 +V/* Function call profile */ +p1897 +tp1898 +a(g189 +V\u000a +tp1899 +a(g355 +V# +tp1900 +a(g355 +Vifdef CALL_PROFILE +p1901 +tp1902 +a(g355 +V\u000a +tp1903 +a(g355 +V# +tp1904 +a(g355 +Vdefine PCALL_NUM 11 +p1905 +tp1906 +a(g355 +V\u000a +tp1907 +a(g189 +V +tp1908 +a(g111 +Vstatic +p1909 +tp1910 +a(g189 +V +tp1911 +a(g139 +Vint +p1912 +tp1913 +a(g189 +V +tp1914 +a(g18 +Vpcall +p1915 +tp1916 +a(g202 +V[ +tp1917 +a(g18 +VPCALL_NUM +p1918 +tp1919 +a(g202 +V] +tp1920 +a(g202 +V; +tp1921 +a(g189 +V\u000a +tp1922 +a(g355 +V\u000a# +p1923 +tp1924 +a(g355 +Vdefine PCALL_ALL 0 +p1925 +tp1926 +a(g355 +V\u000a +tp1927 +a(g355 +V# +tp1928 +a(g355 +Vdefine PCALL_FUNCTION 1 +p1929 +tp1930 +a(g355 +V\u000a +tp1931 +a(g355 +V# +tp1932 +a(g355 +Vdefine PCALL_FAST_FUNCTION 2 +p1933 +tp1934 +a(g355 +V\u000a +tp1935 +a(g355 +V# +tp1936 +a(g355 +Vdefine PCALL_FASTER_FUNCTION 3 +p1937 +tp1938 +a(g355 +V\u000a +tp1939 +a(g355 +V# +tp1940 +a(g355 +Vdefine PCALL_METHOD 4 +p1941 +tp1942 +a(g355 +V\u000a +tp1943 +a(g355 +V# +tp1944 +a(g355 +Vdefine PCALL_BOUND_METHOD 5 +p1945 +tp1946 +a(g355 +V\u000a +tp1947 +a(g355 +V# +tp1948 +a(g355 +Vdefine PCALL_CFUNCTION 6 +p1949 +tp1950 +a(g355 +V\u000a +tp1951 +a(g355 +V# +tp1952 +a(g355 +Vdefine PCALL_TYPE 7 +p1953 +tp1954 +a(g355 +V\u000a +tp1955 +a(g355 +V# +tp1956 +a(g355 +Vdefine PCALL_GENERATOR 8 +p1957 +tp1958 +a(g355 +V\u000a +tp1959 +a(g355 +V# +tp1960 +a(g355 +Vdefine PCALL_OTHER 9 +p1961 +tp1962 +a(g355 +V\u000a +tp1963 +a(g355 +V# +tp1964 +a(g355 +Vdefine PCALL_POP 10 +p1965 +tp1966 +a(g355 +V\u000a +tp1967 +a(g189 +V\u000a +tp1968 +a(g7 +V/* Notes about the statistics\u000a\u000a PCALL_FAST stats\u000a\u000a FAST_FUNCTION means no argument tuple needs to be created.\u000a FASTER_FUNCTION means that the fast-path frame setup code is used.\u000a\u000a If there is a method call where the call can be optimized by changing\u000a the argument tuple and calling the function directly, it gets recorded\u000a twice.\u000a\u000a As a result, the relationship among the statistics appears to be\u000a PCALL_ALL == PCALL_FUNCTION + PCALL_METHOD - PCALL_BOUND_METHOD +\u000a PCALL_CFUNCTION + PCALL_TYPE + PCALL_GENERATOR + PCALL_OTHER\u000a PCALL_FUNCTION > PCALL_FAST_FUNCTION > PCALL_FASTER_FUNCTION\u000a PCALL_METHOD > PCALL_BOUND_METHOD\u000a*/ +p1969 +tp1970 +a(g189 +V\u000a +tp1971 +a(g355 +V\u000a# +p1972 +tp1973 +a(g355 +Vdefine PCALL(POS) pcall[POS]++ +p1974 +tp1975 +a(g355 +V\u000a +tp1976 +a(g189 +V\u000a +tp1977 +a(g189 +V +tp1978 +a(g18 +VPyObject +p1979 +tp1980 +a(g189 +V +tp1981 +a(g344 +V* +tp1982 +a(g189 +V\u000a +tp1983 +a(g21 +VPyEval_GetCallStats +p1984 +tp1985 +a(g189 +V +tp1986 +a(g202 +V( +tp1987 +a(g18 +VPyObject +p1988 +tp1989 +a(g189 +V +tp1990 +a(g344 +V* +tp1991 +a(g18 +Vself +p1992 +tp1993 +a(g202 +V) +tp1994 +a(g189 +V\u000a +tp1995 +a(g189 +V +tp1996 +a(g202 +V{ +tp1997 +a(g189 +V\u000a +tp1998 +a(g189 +V +tp1999 +a(g111 +Vreturn +p2000 +tp2001 +a(g189 +V +tp2002 +a(g18 +VPy_BuildValue +p2003 +tp2004 +a(g202 +V( +tp2005 +a(g226 +V" +tp2006 +a(g226 +Viiiiiiiiii +p2007 +tp2008 +a(g226 +V" +tp2009 +a(g202 +V, +tp2010 +a(g189 +V\u000a +tp2011 +a(g189 +V +p2012 +tp2013 +a(g18 +Vpcall +p2014 +tp2015 +a(g202 +V[ +tp2016 +a(g319 +V0 +tp2017 +a(g202 +V] +tp2018 +a(g202 +V, +tp2019 +a(g189 +V +tp2020 +a(g18 +Vpcall +p2021 +tp2022 +a(g202 +V[ +tp2023 +a(g319 +V1 +tp2024 +a(g202 +V] +tp2025 +a(g202 +V, +tp2026 +a(g189 +V +tp2027 +a(g18 +Vpcall +p2028 +tp2029 +a(g202 +V[ +tp2030 +a(g319 +V2 +tp2031 +a(g202 +V] +tp2032 +a(g202 +V, +tp2033 +a(g189 +V +tp2034 +a(g18 +Vpcall +p2035 +tp2036 +a(g202 +V[ +tp2037 +a(g319 +V3 +tp2038 +a(g202 +V] +tp2039 +a(g202 +V, +tp2040 +a(g189 +V\u000a +tp2041 +a(g189 +V +p2042 +tp2043 +a(g18 +Vpcall +p2044 +tp2045 +a(g202 +V[ +tp2046 +a(g319 +V4 +tp2047 +a(g202 +V] +tp2048 +a(g202 +V, +tp2049 +a(g189 +V +tp2050 +a(g18 +Vpcall +p2051 +tp2052 +a(g202 +V[ +tp2053 +a(g319 +V5 +tp2054 +a(g202 +V] +tp2055 +a(g202 +V, +tp2056 +a(g189 +V +tp2057 +a(g18 +Vpcall +p2058 +tp2059 +a(g202 +V[ +tp2060 +a(g319 +V6 +tp2061 +a(g202 +V] +tp2062 +a(g202 +V, +tp2063 +a(g189 +V +tp2064 +a(g18 +Vpcall +p2065 +tp2066 +a(g202 +V[ +tp2067 +a(g319 +V7 +tp2068 +a(g202 +V] +tp2069 +a(g202 +V, +tp2070 +a(g189 +V\u000a +tp2071 +a(g189 +V +p2072 +tp2073 +a(g18 +Vpcall +p2074 +tp2075 +a(g202 +V[ +tp2076 +a(g319 +V8 +tp2077 +a(g202 +V] +tp2078 +a(g202 +V, +tp2079 +a(g189 +V +tp2080 +a(g18 +Vpcall +p2081 +tp2082 +a(g202 +V[ +tp2083 +a(g319 +V9 +tp2084 +a(g202 +V] +tp2085 +a(g202 +V) +tp2086 +a(g202 +V; +tp2087 +a(g189 +V\u000a +tp2088 +a(g202 +V} +tp2089 +a(g189 +V\u000a +tp2090 +a(g355 +V# +tp2091 +a(g355 +Velse +p2092 +tp2093 +a(g355 +V\u000a +tp2094 +a(g355 +V# +tp2095 +a(g355 +Vdefine PCALL(O) +p2096 +tp2097 +a(g355 +V\u000a +tp2098 +a(g189 +V\u000a +tp2099 +a(g189 +V +tp2100 +a(g18 +VPyObject +p2101 +tp2102 +a(g189 +V +tp2103 +a(g344 +V* +tp2104 +a(g189 +V\u000a +tp2105 +a(g21 +VPyEval_GetCallStats +p2106 +tp2107 +a(g189 +V +tp2108 +a(g202 +V( +tp2109 +a(g18 +VPyObject +p2110 +tp2111 +a(g189 +V +tp2112 +a(g344 +V* +tp2113 +a(g18 +Vself +p2114 +tp2115 +a(g202 +V) +tp2116 +a(g189 +V\u000a +tp2117 +a(g189 +V +tp2118 +a(g202 +V{ +tp2119 +a(g189 +V\u000a +tp2120 +a(g189 +V +tp2121 +a(g18 +VPy_INCREF +p2122 +tp2123 +a(g202 +V( +tp2124 +a(g18 +VPy_None +p2125 +tp2126 +a(g202 +V) +tp2127 +a(g202 +V; +tp2128 +a(g189 +V\u000a +tp2129 +a(g189 +V +tp2130 +a(g111 +Vreturn +p2131 +tp2132 +a(g189 +V +tp2133 +a(g18 +VPy_None +p2134 +tp2135 +a(g202 +V; +tp2136 +a(g189 +V\u000a +tp2137 +a(g202 +V} +tp2138 +a(g189 +V\u000a +tp2139 +a(g355 +V# +tp2140 +a(g355 +Vendif +p2141 +tp2142 +a(g355 +V\u000a +tp2143 +a(g355 +V\u000a\u000a# +p2144 +tp2145 +a(g355 +Vifdef WITH_THREAD +p2146 +tp2147 +a(g355 +V\u000a +tp2148 +a(g355 +V\u000a# +p2149 +tp2150 +a(g355 +Vifdef HAVE_ERRNO_H +p2151 +tp2152 +a(g355 +V\u000a +tp2153 +a(g355 +V# +tp2154 +a(g355 +Vinclude +p2155 +tp2156 +a(g355 +V\u000a +tp2157 +a(g355 +V# +tp2158 +a(g355 +Vendif +p2159 +tp2160 +a(g355 +V\u000a +tp2161 +a(g355 +V# +tp2162 +a(g355 +Vinclude "pythread.h" +p2163 +tp2164 +a(g355 +V\u000a +tp2165 +a(g189 +V\u000a +tp2166 +a(g189 +V +tp2167 +a(g111 +Vstatic +p2168 +tp2169 +a(g189 +V +tp2170 +a(g18 +VPyThread_type_lock +p2171 +tp2172 +a(g189 +V +tp2173 +a(g18 +Vinterpreter_lock +p2174 +tp2175 +a(g189 +V +tp2176 +a(g344 +V= +tp2177 +a(g189 +V +tp2178 +a(g319 +V0 +tp2179 +a(g202 +V; +tp2180 +a(g189 +V +tp2181 +a(g7 +V/* This is the GIL */ +p2182 +tp2183 +a(g189 +V\u000a +tp2184 +a(g189 +V +tp2185 +a(g111 +Vstatic +p2186 +tp2187 +a(g189 +V +tp2188 +a(g139 +Vlong +p2189 +tp2190 +a(g189 +V +tp2191 +a(g18 +Vmain_thread +p2192 +tp2193 +a(g189 +V +tp2194 +a(g344 +V= +tp2195 +a(g189 +V +tp2196 +a(g319 +V0 +tp2197 +a(g202 +V; +tp2198 +a(g189 +V\u000a +tp2199 +a(g189 +V\u000a +tp2200 +a(g189 +V +tp2201 +a(g139 +Vint +p2202 +tp2203 +a(g189 +V\u000a +tp2204 +a(g21 +VPyEval_ThreadsInitialized +p2205 +tp2206 +a(g189 +V +tp2207 +a(g202 +V( +tp2208 +a(g139 +Vvoid +p2209 +tp2210 +a(g202 +V) +tp2211 +a(g189 +V\u000a +tp2212 +a(g189 +V +tp2213 +a(g202 +V{ +tp2214 +a(g189 +V\u000a +tp2215 +a(g189 +V +tp2216 +a(g111 +Vreturn +p2217 +tp2218 +a(g189 +V +tp2219 +a(g18 +Vinterpreter_lock +p2220 +tp2221 +a(g189 +V +tp2222 +a(g344 +V! +tp2223 +a(g344 +V= +tp2224 +a(g189 +V +tp2225 +a(g319 +V0 +tp2226 +a(g202 +V; +tp2227 +a(g189 +V\u000a +tp2228 +a(g202 +V} +tp2229 +a(g189 +V\u000a +tp2230 +a(g189 +V\u000a +tp2231 +a(g189 +V +tp2232 +a(g139 +Vvoid +p2233 +tp2234 +a(g189 +V\u000a +tp2235 +a(g21 +VPyEval_InitThreads +p2236 +tp2237 +a(g189 +V +tp2238 +a(g202 +V( +tp2239 +a(g139 +Vvoid +p2240 +tp2241 +a(g202 +V) +tp2242 +a(g189 +V\u000a +tp2243 +a(g189 +V +tp2244 +a(g202 +V{ +tp2245 +a(g189 +V\u000a +tp2246 +a(g189 +V +tp2247 +a(g111 +Vif +p2248 +tp2249 +a(g189 +V +tp2250 +a(g202 +V( +tp2251 +a(g18 +Vinterpreter_lock +p2252 +tp2253 +a(g202 +V) +tp2254 +a(g189 +V\u000a +tp2255 +a(g189 +V +p2256 +tp2257 +a(g111 +Vreturn +p2258 +tp2259 +a(g202 +V; +tp2260 +a(g189 +V\u000a +tp2261 +a(g189 +V +tp2262 +a(g18 +Vinterpreter_lock +p2263 +tp2264 +a(g189 +V +tp2265 +a(g344 +V= +tp2266 +a(g189 +V +tp2267 +a(g18 +VPyThread_allocate_lock +p2268 +tp2269 +a(g202 +V( +tp2270 +a(g202 +V) +tp2271 +a(g202 +V; +tp2272 +a(g189 +V\u000a +tp2273 +a(g189 +V +tp2274 +a(g18 +VPyThread_acquire_lock +p2275 +tp2276 +a(g202 +V( +tp2277 +a(g18 +Vinterpreter_lock +p2278 +tp2279 +a(g202 +V, +tp2280 +a(g189 +V +tp2281 +a(g319 +V1 +tp2282 +a(g202 +V) +tp2283 +a(g202 +V; +tp2284 +a(g189 +V\u000a +tp2285 +a(g189 +V +tp2286 +a(g18 +Vmain_thread +p2287 +tp2288 +a(g189 +V +tp2289 +a(g344 +V= +tp2290 +a(g189 +V +tp2291 +a(g18 +VPyThread_get_thread_ident +p2292 +tp2293 +a(g202 +V( +tp2294 +a(g202 +V) +tp2295 +a(g202 +V; +tp2296 +a(g189 +V\u000a +tp2297 +a(g202 +V} +tp2298 +a(g189 +V\u000a +tp2299 +a(g189 +V\u000a +tp2300 +a(g189 +V +tp2301 +a(g139 +Vvoid +p2302 +tp2303 +a(g189 +V\u000a +tp2304 +a(g21 +VPyEval_AcquireLock +p2305 +tp2306 +a(g189 +V +tp2307 +a(g202 +V( +tp2308 +a(g139 +Vvoid +p2309 +tp2310 +a(g202 +V) +tp2311 +a(g189 +V\u000a +tp2312 +a(g189 +V +tp2313 +a(g202 +V{ +tp2314 +a(g189 +V\u000a +tp2315 +a(g189 +V +tp2316 +a(g18 +VPyThread_acquire_lock +p2317 +tp2318 +a(g202 +V( +tp2319 +a(g18 +Vinterpreter_lock +p2320 +tp2321 +a(g202 +V, +tp2322 +a(g189 +V +tp2323 +a(g319 +V1 +tp2324 +a(g202 +V) +tp2325 +a(g202 +V; +tp2326 +a(g189 +V\u000a +tp2327 +a(g202 +V} +tp2328 +a(g189 +V\u000a +tp2329 +a(g189 +V\u000a +tp2330 +a(g189 +V +tp2331 +a(g139 +Vvoid +p2332 +tp2333 +a(g189 +V\u000a +tp2334 +a(g21 +VPyEval_ReleaseLock +p2335 +tp2336 +a(g189 +V +tp2337 +a(g202 +V( +tp2338 +a(g139 +Vvoid +p2339 +tp2340 +a(g202 +V) +tp2341 +a(g189 +V\u000a +tp2342 +a(g189 +V +tp2343 +a(g202 +V{ +tp2344 +a(g189 +V\u000a +tp2345 +a(g189 +V +tp2346 +a(g18 +VPyThread_release_lock +p2347 +tp2348 +a(g202 +V( +tp2349 +a(g18 +Vinterpreter_lock +p2350 +tp2351 +a(g202 +V) +tp2352 +a(g202 +V; +tp2353 +a(g189 +V\u000a +tp2354 +a(g202 +V} +tp2355 +a(g189 +V\u000a +tp2356 +a(g189 +V\u000a +tp2357 +a(g189 +V +tp2358 +a(g139 +Vvoid +p2359 +tp2360 +a(g189 +V\u000a +tp2361 +a(g21 +VPyEval_AcquireThread +p2362 +tp2363 +a(g189 +V +tp2364 +a(g202 +V( +tp2365 +a(g18 +VPyThreadState +p2366 +tp2367 +a(g189 +V +tp2368 +a(g344 +V* +tp2369 +a(g18 +Vtstate +p2370 +tp2371 +a(g202 +V) +tp2372 +a(g189 +V\u000a +tp2373 +a(g189 +V +tp2374 +a(g202 +V{ +tp2375 +a(g189 +V\u000a +tp2376 +a(g189 +V +tp2377 +a(g111 +Vif +p2378 +tp2379 +a(g189 +V +tp2380 +a(g202 +V( +tp2381 +a(g18 +Vtstate +p2382 +tp2383 +a(g189 +V +tp2384 +a(g344 +V= +tp2385 +a(g344 +V= +tp2386 +a(g189 +V +tp2387 +a(g57 +VNULL +p2388 +tp2389 +a(g202 +V) +tp2390 +a(g189 +V\u000a +tp2391 +a(g189 +V +p2392 +tp2393 +a(g18 +VPy_FatalError +p2394 +tp2395 +a(g202 +V( +tp2396 +a(g226 +V" +tp2397 +a(g226 +VPyEval_AcquireThread: NULL new thread state +p2398 +tp2399 +a(g226 +V" +tp2400 +a(g202 +V) +tp2401 +a(g202 +V; +tp2402 +a(g189 +V\u000a +tp2403 +a(g189 +V +tp2404 +a(g7 +V/* Check someone has called PyEval_InitThreads() to create the lock */ +p2405 +tp2406 +a(g189 +V\u000a +tp2407 +a(g189 +V +tp2408 +a(g18 +Vassert +p2409 +tp2410 +a(g202 +V( +tp2411 +a(g18 +Vinterpreter_lock +p2412 +tp2413 +a(g202 +V) +tp2414 +a(g202 +V; +tp2415 +a(g189 +V\u000a +tp2416 +a(g189 +V +tp2417 +a(g18 +VPyThread_acquire_lock +p2418 +tp2419 +a(g202 +V( +tp2420 +a(g18 +Vinterpreter_lock +p2421 +tp2422 +a(g202 +V, +tp2423 +a(g189 +V +tp2424 +a(g319 +V1 +tp2425 +a(g202 +V) +tp2426 +a(g202 +V; +tp2427 +a(g189 +V\u000a +tp2428 +a(g189 +V +tp2429 +a(g111 +Vif +p2430 +tp2431 +a(g189 +V +tp2432 +a(g202 +V( +tp2433 +a(g18 +VPyThreadState_Swap +p2434 +tp2435 +a(g202 +V( +tp2436 +a(g18 +Vtstate +p2437 +tp2438 +a(g202 +V) +tp2439 +a(g189 +V +tp2440 +a(g344 +V! +tp2441 +a(g344 +V= +tp2442 +a(g189 +V +tp2443 +a(g57 +VNULL +p2444 +tp2445 +a(g202 +V) +tp2446 +a(g189 +V\u000a +tp2447 +a(g189 +V +p2448 +tp2449 +a(g18 +VPy_FatalError +p2450 +tp2451 +a(g202 +V( +tp2452 +a(g189 +V\u000a +tp2453 +a(g189 +V +p2454 +tp2455 +a(g226 +V" +tp2456 +a(g226 +VPyEval_AcquireThread: non-NULL old thread state +p2457 +tp2458 +a(g226 +V" +tp2459 +a(g202 +V) +tp2460 +a(g202 +V; +tp2461 +a(g189 +V\u000a +tp2462 +a(g202 +V} +tp2463 +a(g189 +V\u000a +tp2464 +a(g189 +V\u000a +tp2465 +a(g189 +V +tp2466 +a(g139 +Vvoid +p2467 +tp2468 +a(g189 +V\u000a +tp2469 +a(g21 +VPyEval_ReleaseThread +p2470 +tp2471 +a(g189 +V +tp2472 +a(g202 +V( +tp2473 +a(g18 +VPyThreadState +p2474 +tp2475 +a(g189 +V +tp2476 +a(g344 +V* +tp2477 +a(g18 +Vtstate +p2478 +tp2479 +a(g202 +V) +tp2480 +a(g189 +V\u000a +tp2481 +a(g189 +V +tp2482 +a(g202 +V{ +tp2483 +a(g189 +V\u000a +tp2484 +a(g189 +V +tp2485 +a(g111 +Vif +p2486 +tp2487 +a(g189 +V +tp2488 +a(g202 +V( +tp2489 +a(g18 +Vtstate +p2490 +tp2491 +a(g189 +V +tp2492 +a(g344 +V= +tp2493 +a(g344 +V= +tp2494 +a(g189 +V +tp2495 +a(g57 +VNULL +p2496 +tp2497 +a(g202 +V) +tp2498 +a(g189 +V\u000a +tp2499 +a(g189 +V +p2500 +tp2501 +a(g18 +VPy_FatalError +p2502 +tp2503 +a(g202 +V( +tp2504 +a(g226 +V" +tp2505 +a(g226 +VPyEval_ReleaseThread: NULL thread state +p2506 +tp2507 +a(g226 +V" +tp2508 +a(g202 +V) +tp2509 +a(g202 +V; +tp2510 +a(g189 +V\u000a +tp2511 +a(g189 +V +tp2512 +a(g111 +Vif +p2513 +tp2514 +a(g189 +V +tp2515 +a(g202 +V( +tp2516 +a(g18 +VPyThreadState_Swap +p2517 +tp2518 +a(g202 +V( +tp2519 +a(g57 +VNULL +p2520 +tp2521 +a(g202 +V) +tp2522 +a(g189 +V +tp2523 +a(g344 +V! +tp2524 +a(g344 +V= +tp2525 +a(g189 +V +tp2526 +a(g18 +Vtstate +p2527 +tp2528 +a(g202 +V) +tp2529 +a(g189 +V\u000a +tp2530 +a(g189 +V +p2531 +tp2532 +a(g18 +VPy_FatalError +p2533 +tp2534 +a(g202 +V( +tp2535 +a(g226 +V" +tp2536 +a(g226 +VPyEval_ReleaseThread: wrong thread state +p2537 +tp2538 +a(g226 +V" +tp2539 +a(g202 +V) +tp2540 +a(g202 +V; +tp2541 +a(g189 +V\u000a +tp2542 +a(g189 +V +tp2543 +a(g18 +VPyThread_release_lock +p2544 +tp2545 +a(g202 +V( +tp2546 +a(g18 +Vinterpreter_lock +p2547 +tp2548 +a(g202 +V) +tp2549 +a(g202 +V; +tp2550 +a(g189 +V\u000a +tp2551 +a(g202 +V} +tp2552 +a(g189 +V\u000a +tp2553 +a(g189 +V\u000a +tp2554 +a(g7 +V/* This function is called from PyOS_AfterFork to ensure that newly\u000a created child processes don't hold locks referring to threads which\u000a are not running in the child process. (This could also be done using\u000a pthread_atfork mechanism, at least for the pthreads implementation.) */ +p2555 +tp2556 +a(g189 +V\u000a +tp2557 +a(g189 +V\u000a +tp2558 +a(g189 +V +tp2559 +a(g139 +Vvoid +p2560 +tp2561 +a(g189 +V\u000a +tp2562 +a(g21 +VPyEval_ReInitThreads +p2563 +tp2564 +a(g189 +V +tp2565 +a(g202 +V( +tp2566 +a(g139 +Vvoid +p2567 +tp2568 +a(g202 +V) +tp2569 +a(g189 +V\u000a +tp2570 +a(g189 +V +tp2571 +a(g202 +V{ +tp2572 +a(g189 +V\u000a +tp2573 +a(g189 +V +tp2574 +a(g111 +Vif +p2575 +tp2576 +a(g189 +V +tp2577 +a(g202 +V( +tp2578 +a(g344 +V! +tp2579 +a(g18 +Vinterpreter_lock +p2580 +tp2581 +a(g202 +V) +tp2582 +a(g189 +V\u000a +tp2583 +a(g189 +V +p2584 +tp2585 +a(g111 +Vreturn +p2586 +tp2587 +a(g202 +V; +tp2588 +a(g189 +V\u000a +tp2589 +a(g189 +V +tp2590 +a(g7 +V/*XXX Can't use PyThread_free_lock here because it does too\u000a much error-checking. Doing this cleanly would require\u000a adding a new function to each thread_*.h. Instead, just\u000a create a new lock and waste a little bit of memory */ +p2591 +tp2592 +a(g189 +V\u000a +tp2593 +a(g189 +V +tp2594 +a(g18 +Vinterpreter_lock +p2595 +tp2596 +a(g189 +V +tp2597 +a(g344 +V= +tp2598 +a(g189 +V +tp2599 +a(g18 +VPyThread_allocate_lock +p2600 +tp2601 +a(g202 +V( +tp2602 +a(g202 +V) +tp2603 +a(g202 +V; +tp2604 +a(g189 +V\u000a +tp2605 +a(g189 +V +tp2606 +a(g18 +VPyThread_acquire_lock +p2607 +tp2608 +a(g202 +V( +tp2609 +a(g18 +Vinterpreter_lock +p2610 +tp2611 +a(g202 +V, +tp2612 +a(g189 +V +tp2613 +a(g319 +V1 +tp2614 +a(g202 +V) +tp2615 +a(g202 +V; +tp2616 +a(g189 +V\u000a +tp2617 +a(g189 +V +tp2618 +a(g18 +Vmain_thread +p2619 +tp2620 +a(g189 +V +tp2621 +a(g344 +V= +tp2622 +a(g189 +V +tp2623 +a(g18 +VPyThread_get_thread_ident +p2624 +tp2625 +a(g202 +V( +tp2626 +a(g202 +V) +tp2627 +a(g202 +V; +tp2628 +a(g189 +V\u000a +tp2629 +a(g202 +V} +tp2630 +a(g189 +V\u000a +tp2631 +a(g355 +V# +tp2632 +a(g355 +Vendif +p2633 +tp2634 +a(g355 +V\u000a +tp2635 +a(g189 +V\u000a +tp2636 +a(g7 +V/* Functions save_thread and restore_thread are always defined so\u000a dynamically loaded modules needn't be compiled separately for use\u000a with and without threads: */ +p2637 +tp2638 +a(g189 +V\u000a +tp2639 +a(g189 +V\u000a +tp2640 +a(g189 +V +tp2641 +a(g18 +VPyThreadState +p2642 +tp2643 +a(g189 +V +tp2644 +a(g344 +V* +tp2645 +a(g189 +V\u000a +tp2646 +a(g21 +VPyEval_SaveThread +p2647 +tp2648 +a(g189 +V +tp2649 +a(g202 +V( +tp2650 +a(g139 +Vvoid +p2651 +tp2652 +a(g202 +V) +tp2653 +a(g189 +V\u000a +tp2654 +a(g189 +V +tp2655 +a(g202 +V{ +tp2656 +a(g189 +V\u000a +tp2657 +a(g189 +V +tp2658 +a(g18 +VPyThreadState +p2659 +tp2660 +a(g189 +V +tp2661 +a(g344 +V* +tp2662 +a(g18 +Vtstate +p2663 +tp2664 +a(g189 +V +tp2665 +a(g344 +V= +tp2666 +a(g189 +V +tp2667 +a(g18 +VPyThreadState_Swap +p2668 +tp2669 +a(g202 +V( +tp2670 +a(g57 +VNULL +p2671 +tp2672 +a(g202 +V) +tp2673 +a(g202 +V; +tp2674 +a(g189 +V\u000a +tp2675 +a(g189 +V +tp2676 +a(g111 +Vif +p2677 +tp2678 +a(g189 +V +tp2679 +a(g202 +V( +tp2680 +a(g18 +Vtstate +p2681 +tp2682 +a(g189 +V +tp2683 +a(g344 +V= +tp2684 +a(g344 +V= +tp2685 +a(g189 +V +tp2686 +a(g57 +VNULL +p2687 +tp2688 +a(g202 +V) +tp2689 +a(g189 +V\u000a +tp2690 +a(g189 +V +p2691 +tp2692 +a(g18 +VPy_FatalError +p2693 +tp2694 +a(g202 +V( +tp2695 +a(g226 +V" +tp2696 +a(g226 +VPyEval_SaveThread: NULL tstate +p2697 +tp2698 +a(g226 +V" +tp2699 +a(g202 +V) +tp2700 +a(g202 +V; +tp2701 +a(g189 +V\u000a +tp2702 +a(g355 +V# +tp2703 +a(g355 +Vifdef WITH_THREAD +p2704 +tp2705 +a(g355 +V\u000a +tp2706 +a(g189 +V +tp2707 +a(g111 +Vif +p2708 +tp2709 +a(g189 +V +tp2710 +a(g202 +V( +tp2711 +a(g18 +Vinterpreter_lock +p2712 +tp2713 +a(g202 +V) +tp2714 +a(g189 +V\u000a +tp2715 +a(g189 +V +p2716 +tp2717 +a(g18 +VPyThread_release_lock +p2718 +tp2719 +a(g202 +V( +tp2720 +a(g18 +Vinterpreter_lock +p2721 +tp2722 +a(g202 +V) +tp2723 +a(g202 +V; +tp2724 +a(g189 +V\u000a +tp2725 +a(g355 +V# +tp2726 +a(g355 +Vendif +p2727 +tp2728 +a(g355 +V\u000a +tp2729 +a(g189 +V +tp2730 +a(g111 +Vreturn +p2731 +tp2732 +a(g189 +V +tp2733 +a(g18 +Vtstate +p2734 +tp2735 +a(g202 +V; +tp2736 +a(g189 +V\u000a +tp2737 +a(g202 +V} +tp2738 +a(g189 +V\u000a +tp2739 +a(g189 +V\u000a +tp2740 +a(g189 +V +tp2741 +a(g139 +Vvoid +p2742 +tp2743 +a(g189 +V\u000a +tp2744 +a(g21 +VPyEval_RestoreThread +p2745 +tp2746 +a(g189 +V +tp2747 +a(g202 +V( +tp2748 +a(g18 +VPyThreadState +p2749 +tp2750 +a(g189 +V +tp2751 +a(g344 +V* +tp2752 +a(g18 +Vtstate +p2753 +tp2754 +a(g202 +V) +tp2755 +a(g189 +V\u000a +tp2756 +a(g189 +V +tp2757 +a(g202 +V{ +tp2758 +a(g189 +V\u000a +tp2759 +a(g189 +V +tp2760 +a(g111 +Vif +p2761 +tp2762 +a(g189 +V +tp2763 +a(g202 +V( +tp2764 +a(g18 +Vtstate +p2765 +tp2766 +a(g189 +V +tp2767 +a(g344 +V= +tp2768 +a(g344 +V= +tp2769 +a(g189 +V +tp2770 +a(g57 +VNULL +p2771 +tp2772 +a(g202 +V) +tp2773 +a(g189 +V\u000a +tp2774 +a(g189 +V +p2775 +tp2776 +a(g18 +VPy_FatalError +p2777 +tp2778 +a(g202 +V( +tp2779 +a(g226 +V" +tp2780 +a(g226 +VPyEval_RestoreThread: NULL tstate +p2781 +tp2782 +a(g226 +V" +tp2783 +a(g202 +V) +tp2784 +a(g202 +V; +tp2785 +a(g189 +V\u000a +tp2786 +a(g355 +V# +tp2787 +a(g355 +Vifdef WITH_THREAD +p2788 +tp2789 +a(g355 +V\u000a +tp2790 +a(g189 +V +tp2791 +a(g111 +Vif +p2792 +tp2793 +a(g189 +V +tp2794 +a(g202 +V( +tp2795 +a(g18 +Vinterpreter_lock +p2796 +tp2797 +a(g202 +V) +tp2798 +a(g189 +V +tp2799 +a(g202 +V{ +tp2800 +a(g189 +V\u000a +tp2801 +a(g189 +V +p2802 +tp2803 +a(g139 +Vint +p2804 +tp2805 +a(g189 +V +tp2806 +a(g18 +Verr +p2807 +tp2808 +a(g189 +V +tp2809 +a(g344 +V= +tp2810 +a(g189 +V +tp2811 +a(g18 +Verrno +p2812 +tp2813 +a(g202 +V; +tp2814 +a(g189 +V\u000a +tp2815 +a(g189 +V +p2816 +tp2817 +a(g18 +VPyThread_acquire_lock +p2818 +tp2819 +a(g202 +V( +tp2820 +a(g18 +Vinterpreter_lock +p2821 +tp2822 +a(g202 +V, +tp2823 +a(g189 +V +tp2824 +a(g319 +V1 +tp2825 +a(g202 +V) +tp2826 +a(g202 +V; +tp2827 +a(g189 +V\u000a +tp2828 +a(g189 +V +p2829 +tp2830 +a(g18 +Verrno +p2831 +tp2832 +a(g189 +V +tp2833 +a(g344 +V= +tp2834 +a(g189 +V +tp2835 +a(g18 +Verr +p2836 +tp2837 +a(g202 +V; +tp2838 +a(g189 +V\u000a +tp2839 +a(g189 +V +tp2840 +a(g202 +V} +tp2841 +a(g189 +V\u000a +tp2842 +a(g355 +V# +tp2843 +a(g355 +Vendif +p2844 +tp2845 +a(g355 +V\u000a +tp2846 +a(g189 +V +tp2847 +a(g18 +VPyThreadState_Swap +p2848 +tp2849 +a(g202 +V( +tp2850 +a(g18 +Vtstate +p2851 +tp2852 +a(g202 +V) +tp2853 +a(g202 +V; +tp2854 +a(g189 +V\u000a +tp2855 +a(g202 +V} +tp2856 +a(g189 +V\u000a +tp2857 +a(g189 +V\u000a +tp2858 +a(g189 +V\u000a +tp2859 +a(g7 +V/* Mechanism whereby asynchronously executing callbacks (e.g. UNIX\u000a signal handlers or Mac I/O completion routines) can schedule calls\u000a to a function to be called synchronously.\u000a The synchronous function is called with one void* argument.\u000a It should return 0 for success or -1 for failure -- failure should\u000a be accompanied by an exception.\u000a\u000a If registry succeeds, the registry function returns 0; if it fails\u000a (e.g. due to too many pending calls) it returns -1 (without setting\u000a an exception condition).\u000a\u000a Note that because registry may occur from within signal handlers,\u000a or other asynchronous events, calling malloc() is unsafe!\u000a\u000a#ifdef WITH_THREAD\u000a Any thread can schedule pending calls, but only the main thread\u000a will execute them.\u000a#endif\u000a\u000a XXX WARNING! ASYNCHRONOUSLY EXECUTING CODE!\u000a There are two possible race conditions:\u000a (1) nested asynchronous registry calls;\u000a (2) registry calls made while pending calls are being processed.\u000a While (1) is very unlikely, (2) is a real possibility.\u000a The current code is safe against (2), but not against (1).\u000a The safety against (2) is derived from the fact that only one\u000a thread (the main thread) ever takes things out of the queue.\u000a\u000a XXX Darn! With the advent of thread state, we should have an array\u000a of pending calls per thread in the thread state! Later...\u000a*/ +p2860 +tp2861 +a(g189 +V\u000a +tp2862 +a(g355 +V\u000a# +p2863 +tp2864 +a(g355 +Vdefine NPENDINGCALLS 32 +p2865 +tp2866 +a(g355 +V\u000a +tp2867 +a(g189 +V +tp2868 +a(g111 +Vstatic +p2869 +tp2870 +a(g189 +V +tp2871 +a(g111 +Vstruct +p2872 +tp2873 +a(g189 +V +tp2874 +a(g202 +V{ +tp2875 +a(g189 +V\u000a +tp2876 +a(g189 +V +tp2877 +a(g139 +Vint +p2878 +tp2879 +a(g189 +V +tp2880 +a(g202 +V( +tp2881 +a(g344 +V* +tp2882 +a(g18 +Vfunc +p2883 +tp2884 +a(g202 +V) +tp2885 +a(g202 +V( +tp2886 +a(g139 +Vvoid +p2887 +tp2888 +a(g189 +V +tp2889 +a(g344 +V* +tp2890 +a(g202 +V) +tp2891 +a(g202 +V; +tp2892 +a(g189 +V\u000a +tp2893 +a(g189 +V +tp2894 +a(g189 +V +tp2895 +a(g139 +Vvoid +p2896 +tp2897 +a(g189 +V +tp2898 +a(g344 +V* +tp2899 +a(g18 +Varg +p2900 +tp2901 +a(g202 +V; +tp2902 +a(g189 +V\u000a +tp2903 +a(g189 +V +tp2904 +a(g202 +V} +tp2905 +a(g189 +V +tp2906 +a(g18 +Vpendingcalls +p2907 +tp2908 +a(g202 +V[ +tp2909 +a(g18 +VNPENDINGCALLS +p2910 +tp2911 +a(g202 +V] +tp2912 +a(g202 +V; +tp2913 +a(g189 +V\u000a +tp2914 +a(g189 +V +tp2915 +a(g111 +Vstatic +p2916 +tp2917 +a(g189 +V +tp2918 +a(g111 +Vvolatile +p2919 +tp2920 +a(g189 +V +tp2921 +a(g139 +Vint +p2922 +tp2923 +a(g189 +V +tp2924 +a(g18 +Vpendingfirst +p2925 +tp2926 +a(g189 +V +tp2927 +a(g344 +V= +tp2928 +a(g189 +V +tp2929 +a(g319 +V0 +tp2930 +a(g202 +V; +tp2931 +a(g189 +V\u000a +tp2932 +a(g189 +V +tp2933 +a(g111 +Vstatic +p2934 +tp2935 +a(g189 +V +tp2936 +a(g111 +Vvolatile +p2937 +tp2938 +a(g189 +V +tp2939 +a(g139 +Vint +p2940 +tp2941 +a(g189 +V +tp2942 +a(g18 +Vpendinglast +p2943 +tp2944 +a(g189 +V +tp2945 +a(g344 +V= +tp2946 +a(g189 +V +tp2947 +a(g319 +V0 +tp2948 +a(g202 +V; +tp2949 +a(g189 +V\u000a +tp2950 +a(g189 +V +tp2951 +a(g111 +Vstatic +p2952 +tp2953 +a(g189 +V +tp2954 +a(g111 +Vvolatile +p2955 +tp2956 +a(g189 +V +tp2957 +a(g139 +Vint +p2958 +tp2959 +a(g189 +V +tp2960 +a(g18 +Vthings_to_do +p2961 +tp2962 +a(g189 +V +tp2963 +a(g344 +V= +tp2964 +a(g189 +V +tp2965 +a(g319 +V0 +tp2966 +a(g202 +V; +tp2967 +a(g189 +V\u000a +tp2968 +a(g189 +V\u000a +tp2969 +a(g189 +V +tp2970 +a(g139 +Vint +p2971 +tp2972 +a(g189 +V\u000a +tp2973 +a(g21 +VPy_AddPendingCall +p2974 +tp2975 +a(g189 +V +tp2976 +a(g202 +V( +tp2977 +a(g139 +Vint +p2978 +tp2979 +a(g189 +V +tp2980 +a(g202 +V( +tp2981 +a(g344 +V* +tp2982 +a(g18 +Vfunc +p2983 +tp2984 +a(g202 +V) +tp2985 +a(g202 +V( +tp2986 +a(g139 +Vvoid +p2987 +tp2988 +a(g189 +V +tp2989 +a(g344 +V* +tp2990 +a(g202 +V) +tp2991 +a(g202 +V, +tp2992 +a(g189 +V +tp2993 +a(g139 +Vvoid +p2994 +tp2995 +a(g189 +V +tp2996 +a(g344 +V* +tp2997 +a(g18 +Varg +p2998 +tp2999 +a(g202 +V) +tp3000 +a(g189 +V\u000a +tp3001 +a(g189 +V +tp3002 +a(g202 +V{ +tp3003 +a(g189 +V\u000a +tp3004 +a(g189 +V +tp3005 +a(g111 +Vstatic +p3006 +tp3007 +a(g189 +V +tp3008 +a(g111 +Vvolatile +p3009 +tp3010 +a(g189 +V +tp3011 +a(g139 +Vint +p3012 +tp3013 +a(g189 +V +tp3014 +a(g18 +Vbusy +p3015 +tp3016 +a(g189 +V +tp3017 +a(g344 +V= +tp3018 +a(g189 +V +tp3019 +a(g319 +V0 +tp3020 +a(g202 +V; +tp3021 +a(g189 +V\u000a +tp3022 +a(g189 +V +tp3023 +a(g139 +Vint +p3024 +tp3025 +a(g189 +V +tp3026 +a(g18 +Vi +tp3027 +a(g202 +V, +tp3028 +a(g189 +V +tp3029 +a(g18 +Vj +tp3030 +a(g202 +V; +tp3031 +a(g189 +V\u000a +tp3032 +a(g189 +V +tp3033 +a(g7 +V/* XXX Begin critical section */ +p3034 +tp3035 +a(g189 +V\u000a +tp3036 +a(g189 +V +tp3037 +a(g7 +V/* XXX If you want this to be safe against nested\u000a XXX asynchronous calls, you'll have to work harder! */ +p3038 +tp3039 +a(g189 +V\u000a +tp3040 +a(g189 +V +tp3041 +a(g111 +Vif +p3042 +tp3043 +a(g189 +V +tp3044 +a(g202 +V( +tp3045 +a(g18 +Vbusy +p3046 +tp3047 +a(g202 +V) +tp3048 +a(g189 +V\u000a +tp3049 +a(g189 +V +p3050 +tp3051 +a(g111 +Vreturn +p3052 +tp3053 +a(g189 +V +tp3054 +a(g344 +V- +tp3055 +a(g319 +V1 +tp3056 +a(g202 +V; +tp3057 +a(g189 +V\u000a +tp3058 +a(g189 +V +tp3059 +a(g18 +Vbusy +p3060 +tp3061 +a(g189 +V +tp3062 +a(g344 +V= +tp3063 +a(g189 +V +tp3064 +a(g319 +V1 +tp3065 +a(g202 +V; +tp3066 +a(g189 +V\u000a +tp3067 +a(g189 +V +tp3068 +a(g18 +Vi +tp3069 +a(g189 +V +tp3070 +a(g344 +V= +tp3071 +a(g189 +V +tp3072 +a(g18 +Vpendinglast +p3073 +tp3074 +a(g202 +V; +tp3075 +a(g189 +V\u000a +tp3076 +a(g189 +V +tp3077 +a(g18 +Vj +tp3078 +a(g189 +V +tp3079 +a(g344 +V= +tp3080 +a(g189 +V +tp3081 +a(g202 +V( +tp3082 +a(g18 +Vi +tp3083 +a(g189 +V +tp3084 +a(g344 +V+ +tp3085 +a(g189 +V +tp3086 +a(g319 +V1 +tp3087 +a(g202 +V) +tp3088 +a(g189 +V +tp3089 +a(g344 +V% +tp3090 +a(g189 +V +tp3091 +a(g18 +VNPENDINGCALLS +p3092 +tp3093 +a(g202 +V; +tp3094 +a(g189 +V\u000a +tp3095 +a(g189 +V +tp3096 +a(g111 +Vif +p3097 +tp3098 +a(g189 +V +tp3099 +a(g202 +V( +tp3100 +a(g18 +Vj +tp3101 +a(g189 +V +tp3102 +a(g344 +V= +tp3103 +a(g344 +V= +tp3104 +a(g189 +V +tp3105 +a(g18 +Vpendingfirst +p3106 +tp3107 +a(g202 +V) +tp3108 +a(g189 +V +tp3109 +a(g202 +V{ +tp3110 +a(g189 +V\u000a +tp3111 +a(g189 +V +p3112 +tp3113 +a(g18 +Vbusy +p3114 +tp3115 +a(g189 +V +tp3116 +a(g344 +V= +tp3117 +a(g189 +V +tp3118 +a(g319 +V0 +tp3119 +a(g202 +V; +tp3120 +a(g189 +V\u000a +tp3121 +a(g189 +V +p3122 +tp3123 +a(g111 +Vreturn +p3124 +tp3125 +a(g189 +V +tp3126 +a(g344 +V- +tp3127 +a(g319 +V1 +tp3128 +a(g202 +V; +tp3129 +a(g189 +V +tp3130 +a(g7 +V/* Queue full */ +p3131 +tp3132 +a(g189 +V\u000a +tp3133 +a(g189 +V +tp3134 +a(g202 +V} +tp3135 +a(g189 +V\u000a +tp3136 +a(g189 +V +tp3137 +a(g18 +Vpendingcalls +p3138 +tp3139 +a(g202 +V[ +tp3140 +a(g18 +Vi +tp3141 +a(g202 +V] +tp3142 +a(g202 +V. +tp3143 +a(g18 +Vfunc +p3144 +tp3145 +a(g189 +V +tp3146 +a(g344 +V= +tp3147 +a(g189 +V +tp3148 +a(g18 +Vfunc +p3149 +tp3150 +a(g202 +V; +tp3151 +a(g189 +V\u000a +tp3152 +a(g189 +V +tp3153 +a(g18 +Vpendingcalls +p3154 +tp3155 +a(g202 +V[ +tp3156 +a(g18 +Vi +tp3157 +a(g202 +V] +tp3158 +a(g202 +V. +tp3159 +a(g18 +Varg +p3160 +tp3161 +a(g189 +V +tp3162 +a(g344 +V= +tp3163 +a(g189 +V +tp3164 +a(g18 +Varg +p3165 +tp3166 +a(g202 +V; +tp3167 +a(g189 +V\u000a +tp3168 +a(g189 +V +tp3169 +a(g18 +Vpendinglast +p3170 +tp3171 +a(g189 +V +tp3172 +a(g344 +V= +tp3173 +a(g189 +V +tp3174 +a(g18 +Vj +tp3175 +a(g202 +V; +tp3176 +a(g189 +V\u000a +tp3177 +a(g189 +V\u000a +tp3178 +a(g189 +V +tp3179 +a(g18 +V_Py_Ticker +p3180 +tp3181 +a(g189 +V +tp3182 +a(g344 +V= +tp3183 +a(g189 +V +tp3184 +a(g319 +V0 +tp3185 +a(g202 +V; +tp3186 +a(g189 +V\u000a +tp3187 +a(g189 +V +tp3188 +a(g18 +Vthings_to_do +p3189 +tp3190 +a(g189 +V +tp3191 +a(g344 +V= +tp3192 +a(g189 +V +tp3193 +a(g319 +V1 +tp3194 +a(g202 +V; +tp3195 +a(g189 +V +tp3196 +a(g7 +V/* Signal main loop */ +p3197 +tp3198 +a(g189 +V\u000a +tp3199 +a(g189 +V +tp3200 +a(g18 +Vbusy +p3201 +tp3202 +a(g189 +V +tp3203 +a(g344 +V= +tp3204 +a(g189 +V +tp3205 +a(g319 +V0 +tp3206 +a(g202 +V; +tp3207 +a(g189 +V\u000a +tp3208 +a(g189 +V +tp3209 +a(g7 +V/* XXX End critical section */ +p3210 +tp3211 +a(g189 +V\u000a +tp3212 +a(g189 +V +tp3213 +a(g111 +Vreturn +p3214 +tp3215 +a(g189 +V +tp3216 +a(g319 +V0 +tp3217 +a(g202 +V; +tp3218 +a(g189 +V\u000a +tp3219 +a(g202 +V} +tp3220 +a(g189 +V\u000a +tp3221 +a(g189 +V\u000a +tp3222 +a(g189 +V +tp3223 +a(g139 +Vint +p3224 +tp3225 +a(g189 +V\u000a +tp3226 +a(g21 +VPy_MakePendingCalls +p3227 +tp3228 +a(g189 +V +tp3229 +a(g202 +V( +tp3230 +a(g139 +Vvoid +p3231 +tp3232 +a(g202 +V) +tp3233 +a(g189 +V\u000a +tp3234 +a(g189 +V +tp3235 +a(g202 +V{ +tp3236 +a(g189 +V\u000a +tp3237 +a(g189 +V +tp3238 +a(g111 +Vstatic +p3239 +tp3240 +a(g189 +V +tp3241 +a(g139 +Vint +p3242 +tp3243 +a(g189 +V +tp3244 +a(g18 +Vbusy +p3245 +tp3246 +a(g189 +V +tp3247 +a(g344 +V= +tp3248 +a(g189 +V +tp3249 +a(g319 +V0 +tp3250 +a(g202 +V; +tp3251 +a(g189 +V\u000a +tp3252 +a(g355 +V# +tp3253 +a(g355 +Vifdef WITH_THREAD +p3254 +tp3255 +a(g355 +V\u000a +tp3256 +a(g189 +V +tp3257 +a(g111 +Vif +p3258 +tp3259 +a(g189 +V +tp3260 +a(g202 +V( +tp3261 +a(g18 +Vmain_thread +p3262 +tp3263 +a(g189 +V +tp3264 +a(g344 +V& +tp3265 +a(g344 +V& +tp3266 +a(g189 +V +tp3267 +a(g18 +VPyThread_get_thread_ident +p3268 +tp3269 +a(g202 +V( +tp3270 +a(g202 +V) +tp3271 +a(g189 +V +tp3272 +a(g344 +V! +tp3273 +a(g344 +V= +tp3274 +a(g189 +V +tp3275 +a(g18 +Vmain_thread +p3276 +tp3277 +a(g202 +V) +tp3278 +a(g189 +V\u000a +tp3279 +a(g189 +V +p3280 +tp3281 +a(g111 +Vreturn +p3282 +tp3283 +a(g189 +V +tp3284 +a(g319 +V0 +tp3285 +a(g202 +V; +tp3286 +a(g189 +V\u000a +tp3287 +a(g355 +V# +tp3288 +a(g355 +Vendif +p3289 +tp3290 +a(g355 +V\u000a +tp3291 +a(g189 +V +tp3292 +a(g111 +Vif +p3293 +tp3294 +a(g189 +V +tp3295 +a(g202 +V( +tp3296 +a(g18 +Vbusy +p3297 +tp3298 +a(g202 +V) +tp3299 +a(g189 +V\u000a +tp3300 +a(g189 +V +p3301 +tp3302 +a(g111 +Vreturn +p3303 +tp3304 +a(g189 +V +tp3305 +a(g319 +V0 +tp3306 +a(g202 +V; +tp3307 +a(g189 +V\u000a +tp3308 +a(g189 +V +tp3309 +a(g18 +Vbusy +p3310 +tp3311 +a(g189 +V +tp3312 +a(g344 +V= +tp3313 +a(g189 +V +tp3314 +a(g319 +V1 +tp3315 +a(g202 +V; +tp3316 +a(g189 +V\u000a +tp3317 +a(g189 +V +tp3318 +a(g18 +Vthings_to_do +p3319 +tp3320 +a(g189 +V +tp3321 +a(g344 +V= +tp3322 +a(g189 +V +tp3323 +a(g319 +V0 +tp3324 +a(g202 +V; +tp3325 +a(g189 +V\u000a +tp3326 +a(g189 +V +tp3327 +a(g111 +Vfor +p3328 +tp3329 +a(g189 +V +tp3330 +a(g202 +V( +tp3331 +a(g202 +V; +tp3332 +a(g202 +V; +tp3333 +a(g202 +V) +tp3334 +a(g189 +V +tp3335 +a(g202 +V{ +tp3336 +a(g189 +V\u000a +tp3337 +a(g189 +V +p3338 +tp3339 +a(g139 +Vint +p3340 +tp3341 +a(g189 +V +tp3342 +a(g18 +Vi +tp3343 +a(g202 +V; +tp3344 +a(g189 +V\u000a +tp3345 +a(g189 +V +p3346 +tp3347 +a(g139 +Vint +p3348 +tp3349 +a(g189 +V +tp3350 +a(g202 +V( +tp3351 +a(g344 +V* +tp3352 +a(g18 +Vfunc +p3353 +tp3354 +a(g202 +V) +tp3355 +a(g202 +V( +tp3356 +a(g139 +Vvoid +p3357 +tp3358 +a(g189 +V +tp3359 +a(g344 +V* +tp3360 +a(g202 +V) +tp3361 +a(g202 +V; +tp3362 +a(g189 +V\u000a +tp3363 +a(g189 +V +p3364 +tp3365 +a(g139 +Vvoid +p3366 +tp3367 +a(g189 +V +tp3368 +a(g344 +V* +tp3369 +a(g18 +Varg +p3370 +tp3371 +a(g202 +V; +tp3372 +a(g189 +V\u000a +tp3373 +a(g189 +V +p3374 +tp3375 +a(g18 +Vi +tp3376 +a(g189 +V +tp3377 +a(g344 +V= +tp3378 +a(g189 +V +tp3379 +a(g18 +Vpendingfirst +p3380 +tp3381 +a(g202 +V; +tp3382 +a(g189 +V\u000a +tp3383 +a(g189 +V +p3384 +tp3385 +a(g111 +Vif +p3386 +tp3387 +a(g189 +V +tp3388 +a(g202 +V( +tp3389 +a(g18 +Vi +tp3390 +a(g189 +V +tp3391 +a(g344 +V= +tp3392 +a(g344 +V= +tp3393 +a(g189 +V +tp3394 +a(g18 +Vpendinglast +p3395 +tp3396 +a(g202 +V) +tp3397 +a(g189 +V\u000a +tp3398 +a(g189 +V +p3399 +tp3400 +a(g111 +Vbreak +p3401 +tp3402 +a(g202 +V; +tp3403 +a(g189 +V +tp3404 +a(g7 +V/* Queue empty */ +p3405 +tp3406 +a(g189 +V\u000a +tp3407 +a(g189 +V +p3408 +tp3409 +a(g18 +Vfunc +p3410 +tp3411 +a(g189 +V +tp3412 +a(g344 +V= +tp3413 +a(g189 +V +tp3414 +a(g18 +Vpendingcalls +p3415 +tp3416 +a(g202 +V[ +tp3417 +a(g18 +Vi +tp3418 +a(g202 +V] +tp3419 +a(g202 +V. +tp3420 +a(g18 +Vfunc +p3421 +tp3422 +a(g202 +V; +tp3423 +a(g189 +V\u000a +tp3424 +a(g189 +V +p3425 +tp3426 +a(g18 +Varg +p3427 +tp3428 +a(g189 +V +tp3429 +a(g344 +V= +tp3430 +a(g189 +V +tp3431 +a(g18 +Vpendingcalls +p3432 +tp3433 +a(g202 +V[ +tp3434 +a(g18 +Vi +tp3435 +a(g202 +V] +tp3436 +a(g202 +V. +tp3437 +a(g18 +Varg +p3438 +tp3439 +a(g202 +V; +tp3440 +a(g189 +V\u000a +tp3441 +a(g189 +V +p3442 +tp3443 +a(g18 +Vpendingfirst +p3444 +tp3445 +a(g189 +V +tp3446 +a(g344 +V= +tp3447 +a(g189 +V +tp3448 +a(g202 +V( +tp3449 +a(g18 +Vi +tp3450 +a(g189 +V +tp3451 +a(g344 +V+ +tp3452 +a(g189 +V +tp3453 +a(g319 +V1 +tp3454 +a(g202 +V) +tp3455 +a(g189 +V +tp3456 +a(g344 +V% +tp3457 +a(g189 +V +tp3458 +a(g18 +VNPENDINGCALLS +p3459 +tp3460 +a(g202 +V; +tp3461 +a(g189 +V\u000a +tp3462 +a(g189 +V +p3463 +tp3464 +a(g111 +Vif +p3465 +tp3466 +a(g189 +V +tp3467 +a(g202 +V( +tp3468 +a(g18 +Vfunc +p3469 +tp3470 +a(g202 +V( +tp3471 +a(g18 +Varg +p3472 +tp3473 +a(g202 +V) +tp3474 +a(g189 +V +tp3475 +a(g344 +V< +tp3476 +a(g189 +V +tp3477 +a(g319 +V0 +tp3478 +a(g202 +V) +tp3479 +a(g189 +V +tp3480 +a(g202 +V{ +tp3481 +a(g189 +V\u000a +tp3482 +a(g189 +V +p3483 +tp3484 +a(g18 +Vbusy +p3485 +tp3486 +a(g189 +V +tp3487 +a(g344 +V= +tp3488 +a(g189 +V +tp3489 +a(g319 +V0 +tp3490 +a(g202 +V; +tp3491 +a(g189 +V\u000a +tp3492 +a(g189 +V +p3493 +tp3494 +a(g18 +Vthings_to_do +p3495 +tp3496 +a(g189 +V +tp3497 +a(g344 +V= +tp3498 +a(g189 +V +tp3499 +a(g319 +V1 +tp3500 +a(g202 +V; +tp3501 +a(g189 +V +tp3502 +a(g7 +V/* We're not done yet */ +p3503 +tp3504 +a(g189 +V\u000a +tp3505 +a(g189 +V +p3506 +tp3507 +a(g111 +Vreturn +p3508 +tp3509 +a(g189 +V +tp3510 +a(g344 +V- +tp3511 +a(g319 +V1 +tp3512 +a(g202 +V; +tp3513 +a(g189 +V\u000a +tp3514 +a(g189 +V +p3515 +tp3516 +a(g202 +V} +tp3517 +a(g189 +V\u000a +tp3518 +a(g189 +V +tp3519 +a(g202 +V} +tp3520 +a(g189 +V\u000a +tp3521 +a(g189 +V +tp3522 +a(g18 +Vbusy +p3523 +tp3524 +a(g189 +V +tp3525 +a(g344 +V= +tp3526 +a(g189 +V +tp3527 +a(g319 +V0 +tp3528 +a(g202 +V; +tp3529 +a(g189 +V\u000a +tp3530 +a(g189 +V +tp3531 +a(g111 +Vreturn +p3532 +tp3533 +a(g189 +V +tp3534 +a(g319 +V0 +tp3535 +a(g202 +V; +tp3536 +a(g189 +V\u000a +tp3537 +a(g202 +V} +tp3538 +a(g189 +V\u000a +tp3539 +a(g189 +V\u000a +tp3540 +a(g189 +V\u000a +tp3541 +a(g7 +V/* The interpreter's recursion limit */ +p3542 +tp3543 +a(g189 +V\u000a +tp3544 +a(g355 +V\u000a# +p3545 +tp3546 +a(g355 +Vifndef Py_DEFAULT_RECURSION_LIMIT +p3547 +tp3548 +a(g355 +V\u000a +tp3549 +a(g355 +V# +tp3550 +a(g355 +Vdefine Py_DEFAULT_RECURSION_LIMIT 1000 +p3551 +tp3552 +a(g355 +V\u000a +tp3553 +a(g355 +V# +tp3554 +a(g355 +Vendif +p3555 +tp3556 +a(g355 +V\u000a +tp3557 +a(g189 +V +tp3558 +a(g111 +Vstatic +p3559 +tp3560 +a(g189 +V +tp3561 +a(g139 +Vint +p3562 +tp3563 +a(g189 +V +tp3564 +a(g18 +Vrecursion_limit +p3565 +tp3566 +a(g189 +V +tp3567 +a(g344 +V= +tp3568 +a(g189 +V +tp3569 +a(g18 +VPy_DEFAULT_RECURSION_LIMIT +p3570 +tp3571 +a(g202 +V; +tp3572 +a(g189 +V\u000a +tp3573 +a(g189 +V +tp3574 +a(g139 +Vint +p3575 +tp3576 +a(g189 +V +tp3577 +a(g18 +V_Py_CheckRecursionLimit +p3578 +tp3579 +a(g189 +V +tp3580 +a(g344 +V= +tp3581 +a(g189 +V +tp3582 +a(g18 +VPy_DEFAULT_RECURSION_LIMIT +p3583 +tp3584 +a(g202 +V; +tp3585 +a(g189 +V\u000a +tp3586 +a(g189 +V\u000a +tp3587 +a(g189 +V +tp3588 +a(g139 +Vint +p3589 +tp3590 +a(g189 +V\u000a +tp3591 +a(g21 +VPy_GetRecursionLimit +p3592 +tp3593 +a(g189 +V +tp3594 +a(g202 +V( +tp3595 +a(g139 +Vvoid +p3596 +tp3597 +a(g202 +V) +tp3598 +a(g189 +V\u000a +tp3599 +a(g189 +V +tp3600 +a(g202 +V{ +tp3601 +a(g189 +V\u000a +tp3602 +a(g189 +V +tp3603 +a(g111 +Vreturn +p3604 +tp3605 +a(g189 +V +tp3606 +a(g18 +Vrecursion_limit +p3607 +tp3608 +a(g202 +V; +tp3609 +a(g189 +V\u000a +tp3610 +a(g202 +V} +tp3611 +a(g189 +V\u000a +tp3612 +a(g189 +V\u000a +tp3613 +a(g189 +V +tp3614 +a(g139 +Vvoid +p3615 +tp3616 +a(g189 +V\u000a +tp3617 +a(g21 +VPy_SetRecursionLimit +p3618 +tp3619 +a(g189 +V +tp3620 +a(g202 +V( +tp3621 +a(g139 +Vint +p3622 +tp3623 +a(g189 +V +tp3624 +a(g18 +Vnew_limit +p3625 +tp3626 +a(g202 +V) +tp3627 +a(g189 +V\u000a +tp3628 +a(g189 +V +tp3629 +a(g202 +V{ +tp3630 +a(g189 +V\u000a +tp3631 +a(g189 +V +tp3632 +a(g18 +Vrecursion_limit +p3633 +tp3634 +a(g189 +V +tp3635 +a(g344 +V= +tp3636 +a(g189 +V +tp3637 +a(g18 +Vnew_limit +p3638 +tp3639 +a(g202 +V; +tp3640 +a(g189 +V\u000a +tp3641 +a(g189 +V +p3642 +tp3643 +a(g18 +V_Py_CheckRecursionLimit +p3644 +tp3645 +a(g189 +V +tp3646 +a(g344 +V= +tp3647 +a(g189 +V +tp3648 +a(g18 +Vrecursion_limit +p3649 +tp3650 +a(g202 +V; +tp3651 +a(g189 +V\u000a +tp3652 +a(g202 +V} +tp3653 +a(g189 +V\u000a +tp3654 +a(g189 +V\u000a +tp3655 +a(g7 +V/* the macro Py_EnterRecursiveCall() only calls _Py_CheckRecursiveCall()\u000a if the recursion_depth reaches _Py_CheckRecursionLimit.\u000a If USE_STACKCHECK, the macro decrements _Py_CheckRecursionLimit\u000a to guarantee that _Py_CheckRecursiveCall() is regularly called.\u000a Without USE_STACKCHECK, there is no need for this. */ +p3656 +tp3657 +a(g189 +V\u000a +tp3658 +a(g189 +V +tp3659 +a(g139 +Vint +p3660 +tp3661 +a(g189 +V\u000a +tp3662 +a(g21 +V_Py_CheckRecursiveCall +p3663 +tp3664 +a(g189 +V +tp3665 +a(g202 +V( +tp3666 +a(g139 +Vchar +p3667 +tp3668 +a(g189 +V +tp3669 +a(g344 +V* +tp3670 +a(g18 +Vwhere +p3671 +tp3672 +a(g202 +V) +tp3673 +a(g189 +V\u000a +tp3674 +a(g189 +V +tp3675 +a(g202 +V{ +tp3676 +a(g189 +V\u000a +tp3677 +a(g189 +V +tp3678 +a(g18 +VPyThreadState +p3679 +tp3680 +a(g189 +V +tp3681 +a(g344 +V* +tp3682 +a(g18 +Vtstate +p3683 +tp3684 +a(g189 +V +tp3685 +a(g344 +V= +tp3686 +a(g189 +V +tp3687 +a(g18 +VPyThreadState_GET +p3688 +tp3689 +a(g202 +V( +tp3690 +a(g202 +V) +tp3691 +a(g202 +V; +tp3692 +a(g189 +V\u000a +tp3693 +a(g355 +V\u000a# +p3694 +tp3695 +a(g355 +Vifdef USE_STACKCHECK +p3696 +tp3697 +a(g355 +V\u000a +tp3698 +a(g189 +V +tp3699 +a(g111 +Vif +p3700 +tp3701 +a(g189 +V +tp3702 +a(g202 +V( +tp3703 +a(g18 +VPyOS_CheckStack +p3704 +tp3705 +a(g202 +V( +tp3706 +a(g202 +V) +tp3707 +a(g202 +V) +tp3708 +a(g189 +V +tp3709 +a(g202 +V{ +tp3710 +a(g189 +V\u000a +tp3711 +a(g189 +V +p3712 +tp3713 +a(g344 +V- +tp3714 +a(g344 +V- +tp3715 +a(g18 +Vtstate +p3716 +tp3717 +a(g344 +V- +tp3718 +a(g344 +V> +tp3719 +a(g18 +Vrecursion_depth +p3720 +tp3721 +a(g202 +V; +tp3722 +a(g189 +V\u000a +tp3723 +a(g189 +V +p3724 +tp3725 +a(g18 +VPyErr_SetString +p3726 +tp3727 +a(g202 +V( +tp3728 +a(g18 +VPyExc_MemoryError +p3729 +tp3730 +a(g202 +V, +tp3731 +a(g189 +V +tp3732 +a(g226 +V" +tp3733 +a(g226 +VStack overflow +p3734 +tp3735 +a(g226 +V" +tp3736 +a(g202 +V) +tp3737 +a(g202 +V; +tp3738 +a(g189 +V\u000a +tp3739 +a(g189 +V +p3740 +tp3741 +a(g111 +Vreturn +p3742 +tp3743 +a(g189 +V +tp3744 +a(g344 +V- +tp3745 +a(g319 +V1 +tp3746 +a(g202 +V; +tp3747 +a(g189 +V\u000a +tp3748 +a(g189 +V +tp3749 +a(g202 +V} +tp3750 +a(g189 +V\u000a +tp3751 +a(g355 +V# +tp3752 +a(g355 +Vendif +p3753 +tp3754 +a(g355 +V\u000a +tp3755 +a(g189 +V +tp3756 +a(g111 +Vif +p3757 +tp3758 +a(g189 +V +tp3759 +a(g202 +V( +tp3760 +a(g18 +Vtstate +p3761 +tp3762 +a(g344 +V- +tp3763 +a(g344 +V> +tp3764 +a(g18 +Vrecursion_depth +p3765 +tp3766 +a(g189 +V +tp3767 +a(g344 +V> +tp3768 +a(g189 +V +tp3769 +a(g18 +Vrecursion_limit +p3770 +tp3771 +a(g202 +V) +tp3772 +a(g189 +V +tp3773 +a(g202 +V{ +tp3774 +a(g189 +V\u000a +tp3775 +a(g189 +V +p3776 +tp3777 +a(g344 +V- +tp3778 +a(g344 +V- +tp3779 +a(g18 +Vtstate +p3780 +tp3781 +a(g344 +V- +tp3782 +a(g344 +V> +tp3783 +a(g18 +Vrecursion_depth +p3784 +tp3785 +a(g202 +V; +tp3786 +a(g189 +V\u000a +tp3787 +a(g189 +V +p3788 +tp3789 +a(g18 +VPyErr_Format +p3790 +tp3791 +a(g202 +V( +tp3792 +a(g18 +VPyExc_RuntimeError +p3793 +tp3794 +a(g202 +V, +tp3795 +a(g189 +V\u000a +tp3796 +a(g189 +V +p3797 +tp3798 +a(g226 +V" +tp3799 +a(g226 +Vmaximum recursion depth exceeded%s +p3800 +tp3801 +a(g226 +V" +tp3802 +a(g202 +V, +tp3803 +a(g189 +V\u000a +tp3804 +a(g189 +V +p3805 +tp3806 +a(g18 +Vwhere +p3807 +tp3808 +a(g202 +V) +tp3809 +a(g202 +V; +tp3810 +a(g189 +V\u000a +tp3811 +a(g189 +V +p3812 +tp3813 +a(g111 +Vreturn +p3814 +tp3815 +a(g189 +V +tp3816 +a(g344 +V- +tp3817 +a(g319 +V1 +tp3818 +a(g202 +V; +tp3819 +a(g189 +V\u000a +tp3820 +a(g189 +V +tp3821 +a(g202 +V} +tp3822 +a(g189 +V\u000a +tp3823 +a(g189 +V +p3824 +tp3825 +a(g18 +V_Py_CheckRecursionLimit +p3826 +tp3827 +a(g189 +V +tp3828 +a(g344 +V= +tp3829 +a(g189 +V +tp3830 +a(g18 +Vrecursion_limit +p3831 +tp3832 +a(g202 +V; +tp3833 +a(g189 +V\u000a +tp3834 +a(g189 +V +tp3835 +a(g111 +Vreturn +p3836 +tp3837 +a(g189 +V +tp3838 +a(g319 +V0 +tp3839 +a(g202 +V; +tp3840 +a(g189 +V\u000a +tp3841 +a(g202 +V} +tp3842 +a(g189 +V\u000a +tp3843 +a(g189 +V\u000a +tp3844 +a(g7 +V/* Status code for main loop (reason for stack unwind) */ +p3845 +tp3846 +a(g189 +V\u000a +tp3847 +a(g189 +V +tp3848 +a(g111 +Venum +p3849 +tp3850 +a(g189 +V +tp3851 +a(g18 +Vwhy_code +p3852 +tp3853 +a(g189 +V +tp3854 +a(g202 +V{ +tp3855 +a(g189 +V\u000a +tp3856 +a(g189 +V +p3857 +tp3858 +a(g18 +VWHY_NOT +p3859 +tp3860 +a(g189 +V +tp3861 +a(g344 +V= +tp3862 +a(g189 +V +tp3863 +a(g315 +V0x0001 +p3864 +tp3865 +a(g202 +V, +tp3866 +a(g189 +V +tp3867 +a(g7 +V/* No error */ +p3868 +tp3869 +a(g189 +V\u000a +tp3870 +a(g189 +V +p3871 +tp3872 +a(g18 +VWHY_EXCEPTION +p3873 +tp3874 +a(g189 +V +tp3875 +a(g344 +V= +tp3876 +a(g189 +V +tp3877 +a(g315 +V0x0002 +p3878 +tp3879 +a(g202 +V, +tp3880 +a(g189 +V +tp3881 +a(g7 +V/* Exception occurred */ +p3882 +tp3883 +a(g189 +V\u000a +tp3884 +a(g189 +V +p3885 +tp3886 +a(g18 +VWHY_RERAISE +p3887 +tp3888 +a(g189 +V +tp3889 +a(g344 +V= +tp3890 +a(g189 +V +tp3891 +a(g315 +V0x0004 +p3892 +tp3893 +a(g202 +V, +tp3894 +a(g189 +V +tp3895 +a(g7 +V/* Exception re-raised by 'finally' */ +p3896 +tp3897 +a(g189 +V\u000a +tp3898 +a(g189 +V +p3899 +tp3900 +a(g18 +VWHY_RETURN +p3901 +tp3902 +a(g189 +V +tp3903 +a(g344 +V= +tp3904 +a(g189 +V +tp3905 +a(g315 +V0x0008 +p3906 +tp3907 +a(g202 +V, +tp3908 +a(g189 +V +tp3909 +a(g7 +V/* 'return' statement */ +p3910 +tp3911 +a(g189 +V\u000a +tp3912 +a(g189 +V +p3913 +tp3914 +a(g18 +VWHY_BREAK +p3915 +tp3916 +a(g189 +V +tp3917 +a(g344 +V= +tp3918 +a(g189 +V +tp3919 +a(g315 +V0x0010 +p3920 +tp3921 +a(g202 +V, +tp3922 +a(g189 +V +tp3923 +a(g7 +V/* 'break' statement */ +p3924 +tp3925 +a(g189 +V\u000a +tp3926 +a(g189 +V +p3927 +tp3928 +a(g18 +VWHY_CONTINUE +p3929 +tp3930 +a(g189 +V +tp3931 +a(g344 +V= +tp3932 +a(g189 +V +tp3933 +a(g315 +V0x0020 +p3934 +tp3935 +a(g202 +V, +tp3936 +a(g189 +V +tp3937 +a(g7 +V/* 'continue' statement */ +p3938 +tp3939 +a(g189 +V\u000a +tp3940 +a(g189 +V +p3941 +tp3942 +a(g18 +VWHY_YIELD +p3943 +tp3944 +a(g189 +V +tp3945 +a(g344 +V= +tp3946 +a(g189 +V +tp3947 +a(g315 +V0x0040 +p3948 +tp3949 +a(g189 +V +tp3950 +a(g7 +V/* 'yield' operator */ +p3951 +tp3952 +a(g189 +V\u000a +tp3953 +a(g202 +V} +tp3954 +a(g202 +V; +tp3955 +a(g189 +V\u000a +tp3956 +a(g189 +V\u000a +tp3957 +a(g189 +V +tp3958 +a(g111 +Vstatic +p3959 +tp3960 +a(g189 +V +tp3961 +a(g111 +Venum +p3962 +tp3963 +a(g189 +V +tp3964 +a(g18 +Vwhy_code +p3965 +tp3966 +a(g189 +V +tp3967 +a(g18 +Vdo_raise +p3968 +tp3969 +a(g202 +V( +tp3970 +a(g18 +VPyObject +p3971 +tp3972 +a(g189 +V +tp3973 +a(g344 +V* +tp3974 +a(g202 +V, +tp3975 +a(g189 +V +tp3976 +a(g18 +VPyObject +p3977 +tp3978 +a(g189 +V +tp3979 +a(g344 +V* +tp3980 +a(g202 +V, +tp3981 +a(g189 +V +tp3982 +a(g18 +VPyObject +p3983 +tp3984 +a(g189 +V +tp3985 +a(g344 +V* +tp3986 +a(g202 +V) +tp3987 +a(g202 +V; +tp3988 +a(g189 +V\u000a +tp3989 +a(g189 +V +tp3990 +a(g111 +Vstatic +p3991 +tp3992 +a(g189 +V +tp3993 +a(g139 +Vint +p3994 +tp3995 +a(g189 +V +tp3996 +a(g18 +Vunpack_iterable +p3997 +tp3998 +a(g202 +V( +tp3999 +a(g18 +VPyObject +p4000 +tp4001 +a(g189 +V +tp4002 +a(g344 +V* +tp4003 +a(g202 +V, +tp4004 +a(g189 +V +tp4005 +a(g139 +Vint +p4006 +tp4007 +a(g202 +V, +tp4008 +a(g189 +V +tp4009 +a(g18 +VPyObject +p4010 +tp4011 +a(g189 +V +tp4012 +a(g344 +V* +tp4013 +a(g344 +V* +tp4014 +a(g202 +V) +tp4015 +a(g202 +V; +tp4016 +a(g189 +V\u000a +tp4017 +a(g189 +V\u000a +tp4018 +a(g7 +V/* for manipulating the thread switch and periodic "stuff" - used to be\u000a per thread, now just a pair o' globals */ +p4019 +tp4020 +a(g189 +V\u000a +tp4021 +a(g189 +V +tp4022 +a(g139 +Vint +p4023 +tp4024 +a(g189 +V +tp4025 +a(g18 +V_Py_CheckInterval +p4026 +tp4027 +a(g189 +V +tp4028 +a(g344 +V= +tp4029 +a(g189 +V +tp4030 +a(g319 +V100 +p4031 +tp4032 +a(g202 +V; +tp4033 +a(g189 +V\u000a +tp4034 +a(g189 +V +tp4035 +a(g111 +Vvolatile +p4036 +tp4037 +a(g189 +V +tp4038 +a(g139 +Vint +p4039 +tp4040 +a(g189 +V +tp4041 +a(g18 +V_Py_Ticker +p4042 +tp4043 +a(g189 +V +tp4044 +a(g344 +V= +tp4045 +a(g189 +V +tp4046 +a(g319 +V100 +p4047 +tp4048 +a(g202 +V; +tp4049 +a(g189 +V\u000a +tp4050 +a(g189 +V\u000a +tp4051 +a(g189 +V +tp4052 +a(g18 +VPyObject +p4053 +tp4054 +a(g189 +V +tp4055 +a(g344 +V* +tp4056 +a(g189 +V\u000a +tp4057 +a(g21 +VPyEval_EvalCode +p4058 +tp4059 +a(g189 +V +tp4060 +a(g202 +V( +tp4061 +a(g18 +VPyCodeObject +p4062 +tp4063 +a(g189 +V +tp4064 +a(g344 +V* +tp4065 +a(g18 +Vco +p4066 +tp4067 +a(g202 +V, +tp4068 +a(g189 +V +tp4069 +a(g18 +VPyObject +p4070 +tp4071 +a(g189 +V +tp4072 +a(g344 +V* +tp4073 +a(g18 +Vglobals +p4074 +tp4075 +a(g202 +V, +tp4076 +a(g189 +V +tp4077 +a(g18 +VPyObject +p4078 +tp4079 +a(g189 +V +tp4080 +a(g344 +V* +tp4081 +a(g18 +Vlocals +p4082 +tp4083 +a(g202 +V) +tp4084 +a(g189 +V\u000a +tp4085 +a(g189 +V +tp4086 +a(g202 +V{ +tp4087 +a(g189 +V\u000a +tp4088 +a(g189 +V +tp4089 +a(g7 +V/* XXX raise SystemError if globals is NULL */ +p4090 +tp4091 +a(g189 +V\u000a +tp4092 +a(g189 +V +tp4093 +a(g111 +Vreturn +p4094 +tp4095 +a(g189 +V +tp4096 +a(g18 +VPyEval_EvalCodeEx +p4097 +tp4098 +a(g202 +V( +tp4099 +a(g18 +Vco +p4100 +tp4101 +a(g202 +V, +tp4102 +a(g189 +V\u000a +tp4103 +a(g189 +V +p4104 +tp4105 +a(g18 +Vglobals +p4106 +tp4107 +a(g202 +V, +tp4108 +a(g189 +V +tp4109 +a(g18 +Vlocals +p4110 +tp4111 +a(g202 +V, +tp4112 +a(g189 +V\u000a +tp4113 +a(g189 +V +p4114 +tp4115 +a(g202 +V( +tp4116 +a(g18 +VPyObject +p4117 +tp4118 +a(g189 +V +tp4119 +a(g344 +V* +tp4120 +a(g344 +V* +tp4121 +a(g202 +V) +tp4122 +a(g57 +VNULL +p4123 +tp4124 +a(g202 +V, +tp4125 +a(g189 +V +tp4126 +a(g319 +V0 +tp4127 +a(g202 +V, +tp4128 +a(g189 +V\u000a +tp4129 +a(g189 +V +p4130 +tp4131 +a(g202 +V( +tp4132 +a(g18 +VPyObject +p4133 +tp4134 +a(g189 +V +tp4135 +a(g344 +V* +tp4136 +a(g344 +V* +tp4137 +a(g202 +V) +tp4138 +a(g57 +VNULL +p4139 +tp4140 +a(g202 +V, +tp4141 +a(g189 +V +tp4142 +a(g319 +V0 +tp4143 +a(g202 +V, +tp4144 +a(g189 +V\u000a +tp4145 +a(g189 +V +p4146 +tp4147 +a(g202 +V( +tp4148 +a(g18 +VPyObject +p4149 +tp4150 +a(g189 +V +tp4151 +a(g344 +V* +tp4152 +a(g344 +V* +tp4153 +a(g202 +V) +tp4154 +a(g57 +VNULL +p4155 +tp4156 +a(g202 +V, +tp4157 +a(g189 +V +tp4158 +a(g319 +V0 +tp4159 +a(g202 +V, +tp4160 +a(g189 +V\u000a +tp4161 +a(g189 +V +p4162 +tp4163 +a(g57 +VNULL +p4164 +tp4165 +a(g202 +V) +tp4166 +a(g202 +V; +tp4167 +a(g189 +V\u000a +tp4168 +a(g202 +V} +tp4169 +a(g189 +V\u000a +tp4170 +a(g189 +V\u000a +tp4171 +a(g189 +V\u000a +tp4172 +a(g7 +V/* Interpreter main loop */ +p4173 +tp4174 +a(g189 +V\u000a +tp4175 +a(g189 +V\u000a +tp4176 +a(g189 +V +tp4177 +a(g18 +VPyObject +p4178 +tp4179 +a(g189 +V +tp4180 +a(g344 +V* +tp4181 +a(g189 +V\u000a +tp4182 +a(g21 +VPyEval_EvalFrame +p4183 +tp4184 +a(g189 +V +tp4185 +a(g202 +V( +tp4186 +a(g18 +VPyFrameObject +p4187 +tp4188 +a(g189 +V +tp4189 +a(g344 +V* +tp4190 +a(g18 +Vf +tp4191 +a(g202 +V) +tp4192 +a(g189 +V +tp4193 +a(g189 +V +tp4194 +a(g202 +V{ +tp4195 +a(g189 +V\u000a +tp4196 +a(g189 +V +tp4197 +a(g7 +V/* This is for backward compatibility with extension modules that\u000a used this API; core interpreter code should call PyEval_EvalFrameEx() */ +p4198 +tp4199 +a(g189 +V\u000a +tp4200 +a(g189 +V +tp4201 +a(g111 +Vreturn +p4202 +tp4203 +a(g189 +V +tp4204 +a(g18 +VPyEval_EvalFrameEx +p4205 +tp4206 +a(g202 +V( +tp4207 +a(g18 +Vf +tp4208 +a(g202 +V, +tp4209 +a(g189 +V +tp4210 +a(g319 +V0 +tp4211 +a(g202 +V) +tp4212 +a(g202 +V; +tp4213 +a(g189 +V\u000a +tp4214 +a(g202 +V} +tp4215 +a(g189 +V\u000a +tp4216 +a(g189 +V\u000a +tp4217 +a(g189 +V +tp4218 +a(g18 +VPyObject +p4219 +tp4220 +a(g189 +V +tp4221 +a(g344 +V* +tp4222 +a(g189 +V\u000a +tp4223 +a(g21 +VPyEval_EvalFrameEx +p4224 +tp4225 +a(g189 +V +tp4226 +a(g202 +V( +tp4227 +a(g18 +VPyFrameObject +p4228 +tp4229 +a(g189 +V +tp4230 +a(g344 +V* +tp4231 +a(g18 +Vf +tp4232 +a(g202 +V, +tp4233 +a(g189 +V +tp4234 +a(g139 +Vint +p4235 +tp4236 +a(g189 +V +tp4237 +a(g18 +Vthrowflag +p4238 +tp4239 +a(g202 +V) +tp4240 +a(g189 +V\u000a +tp4241 +a(g189 +V +tp4242 +a(g202 +V{ +tp4243 +a(g189 +V\u000a +tp4244 +a(g355 +V# +tp4245 +a(g355 +Vifdef DXPAIRS +p4246 +tp4247 +a(g355 +V\u000a +tp4248 +a(g189 +V +tp4249 +a(g139 +Vint +p4250 +tp4251 +a(g189 +V +tp4252 +a(g18 +Vlastopcode +p4253 +tp4254 +a(g189 +V +tp4255 +a(g344 +V= +tp4256 +a(g189 +V +tp4257 +a(g319 +V0 +tp4258 +a(g202 +V; +tp4259 +a(g189 +V\u000a +tp4260 +a(g355 +V# +tp4261 +a(g355 +Vendif +p4262 +tp4263 +a(g355 +V\u000a +tp4264 +a(g189 +V +tp4265 +a(g111 +Vregister +p4266 +tp4267 +a(g189 +V +tp4268 +a(g18 +VPyObject +p4269 +tp4270 +a(g189 +V +tp4271 +a(g344 +V* +tp4272 +a(g344 +V* +tp4273 +a(g18 +Vstack_pointer +p4274 +tp4275 +a(g202 +V; +tp4276 +a(g189 +V +p4277 +tp4278 +a(g7 +V/* Next free slot in value stack */ +p4279 +tp4280 +a(g189 +V\u000a +tp4281 +a(g189 +V +tp4282 +a(g111 +Vregister +p4283 +tp4284 +a(g189 +V +tp4285 +a(g139 +Vunsigned +p4286 +tp4287 +a(g189 +V +tp4288 +a(g139 +Vchar +p4289 +tp4290 +a(g189 +V +tp4291 +a(g344 +V* +tp4292 +a(g18 +Vnext_instr +p4293 +tp4294 +a(g202 +V; +tp4295 +a(g189 +V\u000a +tp4296 +a(g189 +V +tp4297 +a(g111 +Vregister +p4298 +tp4299 +a(g189 +V +tp4300 +a(g139 +Vint +p4301 +tp4302 +a(g189 +V +tp4303 +a(g18 +Vopcode +p4304 +tp4305 +a(g202 +V; +tp4306 +a(g189 +V +tp4307 +a(g7 +V/* Current opcode */ +p4308 +tp4309 +a(g189 +V\u000a +tp4310 +a(g189 +V +tp4311 +a(g111 +Vregister +p4312 +tp4313 +a(g189 +V +tp4314 +a(g139 +Vint +p4315 +tp4316 +a(g189 +V +tp4317 +a(g18 +Voparg +p4318 +tp4319 +a(g202 +V; +tp4320 +a(g189 +V +tp4321 +a(g7 +V/* Current opcode argument, if any */ +p4322 +tp4323 +a(g189 +V\u000a +tp4324 +a(g189 +V +tp4325 +a(g111 +Vregister +p4326 +tp4327 +a(g189 +V +tp4328 +a(g111 +Venum +p4329 +tp4330 +a(g189 +V +tp4331 +a(g18 +Vwhy_code +p4332 +tp4333 +a(g189 +V +tp4334 +a(g18 +Vwhy +p4335 +tp4336 +a(g202 +V; +tp4337 +a(g189 +V +tp4338 +a(g7 +V/* Reason for block stack unwind */ +p4339 +tp4340 +a(g189 +V\u000a +tp4341 +a(g189 +V +tp4342 +a(g111 +Vregister +p4343 +tp4344 +a(g189 +V +tp4345 +a(g139 +Vint +p4346 +tp4347 +a(g189 +V +tp4348 +a(g18 +Verr +p4349 +tp4350 +a(g202 +V; +tp4351 +a(g189 +V +tp4352 +a(g7 +V/* Error status -- nonzero if error */ +p4353 +tp4354 +a(g189 +V\u000a +tp4355 +a(g189 +V +tp4356 +a(g111 +Vregister +p4357 +tp4358 +a(g189 +V +tp4359 +a(g18 +VPyObject +p4360 +tp4361 +a(g189 +V +tp4362 +a(g344 +V* +tp4363 +a(g18 +Vx +tp4364 +a(g202 +V; +tp4365 +a(g189 +V +tp4366 +a(g7 +V/* Result object -- NULL if error */ +p4367 +tp4368 +a(g189 +V\u000a +tp4369 +a(g189 +V +tp4370 +a(g111 +Vregister +p4371 +tp4372 +a(g189 +V +tp4373 +a(g18 +VPyObject +p4374 +tp4375 +a(g189 +V +tp4376 +a(g344 +V* +tp4377 +a(g18 +Vv +tp4378 +a(g202 +V; +tp4379 +a(g189 +V +tp4380 +a(g7 +V/* Temporary objects popped off stack */ +p4381 +tp4382 +a(g189 +V\u000a +tp4383 +a(g189 +V +tp4384 +a(g111 +Vregister +p4385 +tp4386 +a(g189 +V +tp4387 +a(g18 +VPyObject +p4388 +tp4389 +a(g189 +V +tp4390 +a(g344 +V* +tp4391 +a(g18 +Vw +tp4392 +a(g202 +V; +tp4393 +a(g189 +V\u000a +tp4394 +a(g189 +V +tp4395 +a(g111 +Vregister +p4396 +tp4397 +a(g189 +V +tp4398 +a(g18 +VPyObject +p4399 +tp4400 +a(g189 +V +tp4401 +a(g344 +V* +tp4402 +a(g18 +Vu +tp4403 +a(g202 +V; +tp4404 +a(g189 +V\u000a +tp4405 +a(g189 +V +tp4406 +a(g111 +Vregister +p4407 +tp4408 +a(g189 +V +tp4409 +a(g18 +VPyObject +p4410 +tp4411 +a(g189 +V +tp4412 +a(g344 +V* +tp4413 +a(g18 +Vt +tp4414 +a(g202 +V; +tp4415 +a(g189 +V\u000a +tp4416 +a(g189 +V +tp4417 +a(g111 +Vregister +p4418 +tp4419 +a(g189 +V +tp4420 +a(g18 +VPyObject +p4421 +tp4422 +a(g189 +V +tp4423 +a(g344 +V* +tp4424 +a(g18 +Vstream +p4425 +tp4426 +a(g189 +V +tp4427 +a(g344 +V= +tp4428 +a(g189 +V +tp4429 +a(g57 +VNULL +p4430 +tp4431 +a(g202 +V; +tp4432 +a(g189 +V +p4433 +tp4434 +a(g7 +V/* for PRINT opcodes */ +p4435 +tp4436 +a(g189 +V\u000a +tp4437 +a(g189 +V +tp4438 +a(g111 +Vregister +p4439 +tp4440 +a(g189 +V +tp4441 +a(g18 +VPyObject +p4442 +tp4443 +a(g189 +V +tp4444 +a(g344 +V* +tp4445 +a(g344 +V* +tp4446 +a(g18 +Vfastlocals +p4447 +tp4448 +a(g202 +V, +tp4449 +a(g189 +V +tp4450 +a(g344 +V* +tp4451 +a(g344 +V* +tp4452 +a(g18 +Vfreevars +p4453 +tp4454 +a(g202 +V; +tp4455 +a(g189 +V\u000a +tp4456 +a(g189 +V +tp4457 +a(g18 +VPyObject +p4458 +tp4459 +a(g189 +V +tp4460 +a(g344 +V* +tp4461 +a(g18 +Vretval +p4462 +tp4463 +a(g189 +V +tp4464 +a(g344 +V= +tp4465 +a(g189 +V +tp4466 +a(g57 +VNULL +p4467 +tp4468 +a(g202 +V; +tp4469 +a(g189 +V +tp4470 +a(g7 +V/* Return value */ +p4471 +tp4472 +a(g189 +V\u000a +tp4473 +a(g189 +V +tp4474 +a(g18 +VPyThreadState +p4475 +tp4476 +a(g189 +V +tp4477 +a(g344 +V* +tp4478 +a(g18 +Vtstate +p4479 +tp4480 +a(g189 +V +tp4481 +a(g344 +V= +tp4482 +a(g189 +V +tp4483 +a(g18 +VPyThreadState_GET +p4484 +tp4485 +a(g202 +V( +tp4486 +a(g202 +V) +tp4487 +a(g202 +V; +tp4488 +a(g189 +V\u000a +tp4489 +a(g189 +V +tp4490 +a(g18 +VPyCodeObject +p4491 +tp4492 +a(g189 +V +tp4493 +a(g344 +V* +tp4494 +a(g18 +Vco +p4495 +tp4496 +a(g202 +V; +tp4497 +a(g189 +V\u000a +tp4498 +a(g189 +V\u000a +tp4499 +a(g189 +V +tp4500 +a(g7 +V/* when tracing we set things up so that\u000a\u000a not (instr_lb <= current_bytecode_offset < instr_ub)\u000a\u000a is true when the line being executed has changed. The\u000a initial values are such as to make this false the first\u000a time it is tested. */ +p4501 +tp4502 +a(g189 +V\u000a +tp4503 +a(g189 +V +tp4504 +a(g139 +Vint +p4505 +tp4506 +a(g189 +V +tp4507 +a(g18 +Vinstr_ub +p4508 +tp4509 +a(g189 +V +tp4510 +a(g344 +V= +tp4511 +a(g189 +V +tp4512 +a(g344 +V- +tp4513 +a(g319 +V1 +tp4514 +a(g202 +V, +tp4515 +a(g189 +V +tp4516 +a(g18 +Vinstr_lb +p4517 +tp4518 +a(g189 +V +tp4519 +a(g344 +V= +tp4520 +a(g189 +V +tp4521 +a(g319 +V0 +tp4522 +a(g202 +V, +tp4523 +a(g189 +V +tp4524 +a(g18 +Vinstr_prev +p4525 +tp4526 +a(g189 +V +tp4527 +a(g344 +V= +tp4528 +a(g189 +V +tp4529 +a(g344 +V- +tp4530 +a(g319 +V1 +tp4531 +a(g202 +V; +tp4532 +a(g189 +V\u000a +tp4533 +a(g189 +V\u000a +tp4534 +a(g189 +V +tp4535 +a(g139 +Vunsigned +p4536 +tp4537 +a(g189 +V +tp4538 +a(g139 +Vchar +p4539 +tp4540 +a(g189 +V +tp4541 +a(g344 +V* +tp4542 +a(g18 +Vfirst_instr +p4543 +tp4544 +a(g202 +V; +tp4545 +a(g189 +V\u000a +tp4546 +a(g189 +V +tp4547 +a(g18 +VPyObject +p4548 +tp4549 +a(g189 +V +tp4550 +a(g344 +V* +tp4551 +a(g18 +Vnames +p4552 +tp4553 +a(g202 +V; +tp4554 +a(g189 +V\u000a +tp4555 +a(g189 +V +tp4556 +a(g18 +VPyObject +p4557 +tp4558 +a(g189 +V +tp4559 +a(g344 +V* +tp4560 +a(g18 +Vconsts +p4561 +tp4562 +a(g202 +V; +tp4563 +a(g189 +V\u000a +tp4564 +a(g355 +V# +tp4565 +a(g355 +Vif defined(Py_DEBUG) || defined(LLTRACE) +p4566 +tp4567 +a(g355 +V\u000a +tp4568 +a(g189 +V +tp4569 +a(g7 +V/* Make it easier to find out where we are with a debugger */ +p4570 +tp4571 +a(g189 +V\u000a +tp4572 +a(g189 +V +tp4573 +a(g139 +Vchar +p4574 +tp4575 +a(g189 +V +tp4576 +a(g344 +V* +tp4577 +a(g18 +Vfilename +p4578 +tp4579 +a(g202 +V; +tp4580 +a(g189 +V\u000a +tp4581 +a(g355 +V# +tp4582 +a(g355 +Vendif +p4583 +tp4584 +a(g355 +V\u000a +tp4585 +a(g189 +V\u000a +tp4586 +a(g7 +V/* Tuple access macros */ +p4587 +tp4588 +a(g189 +V\u000a +tp4589 +a(g355 +V\u000a# +p4590 +tp4591 +a(g355 +Vifndef Py_DEBUG +p4592 +tp4593 +a(g355 +V\u000a +tp4594 +a(g355 +V# +tp4595 +a(g355 +Vdefine GETITEM(v, i) PyTuple_GET_ITEM((PyTupleObject *)(v), (i)) +p4596 +tp4597 +a(g355 +V\u000a +tp4598 +a(g355 +V# +tp4599 +a(g355 +Velse +p4600 +tp4601 +a(g355 +V\u000a +tp4602 +a(g355 +V# +tp4603 +a(g355 +Vdefine GETITEM(v, i) PyTuple_GetItem((v), (i)) +p4604 +tp4605 +a(g355 +V\u000a +tp4606 +a(g355 +V# +tp4607 +a(g355 +Vendif +p4608 +tp4609 +a(g355 +V\u000a +tp4610 +a(g355 +V\u000a# +p4611 +tp4612 +a(g355 +Vifdef WITH_TSC +p4613 +tp4614 +a(g355 +V\u000a +tp4615 +a(g7 +V/* Use Pentium timestamp counter to mark certain events:\u000a inst0 -- beginning of switch statement for opcode dispatch\u000a inst1 -- end of switch statement (may be skipped)\u000a loop0 -- the top of the mainloop\u000a loop1 -- place where control returns again to top of mainloop\u000a (may be skipped)\u000a intr1 -- beginning of long interruption\u000a intr2 -- end of long interruption\u000a\u000a Many opcodes call out to helper C functions. In some cases, the\u000a time in those functions should be counted towards the time for the\u000a opcode, but not in all cases. For example, a CALL_FUNCTION opcode\u000a calls another Python function; there's no point in charge all the\u000a bytecode executed by the called function to the caller.\u000a\u000a It's hard to make a useful judgement statically. In the presence\u000a of operator overloading, it's impossible to tell if a call will\u000a execute new Python code or not.\u000a\u000a It's a case-by-case judgement. I'll use intr1 for the following\u000a cases:\u000a\u000a EXEC_STMT\u000a IMPORT_STAR\u000a IMPORT_FROM\u000a CALL_FUNCTION (and friends)\u000a\u000a */ +p4616 +tp4617 +a(g189 +V\u000a +tp4618 +a(g189 +V +tp4619 +a(g18 +Vuint64 +p4620 +tp4621 +a(g189 +V +tp4622 +a(g18 +Vinst0 +p4623 +tp4624 +a(g202 +V, +tp4625 +a(g189 +V +tp4626 +a(g18 +Vinst1 +p4627 +tp4628 +a(g202 +V, +tp4629 +a(g189 +V +tp4630 +a(g18 +Vloop0 +p4631 +tp4632 +a(g202 +V, +tp4633 +a(g189 +V +tp4634 +a(g18 +Vloop1 +p4635 +tp4636 +a(g202 +V, +tp4637 +a(g189 +V +tp4638 +a(g18 +Vintr0 +p4639 +tp4640 +a(g189 +V +tp4641 +a(g344 +V= +tp4642 +a(g189 +V +tp4643 +a(g319 +V0 +tp4644 +a(g202 +V, +tp4645 +a(g189 +V +tp4646 +a(g18 +Vintr1 +p4647 +tp4648 +a(g189 +V +tp4649 +a(g344 +V= +tp4650 +a(g189 +V +tp4651 +a(g319 +V0 +tp4652 +a(g202 +V; +tp4653 +a(g189 +V\u000a +tp4654 +a(g189 +V +tp4655 +a(g139 +Vint +p4656 +tp4657 +a(g189 +V +tp4658 +a(g18 +Vticked +p4659 +tp4660 +a(g189 +V +tp4661 +a(g344 +V= +tp4662 +a(g189 +V +tp4663 +a(g319 +V0 +tp4664 +a(g202 +V; +tp4665 +a(g189 +V\u000a +tp4666 +a(g189 +V\u000a +tp4667 +a(g189 +V +tp4668 +a(g18 +VREAD_TIMESTAMP +p4669 +tp4670 +a(g202 +V( +tp4671 +a(g18 +Vinst0 +p4672 +tp4673 +a(g202 +V) +tp4674 +a(g202 +V; +tp4675 +a(g189 +V\u000a +tp4676 +a(g189 +V +tp4677 +a(g18 +VREAD_TIMESTAMP +p4678 +tp4679 +a(g202 +V( +tp4680 +a(g18 +Vinst1 +p4681 +tp4682 +a(g202 +V) +tp4683 +a(g202 +V; +tp4684 +a(g189 +V\u000a +tp4685 +a(g189 +V +tp4686 +a(g18 +VREAD_TIMESTAMP +p4687 +tp4688 +a(g202 +V( +tp4689 +a(g18 +Vloop0 +p4690 +tp4691 +a(g202 +V) +tp4692 +a(g202 +V; +tp4693 +a(g189 +V\u000a +tp4694 +a(g189 +V +tp4695 +a(g18 +VREAD_TIMESTAMP +p4696 +tp4697 +a(g202 +V( +tp4698 +a(g18 +Vloop1 +p4699 +tp4700 +a(g202 +V) +tp4701 +a(g202 +V; +tp4702 +a(g189 +V\u000a +tp4703 +a(g189 +V\u000a +tp4704 +a(g189 +V +tp4705 +a(g7 +V/* shut up the compiler */ +p4706 +tp4707 +a(g189 +V\u000a +tp4708 +a(g189 +V +tp4709 +a(g18 +Vopcode +p4710 +tp4711 +a(g189 +V +tp4712 +a(g344 +V= +tp4713 +a(g189 +V +tp4714 +a(g319 +V0 +tp4715 +a(g202 +V; +tp4716 +a(g189 +V\u000a +tp4717 +a(g355 +V# +tp4718 +a(g355 +Vendif +p4719 +tp4720 +a(g355 +V\u000a +tp4721 +a(g189 +V\u000a +tp4722 +a(g7 +V/* Code access macros */ +p4723 +tp4724 +a(g189 +V\u000a +tp4725 +a(g355 +V\u000a# +p4726 +tp4727 +a(g355 +Vdefine INSTR_OFFSET() ((int)(next_instr - first_instr)) +p4728 +tp4729 +a(g355 +V\u000a +tp4730 +a(g355 +V# +tp4731 +a(g355 +Vdefine NEXTOP() (*next_instr++) +p4732 +tp4733 +a(g355 +V\u000a +tp4734 +a(g355 +V# +tp4735 +a(g355 +Vdefine NEXTARG() (next_instr += 2, (next_instr[-1]<<8) + next_instr[-2]) +p4736 +tp4737 +a(g355 +V\u000a +tp4738 +a(g355 +V# +tp4739 +a(g355 +Vdefine PEEKARG() ((next_instr[2]<<8) + next_instr[1]) +p4740 +tp4741 +a(g355 +V\u000a +tp4742 +a(g355 +V# +tp4743 +a(g355 +Vdefine JUMPTO(x) (next_instr = first_instr + (x)) +p4744 +tp4745 +a(g355 +V\u000a +tp4746 +a(g355 +V# +tp4747 +a(g355 +Vdefine JUMPBY(x) (next_instr += (x)) +p4748 +tp4749 +a(g355 +V\u000a +tp4750 +a(g189 +V\u000a +tp4751 +a(g7 +V/* OpCode prediction macros\u000a Some opcodes tend to come in pairs thus making it possible to predict\u000a the second code when the first is run. For example, COMPARE_OP is often\u000a followed by JUMP_IF_FALSE or JUMP_IF_TRUE. And, those opcodes are often\u000a followed by a POP_TOP.\u000a\u000a Verifying the prediction costs a single high-speed test of register\u000a variable against a constant. If the pairing was good, then the\u000a processor has a high likelihood of making its own successful branch\u000a prediction which results in a nearly zero overhead transition to the\u000a next opcode.\u000a\u000a A successful prediction saves a trip through the eval-loop including\u000a its two unpredictable branches, the HASARG test and the switch-case.\u000a\u000a If collecting opcode statistics, turn off prediction so that\u000a statistics are accurately maintained (the predictions bypass\u000a the opcode frequency counter updates).\u000a*/ +p4752 +tp4753 +a(g189 +V\u000a +tp4754 +a(g355 +V\u000a# +p4755 +tp4756 +a(g355 +Vifdef DYNAMIC_EXECUTION_PROFILE +p4757 +tp4758 +a(g355 +V\u000a +tp4759 +a(g355 +V# +tp4760 +a(g355 +Vdefine PREDICT(op) if (0) goto PRED_##op +p4761 +tp4762 +a(g355 +V\u000a +tp4763 +a(g355 +V# +tp4764 +a(g355 +Velse +p4765 +tp4766 +a(g355 +V\u000a +tp4767 +a(g355 +V# +tp4768 +a(g355 +Vdefine PREDICT(op) if (*next_instr == op) goto PRED_##op +p4769 +tp4770 +a(g355 +V\u000a +tp4771 +a(g355 +V# +tp4772 +a(g355 +Vendif +p4773 +tp4774 +a(g355 +V\u000a +tp4775 +a(g355 +V\u000a# +p4776 +tp4777 +a(g355 +Vdefine PREDICTED(op) PRED_##op: next_instr++ +p4778 +tp4779 +a(g355 +V\u000a +tp4780 +a(g355 +V# +tp4781 +a(g355 +Vdefine PREDICTED_WITH_ARG(op) PRED_##op: oparg = PEEKARG(); next_instr += 3 +p4782 +tp4783 +a(g355 +V\u000a +tp4784 +a(g189 +V\u000a +tp4785 +a(g7 +V/* Stack manipulation macros */ +p4786 +tp4787 +a(g189 +V\u000a +tp4788 +a(g189 +V\u000a +tp4789 +a(g7 +V/* The stack can grow at most MAXINT deep, as co_nlocals and\u000a co_stacksize are ints. */ +p4790 +tp4791 +a(g189 +V\u000a +tp4792 +a(g355 +V# +tp4793 +a(g355 +Vdefine STACK_LEVEL() ((int)(stack_pointer - f->f_valuestack)) +p4794 +tp4795 +a(g355 +V\u000a +tp4796 +a(g355 +V# +tp4797 +a(g355 +Vdefine EMPTY() (STACK_LEVEL() == 0) +p4798 +tp4799 +a(g355 +V\u000a +tp4800 +a(g355 +V# +tp4801 +a(g355 +Vdefine TOP() (stack_pointer[-1]) +p4802 +tp4803 +a(g355 +V\u000a +tp4804 +a(g355 +V# +tp4805 +a(g355 +Vdefine SECOND() (stack_pointer[-2]) +p4806 +tp4807 +a(g355 +V\u000a +tp4808 +a(g355 +V# +tp4809 +a(g355 +Vdefine THIRD() (stack_pointer[-3]) +p4810 +tp4811 +a(g355 +V\u000a +tp4812 +a(g355 +V# +tp4813 +a(g355 +Vdefine FOURTH() (stack_pointer[-4]) +p4814 +tp4815 +a(g355 +V\u000a +tp4816 +a(g355 +V# +tp4817 +a(g355 +Vdefine SET_TOP(v) (stack_pointer[-1] = (v)) +p4818 +tp4819 +a(g355 +V\u000a +tp4820 +a(g355 +V# +tp4821 +a(g355 +Vdefine SET_SECOND(v) (stack_pointer[-2] = (v)) +p4822 +tp4823 +a(g355 +V\u000a +tp4824 +a(g355 +V# +tp4825 +a(g355 +Vdefine SET_THIRD(v) (stack_pointer[-3] = (v)) +p4826 +tp4827 +a(g355 +V\u000a +tp4828 +a(g355 +V# +tp4829 +a(g355 +Vdefine SET_FOURTH(v) (stack_pointer[-4] = (v)) +p4830 +tp4831 +a(g355 +V\u000a +tp4832 +a(g355 +V# +tp4833 +a(g355 +Vdefine BASIC_STACKADJ(n) (stack_pointer += n) +p4834 +tp4835 +a(g355 +V\u000a +tp4836 +a(g355 +V# +tp4837 +a(g355 +Vdefine BASIC_PUSH(v) (*stack_pointer++ = (v)) +p4838 +tp4839 +a(g355 +V\u000a +tp4840 +a(g355 +V# +tp4841 +a(g355 +Vdefine BASIC_POP() (*--stack_pointer) +p4842 +tp4843 +a(g355 +V\u000a +tp4844 +a(g355 +V\u000a# +p4845 +tp4846 +a(g355 +Vifdef LLTRACE +p4847 +tp4848 +a(g355 +V\u000a +tp4849 +a(g355 +V# +tp4850 +a(g355 +Vdefine PUSH(v) { (void)(BASIC_PUSH(v), \u005c +p4851 +tp4852 +a(g355 +V\u000a +tp4853 +a(g355 +V lltrace && prtrace(TOP(), "push")); \u005c +p4854 +tp4855 +a(g355 +V\u000a +tp4856 +a(g355 +V assert(STACK_LEVEL() <= co->co_stacksize); } +p4857 +tp4858 +a(g355 +V\u000a +tp4859 +a(g355 +V# +tp4860 +a(g355 +Vdefine POP() ((void)(lltrace && prtrace(TOP(), "pop")), BASIC_POP()) +p4861 +tp4862 +a(g355 +V\u000a +tp4863 +a(g355 +V# +tp4864 +a(g355 +Vdefine STACKADJ(n) { (void)(BASIC_STACKADJ(n), \u005c +p4865 +tp4866 +a(g355 +V\u000a +tp4867 +a(g355 +V lltrace && prtrace(TOP(), "stackadj")); \u005c +p4868 +tp4869 +a(g355 +V\u000a +tp4870 +a(g355 +V assert(STACK_LEVEL() <= co->co_stacksize); } +p4871 +tp4872 +a(g355 +V\u000a +tp4873 +a(g355 +V# +tp4874 +a(g355 +Vdefine EXT_POP(STACK_POINTER) (lltrace && prtrace(*(STACK_POINTER), "ext_pop"), *--(STACK_POINTER)) +p4875 +tp4876 +a(g355 +V\u000a +tp4877 +a(g355 +V# +tp4878 +a(g355 +Velse +p4879 +tp4880 +a(g355 +V\u000a +tp4881 +a(g355 +V# +tp4882 +a(g355 +Vdefine PUSH(v) BASIC_PUSH(v) +p4883 +tp4884 +a(g355 +V\u000a +tp4885 +a(g355 +V# +tp4886 +a(g355 +Vdefine POP() BASIC_POP() +p4887 +tp4888 +a(g355 +V\u000a +tp4889 +a(g355 +V# +tp4890 +a(g355 +Vdefine STACKADJ(n) BASIC_STACKADJ(n) +p4891 +tp4892 +a(g355 +V\u000a +tp4893 +a(g355 +V# +tp4894 +a(g355 +Vdefine EXT_POP(STACK_POINTER) (*--(STACK_POINTER)) +p4895 +tp4896 +a(g355 +V\u000a +tp4897 +a(g355 +V# +tp4898 +a(g355 +Vendif +p4899 +tp4900 +a(g355 +V\u000a +tp4901 +a(g189 +V\u000a +tp4902 +a(g7 +V/* Local variable macros */ +p4903 +tp4904 +a(g189 +V\u000a +tp4905 +a(g355 +V\u000a# +p4906 +tp4907 +a(g355 +Vdefine GETLOCAL(i) (fastlocals[i]) +p4908 +tp4909 +a(g355 +V\u000a +tp4910 +a(g189 +V\u000a +tp4911 +a(g7 +V/* The SETLOCAL() macro must not DECREF the local variable in-place and\u000a then store the new value; it must copy the old value to a temporary\u000a value, then store the new value, and then DECREF the temporary value.\u000a This is because it is possible that during the DECREF the frame is\u000a accessed by other code (e.g. a __del__ method or gc.collect()) and the\u000a variable would be pointing to already-freed memory. */ +p4912 +tp4913 +a(g189 +V\u000a +tp4914 +a(g355 +V# +tp4915 +a(g355 +Vdefine SETLOCAL(i, value) do { PyObject *tmp = GETLOCAL(i); \u005c +p4916 +tp4917 +a(g355 +V\u000a +tp4918 +a(g355 +V GETLOCAL(i) = value; \u005c +p4919 +tp4920 +a(g355 +V\u000a +tp4921 +a(g355 +V Py_XDECREF(tmp); } while (0) +p4922 +tp4923 +a(g355 +V\u000a +tp4924 +a(g189 +V\u000a +tp4925 +a(g7 +V/* Start of code */ +p4926 +tp4927 +a(g189 +V\u000a +tp4928 +a(g189 +V\u000a +tp4929 +a(g189 +V +tp4930 +a(g111 +Vif +p4931 +tp4932 +a(g189 +V +tp4933 +a(g202 +V( +tp4934 +a(g18 +Vf +tp4935 +a(g189 +V +tp4936 +a(g344 +V= +tp4937 +a(g344 +V= +tp4938 +a(g189 +V +tp4939 +a(g57 +VNULL +p4940 +tp4941 +a(g202 +V) +tp4942 +a(g189 +V\u000a +tp4943 +a(g189 +V +p4944 +tp4945 +a(g111 +Vreturn +p4946 +tp4947 +a(g189 +V +tp4948 +a(g57 +VNULL +p4949 +tp4950 +a(g202 +V; +tp4951 +a(g189 +V\u000a +tp4952 +a(g189 +V\u000a +tp4953 +a(g189 +V +tp4954 +a(g7 +V/* push frame */ +p4955 +tp4956 +a(g189 +V\u000a +tp4957 +a(g189 +V +tp4958 +a(g111 +Vif +p4959 +tp4960 +a(g189 +V +tp4961 +a(g202 +V( +tp4962 +a(g18 +VPy_EnterRecursiveCall +p4963 +tp4964 +a(g202 +V( +tp4965 +a(g226 +V" +tp4966 +a(g226 +V" +tp4967 +a(g202 +V) +tp4968 +a(g202 +V) +tp4969 +a(g189 +V\u000a +tp4970 +a(g189 +V +p4971 +tp4972 +a(g111 +Vreturn +p4973 +tp4974 +a(g189 +V +tp4975 +a(g57 +VNULL +p4976 +tp4977 +a(g202 +V; +tp4978 +a(g189 +V\u000a +tp4979 +a(g189 +V\u000a +tp4980 +a(g189 +V +tp4981 +a(g18 +Vtstate +p4982 +tp4983 +a(g344 +V- +tp4984 +a(g344 +V> +tp4985 +a(g18 +Vframe +p4986 +tp4987 +a(g189 +V +tp4988 +a(g344 +V= +tp4989 +a(g189 +V +tp4990 +a(g18 +Vf +tp4991 +a(g202 +V; +tp4992 +a(g189 +V\u000a +tp4993 +a(g189 +V\u000a +tp4994 +a(g189 +V +tp4995 +a(g111 +Vif +p4996 +tp4997 +a(g189 +V +tp4998 +a(g202 +V( +tp4999 +a(g18 +Vtstate +p5000 +tp5001 +a(g344 +V- +tp5002 +a(g344 +V> +tp5003 +a(g18 +Vuse_tracing +p5004 +tp5005 +a(g202 +V) +tp5006 +a(g189 +V +tp5007 +a(g202 +V{ +tp5008 +a(g189 +V\u000a +tp5009 +a(g189 +V +p5010 +tp5011 +a(g111 +Vif +p5012 +tp5013 +a(g189 +V +tp5014 +a(g202 +V( +tp5015 +a(g18 +Vtstate +p5016 +tp5017 +a(g344 +V- +tp5018 +a(g344 +V> +tp5019 +a(g18 +Vc_tracefunc +p5020 +tp5021 +a(g189 +V +tp5022 +a(g344 +V! +tp5023 +a(g344 +V= +tp5024 +a(g189 +V +tp5025 +a(g57 +VNULL +p5026 +tp5027 +a(g202 +V) +tp5028 +a(g189 +V +tp5029 +a(g202 +V{ +tp5030 +a(g189 +V\u000a +tp5031 +a(g189 +V +p5032 +tp5033 +a(g7 +V/* tstate->c_tracefunc, if defined, is a\u000a function that will be called on *every* entry\u000a to a code block. Its return value, if not\u000a None, is a function that will be called at\u000a the start of each executed line of code.\u000a (Actually, the function must return itself\u000a in order to continue tracing.) The trace\u000a functions are called with three arguments:\u000a a pointer to the current frame, a string\u000a indicating why the function is called, and\u000a an argument which depends on the situation.\u000a The global trace function is also called\u000a whenever an exception is detected. */ +p5034 +tp5035 +a(g189 +V\u000a +tp5036 +a(g189 +V +p5037 +tp5038 +a(g111 +Vif +p5039 +tp5040 +a(g189 +V +tp5041 +a(g202 +V( +tp5042 +a(g18 +Vcall_trace +p5043 +tp5044 +a(g202 +V( +tp5045 +a(g18 +Vtstate +p5046 +tp5047 +a(g344 +V- +tp5048 +a(g344 +V> +tp5049 +a(g18 +Vc_tracefunc +p5050 +tp5051 +a(g202 +V, +tp5052 +a(g189 +V +tp5053 +a(g18 +Vtstate +p5054 +tp5055 +a(g344 +V- +tp5056 +a(g344 +V> +tp5057 +a(g18 +Vc_traceobj +p5058 +tp5059 +a(g202 +V, +tp5060 +a(g189 +V\u000a +tp5061 +a(g189 +V +p5062 +tp5063 +a(g18 +Vf +tp5064 +a(g202 +V, +tp5065 +a(g189 +V +tp5066 +a(g18 +VPyTrace_CALL +p5067 +tp5068 +a(g202 +V, +tp5069 +a(g189 +V +tp5070 +a(g18 +VPy_None +p5071 +tp5072 +a(g202 +V) +tp5073 +a(g202 +V) +tp5074 +a(g189 +V +tp5075 +a(g202 +V{ +tp5076 +a(g189 +V\u000a +tp5077 +a(g189 +V +p5078 +tp5079 +a(g7 +V/* Trace function raised an error */ +p5080 +tp5081 +a(g189 +V\u000a +tp5082 +a(g189 +V +p5083 +tp5084 +a(g111 +Vgoto +p5085 +tp5086 +a(g189 +V +tp5087 +a(g18 +Vexit_eval_frame +p5088 +tp5089 +a(g202 +V; +tp5090 +a(g189 +V\u000a +tp5091 +a(g189 +V +p5092 +tp5093 +a(g202 +V} +tp5094 +a(g189 +V\u000a +tp5095 +a(g189 +V +p5096 +tp5097 +a(g202 +V} +tp5098 +a(g189 +V\u000a +tp5099 +a(g189 +V +p5100 +tp5101 +a(g111 +Vif +p5102 +tp5103 +a(g189 +V +tp5104 +a(g202 +V( +tp5105 +a(g18 +Vtstate +p5106 +tp5107 +a(g344 +V- +tp5108 +a(g344 +V> +tp5109 +a(g18 +Vc_profilefunc +p5110 +tp5111 +a(g189 +V +tp5112 +a(g344 +V! +tp5113 +a(g344 +V= +tp5114 +a(g189 +V +tp5115 +a(g57 +VNULL +p5116 +tp5117 +a(g202 +V) +tp5118 +a(g189 +V +tp5119 +a(g202 +V{ +tp5120 +a(g189 +V\u000a +tp5121 +a(g189 +V +p5122 +tp5123 +a(g7 +V/* Similar for c_profilefunc, except it needn't\u000a return itself and isn't called for "line" events */ +p5124 +tp5125 +a(g189 +V\u000a +tp5126 +a(g189 +V +p5127 +tp5128 +a(g111 +Vif +p5129 +tp5130 +a(g189 +V +tp5131 +a(g202 +V( +tp5132 +a(g18 +Vcall_trace +p5133 +tp5134 +a(g202 +V( +tp5135 +a(g18 +Vtstate +p5136 +tp5137 +a(g344 +V- +tp5138 +a(g344 +V> +tp5139 +a(g18 +Vc_profilefunc +p5140 +tp5141 +a(g202 +V, +tp5142 +a(g189 +V\u000a +tp5143 +a(g189 +V +p5144 +tp5145 +a(g18 +Vtstate +p5146 +tp5147 +a(g344 +V- +tp5148 +a(g344 +V> +tp5149 +a(g18 +Vc_profileobj +p5150 +tp5151 +a(g202 +V, +tp5152 +a(g189 +V\u000a +tp5153 +a(g189 +V +p5154 +tp5155 +a(g18 +Vf +tp5156 +a(g202 +V, +tp5157 +a(g189 +V +tp5158 +a(g18 +VPyTrace_CALL +p5159 +tp5160 +a(g202 +V, +tp5161 +a(g189 +V +tp5162 +a(g18 +VPy_None +p5163 +tp5164 +a(g202 +V) +tp5165 +a(g202 +V) +tp5166 +a(g189 +V +tp5167 +a(g202 +V{ +tp5168 +a(g189 +V\u000a +tp5169 +a(g189 +V +p5170 +tp5171 +a(g7 +V/* Profile function raised an error */ +p5172 +tp5173 +a(g189 +V\u000a +tp5174 +a(g189 +V +p5175 +tp5176 +a(g111 +Vgoto +p5177 +tp5178 +a(g189 +V +tp5179 +a(g18 +Vexit_eval_frame +p5180 +tp5181 +a(g202 +V; +tp5182 +a(g189 +V\u000a +tp5183 +a(g189 +V +p5184 +tp5185 +a(g202 +V} +tp5186 +a(g189 +V\u000a +tp5187 +a(g189 +V +p5188 +tp5189 +a(g202 +V} +tp5190 +a(g189 +V\u000a +tp5191 +a(g189 +V +tp5192 +a(g202 +V} +tp5193 +a(g189 +V\u000a +tp5194 +a(g189 +V\u000a +tp5195 +a(g189 +V +tp5196 +a(g18 +Vco +p5197 +tp5198 +a(g189 +V +tp5199 +a(g344 +V= +tp5200 +a(g189 +V +tp5201 +a(g18 +Vf +tp5202 +a(g344 +V- +tp5203 +a(g344 +V> +tp5204 +a(g18 +Vf_code +p5205 +tp5206 +a(g202 +V; +tp5207 +a(g189 +V\u000a +tp5208 +a(g189 +V +tp5209 +a(g18 +Vnames +p5210 +tp5211 +a(g189 +V +tp5212 +a(g344 +V= +tp5213 +a(g189 +V +tp5214 +a(g18 +Vco +p5215 +tp5216 +a(g344 +V- +tp5217 +a(g344 +V> +tp5218 +a(g18 +Vco_names +p5219 +tp5220 +a(g202 +V; +tp5221 +a(g189 +V\u000a +tp5222 +a(g189 +V +tp5223 +a(g18 +Vconsts +p5224 +tp5225 +a(g189 +V +tp5226 +a(g344 +V= +tp5227 +a(g189 +V +tp5228 +a(g18 +Vco +p5229 +tp5230 +a(g344 +V- +tp5231 +a(g344 +V> +tp5232 +a(g18 +Vco_consts +p5233 +tp5234 +a(g202 +V; +tp5235 +a(g189 +V\u000a +tp5236 +a(g189 +V +tp5237 +a(g18 +Vfastlocals +p5238 +tp5239 +a(g189 +V +tp5240 +a(g344 +V= +tp5241 +a(g189 +V +tp5242 +a(g18 +Vf +tp5243 +a(g344 +V- +tp5244 +a(g344 +V> +tp5245 +a(g18 +Vf_localsplus +p5246 +tp5247 +a(g202 +V; +tp5248 +a(g189 +V\u000a +tp5249 +a(g189 +V +tp5250 +a(g18 +Vfreevars +p5251 +tp5252 +a(g189 +V +tp5253 +a(g344 +V= +tp5254 +a(g189 +V +tp5255 +a(g18 +Vf +tp5256 +a(g344 +V- +tp5257 +a(g344 +V> +tp5258 +a(g18 +Vf_localsplus +p5259 +tp5260 +a(g189 +V +tp5261 +a(g344 +V+ +tp5262 +a(g189 +V +tp5263 +a(g18 +Vco +p5264 +tp5265 +a(g344 +V- +tp5266 +a(g344 +V> +tp5267 +a(g18 +Vco_nlocals +p5268 +tp5269 +a(g202 +V; +tp5270 +a(g189 +V\u000a +tp5271 +a(g189 +V +tp5272 +a(g18 +Vfirst_instr +p5273 +tp5274 +a(g189 +V +tp5275 +a(g344 +V= +tp5276 +a(g189 +V +tp5277 +a(g202 +V( +tp5278 +a(g139 +Vunsigned +p5279 +tp5280 +a(g189 +V +tp5281 +a(g139 +Vchar +p5282 +tp5283 +a(g344 +V* +tp5284 +a(g202 +V) +tp5285 +a(g189 +V +tp5286 +a(g18 +VPyString_AS_STRING +p5287 +tp5288 +a(g202 +V( +tp5289 +a(g18 +Vco +p5290 +tp5291 +a(g344 +V- +tp5292 +a(g344 +V> +tp5293 +a(g18 +Vco_code +p5294 +tp5295 +a(g202 +V) +tp5296 +a(g202 +V; +tp5297 +a(g189 +V\u000a +tp5298 +a(g189 +V +tp5299 +a(g7 +V/* An explanation is in order for the next line.\u000a\u000a f->f_lasti now refers to the index of the last instruction\u000a executed. You might think this was obvious from the name, but\u000a this wasn't always true before 2.3! PyFrame_New now sets\u000a f->f_lasti to -1 (i.e. the index *before* the first instruction)\u000a and YIELD_VALUE doesn't fiddle with f_lasti any more. So this\u000a does work. Promise. */ +p5300 +tp5301 +a(g189 +V\u000a +tp5302 +a(g189 +V +tp5303 +a(g18 +Vnext_instr +p5304 +tp5305 +a(g189 +V +tp5306 +a(g344 +V= +tp5307 +a(g189 +V +tp5308 +a(g18 +Vfirst_instr +p5309 +tp5310 +a(g189 +V +tp5311 +a(g344 +V+ +tp5312 +a(g189 +V +tp5313 +a(g18 +Vf +tp5314 +a(g344 +V- +tp5315 +a(g344 +V> +tp5316 +a(g18 +Vf_lasti +p5317 +tp5318 +a(g189 +V +tp5319 +a(g344 +V+ +tp5320 +a(g189 +V +tp5321 +a(g319 +V1 +tp5322 +a(g202 +V; +tp5323 +a(g189 +V\u000a +tp5324 +a(g189 +V +tp5325 +a(g18 +Vstack_pointer +p5326 +tp5327 +a(g189 +V +tp5328 +a(g344 +V= +tp5329 +a(g189 +V +tp5330 +a(g18 +Vf +tp5331 +a(g344 +V- +tp5332 +a(g344 +V> +tp5333 +a(g18 +Vf_stacktop +p5334 +tp5335 +a(g202 +V; +tp5336 +a(g189 +V\u000a +tp5337 +a(g189 +V +tp5338 +a(g18 +Vassert +p5339 +tp5340 +a(g202 +V( +tp5341 +a(g18 +Vstack_pointer +p5342 +tp5343 +a(g189 +V +tp5344 +a(g344 +V! +tp5345 +a(g344 +V= +tp5346 +a(g189 +V +tp5347 +a(g57 +VNULL +p5348 +tp5349 +a(g202 +V) +tp5350 +a(g202 +V; +tp5351 +a(g189 +V\u000a +tp5352 +a(g189 +V +tp5353 +a(g18 +Vf +tp5354 +a(g344 +V- +tp5355 +a(g344 +V> +tp5356 +a(g18 +Vf_stacktop +p5357 +tp5358 +a(g189 +V +tp5359 +a(g344 +V= +tp5360 +a(g189 +V +tp5361 +a(g57 +VNULL +p5362 +tp5363 +a(g202 +V; +tp5364 +a(g189 +V +tp5365 +a(g7 +V/* remains NULL unless yield suspends frame */ +p5366 +tp5367 +a(g189 +V\u000a +tp5368 +a(g355 +V\u000a# +p5369 +tp5370 +a(g355 +Vifdef LLTRACE +p5371 +tp5372 +a(g355 +V\u000a +tp5373 +a(g189 +V +tp5374 +a(g18 +Vlltrace +p5375 +tp5376 +a(g189 +V +tp5377 +a(g344 +V= +tp5378 +a(g189 +V +tp5379 +a(g18 +VPyDict_GetItemString +p5380 +tp5381 +a(g202 +V( +tp5382 +a(g18 +Vf +tp5383 +a(g344 +V- +tp5384 +a(g344 +V> +tp5385 +a(g18 +Vf_globals +p5386 +tp5387 +a(g202 +V, +tp5388 +a(g189 +V +tp5389 +a(g226 +V" +tp5390 +a(g226 +V__lltrace__ +p5391 +tp5392 +a(g226 +V" +tp5393 +a(g202 +V) +tp5394 +a(g189 +V +tp5395 +a(g344 +V! +tp5396 +a(g344 +V= +tp5397 +a(g189 +V +tp5398 +a(g57 +VNULL +p5399 +tp5400 +a(g202 +V; +tp5401 +a(g189 +V\u000a +tp5402 +a(g355 +V# +tp5403 +a(g355 +Vendif +p5404 +tp5405 +a(g355 +V\u000a +tp5406 +a(g355 +V# +tp5407 +a(g355 +Vif defined(Py_DEBUG) || defined(LLTRACE) +p5408 +tp5409 +a(g355 +V\u000a +tp5410 +a(g189 +V +tp5411 +a(g18 +Vfilename +p5412 +tp5413 +a(g189 +V +tp5414 +a(g344 +V= +tp5415 +a(g189 +V +tp5416 +a(g18 +VPyString_AsString +p5417 +tp5418 +a(g202 +V( +tp5419 +a(g18 +Vco +p5420 +tp5421 +a(g344 +V- +tp5422 +a(g344 +V> +tp5423 +a(g18 +Vco_filename +p5424 +tp5425 +a(g202 +V) +tp5426 +a(g202 +V; +tp5427 +a(g189 +V\u000a +tp5428 +a(g355 +V# +tp5429 +a(g355 +Vendif +p5430 +tp5431 +a(g355 +V\u000a +tp5432 +a(g189 +V\u000a +tp5433 +a(g189 +V +tp5434 +a(g18 +Vwhy +p5435 +tp5436 +a(g189 +V +tp5437 +a(g344 +V= +tp5438 +a(g189 +V +tp5439 +a(g18 +VWHY_NOT +p5440 +tp5441 +a(g202 +V; +tp5442 +a(g189 +V\u000a +tp5443 +a(g189 +V +tp5444 +a(g18 +Verr +p5445 +tp5446 +a(g189 +V +tp5447 +a(g344 +V= +tp5448 +a(g189 +V +tp5449 +a(g319 +V0 +tp5450 +a(g202 +V; +tp5451 +a(g189 +V\u000a +tp5452 +a(g189 +V +tp5453 +a(g18 +Vx +tp5454 +a(g189 +V +tp5455 +a(g344 +V= +tp5456 +a(g189 +V +tp5457 +a(g18 +VPy_None +p5458 +tp5459 +a(g202 +V; +tp5460 +a(g189 +V +tp5461 +a(g7 +V/* Not a reference, just anything non-NULL */ +p5462 +tp5463 +a(g189 +V\u000a +tp5464 +a(g189 +V +tp5465 +a(g18 +Vw +tp5466 +a(g189 +V +tp5467 +a(g344 +V= +tp5468 +a(g189 +V +tp5469 +a(g57 +VNULL +p5470 +tp5471 +a(g202 +V; +tp5472 +a(g189 +V\u000a +tp5473 +a(g189 +V\u000a +tp5474 +a(g189 +V +tp5475 +a(g111 +Vif +p5476 +tp5477 +a(g189 +V +tp5478 +a(g202 +V( +tp5479 +a(g18 +Vthrowflag +p5480 +tp5481 +a(g202 +V) +tp5482 +a(g189 +V +tp5483 +a(g202 +V{ +tp5484 +a(g189 +V +tp5485 +a(g7 +V/* support for generator.throw() */ +p5486 +tp5487 +a(g189 +V\u000a +tp5488 +a(g189 +V +p5489 +tp5490 +a(g18 +Vwhy +p5491 +tp5492 +a(g189 +V +tp5493 +a(g344 +V= +tp5494 +a(g189 +V +tp5495 +a(g18 +VWHY_EXCEPTION +p5496 +tp5497 +a(g202 +V; +tp5498 +a(g189 +V\u000a +tp5499 +a(g189 +V +p5500 +tp5501 +a(g111 +Vgoto +p5502 +tp5503 +a(g189 +V +tp5504 +a(g18 +Von_error +p5505 +tp5506 +a(g202 +V; +tp5507 +a(g189 +V\u000a +tp5508 +a(g189 +V +tp5509 +a(g202 +V} +tp5510 +a(g189 +V\u000a +tp5511 +a(g189 +V\u000a +tp5512 +a(g189 +V +tp5513 +a(g111 +Vfor +p5514 +tp5515 +a(g189 +V +tp5516 +a(g202 +V( +tp5517 +a(g202 +V; +tp5518 +a(g202 +V; +tp5519 +a(g202 +V) +tp5520 +a(g189 +V +tp5521 +a(g202 +V{ +tp5522 +a(g189 +V\u000a +tp5523 +a(g355 +V# +tp5524 +a(g355 +Vifdef WITH_TSC +p5525 +tp5526 +a(g355 +V\u000a +tp5527 +a(g189 +V +p5528 +tp5529 +a(g111 +Vif +p5530 +tp5531 +a(g189 +V +tp5532 +a(g202 +V( +tp5533 +a(g18 +Vinst1 +p5534 +tp5535 +a(g189 +V +tp5536 +a(g344 +V= +tp5537 +a(g344 +V= +tp5538 +a(g189 +V +tp5539 +a(g319 +V0 +tp5540 +a(g202 +V) +tp5541 +a(g189 +V +tp5542 +a(g202 +V{ +tp5543 +a(g189 +V\u000a +tp5544 +a(g189 +V +p5545 +tp5546 +a(g7 +V/* Almost surely, the opcode executed a break\u000a or a continue, preventing inst1 from being set\u000a on the way out of the loop.\u000a */ +p5547 +tp5548 +a(g189 +V\u000a +tp5549 +a(g189 +V +p5550 +tp5551 +a(g18 +VREAD_TIMESTAMP +p5552 +tp5553 +a(g202 +V( +tp5554 +a(g18 +Vinst1 +p5555 +tp5556 +a(g202 +V) +tp5557 +a(g202 +V; +tp5558 +a(g189 +V\u000a +tp5559 +a(g189 +V +p5560 +tp5561 +a(g18 +Vloop1 +p5562 +tp5563 +a(g189 +V +tp5564 +a(g344 +V= +tp5565 +a(g189 +V +tp5566 +a(g18 +Vinst1 +p5567 +tp5568 +a(g202 +V; +tp5569 +a(g189 +V\u000a +tp5570 +a(g189 +V +p5571 +tp5572 +a(g202 +V} +tp5573 +a(g189 +V\u000a +tp5574 +a(g189 +V +p5575 +tp5576 +a(g18 +Vdump_tsc +p5577 +tp5578 +a(g202 +V( +tp5579 +a(g18 +Vopcode +p5580 +tp5581 +a(g202 +V, +tp5582 +a(g189 +V +tp5583 +a(g18 +Vticked +p5584 +tp5585 +a(g202 +V, +tp5586 +a(g189 +V +tp5587 +a(g18 +Vinst0 +p5588 +tp5589 +a(g202 +V, +tp5590 +a(g189 +V +tp5591 +a(g18 +Vinst1 +p5592 +tp5593 +a(g202 +V, +tp5594 +a(g189 +V +tp5595 +a(g18 +Vloop0 +p5596 +tp5597 +a(g202 +V, +tp5598 +a(g189 +V +tp5599 +a(g18 +Vloop1 +p5600 +tp5601 +a(g202 +V, +tp5602 +a(g189 +V\u000a +tp5603 +a(g189 +V +p5604 +tp5605 +a(g18 +Vintr0 +p5606 +tp5607 +a(g202 +V, +tp5608 +a(g189 +V +tp5609 +a(g18 +Vintr1 +p5610 +tp5611 +a(g202 +V) +tp5612 +a(g202 +V; +tp5613 +a(g189 +V\u000a +tp5614 +a(g189 +V +p5615 +tp5616 +a(g18 +Vticked +p5617 +tp5618 +a(g189 +V +tp5619 +a(g344 +V= +tp5620 +a(g189 +V +tp5621 +a(g319 +V0 +tp5622 +a(g202 +V; +tp5623 +a(g189 +V\u000a +tp5624 +a(g189 +V +p5625 +tp5626 +a(g18 +Vinst1 +p5627 +tp5628 +a(g189 +V +tp5629 +a(g344 +V= +tp5630 +a(g189 +V +tp5631 +a(g319 +V0 +tp5632 +a(g202 +V; +tp5633 +a(g189 +V\u000a +tp5634 +a(g189 +V +p5635 +tp5636 +a(g18 +Vintr0 +p5637 +tp5638 +a(g189 +V +tp5639 +a(g344 +V= +tp5640 +a(g189 +V +tp5641 +a(g319 +V0 +tp5642 +a(g202 +V; +tp5643 +a(g189 +V\u000a +tp5644 +a(g189 +V +p5645 +tp5646 +a(g18 +Vintr1 +p5647 +tp5648 +a(g189 +V +tp5649 +a(g344 +V= +tp5650 +a(g189 +V +tp5651 +a(g319 +V0 +tp5652 +a(g202 +V; +tp5653 +a(g189 +V\u000a +tp5654 +a(g189 +V +p5655 +tp5656 +a(g18 +VREAD_TIMESTAMP +p5657 +tp5658 +a(g202 +V( +tp5659 +a(g18 +Vloop0 +p5660 +tp5661 +a(g202 +V) +tp5662 +a(g202 +V; +tp5663 +a(g189 +V\u000a +tp5664 +a(g355 +V# +tp5665 +a(g355 +Vendif +p5666 +tp5667 +a(g355 +V\u000a +tp5668 +a(g189 +V +p5669 +tp5670 +a(g18 +Vassert +p5671 +tp5672 +a(g202 +V( +tp5673 +a(g18 +Vstack_pointer +p5674 +tp5675 +a(g189 +V +tp5676 +a(g344 +V> +tp5677 +a(g344 +V= +tp5678 +a(g189 +V +tp5679 +a(g18 +Vf +tp5680 +a(g344 +V- +tp5681 +a(g344 +V> +tp5682 +a(g18 +Vf_valuestack +p5683 +tp5684 +a(g202 +V) +tp5685 +a(g202 +V; +tp5686 +a(g189 +V +tp5687 +a(g7 +V/* else underflow */ +p5688 +tp5689 +a(g189 +V\u000a +tp5690 +a(g189 +V +p5691 +tp5692 +a(g18 +Vassert +p5693 +tp5694 +a(g202 +V( +tp5695 +a(g18 +VSTACK_LEVEL +p5696 +tp5697 +a(g202 +V( +tp5698 +a(g202 +V) +tp5699 +a(g189 +V +tp5700 +a(g344 +V< +tp5701 +a(g344 +V= +tp5702 +a(g189 +V +tp5703 +a(g18 +Vco +p5704 +tp5705 +a(g344 +V- +tp5706 +a(g344 +V> +tp5707 +a(g18 +Vco_stacksize +p5708 +tp5709 +a(g202 +V) +tp5710 +a(g202 +V; +tp5711 +a(g189 +V +p5712 +tp5713 +a(g7 +V/* else overflow */ +p5714 +tp5715 +a(g189 +V\u000a +tp5716 +a(g189 +V\u000a +tp5717 +a(g189 +V +p5718 +tp5719 +a(g7 +V/* Do periodic things. Doing this every time through\u000a the loop would add too much overhead, so we do it\u000a only every Nth instruction. We also do it if\u000a ``things_to_do'' is set, i.e. when an asynchronous\u000a event needs attention (e.g. a signal handler or\u000a async I/O handler); see Py_AddPendingCall() and\u000a Py_MakePendingCalls() above. */ +p5720 +tp5721 +a(g189 +V\u000a +tp5722 +a(g189 +V\u000a +tp5723 +a(g189 +V +p5724 +tp5725 +a(g111 +Vif +p5726 +tp5727 +a(g189 +V +tp5728 +a(g202 +V( +tp5729 +a(g344 +V- +tp5730 +a(g344 +V- +tp5731 +a(g18 +V_Py_Ticker +p5732 +tp5733 +a(g189 +V +tp5734 +a(g344 +V< +tp5735 +a(g189 +V +tp5736 +a(g319 +V0 +tp5737 +a(g202 +V) +tp5738 +a(g189 +V +tp5739 +a(g202 +V{ +tp5740 +a(g189 +V\u000a +tp5741 +a(g189 +V +p5742 +tp5743 +a(g111 +Vif +p5744 +tp5745 +a(g189 +V +tp5746 +a(g202 +V( +tp5747 +a(g344 +V* +tp5748 +a(g18 +Vnext_instr +p5749 +tp5750 +a(g189 +V +tp5751 +a(g344 +V= +tp5752 +a(g344 +V= +tp5753 +a(g189 +V +tp5754 +a(g18 +VSETUP_FINALLY +p5755 +tp5756 +a(g202 +V) +tp5757 +a(g189 +V +tp5758 +a(g202 +V{ +tp5759 +a(g189 +V\u000a +tp5760 +a(g189 +V +p5761 +tp5762 +a(g7 +V/* Make the last opcode before\u000a a try: finally: block uninterruptable. */ +p5763 +tp5764 +a(g189 +V\u000a +tp5765 +a(g189 +V +p5766 +tp5767 +a(g111 +Vgoto +p5768 +tp5769 +a(g189 +V +tp5770 +a(g18 +Vfast_next_opcode +p5771 +tp5772 +a(g202 +V; +tp5773 +a(g189 +V\u000a +tp5774 +a(g189 +V +p5775 +tp5776 +a(g202 +V} +tp5777 +a(g189 +V\u000a +tp5778 +a(g189 +V +p5779 +tp5780 +a(g18 +V_Py_Ticker +p5781 +tp5782 +a(g189 +V +tp5783 +a(g344 +V= +tp5784 +a(g189 +V +tp5785 +a(g18 +V_Py_CheckInterval +p5786 +tp5787 +a(g202 +V; +tp5788 +a(g189 +V\u000a +tp5789 +a(g189 +V +p5790 +tp5791 +a(g18 +Vtstate +p5792 +tp5793 +a(g344 +V- +tp5794 +a(g344 +V> +tp5795 +a(g18 +Vtick_counter +p5796 +tp5797 +a(g344 +V+ +tp5798 +a(g344 +V+ +tp5799 +a(g202 +V; +tp5800 +a(g189 +V\u000a +tp5801 +a(g355 +V# +tp5802 +a(g355 +Vifdef WITH_TSC +p5803 +tp5804 +a(g355 +V\u000a +tp5805 +a(g189 +V +p5806 +tp5807 +a(g18 +Vticked +p5808 +tp5809 +a(g189 +V +tp5810 +a(g344 +V= +tp5811 +a(g189 +V +tp5812 +a(g319 +V1 +tp5813 +a(g202 +V; +tp5814 +a(g189 +V\u000a +tp5815 +a(g355 +V# +tp5816 +a(g355 +Vendif +p5817 +tp5818 +a(g355 +V\u000a +tp5819 +a(g189 +V +p5820 +tp5821 +a(g111 +Vif +p5822 +tp5823 +a(g189 +V +tp5824 +a(g202 +V( +tp5825 +a(g18 +Vthings_to_do +p5826 +tp5827 +a(g202 +V) +tp5828 +a(g189 +V +tp5829 +a(g202 +V{ +tp5830 +a(g189 +V\u000a +tp5831 +a(g189 +V +p5832 +tp5833 +a(g111 +Vif +p5834 +tp5835 +a(g189 +V +tp5836 +a(g202 +V( +tp5837 +a(g18 +VPy_MakePendingCalls +p5838 +tp5839 +a(g202 +V( +tp5840 +a(g202 +V) +tp5841 +a(g189 +V +tp5842 +a(g344 +V< +tp5843 +a(g189 +V +tp5844 +a(g319 +V0 +tp5845 +a(g202 +V) +tp5846 +a(g189 +V +tp5847 +a(g202 +V{ +tp5848 +a(g189 +V\u000a +tp5849 +a(g189 +V +p5850 +tp5851 +a(g18 +Vwhy +p5852 +tp5853 +a(g189 +V +tp5854 +a(g344 +V= +tp5855 +a(g189 +V +tp5856 +a(g18 +VWHY_EXCEPTION +p5857 +tp5858 +a(g202 +V; +tp5859 +a(g189 +V\u000a +tp5860 +a(g189 +V +p5861 +tp5862 +a(g111 +Vgoto +p5863 +tp5864 +a(g189 +V +tp5865 +a(g18 +Von_error +p5866 +tp5867 +a(g202 +V; +tp5868 +a(g189 +V\u000a +tp5869 +a(g189 +V +p5870 +tp5871 +a(g202 +V} +tp5872 +a(g189 +V\u000a +tp5873 +a(g189 +V +p5874 +tp5875 +a(g111 +Vif +p5876 +tp5877 +a(g189 +V +tp5878 +a(g202 +V( +tp5879 +a(g18 +Vthings_to_do +p5880 +tp5881 +a(g202 +V) +tp5882 +a(g189 +V\u000a +tp5883 +a(g189 +V +p5884 +tp5885 +a(g7 +V/* MakePendingCalls() didn't succeed.\u000a Force early re-execution of this\u000a "periodic" code, possibly after\u000a a thread switch */ +p5886 +tp5887 +a(g189 +V\u000a +tp5888 +a(g189 +V +p5889 +tp5890 +a(g18 +V_Py_Ticker +p5891 +tp5892 +a(g189 +V +tp5893 +a(g344 +V= +tp5894 +a(g189 +V +tp5895 +a(g319 +V0 +tp5896 +a(g202 +V; +tp5897 +a(g189 +V\u000a +tp5898 +a(g189 +V +p5899 +tp5900 +a(g202 +V} +tp5901 +a(g189 +V\u000a +tp5902 +a(g355 +V# +tp5903 +a(g355 +Vifdef WITH_THREAD +p5904 +tp5905 +a(g355 +V\u000a +tp5906 +a(g189 +V +p5907 +tp5908 +a(g111 +Vif +p5909 +tp5910 +a(g189 +V +tp5911 +a(g202 +V( +tp5912 +a(g18 +Vinterpreter_lock +p5913 +tp5914 +a(g202 +V) +tp5915 +a(g189 +V +tp5916 +a(g202 +V{ +tp5917 +a(g189 +V\u000a +tp5918 +a(g189 +V +p5919 +tp5920 +a(g7 +V/* Give another thread a chance */ +p5921 +tp5922 +a(g189 +V\u000a +tp5923 +a(g189 +V\u000a +tp5924 +a(g189 +V +p5925 +tp5926 +a(g111 +Vif +p5927 +tp5928 +a(g189 +V +tp5929 +a(g202 +V( +tp5930 +a(g18 +VPyThreadState_Swap +p5931 +tp5932 +a(g202 +V( +tp5933 +a(g57 +VNULL +p5934 +tp5935 +a(g202 +V) +tp5936 +a(g189 +V +tp5937 +a(g344 +V! +tp5938 +a(g344 +V= +tp5939 +a(g189 +V +tp5940 +a(g18 +Vtstate +p5941 +tp5942 +a(g202 +V) +tp5943 +a(g189 +V\u000a +tp5944 +a(g189 +V +p5945 +tp5946 +a(g18 +VPy_FatalError +p5947 +tp5948 +a(g202 +V( +tp5949 +a(g226 +V" +tp5950 +a(g226 +Vceval: tstate mix-up +p5951 +tp5952 +a(g226 +V" +tp5953 +a(g202 +V) +tp5954 +a(g202 +V; +tp5955 +a(g189 +V\u000a +tp5956 +a(g189 +V +p5957 +tp5958 +a(g18 +VPyThread_release_lock +p5959 +tp5960 +a(g202 +V( +tp5961 +a(g18 +Vinterpreter_lock +p5962 +tp5963 +a(g202 +V) +tp5964 +a(g202 +V; +tp5965 +a(g189 +V\u000a +tp5966 +a(g189 +V\u000a +tp5967 +a(g189 +V +p5968 +tp5969 +a(g7 +V/* Other threads may run now */ +p5970 +tp5971 +a(g189 +V\u000a +tp5972 +a(g189 +V\u000a +tp5973 +a(g189 +V +p5974 +tp5975 +a(g18 +VPyThread_acquire_lock +p5976 +tp5977 +a(g202 +V( +tp5978 +a(g18 +Vinterpreter_lock +p5979 +tp5980 +a(g202 +V, +tp5981 +a(g189 +V +tp5982 +a(g319 +V1 +tp5983 +a(g202 +V) +tp5984 +a(g202 +V; +tp5985 +a(g189 +V\u000a +tp5986 +a(g189 +V +p5987 +tp5988 +a(g111 +Vif +p5989 +tp5990 +a(g189 +V +tp5991 +a(g202 +V( +tp5992 +a(g18 +VPyThreadState_Swap +p5993 +tp5994 +a(g202 +V( +tp5995 +a(g18 +Vtstate +p5996 +tp5997 +a(g202 +V) +tp5998 +a(g189 +V +tp5999 +a(g344 +V! +tp6000 +a(g344 +V= +tp6001 +a(g189 +V +tp6002 +a(g57 +VNULL +p6003 +tp6004 +a(g202 +V) +tp6005 +a(g189 +V\u000a +tp6006 +a(g189 +V +p6007 +tp6008 +a(g18 +VPy_FatalError +p6009 +tp6010 +a(g202 +V( +tp6011 +a(g226 +V" +tp6012 +a(g226 +Vceval: orphan tstate +p6013 +tp6014 +a(g226 +V" +tp6015 +a(g202 +V) +tp6016 +a(g202 +V; +tp6017 +a(g189 +V\u000a +tp6018 +a(g189 +V\u000a +tp6019 +a(g189 +V +p6020 +tp6021 +a(g7 +V/* Check for thread interrupts */ +p6022 +tp6023 +a(g189 +V\u000a +tp6024 +a(g189 +V\u000a +tp6025 +a(g189 +V +p6026 +tp6027 +a(g111 +Vif +p6028 +tp6029 +a(g189 +V +tp6030 +a(g202 +V( +tp6031 +a(g18 +Vtstate +p6032 +tp6033 +a(g344 +V- +tp6034 +a(g344 +V> +tp6035 +a(g18 +Vasync_exc +p6036 +tp6037 +a(g189 +V +tp6038 +a(g344 +V! +tp6039 +a(g344 +V= +tp6040 +a(g189 +V +tp6041 +a(g57 +VNULL +p6042 +tp6043 +a(g202 +V) +tp6044 +a(g189 +V +tp6045 +a(g202 +V{ +tp6046 +a(g189 +V\u000a +tp6047 +a(g189 +V +p6048 +tp6049 +a(g18 +Vx +tp6050 +a(g189 +V +tp6051 +a(g344 +V= +tp6052 +a(g189 +V +tp6053 +a(g18 +Vtstate +p6054 +tp6055 +a(g344 +V- +tp6056 +a(g344 +V> +tp6057 +a(g18 +Vasync_exc +p6058 +tp6059 +a(g202 +V; +tp6060 +a(g189 +V\u000a +tp6061 +a(g189 +V +p6062 +tp6063 +a(g18 +Vtstate +p6064 +tp6065 +a(g344 +V- +tp6066 +a(g344 +V> +tp6067 +a(g18 +Vasync_exc +p6068 +tp6069 +a(g189 +V +tp6070 +a(g344 +V= +tp6071 +a(g189 +V +tp6072 +a(g57 +VNULL +p6073 +tp6074 +a(g202 +V; +tp6075 +a(g189 +V\u000a +tp6076 +a(g189 +V +p6077 +tp6078 +a(g18 +VPyErr_SetNone +p6079 +tp6080 +a(g202 +V( +tp6081 +a(g18 +Vx +tp6082 +a(g202 +V) +tp6083 +a(g202 +V; +tp6084 +a(g189 +V\u000a +tp6085 +a(g189 +V +p6086 +tp6087 +a(g18 +VPy_DECREF +p6088 +tp6089 +a(g202 +V( +tp6090 +a(g18 +Vx +tp6091 +a(g202 +V) +tp6092 +a(g202 +V; +tp6093 +a(g189 +V\u000a +tp6094 +a(g189 +V +p6095 +tp6096 +a(g18 +Vwhy +p6097 +tp6098 +a(g189 +V +tp6099 +a(g344 +V= +tp6100 +a(g189 +V +tp6101 +a(g18 +VWHY_EXCEPTION +p6102 +tp6103 +a(g202 +V; +tp6104 +a(g189 +V\u000a +tp6105 +a(g189 +V +p6106 +tp6107 +a(g111 +Vgoto +p6108 +tp6109 +a(g189 +V +tp6110 +a(g18 +Von_error +p6111 +tp6112 +a(g202 +V; +tp6113 +a(g189 +V\u000a +tp6114 +a(g189 +V +p6115 +tp6116 +a(g202 +V} +tp6117 +a(g189 +V\u000a +tp6118 +a(g189 +V +p6119 +tp6120 +a(g202 +V} +tp6121 +a(g189 +V\u000a +tp6122 +a(g355 +V# +tp6123 +a(g355 +Vendif +p6124 +tp6125 +a(g355 +V\u000a +tp6126 +a(g189 +V +p6127 +tp6128 +a(g202 +V} +tp6129 +a(g189 +V\u000a +tp6130 +a(g189 +V\u000a +p6131 +tp6132 +a(g45 +Vfast_next_opcode: +p6133 +tp6134 +a(g189 +V\u000a +tp6135 +a(g189 +V +p6136 +tp6137 +a(g18 +Vf +tp6138 +a(g344 +V- +tp6139 +a(g344 +V> +tp6140 +a(g18 +Vf_lasti +p6141 +tp6142 +a(g189 +V +tp6143 +a(g344 +V= +tp6144 +a(g189 +V +tp6145 +a(g18 +VINSTR_OFFSET +p6146 +tp6147 +a(g202 +V( +tp6148 +a(g202 +V) +tp6149 +a(g202 +V; +tp6150 +a(g189 +V\u000a +tp6151 +a(g189 +V\u000a +tp6152 +a(g189 +V +p6153 +tp6154 +a(g7 +V/* line-by-line tracing support */ +p6155 +tp6156 +a(g189 +V\u000a +tp6157 +a(g189 +V\u000a +tp6158 +a(g189 +V +p6159 +tp6160 +a(g111 +Vif +p6161 +tp6162 +a(g189 +V +tp6163 +a(g202 +V( +tp6164 +a(g18 +Vtstate +p6165 +tp6166 +a(g344 +V- +tp6167 +a(g344 +V> +tp6168 +a(g18 +Vc_tracefunc +p6169 +tp6170 +a(g189 +V +tp6171 +a(g344 +V! +tp6172 +a(g344 +V= +tp6173 +a(g189 +V +tp6174 +a(g57 +VNULL +p6175 +tp6176 +a(g189 +V +tp6177 +a(g344 +V& +tp6178 +a(g344 +V& +tp6179 +a(g189 +V +tp6180 +a(g344 +V! +tp6181 +a(g18 +Vtstate +p6182 +tp6183 +a(g344 +V- +tp6184 +a(g344 +V> +tp6185 +a(g18 +Vtracing +p6186 +tp6187 +a(g202 +V) +tp6188 +a(g189 +V +tp6189 +a(g202 +V{ +tp6190 +a(g189 +V\u000a +tp6191 +a(g189 +V +p6192 +tp6193 +a(g7 +V/* see maybe_call_line_trace\u000a for expository comments */ +p6194 +tp6195 +a(g189 +V\u000a +tp6196 +a(g189 +V +p6197 +tp6198 +a(g18 +Vf +tp6199 +a(g344 +V- +tp6200 +a(g344 +V> +tp6201 +a(g18 +Vf_stacktop +p6202 +tp6203 +a(g189 +V +tp6204 +a(g344 +V= +tp6205 +a(g189 +V +tp6206 +a(g18 +Vstack_pointer +p6207 +tp6208 +a(g202 +V; +tp6209 +a(g189 +V\u000a +tp6210 +a(g189 +V\u000a +tp6211 +a(g189 +V +p6212 +tp6213 +a(g18 +Verr +p6214 +tp6215 +a(g189 +V +tp6216 +a(g344 +V= +tp6217 +a(g189 +V +tp6218 +a(g18 +Vmaybe_call_line_trace +p6219 +tp6220 +a(g202 +V( +tp6221 +a(g18 +Vtstate +p6222 +tp6223 +a(g344 +V- +tp6224 +a(g344 +V> +tp6225 +a(g18 +Vc_tracefunc +p6226 +tp6227 +a(g202 +V, +tp6228 +a(g189 +V\u000a +tp6229 +a(g189 +V +p6230 +tp6231 +a(g18 +Vtstate +p6232 +tp6233 +a(g344 +V- +tp6234 +a(g344 +V> +tp6235 +a(g18 +Vc_traceobj +p6236 +tp6237 +a(g202 +V, +tp6238 +a(g189 +V\u000a +tp6239 +a(g189 +V +p6240 +tp6241 +a(g18 +Vf +tp6242 +a(g202 +V, +tp6243 +a(g189 +V +tp6244 +a(g344 +V& +tp6245 +a(g18 +Vinstr_lb +p6246 +tp6247 +a(g202 +V, +tp6248 +a(g189 +V +tp6249 +a(g344 +V& +tp6250 +a(g18 +Vinstr_ub +p6251 +tp6252 +a(g202 +V, +tp6253 +a(g189 +V\u000a +tp6254 +a(g189 +V +p6255 +tp6256 +a(g344 +V& +tp6257 +a(g18 +Vinstr_prev +p6258 +tp6259 +a(g202 +V) +tp6260 +a(g202 +V; +tp6261 +a(g189 +V\u000a +tp6262 +a(g189 +V +p6263 +tp6264 +a(g7 +V/* Reload possibly changed frame fields */ +p6265 +tp6266 +a(g189 +V\u000a +tp6267 +a(g189 +V +p6268 +tp6269 +a(g18 +VJUMPTO +p6270 +tp6271 +a(g202 +V( +tp6272 +a(g18 +Vf +tp6273 +a(g344 +V- +tp6274 +a(g344 +V> +tp6275 +a(g18 +Vf_lasti +p6276 +tp6277 +a(g202 +V) +tp6278 +a(g202 +V; +tp6279 +a(g189 +V\u000a +tp6280 +a(g189 +V +p6281 +tp6282 +a(g111 +Vif +p6283 +tp6284 +a(g189 +V +tp6285 +a(g202 +V( +tp6286 +a(g18 +Vf +tp6287 +a(g344 +V- +tp6288 +a(g344 +V> +tp6289 +a(g18 +Vf_stacktop +p6290 +tp6291 +a(g189 +V +tp6292 +a(g344 +V! +tp6293 +a(g344 +V= +tp6294 +a(g189 +V +tp6295 +a(g57 +VNULL +p6296 +tp6297 +a(g202 +V) +tp6298 +a(g189 +V +tp6299 +a(g202 +V{ +tp6300 +a(g189 +V\u000a +tp6301 +a(g189 +V +p6302 +tp6303 +a(g18 +Vstack_pointer +p6304 +tp6305 +a(g189 +V +tp6306 +a(g344 +V= +tp6307 +a(g189 +V +tp6308 +a(g18 +Vf +tp6309 +a(g344 +V- +tp6310 +a(g344 +V> +tp6311 +a(g18 +Vf_stacktop +p6312 +tp6313 +a(g202 +V; +tp6314 +a(g189 +V\u000a +tp6315 +a(g189 +V +p6316 +tp6317 +a(g18 +Vf +tp6318 +a(g344 +V- +tp6319 +a(g344 +V> +tp6320 +a(g18 +Vf_stacktop +p6321 +tp6322 +a(g189 +V +tp6323 +a(g344 +V= +tp6324 +a(g189 +V +tp6325 +a(g57 +VNULL +p6326 +tp6327 +a(g202 +V; +tp6328 +a(g189 +V\u000a +tp6329 +a(g189 +V +p6330 +tp6331 +a(g202 +V} +tp6332 +a(g189 +V\u000a +tp6333 +a(g189 +V +p6334 +tp6335 +a(g111 +Vif +p6336 +tp6337 +a(g189 +V +tp6338 +a(g202 +V( +tp6339 +a(g18 +Verr +p6340 +tp6341 +a(g202 +V) +tp6342 +a(g189 +V +tp6343 +a(g202 +V{ +tp6344 +a(g189 +V\u000a +tp6345 +a(g189 +V +p6346 +tp6347 +a(g7 +V/* trace function raised an exception */ +p6348 +tp6349 +a(g189 +V\u000a +tp6350 +a(g189 +V +p6351 +tp6352 +a(g111 +Vgoto +p6353 +tp6354 +a(g189 +V +tp6355 +a(g18 +Von_error +p6356 +tp6357 +a(g202 +V; +tp6358 +a(g189 +V\u000a +tp6359 +a(g189 +V +p6360 +tp6361 +a(g202 +V} +tp6362 +a(g189 +V\u000a +tp6363 +a(g189 +V +p6364 +tp6365 +a(g202 +V} +tp6366 +a(g189 +V\u000a +tp6367 +a(g189 +V\u000a +tp6368 +a(g189 +V +p6369 +tp6370 +a(g7 +V/* Extract opcode and argument */ +p6371 +tp6372 +a(g189 +V\u000a +tp6373 +a(g189 +V\u000a +tp6374 +a(g189 +V +p6375 +tp6376 +a(g18 +Vopcode +p6377 +tp6378 +a(g189 +V +tp6379 +a(g344 +V= +tp6380 +a(g189 +V +tp6381 +a(g18 +VNEXTOP +p6382 +tp6383 +a(g202 +V( +tp6384 +a(g202 +V) +tp6385 +a(g202 +V; +tp6386 +a(g189 +V\u000a +tp6387 +a(g189 +V +p6388 +tp6389 +a(g18 +Voparg +p6390 +tp6391 +a(g189 +V +tp6392 +a(g344 +V= +tp6393 +a(g189 +V +tp6394 +a(g319 +V0 +tp6395 +a(g202 +V; +tp6396 +a(g189 +V +p6397 +tp6398 +a(g7 +V/* allows oparg to be stored in a register because\u000a it doesn't have to be remembered across a full loop */ +p6399 +tp6400 +a(g189 +V\u000a +tp6401 +a(g189 +V +p6402 +tp6403 +a(g111 +Vif +p6404 +tp6405 +a(g189 +V +tp6406 +a(g202 +V( +tp6407 +a(g18 +VHAS_ARG +p6408 +tp6409 +a(g202 +V( +tp6410 +a(g18 +Vopcode +p6411 +tp6412 +a(g202 +V) +tp6413 +a(g202 +V) +tp6414 +a(g189 +V\u000a +tp6415 +a(g189 +V +p6416 +tp6417 +a(g18 +Voparg +p6418 +tp6419 +a(g189 +V +tp6420 +a(g344 +V= +tp6421 +a(g189 +V +tp6422 +a(g18 +VNEXTARG +p6423 +tp6424 +a(g202 +V( +tp6425 +a(g202 +V) +tp6426 +a(g202 +V; +tp6427 +a(g189 +V\u000a +tp6428 +a(g189 +V +p6429 +tp6430 +a(g45 +Vdispatch_opcode: +p6431 +tp6432 +a(g189 +V\u000a +tp6433 +a(g355 +V# +tp6434 +a(g355 +Vifdef DYNAMIC_EXECUTION_PROFILE +p6435 +tp6436 +a(g355 +V\u000a +tp6437 +a(g355 +V# +tp6438 +a(g355 +Vifdef DXPAIRS +p6439 +tp6440 +a(g355 +V\u000a +tp6441 +a(g189 +V +p6442 +tp6443 +a(g18 +Vdxpairs +p6444 +tp6445 +a(g202 +V[ +tp6446 +a(g18 +Vlastopcode +p6447 +tp6448 +a(g202 +V] +tp6449 +a(g202 +V[ +tp6450 +a(g18 +Vopcode +p6451 +tp6452 +a(g202 +V] +tp6453 +a(g344 +V+ +tp6454 +a(g344 +V+ +tp6455 +a(g202 +V; +tp6456 +a(g189 +V\u000a +tp6457 +a(g189 +V +p6458 +tp6459 +a(g18 +Vlastopcode +p6460 +tp6461 +a(g189 +V +tp6462 +a(g344 +V= +tp6463 +a(g189 +V +tp6464 +a(g18 +Vopcode +p6465 +tp6466 +a(g202 +V; +tp6467 +a(g189 +V\u000a +tp6468 +a(g355 +V# +tp6469 +a(g355 +Vendif +p6470 +tp6471 +a(g355 +V\u000a +tp6472 +a(g189 +V +p6473 +tp6474 +a(g18 +Vdxp +p6475 +tp6476 +a(g202 +V[ +tp6477 +a(g18 +Vopcode +p6478 +tp6479 +a(g202 +V] +tp6480 +a(g344 +V+ +tp6481 +a(g344 +V+ +tp6482 +a(g202 +V; +tp6483 +a(g189 +V\u000a +tp6484 +a(g355 +V# +tp6485 +a(g355 +Vendif +p6486 +tp6487 +a(g355 +V\u000a +tp6488 +a(g355 +V\u000a# +p6489 +tp6490 +a(g355 +Vifdef LLTRACE +p6491 +tp6492 +a(g355 +V\u000a +tp6493 +a(g189 +V +p6494 +tp6495 +a(g7 +V/* Instruction tracing */ +p6496 +tp6497 +a(g189 +V\u000a +tp6498 +a(g189 +V\u000a +tp6499 +a(g189 +V +p6500 +tp6501 +a(g111 +Vif +p6502 +tp6503 +a(g189 +V +tp6504 +a(g202 +V( +tp6505 +a(g18 +Vlltrace +p6506 +tp6507 +a(g202 +V) +tp6508 +a(g189 +V +tp6509 +a(g202 +V{ +tp6510 +a(g189 +V\u000a +tp6511 +a(g189 +V +p6512 +tp6513 +a(g111 +Vif +p6514 +tp6515 +a(g189 +V +tp6516 +a(g202 +V( +tp6517 +a(g18 +VHAS_ARG +p6518 +tp6519 +a(g202 +V( +tp6520 +a(g18 +Vopcode +p6521 +tp6522 +a(g202 +V) +tp6523 +a(g202 +V) +tp6524 +a(g189 +V +tp6525 +a(g202 +V{ +tp6526 +a(g189 +V\u000a +tp6527 +a(g189 +V +p6528 +tp6529 +a(g18 +Vprintf +p6530 +tp6531 +a(g202 +V( +tp6532 +a(g226 +V" +tp6533 +a(g226 +V%d: %d, %d +p6534 +tp6535 +a(g252 +V\u005cn +p6536 +tp6537 +a(g226 +V" +tp6538 +a(g202 +V, +tp6539 +a(g189 +V\u000a +tp6540 +a(g189 +V +p6541 +tp6542 +a(g18 +Vf +tp6543 +a(g344 +V- +tp6544 +a(g344 +V> +tp6545 +a(g18 +Vf_lasti +p6546 +tp6547 +a(g202 +V, +tp6548 +a(g189 +V +tp6549 +a(g18 +Vopcode +p6550 +tp6551 +a(g202 +V, +tp6552 +a(g189 +V +tp6553 +a(g18 +Voparg +p6554 +tp6555 +a(g202 +V) +tp6556 +a(g202 +V; +tp6557 +a(g189 +V\u000a +tp6558 +a(g189 +V +p6559 +tp6560 +a(g202 +V} +tp6561 +a(g189 +V\u000a +tp6562 +a(g189 +V +p6563 +tp6564 +a(g111 +Velse +p6565 +tp6566 +a(g189 +V +tp6567 +a(g202 +V{ +tp6568 +a(g189 +V\u000a +tp6569 +a(g189 +V +p6570 +tp6571 +a(g18 +Vprintf +p6572 +tp6573 +a(g202 +V( +tp6574 +a(g226 +V" +tp6575 +a(g226 +V%d: %d +p6576 +tp6577 +a(g252 +V\u005cn +p6578 +tp6579 +a(g226 +V" +tp6580 +a(g202 +V, +tp6581 +a(g189 +V\u000a +tp6582 +a(g189 +V +p6583 +tp6584 +a(g18 +Vf +tp6585 +a(g344 +V- +tp6586 +a(g344 +V> +tp6587 +a(g18 +Vf_lasti +p6588 +tp6589 +a(g202 +V, +tp6590 +a(g189 +V +tp6591 +a(g18 +Vopcode +p6592 +tp6593 +a(g202 +V) +tp6594 +a(g202 +V; +tp6595 +a(g189 +V\u000a +tp6596 +a(g189 +V +p6597 +tp6598 +a(g202 +V} +tp6599 +a(g189 +V\u000a +tp6600 +a(g189 +V +p6601 +tp6602 +a(g202 +V} +tp6603 +a(g189 +V\u000a +tp6604 +a(g355 +V# +tp6605 +a(g355 +Vendif +p6606 +tp6607 +a(g355 +V\u000a +tp6608 +a(g189 +V\u000a +tp6609 +a(g189 +V +p6610 +tp6611 +a(g7 +V/* Main switch on opcode */ +p6612 +tp6613 +a(g189 +V\u000a +tp6614 +a(g189 +V +p6615 +tp6616 +a(g18 +VREAD_TIMESTAMP +p6617 +tp6618 +a(g202 +V( +tp6619 +a(g18 +Vinst0 +p6620 +tp6621 +a(g202 +V) +tp6622 +a(g202 +V; +tp6623 +a(g189 +V\u000a +tp6624 +a(g189 +V\u000a +tp6625 +a(g189 +V +p6626 +tp6627 +a(g111 +Vswitch +p6628 +tp6629 +a(g189 +V +tp6630 +a(g202 +V( +tp6631 +a(g18 +Vopcode +p6632 +tp6633 +a(g202 +V) +tp6634 +a(g189 +V +tp6635 +a(g202 +V{ +tp6636 +a(g189 +V\u000a +tp6637 +a(g189 +V\u000a +tp6638 +a(g189 +V +p6639 +tp6640 +a(g7 +V/* BEWARE!\u000a It is essential that any operation that fails sets either\u000a x to NULL, err to nonzero, or why to anything but WHY_NOT,\u000a and that no operation that succeeds does this! */ +p6641 +tp6642 +a(g189 +V\u000a +tp6643 +a(g189 +V\u000a +tp6644 +a(g189 +V +p6645 +tp6646 +a(g7 +V/* case STOP_CODE: this is an error! */ +p6647 +tp6648 +a(g189 +V\u000a +tp6649 +a(g189 +V\u000a +tp6650 +a(g189 +V +p6651 +tp6652 +a(g111 +Vcase +p6653 +tp6654 +a(g189 +V +tp6655 +a(g189 +V +tp6656 +a(g18 +VNOP +p6657 +tp6658 +a(g189 +V: +tp6659 +a(g189 +V\u000a +tp6660 +a(g189 +V +p6661 +tp6662 +a(g111 +Vgoto +p6663 +tp6664 +a(g189 +V +tp6665 +a(g18 +Vfast_next_opcode +p6666 +tp6667 +a(g202 +V; +tp6668 +a(g189 +V\u000a +tp6669 +a(g189 +V\u000a +tp6670 +a(g189 +V +p6671 +tp6672 +a(g111 +Vcase +p6673 +tp6674 +a(g189 +V +tp6675 +a(g189 +V +tp6676 +a(g18 +VLOAD_FAST +p6677 +tp6678 +a(g189 +V: +tp6679 +a(g189 +V\u000a +tp6680 +a(g189 +V +p6681 +tp6682 +a(g18 +Vx +tp6683 +a(g189 +V +tp6684 +a(g344 +V= +tp6685 +a(g189 +V +tp6686 +a(g18 +VGETLOCAL +p6687 +tp6688 +a(g202 +V( +tp6689 +a(g18 +Voparg +p6690 +tp6691 +a(g202 +V) +tp6692 +a(g202 +V; +tp6693 +a(g189 +V\u000a +tp6694 +a(g189 +V +p6695 +tp6696 +a(g111 +Vif +p6697 +tp6698 +a(g189 +V +tp6699 +a(g202 +V( +tp6700 +a(g18 +Vx +tp6701 +a(g189 +V +tp6702 +a(g344 +V! +tp6703 +a(g344 +V= +tp6704 +a(g189 +V +tp6705 +a(g57 +VNULL +p6706 +tp6707 +a(g202 +V) +tp6708 +a(g189 +V +tp6709 +a(g202 +V{ +tp6710 +a(g189 +V\u000a +tp6711 +a(g189 +V +p6712 +tp6713 +a(g18 +VPy_INCREF +p6714 +tp6715 +a(g202 +V( +tp6716 +a(g18 +Vx +tp6717 +a(g202 +V) +tp6718 +a(g202 +V; +tp6719 +a(g189 +V\u000a +tp6720 +a(g189 +V +p6721 +tp6722 +a(g18 +VPUSH +p6723 +tp6724 +a(g202 +V( +tp6725 +a(g18 +Vx +tp6726 +a(g202 +V) +tp6727 +a(g202 +V; +tp6728 +a(g189 +V\u000a +tp6729 +a(g189 +V +p6730 +tp6731 +a(g111 +Vgoto +p6732 +tp6733 +a(g189 +V +tp6734 +a(g18 +Vfast_next_opcode +p6735 +tp6736 +a(g202 +V; +tp6737 +a(g189 +V\u000a +tp6738 +a(g189 +V +p6739 +tp6740 +a(g202 +V} +tp6741 +a(g189 +V\u000a +tp6742 +a(g189 +V +p6743 +tp6744 +a(g18 +Vformat_exc_check_arg +p6745 +tp6746 +a(g202 +V( +tp6747 +a(g18 +VPyExc_UnboundLocalError +p6748 +tp6749 +a(g202 +V, +tp6750 +a(g189 +V\u000a +tp6751 +a(g189 +V +p6752 +tp6753 +a(g18 +VUNBOUNDLOCAL_ERROR_MSG +p6754 +tp6755 +a(g202 +V, +tp6756 +a(g189 +V\u000a +tp6757 +a(g189 +V +p6758 +tp6759 +a(g18 +VPyTuple_GetItem +p6760 +tp6761 +a(g202 +V( +tp6762 +a(g18 +Vco +p6763 +tp6764 +a(g344 +V- +tp6765 +a(g344 +V> +tp6766 +a(g18 +Vco_varnames +p6767 +tp6768 +a(g202 +V, +tp6769 +a(g189 +V +tp6770 +a(g18 +Voparg +p6771 +tp6772 +a(g202 +V) +tp6773 +a(g202 +V) +tp6774 +a(g202 +V; +tp6775 +a(g189 +V\u000a +tp6776 +a(g189 +V +p6777 +tp6778 +a(g111 +Vbreak +p6779 +tp6780 +a(g202 +V; +tp6781 +a(g189 +V\u000a +tp6782 +a(g189 +V\u000a +tp6783 +a(g189 +V +p6784 +tp6785 +a(g111 +Vcase +p6786 +tp6787 +a(g189 +V +tp6788 +a(g189 +V +tp6789 +a(g18 +VLOAD_CONST +p6790 +tp6791 +a(g189 +V: +tp6792 +a(g189 +V\u000a +tp6793 +a(g189 +V +p6794 +tp6795 +a(g18 +Vx +tp6796 +a(g189 +V +tp6797 +a(g344 +V= +tp6798 +a(g189 +V +tp6799 +a(g18 +VGETITEM +p6800 +tp6801 +a(g202 +V( +tp6802 +a(g18 +Vconsts +p6803 +tp6804 +a(g202 +V, +tp6805 +a(g189 +V +tp6806 +a(g18 +Voparg +p6807 +tp6808 +a(g202 +V) +tp6809 +a(g202 +V; +tp6810 +a(g189 +V\u000a +tp6811 +a(g189 +V +p6812 +tp6813 +a(g18 +VPy_INCREF +p6814 +tp6815 +a(g202 +V( +tp6816 +a(g18 +Vx +tp6817 +a(g202 +V) +tp6818 +a(g202 +V; +tp6819 +a(g189 +V\u000a +tp6820 +a(g189 +V +p6821 +tp6822 +a(g18 +VPUSH +p6823 +tp6824 +a(g202 +V( +tp6825 +a(g18 +Vx +tp6826 +a(g202 +V) +tp6827 +a(g202 +V; +tp6828 +a(g189 +V\u000a +tp6829 +a(g189 +V +p6830 +tp6831 +a(g111 +Vgoto +p6832 +tp6833 +a(g189 +V +tp6834 +a(g18 +Vfast_next_opcode +p6835 +tp6836 +a(g202 +V; +tp6837 +a(g189 +V\u000a +tp6838 +a(g189 +V\u000a +tp6839 +a(g189 +V +p6840 +tp6841 +a(g18 +VPREDICTED_WITH_ARG +p6842 +tp6843 +a(g202 +V( +tp6844 +a(g18 +VSTORE_FAST +p6845 +tp6846 +a(g202 +V) +tp6847 +a(g202 +V; +tp6848 +a(g189 +V\u000a +tp6849 +a(g189 +V +p6850 +tp6851 +a(g111 +Vcase +p6852 +tp6853 +a(g189 +V +tp6854 +a(g189 +V +tp6855 +a(g18 +VSTORE_FAST +p6856 +tp6857 +a(g189 +V: +tp6858 +a(g189 +V\u000a +tp6859 +a(g189 +V +p6860 +tp6861 +a(g18 +Vv +tp6862 +a(g189 +V +tp6863 +a(g344 +V= +tp6864 +a(g189 +V +tp6865 +a(g18 +VPOP +p6866 +tp6867 +a(g202 +V( +tp6868 +a(g202 +V) +tp6869 +a(g202 +V; +tp6870 +a(g189 +V\u000a +tp6871 +a(g189 +V +p6872 +tp6873 +a(g18 +VSETLOCAL +p6874 +tp6875 +a(g202 +V( +tp6876 +a(g18 +Voparg +p6877 +tp6878 +a(g202 +V, +tp6879 +a(g189 +V +tp6880 +a(g18 +Vv +tp6881 +a(g202 +V) +tp6882 +a(g202 +V; +tp6883 +a(g189 +V\u000a +tp6884 +a(g189 +V +p6885 +tp6886 +a(g111 +Vgoto +p6887 +tp6888 +a(g189 +V +tp6889 +a(g18 +Vfast_next_opcode +p6890 +tp6891 +a(g202 +V; +tp6892 +a(g189 +V\u000a +tp6893 +a(g189 +V\u000a +tp6894 +a(g189 +V +p6895 +tp6896 +a(g18 +VPREDICTED +p6897 +tp6898 +a(g202 +V( +tp6899 +a(g18 +VPOP_TOP +p6900 +tp6901 +a(g202 +V) +tp6902 +a(g202 +V; +tp6903 +a(g189 +V\u000a +tp6904 +a(g189 +V +p6905 +tp6906 +a(g111 +Vcase +p6907 +tp6908 +a(g189 +V +tp6909 +a(g189 +V +tp6910 +a(g18 +VPOP_TOP +p6911 +tp6912 +a(g189 +V: +tp6913 +a(g189 +V\u000a +tp6914 +a(g189 +V +p6915 +tp6916 +a(g18 +Vv +tp6917 +a(g189 +V +tp6918 +a(g344 +V= +tp6919 +a(g189 +V +tp6920 +a(g18 +VPOP +p6921 +tp6922 +a(g202 +V( +tp6923 +a(g202 +V) +tp6924 +a(g202 +V; +tp6925 +a(g189 +V\u000a +tp6926 +a(g189 +V +p6927 +tp6928 +a(g18 +VPy_DECREF +p6929 +tp6930 +a(g202 +V( +tp6931 +a(g18 +Vv +tp6932 +a(g202 +V) +tp6933 +a(g202 +V; +tp6934 +a(g189 +V\u000a +tp6935 +a(g189 +V +p6936 +tp6937 +a(g111 +Vgoto +p6938 +tp6939 +a(g189 +V +tp6940 +a(g18 +Vfast_next_opcode +p6941 +tp6942 +a(g202 +V; +tp6943 +a(g189 +V\u000a +tp6944 +a(g189 +V\u000a +tp6945 +a(g189 +V +p6946 +tp6947 +a(g111 +Vcase +p6948 +tp6949 +a(g189 +V +tp6950 +a(g189 +V +tp6951 +a(g18 +VROT_TWO +p6952 +tp6953 +a(g189 +V: +tp6954 +a(g189 +V\u000a +tp6955 +a(g189 +V +p6956 +tp6957 +a(g18 +Vv +tp6958 +a(g189 +V +tp6959 +a(g344 +V= +tp6960 +a(g189 +V +tp6961 +a(g18 +VTOP +p6962 +tp6963 +a(g202 +V( +tp6964 +a(g202 +V) +tp6965 +a(g202 +V; +tp6966 +a(g189 +V\u000a +tp6967 +a(g189 +V +p6968 +tp6969 +a(g18 +Vw +tp6970 +a(g189 +V +tp6971 +a(g344 +V= +tp6972 +a(g189 +V +tp6973 +a(g18 +VSECOND +p6974 +tp6975 +a(g202 +V( +tp6976 +a(g202 +V) +tp6977 +a(g202 +V; +tp6978 +a(g189 +V\u000a +tp6979 +a(g189 +V +p6980 +tp6981 +a(g18 +VSET_TOP +p6982 +tp6983 +a(g202 +V( +tp6984 +a(g18 +Vw +tp6985 +a(g202 +V) +tp6986 +a(g202 +V; +tp6987 +a(g189 +V\u000a +tp6988 +a(g189 +V +p6989 +tp6990 +a(g18 +VSET_SECOND +p6991 +tp6992 +a(g202 +V( +tp6993 +a(g18 +Vv +tp6994 +a(g202 +V) +tp6995 +a(g202 +V; +tp6996 +a(g189 +V\u000a +tp6997 +a(g189 +V +p6998 +tp6999 +a(g111 +Vgoto +p7000 +tp7001 +a(g189 +V +tp7002 +a(g18 +Vfast_next_opcode +p7003 +tp7004 +a(g202 +V; +tp7005 +a(g189 +V\u000a +tp7006 +a(g189 +V\u000a +tp7007 +a(g189 +V +p7008 +tp7009 +a(g111 +Vcase +p7010 +tp7011 +a(g189 +V +tp7012 +a(g189 +V +tp7013 +a(g18 +VROT_THREE +p7014 +tp7015 +a(g189 +V: +tp7016 +a(g189 +V\u000a +tp7017 +a(g189 +V +p7018 +tp7019 +a(g18 +Vv +tp7020 +a(g189 +V +tp7021 +a(g344 +V= +tp7022 +a(g189 +V +tp7023 +a(g18 +VTOP +p7024 +tp7025 +a(g202 +V( +tp7026 +a(g202 +V) +tp7027 +a(g202 +V; +tp7028 +a(g189 +V\u000a +tp7029 +a(g189 +V +p7030 +tp7031 +a(g18 +Vw +tp7032 +a(g189 +V +tp7033 +a(g344 +V= +tp7034 +a(g189 +V +tp7035 +a(g18 +VSECOND +p7036 +tp7037 +a(g202 +V( +tp7038 +a(g202 +V) +tp7039 +a(g202 +V; +tp7040 +a(g189 +V\u000a +tp7041 +a(g189 +V +p7042 +tp7043 +a(g18 +Vx +tp7044 +a(g189 +V +tp7045 +a(g344 +V= +tp7046 +a(g189 +V +tp7047 +a(g18 +VTHIRD +p7048 +tp7049 +a(g202 +V( +tp7050 +a(g202 +V) +tp7051 +a(g202 +V; +tp7052 +a(g189 +V\u000a +tp7053 +a(g189 +V +p7054 +tp7055 +a(g18 +VSET_TOP +p7056 +tp7057 +a(g202 +V( +tp7058 +a(g18 +Vw +tp7059 +a(g202 +V) +tp7060 +a(g202 +V; +tp7061 +a(g189 +V\u000a +tp7062 +a(g189 +V +p7063 +tp7064 +a(g18 +VSET_SECOND +p7065 +tp7066 +a(g202 +V( +tp7067 +a(g18 +Vx +tp7068 +a(g202 +V) +tp7069 +a(g202 +V; +tp7070 +a(g189 +V\u000a +tp7071 +a(g189 +V +p7072 +tp7073 +a(g18 +VSET_THIRD +p7074 +tp7075 +a(g202 +V( +tp7076 +a(g18 +Vv +tp7077 +a(g202 +V) +tp7078 +a(g202 +V; +tp7079 +a(g189 +V\u000a +tp7080 +a(g189 +V +p7081 +tp7082 +a(g111 +Vgoto +p7083 +tp7084 +a(g189 +V +tp7085 +a(g18 +Vfast_next_opcode +p7086 +tp7087 +a(g202 +V; +tp7088 +a(g189 +V\u000a +tp7089 +a(g189 +V\u000a +tp7090 +a(g189 +V +p7091 +tp7092 +a(g111 +Vcase +p7093 +tp7094 +a(g189 +V +tp7095 +a(g189 +V +tp7096 +a(g18 +VROT_FOUR +p7097 +tp7098 +a(g189 +V: +tp7099 +a(g189 +V\u000a +tp7100 +a(g189 +V +p7101 +tp7102 +a(g18 +Vu +tp7103 +a(g189 +V +tp7104 +a(g344 +V= +tp7105 +a(g189 +V +tp7106 +a(g18 +VTOP +p7107 +tp7108 +a(g202 +V( +tp7109 +a(g202 +V) +tp7110 +a(g202 +V; +tp7111 +a(g189 +V\u000a +tp7112 +a(g189 +V +p7113 +tp7114 +a(g18 +Vv +tp7115 +a(g189 +V +tp7116 +a(g344 +V= +tp7117 +a(g189 +V +tp7118 +a(g18 +VSECOND +p7119 +tp7120 +a(g202 +V( +tp7121 +a(g202 +V) +tp7122 +a(g202 +V; +tp7123 +a(g189 +V\u000a +tp7124 +a(g189 +V +p7125 +tp7126 +a(g18 +Vw +tp7127 +a(g189 +V +tp7128 +a(g344 +V= +tp7129 +a(g189 +V +tp7130 +a(g18 +VTHIRD +p7131 +tp7132 +a(g202 +V( +tp7133 +a(g202 +V) +tp7134 +a(g202 +V; +tp7135 +a(g189 +V\u000a +tp7136 +a(g189 +V +p7137 +tp7138 +a(g18 +Vx +tp7139 +a(g189 +V +tp7140 +a(g344 +V= +tp7141 +a(g189 +V +tp7142 +a(g18 +VFOURTH +p7143 +tp7144 +a(g202 +V( +tp7145 +a(g202 +V) +tp7146 +a(g202 +V; +tp7147 +a(g189 +V\u000a +tp7148 +a(g189 +V +p7149 +tp7150 +a(g18 +VSET_TOP +p7151 +tp7152 +a(g202 +V( +tp7153 +a(g18 +Vv +tp7154 +a(g202 +V) +tp7155 +a(g202 +V; +tp7156 +a(g189 +V\u000a +tp7157 +a(g189 +V +p7158 +tp7159 +a(g18 +VSET_SECOND +p7160 +tp7161 +a(g202 +V( +tp7162 +a(g18 +Vw +tp7163 +a(g202 +V) +tp7164 +a(g202 +V; +tp7165 +a(g189 +V\u000a +tp7166 +a(g189 +V +p7167 +tp7168 +a(g18 +VSET_THIRD +p7169 +tp7170 +a(g202 +V( +tp7171 +a(g18 +Vx +tp7172 +a(g202 +V) +tp7173 +a(g202 +V; +tp7174 +a(g189 +V\u000a +tp7175 +a(g189 +V +p7176 +tp7177 +a(g18 +VSET_FOURTH +p7178 +tp7179 +a(g202 +V( +tp7180 +a(g18 +Vu +tp7181 +a(g202 +V) +tp7182 +a(g202 +V; +tp7183 +a(g189 +V\u000a +tp7184 +a(g189 +V +p7185 +tp7186 +a(g111 +Vgoto +p7187 +tp7188 +a(g189 +V +tp7189 +a(g18 +Vfast_next_opcode +p7190 +tp7191 +a(g202 +V; +tp7192 +a(g189 +V\u000a +tp7193 +a(g189 +V\u000a +tp7194 +a(g189 +V +p7195 +tp7196 +a(g111 +Vcase +p7197 +tp7198 +a(g189 +V +tp7199 +a(g189 +V +tp7200 +a(g18 +VDUP_TOP +p7201 +tp7202 +a(g189 +V: +tp7203 +a(g189 +V\u000a +tp7204 +a(g189 +V +p7205 +tp7206 +a(g18 +Vv +tp7207 +a(g189 +V +tp7208 +a(g344 +V= +tp7209 +a(g189 +V +tp7210 +a(g18 +VTOP +p7211 +tp7212 +a(g202 +V( +tp7213 +a(g202 +V) +tp7214 +a(g202 +V; +tp7215 +a(g189 +V\u000a +tp7216 +a(g189 +V +p7217 +tp7218 +a(g18 +VPy_INCREF +p7219 +tp7220 +a(g202 +V( +tp7221 +a(g18 +Vv +tp7222 +a(g202 +V) +tp7223 +a(g202 +V; +tp7224 +a(g189 +V\u000a +tp7225 +a(g189 +V +p7226 +tp7227 +a(g18 +VPUSH +p7228 +tp7229 +a(g202 +V( +tp7230 +a(g18 +Vv +tp7231 +a(g202 +V) +tp7232 +a(g202 +V; +tp7233 +a(g189 +V\u000a +tp7234 +a(g189 +V +p7235 +tp7236 +a(g111 +Vgoto +p7237 +tp7238 +a(g189 +V +tp7239 +a(g18 +Vfast_next_opcode +p7240 +tp7241 +a(g202 +V; +tp7242 +a(g189 +V\u000a +tp7243 +a(g189 +V\u000a +tp7244 +a(g189 +V +p7245 +tp7246 +a(g111 +Vcase +p7247 +tp7248 +a(g189 +V +tp7249 +a(g189 +V +tp7250 +a(g18 +VDUP_TOPX +p7251 +tp7252 +a(g189 +V: +tp7253 +a(g189 +V\u000a +tp7254 +a(g189 +V +p7255 +tp7256 +a(g111 +Vif +p7257 +tp7258 +a(g189 +V +tp7259 +a(g202 +V( +tp7260 +a(g18 +Voparg +p7261 +tp7262 +a(g189 +V +tp7263 +a(g344 +V= +tp7264 +a(g344 +V= +tp7265 +a(g189 +V +tp7266 +a(g319 +V2 +tp7267 +a(g202 +V) +tp7268 +a(g189 +V +tp7269 +a(g202 +V{ +tp7270 +a(g189 +V\u000a +tp7271 +a(g189 +V +p7272 +tp7273 +a(g18 +Vx +tp7274 +a(g189 +V +tp7275 +a(g344 +V= +tp7276 +a(g189 +V +tp7277 +a(g18 +VTOP +p7278 +tp7279 +a(g202 +V( +tp7280 +a(g202 +V) +tp7281 +a(g202 +V; +tp7282 +a(g189 +V\u000a +tp7283 +a(g189 +V +p7284 +tp7285 +a(g18 +VPy_INCREF +p7286 +tp7287 +a(g202 +V( +tp7288 +a(g18 +Vx +tp7289 +a(g202 +V) +tp7290 +a(g202 +V; +tp7291 +a(g189 +V\u000a +tp7292 +a(g189 +V +p7293 +tp7294 +a(g18 +Vw +tp7295 +a(g189 +V +tp7296 +a(g344 +V= +tp7297 +a(g189 +V +tp7298 +a(g18 +VSECOND +p7299 +tp7300 +a(g202 +V( +tp7301 +a(g202 +V) +tp7302 +a(g202 +V; +tp7303 +a(g189 +V\u000a +tp7304 +a(g189 +V +p7305 +tp7306 +a(g18 +VPy_INCREF +p7307 +tp7308 +a(g202 +V( +tp7309 +a(g18 +Vw +tp7310 +a(g202 +V) +tp7311 +a(g202 +V; +tp7312 +a(g189 +V\u000a +tp7313 +a(g189 +V +p7314 +tp7315 +a(g18 +VSTACKADJ +p7316 +tp7317 +a(g202 +V( +tp7318 +a(g319 +V2 +tp7319 +a(g202 +V) +tp7320 +a(g202 +V; +tp7321 +a(g189 +V\u000a +tp7322 +a(g189 +V +p7323 +tp7324 +a(g18 +VSET_TOP +p7325 +tp7326 +a(g202 +V( +tp7327 +a(g18 +Vx +tp7328 +a(g202 +V) +tp7329 +a(g202 +V; +tp7330 +a(g189 +V\u000a +tp7331 +a(g189 +V +p7332 +tp7333 +a(g18 +VSET_SECOND +p7334 +tp7335 +a(g202 +V( +tp7336 +a(g18 +Vw +tp7337 +a(g202 +V) +tp7338 +a(g202 +V; +tp7339 +a(g189 +V\u000a +tp7340 +a(g189 +V +p7341 +tp7342 +a(g111 +Vgoto +p7343 +tp7344 +a(g189 +V +tp7345 +a(g18 +Vfast_next_opcode +p7346 +tp7347 +a(g202 +V; +tp7348 +a(g189 +V\u000a +tp7349 +a(g189 +V +p7350 +tp7351 +a(g202 +V} +tp7352 +a(g189 +V +tp7353 +a(g111 +Velse +p7354 +tp7355 +a(g189 +V +tp7356 +a(g111 +Vif +p7357 +tp7358 +a(g189 +V +tp7359 +a(g202 +V( +tp7360 +a(g18 +Voparg +p7361 +tp7362 +a(g189 +V +tp7363 +a(g344 +V= +tp7364 +a(g344 +V= +tp7365 +a(g189 +V +tp7366 +a(g319 +V3 +tp7367 +a(g202 +V) +tp7368 +a(g189 +V +tp7369 +a(g202 +V{ +tp7370 +a(g189 +V\u000a +tp7371 +a(g189 +V +p7372 +tp7373 +a(g18 +Vx +tp7374 +a(g189 +V +tp7375 +a(g344 +V= +tp7376 +a(g189 +V +tp7377 +a(g18 +VTOP +p7378 +tp7379 +a(g202 +V( +tp7380 +a(g202 +V) +tp7381 +a(g202 +V; +tp7382 +a(g189 +V\u000a +tp7383 +a(g189 +V +p7384 +tp7385 +a(g18 +VPy_INCREF +p7386 +tp7387 +a(g202 +V( +tp7388 +a(g18 +Vx +tp7389 +a(g202 +V) +tp7390 +a(g202 +V; +tp7391 +a(g189 +V\u000a +tp7392 +a(g189 +V +p7393 +tp7394 +a(g18 +Vw +tp7395 +a(g189 +V +tp7396 +a(g344 +V= +tp7397 +a(g189 +V +tp7398 +a(g18 +VSECOND +p7399 +tp7400 +a(g202 +V( +tp7401 +a(g202 +V) +tp7402 +a(g202 +V; +tp7403 +a(g189 +V\u000a +tp7404 +a(g189 +V +p7405 +tp7406 +a(g18 +VPy_INCREF +p7407 +tp7408 +a(g202 +V( +tp7409 +a(g18 +Vw +tp7410 +a(g202 +V) +tp7411 +a(g202 +V; +tp7412 +a(g189 +V\u000a +tp7413 +a(g189 +V +p7414 +tp7415 +a(g18 +Vv +tp7416 +a(g189 +V +tp7417 +a(g344 +V= +tp7418 +a(g189 +V +tp7419 +a(g18 +VTHIRD +p7420 +tp7421 +a(g202 +V( +tp7422 +a(g202 +V) +tp7423 +a(g202 +V; +tp7424 +a(g189 +V\u000a +tp7425 +a(g189 +V +p7426 +tp7427 +a(g18 +VPy_INCREF +p7428 +tp7429 +a(g202 +V( +tp7430 +a(g18 +Vv +tp7431 +a(g202 +V) +tp7432 +a(g202 +V; +tp7433 +a(g189 +V\u000a +tp7434 +a(g189 +V +p7435 +tp7436 +a(g18 +VSTACKADJ +p7437 +tp7438 +a(g202 +V( +tp7439 +a(g319 +V3 +tp7440 +a(g202 +V) +tp7441 +a(g202 +V; +tp7442 +a(g189 +V\u000a +tp7443 +a(g189 +V +p7444 +tp7445 +a(g18 +VSET_TOP +p7446 +tp7447 +a(g202 +V( +tp7448 +a(g18 +Vx +tp7449 +a(g202 +V) +tp7450 +a(g202 +V; +tp7451 +a(g189 +V\u000a +tp7452 +a(g189 +V +p7453 +tp7454 +a(g18 +VSET_SECOND +p7455 +tp7456 +a(g202 +V( +tp7457 +a(g18 +Vw +tp7458 +a(g202 +V) +tp7459 +a(g202 +V; +tp7460 +a(g189 +V\u000a +tp7461 +a(g189 +V +p7462 +tp7463 +a(g18 +VSET_THIRD +p7464 +tp7465 +a(g202 +V( +tp7466 +a(g18 +Vv +tp7467 +a(g202 +V) +tp7468 +a(g202 +V; +tp7469 +a(g189 +V\u000a +tp7470 +a(g189 +V +p7471 +tp7472 +a(g111 +Vgoto +p7473 +tp7474 +a(g189 +V +tp7475 +a(g18 +Vfast_next_opcode +p7476 +tp7477 +a(g202 +V; +tp7478 +a(g189 +V\u000a +tp7479 +a(g189 +V +p7480 +tp7481 +a(g202 +V} +tp7482 +a(g189 +V\u000a +tp7483 +a(g189 +V +p7484 +tp7485 +a(g18 +VPy_FatalError +p7486 +tp7487 +a(g202 +V( +tp7488 +a(g226 +V" +tp7489 +a(g226 +Vinvalid argument to DUP_TOPX +p7490 +tp7491 +a(g226 +V" +tp7492 +a(g189 +V\u000a +tp7493 +a(g189 +V +p7494 +tp7495 +a(g226 +V" +tp7496 +a(g226 +V (bytecode corruption?) +p7497 +tp7498 +a(g226 +V" +tp7499 +a(g202 +V) +tp7500 +a(g202 +V; +tp7501 +a(g189 +V\u000a +tp7502 +a(g189 +V +p7503 +tp7504 +a(g111 +Vbreak +p7505 +tp7506 +a(g202 +V; +tp7507 +a(g189 +V\u000a +tp7508 +a(g189 +V\u000a +tp7509 +a(g189 +V +p7510 +tp7511 +a(g111 +Vcase +p7512 +tp7513 +a(g189 +V +tp7514 +a(g189 +V +tp7515 +a(g18 +VUNARY_POSITIVE +p7516 +tp7517 +a(g189 +V: +tp7518 +a(g189 +V\u000a +tp7519 +a(g189 +V +p7520 +tp7521 +a(g18 +Vv +tp7522 +a(g189 +V +tp7523 +a(g344 +V= +tp7524 +a(g189 +V +tp7525 +a(g18 +VTOP +p7526 +tp7527 +a(g202 +V( +tp7528 +a(g202 +V) +tp7529 +a(g202 +V; +tp7530 +a(g189 +V\u000a +tp7531 +a(g189 +V +p7532 +tp7533 +a(g18 +Vx +tp7534 +a(g189 +V +tp7535 +a(g344 +V= +tp7536 +a(g189 +V +tp7537 +a(g18 +VPyNumber_Positive +p7538 +tp7539 +a(g202 +V( +tp7540 +a(g18 +Vv +tp7541 +a(g202 +V) +tp7542 +a(g202 +V; +tp7543 +a(g189 +V\u000a +tp7544 +a(g189 +V +p7545 +tp7546 +a(g18 +VPy_DECREF +p7547 +tp7548 +a(g202 +V( +tp7549 +a(g18 +Vv +tp7550 +a(g202 +V) +tp7551 +a(g202 +V; +tp7552 +a(g189 +V\u000a +tp7553 +a(g189 +V +p7554 +tp7555 +a(g18 +VSET_TOP +p7556 +tp7557 +a(g202 +V( +tp7558 +a(g18 +Vx +tp7559 +a(g202 +V) +tp7560 +a(g202 +V; +tp7561 +a(g189 +V\u000a +tp7562 +a(g189 +V +p7563 +tp7564 +a(g111 +Vif +p7565 +tp7566 +a(g189 +V +tp7567 +a(g202 +V( +tp7568 +a(g18 +Vx +tp7569 +a(g189 +V +tp7570 +a(g344 +V! +tp7571 +a(g344 +V= +tp7572 +a(g189 +V +tp7573 +a(g57 +VNULL +p7574 +tp7575 +a(g202 +V) +tp7576 +a(g189 +V +tp7577 +a(g111 +Vcontinue +p7578 +tp7579 +a(g202 +V; +tp7580 +a(g189 +V\u000a +tp7581 +a(g189 +V +p7582 +tp7583 +a(g111 +Vbreak +p7584 +tp7585 +a(g202 +V; +tp7586 +a(g189 +V\u000a +tp7587 +a(g189 +V\u000a +tp7588 +a(g189 +V +p7589 +tp7590 +a(g111 +Vcase +p7591 +tp7592 +a(g189 +V +tp7593 +a(g189 +V +tp7594 +a(g18 +VUNARY_NEGATIVE +p7595 +tp7596 +a(g189 +V: +tp7597 +a(g189 +V\u000a +tp7598 +a(g189 +V +p7599 +tp7600 +a(g18 +Vv +tp7601 +a(g189 +V +tp7602 +a(g344 +V= +tp7603 +a(g189 +V +tp7604 +a(g18 +VTOP +p7605 +tp7606 +a(g202 +V( +tp7607 +a(g202 +V) +tp7608 +a(g202 +V; +tp7609 +a(g189 +V\u000a +tp7610 +a(g189 +V +p7611 +tp7612 +a(g18 +Vx +tp7613 +a(g189 +V +tp7614 +a(g344 +V= +tp7615 +a(g189 +V +tp7616 +a(g18 +VPyNumber_Negative +p7617 +tp7618 +a(g202 +V( +tp7619 +a(g18 +Vv +tp7620 +a(g202 +V) +tp7621 +a(g202 +V; +tp7622 +a(g189 +V\u000a +tp7623 +a(g189 +V +p7624 +tp7625 +a(g18 +VPy_DECREF +p7626 +tp7627 +a(g202 +V( +tp7628 +a(g18 +Vv +tp7629 +a(g202 +V) +tp7630 +a(g202 +V; +tp7631 +a(g189 +V\u000a +tp7632 +a(g189 +V +p7633 +tp7634 +a(g18 +VSET_TOP +p7635 +tp7636 +a(g202 +V( +tp7637 +a(g18 +Vx +tp7638 +a(g202 +V) +tp7639 +a(g202 +V; +tp7640 +a(g189 +V\u000a +tp7641 +a(g189 +V +p7642 +tp7643 +a(g111 +Vif +p7644 +tp7645 +a(g189 +V +tp7646 +a(g202 +V( +tp7647 +a(g18 +Vx +tp7648 +a(g189 +V +tp7649 +a(g344 +V! +tp7650 +a(g344 +V= +tp7651 +a(g189 +V +tp7652 +a(g57 +VNULL +p7653 +tp7654 +a(g202 +V) +tp7655 +a(g189 +V +tp7656 +a(g111 +Vcontinue +p7657 +tp7658 +a(g202 +V; +tp7659 +a(g189 +V\u000a +tp7660 +a(g189 +V +p7661 +tp7662 +a(g111 +Vbreak +p7663 +tp7664 +a(g202 +V; +tp7665 +a(g189 +V\u000a +tp7666 +a(g189 +V\u000a +tp7667 +a(g189 +V +p7668 +tp7669 +a(g111 +Vcase +p7670 +tp7671 +a(g189 +V +tp7672 +a(g189 +V +tp7673 +a(g18 +VUNARY_NOT +p7674 +tp7675 +a(g189 +V: +tp7676 +a(g189 +V\u000a +tp7677 +a(g189 +V +p7678 +tp7679 +a(g18 +Vv +tp7680 +a(g189 +V +tp7681 +a(g344 +V= +tp7682 +a(g189 +V +tp7683 +a(g18 +VTOP +p7684 +tp7685 +a(g202 +V( +tp7686 +a(g202 +V) +tp7687 +a(g202 +V; +tp7688 +a(g189 +V\u000a +tp7689 +a(g189 +V +p7690 +tp7691 +a(g18 +Verr +p7692 +tp7693 +a(g189 +V +tp7694 +a(g344 +V= +tp7695 +a(g189 +V +tp7696 +a(g18 +VPyObject_IsTrue +p7697 +tp7698 +a(g202 +V( +tp7699 +a(g18 +Vv +tp7700 +a(g202 +V) +tp7701 +a(g202 +V; +tp7702 +a(g189 +V\u000a +tp7703 +a(g189 +V +p7704 +tp7705 +a(g18 +VPy_DECREF +p7706 +tp7707 +a(g202 +V( +tp7708 +a(g18 +Vv +tp7709 +a(g202 +V) +tp7710 +a(g202 +V; +tp7711 +a(g189 +V\u000a +tp7712 +a(g189 +V +p7713 +tp7714 +a(g111 +Vif +p7715 +tp7716 +a(g189 +V +tp7717 +a(g202 +V( +tp7718 +a(g18 +Verr +p7719 +tp7720 +a(g189 +V +tp7721 +a(g344 +V= +tp7722 +a(g344 +V= +tp7723 +a(g189 +V +tp7724 +a(g319 +V0 +tp7725 +a(g202 +V) +tp7726 +a(g189 +V +tp7727 +a(g202 +V{ +tp7728 +a(g189 +V\u000a +tp7729 +a(g189 +V +p7730 +tp7731 +a(g18 +VPy_INCREF +p7732 +tp7733 +a(g202 +V( +tp7734 +a(g18 +VPy_True +p7735 +tp7736 +a(g202 +V) +tp7737 +a(g202 +V; +tp7738 +a(g189 +V\u000a +tp7739 +a(g189 +V +p7740 +tp7741 +a(g18 +VSET_TOP +p7742 +tp7743 +a(g202 +V( +tp7744 +a(g18 +VPy_True +p7745 +tp7746 +a(g202 +V) +tp7747 +a(g202 +V; +tp7748 +a(g189 +V\u000a +tp7749 +a(g189 +V +p7750 +tp7751 +a(g111 +Vcontinue +p7752 +tp7753 +a(g202 +V; +tp7754 +a(g189 +V\u000a +tp7755 +a(g189 +V +p7756 +tp7757 +a(g202 +V} +tp7758 +a(g189 +V\u000a +tp7759 +a(g189 +V +p7760 +tp7761 +a(g111 +Velse +p7762 +tp7763 +a(g189 +V +tp7764 +a(g111 +Vif +p7765 +tp7766 +a(g189 +V +tp7767 +a(g202 +V( +tp7768 +a(g18 +Verr +p7769 +tp7770 +a(g189 +V +tp7771 +a(g344 +V> +tp7772 +a(g189 +V +tp7773 +a(g319 +V0 +tp7774 +a(g202 +V) +tp7775 +a(g189 +V +tp7776 +a(g202 +V{ +tp7777 +a(g189 +V\u000a +tp7778 +a(g189 +V +p7779 +tp7780 +a(g18 +VPy_INCREF +p7781 +tp7782 +a(g202 +V( +tp7783 +a(g18 +VPy_False +p7784 +tp7785 +a(g202 +V) +tp7786 +a(g202 +V; +tp7787 +a(g189 +V\u000a +tp7788 +a(g189 +V +p7789 +tp7790 +a(g18 +VSET_TOP +p7791 +tp7792 +a(g202 +V( +tp7793 +a(g18 +VPy_False +p7794 +tp7795 +a(g202 +V) +tp7796 +a(g202 +V; +tp7797 +a(g189 +V\u000a +tp7798 +a(g189 +V +p7799 +tp7800 +a(g18 +Verr +p7801 +tp7802 +a(g189 +V +tp7803 +a(g344 +V= +tp7804 +a(g189 +V +tp7805 +a(g319 +V0 +tp7806 +a(g202 +V; +tp7807 +a(g189 +V\u000a +tp7808 +a(g189 +V +p7809 +tp7810 +a(g111 +Vcontinue +p7811 +tp7812 +a(g202 +V; +tp7813 +a(g189 +V\u000a +tp7814 +a(g189 +V +p7815 +tp7816 +a(g202 +V} +tp7817 +a(g189 +V\u000a +tp7818 +a(g189 +V +p7819 +tp7820 +a(g18 +VSTACKADJ +p7821 +tp7822 +a(g202 +V( +tp7823 +a(g344 +V- +tp7824 +a(g319 +V1 +tp7825 +a(g202 +V) +tp7826 +a(g202 +V; +tp7827 +a(g189 +V\u000a +tp7828 +a(g189 +V +p7829 +tp7830 +a(g111 +Vbreak +p7831 +tp7832 +a(g202 +V; +tp7833 +a(g189 +V\u000a +tp7834 +a(g189 +V\u000a +tp7835 +a(g189 +V +p7836 +tp7837 +a(g111 +Vcase +p7838 +tp7839 +a(g189 +V +tp7840 +a(g189 +V +tp7841 +a(g18 +VUNARY_CONVERT +p7842 +tp7843 +a(g189 +V: +tp7844 +a(g189 +V\u000a +tp7845 +a(g189 +V +p7846 +tp7847 +a(g18 +Vv +tp7848 +a(g189 +V +tp7849 +a(g344 +V= +tp7850 +a(g189 +V +tp7851 +a(g18 +VTOP +p7852 +tp7853 +a(g202 +V( +tp7854 +a(g202 +V) +tp7855 +a(g202 +V; +tp7856 +a(g189 +V\u000a +tp7857 +a(g189 +V +p7858 +tp7859 +a(g18 +Vx +tp7860 +a(g189 +V +tp7861 +a(g344 +V= +tp7862 +a(g189 +V +tp7863 +a(g18 +VPyObject_Repr +p7864 +tp7865 +a(g202 +V( +tp7866 +a(g18 +Vv +tp7867 +a(g202 +V) +tp7868 +a(g202 +V; +tp7869 +a(g189 +V\u000a +tp7870 +a(g189 +V +p7871 +tp7872 +a(g18 +VPy_DECREF +p7873 +tp7874 +a(g202 +V( +tp7875 +a(g18 +Vv +tp7876 +a(g202 +V) +tp7877 +a(g202 +V; +tp7878 +a(g189 +V\u000a +tp7879 +a(g189 +V +p7880 +tp7881 +a(g18 +VSET_TOP +p7882 +tp7883 +a(g202 +V( +tp7884 +a(g18 +Vx +tp7885 +a(g202 +V) +tp7886 +a(g202 +V; +tp7887 +a(g189 +V\u000a +tp7888 +a(g189 +V +p7889 +tp7890 +a(g111 +Vif +p7891 +tp7892 +a(g189 +V +tp7893 +a(g202 +V( +tp7894 +a(g18 +Vx +tp7895 +a(g189 +V +tp7896 +a(g344 +V! +tp7897 +a(g344 +V= +tp7898 +a(g189 +V +tp7899 +a(g57 +VNULL +p7900 +tp7901 +a(g202 +V) +tp7902 +a(g189 +V +tp7903 +a(g111 +Vcontinue +p7904 +tp7905 +a(g202 +V; +tp7906 +a(g189 +V\u000a +tp7907 +a(g189 +V +p7908 +tp7909 +a(g111 +Vbreak +p7910 +tp7911 +a(g202 +V; +tp7912 +a(g189 +V\u000a +tp7913 +a(g189 +V\u000a +tp7914 +a(g189 +V +p7915 +tp7916 +a(g111 +Vcase +p7917 +tp7918 +a(g189 +V +tp7919 +a(g189 +V +tp7920 +a(g18 +VUNARY_INVERT +p7921 +tp7922 +a(g189 +V: +tp7923 +a(g189 +V\u000a +tp7924 +a(g189 +V +p7925 +tp7926 +a(g18 +Vv +tp7927 +a(g189 +V +tp7928 +a(g344 +V= +tp7929 +a(g189 +V +tp7930 +a(g18 +VTOP +p7931 +tp7932 +a(g202 +V( +tp7933 +a(g202 +V) +tp7934 +a(g202 +V; +tp7935 +a(g189 +V\u000a +tp7936 +a(g189 +V +p7937 +tp7938 +a(g18 +Vx +tp7939 +a(g189 +V +tp7940 +a(g344 +V= +tp7941 +a(g189 +V +tp7942 +a(g18 +VPyNumber_Invert +p7943 +tp7944 +a(g202 +V( +tp7945 +a(g18 +Vv +tp7946 +a(g202 +V) +tp7947 +a(g202 +V; +tp7948 +a(g189 +V\u000a +tp7949 +a(g189 +V +p7950 +tp7951 +a(g18 +VPy_DECREF +p7952 +tp7953 +a(g202 +V( +tp7954 +a(g18 +Vv +tp7955 +a(g202 +V) +tp7956 +a(g202 +V; +tp7957 +a(g189 +V\u000a +tp7958 +a(g189 +V +p7959 +tp7960 +a(g18 +VSET_TOP +p7961 +tp7962 +a(g202 +V( +tp7963 +a(g18 +Vx +tp7964 +a(g202 +V) +tp7965 +a(g202 +V; +tp7966 +a(g189 +V\u000a +tp7967 +a(g189 +V +p7968 +tp7969 +a(g111 +Vif +p7970 +tp7971 +a(g189 +V +tp7972 +a(g202 +V( +tp7973 +a(g18 +Vx +tp7974 +a(g189 +V +tp7975 +a(g344 +V! +tp7976 +a(g344 +V= +tp7977 +a(g189 +V +tp7978 +a(g57 +VNULL +p7979 +tp7980 +a(g202 +V) +tp7981 +a(g189 +V +tp7982 +a(g111 +Vcontinue +p7983 +tp7984 +a(g202 +V; +tp7985 +a(g189 +V\u000a +tp7986 +a(g189 +V +p7987 +tp7988 +a(g111 +Vbreak +p7989 +tp7990 +a(g202 +V; +tp7991 +a(g189 +V\u000a +tp7992 +a(g189 +V\u000a +tp7993 +a(g189 +V +p7994 +tp7995 +a(g111 +Vcase +p7996 +tp7997 +a(g189 +V +tp7998 +a(g189 +V +tp7999 +a(g18 +VBINARY_POWER +p8000 +tp8001 +a(g189 +V: +tp8002 +a(g189 +V\u000a +tp8003 +a(g189 +V +p8004 +tp8005 +a(g18 +Vw +tp8006 +a(g189 +V +tp8007 +a(g344 +V= +tp8008 +a(g189 +V +tp8009 +a(g18 +VPOP +p8010 +tp8011 +a(g202 +V( +tp8012 +a(g202 +V) +tp8013 +a(g202 +V; +tp8014 +a(g189 +V\u000a +tp8015 +a(g189 +V +p8016 +tp8017 +a(g18 +Vv +tp8018 +a(g189 +V +tp8019 +a(g344 +V= +tp8020 +a(g189 +V +tp8021 +a(g18 +VTOP +p8022 +tp8023 +a(g202 +V( +tp8024 +a(g202 +V) +tp8025 +a(g202 +V; +tp8026 +a(g189 +V\u000a +tp8027 +a(g189 +V +p8028 +tp8029 +a(g18 +Vx +tp8030 +a(g189 +V +tp8031 +a(g344 +V= +tp8032 +a(g189 +V +tp8033 +a(g18 +VPyNumber_Power +p8034 +tp8035 +a(g202 +V( +tp8036 +a(g18 +Vv +tp8037 +a(g202 +V, +tp8038 +a(g189 +V +tp8039 +a(g18 +Vw +tp8040 +a(g202 +V, +tp8041 +a(g189 +V +tp8042 +a(g18 +VPy_None +p8043 +tp8044 +a(g202 +V) +tp8045 +a(g202 +V; +tp8046 +a(g189 +V\u000a +tp8047 +a(g189 +V +p8048 +tp8049 +a(g18 +VPy_DECREF +p8050 +tp8051 +a(g202 +V( +tp8052 +a(g18 +Vv +tp8053 +a(g202 +V) +tp8054 +a(g202 +V; +tp8055 +a(g189 +V\u000a +tp8056 +a(g189 +V +p8057 +tp8058 +a(g18 +VPy_DECREF +p8059 +tp8060 +a(g202 +V( +tp8061 +a(g18 +Vw +tp8062 +a(g202 +V) +tp8063 +a(g202 +V; +tp8064 +a(g189 +V\u000a +tp8065 +a(g189 +V +p8066 +tp8067 +a(g18 +VSET_TOP +p8068 +tp8069 +a(g202 +V( +tp8070 +a(g18 +Vx +tp8071 +a(g202 +V) +tp8072 +a(g202 +V; +tp8073 +a(g189 +V\u000a +tp8074 +a(g189 +V +p8075 +tp8076 +a(g111 +Vif +p8077 +tp8078 +a(g189 +V +tp8079 +a(g202 +V( +tp8080 +a(g18 +Vx +tp8081 +a(g189 +V +tp8082 +a(g344 +V! +tp8083 +a(g344 +V= +tp8084 +a(g189 +V +tp8085 +a(g57 +VNULL +p8086 +tp8087 +a(g202 +V) +tp8088 +a(g189 +V +tp8089 +a(g111 +Vcontinue +p8090 +tp8091 +a(g202 +V; +tp8092 +a(g189 +V\u000a +tp8093 +a(g189 +V +p8094 +tp8095 +a(g111 +Vbreak +p8096 +tp8097 +a(g202 +V; +tp8098 +a(g189 +V\u000a +tp8099 +a(g189 +V\u000a +tp8100 +a(g189 +V +p8101 +tp8102 +a(g111 +Vcase +p8103 +tp8104 +a(g189 +V +tp8105 +a(g189 +V +tp8106 +a(g18 +VBINARY_MULTIPLY +p8107 +tp8108 +a(g189 +V: +tp8109 +a(g189 +V\u000a +tp8110 +a(g189 +V +p8111 +tp8112 +a(g18 +Vw +tp8113 +a(g189 +V +tp8114 +a(g344 +V= +tp8115 +a(g189 +V +tp8116 +a(g18 +VPOP +p8117 +tp8118 +a(g202 +V( +tp8119 +a(g202 +V) +tp8120 +a(g202 +V; +tp8121 +a(g189 +V\u000a +tp8122 +a(g189 +V +p8123 +tp8124 +a(g18 +Vv +tp8125 +a(g189 +V +tp8126 +a(g344 +V= +tp8127 +a(g189 +V +tp8128 +a(g18 +VTOP +p8129 +tp8130 +a(g202 +V( +tp8131 +a(g202 +V) +tp8132 +a(g202 +V; +tp8133 +a(g189 +V\u000a +tp8134 +a(g189 +V +p8135 +tp8136 +a(g18 +Vx +tp8137 +a(g189 +V +tp8138 +a(g344 +V= +tp8139 +a(g189 +V +tp8140 +a(g18 +VPyNumber_Multiply +p8141 +tp8142 +a(g202 +V( +tp8143 +a(g18 +Vv +tp8144 +a(g202 +V, +tp8145 +a(g189 +V +tp8146 +a(g18 +Vw +tp8147 +a(g202 +V) +tp8148 +a(g202 +V; +tp8149 +a(g189 +V\u000a +tp8150 +a(g189 +V +p8151 +tp8152 +a(g18 +VPy_DECREF +p8153 +tp8154 +a(g202 +V( +tp8155 +a(g18 +Vv +tp8156 +a(g202 +V) +tp8157 +a(g202 +V; +tp8158 +a(g189 +V\u000a +tp8159 +a(g189 +V +p8160 +tp8161 +a(g18 +VPy_DECREF +p8162 +tp8163 +a(g202 +V( +tp8164 +a(g18 +Vw +tp8165 +a(g202 +V) +tp8166 +a(g202 +V; +tp8167 +a(g189 +V\u000a +tp8168 +a(g189 +V +p8169 +tp8170 +a(g18 +VSET_TOP +p8171 +tp8172 +a(g202 +V( +tp8173 +a(g18 +Vx +tp8174 +a(g202 +V) +tp8175 +a(g202 +V; +tp8176 +a(g189 +V\u000a +tp8177 +a(g189 +V +p8178 +tp8179 +a(g111 +Vif +p8180 +tp8181 +a(g189 +V +tp8182 +a(g202 +V( +tp8183 +a(g18 +Vx +tp8184 +a(g189 +V +tp8185 +a(g344 +V! +tp8186 +a(g344 +V= +tp8187 +a(g189 +V +tp8188 +a(g57 +VNULL +p8189 +tp8190 +a(g202 +V) +tp8191 +a(g189 +V +tp8192 +a(g111 +Vcontinue +p8193 +tp8194 +a(g202 +V; +tp8195 +a(g189 +V\u000a +tp8196 +a(g189 +V +p8197 +tp8198 +a(g111 +Vbreak +p8199 +tp8200 +a(g202 +V; +tp8201 +a(g189 +V\u000a +tp8202 +a(g189 +V\u000a +tp8203 +a(g189 +V +p8204 +tp8205 +a(g111 +Vcase +p8206 +tp8207 +a(g189 +V +tp8208 +a(g189 +V +tp8209 +a(g18 +VBINARY_DIVIDE +p8210 +tp8211 +a(g189 +V: +tp8212 +a(g189 +V\u000a +tp8213 +a(g189 +V +p8214 +tp8215 +a(g111 +Vif +p8216 +tp8217 +a(g189 +V +tp8218 +a(g202 +V( +tp8219 +a(g344 +V! +tp8220 +a(g18 +V_Py_QnewFlag +p8221 +tp8222 +a(g202 +V) +tp8223 +a(g189 +V +tp8224 +a(g202 +V{ +tp8225 +a(g189 +V\u000a +tp8226 +a(g189 +V +p8227 +tp8228 +a(g18 +Vw +tp8229 +a(g189 +V +tp8230 +a(g344 +V= +tp8231 +a(g189 +V +tp8232 +a(g18 +VPOP +p8233 +tp8234 +a(g202 +V( +tp8235 +a(g202 +V) +tp8236 +a(g202 +V; +tp8237 +a(g189 +V\u000a +tp8238 +a(g189 +V +p8239 +tp8240 +a(g18 +Vv +tp8241 +a(g189 +V +tp8242 +a(g344 +V= +tp8243 +a(g189 +V +tp8244 +a(g18 +VTOP +p8245 +tp8246 +a(g202 +V( +tp8247 +a(g202 +V) +tp8248 +a(g202 +V; +tp8249 +a(g189 +V\u000a +tp8250 +a(g189 +V +p8251 +tp8252 +a(g18 +Vx +tp8253 +a(g189 +V +tp8254 +a(g344 +V= +tp8255 +a(g189 +V +tp8256 +a(g18 +VPyNumber_Divide +p8257 +tp8258 +a(g202 +V( +tp8259 +a(g18 +Vv +tp8260 +a(g202 +V, +tp8261 +a(g189 +V +tp8262 +a(g18 +Vw +tp8263 +a(g202 +V) +tp8264 +a(g202 +V; +tp8265 +a(g189 +V\u000a +tp8266 +a(g189 +V +p8267 +tp8268 +a(g18 +VPy_DECREF +p8269 +tp8270 +a(g202 +V( +tp8271 +a(g18 +Vv +tp8272 +a(g202 +V) +tp8273 +a(g202 +V; +tp8274 +a(g189 +V\u000a +tp8275 +a(g189 +V +p8276 +tp8277 +a(g18 +VPy_DECREF +p8278 +tp8279 +a(g202 +V( +tp8280 +a(g18 +Vw +tp8281 +a(g202 +V) +tp8282 +a(g202 +V; +tp8283 +a(g189 +V\u000a +tp8284 +a(g189 +V +p8285 +tp8286 +a(g18 +VSET_TOP +p8287 +tp8288 +a(g202 +V( +tp8289 +a(g18 +Vx +tp8290 +a(g202 +V) +tp8291 +a(g202 +V; +tp8292 +a(g189 +V\u000a +tp8293 +a(g189 +V +p8294 +tp8295 +a(g111 +Vif +p8296 +tp8297 +a(g189 +V +tp8298 +a(g202 +V( +tp8299 +a(g18 +Vx +tp8300 +a(g189 +V +tp8301 +a(g344 +V! +tp8302 +a(g344 +V= +tp8303 +a(g189 +V +tp8304 +a(g57 +VNULL +p8305 +tp8306 +a(g202 +V) +tp8307 +a(g189 +V +tp8308 +a(g111 +Vcontinue +p8309 +tp8310 +a(g202 +V; +tp8311 +a(g189 +V\u000a +tp8312 +a(g189 +V +p8313 +tp8314 +a(g111 +Vbreak +p8315 +tp8316 +a(g202 +V; +tp8317 +a(g189 +V\u000a +tp8318 +a(g189 +V +p8319 +tp8320 +a(g202 +V} +tp8321 +a(g189 +V\u000a +tp8322 +a(g189 +V +p8323 +tp8324 +a(g7 +V/* -Qnew is in effect: fall through to\u000a BINARY_TRUE_DIVIDE */ +p8325 +tp8326 +a(g189 +V\u000a +tp8327 +a(g189 +V +p8328 +tp8329 +a(g111 +Vcase +p8330 +tp8331 +a(g189 +V +tp8332 +a(g189 +V +tp8333 +a(g18 +VBINARY_TRUE_DIVIDE +p8334 +tp8335 +a(g189 +V: +tp8336 +a(g189 +V\u000a +tp8337 +a(g189 +V +p8338 +tp8339 +a(g18 +Vw +tp8340 +a(g189 +V +tp8341 +a(g344 +V= +tp8342 +a(g189 +V +tp8343 +a(g18 +VPOP +p8344 +tp8345 +a(g202 +V( +tp8346 +a(g202 +V) +tp8347 +a(g202 +V; +tp8348 +a(g189 +V\u000a +tp8349 +a(g189 +V +p8350 +tp8351 +a(g18 +Vv +tp8352 +a(g189 +V +tp8353 +a(g344 +V= +tp8354 +a(g189 +V +tp8355 +a(g18 +VTOP +p8356 +tp8357 +a(g202 +V( +tp8358 +a(g202 +V) +tp8359 +a(g202 +V; +tp8360 +a(g189 +V\u000a +tp8361 +a(g189 +V +p8362 +tp8363 +a(g18 +Vx +tp8364 +a(g189 +V +tp8365 +a(g344 +V= +tp8366 +a(g189 +V +tp8367 +a(g18 +VPyNumber_TrueDivide +p8368 +tp8369 +a(g202 +V( +tp8370 +a(g18 +Vv +tp8371 +a(g202 +V, +tp8372 +a(g189 +V +tp8373 +a(g18 +Vw +tp8374 +a(g202 +V) +tp8375 +a(g202 +V; +tp8376 +a(g189 +V\u000a +tp8377 +a(g189 +V +p8378 +tp8379 +a(g18 +VPy_DECREF +p8380 +tp8381 +a(g202 +V( +tp8382 +a(g18 +Vv +tp8383 +a(g202 +V) +tp8384 +a(g202 +V; +tp8385 +a(g189 +V\u000a +tp8386 +a(g189 +V +p8387 +tp8388 +a(g18 +VPy_DECREF +p8389 +tp8390 +a(g202 +V( +tp8391 +a(g18 +Vw +tp8392 +a(g202 +V) +tp8393 +a(g202 +V; +tp8394 +a(g189 +V\u000a +tp8395 +a(g189 +V +p8396 +tp8397 +a(g18 +VSET_TOP +p8398 +tp8399 +a(g202 +V( +tp8400 +a(g18 +Vx +tp8401 +a(g202 +V) +tp8402 +a(g202 +V; +tp8403 +a(g189 +V\u000a +tp8404 +a(g189 +V +p8405 +tp8406 +a(g111 +Vif +p8407 +tp8408 +a(g189 +V +tp8409 +a(g202 +V( +tp8410 +a(g18 +Vx +tp8411 +a(g189 +V +tp8412 +a(g344 +V! +tp8413 +a(g344 +V= +tp8414 +a(g189 +V +tp8415 +a(g57 +VNULL +p8416 +tp8417 +a(g202 +V) +tp8418 +a(g189 +V +tp8419 +a(g111 +Vcontinue +p8420 +tp8421 +a(g202 +V; +tp8422 +a(g189 +V\u000a +tp8423 +a(g189 +V +p8424 +tp8425 +a(g111 +Vbreak +p8426 +tp8427 +a(g202 +V; +tp8428 +a(g189 +V\u000a +tp8429 +a(g189 +V\u000a +tp8430 +a(g189 +V +p8431 +tp8432 +a(g111 +Vcase +p8433 +tp8434 +a(g189 +V +tp8435 +a(g189 +V +tp8436 +a(g18 +VBINARY_FLOOR_DIVIDE +p8437 +tp8438 +a(g189 +V: +tp8439 +a(g189 +V\u000a +tp8440 +a(g189 +V +p8441 +tp8442 +a(g18 +Vw +tp8443 +a(g189 +V +tp8444 +a(g344 +V= +tp8445 +a(g189 +V +tp8446 +a(g18 +VPOP +p8447 +tp8448 +a(g202 +V( +tp8449 +a(g202 +V) +tp8450 +a(g202 +V; +tp8451 +a(g189 +V\u000a +tp8452 +a(g189 +V +p8453 +tp8454 +a(g18 +Vv +tp8455 +a(g189 +V +tp8456 +a(g344 +V= +tp8457 +a(g189 +V +tp8458 +a(g18 +VTOP +p8459 +tp8460 +a(g202 +V( +tp8461 +a(g202 +V) +tp8462 +a(g202 +V; +tp8463 +a(g189 +V\u000a +tp8464 +a(g189 +V +p8465 +tp8466 +a(g18 +Vx +tp8467 +a(g189 +V +tp8468 +a(g344 +V= +tp8469 +a(g189 +V +tp8470 +a(g18 +VPyNumber_FloorDivide +p8471 +tp8472 +a(g202 +V( +tp8473 +a(g18 +Vv +tp8474 +a(g202 +V, +tp8475 +a(g189 +V +tp8476 +a(g18 +Vw +tp8477 +a(g202 +V) +tp8478 +a(g202 +V; +tp8479 +a(g189 +V\u000a +tp8480 +a(g189 +V +p8481 +tp8482 +a(g18 +VPy_DECREF +p8483 +tp8484 +a(g202 +V( +tp8485 +a(g18 +Vv +tp8486 +a(g202 +V) +tp8487 +a(g202 +V; +tp8488 +a(g189 +V\u000a +tp8489 +a(g189 +V +p8490 +tp8491 +a(g18 +VPy_DECREF +p8492 +tp8493 +a(g202 +V( +tp8494 +a(g18 +Vw +tp8495 +a(g202 +V) +tp8496 +a(g202 +V; +tp8497 +a(g189 +V\u000a +tp8498 +a(g189 +V +p8499 +tp8500 +a(g18 +VSET_TOP +p8501 +tp8502 +a(g202 +V( +tp8503 +a(g18 +Vx +tp8504 +a(g202 +V) +tp8505 +a(g202 +V; +tp8506 +a(g189 +V\u000a +tp8507 +a(g189 +V +p8508 +tp8509 +a(g111 +Vif +p8510 +tp8511 +a(g189 +V +tp8512 +a(g202 +V( +tp8513 +a(g18 +Vx +tp8514 +a(g189 +V +tp8515 +a(g344 +V! +tp8516 +a(g344 +V= +tp8517 +a(g189 +V +tp8518 +a(g57 +VNULL +p8519 +tp8520 +a(g202 +V) +tp8521 +a(g189 +V +tp8522 +a(g111 +Vcontinue +p8523 +tp8524 +a(g202 +V; +tp8525 +a(g189 +V\u000a +tp8526 +a(g189 +V +p8527 +tp8528 +a(g111 +Vbreak +p8529 +tp8530 +a(g202 +V; +tp8531 +a(g189 +V\u000a +tp8532 +a(g189 +V\u000a +tp8533 +a(g189 +V +p8534 +tp8535 +a(g111 +Vcase +p8536 +tp8537 +a(g189 +V +tp8538 +a(g189 +V +tp8539 +a(g18 +VBINARY_MODULO +p8540 +tp8541 +a(g189 +V: +tp8542 +a(g189 +V\u000a +tp8543 +a(g189 +V +p8544 +tp8545 +a(g18 +Vw +tp8546 +a(g189 +V +tp8547 +a(g344 +V= +tp8548 +a(g189 +V +tp8549 +a(g18 +VPOP +p8550 +tp8551 +a(g202 +V( +tp8552 +a(g202 +V) +tp8553 +a(g202 +V; +tp8554 +a(g189 +V\u000a +tp8555 +a(g189 +V +p8556 +tp8557 +a(g18 +Vv +tp8558 +a(g189 +V +tp8559 +a(g344 +V= +tp8560 +a(g189 +V +tp8561 +a(g18 +VTOP +p8562 +tp8563 +a(g202 +V( +tp8564 +a(g202 +V) +tp8565 +a(g202 +V; +tp8566 +a(g189 +V\u000a +tp8567 +a(g189 +V +p8568 +tp8569 +a(g18 +Vx +tp8570 +a(g189 +V +tp8571 +a(g344 +V= +tp8572 +a(g189 +V +tp8573 +a(g18 +VPyNumber_Remainder +p8574 +tp8575 +a(g202 +V( +tp8576 +a(g18 +Vv +tp8577 +a(g202 +V, +tp8578 +a(g189 +V +tp8579 +a(g18 +Vw +tp8580 +a(g202 +V) +tp8581 +a(g202 +V; +tp8582 +a(g189 +V\u000a +tp8583 +a(g189 +V +p8584 +tp8585 +a(g18 +VPy_DECREF +p8586 +tp8587 +a(g202 +V( +tp8588 +a(g18 +Vv +tp8589 +a(g202 +V) +tp8590 +a(g202 +V; +tp8591 +a(g189 +V\u000a +tp8592 +a(g189 +V +p8593 +tp8594 +a(g18 +VPy_DECREF +p8595 +tp8596 +a(g202 +V( +tp8597 +a(g18 +Vw +tp8598 +a(g202 +V) +tp8599 +a(g202 +V; +tp8600 +a(g189 +V\u000a +tp8601 +a(g189 +V +p8602 +tp8603 +a(g18 +VSET_TOP +p8604 +tp8605 +a(g202 +V( +tp8606 +a(g18 +Vx +tp8607 +a(g202 +V) +tp8608 +a(g202 +V; +tp8609 +a(g189 +V\u000a +tp8610 +a(g189 +V +p8611 +tp8612 +a(g111 +Vif +p8613 +tp8614 +a(g189 +V +tp8615 +a(g202 +V( +tp8616 +a(g18 +Vx +tp8617 +a(g189 +V +tp8618 +a(g344 +V! +tp8619 +a(g344 +V= +tp8620 +a(g189 +V +tp8621 +a(g57 +VNULL +p8622 +tp8623 +a(g202 +V) +tp8624 +a(g189 +V +tp8625 +a(g111 +Vcontinue +p8626 +tp8627 +a(g202 +V; +tp8628 +a(g189 +V\u000a +tp8629 +a(g189 +V +p8630 +tp8631 +a(g111 +Vbreak +p8632 +tp8633 +a(g202 +V; +tp8634 +a(g189 +V\u000a +tp8635 +a(g189 +V\u000a +tp8636 +a(g189 +V +p8637 +tp8638 +a(g111 +Vcase +p8639 +tp8640 +a(g189 +V +tp8641 +a(g189 +V +tp8642 +a(g18 +VBINARY_ADD +p8643 +tp8644 +a(g189 +V: +tp8645 +a(g189 +V\u000a +tp8646 +a(g189 +V +p8647 +tp8648 +a(g18 +Vw +tp8649 +a(g189 +V +tp8650 +a(g344 +V= +tp8651 +a(g189 +V +tp8652 +a(g18 +VPOP +p8653 +tp8654 +a(g202 +V( +tp8655 +a(g202 +V) +tp8656 +a(g202 +V; +tp8657 +a(g189 +V\u000a +tp8658 +a(g189 +V +p8659 +tp8660 +a(g18 +Vv +tp8661 +a(g189 +V +tp8662 +a(g344 +V= +tp8663 +a(g189 +V +tp8664 +a(g18 +VTOP +p8665 +tp8666 +a(g202 +V( +tp8667 +a(g202 +V) +tp8668 +a(g202 +V; +tp8669 +a(g189 +V\u000a +tp8670 +a(g189 +V +p8671 +tp8672 +a(g111 +Vif +p8673 +tp8674 +a(g189 +V +tp8675 +a(g202 +V( +tp8676 +a(g18 +VPyInt_CheckExact +p8677 +tp8678 +a(g202 +V( +tp8679 +a(g18 +Vv +tp8680 +a(g202 +V) +tp8681 +a(g189 +V +tp8682 +a(g344 +V& +tp8683 +a(g344 +V& +tp8684 +a(g189 +V +tp8685 +a(g18 +VPyInt_CheckExact +p8686 +tp8687 +a(g202 +V( +tp8688 +a(g18 +Vw +tp8689 +a(g202 +V) +tp8690 +a(g202 +V) +tp8691 +a(g189 +V +tp8692 +a(g202 +V{ +tp8693 +a(g189 +V\u000a +tp8694 +a(g189 +V +p8695 +tp8696 +a(g7 +V/* INLINE: int + int */ +p8697 +tp8698 +a(g189 +V\u000a +tp8699 +a(g189 +V +p8700 +tp8701 +a(g111 +Vregister +p8702 +tp8703 +a(g189 +V +tp8704 +a(g139 +Vlong +p8705 +tp8706 +a(g189 +V +tp8707 +a(g18 +Va +tp8708 +a(g202 +V, +tp8709 +a(g189 +V +tp8710 +a(g18 +Vb +tp8711 +a(g202 +V, +tp8712 +a(g189 +V +tp8713 +a(g18 +Vi +tp8714 +a(g202 +V; +tp8715 +a(g189 +V\u000a +tp8716 +a(g189 +V +p8717 +tp8718 +a(g18 +Va +tp8719 +a(g189 +V +tp8720 +a(g344 +V= +tp8721 +a(g189 +V +tp8722 +a(g18 +VPyInt_AS_LONG +p8723 +tp8724 +a(g202 +V( +tp8725 +a(g18 +Vv +tp8726 +a(g202 +V) +tp8727 +a(g202 +V; +tp8728 +a(g189 +V\u000a +tp8729 +a(g189 +V +p8730 +tp8731 +a(g18 +Vb +tp8732 +a(g189 +V +tp8733 +a(g344 +V= +tp8734 +a(g189 +V +tp8735 +a(g18 +VPyInt_AS_LONG +p8736 +tp8737 +a(g202 +V( +tp8738 +a(g18 +Vw +tp8739 +a(g202 +V) +tp8740 +a(g202 +V; +tp8741 +a(g189 +V\u000a +tp8742 +a(g189 +V +p8743 +tp8744 +a(g18 +Vi +tp8745 +a(g189 +V +tp8746 +a(g344 +V= +tp8747 +a(g189 +V +tp8748 +a(g18 +Va +tp8749 +a(g189 +V +tp8750 +a(g344 +V+ +tp8751 +a(g189 +V +tp8752 +a(g18 +Vb +tp8753 +a(g202 +V; +tp8754 +a(g189 +V\u000a +tp8755 +a(g189 +V +p8756 +tp8757 +a(g111 +Vif +p8758 +tp8759 +a(g189 +V +tp8760 +a(g202 +V( +tp8761 +a(g202 +V( +tp8762 +a(g18 +Vi +tp8763 +a(g344 +V^ +tp8764 +a(g18 +Va +tp8765 +a(g202 +V) +tp8766 +a(g189 +V +tp8767 +a(g344 +V< +tp8768 +a(g189 +V +tp8769 +a(g319 +V0 +tp8770 +a(g189 +V +tp8771 +a(g344 +V& +tp8772 +a(g344 +V& +tp8773 +a(g189 +V +tp8774 +a(g202 +V( +tp8775 +a(g18 +Vi +tp8776 +a(g344 +V^ +tp8777 +a(g18 +Vb +tp8778 +a(g202 +V) +tp8779 +a(g189 +V +tp8780 +a(g344 +V< +tp8781 +a(g189 +V +tp8782 +a(g319 +V0 +tp8783 +a(g202 +V) +tp8784 +a(g189 +V\u000a +tp8785 +a(g189 +V +p8786 +tp8787 +a(g111 +Vgoto +p8788 +tp8789 +a(g189 +V +tp8790 +a(g18 +Vslow_add +p8791 +tp8792 +a(g202 +V; +tp8793 +a(g189 +V\u000a +tp8794 +a(g189 +V +p8795 +tp8796 +a(g18 +Vx +tp8797 +a(g189 +V +tp8798 +a(g344 +V= +tp8799 +a(g189 +V +tp8800 +a(g18 +VPyInt_FromLong +p8801 +tp8802 +a(g202 +V( +tp8803 +a(g18 +Vi +tp8804 +a(g202 +V) +tp8805 +a(g202 +V; +tp8806 +a(g189 +V\u000a +tp8807 +a(g189 +V +p8808 +tp8809 +a(g202 +V} +tp8810 +a(g189 +V\u000a +tp8811 +a(g189 +V +p8812 +tp8813 +a(g111 +Velse +p8814 +tp8815 +a(g189 +V +tp8816 +a(g111 +Vif +p8817 +tp8818 +a(g189 +V +tp8819 +a(g202 +V( +tp8820 +a(g18 +VPyString_CheckExact +p8821 +tp8822 +a(g202 +V( +tp8823 +a(g18 +Vv +tp8824 +a(g202 +V) +tp8825 +a(g189 +V +tp8826 +a(g344 +V& +tp8827 +a(g344 +V& +tp8828 +a(g189 +V\u000a +tp8829 +a(g189 +V +p8830 +tp8831 +a(g18 +VPyString_CheckExact +p8832 +tp8833 +a(g202 +V( +tp8834 +a(g18 +Vw +tp8835 +a(g202 +V) +tp8836 +a(g202 +V) +tp8837 +a(g189 +V +tp8838 +a(g202 +V{ +tp8839 +a(g189 +V\u000a +tp8840 +a(g189 +V +p8841 +tp8842 +a(g18 +Vx +tp8843 +a(g189 +V +tp8844 +a(g344 +V= +tp8845 +a(g189 +V +tp8846 +a(g18 +Vstring_concatenate +p8847 +tp8848 +a(g202 +V( +tp8849 +a(g18 +Vv +tp8850 +a(g202 +V, +tp8851 +a(g189 +V +tp8852 +a(g18 +Vw +tp8853 +a(g202 +V, +tp8854 +a(g189 +V +tp8855 +a(g18 +Vf +tp8856 +a(g202 +V, +tp8857 +a(g189 +V +tp8858 +a(g18 +Vnext_instr +p8859 +tp8860 +a(g202 +V) +tp8861 +a(g202 +V; +tp8862 +a(g189 +V\u000a +tp8863 +a(g189 +V +p8864 +tp8865 +a(g7 +V/* string_concatenate consumed the ref to v */ +p8866 +tp8867 +a(g189 +V\u000a +tp8868 +a(g189 +V +p8869 +tp8870 +a(g111 +Vgoto +p8871 +tp8872 +a(g189 +V +tp8873 +a(g18 +Vskip_decref_vx +p8874 +tp8875 +a(g202 +V; +tp8876 +a(g189 +V\u000a +tp8877 +a(g189 +V +p8878 +tp8879 +a(g202 +V} +tp8880 +a(g189 +V\u000a +tp8881 +a(g189 +V +p8882 +tp8883 +a(g111 +Velse +p8884 +tp8885 +a(g189 +V +tp8886 +a(g202 +V{ +tp8887 +a(g189 +V\u000a +tp8888 +a(g189 +V +p8889 +tp8890 +a(g45 +Vslow_add: +p8891 +tp8892 +a(g189 +V\u000a +tp8893 +a(g189 +V +p8894 +tp8895 +a(g18 +Vx +tp8896 +a(g189 +V +tp8897 +a(g344 +V= +tp8898 +a(g189 +V +tp8899 +a(g18 +VPyNumber_Add +p8900 +tp8901 +a(g202 +V( +tp8902 +a(g18 +Vv +tp8903 +a(g202 +V, +tp8904 +a(g189 +V +tp8905 +a(g18 +Vw +tp8906 +a(g202 +V) +tp8907 +a(g202 +V; +tp8908 +a(g189 +V\u000a +tp8909 +a(g189 +V +p8910 +tp8911 +a(g202 +V} +tp8912 +a(g189 +V\u000a +tp8913 +a(g189 +V +p8914 +tp8915 +a(g18 +VPy_DECREF +p8916 +tp8917 +a(g202 +V( +tp8918 +a(g18 +Vv +tp8919 +a(g202 +V) +tp8920 +a(g202 +V; +tp8921 +a(g189 +V\u000a +tp8922 +a(g189 +V +p8923 +tp8924 +a(g45 +Vskip_decref_vx: +p8925 +tp8926 +a(g189 +V\u000a +tp8927 +a(g189 +V +p8928 +tp8929 +a(g18 +VPy_DECREF +p8930 +tp8931 +a(g202 +V( +tp8932 +a(g18 +Vw +tp8933 +a(g202 +V) +tp8934 +a(g202 +V; +tp8935 +a(g189 +V\u000a +tp8936 +a(g189 +V +p8937 +tp8938 +a(g18 +VSET_TOP +p8939 +tp8940 +a(g202 +V( +tp8941 +a(g18 +Vx +tp8942 +a(g202 +V) +tp8943 +a(g202 +V; +tp8944 +a(g189 +V\u000a +tp8945 +a(g189 +V +p8946 +tp8947 +a(g111 +Vif +p8948 +tp8949 +a(g189 +V +tp8950 +a(g202 +V( +tp8951 +a(g18 +Vx +tp8952 +a(g189 +V +tp8953 +a(g344 +V! +tp8954 +a(g344 +V= +tp8955 +a(g189 +V +tp8956 +a(g57 +VNULL +p8957 +tp8958 +a(g202 +V) +tp8959 +a(g189 +V +tp8960 +a(g111 +Vcontinue +p8961 +tp8962 +a(g202 +V; +tp8963 +a(g189 +V\u000a +tp8964 +a(g189 +V +p8965 +tp8966 +a(g111 +Vbreak +p8967 +tp8968 +a(g202 +V; +tp8969 +a(g189 +V\u000a +tp8970 +a(g189 +V\u000a +tp8971 +a(g189 +V +p8972 +tp8973 +a(g111 +Vcase +p8974 +tp8975 +a(g189 +V +tp8976 +a(g189 +V +tp8977 +a(g18 +VBINARY_SUBTRACT +p8978 +tp8979 +a(g189 +V: +tp8980 +a(g189 +V\u000a +tp8981 +a(g189 +V +p8982 +tp8983 +a(g18 +Vw +tp8984 +a(g189 +V +tp8985 +a(g344 +V= +tp8986 +a(g189 +V +tp8987 +a(g18 +VPOP +p8988 +tp8989 +a(g202 +V( +tp8990 +a(g202 +V) +tp8991 +a(g202 +V; +tp8992 +a(g189 +V\u000a +tp8993 +a(g189 +V +p8994 +tp8995 +a(g18 +Vv +tp8996 +a(g189 +V +tp8997 +a(g344 +V= +tp8998 +a(g189 +V +tp8999 +a(g18 +VTOP +p9000 +tp9001 +a(g202 +V( +tp9002 +a(g202 +V) +tp9003 +a(g202 +V; +tp9004 +a(g189 +V\u000a +tp9005 +a(g189 +V +p9006 +tp9007 +a(g111 +Vif +p9008 +tp9009 +a(g189 +V +tp9010 +a(g202 +V( +tp9011 +a(g18 +VPyInt_CheckExact +p9012 +tp9013 +a(g202 +V( +tp9014 +a(g18 +Vv +tp9015 +a(g202 +V) +tp9016 +a(g189 +V +tp9017 +a(g344 +V& +tp9018 +a(g344 +V& +tp9019 +a(g189 +V +tp9020 +a(g18 +VPyInt_CheckExact +p9021 +tp9022 +a(g202 +V( +tp9023 +a(g18 +Vw +tp9024 +a(g202 +V) +tp9025 +a(g202 +V) +tp9026 +a(g189 +V +tp9027 +a(g202 +V{ +tp9028 +a(g189 +V\u000a +tp9029 +a(g189 +V +p9030 +tp9031 +a(g7 +V/* INLINE: int - int */ +p9032 +tp9033 +a(g189 +V\u000a +tp9034 +a(g189 +V +p9035 +tp9036 +a(g111 +Vregister +p9037 +tp9038 +a(g189 +V +tp9039 +a(g139 +Vlong +p9040 +tp9041 +a(g189 +V +tp9042 +a(g18 +Va +tp9043 +a(g202 +V, +tp9044 +a(g189 +V +tp9045 +a(g18 +Vb +tp9046 +a(g202 +V, +tp9047 +a(g189 +V +tp9048 +a(g18 +Vi +tp9049 +a(g202 +V; +tp9050 +a(g189 +V\u000a +tp9051 +a(g189 +V +p9052 +tp9053 +a(g18 +Va +tp9054 +a(g189 +V +tp9055 +a(g344 +V= +tp9056 +a(g189 +V +tp9057 +a(g18 +VPyInt_AS_LONG +p9058 +tp9059 +a(g202 +V( +tp9060 +a(g18 +Vv +tp9061 +a(g202 +V) +tp9062 +a(g202 +V; +tp9063 +a(g189 +V\u000a +tp9064 +a(g189 +V +p9065 +tp9066 +a(g18 +Vb +tp9067 +a(g189 +V +tp9068 +a(g344 +V= +tp9069 +a(g189 +V +tp9070 +a(g18 +VPyInt_AS_LONG +p9071 +tp9072 +a(g202 +V( +tp9073 +a(g18 +Vw +tp9074 +a(g202 +V) +tp9075 +a(g202 +V; +tp9076 +a(g189 +V\u000a +tp9077 +a(g189 +V +p9078 +tp9079 +a(g18 +Vi +tp9080 +a(g189 +V +tp9081 +a(g344 +V= +tp9082 +a(g189 +V +tp9083 +a(g18 +Va +tp9084 +a(g189 +V +tp9085 +a(g344 +V- +tp9086 +a(g189 +V +tp9087 +a(g18 +Vb +tp9088 +a(g202 +V; +tp9089 +a(g189 +V\u000a +tp9090 +a(g189 +V +p9091 +tp9092 +a(g111 +Vif +p9093 +tp9094 +a(g189 +V +tp9095 +a(g202 +V( +tp9096 +a(g202 +V( +tp9097 +a(g18 +Vi +tp9098 +a(g344 +V^ +tp9099 +a(g18 +Va +tp9100 +a(g202 +V) +tp9101 +a(g189 +V +tp9102 +a(g344 +V< +tp9103 +a(g189 +V +tp9104 +a(g319 +V0 +tp9105 +a(g189 +V +tp9106 +a(g344 +V& +tp9107 +a(g344 +V& +tp9108 +a(g189 +V +tp9109 +a(g202 +V( +tp9110 +a(g18 +Vi +tp9111 +a(g344 +V^ +tp9112 +a(g344 +V~ +tp9113 +a(g18 +Vb +tp9114 +a(g202 +V) +tp9115 +a(g189 +V +tp9116 +a(g344 +V< +tp9117 +a(g189 +V +tp9118 +a(g319 +V0 +tp9119 +a(g202 +V) +tp9120 +a(g189 +V\u000a +tp9121 +a(g189 +V +p9122 +tp9123 +a(g111 +Vgoto +p9124 +tp9125 +a(g189 +V +tp9126 +a(g18 +Vslow_sub +p9127 +tp9128 +a(g202 +V; +tp9129 +a(g189 +V\u000a +tp9130 +a(g189 +V +p9131 +tp9132 +a(g18 +Vx +tp9133 +a(g189 +V +tp9134 +a(g344 +V= +tp9135 +a(g189 +V +tp9136 +a(g18 +VPyInt_FromLong +p9137 +tp9138 +a(g202 +V( +tp9139 +a(g18 +Vi +tp9140 +a(g202 +V) +tp9141 +a(g202 +V; +tp9142 +a(g189 +V\u000a +tp9143 +a(g189 +V +p9144 +tp9145 +a(g202 +V} +tp9146 +a(g189 +V\u000a +tp9147 +a(g189 +V +p9148 +tp9149 +a(g111 +Velse +p9150 +tp9151 +a(g189 +V +tp9152 +a(g202 +V{ +tp9153 +a(g189 +V\u000a +tp9154 +a(g189 +V +p9155 +tp9156 +a(g45 +Vslow_sub: +p9157 +tp9158 +a(g189 +V\u000a +tp9159 +a(g189 +V +p9160 +tp9161 +a(g18 +Vx +tp9162 +a(g189 +V +tp9163 +a(g344 +V= +tp9164 +a(g189 +V +tp9165 +a(g18 +VPyNumber_Subtract +p9166 +tp9167 +a(g202 +V( +tp9168 +a(g18 +Vv +tp9169 +a(g202 +V, +tp9170 +a(g189 +V +tp9171 +a(g18 +Vw +tp9172 +a(g202 +V) +tp9173 +a(g202 +V; +tp9174 +a(g189 +V\u000a +tp9175 +a(g189 +V +p9176 +tp9177 +a(g202 +V} +tp9178 +a(g189 +V\u000a +tp9179 +a(g189 +V +p9180 +tp9181 +a(g18 +VPy_DECREF +p9182 +tp9183 +a(g202 +V( +tp9184 +a(g18 +Vv +tp9185 +a(g202 +V) +tp9186 +a(g202 +V; +tp9187 +a(g189 +V\u000a +tp9188 +a(g189 +V +p9189 +tp9190 +a(g18 +VPy_DECREF +p9191 +tp9192 +a(g202 +V( +tp9193 +a(g18 +Vw +tp9194 +a(g202 +V) +tp9195 +a(g202 +V; +tp9196 +a(g189 +V\u000a +tp9197 +a(g189 +V +p9198 +tp9199 +a(g18 +VSET_TOP +p9200 +tp9201 +a(g202 +V( +tp9202 +a(g18 +Vx +tp9203 +a(g202 +V) +tp9204 +a(g202 +V; +tp9205 +a(g189 +V\u000a +tp9206 +a(g189 +V +p9207 +tp9208 +a(g111 +Vif +p9209 +tp9210 +a(g189 +V +tp9211 +a(g202 +V( +tp9212 +a(g18 +Vx +tp9213 +a(g189 +V +tp9214 +a(g344 +V! +tp9215 +a(g344 +V= +tp9216 +a(g189 +V +tp9217 +a(g57 +VNULL +p9218 +tp9219 +a(g202 +V) +tp9220 +a(g189 +V +tp9221 +a(g111 +Vcontinue +p9222 +tp9223 +a(g202 +V; +tp9224 +a(g189 +V\u000a +tp9225 +a(g189 +V +p9226 +tp9227 +a(g111 +Vbreak +p9228 +tp9229 +a(g202 +V; +tp9230 +a(g189 +V\u000a +tp9231 +a(g189 +V\u000a +tp9232 +a(g189 +V +p9233 +tp9234 +a(g111 +Vcase +p9235 +tp9236 +a(g189 +V +tp9237 +a(g189 +V +tp9238 +a(g18 +VBINARY_SUBSCR +p9239 +tp9240 +a(g189 +V: +tp9241 +a(g189 +V\u000a +tp9242 +a(g189 +V +p9243 +tp9244 +a(g18 +Vw +tp9245 +a(g189 +V +tp9246 +a(g344 +V= +tp9247 +a(g189 +V +tp9248 +a(g18 +VPOP +p9249 +tp9250 +a(g202 +V( +tp9251 +a(g202 +V) +tp9252 +a(g202 +V; +tp9253 +a(g189 +V\u000a +tp9254 +a(g189 +V +p9255 +tp9256 +a(g18 +Vv +tp9257 +a(g189 +V +tp9258 +a(g344 +V= +tp9259 +a(g189 +V +tp9260 +a(g18 +VTOP +p9261 +tp9262 +a(g202 +V( +tp9263 +a(g202 +V) +tp9264 +a(g202 +V; +tp9265 +a(g189 +V\u000a +tp9266 +a(g189 +V +p9267 +tp9268 +a(g111 +Vif +p9269 +tp9270 +a(g189 +V +tp9271 +a(g202 +V( +tp9272 +a(g18 +VPyList_CheckExact +p9273 +tp9274 +a(g202 +V( +tp9275 +a(g18 +Vv +tp9276 +a(g202 +V) +tp9277 +a(g189 +V +tp9278 +a(g344 +V& +tp9279 +a(g344 +V& +tp9280 +a(g189 +V +tp9281 +a(g18 +VPyInt_CheckExact +p9282 +tp9283 +a(g202 +V( +tp9284 +a(g18 +Vw +tp9285 +a(g202 +V) +tp9286 +a(g202 +V) +tp9287 +a(g189 +V +tp9288 +a(g202 +V{ +tp9289 +a(g189 +V\u000a +tp9290 +a(g189 +V +p9291 +tp9292 +a(g7 +V/* INLINE: list[int] */ +p9293 +tp9294 +a(g189 +V\u000a +tp9295 +a(g189 +V +p9296 +tp9297 +a(g18 +VPy_ssize_t +p9298 +tp9299 +a(g189 +V +tp9300 +a(g18 +Vi +tp9301 +a(g189 +V +tp9302 +a(g344 +V= +tp9303 +a(g189 +V +tp9304 +a(g18 +VPyInt_AsSsize_t +p9305 +tp9306 +a(g202 +V( +tp9307 +a(g18 +Vw +tp9308 +a(g202 +V) +tp9309 +a(g202 +V; +tp9310 +a(g189 +V\u000a +tp9311 +a(g189 +V +p9312 +tp9313 +a(g111 +Vif +p9314 +tp9315 +a(g189 +V +tp9316 +a(g202 +V( +tp9317 +a(g18 +Vi +tp9318 +a(g189 +V +tp9319 +a(g344 +V< +tp9320 +a(g189 +V +tp9321 +a(g319 +V0 +tp9322 +a(g202 +V) +tp9323 +a(g189 +V\u000a +tp9324 +a(g189 +V +p9325 +tp9326 +a(g18 +Vi +tp9327 +a(g189 +V +tp9328 +a(g344 +V+ +tp9329 +a(g344 +V= +tp9330 +a(g189 +V +tp9331 +a(g18 +VPyList_GET_SIZE +p9332 +tp9333 +a(g202 +V( +tp9334 +a(g18 +Vv +tp9335 +a(g202 +V) +tp9336 +a(g202 +V; +tp9337 +a(g189 +V\u000a +tp9338 +a(g189 +V +p9339 +tp9340 +a(g111 +Vif +p9341 +tp9342 +a(g189 +V +tp9343 +a(g202 +V( +tp9344 +a(g18 +Vi +tp9345 +a(g189 +V +tp9346 +a(g344 +V> +tp9347 +a(g344 +V= +tp9348 +a(g189 +V +tp9349 +a(g319 +V0 +tp9350 +a(g189 +V +tp9351 +a(g344 +V& +tp9352 +a(g344 +V& +tp9353 +a(g189 +V +tp9354 +a(g18 +Vi +tp9355 +a(g189 +V +tp9356 +a(g344 +V< +tp9357 +a(g189 +V +tp9358 +a(g18 +VPyList_GET_SIZE +p9359 +tp9360 +a(g202 +V( +tp9361 +a(g18 +Vv +tp9362 +a(g202 +V) +tp9363 +a(g202 +V) +tp9364 +a(g189 +V +tp9365 +a(g202 +V{ +tp9366 +a(g189 +V\u000a +tp9367 +a(g189 +V +p9368 +tp9369 +a(g18 +Vx +tp9370 +a(g189 +V +tp9371 +a(g344 +V= +tp9372 +a(g189 +V +tp9373 +a(g18 +VPyList_GET_ITEM +p9374 +tp9375 +a(g202 +V( +tp9376 +a(g18 +Vv +tp9377 +a(g202 +V, +tp9378 +a(g189 +V +tp9379 +a(g18 +Vi +tp9380 +a(g202 +V) +tp9381 +a(g202 +V; +tp9382 +a(g189 +V\u000a +tp9383 +a(g189 +V +p9384 +tp9385 +a(g18 +VPy_INCREF +p9386 +tp9387 +a(g202 +V( +tp9388 +a(g18 +Vx +tp9389 +a(g202 +V) +tp9390 +a(g202 +V; +tp9391 +a(g189 +V\u000a +tp9392 +a(g189 +V +p9393 +tp9394 +a(g202 +V} +tp9395 +a(g189 +V\u000a +tp9396 +a(g189 +V +p9397 +tp9398 +a(g111 +Velse +p9399 +tp9400 +a(g189 +V\u000a +tp9401 +a(g189 +V +p9402 +tp9403 +a(g111 +Vgoto +p9404 +tp9405 +a(g189 +V +tp9406 +a(g18 +Vslow_get +p9407 +tp9408 +a(g202 +V; +tp9409 +a(g189 +V\u000a +tp9410 +a(g189 +V +p9411 +tp9412 +a(g202 +V} +tp9413 +a(g189 +V\u000a +tp9414 +a(g189 +V +p9415 +tp9416 +a(g111 +Velse +p9417 +tp9418 +a(g189 +V\u000a +tp9419 +a(g189 +V +p9420 +tp9421 +a(g45 +Vslow_get: +p9422 +tp9423 +a(g189 +V\u000a +tp9424 +a(g189 +V +p9425 +tp9426 +a(g18 +Vx +tp9427 +a(g189 +V +tp9428 +a(g344 +V= +tp9429 +a(g189 +V +tp9430 +a(g18 +VPyObject_GetItem +p9431 +tp9432 +a(g202 +V( +tp9433 +a(g18 +Vv +tp9434 +a(g202 +V, +tp9435 +a(g189 +V +tp9436 +a(g18 +Vw +tp9437 +a(g202 +V) +tp9438 +a(g202 +V; +tp9439 +a(g189 +V\u000a +tp9440 +a(g189 +V +p9441 +tp9442 +a(g18 +VPy_DECREF +p9443 +tp9444 +a(g202 +V( +tp9445 +a(g18 +Vv +tp9446 +a(g202 +V) +tp9447 +a(g202 +V; +tp9448 +a(g189 +V\u000a +tp9449 +a(g189 +V +p9450 +tp9451 +a(g18 +VPy_DECREF +p9452 +tp9453 +a(g202 +V( +tp9454 +a(g18 +Vw +tp9455 +a(g202 +V) +tp9456 +a(g202 +V; +tp9457 +a(g189 +V\u000a +tp9458 +a(g189 +V +p9459 +tp9460 +a(g18 +VSET_TOP +p9461 +tp9462 +a(g202 +V( +tp9463 +a(g18 +Vx +tp9464 +a(g202 +V) +tp9465 +a(g202 +V; +tp9466 +a(g189 +V\u000a +tp9467 +a(g189 +V +p9468 +tp9469 +a(g111 +Vif +p9470 +tp9471 +a(g189 +V +tp9472 +a(g202 +V( +tp9473 +a(g18 +Vx +tp9474 +a(g189 +V +tp9475 +a(g344 +V! +tp9476 +a(g344 +V= +tp9477 +a(g189 +V +tp9478 +a(g57 +VNULL +p9479 +tp9480 +a(g202 +V) +tp9481 +a(g189 +V +tp9482 +a(g111 +Vcontinue +p9483 +tp9484 +a(g202 +V; +tp9485 +a(g189 +V\u000a +tp9486 +a(g189 +V +p9487 +tp9488 +a(g111 +Vbreak +p9489 +tp9490 +a(g202 +V; +tp9491 +a(g189 +V\u000a +tp9492 +a(g189 +V\u000a +tp9493 +a(g189 +V +p9494 +tp9495 +a(g111 +Vcase +p9496 +tp9497 +a(g189 +V +tp9498 +a(g189 +V +tp9499 +a(g18 +VBINARY_LSHIFT +p9500 +tp9501 +a(g189 +V: +tp9502 +a(g189 +V\u000a +tp9503 +a(g189 +V +p9504 +tp9505 +a(g18 +Vw +tp9506 +a(g189 +V +tp9507 +a(g344 +V= +tp9508 +a(g189 +V +tp9509 +a(g18 +VPOP +p9510 +tp9511 +a(g202 +V( +tp9512 +a(g202 +V) +tp9513 +a(g202 +V; +tp9514 +a(g189 +V\u000a +tp9515 +a(g189 +V +p9516 +tp9517 +a(g18 +Vv +tp9518 +a(g189 +V +tp9519 +a(g344 +V= +tp9520 +a(g189 +V +tp9521 +a(g18 +VTOP +p9522 +tp9523 +a(g202 +V( +tp9524 +a(g202 +V) +tp9525 +a(g202 +V; +tp9526 +a(g189 +V\u000a +tp9527 +a(g189 +V +p9528 +tp9529 +a(g18 +Vx +tp9530 +a(g189 +V +tp9531 +a(g344 +V= +tp9532 +a(g189 +V +tp9533 +a(g18 +VPyNumber_Lshift +p9534 +tp9535 +a(g202 +V( +tp9536 +a(g18 +Vv +tp9537 +a(g202 +V, +tp9538 +a(g189 +V +tp9539 +a(g18 +Vw +tp9540 +a(g202 +V) +tp9541 +a(g202 +V; +tp9542 +a(g189 +V\u000a +tp9543 +a(g189 +V +p9544 +tp9545 +a(g18 +VPy_DECREF +p9546 +tp9547 +a(g202 +V( +tp9548 +a(g18 +Vv +tp9549 +a(g202 +V) +tp9550 +a(g202 +V; +tp9551 +a(g189 +V\u000a +tp9552 +a(g189 +V +p9553 +tp9554 +a(g18 +VPy_DECREF +p9555 +tp9556 +a(g202 +V( +tp9557 +a(g18 +Vw +tp9558 +a(g202 +V) +tp9559 +a(g202 +V; +tp9560 +a(g189 +V\u000a +tp9561 +a(g189 +V +p9562 +tp9563 +a(g18 +VSET_TOP +p9564 +tp9565 +a(g202 +V( +tp9566 +a(g18 +Vx +tp9567 +a(g202 +V) +tp9568 +a(g202 +V; +tp9569 +a(g189 +V\u000a +tp9570 +a(g189 +V +p9571 +tp9572 +a(g111 +Vif +p9573 +tp9574 +a(g189 +V +tp9575 +a(g202 +V( +tp9576 +a(g18 +Vx +tp9577 +a(g189 +V +tp9578 +a(g344 +V! +tp9579 +a(g344 +V= +tp9580 +a(g189 +V +tp9581 +a(g57 +VNULL +p9582 +tp9583 +a(g202 +V) +tp9584 +a(g189 +V +tp9585 +a(g111 +Vcontinue +p9586 +tp9587 +a(g202 +V; +tp9588 +a(g189 +V\u000a +tp9589 +a(g189 +V +p9590 +tp9591 +a(g111 +Vbreak +p9592 +tp9593 +a(g202 +V; +tp9594 +a(g189 +V\u000a +tp9595 +a(g189 +V\u000a +tp9596 +a(g189 +V +p9597 +tp9598 +a(g111 +Vcase +p9599 +tp9600 +a(g189 +V +tp9601 +a(g189 +V +tp9602 +a(g18 +VBINARY_RSHIFT +p9603 +tp9604 +a(g189 +V: +tp9605 +a(g189 +V\u000a +tp9606 +a(g189 +V +p9607 +tp9608 +a(g18 +Vw +tp9609 +a(g189 +V +tp9610 +a(g344 +V= +tp9611 +a(g189 +V +tp9612 +a(g18 +VPOP +p9613 +tp9614 +a(g202 +V( +tp9615 +a(g202 +V) +tp9616 +a(g202 +V; +tp9617 +a(g189 +V\u000a +tp9618 +a(g189 +V +p9619 +tp9620 +a(g18 +Vv +tp9621 +a(g189 +V +tp9622 +a(g344 +V= +tp9623 +a(g189 +V +tp9624 +a(g18 +VTOP +p9625 +tp9626 +a(g202 +V( +tp9627 +a(g202 +V) +tp9628 +a(g202 +V; +tp9629 +a(g189 +V\u000a +tp9630 +a(g189 +V +p9631 +tp9632 +a(g18 +Vx +tp9633 +a(g189 +V +tp9634 +a(g344 +V= +tp9635 +a(g189 +V +tp9636 +a(g18 +VPyNumber_Rshift +p9637 +tp9638 +a(g202 +V( +tp9639 +a(g18 +Vv +tp9640 +a(g202 +V, +tp9641 +a(g189 +V +tp9642 +a(g18 +Vw +tp9643 +a(g202 +V) +tp9644 +a(g202 +V; +tp9645 +a(g189 +V\u000a +tp9646 +a(g189 +V +p9647 +tp9648 +a(g18 +VPy_DECREF +p9649 +tp9650 +a(g202 +V( +tp9651 +a(g18 +Vv +tp9652 +a(g202 +V) +tp9653 +a(g202 +V; +tp9654 +a(g189 +V\u000a +tp9655 +a(g189 +V +p9656 +tp9657 +a(g18 +VPy_DECREF +p9658 +tp9659 +a(g202 +V( +tp9660 +a(g18 +Vw +tp9661 +a(g202 +V) +tp9662 +a(g202 +V; +tp9663 +a(g189 +V\u000a +tp9664 +a(g189 +V +p9665 +tp9666 +a(g18 +VSET_TOP +p9667 +tp9668 +a(g202 +V( +tp9669 +a(g18 +Vx +tp9670 +a(g202 +V) +tp9671 +a(g202 +V; +tp9672 +a(g189 +V\u000a +tp9673 +a(g189 +V +p9674 +tp9675 +a(g111 +Vif +p9676 +tp9677 +a(g189 +V +tp9678 +a(g202 +V( +tp9679 +a(g18 +Vx +tp9680 +a(g189 +V +tp9681 +a(g344 +V! +tp9682 +a(g344 +V= +tp9683 +a(g189 +V +tp9684 +a(g57 +VNULL +p9685 +tp9686 +a(g202 +V) +tp9687 +a(g189 +V +tp9688 +a(g111 +Vcontinue +p9689 +tp9690 +a(g202 +V; +tp9691 +a(g189 +V\u000a +tp9692 +a(g189 +V +p9693 +tp9694 +a(g111 +Vbreak +p9695 +tp9696 +a(g202 +V; +tp9697 +a(g189 +V\u000a +tp9698 +a(g189 +V\u000a +tp9699 +a(g189 +V +p9700 +tp9701 +a(g111 +Vcase +p9702 +tp9703 +a(g189 +V +tp9704 +a(g189 +V +tp9705 +a(g18 +VBINARY_AND +p9706 +tp9707 +a(g189 +V: +tp9708 +a(g189 +V\u000a +tp9709 +a(g189 +V +p9710 +tp9711 +a(g18 +Vw +tp9712 +a(g189 +V +tp9713 +a(g344 +V= +tp9714 +a(g189 +V +tp9715 +a(g18 +VPOP +p9716 +tp9717 +a(g202 +V( +tp9718 +a(g202 +V) +tp9719 +a(g202 +V; +tp9720 +a(g189 +V\u000a +tp9721 +a(g189 +V +p9722 +tp9723 +a(g18 +Vv +tp9724 +a(g189 +V +tp9725 +a(g344 +V= +tp9726 +a(g189 +V +tp9727 +a(g18 +VTOP +p9728 +tp9729 +a(g202 +V( +tp9730 +a(g202 +V) +tp9731 +a(g202 +V; +tp9732 +a(g189 +V\u000a +tp9733 +a(g189 +V +p9734 +tp9735 +a(g18 +Vx +tp9736 +a(g189 +V +tp9737 +a(g344 +V= +tp9738 +a(g189 +V +tp9739 +a(g18 +VPyNumber_And +p9740 +tp9741 +a(g202 +V( +tp9742 +a(g18 +Vv +tp9743 +a(g202 +V, +tp9744 +a(g189 +V +tp9745 +a(g18 +Vw +tp9746 +a(g202 +V) +tp9747 +a(g202 +V; +tp9748 +a(g189 +V\u000a +tp9749 +a(g189 +V +p9750 +tp9751 +a(g18 +VPy_DECREF +p9752 +tp9753 +a(g202 +V( +tp9754 +a(g18 +Vv +tp9755 +a(g202 +V) +tp9756 +a(g202 +V; +tp9757 +a(g189 +V\u000a +tp9758 +a(g189 +V +p9759 +tp9760 +a(g18 +VPy_DECREF +p9761 +tp9762 +a(g202 +V( +tp9763 +a(g18 +Vw +tp9764 +a(g202 +V) +tp9765 +a(g202 +V; +tp9766 +a(g189 +V\u000a +tp9767 +a(g189 +V +p9768 +tp9769 +a(g18 +VSET_TOP +p9770 +tp9771 +a(g202 +V( +tp9772 +a(g18 +Vx +tp9773 +a(g202 +V) +tp9774 +a(g202 +V; +tp9775 +a(g189 +V\u000a +tp9776 +a(g189 +V +p9777 +tp9778 +a(g111 +Vif +p9779 +tp9780 +a(g189 +V +tp9781 +a(g202 +V( +tp9782 +a(g18 +Vx +tp9783 +a(g189 +V +tp9784 +a(g344 +V! +tp9785 +a(g344 +V= +tp9786 +a(g189 +V +tp9787 +a(g57 +VNULL +p9788 +tp9789 +a(g202 +V) +tp9790 +a(g189 +V +tp9791 +a(g111 +Vcontinue +p9792 +tp9793 +a(g202 +V; +tp9794 +a(g189 +V\u000a +tp9795 +a(g189 +V +p9796 +tp9797 +a(g111 +Vbreak +p9798 +tp9799 +a(g202 +V; +tp9800 +a(g189 +V\u000a +tp9801 +a(g189 +V\u000a +tp9802 +a(g189 +V +p9803 +tp9804 +a(g111 +Vcase +p9805 +tp9806 +a(g189 +V +tp9807 +a(g189 +V +tp9808 +a(g18 +VBINARY_XOR +p9809 +tp9810 +a(g189 +V: +tp9811 +a(g189 +V\u000a +tp9812 +a(g189 +V +p9813 +tp9814 +a(g18 +Vw +tp9815 +a(g189 +V +tp9816 +a(g344 +V= +tp9817 +a(g189 +V +tp9818 +a(g18 +VPOP +p9819 +tp9820 +a(g202 +V( +tp9821 +a(g202 +V) +tp9822 +a(g202 +V; +tp9823 +a(g189 +V\u000a +tp9824 +a(g189 +V +p9825 +tp9826 +a(g18 +Vv +tp9827 +a(g189 +V +tp9828 +a(g344 +V= +tp9829 +a(g189 +V +tp9830 +a(g18 +VTOP +p9831 +tp9832 +a(g202 +V( +tp9833 +a(g202 +V) +tp9834 +a(g202 +V; +tp9835 +a(g189 +V\u000a +tp9836 +a(g189 +V +p9837 +tp9838 +a(g18 +Vx +tp9839 +a(g189 +V +tp9840 +a(g344 +V= +tp9841 +a(g189 +V +tp9842 +a(g18 +VPyNumber_Xor +p9843 +tp9844 +a(g202 +V( +tp9845 +a(g18 +Vv +tp9846 +a(g202 +V, +tp9847 +a(g189 +V +tp9848 +a(g18 +Vw +tp9849 +a(g202 +V) +tp9850 +a(g202 +V; +tp9851 +a(g189 +V\u000a +tp9852 +a(g189 +V +p9853 +tp9854 +a(g18 +VPy_DECREF +p9855 +tp9856 +a(g202 +V( +tp9857 +a(g18 +Vv +tp9858 +a(g202 +V) +tp9859 +a(g202 +V; +tp9860 +a(g189 +V\u000a +tp9861 +a(g189 +V +p9862 +tp9863 +a(g18 +VPy_DECREF +p9864 +tp9865 +a(g202 +V( +tp9866 +a(g18 +Vw +tp9867 +a(g202 +V) +tp9868 +a(g202 +V; +tp9869 +a(g189 +V\u000a +tp9870 +a(g189 +V +p9871 +tp9872 +a(g18 +VSET_TOP +p9873 +tp9874 +a(g202 +V( +tp9875 +a(g18 +Vx +tp9876 +a(g202 +V) +tp9877 +a(g202 +V; +tp9878 +a(g189 +V\u000a +tp9879 +a(g189 +V +p9880 +tp9881 +a(g111 +Vif +p9882 +tp9883 +a(g189 +V +tp9884 +a(g202 +V( +tp9885 +a(g18 +Vx +tp9886 +a(g189 +V +tp9887 +a(g344 +V! +tp9888 +a(g344 +V= +tp9889 +a(g189 +V +tp9890 +a(g57 +VNULL +p9891 +tp9892 +a(g202 +V) +tp9893 +a(g189 +V +tp9894 +a(g111 +Vcontinue +p9895 +tp9896 +a(g202 +V; +tp9897 +a(g189 +V\u000a +tp9898 +a(g189 +V +p9899 +tp9900 +a(g111 +Vbreak +p9901 +tp9902 +a(g202 +V; +tp9903 +a(g189 +V\u000a +tp9904 +a(g189 +V\u000a +tp9905 +a(g189 +V +p9906 +tp9907 +a(g111 +Vcase +p9908 +tp9909 +a(g189 +V +tp9910 +a(g189 +V +tp9911 +a(g18 +VBINARY_OR +p9912 +tp9913 +a(g189 +V: +tp9914 +a(g189 +V\u000a +tp9915 +a(g189 +V +p9916 +tp9917 +a(g18 +Vw +tp9918 +a(g189 +V +tp9919 +a(g344 +V= +tp9920 +a(g189 +V +tp9921 +a(g18 +VPOP +p9922 +tp9923 +a(g202 +V( +tp9924 +a(g202 +V) +tp9925 +a(g202 +V; +tp9926 +a(g189 +V\u000a +tp9927 +a(g189 +V +p9928 +tp9929 +a(g18 +Vv +tp9930 +a(g189 +V +tp9931 +a(g344 +V= +tp9932 +a(g189 +V +tp9933 +a(g18 +VTOP +p9934 +tp9935 +a(g202 +V( +tp9936 +a(g202 +V) +tp9937 +a(g202 +V; +tp9938 +a(g189 +V\u000a +tp9939 +a(g189 +V +p9940 +tp9941 +a(g18 +Vx +tp9942 +a(g189 +V +tp9943 +a(g344 +V= +tp9944 +a(g189 +V +tp9945 +a(g18 +VPyNumber_Or +p9946 +tp9947 +a(g202 +V( +tp9948 +a(g18 +Vv +tp9949 +a(g202 +V, +tp9950 +a(g189 +V +tp9951 +a(g18 +Vw +tp9952 +a(g202 +V) +tp9953 +a(g202 +V; +tp9954 +a(g189 +V\u000a +tp9955 +a(g189 +V +p9956 +tp9957 +a(g18 +VPy_DECREF +p9958 +tp9959 +a(g202 +V( +tp9960 +a(g18 +Vv +tp9961 +a(g202 +V) +tp9962 +a(g202 +V; +tp9963 +a(g189 +V\u000a +tp9964 +a(g189 +V +p9965 +tp9966 +a(g18 +VPy_DECREF +p9967 +tp9968 +a(g202 +V( +tp9969 +a(g18 +Vw +tp9970 +a(g202 +V) +tp9971 +a(g202 +V; +tp9972 +a(g189 +V\u000a +tp9973 +a(g189 +V +p9974 +tp9975 +a(g18 +VSET_TOP +p9976 +tp9977 +a(g202 +V( +tp9978 +a(g18 +Vx +tp9979 +a(g202 +V) +tp9980 +a(g202 +V; +tp9981 +a(g189 +V\u000a +tp9982 +a(g189 +V +p9983 +tp9984 +a(g111 +Vif +p9985 +tp9986 +a(g189 +V +tp9987 +a(g202 +V( +tp9988 +a(g18 +Vx +tp9989 +a(g189 +V +tp9990 +a(g344 +V! +tp9991 +a(g344 +V= +tp9992 +a(g189 +V +tp9993 +a(g57 +VNULL +p9994 +tp9995 +a(g202 +V) +tp9996 +a(g189 +V +tp9997 +a(g111 +Vcontinue +p9998 +tp9999 +a(g202 +V; +tp10000 +a(g189 +V\u000a +tp10001 +a(g189 +V +p10002 +tp10003 +a(g111 +Vbreak +p10004 +tp10005 +a(g202 +V; +tp10006 +a(g189 +V\u000a +tp10007 +a(g189 +V\u000a +tp10008 +a(g189 +V +p10009 +tp10010 +a(g111 +Vcase +p10011 +tp10012 +a(g189 +V +tp10013 +a(g189 +V +tp10014 +a(g18 +VLIST_APPEND +p10015 +tp10016 +a(g189 +V: +tp10017 +a(g189 +V\u000a +tp10018 +a(g189 +V +p10019 +tp10020 +a(g18 +Vw +tp10021 +a(g189 +V +tp10022 +a(g344 +V= +tp10023 +a(g189 +V +tp10024 +a(g18 +VPOP +p10025 +tp10026 +a(g202 +V( +tp10027 +a(g202 +V) +tp10028 +a(g202 +V; +tp10029 +a(g189 +V\u000a +tp10030 +a(g189 +V +p10031 +tp10032 +a(g18 +Vv +tp10033 +a(g189 +V +tp10034 +a(g344 +V= +tp10035 +a(g189 +V +tp10036 +a(g18 +VPOP +p10037 +tp10038 +a(g202 +V( +tp10039 +a(g202 +V) +tp10040 +a(g202 +V; +tp10041 +a(g189 +V\u000a +tp10042 +a(g189 +V +p10043 +tp10044 +a(g18 +Verr +p10045 +tp10046 +a(g189 +V +tp10047 +a(g344 +V= +tp10048 +a(g189 +V +tp10049 +a(g18 +VPyList_Append +p10050 +tp10051 +a(g202 +V( +tp10052 +a(g18 +Vv +tp10053 +a(g202 +V, +tp10054 +a(g189 +V +tp10055 +a(g18 +Vw +tp10056 +a(g202 +V) +tp10057 +a(g202 +V; +tp10058 +a(g189 +V\u000a +tp10059 +a(g189 +V +p10060 +tp10061 +a(g18 +VPy_DECREF +p10062 +tp10063 +a(g202 +V( +tp10064 +a(g18 +Vv +tp10065 +a(g202 +V) +tp10066 +a(g202 +V; +tp10067 +a(g189 +V\u000a +tp10068 +a(g189 +V +p10069 +tp10070 +a(g18 +VPy_DECREF +p10071 +tp10072 +a(g202 +V( +tp10073 +a(g18 +Vw +tp10074 +a(g202 +V) +tp10075 +a(g202 +V; +tp10076 +a(g189 +V\u000a +tp10077 +a(g189 +V +p10078 +tp10079 +a(g111 +Vif +p10080 +tp10081 +a(g189 +V +tp10082 +a(g202 +V( +tp10083 +a(g18 +Verr +p10084 +tp10085 +a(g189 +V +tp10086 +a(g344 +V= +tp10087 +a(g344 +V= +tp10088 +a(g189 +V +tp10089 +a(g319 +V0 +tp10090 +a(g202 +V) +tp10091 +a(g189 +V +tp10092 +a(g202 +V{ +tp10093 +a(g189 +V\u000a +tp10094 +a(g189 +V +p10095 +tp10096 +a(g18 +VPREDICT +p10097 +tp10098 +a(g202 +V( +tp10099 +a(g18 +VJUMP_ABSOLUTE +p10100 +tp10101 +a(g202 +V) +tp10102 +a(g202 +V; +tp10103 +a(g189 +V\u000a +tp10104 +a(g189 +V +p10105 +tp10106 +a(g111 +Vcontinue +p10107 +tp10108 +a(g202 +V; +tp10109 +a(g189 +V\u000a +tp10110 +a(g189 +V +p10111 +tp10112 +a(g202 +V} +tp10113 +a(g189 +V\u000a +tp10114 +a(g189 +V +p10115 +tp10116 +a(g111 +Vbreak +p10117 +tp10118 +a(g202 +V; +tp10119 +a(g189 +V\u000a +tp10120 +a(g189 +V\u000a +tp10121 +a(g189 +V +p10122 +tp10123 +a(g111 +Vcase +p10124 +tp10125 +a(g189 +V +tp10126 +a(g189 +V +tp10127 +a(g18 +VINPLACE_POWER +p10128 +tp10129 +a(g189 +V: +tp10130 +a(g189 +V\u000a +tp10131 +a(g189 +V +p10132 +tp10133 +a(g18 +Vw +tp10134 +a(g189 +V +tp10135 +a(g344 +V= +tp10136 +a(g189 +V +tp10137 +a(g18 +VPOP +p10138 +tp10139 +a(g202 +V( +tp10140 +a(g202 +V) +tp10141 +a(g202 +V; +tp10142 +a(g189 +V\u000a +tp10143 +a(g189 +V +p10144 +tp10145 +a(g18 +Vv +tp10146 +a(g189 +V +tp10147 +a(g344 +V= +tp10148 +a(g189 +V +tp10149 +a(g18 +VTOP +p10150 +tp10151 +a(g202 +V( +tp10152 +a(g202 +V) +tp10153 +a(g202 +V; +tp10154 +a(g189 +V\u000a +tp10155 +a(g189 +V +p10156 +tp10157 +a(g18 +Vx +tp10158 +a(g189 +V +tp10159 +a(g344 +V= +tp10160 +a(g189 +V +tp10161 +a(g18 +VPyNumber_InPlacePower +p10162 +tp10163 +a(g202 +V( +tp10164 +a(g18 +Vv +tp10165 +a(g202 +V, +tp10166 +a(g189 +V +tp10167 +a(g18 +Vw +tp10168 +a(g202 +V, +tp10169 +a(g189 +V +tp10170 +a(g18 +VPy_None +p10171 +tp10172 +a(g202 +V) +tp10173 +a(g202 +V; +tp10174 +a(g189 +V\u000a +tp10175 +a(g189 +V +p10176 +tp10177 +a(g18 +VPy_DECREF +p10178 +tp10179 +a(g202 +V( +tp10180 +a(g18 +Vv +tp10181 +a(g202 +V) +tp10182 +a(g202 +V; +tp10183 +a(g189 +V\u000a +tp10184 +a(g189 +V +p10185 +tp10186 +a(g18 +VPy_DECREF +p10187 +tp10188 +a(g202 +V( +tp10189 +a(g18 +Vw +tp10190 +a(g202 +V) +tp10191 +a(g202 +V; +tp10192 +a(g189 +V\u000a +tp10193 +a(g189 +V +p10194 +tp10195 +a(g18 +VSET_TOP +p10196 +tp10197 +a(g202 +V( +tp10198 +a(g18 +Vx +tp10199 +a(g202 +V) +tp10200 +a(g202 +V; +tp10201 +a(g189 +V\u000a +tp10202 +a(g189 +V +p10203 +tp10204 +a(g111 +Vif +p10205 +tp10206 +a(g189 +V +tp10207 +a(g202 +V( +tp10208 +a(g18 +Vx +tp10209 +a(g189 +V +tp10210 +a(g344 +V! +tp10211 +a(g344 +V= +tp10212 +a(g189 +V +tp10213 +a(g57 +VNULL +p10214 +tp10215 +a(g202 +V) +tp10216 +a(g189 +V +tp10217 +a(g111 +Vcontinue +p10218 +tp10219 +a(g202 +V; +tp10220 +a(g189 +V\u000a +tp10221 +a(g189 +V +p10222 +tp10223 +a(g111 +Vbreak +p10224 +tp10225 +a(g202 +V; +tp10226 +a(g189 +V\u000a +tp10227 +a(g189 +V\u000a +tp10228 +a(g189 +V +p10229 +tp10230 +a(g111 +Vcase +p10231 +tp10232 +a(g189 +V +tp10233 +a(g189 +V +tp10234 +a(g18 +VINPLACE_MULTIPLY +p10235 +tp10236 +a(g189 +V: +tp10237 +a(g189 +V\u000a +tp10238 +a(g189 +V +p10239 +tp10240 +a(g18 +Vw +tp10241 +a(g189 +V +tp10242 +a(g344 +V= +tp10243 +a(g189 +V +tp10244 +a(g18 +VPOP +p10245 +tp10246 +a(g202 +V( +tp10247 +a(g202 +V) +tp10248 +a(g202 +V; +tp10249 +a(g189 +V\u000a +tp10250 +a(g189 +V +p10251 +tp10252 +a(g18 +Vv +tp10253 +a(g189 +V +tp10254 +a(g344 +V= +tp10255 +a(g189 +V +tp10256 +a(g18 +VTOP +p10257 +tp10258 +a(g202 +V( +tp10259 +a(g202 +V) +tp10260 +a(g202 +V; +tp10261 +a(g189 +V\u000a +tp10262 +a(g189 +V +p10263 +tp10264 +a(g18 +Vx +tp10265 +a(g189 +V +tp10266 +a(g344 +V= +tp10267 +a(g189 +V +tp10268 +a(g18 +VPyNumber_InPlaceMultiply +p10269 +tp10270 +a(g202 +V( +tp10271 +a(g18 +Vv +tp10272 +a(g202 +V, +tp10273 +a(g189 +V +tp10274 +a(g18 +Vw +tp10275 +a(g202 +V) +tp10276 +a(g202 +V; +tp10277 +a(g189 +V\u000a +tp10278 +a(g189 +V +p10279 +tp10280 +a(g18 +VPy_DECREF +p10281 +tp10282 +a(g202 +V( +tp10283 +a(g18 +Vv +tp10284 +a(g202 +V) +tp10285 +a(g202 +V; +tp10286 +a(g189 +V\u000a +tp10287 +a(g189 +V +p10288 +tp10289 +a(g18 +VPy_DECREF +p10290 +tp10291 +a(g202 +V( +tp10292 +a(g18 +Vw +tp10293 +a(g202 +V) +tp10294 +a(g202 +V; +tp10295 +a(g189 +V\u000a +tp10296 +a(g189 +V +p10297 +tp10298 +a(g18 +VSET_TOP +p10299 +tp10300 +a(g202 +V( +tp10301 +a(g18 +Vx +tp10302 +a(g202 +V) +tp10303 +a(g202 +V; +tp10304 +a(g189 +V\u000a +tp10305 +a(g189 +V +p10306 +tp10307 +a(g111 +Vif +p10308 +tp10309 +a(g189 +V +tp10310 +a(g202 +V( +tp10311 +a(g18 +Vx +tp10312 +a(g189 +V +tp10313 +a(g344 +V! +tp10314 +a(g344 +V= +tp10315 +a(g189 +V +tp10316 +a(g57 +VNULL +p10317 +tp10318 +a(g202 +V) +tp10319 +a(g189 +V +tp10320 +a(g111 +Vcontinue +p10321 +tp10322 +a(g202 +V; +tp10323 +a(g189 +V\u000a +tp10324 +a(g189 +V +p10325 +tp10326 +a(g111 +Vbreak +p10327 +tp10328 +a(g202 +V; +tp10329 +a(g189 +V\u000a +tp10330 +a(g189 +V\u000a +tp10331 +a(g189 +V +p10332 +tp10333 +a(g111 +Vcase +p10334 +tp10335 +a(g189 +V +tp10336 +a(g189 +V +tp10337 +a(g18 +VINPLACE_DIVIDE +p10338 +tp10339 +a(g189 +V: +tp10340 +a(g189 +V\u000a +tp10341 +a(g189 +V +p10342 +tp10343 +a(g111 +Vif +p10344 +tp10345 +a(g189 +V +tp10346 +a(g202 +V( +tp10347 +a(g344 +V! +tp10348 +a(g18 +V_Py_QnewFlag +p10349 +tp10350 +a(g202 +V) +tp10351 +a(g189 +V +tp10352 +a(g202 +V{ +tp10353 +a(g189 +V\u000a +tp10354 +a(g189 +V +p10355 +tp10356 +a(g18 +Vw +tp10357 +a(g189 +V +tp10358 +a(g344 +V= +tp10359 +a(g189 +V +tp10360 +a(g18 +VPOP +p10361 +tp10362 +a(g202 +V( +tp10363 +a(g202 +V) +tp10364 +a(g202 +V; +tp10365 +a(g189 +V\u000a +tp10366 +a(g189 +V +p10367 +tp10368 +a(g18 +Vv +tp10369 +a(g189 +V +tp10370 +a(g344 +V= +tp10371 +a(g189 +V +tp10372 +a(g18 +VTOP +p10373 +tp10374 +a(g202 +V( +tp10375 +a(g202 +V) +tp10376 +a(g202 +V; +tp10377 +a(g189 +V\u000a +tp10378 +a(g189 +V +p10379 +tp10380 +a(g18 +Vx +tp10381 +a(g189 +V +tp10382 +a(g344 +V= +tp10383 +a(g189 +V +tp10384 +a(g18 +VPyNumber_InPlaceDivide +p10385 +tp10386 +a(g202 +V( +tp10387 +a(g18 +Vv +tp10388 +a(g202 +V, +tp10389 +a(g189 +V +tp10390 +a(g18 +Vw +tp10391 +a(g202 +V) +tp10392 +a(g202 +V; +tp10393 +a(g189 +V\u000a +tp10394 +a(g189 +V +p10395 +tp10396 +a(g18 +VPy_DECREF +p10397 +tp10398 +a(g202 +V( +tp10399 +a(g18 +Vv +tp10400 +a(g202 +V) +tp10401 +a(g202 +V; +tp10402 +a(g189 +V\u000a +tp10403 +a(g189 +V +p10404 +tp10405 +a(g18 +VPy_DECREF +p10406 +tp10407 +a(g202 +V( +tp10408 +a(g18 +Vw +tp10409 +a(g202 +V) +tp10410 +a(g202 +V; +tp10411 +a(g189 +V\u000a +tp10412 +a(g189 +V +p10413 +tp10414 +a(g18 +VSET_TOP +p10415 +tp10416 +a(g202 +V( +tp10417 +a(g18 +Vx +tp10418 +a(g202 +V) +tp10419 +a(g202 +V; +tp10420 +a(g189 +V\u000a +tp10421 +a(g189 +V +p10422 +tp10423 +a(g111 +Vif +p10424 +tp10425 +a(g189 +V +tp10426 +a(g202 +V( +tp10427 +a(g18 +Vx +tp10428 +a(g189 +V +tp10429 +a(g344 +V! +tp10430 +a(g344 +V= +tp10431 +a(g189 +V +tp10432 +a(g57 +VNULL +p10433 +tp10434 +a(g202 +V) +tp10435 +a(g189 +V +tp10436 +a(g111 +Vcontinue +p10437 +tp10438 +a(g202 +V; +tp10439 +a(g189 +V\u000a +tp10440 +a(g189 +V +p10441 +tp10442 +a(g111 +Vbreak +p10443 +tp10444 +a(g202 +V; +tp10445 +a(g189 +V\u000a +tp10446 +a(g189 +V +p10447 +tp10448 +a(g202 +V} +tp10449 +a(g189 +V\u000a +tp10450 +a(g189 +V +p10451 +tp10452 +a(g7 +V/* -Qnew is in effect: fall through to\u000a INPLACE_TRUE_DIVIDE */ +p10453 +tp10454 +a(g189 +V\u000a +tp10455 +a(g189 +V +p10456 +tp10457 +a(g111 +Vcase +p10458 +tp10459 +a(g189 +V +tp10460 +a(g189 +V +tp10461 +a(g18 +VINPLACE_TRUE_DIVIDE +p10462 +tp10463 +a(g189 +V: +tp10464 +a(g189 +V\u000a +tp10465 +a(g189 +V +p10466 +tp10467 +a(g18 +Vw +tp10468 +a(g189 +V +tp10469 +a(g344 +V= +tp10470 +a(g189 +V +tp10471 +a(g18 +VPOP +p10472 +tp10473 +a(g202 +V( +tp10474 +a(g202 +V) +tp10475 +a(g202 +V; +tp10476 +a(g189 +V\u000a +tp10477 +a(g189 +V +p10478 +tp10479 +a(g18 +Vv +tp10480 +a(g189 +V +tp10481 +a(g344 +V= +tp10482 +a(g189 +V +tp10483 +a(g18 +VTOP +p10484 +tp10485 +a(g202 +V( +tp10486 +a(g202 +V) +tp10487 +a(g202 +V; +tp10488 +a(g189 +V\u000a +tp10489 +a(g189 +V +p10490 +tp10491 +a(g18 +Vx +tp10492 +a(g189 +V +tp10493 +a(g344 +V= +tp10494 +a(g189 +V +tp10495 +a(g18 +VPyNumber_InPlaceTrueDivide +p10496 +tp10497 +a(g202 +V( +tp10498 +a(g18 +Vv +tp10499 +a(g202 +V, +tp10500 +a(g189 +V +tp10501 +a(g18 +Vw +tp10502 +a(g202 +V) +tp10503 +a(g202 +V; +tp10504 +a(g189 +V\u000a +tp10505 +a(g189 +V +p10506 +tp10507 +a(g18 +VPy_DECREF +p10508 +tp10509 +a(g202 +V( +tp10510 +a(g18 +Vv +tp10511 +a(g202 +V) +tp10512 +a(g202 +V; +tp10513 +a(g189 +V\u000a +tp10514 +a(g189 +V +p10515 +tp10516 +a(g18 +VPy_DECREF +p10517 +tp10518 +a(g202 +V( +tp10519 +a(g18 +Vw +tp10520 +a(g202 +V) +tp10521 +a(g202 +V; +tp10522 +a(g189 +V\u000a +tp10523 +a(g189 +V +p10524 +tp10525 +a(g18 +VSET_TOP +p10526 +tp10527 +a(g202 +V( +tp10528 +a(g18 +Vx +tp10529 +a(g202 +V) +tp10530 +a(g202 +V; +tp10531 +a(g189 +V\u000a +tp10532 +a(g189 +V +p10533 +tp10534 +a(g111 +Vif +p10535 +tp10536 +a(g189 +V +tp10537 +a(g202 +V( +tp10538 +a(g18 +Vx +tp10539 +a(g189 +V +tp10540 +a(g344 +V! +tp10541 +a(g344 +V= +tp10542 +a(g189 +V +tp10543 +a(g57 +VNULL +p10544 +tp10545 +a(g202 +V) +tp10546 +a(g189 +V +tp10547 +a(g111 +Vcontinue +p10548 +tp10549 +a(g202 +V; +tp10550 +a(g189 +V\u000a +tp10551 +a(g189 +V +p10552 +tp10553 +a(g111 +Vbreak +p10554 +tp10555 +a(g202 +V; +tp10556 +a(g189 +V\u000a +tp10557 +a(g189 +V\u000a +tp10558 +a(g189 +V +p10559 +tp10560 +a(g111 +Vcase +p10561 +tp10562 +a(g189 +V +tp10563 +a(g189 +V +tp10564 +a(g18 +VINPLACE_FLOOR_DIVIDE +p10565 +tp10566 +a(g189 +V: +tp10567 +a(g189 +V\u000a +tp10568 +a(g189 +V +p10569 +tp10570 +a(g18 +Vw +tp10571 +a(g189 +V +tp10572 +a(g344 +V= +tp10573 +a(g189 +V +tp10574 +a(g18 +VPOP +p10575 +tp10576 +a(g202 +V( +tp10577 +a(g202 +V) +tp10578 +a(g202 +V; +tp10579 +a(g189 +V\u000a +tp10580 +a(g189 +V +p10581 +tp10582 +a(g18 +Vv +tp10583 +a(g189 +V +tp10584 +a(g344 +V= +tp10585 +a(g189 +V +tp10586 +a(g18 +VTOP +p10587 +tp10588 +a(g202 +V( +tp10589 +a(g202 +V) +tp10590 +a(g202 +V; +tp10591 +a(g189 +V\u000a +tp10592 +a(g189 +V +p10593 +tp10594 +a(g18 +Vx +tp10595 +a(g189 +V +tp10596 +a(g344 +V= +tp10597 +a(g189 +V +tp10598 +a(g18 +VPyNumber_InPlaceFloorDivide +p10599 +tp10600 +a(g202 +V( +tp10601 +a(g18 +Vv +tp10602 +a(g202 +V, +tp10603 +a(g189 +V +tp10604 +a(g18 +Vw +tp10605 +a(g202 +V) +tp10606 +a(g202 +V; +tp10607 +a(g189 +V\u000a +tp10608 +a(g189 +V +p10609 +tp10610 +a(g18 +VPy_DECREF +p10611 +tp10612 +a(g202 +V( +tp10613 +a(g18 +Vv +tp10614 +a(g202 +V) +tp10615 +a(g202 +V; +tp10616 +a(g189 +V\u000a +tp10617 +a(g189 +V +p10618 +tp10619 +a(g18 +VPy_DECREF +p10620 +tp10621 +a(g202 +V( +tp10622 +a(g18 +Vw +tp10623 +a(g202 +V) +tp10624 +a(g202 +V; +tp10625 +a(g189 +V\u000a +tp10626 +a(g189 +V +p10627 +tp10628 +a(g18 +VSET_TOP +p10629 +tp10630 +a(g202 +V( +tp10631 +a(g18 +Vx +tp10632 +a(g202 +V) +tp10633 +a(g202 +V; +tp10634 +a(g189 +V\u000a +tp10635 +a(g189 +V +p10636 +tp10637 +a(g111 +Vif +p10638 +tp10639 +a(g189 +V +tp10640 +a(g202 +V( +tp10641 +a(g18 +Vx +tp10642 +a(g189 +V +tp10643 +a(g344 +V! +tp10644 +a(g344 +V= +tp10645 +a(g189 +V +tp10646 +a(g57 +VNULL +p10647 +tp10648 +a(g202 +V) +tp10649 +a(g189 +V +tp10650 +a(g111 +Vcontinue +p10651 +tp10652 +a(g202 +V; +tp10653 +a(g189 +V\u000a +tp10654 +a(g189 +V +p10655 +tp10656 +a(g111 +Vbreak +p10657 +tp10658 +a(g202 +V; +tp10659 +a(g189 +V\u000a +tp10660 +a(g189 +V\u000a +tp10661 +a(g189 +V +p10662 +tp10663 +a(g111 +Vcase +p10664 +tp10665 +a(g189 +V +tp10666 +a(g189 +V +tp10667 +a(g18 +VINPLACE_MODULO +p10668 +tp10669 +a(g189 +V: +tp10670 +a(g189 +V\u000a +tp10671 +a(g189 +V +p10672 +tp10673 +a(g18 +Vw +tp10674 +a(g189 +V +tp10675 +a(g344 +V= +tp10676 +a(g189 +V +tp10677 +a(g18 +VPOP +p10678 +tp10679 +a(g202 +V( +tp10680 +a(g202 +V) +tp10681 +a(g202 +V; +tp10682 +a(g189 +V\u000a +tp10683 +a(g189 +V +p10684 +tp10685 +a(g18 +Vv +tp10686 +a(g189 +V +tp10687 +a(g344 +V= +tp10688 +a(g189 +V +tp10689 +a(g18 +VTOP +p10690 +tp10691 +a(g202 +V( +tp10692 +a(g202 +V) +tp10693 +a(g202 +V; +tp10694 +a(g189 +V\u000a +tp10695 +a(g189 +V +p10696 +tp10697 +a(g18 +Vx +tp10698 +a(g189 +V +tp10699 +a(g344 +V= +tp10700 +a(g189 +V +tp10701 +a(g18 +VPyNumber_InPlaceRemainder +p10702 +tp10703 +a(g202 +V( +tp10704 +a(g18 +Vv +tp10705 +a(g202 +V, +tp10706 +a(g189 +V +tp10707 +a(g18 +Vw +tp10708 +a(g202 +V) +tp10709 +a(g202 +V; +tp10710 +a(g189 +V\u000a +tp10711 +a(g189 +V +p10712 +tp10713 +a(g18 +VPy_DECREF +p10714 +tp10715 +a(g202 +V( +tp10716 +a(g18 +Vv +tp10717 +a(g202 +V) +tp10718 +a(g202 +V; +tp10719 +a(g189 +V\u000a +tp10720 +a(g189 +V +p10721 +tp10722 +a(g18 +VPy_DECREF +p10723 +tp10724 +a(g202 +V( +tp10725 +a(g18 +Vw +tp10726 +a(g202 +V) +tp10727 +a(g202 +V; +tp10728 +a(g189 +V\u000a +tp10729 +a(g189 +V +p10730 +tp10731 +a(g18 +VSET_TOP +p10732 +tp10733 +a(g202 +V( +tp10734 +a(g18 +Vx +tp10735 +a(g202 +V) +tp10736 +a(g202 +V; +tp10737 +a(g189 +V\u000a +tp10738 +a(g189 +V +p10739 +tp10740 +a(g111 +Vif +p10741 +tp10742 +a(g189 +V +tp10743 +a(g202 +V( +tp10744 +a(g18 +Vx +tp10745 +a(g189 +V +tp10746 +a(g344 +V! +tp10747 +a(g344 +V= +tp10748 +a(g189 +V +tp10749 +a(g57 +VNULL +p10750 +tp10751 +a(g202 +V) +tp10752 +a(g189 +V +tp10753 +a(g111 +Vcontinue +p10754 +tp10755 +a(g202 +V; +tp10756 +a(g189 +V\u000a +tp10757 +a(g189 +V +p10758 +tp10759 +a(g111 +Vbreak +p10760 +tp10761 +a(g202 +V; +tp10762 +a(g189 +V\u000a +tp10763 +a(g189 +V\u000a +tp10764 +a(g189 +V +p10765 +tp10766 +a(g111 +Vcase +p10767 +tp10768 +a(g189 +V +tp10769 +a(g189 +V +tp10770 +a(g18 +VINPLACE_ADD +p10771 +tp10772 +a(g189 +V: +tp10773 +a(g189 +V\u000a +tp10774 +a(g189 +V +p10775 +tp10776 +a(g18 +Vw +tp10777 +a(g189 +V +tp10778 +a(g344 +V= +tp10779 +a(g189 +V +tp10780 +a(g18 +VPOP +p10781 +tp10782 +a(g202 +V( +tp10783 +a(g202 +V) +tp10784 +a(g202 +V; +tp10785 +a(g189 +V\u000a +tp10786 +a(g189 +V +p10787 +tp10788 +a(g18 +Vv +tp10789 +a(g189 +V +tp10790 +a(g344 +V= +tp10791 +a(g189 +V +tp10792 +a(g18 +VTOP +p10793 +tp10794 +a(g202 +V( +tp10795 +a(g202 +V) +tp10796 +a(g202 +V; +tp10797 +a(g189 +V\u000a +tp10798 +a(g189 +V +p10799 +tp10800 +a(g111 +Vif +p10801 +tp10802 +a(g189 +V +tp10803 +a(g202 +V( +tp10804 +a(g18 +VPyInt_CheckExact +p10805 +tp10806 +a(g202 +V( +tp10807 +a(g18 +Vv +tp10808 +a(g202 +V) +tp10809 +a(g189 +V +tp10810 +a(g344 +V& +tp10811 +a(g344 +V& +tp10812 +a(g189 +V +tp10813 +a(g18 +VPyInt_CheckExact +p10814 +tp10815 +a(g202 +V( +tp10816 +a(g18 +Vw +tp10817 +a(g202 +V) +tp10818 +a(g202 +V) +tp10819 +a(g189 +V +tp10820 +a(g202 +V{ +tp10821 +a(g189 +V\u000a +tp10822 +a(g189 +V +p10823 +tp10824 +a(g7 +V/* INLINE: int + int */ +p10825 +tp10826 +a(g189 +V\u000a +tp10827 +a(g189 +V +p10828 +tp10829 +a(g111 +Vregister +p10830 +tp10831 +a(g189 +V +tp10832 +a(g139 +Vlong +p10833 +tp10834 +a(g189 +V +tp10835 +a(g18 +Va +tp10836 +a(g202 +V, +tp10837 +a(g189 +V +tp10838 +a(g18 +Vb +tp10839 +a(g202 +V, +tp10840 +a(g189 +V +tp10841 +a(g18 +Vi +tp10842 +a(g202 +V; +tp10843 +a(g189 +V\u000a +tp10844 +a(g189 +V +p10845 +tp10846 +a(g18 +Va +tp10847 +a(g189 +V +tp10848 +a(g344 +V= +tp10849 +a(g189 +V +tp10850 +a(g18 +VPyInt_AS_LONG +p10851 +tp10852 +a(g202 +V( +tp10853 +a(g18 +Vv +tp10854 +a(g202 +V) +tp10855 +a(g202 +V; +tp10856 +a(g189 +V\u000a +tp10857 +a(g189 +V +p10858 +tp10859 +a(g18 +Vb +tp10860 +a(g189 +V +tp10861 +a(g344 +V= +tp10862 +a(g189 +V +tp10863 +a(g18 +VPyInt_AS_LONG +p10864 +tp10865 +a(g202 +V( +tp10866 +a(g18 +Vw +tp10867 +a(g202 +V) +tp10868 +a(g202 +V; +tp10869 +a(g189 +V\u000a +tp10870 +a(g189 +V +p10871 +tp10872 +a(g18 +Vi +tp10873 +a(g189 +V +tp10874 +a(g344 +V= +tp10875 +a(g189 +V +tp10876 +a(g18 +Va +tp10877 +a(g189 +V +tp10878 +a(g344 +V+ +tp10879 +a(g189 +V +tp10880 +a(g18 +Vb +tp10881 +a(g202 +V; +tp10882 +a(g189 +V\u000a +tp10883 +a(g189 +V +p10884 +tp10885 +a(g111 +Vif +p10886 +tp10887 +a(g189 +V +tp10888 +a(g202 +V( +tp10889 +a(g202 +V( +tp10890 +a(g18 +Vi +tp10891 +a(g344 +V^ +tp10892 +a(g18 +Va +tp10893 +a(g202 +V) +tp10894 +a(g189 +V +tp10895 +a(g344 +V< +tp10896 +a(g189 +V +tp10897 +a(g319 +V0 +tp10898 +a(g189 +V +tp10899 +a(g344 +V& +tp10900 +a(g344 +V& +tp10901 +a(g189 +V +tp10902 +a(g202 +V( +tp10903 +a(g18 +Vi +tp10904 +a(g344 +V^ +tp10905 +a(g18 +Vb +tp10906 +a(g202 +V) +tp10907 +a(g189 +V +tp10908 +a(g344 +V< +tp10909 +a(g189 +V +tp10910 +a(g319 +V0 +tp10911 +a(g202 +V) +tp10912 +a(g189 +V\u000a +tp10913 +a(g189 +V +p10914 +tp10915 +a(g111 +Vgoto +p10916 +tp10917 +a(g189 +V +tp10918 +a(g18 +Vslow_iadd +p10919 +tp10920 +a(g202 +V; +tp10921 +a(g189 +V\u000a +tp10922 +a(g189 +V +p10923 +tp10924 +a(g18 +Vx +tp10925 +a(g189 +V +tp10926 +a(g344 +V= +tp10927 +a(g189 +V +tp10928 +a(g18 +VPyInt_FromLong +p10929 +tp10930 +a(g202 +V( +tp10931 +a(g18 +Vi +tp10932 +a(g202 +V) +tp10933 +a(g202 +V; +tp10934 +a(g189 +V\u000a +tp10935 +a(g189 +V +p10936 +tp10937 +a(g202 +V} +tp10938 +a(g189 +V\u000a +tp10939 +a(g189 +V +p10940 +tp10941 +a(g111 +Velse +p10942 +tp10943 +a(g189 +V +tp10944 +a(g111 +Vif +p10945 +tp10946 +a(g189 +V +tp10947 +a(g202 +V( +tp10948 +a(g18 +VPyString_CheckExact +p10949 +tp10950 +a(g202 +V( +tp10951 +a(g18 +Vv +tp10952 +a(g202 +V) +tp10953 +a(g189 +V +tp10954 +a(g344 +V& +tp10955 +a(g344 +V& +tp10956 +a(g189 +V\u000a +tp10957 +a(g189 +V +p10958 +tp10959 +a(g18 +VPyString_CheckExact +p10960 +tp10961 +a(g202 +V( +tp10962 +a(g18 +Vw +tp10963 +a(g202 +V) +tp10964 +a(g202 +V) +tp10965 +a(g189 +V +tp10966 +a(g202 +V{ +tp10967 +a(g189 +V\u000a +tp10968 +a(g189 +V +p10969 +tp10970 +a(g18 +Vx +tp10971 +a(g189 +V +tp10972 +a(g344 +V= +tp10973 +a(g189 +V +tp10974 +a(g18 +Vstring_concatenate +p10975 +tp10976 +a(g202 +V( +tp10977 +a(g18 +Vv +tp10978 +a(g202 +V, +tp10979 +a(g189 +V +tp10980 +a(g18 +Vw +tp10981 +a(g202 +V, +tp10982 +a(g189 +V +tp10983 +a(g18 +Vf +tp10984 +a(g202 +V, +tp10985 +a(g189 +V +tp10986 +a(g18 +Vnext_instr +p10987 +tp10988 +a(g202 +V) +tp10989 +a(g202 +V; +tp10990 +a(g189 +V\u000a +tp10991 +a(g189 +V +p10992 +tp10993 +a(g7 +V/* string_concatenate consumed the ref to v */ +p10994 +tp10995 +a(g189 +V\u000a +tp10996 +a(g189 +V +p10997 +tp10998 +a(g111 +Vgoto +p10999 +tp11000 +a(g189 +V +tp11001 +a(g18 +Vskip_decref_v +p11002 +tp11003 +a(g202 +V; +tp11004 +a(g189 +V\u000a +tp11005 +a(g189 +V +p11006 +tp11007 +a(g202 +V} +tp11008 +a(g189 +V\u000a +tp11009 +a(g189 +V +p11010 +tp11011 +a(g111 +Velse +p11012 +tp11013 +a(g189 +V +tp11014 +a(g202 +V{ +tp11015 +a(g189 +V\u000a +tp11016 +a(g189 +V +p11017 +tp11018 +a(g45 +Vslow_iadd: +p11019 +tp11020 +a(g189 +V\u000a +tp11021 +a(g189 +V +p11022 +tp11023 +a(g18 +Vx +tp11024 +a(g189 +V +tp11025 +a(g344 +V= +tp11026 +a(g189 +V +tp11027 +a(g18 +VPyNumber_InPlaceAdd +p11028 +tp11029 +a(g202 +V( +tp11030 +a(g18 +Vv +tp11031 +a(g202 +V, +tp11032 +a(g189 +V +tp11033 +a(g18 +Vw +tp11034 +a(g202 +V) +tp11035 +a(g202 +V; +tp11036 +a(g189 +V\u000a +tp11037 +a(g189 +V +p11038 +tp11039 +a(g202 +V} +tp11040 +a(g189 +V\u000a +tp11041 +a(g189 +V +p11042 +tp11043 +a(g18 +VPy_DECREF +p11044 +tp11045 +a(g202 +V( +tp11046 +a(g18 +Vv +tp11047 +a(g202 +V) +tp11048 +a(g202 +V; +tp11049 +a(g189 +V\u000a +tp11050 +a(g189 +V +p11051 +tp11052 +a(g45 +Vskip_decref_v: +p11053 +tp11054 +a(g189 +V\u000a +tp11055 +a(g189 +V +p11056 +tp11057 +a(g18 +VPy_DECREF +p11058 +tp11059 +a(g202 +V( +tp11060 +a(g18 +Vw +tp11061 +a(g202 +V) +tp11062 +a(g202 +V; +tp11063 +a(g189 +V\u000a +tp11064 +a(g189 +V +p11065 +tp11066 +a(g18 +VSET_TOP +p11067 +tp11068 +a(g202 +V( +tp11069 +a(g18 +Vx +tp11070 +a(g202 +V) +tp11071 +a(g202 +V; +tp11072 +a(g189 +V\u000a +tp11073 +a(g189 +V +p11074 +tp11075 +a(g111 +Vif +p11076 +tp11077 +a(g189 +V +tp11078 +a(g202 +V( +tp11079 +a(g18 +Vx +tp11080 +a(g189 +V +tp11081 +a(g344 +V! +tp11082 +a(g344 +V= +tp11083 +a(g189 +V +tp11084 +a(g57 +VNULL +p11085 +tp11086 +a(g202 +V) +tp11087 +a(g189 +V +tp11088 +a(g111 +Vcontinue +p11089 +tp11090 +a(g202 +V; +tp11091 +a(g189 +V\u000a +tp11092 +a(g189 +V +p11093 +tp11094 +a(g111 +Vbreak +p11095 +tp11096 +a(g202 +V; +tp11097 +a(g189 +V\u000a +tp11098 +a(g189 +V\u000a +tp11099 +a(g189 +V +p11100 +tp11101 +a(g111 +Vcase +p11102 +tp11103 +a(g189 +V +tp11104 +a(g189 +V +tp11105 +a(g18 +VINPLACE_SUBTRACT +p11106 +tp11107 +a(g189 +V: +tp11108 +a(g189 +V\u000a +tp11109 +a(g189 +V +p11110 +tp11111 +a(g18 +Vw +tp11112 +a(g189 +V +tp11113 +a(g344 +V= +tp11114 +a(g189 +V +tp11115 +a(g18 +VPOP +p11116 +tp11117 +a(g202 +V( +tp11118 +a(g202 +V) +tp11119 +a(g202 +V; +tp11120 +a(g189 +V\u000a +tp11121 +a(g189 +V +p11122 +tp11123 +a(g18 +Vv +tp11124 +a(g189 +V +tp11125 +a(g344 +V= +tp11126 +a(g189 +V +tp11127 +a(g18 +VTOP +p11128 +tp11129 +a(g202 +V( +tp11130 +a(g202 +V) +tp11131 +a(g202 +V; +tp11132 +a(g189 +V\u000a +tp11133 +a(g189 +V +p11134 +tp11135 +a(g111 +Vif +p11136 +tp11137 +a(g189 +V +tp11138 +a(g202 +V( +tp11139 +a(g18 +VPyInt_CheckExact +p11140 +tp11141 +a(g202 +V( +tp11142 +a(g18 +Vv +tp11143 +a(g202 +V) +tp11144 +a(g189 +V +tp11145 +a(g344 +V& +tp11146 +a(g344 +V& +tp11147 +a(g189 +V +tp11148 +a(g18 +VPyInt_CheckExact +p11149 +tp11150 +a(g202 +V( +tp11151 +a(g18 +Vw +tp11152 +a(g202 +V) +tp11153 +a(g202 +V) +tp11154 +a(g189 +V +tp11155 +a(g202 +V{ +tp11156 +a(g189 +V\u000a +tp11157 +a(g189 +V +p11158 +tp11159 +a(g7 +V/* INLINE: int - int */ +p11160 +tp11161 +a(g189 +V\u000a +tp11162 +a(g189 +V +p11163 +tp11164 +a(g111 +Vregister +p11165 +tp11166 +a(g189 +V +tp11167 +a(g139 +Vlong +p11168 +tp11169 +a(g189 +V +tp11170 +a(g18 +Va +tp11171 +a(g202 +V, +tp11172 +a(g189 +V +tp11173 +a(g18 +Vb +tp11174 +a(g202 +V, +tp11175 +a(g189 +V +tp11176 +a(g18 +Vi +tp11177 +a(g202 +V; +tp11178 +a(g189 +V\u000a +tp11179 +a(g189 +V +p11180 +tp11181 +a(g18 +Va +tp11182 +a(g189 +V +tp11183 +a(g344 +V= +tp11184 +a(g189 +V +tp11185 +a(g18 +VPyInt_AS_LONG +p11186 +tp11187 +a(g202 +V( +tp11188 +a(g18 +Vv +tp11189 +a(g202 +V) +tp11190 +a(g202 +V; +tp11191 +a(g189 +V\u000a +tp11192 +a(g189 +V +p11193 +tp11194 +a(g18 +Vb +tp11195 +a(g189 +V +tp11196 +a(g344 +V= +tp11197 +a(g189 +V +tp11198 +a(g18 +VPyInt_AS_LONG +p11199 +tp11200 +a(g202 +V( +tp11201 +a(g18 +Vw +tp11202 +a(g202 +V) +tp11203 +a(g202 +V; +tp11204 +a(g189 +V\u000a +tp11205 +a(g189 +V +p11206 +tp11207 +a(g18 +Vi +tp11208 +a(g189 +V +tp11209 +a(g344 +V= +tp11210 +a(g189 +V +tp11211 +a(g18 +Va +tp11212 +a(g189 +V +tp11213 +a(g344 +V- +tp11214 +a(g189 +V +tp11215 +a(g18 +Vb +tp11216 +a(g202 +V; +tp11217 +a(g189 +V\u000a +tp11218 +a(g189 +V +p11219 +tp11220 +a(g111 +Vif +p11221 +tp11222 +a(g189 +V +tp11223 +a(g202 +V( +tp11224 +a(g202 +V( +tp11225 +a(g18 +Vi +tp11226 +a(g344 +V^ +tp11227 +a(g18 +Va +tp11228 +a(g202 +V) +tp11229 +a(g189 +V +tp11230 +a(g344 +V< +tp11231 +a(g189 +V +tp11232 +a(g319 +V0 +tp11233 +a(g189 +V +tp11234 +a(g344 +V& +tp11235 +a(g344 +V& +tp11236 +a(g189 +V +tp11237 +a(g202 +V( +tp11238 +a(g18 +Vi +tp11239 +a(g344 +V^ +tp11240 +a(g344 +V~ +tp11241 +a(g18 +Vb +tp11242 +a(g202 +V) +tp11243 +a(g189 +V +tp11244 +a(g344 +V< +tp11245 +a(g189 +V +tp11246 +a(g319 +V0 +tp11247 +a(g202 +V) +tp11248 +a(g189 +V\u000a +tp11249 +a(g189 +V +p11250 +tp11251 +a(g111 +Vgoto +p11252 +tp11253 +a(g189 +V +tp11254 +a(g18 +Vslow_isub +p11255 +tp11256 +a(g202 +V; +tp11257 +a(g189 +V\u000a +tp11258 +a(g189 +V +p11259 +tp11260 +a(g18 +Vx +tp11261 +a(g189 +V +tp11262 +a(g344 +V= +tp11263 +a(g189 +V +tp11264 +a(g18 +VPyInt_FromLong +p11265 +tp11266 +a(g202 +V( +tp11267 +a(g18 +Vi +tp11268 +a(g202 +V) +tp11269 +a(g202 +V; +tp11270 +a(g189 +V\u000a +tp11271 +a(g189 +V +p11272 +tp11273 +a(g202 +V} +tp11274 +a(g189 +V\u000a +tp11275 +a(g189 +V +p11276 +tp11277 +a(g111 +Velse +p11278 +tp11279 +a(g189 +V +tp11280 +a(g202 +V{ +tp11281 +a(g189 +V\u000a +tp11282 +a(g189 +V +p11283 +tp11284 +a(g45 +Vslow_isub: +p11285 +tp11286 +a(g189 +V\u000a +tp11287 +a(g189 +V +p11288 +tp11289 +a(g18 +Vx +tp11290 +a(g189 +V +tp11291 +a(g344 +V= +tp11292 +a(g189 +V +tp11293 +a(g18 +VPyNumber_InPlaceSubtract +p11294 +tp11295 +a(g202 +V( +tp11296 +a(g18 +Vv +tp11297 +a(g202 +V, +tp11298 +a(g189 +V +tp11299 +a(g18 +Vw +tp11300 +a(g202 +V) +tp11301 +a(g202 +V; +tp11302 +a(g189 +V\u000a +tp11303 +a(g189 +V +p11304 +tp11305 +a(g202 +V} +tp11306 +a(g189 +V\u000a +tp11307 +a(g189 +V +p11308 +tp11309 +a(g18 +VPy_DECREF +p11310 +tp11311 +a(g202 +V( +tp11312 +a(g18 +Vv +tp11313 +a(g202 +V) +tp11314 +a(g202 +V; +tp11315 +a(g189 +V\u000a +tp11316 +a(g189 +V +p11317 +tp11318 +a(g18 +VPy_DECREF +p11319 +tp11320 +a(g202 +V( +tp11321 +a(g18 +Vw +tp11322 +a(g202 +V) +tp11323 +a(g202 +V; +tp11324 +a(g189 +V\u000a +tp11325 +a(g189 +V +p11326 +tp11327 +a(g18 +VSET_TOP +p11328 +tp11329 +a(g202 +V( +tp11330 +a(g18 +Vx +tp11331 +a(g202 +V) +tp11332 +a(g202 +V; +tp11333 +a(g189 +V\u000a +tp11334 +a(g189 +V +p11335 +tp11336 +a(g111 +Vif +p11337 +tp11338 +a(g189 +V +tp11339 +a(g202 +V( +tp11340 +a(g18 +Vx +tp11341 +a(g189 +V +tp11342 +a(g344 +V! +tp11343 +a(g344 +V= +tp11344 +a(g189 +V +tp11345 +a(g57 +VNULL +p11346 +tp11347 +a(g202 +V) +tp11348 +a(g189 +V +tp11349 +a(g111 +Vcontinue +p11350 +tp11351 +a(g202 +V; +tp11352 +a(g189 +V\u000a +tp11353 +a(g189 +V +p11354 +tp11355 +a(g111 +Vbreak +p11356 +tp11357 +a(g202 +V; +tp11358 +a(g189 +V\u000a +tp11359 +a(g189 +V\u000a +tp11360 +a(g189 +V +p11361 +tp11362 +a(g111 +Vcase +p11363 +tp11364 +a(g189 +V +tp11365 +a(g189 +V +tp11366 +a(g18 +VINPLACE_LSHIFT +p11367 +tp11368 +a(g189 +V: +tp11369 +a(g189 +V\u000a +tp11370 +a(g189 +V +p11371 +tp11372 +a(g18 +Vw +tp11373 +a(g189 +V +tp11374 +a(g344 +V= +tp11375 +a(g189 +V +tp11376 +a(g18 +VPOP +p11377 +tp11378 +a(g202 +V( +tp11379 +a(g202 +V) +tp11380 +a(g202 +V; +tp11381 +a(g189 +V\u000a +tp11382 +a(g189 +V +p11383 +tp11384 +a(g18 +Vv +tp11385 +a(g189 +V +tp11386 +a(g344 +V= +tp11387 +a(g189 +V +tp11388 +a(g18 +VTOP +p11389 +tp11390 +a(g202 +V( +tp11391 +a(g202 +V) +tp11392 +a(g202 +V; +tp11393 +a(g189 +V\u000a +tp11394 +a(g189 +V +p11395 +tp11396 +a(g18 +Vx +tp11397 +a(g189 +V +tp11398 +a(g344 +V= +tp11399 +a(g189 +V +tp11400 +a(g18 +VPyNumber_InPlaceLshift +p11401 +tp11402 +a(g202 +V( +tp11403 +a(g18 +Vv +tp11404 +a(g202 +V, +tp11405 +a(g189 +V +tp11406 +a(g18 +Vw +tp11407 +a(g202 +V) +tp11408 +a(g202 +V; +tp11409 +a(g189 +V\u000a +tp11410 +a(g189 +V +p11411 +tp11412 +a(g18 +VPy_DECREF +p11413 +tp11414 +a(g202 +V( +tp11415 +a(g18 +Vv +tp11416 +a(g202 +V) +tp11417 +a(g202 +V; +tp11418 +a(g189 +V\u000a +tp11419 +a(g189 +V +p11420 +tp11421 +a(g18 +VPy_DECREF +p11422 +tp11423 +a(g202 +V( +tp11424 +a(g18 +Vw +tp11425 +a(g202 +V) +tp11426 +a(g202 +V; +tp11427 +a(g189 +V\u000a +tp11428 +a(g189 +V +p11429 +tp11430 +a(g18 +VSET_TOP +p11431 +tp11432 +a(g202 +V( +tp11433 +a(g18 +Vx +tp11434 +a(g202 +V) +tp11435 +a(g202 +V; +tp11436 +a(g189 +V\u000a +tp11437 +a(g189 +V +p11438 +tp11439 +a(g111 +Vif +p11440 +tp11441 +a(g189 +V +tp11442 +a(g202 +V( +tp11443 +a(g18 +Vx +tp11444 +a(g189 +V +tp11445 +a(g344 +V! +tp11446 +a(g344 +V= +tp11447 +a(g189 +V +tp11448 +a(g57 +VNULL +p11449 +tp11450 +a(g202 +V) +tp11451 +a(g189 +V +tp11452 +a(g111 +Vcontinue +p11453 +tp11454 +a(g202 +V; +tp11455 +a(g189 +V\u000a +tp11456 +a(g189 +V +p11457 +tp11458 +a(g111 +Vbreak +p11459 +tp11460 +a(g202 +V; +tp11461 +a(g189 +V\u000a +tp11462 +a(g189 +V\u000a +tp11463 +a(g189 +V +p11464 +tp11465 +a(g111 +Vcase +p11466 +tp11467 +a(g189 +V +tp11468 +a(g189 +V +tp11469 +a(g18 +VINPLACE_RSHIFT +p11470 +tp11471 +a(g189 +V: +tp11472 +a(g189 +V\u000a +tp11473 +a(g189 +V +p11474 +tp11475 +a(g18 +Vw +tp11476 +a(g189 +V +tp11477 +a(g344 +V= +tp11478 +a(g189 +V +tp11479 +a(g18 +VPOP +p11480 +tp11481 +a(g202 +V( +tp11482 +a(g202 +V) +tp11483 +a(g202 +V; +tp11484 +a(g189 +V\u000a +tp11485 +a(g189 +V +p11486 +tp11487 +a(g18 +Vv +tp11488 +a(g189 +V +tp11489 +a(g344 +V= +tp11490 +a(g189 +V +tp11491 +a(g18 +VTOP +p11492 +tp11493 +a(g202 +V( +tp11494 +a(g202 +V) +tp11495 +a(g202 +V; +tp11496 +a(g189 +V\u000a +tp11497 +a(g189 +V +p11498 +tp11499 +a(g18 +Vx +tp11500 +a(g189 +V +tp11501 +a(g344 +V= +tp11502 +a(g189 +V +tp11503 +a(g18 +VPyNumber_InPlaceRshift +p11504 +tp11505 +a(g202 +V( +tp11506 +a(g18 +Vv +tp11507 +a(g202 +V, +tp11508 +a(g189 +V +tp11509 +a(g18 +Vw +tp11510 +a(g202 +V) +tp11511 +a(g202 +V; +tp11512 +a(g189 +V\u000a +tp11513 +a(g189 +V +p11514 +tp11515 +a(g18 +VPy_DECREF +p11516 +tp11517 +a(g202 +V( +tp11518 +a(g18 +Vv +tp11519 +a(g202 +V) +tp11520 +a(g202 +V; +tp11521 +a(g189 +V\u000a +tp11522 +a(g189 +V +p11523 +tp11524 +a(g18 +VPy_DECREF +p11525 +tp11526 +a(g202 +V( +tp11527 +a(g18 +Vw +tp11528 +a(g202 +V) +tp11529 +a(g202 +V; +tp11530 +a(g189 +V\u000a +tp11531 +a(g189 +V +p11532 +tp11533 +a(g18 +VSET_TOP +p11534 +tp11535 +a(g202 +V( +tp11536 +a(g18 +Vx +tp11537 +a(g202 +V) +tp11538 +a(g202 +V; +tp11539 +a(g189 +V\u000a +tp11540 +a(g189 +V +p11541 +tp11542 +a(g111 +Vif +p11543 +tp11544 +a(g189 +V +tp11545 +a(g202 +V( +tp11546 +a(g18 +Vx +tp11547 +a(g189 +V +tp11548 +a(g344 +V! +tp11549 +a(g344 +V= +tp11550 +a(g189 +V +tp11551 +a(g57 +VNULL +p11552 +tp11553 +a(g202 +V) +tp11554 +a(g189 +V +tp11555 +a(g111 +Vcontinue +p11556 +tp11557 +a(g202 +V; +tp11558 +a(g189 +V\u000a +tp11559 +a(g189 +V +p11560 +tp11561 +a(g111 +Vbreak +p11562 +tp11563 +a(g202 +V; +tp11564 +a(g189 +V\u000a +tp11565 +a(g189 +V\u000a +tp11566 +a(g189 +V +p11567 +tp11568 +a(g111 +Vcase +p11569 +tp11570 +a(g189 +V +tp11571 +a(g189 +V +tp11572 +a(g18 +VINPLACE_AND +p11573 +tp11574 +a(g189 +V: +tp11575 +a(g189 +V\u000a +tp11576 +a(g189 +V +p11577 +tp11578 +a(g18 +Vw +tp11579 +a(g189 +V +tp11580 +a(g344 +V= +tp11581 +a(g189 +V +tp11582 +a(g18 +VPOP +p11583 +tp11584 +a(g202 +V( +tp11585 +a(g202 +V) +tp11586 +a(g202 +V; +tp11587 +a(g189 +V\u000a +tp11588 +a(g189 +V +p11589 +tp11590 +a(g18 +Vv +tp11591 +a(g189 +V +tp11592 +a(g344 +V= +tp11593 +a(g189 +V +tp11594 +a(g18 +VTOP +p11595 +tp11596 +a(g202 +V( +tp11597 +a(g202 +V) +tp11598 +a(g202 +V; +tp11599 +a(g189 +V\u000a +tp11600 +a(g189 +V +p11601 +tp11602 +a(g18 +Vx +tp11603 +a(g189 +V +tp11604 +a(g344 +V= +tp11605 +a(g189 +V +tp11606 +a(g18 +VPyNumber_InPlaceAnd +p11607 +tp11608 +a(g202 +V( +tp11609 +a(g18 +Vv +tp11610 +a(g202 +V, +tp11611 +a(g189 +V +tp11612 +a(g18 +Vw +tp11613 +a(g202 +V) +tp11614 +a(g202 +V; +tp11615 +a(g189 +V\u000a +tp11616 +a(g189 +V +p11617 +tp11618 +a(g18 +VPy_DECREF +p11619 +tp11620 +a(g202 +V( +tp11621 +a(g18 +Vv +tp11622 +a(g202 +V) +tp11623 +a(g202 +V; +tp11624 +a(g189 +V\u000a +tp11625 +a(g189 +V +p11626 +tp11627 +a(g18 +VPy_DECREF +p11628 +tp11629 +a(g202 +V( +tp11630 +a(g18 +Vw +tp11631 +a(g202 +V) +tp11632 +a(g202 +V; +tp11633 +a(g189 +V\u000a +tp11634 +a(g189 +V +p11635 +tp11636 +a(g18 +VSET_TOP +p11637 +tp11638 +a(g202 +V( +tp11639 +a(g18 +Vx +tp11640 +a(g202 +V) +tp11641 +a(g202 +V; +tp11642 +a(g189 +V\u000a +tp11643 +a(g189 +V +p11644 +tp11645 +a(g111 +Vif +p11646 +tp11647 +a(g189 +V +tp11648 +a(g202 +V( +tp11649 +a(g18 +Vx +tp11650 +a(g189 +V +tp11651 +a(g344 +V! +tp11652 +a(g344 +V= +tp11653 +a(g189 +V +tp11654 +a(g57 +VNULL +p11655 +tp11656 +a(g202 +V) +tp11657 +a(g189 +V +tp11658 +a(g111 +Vcontinue +p11659 +tp11660 +a(g202 +V; +tp11661 +a(g189 +V\u000a +tp11662 +a(g189 +V +p11663 +tp11664 +a(g111 +Vbreak +p11665 +tp11666 +a(g202 +V; +tp11667 +a(g189 +V\u000a +tp11668 +a(g189 +V\u000a +tp11669 +a(g189 +V +p11670 +tp11671 +a(g111 +Vcase +p11672 +tp11673 +a(g189 +V +tp11674 +a(g189 +V +tp11675 +a(g18 +VINPLACE_XOR +p11676 +tp11677 +a(g189 +V: +tp11678 +a(g189 +V\u000a +tp11679 +a(g189 +V +p11680 +tp11681 +a(g18 +Vw +tp11682 +a(g189 +V +tp11683 +a(g344 +V= +tp11684 +a(g189 +V +tp11685 +a(g18 +VPOP +p11686 +tp11687 +a(g202 +V( +tp11688 +a(g202 +V) +tp11689 +a(g202 +V; +tp11690 +a(g189 +V\u000a +tp11691 +a(g189 +V +p11692 +tp11693 +a(g18 +Vv +tp11694 +a(g189 +V +tp11695 +a(g344 +V= +tp11696 +a(g189 +V +tp11697 +a(g18 +VTOP +p11698 +tp11699 +a(g202 +V( +tp11700 +a(g202 +V) +tp11701 +a(g202 +V; +tp11702 +a(g189 +V\u000a +tp11703 +a(g189 +V +p11704 +tp11705 +a(g18 +Vx +tp11706 +a(g189 +V +tp11707 +a(g344 +V= +tp11708 +a(g189 +V +tp11709 +a(g18 +VPyNumber_InPlaceXor +p11710 +tp11711 +a(g202 +V( +tp11712 +a(g18 +Vv +tp11713 +a(g202 +V, +tp11714 +a(g189 +V +tp11715 +a(g18 +Vw +tp11716 +a(g202 +V) +tp11717 +a(g202 +V; +tp11718 +a(g189 +V\u000a +tp11719 +a(g189 +V +p11720 +tp11721 +a(g18 +VPy_DECREF +p11722 +tp11723 +a(g202 +V( +tp11724 +a(g18 +Vv +tp11725 +a(g202 +V) +tp11726 +a(g202 +V; +tp11727 +a(g189 +V\u000a +tp11728 +a(g189 +V +p11729 +tp11730 +a(g18 +VPy_DECREF +p11731 +tp11732 +a(g202 +V( +tp11733 +a(g18 +Vw +tp11734 +a(g202 +V) +tp11735 +a(g202 +V; +tp11736 +a(g189 +V\u000a +tp11737 +a(g189 +V +p11738 +tp11739 +a(g18 +VSET_TOP +p11740 +tp11741 +a(g202 +V( +tp11742 +a(g18 +Vx +tp11743 +a(g202 +V) +tp11744 +a(g202 +V; +tp11745 +a(g189 +V\u000a +tp11746 +a(g189 +V +p11747 +tp11748 +a(g111 +Vif +p11749 +tp11750 +a(g189 +V +tp11751 +a(g202 +V( +tp11752 +a(g18 +Vx +tp11753 +a(g189 +V +tp11754 +a(g344 +V! +tp11755 +a(g344 +V= +tp11756 +a(g189 +V +tp11757 +a(g57 +VNULL +p11758 +tp11759 +a(g202 +V) +tp11760 +a(g189 +V +tp11761 +a(g111 +Vcontinue +p11762 +tp11763 +a(g202 +V; +tp11764 +a(g189 +V\u000a +tp11765 +a(g189 +V +p11766 +tp11767 +a(g111 +Vbreak +p11768 +tp11769 +a(g202 +V; +tp11770 +a(g189 +V\u000a +tp11771 +a(g189 +V\u000a +tp11772 +a(g189 +V +p11773 +tp11774 +a(g111 +Vcase +p11775 +tp11776 +a(g189 +V +tp11777 +a(g189 +V +tp11778 +a(g18 +VINPLACE_OR +p11779 +tp11780 +a(g189 +V: +tp11781 +a(g189 +V\u000a +tp11782 +a(g189 +V +p11783 +tp11784 +a(g18 +Vw +tp11785 +a(g189 +V +tp11786 +a(g344 +V= +tp11787 +a(g189 +V +tp11788 +a(g18 +VPOP +p11789 +tp11790 +a(g202 +V( +tp11791 +a(g202 +V) +tp11792 +a(g202 +V; +tp11793 +a(g189 +V\u000a +tp11794 +a(g189 +V +p11795 +tp11796 +a(g18 +Vv +tp11797 +a(g189 +V +tp11798 +a(g344 +V= +tp11799 +a(g189 +V +tp11800 +a(g18 +VTOP +p11801 +tp11802 +a(g202 +V( +tp11803 +a(g202 +V) +tp11804 +a(g202 +V; +tp11805 +a(g189 +V\u000a +tp11806 +a(g189 +V +p11807 +tp11808 +a(g18 +Vx +tp11809 +a(g189 +V +tp11810 +a(g344 +V= +tp11811 +a(g189 +V +tp11812 +a(g18 +VPyNumber_InPlaceOr +p11813 +tp11814 +a(g202 +V( +tp11815 +a(g18 +Vv +tp11816 +a(g202 +V, +tp11817 +a(g189 +V +tp11818 +a(g18 +Vw +tp11819 +a(g202 +V) +tp11820 +a(g202 +V; +tp11821 +a(g189 +V\u000a +tp11822 +a(g189 +V +p11823 +tp11824 +a(g18 +VPy_DECREF +p11825 +tp11826 +a(g202 +V( +tp11827 +a(g18 +Vv +tp11828 +a(g202 +V) +tp11829 +a(g202 +V; +tp11830 +a(g189 +V\u000a +tp11831 +a(g189 +V +p11832 +tp11833 +a(g18 +VPy_DECREF +p11834 +tp11835 +a(g202 +V( +tp11836 +a(g18 +Vw +tp11837 +a(g202 +V) +tp11838 +a(g202 +V; +tp11839 +a(g189 +V\u000a +tp11840 +a(g189 +V +p11841 +tp11842 +a(g18 +VSET_TOP +p11843 +tp11844 +a(g202 +V( +tp11845 +a(g18 +Vx +tp11846 +a(g202 +V) +tp11847 +a(g202 +V; +tp11848 +a(g189 +V\u000a +tp11849 +a(g189 +V +p11850 +tp11851 +a(g111 +Vif +p11852 +tp11853 +a(g189 +V +tp11854 +a(g202 +V( +tp11855 +a(g18 +Vx +tp11856 +a(g189 +V +tp11857 +a(g344 +V! +tp11858 +a(g344 +V= +tp11859 +a(g189 +V +tp11860 +a(g57 +VNULL +p11861 +tp11862 +a(g202 +V) +tp11863 +a(g189 +V +tp11864 +a(g111 +Vcontinue +p11865 +tp11866 +a(g202 +V; +tp11867 +a(g189 +V\u000a +tp11868 +a(g189 +V +p11869 +tp11870 +a(g111 +Vbreak +p11871 +tp11872 +a(g202 +V; +tp11873 +a(g189 +V\u000a +tp11874 +a(g189 +V\u000a +tp11875 +a(g189 +V +p11876 +tp11877 +a(g111 +Vcase +p11878 +tp11879 +a(g189 +V +tp11880 +a(g189 +V +tp11881 +a(g18 +VSLICE +p11882 +tp11883 +a(g344 +V+ +tp11884 +a(g319 +V0 +tp11885 +a(g189 +V: +tp11886 +a(g189 +V\u000a +tp11887 +a(g189 +V +p11888 +tp11889 +a(g111 +Vcase +p11890 +tp11891 +a(g189 +V +tp11892 +a(g189 +V +tp11893 +a(g18 +VSLICE +p11894 +tp11895 +a(g344 +V+ +tp11896 +a(g319 +V1 +tp11897 +a(g189 +V: +tp11898 +a(g189 +V\u000a +tp11899 +a(g189 +V +p11900 +tp11901 +a(g111 +Vcase +p11902 +tp11903 +a(g189 +V +tp11904 +a(g189 +V +tp11905 +a(g18 +VSLICE +p11906 +tp11907 +a(g344 +V+ +tp11908 +a(g319 +V2 +tp11909 +a(g189 +V: +tp11910 +a(g189 +V\u000a +tp11911 +a(g189 +V +p11912 +tp11913 +a(g111 +Vcase +p11914 +tp11915 +a(g189 +V +tp11916 +a(g189 +V +tp11917 +a(g18 +VSLICE +p11918 +tp11919 +a(g344 +V+ +tp11920 +a(g319 +V3 +tp11921 +a(g189 +V: +tp11922 +a(g189 +V\u000a +tp11923 +a(g189 +V +p11924 +tp11925 +a(g111 +Vif +p11926 +tp11927 +a(g189 +V +tp11928 +a(g202 +V( +tp11929 +a(g202 +V( +tp11930 +a(g18 +Vopcode +p11931 +tp11932 +a(g344 +V- +tp11933 +a(g18 +VSLICE +p11934 +tp11935 +a(g202 +V) +tp11936 +a(g189 +V +tp11937 +a(g344 +V& +tp11938 +a(g189 +V +tp11939 +a(g319 +V2 +tp11940 +a(g202 +V) +tp11941 +a(g189 +V\u000a +tp11942 +a(g189 +V +p11943 +tp11944 +a(g18 +Vw +tp11945 +a(g189 +V +tp11946 +a(g344 +V= +tp11947 +a(g189 +V +tp11948 +a(g18 +VPOP +p11949 +tp11950 +a(g202 +V( +tp11951 +a(g202 +V) +tp11952 +a(g202 +V; +tp11953 +a(g189 +V\u000a +tp11954 +a(g189 +V +p11955 +tp11956 +a(g111 +Velse +p11957 +tp11958 +a(g189 +V\u000a +tp11959 +a(g189 +V +p11960 +tp11961 +a(g18 +Vw +tp11962 +a(g189 +V +tp11963 +a(g344 +V= +tp11964 +a(g189 +V +tp11965 +a(g57 +VNULL +p11966 +tp11967 +a(g202 +V; +tp11968 +a(g189 +V\u000a +tp11969 +a(g189 +V +p11970 +tp11971 +a(g111 +Vif +p11972 +tp11973 +a(g189 +V +tp11974 +a(g202 +V( +tp11975 +a(g202 +V( +tp11976 +a(g18 +Vopcode +p11977 +tp11978 +a(g344 +V- +tp11979 +a(g18 +VSLICE +p11980 +tp11981 +a(g202 +V) +tp11982 +a(g189 +V +tp11983 +a(g344 +V& +tp11984 +a(g189 +V +tp11985 +a(g319 +V1 +tp11986 +a(g202 +V) +tp11987 +a(g189 +V\u000a +tp11988 +a(g189 +V +p11989 +tp11990 +a(g18 +Vv +tp11991 +a(g189 +V +tp11992 +a(g344 +V= +tp11993 +a(g189 +V +tp11994 +a(g18 +VPOP +p11995 +tp11996 +a(g202 +V( +tp11997 +a(g202 +V) +tp11998 +a(g202 +V; +tp11999 +a(g189 +V\u000a +tp12000 +a(g189 +V +p12001 +tp12002 +a(g111 +Velse +p12003 +tp12004 +a(g189 +V\u000a +tp12005 +a(g189 +V +p12006 +tp12007 +a(g18 +Vv +tp12008 +a(g189 +V +tp12009 +a(g344 +V= +tp12010 +a(g189 +V +tp12011 +a(g57 +VNULL +p12012 +tp12013 +a(g202 +V; +tp12014 +a(g189 +V\u000a +tp12015 +a(g189 +V +p12016 +tp12017 +a(g18 +Vu +tp12018 +a(g189 +V +tp12019 +a(g344 +V= +tp12020 +a(g189 +V +tp12021 +a(g18 +VTOP +p12022 +tp12023 +a(g202 +V( +tp12024 +a(g202 +V) +tp12025 +a(g202 +V; +tp12026 +a(g189 +V\u000a +tp12027 +a(g189 +V +p12028 +tp12029 +a(g18 +Vx +tp12030 +a(g189 +V +tp12031 +a(g344 +V= +tp12032 +a(g189 +V +tp12033 +a(g18 +Vapply_slice +p12034 +tp12035 +a(g202 +V( +tp12036 +a(g18 +Vu +tp12037 +a(g202 +V, +tp12038 +a(g189 +V +tp12039 +a(g18 +Vv +tp12040 +a(g202 +V, +tp12041 +a(g189 +V +tp12042 +a(g18 +Vw +tp12043 +a(g202 +V) +tp12044 +a(g202 +V; +tp12045 +a(g189 +V\u000a +tp12046 +a(g189 +V +p12047 +tp12048 +a(g18 +VPy_DECREF +p12049 +tp12050 +a(g202 +V( +tp12051 +a(g18 +Vu +tp12052 +a(g202 +V) +tp12053 +a(g202 +V; +tp12054 +a(g189 +V\u000a +tp12055 +a(g189 +V +p12056 +tp12057 +a(g18 +VPy_XDECREF +p12058 +tp12059 +a(g202 +V( +tp12060 +a(g18 +Vv +tp12061 +a(g202 +V) +tp12062 +a(g202 +V; +tp12063 +a(g189 +V\u000a +tp12064 +a(g189 +V +p12065 +tp12066 +a(g18 +VPy_XDECREF +p12067 +tp12068 +a(g202 +V( +tp12069 +a(g18 +Vw +tp12070 +a(g202 +V) +tp12071 +a(g202 +V; +tp12072 +a(g189 +V\u000a +tp12073 +a(g189 +V +p12074 +tp12075 +a(g18 +VSET_TOP +p12076 +tp12077 +a(g202 +V( +tp12078 +a(g18 +Vx +tp12079 +a(g202 +V) +tp12080 +a(g202 +V; +tp12081 +a(g189 +V\u000a +tp12082 +a(g189 +V +p12083 +tp12084 +a(g111 +Vif +p12085 +tp12086 +a(g189 +V +tp12087 +a(g202 +V( +tp12088 +a(g18 +Vx +tp12089 +a(g189 +V +tp12090 +a(g344 +V! +tp12091 +a(g344 +V= +tp12092 +a(g189 +V +tp12093 +a(g57 +VNULL +p12094 +tp12095 +a(g202 +V) +tp12096 +a(g189 +V +tp12097 +a(g111 +Vcontinue +p12098 +tp12099 +a(g202 +V; +tp12100 +a(g189 +V\u000a +tp12101 +a(g189 +V +p12102 +tp12103 +a(g111 +Vbreak +p12104 +tp12105 +a(g202 +V; +tp12106 +a(g189 +V\u000a +tp12107 +a(g189 +V\u000a +tp12108 +a(g189 +V +p12109 +tp12110 +a(g111 +Vcase +p12111 +tp12112 +a(g189 +V +tp12113 +a(g189 +V +tp12114 +a(g18 +VSTORE_SLICE +p12115 +tp12116 +a(g344 +V+ +tp12117 +a(g319 +V0 +tp12118 +a(g189 +V: +tp12119 +a(g189 +V\u000a +tp12120 +a(g189 +V +p12121 +tp12122 +a(g111 +Vcase +p12123 +tp12124 +a(g189 +V +tp12125 +a(g189 +V +tp12126 +a(g18 +VSTORE_SLICE +p12127 +tp12128 +a(g344 +V+ +tp12129 +a(g319 +V1 +tp12130 +a(g189 +V: +tp12131 +a(g189 +V\u000a +tp12132 +a(g189 +V +p12133 +tp12134 +a(g111 +Vcase +p12135 +tp12136 +a(g189 +V +tp12137 +a(g189 +V +tp12138 +a(g18 +VSTORE_SLICE +p12139 +tp12140 +a(g344 +V+ +tp12141 +a(g319 +V2 +tp12142 +a(g189 +V: +tp12143 +a(g189 +V\u000a +tp12144 +a(g189 +V +p12145 +tp12146 +a(g111 +Vcase +p12147 +tp12148 +a(g189 +V +tp12149 +a(g189 +V +tp12150 +a(g18 +VSTORE_SLICE +p12151 +tp12152 +a(g344 +V+ +tp12153 +a(g319 +V3 +tp12154 +a(g189 +V: +tp12155 +a(g189 +V\u000a +tp12156 +a(g189 +V +p12157 +tp12158 +a(g111 +Vif +p12159 +tp12160 +a(g189 +V +tp12161 +a(g202 +V( +tp12162 +a(g202 +V( +tp12163 +a(g18 +Vopcode +p12164 +tp12165 +a(g344 +V- +tp12166 +a(g18 +VSTORE_SLICE +p12167 +tp12168 +a(g202 +V) +tp12169 +a(g189 +V +tp12170 +a(g344 +V& +tp12171 +a(g189 +V +tp12172 +a(g319 +V2 +tp12173 +a(g202 +V) +tp12174 +a(g189 +V\u000a +tp12175 +a(g189 +V +p12176 +tp12177 +a(g18 +Vw +tp12178 +a(g189 +V +tp12179 +a(g344 +V= +tp12180 +a(g189 +V +tp12181 +a(g18 +VPOP +p12182 +tp12183 +a(g202 +V( +tp12184 +a(g202 +V) +tp12185 +a(g202 +V; +tp12186 +a(g189 +V\u000a +tp12187 +a(g189 +V +p12188 +tp12189 +a(g111 +Velse +p12190 +tp12191 +a(g189 +V\u000a +tp12192 +a(g189 +V +p12193 +tp12194 +a(g18 +Vw +tp12195 +a(g189 +V +tp12196 +a(g344 +V= +tp12197 +a(g189 +V +tp12198 +a(g57 +VNULL +p12199 +tp12200 +a(g202 +V; +tp12201 +a(g189 +V\u000a +tp12202 +a(g189 +V +p12203 +tp12204 +a(g111 +Vif +p12205 +tp12206 +a(g189 +V +tp12207 +a(g202 +V( +tp12208 +a(g202 +V( +tp12209 +a(g18 +Vopcode +p12210 +tp12211 +a(g344 +V- +tp12212 +a(g18 +VSTORE_SLICE +p12213 +tp12214 +a(g202 +V) +tp12215 +a(g189 +V +tp12216 +a(g344 +V& +tp12217 +a(g189 +V +tp12218 +a(g319 +V1 +tp12219 +a(g202 +V) +tp12220 +a(g189 +V\u000a +tp12221 +a(g189 +V +p12222 +tp12223 +a(g18 +Vv +tp12224 +a(g189 +V +tp12225 +a(g344 +V= +tp12226 +a(g189 +V +tp12227 +a(g18 +VPOP +p12228 +tp12229 +a(g202 +V( +tp12230 +a(g202 +V) +tp12231 +a(g202 +V; +tp12232 +a(g189 +V\u000a +tp12233 +a(g189 +V +p12234 +tp12235 +a(g111 +Velse +p12236 +tp12237 +a(g189 +V\u000a +tp12238 +a(g189 +V +p12239 +tp12240 +a(g18 +Vv +tp12241 +a(g189 +V +tp12242 +a(g344 +V= +tp12243 +a(g189 +V +tp12244 +a(g57 +VNULL +p12245 +tp12246 +a(g202 +V; +tp12247 +a(g189 +V\u000a +tp12248 +a(g189 +V +p12249 +tp12250 +a(g18 +Vu +tp12251 +a(g189 +V +tp12252 +a(g344 +V= +tp12253 +a(g189 +V +tp12254 +a(g18 +VPOP +p12255 +tp12256 +a(g202 +V( +tp12257 +a(g202 +V) +tp12258 +a(g202 +V; +tp12259 +a(g189 +V\u000a +tp12260 +a(g189 +V +p12261 +tp12262 +a(g18 +Vt +tp12263 +a(g189 +V +tp12264 +a(g344 +V= +tp12265 +a(g189 +V +tp12266 +a(g18 +VPOP +p12267 +tp12268 +a(g202 +V( +tp12269 +a(g202 +V) +tp12270 +a(g202 +V; +tp12271 +a(g189 +V\u000a +tp12272 +a(g189 +V +p12273 +tp12274 +a(g18 +Verr +p12275 +tp12276 +a(g189 +V +tp12277 +a(g344 +V= +tp12278 +a(g189 +V +tp12279 +a(g18 +Vassign_slice +p12280 +tp12281 +a(g202 +V( +tp12282 +a(g18 +Vu +tp12283 +a(g202 +V, +tp12284 +a(g189 +V +tp12285 +a(g18 +Vv +tp12286 +a(g202 +V, +tp12287 +a(g189 +V +tp12288 +a(g18 +Vw +tp12289 +a(g202 +V, +tp12290 +a(g189 +V +tp12291 +a(g18 +Vt +tp12292 +a(g202 +V) +tp12293 +a(g202 +V; +tp12294 +a(g189 +V +tp12295 +a(g7 +V/* u[v:w] = t */ +p12296 +tp12297 +a(g189 +V\u000a +tp12298 +a(g189 +V +p12299 +tp12300 +a(g18 +VPy_DECREF +p12301 +tp12302 +a(g202 +V( +tp12303 +a(g18 +Vt +tp12304 +a(g202 +V) +tp12305 +a(g202 +V; +tp12306 +a(g189 +V\u000a +tp12307 +a(g189 +V +p12308 +tp12309 +a(g18 +VPy_DECREF +p12310 +tp12311 +a(g202 +V( +tp12312 +a(g18 +Vu +tp12313 +a(g202 +V) +tp12314 +a(g202 +V; +tp12315 +a(g189 +V\u000a +tp12316 +a(g189 +V +p12317 +tp12318 +a(g18 +VPy_XDECREF +p12319 +tp12320 +a(g202 +V( +tp12321 +a(g18 +Vv +tp12322 +a(g202 +V) +tp12323 +a(g202 +V; +tp12324 +a(g189 +V\u000a +tp12325 +a(g189 +V +p12326 +tp12327 +a(g18 +VPy_XDECREF +p12328 +tp12329 +a(g202 +V( +tp12330 +a(g18 +Vw +tp12331 +a(g202 +V) +tp12332 +a(g202 +V; +tp12333 +a(g189 +V\u000a +tp12334 +a(g189 +V +p12335 +tp12336 +a(g111 +Vif +p12337 +tp12338 +a(g189 +V +tp12339 +a(g202 +V( +tp12340 +a(g18 +Verr +p12341 +tp12342 +a(g189 +V +tp12343 +a(g344 +V= +tp12344 +a(g344 +V= +tp12345 +a(g189 +V +tp12346 +a(g319 +V0 +tp12347 +a(g202 +V) +tp12348 +a(g189 +V +tp12349 +a(g111 +Vcontinue +p12350 +tp12351 +a(g202 +V; +tp12352 +a(g189 +V\u000a +tp12353 +a(g189 +V +p12354 +tp12355 +a(g111 +Vbreak +p12356 +tp12357 +a(g202 +V; +tp12358 +a(g189 +V\u000a +tp12359 +a(g189 +V\u000a +tp12360 +a(g189 +V +p12361 +tp12362 +a(g111 +Vcase +p12363 +tp12364 +a(g189 +V +tp12365 +a(g189 +V +tp12366 +a(g18 +VDELETE_SLICE +p12367 +tp12368 +a(g344 +V+ +tp12369 +a(g319 +V0 +tp12370 +a(g189 +V: +tp12371 +a(g189 +V\u000a +tp12372 +a(g189 +V +p12373 +tp12374 +a(g111 +Vcase +p12375 +tp12376 +a(g189 +V +tp12377 +a(g189 +V +tp12378 +a(g18 +VDELETE_SLICE +p12379 +tp12380 +a(g344 +V+ +tp12381 +a(g319 +V1 +tp12382 +a(g189 +V: +tp12383 +a(g189 +V\u000a +tp12384 +a(g189 +V +p12385 +tp12386 +a(g111 +Vcase +p12387 +tp12388 +a(g189 +V +tp12389 +a(g189 +V +tp12390 +a(g18 +VDELETE_SLICE +p12391 +tp12392 +a(g344 +V+ +tp12393 +a(g319 +V2 +tp12394 +a(g189 +V: +tp12395 +a(g189 +V\u000a +tp12396 +a(g189 +V +p12397 +tp12398 +a(g111 +Vcase +p12399 +tp12400 +a(g189 +V +tp12401 +a(g189 +V +tp12402 +a(g18 +VDELETE_SLICE +p12403 +tp12404 +a(g344 +V+ +tp12405 +a(g319 +V3 +tp12406 +a(g189 +V: +tp12407 +a(g189 +V\u000a +tp12408 +a(g189 +V +p12409 +tp12410 +a(g111 +Vif +p12411 +tp12412 +a(g189 +V +tp12413 +a(g202 +V( +tp12414 +a(g202 +V( +tp12415 +a(g18 +Vopcode +p12416 +tp12417 +a(g344 +V- +tp12418 +a(g18 +VDELETE_SLICE +p12419 +tp12420 +a(g202 +V) +tp12421 +a(g189 +V +tp12422 +a(g344 +V& +tp12423 +a(g189 +V +tp12424 +a(g319 +V2 +tp12425 +a(g202 +V) +tp12426 +a(g189 +V\u000a +tp12427 +a(g189 +V +p12428 +tp12429 +a(g18 +Vw +tp12430 +a(g189 +V +tp12431 +a(g344 +V= +tp12432 +a(g189 +V +tp12433 +a(g18 +VPOP +p12434 +tp12435 +a(g202 +V( +tp12436 +a(g202 +V) +tp12437 +a(g202 +V; +tp12438 +a(g189 +V\u000a +tp12439 +a(g189 +V +p12440 +tp12441 +a(g111 +Velse +p12442 +tp12443 +a(g189 +V\u000a +tp12444 +a(g189 +V +p12445 +tp12446 +a(g18 +Vw +tp12447 +a(g189 +V +tp12448 +a(g344 +V= +tp12449 +a(g189 +V +tp12450 +a(g57 +VNULL +p12451 +tp12452 +a(g202 +V; +tp12453 +a(g189 +V\u000a +tp12454 +a(g189 +V +p12455 +tp12456 +a(g111 +Vif +p12457 +tp12458 +a(g189 +V +tp12459 +a(g202 +V( +tp12460 +a(g202 +V( +tp12461 +a(g18 +Vopcode +p12462 +tp12463 +a(g344 +V- +tp12464 +a(g18 +VDELETE_SLICE +p12465 +tp12466 +a(g202 +V) +tp12467 +a(g189 +V +tp12468 +a(g344 +V& +tp12469 +a(g189 +V +tp12470 +a(g319 +V1 +tp12471 +a(g202 +V) +tp12472 +a(g189 +V\u000a +tp12473 +a(g189 +V +p12474 +tp12475 +a(g18 +Vv +tp12476 +a(g189 +V +tp12477 +a(g344 +V= +tp12478 +a(g189 +V +tp12479 +a(g18 +VPOP +p12480 +tp12481 +a(g202 +V( +tp12482 +a(g202 +V) +tp12483 +a(g202 +V; +tp12484 +a(g189 +V\u000a +tp12485 +a(g189 +V +p12486 +tp12487 +a(g111 +Velse +p12488 +tp12489 +a(g189 +V\u000a +tp12490 +a(g189 +V +p12491 +tp12492 +a(g18 +Vv +tp12493 +a(g189 +V +tp12494 +a(g344 +V= +tp12495 +a(g189 +V +tp12496 +a(g57 +VNULL +p12497 +tp12498 +a(g202 +V; +tp12499 +a(g189 +V\u000a +tp12500 +a(g189 +V +p12501 +tp12502 +a(g18 +Vu +tp12503 +a(g189 +V +tp12504 +a(g344 +V= +tp12505 +a(g189 +V +tp12506 +a(g18 +VPOP +p12507 +tp12508 +a(g202 +V( +tp12509 +a(g202 +V) +tp12510 +a(g202 +V; +tp12511 +a(g189 +V\u000a +tp12512 +a(g189 +V +p12513 +tp12514 +a(g18 +Verr +p12515 +tp12516 +a(g189 +V +tp12517 +a(g344 +V= +tp12518 +a(g189 +V +tp12519 +a(g18 +Vassign_slice +p12520 +tp12521 +a(g202 +V( +tp12522 +a(g18 +Vu +tp12523 +a(g202 +V, +tp12524 +a(g189 +V +tp12525 +a(g18 +Vv +tp12526 +a(g202 +V, +tp12527 +a(g189 +V +tp12528 +a(g18 +Vw +tp12529 +a(g202 +V, +tp12530 +a(g189 +V +tp12531 +a(g202 +V( +tp12532 +a(g18 +VPyObject +p12533 +tp12534 +a(g189 +V +tp12535 +a(g344 +V* +tp12536 +a(g202 +V) +tp12537 +a(g57 +VNULL +p12538 +tp12539 +a(g202 +V) +tp12540 +a(g202 +V; +tp12541 +a(g189 +V\u000a +tp12542 +a(g189 +V +p12543 +tp12544 +a(g7 +V/* del u[v:w] */ +p12545 +tp12546 +a(g189 +V\u000a +tp12547 +a(g189 +V +p12548 +tp12549 +a(g18 +VPy_DECREF +p12550 +tp12551 +a(g202 +V( +tp12552 +a(g18 +Vu +tp12553 +a(g202 +V) +tp12554 +a(g202 +V; +tp12555 +a(g189 +V\u000a +tp12556 +a(g189 +V +p12557 +tp12558 +a(g18 +VPy_XDECREF +p12559 +tp12560 +a(g202 +V( +tp12561 +a(g18 +Vv +tp12562 +a(g202 +V) +tp12563 +a(g202 +V; +tp12564 +a(g189 +V\u000a +tp12565 +a(g189 +V +p12566 +tp12567 +a(g18 +VPy_XDECREF +p12568 +tp12569 +a(g202 +V( +tp12570 +a(g18 +Vw +tp12571 +a(g202 +V) +tp12572 +a(g202 +V; +tp12573 +a(g189 +V\u000a +tp12574 +a(g189 +V +p12575 +tp12576 +a(g111 +Vif +p12577 +tp12578 +a(g189 +V +tp12579 +a(g202 +V( +tp12580 +a(g18 +Verr +p12581 +tp12582 +a(g189 +V +tp12583 +a(g344 +V= +tp12584 +a(g344 +V= +tp12585 +a(g189 +V +tp12586 +a(g319 +V0 +tp12587 +a(g202 +V) +tp12588 +a(g189 +V +tp12589 +a(g111 +Vcontinue +p12590 +tp12591 +a(g202 +V; +tp12592 +a(g189 +V\u000a +tp12593 +a(g189 +V +p12594 +tp12595 +a(g111 +Vbreak +p12596 +tp12597 +a(g202 +V; +tp12598 +a(g189 +V\u000a +tp12599 +a(g189 +V\u000a +tp12600 +a(g189 +V +p12601 +tp12602 +a(g111 +Vcase +p12603 +tp12604 +a(g189 +V +tp12605 +a(g189 +V +tp12606 +a(g18 +VSTORE_SUBSCR +p12607 +tp12608 +a(g189 +V: +tp12609 +a(g189 +V\u000a +tp12610 +a(g189 +V +p12611 +tp12612 +a(g18 +Vw +tp12613 +a(g189 +V +tp12614 +a(g344 +V= +tp12615 +a(g189 +V +tp12616 +a(g18 +VTOP +p12617 +tp12618 +a(g202 +V( +tp12619 +a(g202 +V) +tp12620 +a(g202 +V; +tp12621 +a(g189 +V\u000a +tp12622 +a(g189 +V +p12623 +tp12624 +a(g18 +Vv +tp12625 +a(g189 +V +tp12626 +a(g344 +V= +tp12627 +a(g189 +V +tp12628 +a(g18 +VSECOND +p12629 +tp12630 +a(g202 +V( +tp12631 +a(g202 +V) +tp12632 +a(g202 +V; +tp12633 +a(g189 +V\u000a +tp12634 +a(g189 +V +p12635 +tp12636 +a(g18 +Vu +tp12637 +a(g189 +V +tp12638 +a(g344 +V= +tp12639 +a(g189 +V +tp12640 +a(g18 +VTHIRD +p12641 +tp12642 +a(g202 +V( +tp12643 +a(g202 +V) +tp12644 +a(g202 +V; +tp12645 +a(g189 +V\u000a +tp12646 +a(g189 +V +p12647 +tp12648 +a(g18 +VSTACKADJ +p12649 +tp12650 +a(g202 +V( +tp12651 +a(g344 +V- +tp12652 +a(g319 +V3 +tp12653 +a(g202 +V) +tp12654 +a(g202 +V; +tp12655 +a(g189 +V\u000a +tp12656 +a(g189 +V +p12657 +tp12658 +a(g7 +V/* v[w] = u */ +p12659 +tp12660 +a(g189 +V\u000a +tp12661 +a(g189 +V +p12662 +tp12663 +a(g18 +Verr +p12664 +tp12665 +a(g189 +V +tp12666 +a(g344 +V= +tp12667 +a(g189 +V +tp12668 +a(g18 +VPyObject_SetItem +p12669 +tp12670 +a(g202 +V( +tp12671 +a(g18 +Vv +tp12672 +a(g202 +V, +tp12673 +a(g189 +V +tp12674 +a(g18 +Vw +tp12675 +a(g202 +V, +tp12676 +a(g189 +V +tp12677 +a(g18 +Vu +tp12678 +a(g202 +V) +tp12679 +a(g202 +V; +tp12680 +a(g189 +V\u000a +tp12681 +a(g189 +V +p12682 +tp12683 +a(g18 +VPy_DECREF +p12684 +tp12685 +a(g202 +V( +tp12686 +a(g18 +Vu +tp12687 +a(g202 +V) +tp12688 +a(g202 +V; +tp12689 +a(g189 +V\u000a +tp12690 +a(g189 +V +p12691 +tp12692 +a(g18 +VPy_DECREF +p12693 +tp12694 +a(g202 +V( +tp12695 +a(g18 +Vv +tp12696 +a(g202 +V) +tp12697 +a(g202 +V; +tp12698 +a(g189 +V\u000a +tp12699 +a(g189 +V +p12700 +tp12701 +a(g18 +VPy_DECREF +p12702 +tp12703 +a(g202 +V( +tp12704 +a(g18 +Vw +tp12705 +a(g202 +V) +tp12706 +a(g202 +V; +tp12707 +a(g189 +V\u000a +tp12708 +a(g189 +V +p12709 +tp12710 +a(g111 +Vif +p12711 +tp12712 +a(g189 +V +tp12713 +a(g202 +V( +tp12714 +a(g18 +Verr +p12715 +tp12716 +a(g189 +V +tp12717 +a(g344 +V= +tp12718 +a(g344 +V= +tp12719 +a(g189 +V +tp12720 +a(g319 +V0 +tp12721 +a(g202 +V) +tp12722 +a(g189 +V +tp12723 +a(g111 +Vcontinue +p12724 +tp12725 +a(g202 +V; +tp12726 +a(g189 +V\u000a +tp12727 +a(g189 +V +p12728 +tp12729 +a(g111 +Vbreak +p12730 +tp12731 +a(g202 +V; +tp12732 +a(g189 +V\u000a +tp12733 +a(g189 +V\u000a +tp12734 +a(g189 +V +p12735 +tp12736 +a(g111 +Vcase +p12737 +tp12738 +a(g189 +V +tp12739 +a(g189 +V +tp12740 +a(g18 +VDELETE_SUBSCR +p12741 +tp12742 +a(g189 +V: +tp12743 +a(g189 +V\u000a +tp12744 +a(g189 +V +p12745 +tp12746 +a(g18 +Vw +tp12747 +a(g189 +V +tp12748 +a(g344 +V= +tp12749 +a(g189 +V +tp12750 +a(g18 +VTOP +p12751 +tp12752 +a(g202 +V( +tp12753 +a(g202 +V) +tp12754 +a(g202 +V; +tp12755 +a(g189 +V\u000a +tp12756 +a(g189 +V +p12757 +tp12758 +a(g18 +Vv +tp12759 +a(g189 +V +tp12760 +a(g344 +V= +tp12761 +a(g189 +V +tp12762 +a(g18 +VSECOND +p12763 +tp12764 +a(g202 +V( +tp12765 +a(g202 +V) +tp12766 +a(g202 +V; +tp12767 +a(g189 +V\u000a +tp12768 +a(g189 +V +p12769 +tp12770 +a(g18 +VSTACKADJ +p12771 +tp12772 +a(g202 +V( +tp12773 +a(g344 +V- +tp12774 +a(g319 +V2 +tp12775 +a(g202 +V) +tp12776 +a(g202 +V; +tp12777 +a(g189 +V\u000a +tp12778 +a(g189 +V +p12779 +tp12780 +a(g7 +V/* del v[w] */ +p12781 +tp12782 +a(g189 +V\u000a +tp12783 +a(g189 +V +p12784 +tp12785 +a(g18 +Verr +p12786 +tp12787 +a(g189 +V +tp12788 +a(g344 +V= +tp12789 +a(g189 +V +tp12790 +a(g18 +VPyObject_DelItem +p12791 +tp12792 +a(g202 +V( +tp12793 +a(g18 +Vv +tp12794 +a(g202 +V, +tp12795 +a(g189 +V +tp12796 +a(g18 +Vw +tp12797 +a(g202 +V) +tp12798 +a(g202 +V; +tp12799 +a(g189 +V\u000a +tp12800 +a(g189 +V +p12801 +tp12802 +a(g18 +VPy_DECREF +p12803 +tp12804 +a(g202 +V( +tp12805 +a(g18 +Vv +tp12806 +a(g202 +V) +tp12807 +a(g202 +V; +tp12808 +a(g189 +V\u000a +tp12809 +a(g189 +V +p12810 +tp12811 +a(g18 +VPy_DECREF +p12812 +tp12813 +a(g202 +V( +tp12814 +a(g18 +Vw +tp12815 +a(g202 +V) +tp12816 +a(g202 +V; +tp12817 +a(g189 +V\u000a +tp12818 +a(g189 +V +p12819 +tp12820 +a(g111 +Vif +p12821 +tp12822 +a(g189 +V +tp12823 +a(g202 +V( +tp12824 +a(g18 +Verr +p12825 +tp12826 +a(g189 +V +tp12827 +a(g344 +V= +tp12828 +a(g344 +V= +tp12829 +a(g189 +V +tp12830 +a(g319 +V0 +tp12831 +a(g202 +V) +tp12832 +a(g189 +V +tp12833 +a(g111 +Vcontinue +p12834 +tp12835 +a(g202 +V; +tp12836 +a(g189 +V\u000a +tp12837 +a(g189 +V +p12838 +tp12839 +a(g111 +Vbreak +p12840 +tp12841 +a(g202 +V; +tp12842 +a(g189 +V\u000a +tp12843 +a(g189 +V\u000a +tp12844 +a(g189 +V +p12845 +tp12846 +a(g111 +Vcase +p12847 +tp12848 +a(g189 +V +tp12849 +a(g189 +V +tp12850 +a(g18 +VPRINT_EXPR +p12851 +tp12852 +a(g189 +V: +tp12853 +a(g189 +V\u000a +tp12854 +a(g189 +V +p12855 +tp12856 +a(g18 +Vv +tp12857 +a(g189 +V +tp12858 +a(g344 +V= +tp12859 +a(g189 +V +tp12860 +a(g18 +VPOP +p12861 +tp12862 +a(g202 +V( +tp12863 +a(g202 +V) +tp12864 +a(g202 +V; +tp12865 +a(g189 +V\u000a +tp12866 +a(g189 +V +p12867 +tp12868 +a(g18 +Vw +tp12869 +a(g189 +V +tp12870 +a(g344 +V= +tp12871 +a(g189 +V +tp12872 +a(g18 +VPySys_GetObject +p12873 +tp12874 +a(g202 +V( +tp12875 +a(g226 +V" +tp12876 +a(g226 +Vdisplayhook +p12877 +tp12878 +a(g226 +V" +tp12879 +a(g202 +V) +tp12880 +a(g202 +V; +tp12881 +a(g189 +V\u000a +tp12882 +a(g189 +V +p12883 +tp12884 +a(g111 +Vif +p12885 +tp12886 +a(g189 +V +tp12887 +a(g202 +V( +tp12888 +a(g18 +Vw +tp12889 +a(g189 +V +tp12890 +a(g344 +V= +tp12891 +a(g344 +V= +tp12892 +a(g189 +V +tp12893 +a(g57 +VNULL +p12894 +tp12895 +a(g202 +V) +tp12896 +a(g189 +V +tp12897 +a(g202 +V{ +tp12898 +a(g189 +V\u000a +tp12899 +a(g189 +V +p12900 +tp12901 +a(g18 +VPyErr_SetString +p12902 +tp12903 +a(g202 +V( +tp12904 +a(g18 +VPyExc_RuntimeError +p12905 +tp12906 +a(g202 +V, +tp12907 +a(g189 +V\u000a +tp12908 +a(g189 +V +p12909 +tp12910 +a(g226 +V" +tp12911 +a(g226 +Vlost sys.displayhook +p12912 +tp12913 +a(g226 +V" +tp12914 +a(g202 +V) +tp12915 +a(g202 +V; +tp12916 +a(g189 +V\u000a +tp12917 +a(g189 +V +p12918 +tp12919 +a(g18 +Verr +p12920 +tp12921 +a(g189 +V +tp12922 +a(g344 +V= +tp12923 +a(g189 +V +tp12924 +a(g344 +V- +tp12925 +a(g319 +V1 +tp12926 +a(g202 +V; +tp12927 +a(g189 +V\u000a +tp12928 +a(g189 +V +p12929 +tp12930 +a(g18 +Vx +tp12931 +a(g189 +V +tp12932 +a(g344 +V= +tp12933 +a(g189 +V +tp12934 +a(g57 +VNULL +p12935 +tp12936 +a(g202 +V; +tp12937 +a(g189 +V\u000a +tp12938 +a(g189 +V +p12939 +tp12940 +a(g202 +V} +tp12941 +a(g189 +V\u000a +tp12942 +a(g189 +V +p12943 +tp12944 +a(g111 +Vif +p12945 +tp12946 +a(g189 +V +tp12947 +a(g202 +V( +tp12948 +a(g18 +Verr +p12949 +tp12950 +a(g189 +V +tp12951 +a(g344 +V= +tp12952 +a(g344 +V= +tp12953 +a(g189 +V +tp12954 +a(g319 +V0 +tp12955 +a(g202 +V) +tp12956 +a(g189 +V +tp12957 +a(g202 +V{ +tp12958 +a(g189 +V\u000a +tp12959 +a(g189 +V +p12960 +tp12961 +a(g18 +Vx +tp12962 +a(g189 +V +tp12963 +a(g344 +V= +tp12964 +a(g189 +V +tp12965 +a(g18 +VPyTuple_Pack +p12966 +tp12967 +a(g202 +V( +tp12968 +a(g319 +V1 +tp12969 +a(g202 +V, +tp12970 +a(g189 +V +tp12971 +a(g18 +Vv +tp12972 +a(g202 +V) +tp12973 +a(g202 +V; +tp12974 +a(g189 +V\u000a +tp12975 +a(g189 +V +p12976 +tp12977 +a(g111 +Vif +p12978 +tp12979 +a(g189 +V +tp12980 +a(g202 +V( +tp12981 +a(g18 +Vx +tp12982 +a(g189 +V +tp12983 +a(g344 +V= +tp12984 +a(g344 +V= +tp12985 +a(g189 +V +tp12986 +a(g57 +VNULL +p12987 +tp12988 +a(g202 +V) +tp12989 +a(g189 +V\u000a +tp12990 +a(g189 +V +p12991 +tp12992 +a(g18 +Verr +p12993 +tp12994 +a(g189 +V +tp12995 +a(g344 +V= +tp12996 +a(g189 +V +tp12997 +a(g344 +V- +tp12998 +a(g319 +V1 +tp12999 +a(g202 +V; +tp13000 +a(g189 +V\u000a +tp13001 +a(g189 +V +p13002 +tp13003 +a(g202 +V} +tp13004 +a(g189 +V\u000a +tp13005 +a(g189 +V +p13006 +tp13007 +a(g111 +Vif +p13008 +tp13009 +a(g189 +V +tp13010 +a(g202 +V( +tp13011 +a(g18 +Verr +p13012 +tp13013 +a(g189 +V +tp13014 +a(g344 +V= +tp13015 +a(g344 +V= +tp13016 +a(g189 +V +tp13017 +a(g319 +V0 +tp13018 +a(g202 +V) +tp13019 +a(g189 +V +tp13020 +a(g202 +V{ +tp13021 +a(g189 +V\u000a +tp13022 +a(g189 +V +p13023 +tp13024 +a(g18 +Vw +tp13025 +a(g189 +V +tp13026 +a(g344 +V= +tp13027 +a(g189 +V +tp13028 +a(g18 +VPyEval_CallObject +p13029 +tp13030 +a(g202 +V( +tp13031 +a(g18 +Vw +tp13032 +a(g202 +V, +tp13033 +a(g189 +V +tp13034 +a(g18 +Vx +tp13035 +a(g202 +V) +tp13036 +a(g202 +V; +tp13037 +a(g189 +V\u000a +tp13038 +a(g189 +V +p13039 +tp13040 +a(g18 +VPy_XDECREF +p13041 +tp13042 +a(g202 +V( +tp13043 +a(g18 +Vw +tp13044 +a(g202 +V) +tp13045 +a(g202 +V; +tp13046 +a(g189 +V\u000a +tp13047 +a(g189 +V +p13048 +tp13049 +a(g111 +Vif +p13050 +tp13051 +a(g189 +V +tp13052 +a(g202 +V( +tp13053 +a(g18 +Vw +tp13054 +a(g189 +V +tp13055 +a(g344 +V= +tp13056 +a(g344 +V= +tp13057 +a(g189 +V +tp13058 +a(g57 +VNULL +p13059 +tp13060 +a(g202 +V) +tp13061 +a(g189 +V\u000a +tp13062 +a(g189 +V +p13063 +tp13064 +a(g18 +Verr +p13065 +tp13066 +a(g189 +V +tp13067 +a(g344 +V= +tp13068 +a(g189 +V +tp13069 +a(g344 +V- +tp13070 +a(g319 +V1 +tp13071 +a(g202 +V; +tp13072 +a(g189 +V\u000a +tp13073 +a(g189 +V +p13074 +tp13075 +a(g202 +V} +tp13076 +a(g189 +V\u000a +tp13077 +a(g189 +V +p13078 +tp13079 +a(g18 +VPy_DECREF +p13080 +tp13081 +a(g202 +V( +tp13082 +a(g18 +Vv +tp13083 +a(g202 +V) +tp13084 +a(g202 +V; +tp13085 +a(g189 +V\u000a +tp13086 +a(g189 +V +p13087 +tp13088 +a(g18 +VPy_XDECREF +p13089 +tp13090 +a(g202 +V( +tp13091 +a(g18 +Vx +tp13092 +a(g202 +V) +tp13093 +a(g202 +V; +tp13094 +a(g189 +V\u000a +tp13095 +a(g189 +V +p13096 +tp13097 +a(g111 +Vbreak +p13098 +tp13099 +a(g202 +V; +tp13100 +a(g189 +V\u000a +tp13101 +a(g189 +V\u000a +tp13102 +a(g189 +V +p13103 +tp13104 +a(g111 +Vcase +p13105 +tp13106 +a(g189 +V +tp13107 +a(g189 +V +tp13108 +a(g18 +VPRINT_ITEM_TO +p13109 +tp13110 +a(g189 +V: +tp13111 +a(g189 +V\u000a +tp13112 +a(g189 +V +p13113 +tp13114 +a(g18 +Vw +tp13115 +a(g189 +V +tp13116 +a(g344 +V= +tp13117 +a(g189 +V +tp13118 +a(g18 +Vstream +p13119 +tp13120 +a(g189 +V +tp13121 +a(g344 +V= +tp13122 +a(g189 +V +tp13123 +a(g18 +VPOP +p13124 +tp13125 +a(g202 +V( +tp13126 +a(g202 +V) +tp13127 +a(g202 +V; +tp13128 +a(g189 +V\u000a +tp13129 +a(g189 +V +p13130 +tp13131 +a(g7 +V/* fall through to PRINT_ITEM */ +p13132 +tp13133 +a(g189 +V\u000a +tp13134 +a(g189 +V\u000a +tp13135 +a(g189 +V +p13136 +tp13137 +a(g111 +Vcase +p13138 +tp13139 +a(g189 +V +tp13140 +a(g189 +V +tp13141 +a(g18 +VPRINT_ITEM +p13142 +tp13143 +a(g189 +V: +tp13144 +a(g189 +V\u000a +tp13145 +a(g189 +V +p13146 +tp13147 +a(g18 +Vv +tp13148 +a(g189 +V +tp13149 +a(g344 +V= +tp13150 +a(g189 +V +tp13151 +a(g18 +VPOP +p13152 +tp13153 +a(g202 +V( +tp13154 +a(g202 +V) +tp13155 +a(g202 +V; +tp13156 +a(g189 +V\u000a +tp13157 +a(g189 +V +p13158 +tp13159 +a(g111 +Vif +p13160 +tp13161 +a(g189 +V +tp13162 +a(g202 +V( +tp13163 +a(g18 +Vstream +p13164 +tp13165 +a(g189 +V +tp13166 +a(g344 +V= +tp13167 +a(g344 +V= +tp13168 +a(g189 +V +tp13169 +a(g57 +VNULL +p13170 +tp13171 +a(g189 +V +tp13172 +a(g344 +V| +tp13173 +a(g344 +V| +tp13174 +a(g189 +V +tp13175 +a(g18 +Vstream +p13176 +tp13177 +a(g189 +V +tp13178 +a(g344 +V= +tp13179 +a(g344 +V= +tp13180 +a(g189 +V +tp13181 +a(g18 +VPy_None +p13182 +tp13183 +a(g202 +V) +tp13184 +a(g189 +V +tp13185 +a(g202 +V{ +tp13186 +a(g189 +V\u000a +tp13187 +a(g189 +V +p13188 +tp13189 +a(g18 +Vw +tp13190 +a(g189 +V +tp13191 +a(g344 +V= +tp13192 +a(g189 +V +tp13193 +a(g18 +VPySys_GetObject +p13194 +tp13195 +a(g202 +V( +tp13196 +a(g226 +V" +tp13197 +a(g226 +Vstdout +p13198 +tp13199 +a(g226 +V" +tp13200 +a(g202 +V) +tp13201 +a(g202 +V; +tp13202 +a(g189 +V\u000a +tp13203 +a(g189 +V +p13204 +tp13205 +a(g111 +Vif +p13206 +tp13207 +a(g189 +V +tp13208 +a(g202 +V( +tp13209 +a(g18 +Vw +tp13210 +a(g189 +V +tp13211 +a(g344 +V= +tp13212 +a(g344 +V= +tp13213 +a(g189 +V +tp13214 +a(g57 +VNULL +p13215 +tp13216 +a(g202 +V) +tp13217 +a(g189 +V +tp13218 +a(g202 +V{ +tp13219 +a(g189 +V\u000a +tp13220 +a(g189 +V +p13221 +tp13222 +a(g18 +VPyErr_SetString +p13223 +tp13224 +a(g202 +V( +tp13225 +a(g18 +VPyExc_RuntimeError +p13226 +tp13227 +a(g202 +V, +tp13228 +a(g189 +V\u000a +tp13229 +a(g189 +V +p13230 +tp13231 +a(g226 +V" +tp13232 +a(g226 +Vlost sys.stdout +p13233 +tp13234 +a(g226 +V" +tp13235 +a(g202 +V) +tp13236 +a(g202 +V; +tp13237 +a(g189 +V\u000a +tp13238 +a(g189 +V +p13239 +tp13240 +a(g18 +Verr +p13241 +tp13242 +a(g189 +V +tp13243 +a(g344 +V= +tp13244 +a(g189 +V +tp13245 +a(g344 +V- +tp13246 +a(g319 +V1 +tp13247 +a(g202 +V; +tp13248 +a(g189 +V\u000a +tp13249 +a(g189 +V +p13250 +tp13251 +a(g202 +V} +tp13252 +a(g189 +V\u000a +tp13253 +a(g189 +V +p13254 +tp13255 +a(g202 +V} +tp13256 +a(g189 +V\u000a +tp13257 +a(g189 +V +p13258 +tp13259 +a(g7 +V/* PyFile_SoftSpace() can exececute arbitrary code\u000a if sys.stdout is an instance with a __getattr__.\u000a If __getattr__ raises an exception, w will\u000a be freed, so we need to prevent that temporarily. */ +p13260 +tp13261 +a(g189 +V\u000a +tp13262 +a(g189 +V +p13263 +tp13264 +a(g18 +VPy_XINCREF +p13265 +tp13266 +a(g202 +V( +tp13267 +a(g18 +Vw +tp13268 +a(g202 +V) +tp13269 +a(g202 +V; +tp13270 +a(g189 +V\u000a +tp13271 +a(g189 +V +p13272 +tp13273 +a(g111 +Vif +p13274 +tp13275 +a(g189 +V +tp13276 +a(g202 +V( +tp13277 +a(g18 +Vw +tp13278 +a(g189 +V +tp13279 +a(g344 +V! +tp13280 +a(g344 +V= +tp13281 +a(g189 +V +tp13282 +a(g57 +VNULL +p13283 +tp13284 +a(g189 +V +tp13285 +a(g344 +V& +tp13286 +a(g344 +V& +tp13287 +a(g189 +V +tp13288 +a(g18 +VPyFile_SoftSpace +p13289 +tp13290 +a(g202 +V( +tp13291 +a(g18 +Vw +tp13292 +a(g202 +V, +tp13293 +a(g189 +V +tp13294 +a(g319 +V0 +tp13295 +a(g202 +V) +tp13296 +a(g202 +V) +tp13297 +a(g189 +V\u000a +tp13298 +a(g189 +V +p13299 +tp13300 +a(g18 +Verr +p13301 +tp13302 +a(g189 +V +tp13303 +a(g344 +V= +tp13304 +a(g189 +V +tp13305 +a(g18 +VPyFile_WriteString +p13306 +tp13307 +a(g202 +V( +tp13308 +a(g226 +V" +tp13309 +a(g226 +V +tp13310 +a(g226 +V" +tp13311 +a(g202 +V, +tp13312 +a(g189 +V +tp13313 +a(g18 +Vw +tp13314 +a(g202 +V) +tp13315 +a(g202 +V; +tp13316 +a(g189 +V\u000a +tp13317 +a(g189 +V +p13318 +tp13319 +a(g111 +Vif +p13320 +tp13321 +a(g189 +V +tp13322 +a(g202 +V( +tp13323 +a(g18 +Verr +p13324 +tp13325 +a(g189 +V +tp13326 +a(g344 +V= +tp13327 +a(g344 +V= +tp13328 +a(g189 +V +tp13329 +a(g319 +V0 +tp13330 +a(g202 +V) +tp13331 +a(g189 +V\u000a +tp13332 +a(g189 +V +p13333 +tp13334 +a(g18 +Verr +p13335 +tp13336 +a(g189 +V +tp13337 +a(g344 +V= +tp13338 +a(g189 +V +tp13339 +a(g18 +VPyFile_WriteObject +p13340 +tp13341 +a(g202 +V( +tp13342 +a(g18 +Vv +tp13343 +a(g202 +V, +tp13344 +a(g189 +V +tp13345 +a(g18 +Vw +tp13346 +a(g202 +V, +tp13347 +a(g189 +V +tp13348 +a(g18 +VPy_PRINT_RAW +p13349 +tp13350 +a(g202 +V) +tp13351 +a(g202 +V; +tp13352 +a(g189 +V\u000a +tp13353 +a(g189 +V +p13354 +tp13355 +a(g111 +Vif +p13356 +tp13357 +a(g189 +V +tp13358 +a(g202 +V( +tp13359 +a(g18 +Verr +p13360 +tp13361 +a(g189 +V +tp13362 +a(g344 +V= +tp13363 +a(g344 +V= +tp13364 +a(g189 +V +tp13365 +a(g319 +V0 +tp13366 +a(g202 +V) +tp13367 +a(g189 +V +tp13368 +a(g202 +V{ +tp13369 +a(g189 +V\u000a +tp13370 +a(g189 +V +p13371 +tp13372 +a(g7 +V/* XXX move into writeobject() ? */ +p13373 +tp13374 +a(g189 +V\u000a +tp13375 +a(g189 +V +p13376 +tp13377 +a(g111 +Vif +p13378 +tp13379 +a(g189 +V +tp13380 +a(g202 +V( +tp13381 +a(g18 +VPyString_Check +p13382 +tp13383 +a(g202 +V( +tp13384 +a(g18 +Vv +tp13385 +a(g202 +V) +tp13386 +a(g202 +V) +tp13387 +a(g189 +V +tp13388 +a(g202 +V{ +tp13389 +a(g189 +V\u000a +tp13390 +a(g189 +V +p13391 +tp13392 +a(g139 +Vchar +p13393 +tp13394 +a(g189 +V +tp13395 +a(g344 +V* +tp13396 +a(g18 +Vs +tp13397 +a(g189 +V +tp13398 +a(g344 +V= +tp13399 +a(g189 +V +tp13400 +a(g18 +VPyString_AS_STRING +p13401 +tp13402 +a(g202 +V( +tp13403 +a(g18 +Vv +tp13404 +a(g202 +V) +tp13405 +a(g202 +V; +tp13406 +a(g189 +V\u000a +tp13407 +a(g189 +V +p13408 +tp13409 +a(g18 +VPy_ssize_t +p13410 +tp13411 +a(g189 +V +tp13412 +a(g18 +Vlen +p13413 +tp13414 +a(g189 +V +tp13415 +a(g344 +V= +tp13416 +a(g189 +V +tp13417 +a(g18 +VPyString_GET_SIZE +p13418 +tp13419 +a(g202 +V( +tp13420 +a(g18 +Vv +tp13421 +a(g202 +V) +tp13422 +a(g202 +V; +tp13423 +a(g189 +V\u000a +tp13424 +a(g189 +V +p13425 +tp13426 +a(g111 +Vif +p13427 +tp13428 +a(g189 +V +tp13429 +a(g202 +V( +tp13430 +a(g18 +Vlen +p13431 +tp13432 +a(g189 +V +tp13433 +a(g344 +V= +tp13434 +a(g344 +V= +tp13435 +a(g189 +V +tp13436 +a(g319 +V0 +tp13437 +a(g189 +V +tp13438 +a(g344 +V| +tp13439 +a(g344 +V| +tp13440 +a(g189 +V\u000a +tp13441 +a(g189 +V +p13442 +tp13443 +a(g344 +V! +tp13444 +a(g18 +Visspace +p13445 +tp13446 +a(g202 +V( +tp13447 +a(g18 +VPy_CHARMASK +p13448 +tp13449 +a(g202 +V( +tp13450 +a(g18 +Vs +tp13451 +a(g202 +V[ +tp13452 +a(g18 +Vlen +p13453 +tp13454 +a(g344 +V- +tp13455 +a(g319 +V1 +tp13456 +a(g202 +V] +tp13457 +a(g202 +V) +tp13458 +a(g202 +V) +tp13459 +a(g189 +V +tp13460 +a(g344 +V| +tp13461 +a(g344 +V| +tp13462 +a(g189 +V\u000a +tp13463 +a(g189 +V +p13464 +tp13465 +a(g18 +Vs +tp13466 +a(g202 +V[ +tp13467 +a(g18 +Vlen +p13468 +tp13469 +a(g344 +V- +tp13470 +a(g319 +V1 +tp13471 +a(g202 +V] +tp13472 +a(g189 +V +tp13473 +a(g344 +V= +tp13474 +a(g344 +V= +tp13475 +a(g189 +V +tp13476 +a(g268 +V' ' +p13477 +tp13478 +a(g202 +V) +tp13479 +a(g189 +V\u000a +tp13480 +a(g189 +V +p13481 +tp13482 +a(g18 +VPyFile_SoftSpace +p13483 +tp13484 +a(g202 +V( +tp13485 +a(g18 +Vw +tp13486 +a(g202 +V, +tp13487 +a(g189 +V +tp13488 +a(g319 +V1 +tp13489 +a(g202 +V) +tp13490 +a(g202 +V; +tp13491 +a(g189 +V\u000a +tp13492 +a(g189 +V +p13493 +tp13494 +a(g202 +V} +tp13495 +a(g189 +V\u000a +tp13496 +a(g355 +V# +tp13497 +a(g355 +Vifdef Py_USING_UNICODE +p13498 +tp13499 +a(g355 +V\u000a +tp13500 +a(g189 +V +p13501 +tp13502 +a(g111 +Velse +p13503 +tp13504 +a(g189 +V +tp13505 +a(g111 +Vif +p13506 +tp13507 +a(g189 +V +tp13508 +a(g202 +V( +tp13509 +a(g18 +VPyUnicode_Check +p13510 +tp13511 +a(g202 +V( +tp13512 +a(g18 +Vv +tp13513 +a(g202 +V) +tp13514 +a(g202 +V) +tp13515 +a(g189 +V +tp13516 +a(g202 +V{ +tp13517 +a(g189 +V\u000a +tp13518 +a(g189 +V +p13519 +tp13520 +a(g18 +VPy_UNICODE +p13521 +tp13522 +a(g189 +V +tp13523 +a(g344 +V* +tp13524 +a(g18 +Vs +tp13525 +a(g189 +V +tp13526 +a(g344 +V= +tp13527 +a(g189 +V +tp13528 +a(g18 +VPyUnicode_AS_UNICODE +p13529 +tp13530 +a(g202 +V( +tp13531 +a(g18 +Vv +tp13532 +a(g202 +V) +tp13533 +a(g202 +V; +tp13534 +a(g189 +V\u000a +tp13535 +a(g189 +V +p13536 +tp13537 +a(g18 +VPy_ssize_t +p13538 +tp13539 +a(g189 +V +tp13540 +a(g18 +Vlen +p13541 +tp13542 +a(g189 +V +tp13543 +a(g344 +V= +tp13544 +a(g189 +V +tp13545 +a(g18 +VPyUnicode_GET_SIZE +p13546 +tp13547 +a(g202 +V( +tp13548 +a(g18 +Vv +tp13549 +a(g202 +V) +tp13550 +a(g202 +V; +tp13551 +a(g189 +V\u000a +tp13552 +a(g189 +V +p13553 +tp13554 +a(g111 +Vif +p13555 +tp13556 +a(g189 +V +tp13557 +a(g202 +V( +tp13558 +a(g18 +Vlen +p13559 +tp13560 +a(g189 +V +tp13561 +a(g344 +V= +tp13562 +a(g344 +V= +tp13563 +a(g189 +V +tp13564 +a(g319 +V0 +tp13565 +a(g189 +V +tp13566 +a(g344 +V| +tp13567 +a(g344 +V| +tp13568 +a(g189 +V\u000a +tp13569 +a(g189 +V +p13570 +tp13571 +a(g344 +V! +tp13572 +a(g18 +VPy_UNICODE_ISSPACE +p13573 +tp13574 +a(g202 +V( +tp13575 +a(g18 +Vs +tp13576 +a(g202 +V[ +tp13577 +a(g18 +Vlen +p13578 +tp13579 +a(g344 +V- +tp13580 +a(g319 +V1 +tp13581 +a(g202 +V] +tp13582 +a(g202 +V) +tp13583 +a(g189 +V +tp13584 +a(g344 +V| +tp13585 +a(g344 +V| +tp13586 +a(g189 +V\u000a +tp13587 +a(g189 +V +p13588 +tp13589 +a(g18 +Vs +tp13590 +a(g202 +V[ +tp13591 +a(g18 +Vlen +p13592 +tp13593 +a(g344 +V- +tp13594 +a(g319 +V1 +tp13595 +a(g202 +V] +tp13596 +a(g189 +V +tp13597 +a(g344 +V= +tp13598 +a(g344 +V= +tp13599 +a(g189 +V +tp13600 +a(g268 +V' ' +p13601 +tp13602 +a(g202 +V) +tp13603 +a(g189 +V\u000a +tp13604 +a(g189 +V +p13605 +tp13606 +a(g18 +VPyFile_SoftSpace +p13607 +tp13608 +a(g202 +V( +tp13609 +a(g18 +Vw +tp13610 +a(g202 +V, +tp13611 +a(g189 +V +tp13612 +a(g319 +V1 +tp13613 +a(g202 +V) +tp13614 +a(g202 +V; +tp13615 +a(g189 +V\u000a +tp13616 +a(g189 +V +p13617 +tp13618 +a(g202 +V} +tp13619 +a(g189 +V\u000a +tp13620 +a(g355 +V# +tp13621 +a(g355 +Vendif +p13622 +tp13623 +a(g355 +V\u000a +tp13624 +a(g189 +V +p13625 +tp13626 +a(g111 +Velse +p13627 +tp13628 +a(g189 +V\u000a +tp13629 +a(g189 +V +p13630 +tp13631 +a(g18 +VPyFile_SoftSpace +p13632 +tp13633 +a(g202 +V( +tp13634 +a(g18 +Vw +tp13635 +a(g202 +V, +tp13636 +a(g189 +V +tp13637 +a(g319 +V1 +tp13638 +a(g202 +V) +tp13639 +a(g202 +V; +tp13640 +a(g189 +V\u000a +tp13641 +a(g189 +V +p13642 +tp13643 +a(g202 +V} +tp13644 +a(g189 +V\u000a +tp13645 +a(g189 +V +p13646 +tp13647 +a(g18 +VPy_XDECREF +p13648 +tp13649 +a(g202 +V( +tp13650 +a(g18 +Vw +tp13651 +a(g202 +V) +tp13652 +a(g202 +V; +tp13653 +a(g189 +V\u000a +tp13654 +a(g189 +V +p13655 +tp13656 +a(g18 +VPy_DECREF +p13657 +tp13658 +a(g202 +V( +tp13659 +a(g18 +Vv +tp13660 +a(g202 +V) +tp13661 +a(g202 +V; +tp13662 +a(g189 +V\u000a +tp13663 +a(g189 +V +p13664 +tp13665 +a(g18 +VPy_XDECREF +p13666 +tp13667 +a(g202 +V( +tp13668 +a(g18 +Vstream +p13669 +tp13670 +a(g202 +V) +tp13671 +a(g202 +V; +tp13672 +a(g189 +V\u000a +tp13673 +a(g189 +V +p13674 +tp13675 +a(g18 +Vstream +p13676 +tp13677 +a(g189 +V +tp13678 +a(g344 +V= +tp13679 +a(g189 +V +tp13680 +a(g57 +VNULL +p13681 +tp13682 +a(g202 +V; +tp13683 +a(g189 +V\u000a +tp13684 +a(g189 +V +p13685 +tp13686 +a(g111 +Vif +p13687 +tp13688 +a(g189 +V +tp13689 +a(g202 +V( +tp13690 +a(g18 +Verr +p13691 +tp13692 +a(g189 +V +tp13693 +a(g344 +V= +tp13694 +a(g344 +V= +tp13695 +a(g189 +V +tp13696 +a(g319 +V0 +tp13697 +a(g202 +V) +tp13698 +a(g189 +V\u000a +tp13699 +a(g189 +V +p13700 +tp13701 +a(g111 +Vcontinue +p13702 +tp13703 +a(g202 +V; +tp13704 +a(g189 +V\u000a +tp13705 +a(g189 +V +p13706 +tp13707 +a(g111 +Vbreak +p13708 +tp13709 +a(g202 +V; +tp13710 +a(g189 +V\u000a +tp13711 +a(g189 +V\u000a +tp13712 +a(g189 +V +p13713 +tp13714 +a(g111 +Vcase +p13715 +tp13716 +a(g189 +V +tp13717 +a(g189 +V +tp13718 +a(g18 +VPRINT_NEWLINE_TO +p13719 +tp13720 +a(g189 +V: +tp13721 +a(g189 +V\u000a +tp13722 +a(g189 +V +p13723 +tp13724 +a(g18 +Vw +tp13725 +a(g189 +V +tp13726 +a(g344 +V= +tp13727 +a(g189 +V +tp13728 +a(g18 +Vstream +p13729 +tp13730 +a(g189 +V +tp13731 +a(g344 +V= +tp13732 +a(g189 +V +tp13733 +a(g18 +VPOP +p13734 +tp13735 +a(g202 +V( +tp13736 +a(g202 +V) +tp13737 +a(g202 +V; +tp13738 +a(g189 +V\u000a +tp13739 +a(g189 +V +p13740 +tp13741 +a(g7 +V/* fall through to PRINT_NEWLINE */ +p13742 +tp13743 +a(g189 +V\u000a +tp13744 +a(g189 +V\u000a +tp13745 +a(g189 +V +p13746 +tp13747 +a(g111 +Vcase +p13748 +tp13749 +a(g189 +V +tp13750 +a(g189 +V +tp13751 +a(g18 +VPRINT_NEWLINE +p13752 +tp13753 +a(g189 +V: +tp13754 +a(g189 +V\u000a +tp13755 +a(g189 +V +p13756 +tp13757 +a(g111 +Vif +p13758 +tp13759 +a(g189 +V +tp13760 +a(g202 +V( +tp13761 +a(g18 +Vstream +p13762 +tp13763 +a(g189 +V +tp13764 +a(g344 +V= +tp13765 +a(g344 +V= +tp13766 +a(g189 +V +tp13767 +a(g57 +VNULL +p13768 +tp13769 +a(g189 +V +tp13770 +a(g344 +V| +tp13771 +a(g344 +V| +tp13772 +a(g189 +V +tp13773 +a(g18 +Vstream +p13774 +tp13775 +a(g189 +V +tp13776 +a(g344 +V= +tp13777 +a(g344 +V= +tp13778 +a(g189 +V +tp13779 +a(g18 +VPy_None +p13780 +tp13781 +a(g202 +V) +tp13782 +a(g189 +V +tp13783 +a(g202 +V{ +tp13784 +a(g189 +V\u000a +tp13785 +a(g189 +V +p13786 +tp13787 +a(g18 +Vw +tp13788 +a(g189 +V +tp13789 +a(g344 +V= +tp13790 +a(g189 +V +tp13791 +a(g18 +VPySys_GetObject +p13792 +tp13793 +a(g202 +V( +tp13794 +a(g226 +V" +tp13795 +a(g226 +Vstdout +p13796 +tp13797 +a(g226 +V" +tp13798 +a(g202 +V) +tp13799 +a(g202 +V; +tp13800 +a(g189 +V\u000a +tp13801 +a(g189 +V +p13802 +tp13803 +a(g111 +Vif +p13804 +tp13805 +a(g189 +V +tp13806 +a(g202 +V( +tp13807 +a(g18 +Vw +tp13808 +a(g189 +V +tp13809 +a(g344 +V= +tp13810 +a(g344 +V= +tp13811 +a(g189 +V +tp13812 +a(g57 +VNULL +p13813 +tp13814 +a(g202 +V) +tp13815 +a(g189 +V\u000a +tp13816 +a(g189 +V +p13817 +tp13818 +a(g18 +VPyErr_SetString +p13819 +tp13820 +a(g202 +V( +tp13821 +a(g18 +VPyExc_RuntimeError +p13822 +tp13823 +a(g202 +V, +tp13824 +a(g189 +V\u000a +tp13825 +a(g189 +V +p13826 +tp13827 +a(g226 +V" +tp13828 +a(g226 +Vlost sys.stdout +p13829 +tp13830 +a(g226 +V" +tp13831 +a(g202 +V) +tp13832 +a(g202 +V; +tp13833 +a(g189 +V\u000a +tp13834 +a(g189 +V +p13835 +tp13836 +a(g202 +V} +tp13837 +a(g189 +V\u000a +tp13838 +a(g189 +V +p13839 +tp13840 +a(g111 +Vif +p13841 +tp13842 +a(g189 +V +tp13843 +a(g202 +V( +tp13844 +a(g18 +Vw +tp13845 +a(g189 +V +tp13846 +a(g344 +V! +tp13847 +a(g344 +V= +tp13848 +a(g189 +V +tp13849 +a(g57 +VNULL +p13850 +tp13851 +a(g202 +V) +tp13852 +a(g189 +V +tp13853 +a(g202 +V{ +tp13854 +a(g189 +V\u000a +tp13855 +a(g189 +V +p13856 +tp13857 +a(g18 +Verr +p13858 +tp13859 +a(g189 +V +tp13860 +a(g344 +V= +tp13861 +a(g189 +V +tp13862 +a(g18 +VPyFile_WriteString +p13863 +tp13864 +a(g202 +V( +tp13865 +a(g226 +V" +tp13866 +a(g252 +V\u005cn +p13867 +tp13868 +a(g226 +V" +tp13869 +a(g202 +V, +tp13870 +a(g189 +V +tp13871 +a(g18 +Vw +tp13872 +a(g202 +V) +tp13873 +a(g202 +V; +tp13874 +a(g189 +V\u000a +tp13875 +a(g189 +V +p13876 +tp13877 +a(g111 +Vif +p13878 +tp13879 +a(g189 +V +tp13880 +a(g202 +V( +tp13881 +a(g18 +Verr +p13882 +tp13883 +a(g189 +V +tp13884 +a(g344 +V= +tp13885 +a(g344 +V= +tp13886 +a(g189 +V +tp13887 +a(g319 +V0 +tp13888 +a(g202 +V) +tp13889 +a(g189 +V\u000a +tp13890 +a(g189 +V +p13891 +tp13892 +a(g18 +VPyFile_SoftSpace +p13893 +tp13894 +a(g202 +V( +tp13895 +a(g18 +Vw +tp13896 +a(g202 +V, +tp13897 +a(g189 +V +tp13898 +a(g319 +V0 +tp13899 +a(g202 +V) +tp13900 +a(g202 +V; +tp13901 +a(g189 +V\u000a +tp13902 +a(g189 +V +p13903 +tp13904 +a(g202 +V} +tp13905 +a(g189 +V\u000a +tp13906 +a(g189 +V +p13907 +tp13908 +a(g18 +VPy_XDECREF +p13909 +tp13910 +a(g202 +V( +tp13911 +a(g18 +Vstream +p13912 +tp13913 +a(g202 +V) +tp13914 +a(g202 +V; +tp13915 +a(g189 +V\u000a +tp13916 +a(g189 +V +p13917 +tp13918 +a(g18 +Vstream +p13919 +tp13920 +a(g189 +V +tp13921 +a(g344 +V= +tp13922 +a(g189 +V +tp13923 +a(g57 +VNULL +p13924 +tp13925 +a(g202 +V; +tp13926 +a(g189 +V\u000a +tp13927 +a(g189 +V +p13928 +tp13929 +a(g111 +Vbreak +p13930 +tp13931 +a(g202 +V; +tp13932 +a(g189 +V\u000a +tp13933 +a(g355 +V\u000a\u000a# +p13934 +tp13935 +a(g355 +Vifdef CASE_TOO_BIG +p13936 +tp13937 +a(g355 +V\u000a +tp13938 +a(g189 +V +p13939 +tp13940 +a(g45 +Vdefault: +p13941 +tp13942 +a(g189 +V +tp13943 +a(g111 +Vswitch +p13944 +tp13945 +a(g189 +V +tp13946 +a(g202 +V( +tp13947 +a(g18 +Vopcode +p13948 +tp13949 +a(g202 +V) +tp13950 +a(g189 +V +tp13951 +a(g202 +V{ +tp13952 +a(g189 +V\u000a +tp13953 +a(g355 +V# +tp13954 +a(g355 +Vendif +p13955 +tp13956 +a(g355 +V\u000a +tp13957 +a(g189 +V +p13958 +tp13959 +a(g111 +Vcase +p13960 +tp13961 +a(g189 +V +tp13962 +a(g189 +V +tp13963 +a(g18 +VRAISE_VARARGS +p13964 +tp13965 +a(g189 +V: +tp13966 +a(g189 +V\u000a +tp13967 +a(g189 +V +p13968 +tp13969 +a(g18 +Vu +tp13970 +a(g189 +V +tp13971 +a(g344 +V= +tp13972 +a(g189 +V +tp13973 +a(g18 +Vv +tp13974 +a(g189 +V +tp13975 +a(g344 +V= +tp13976 +a(g189 +V +tp13977 +a(g18 +Vw +tp13978 +a(g189 +V +tp13979 +a(g344 +V= +tp13980 +a(g189 +V +tp13981 +a(g57 +VNULL +p13982 +tp13983 +a(g202 +V; +tp13984 +a(g189 +V\u000a +tp13985 +a(g189 +V +p13986 +tp13987 +a(g111 +Vswitch +p13988 +tp13989 +a(g189 +V +tp13990 +a(g202 +V( +tp13991 +a(g18 +Voparg +p13992 +tp13993 +a(g202 +V) +tp13994 +a(g189 +V +tp13995 +a(g202 +V{ +tp13996 +a(g189 +V\u000a +tp13997 +a(g189 +V +p13998 +tp13999 +a(g111 +Vcase +p14000 +tp14001 +a(g189 +V +tp14002 +a(g189 +V +tp14003 +a(g319 +V3 +tp14004 +a(g189 +V: +tp14005 +a(g189 +V\u000a +tp14006 +a(g189 +V +p14007 +tp14008 +a(g18 +Vu +tp14009 +a(g189 +V +tp14010 +a(g344 +V= +tp14011 +a(g189 +V +tp14012 +a(g18 +VPOP +p14013 +tp14014 +a(g202 +V( +tp14015 +a(g202 +V) +tp14016 +a(g202 +V; +tp14017 +a(g189 +V +tp14018 +a(g7 +V/* traceback */ +p14019 +tp14020 +a(g189 +V\u000a +tp14021 +a(g189 +V +p14022 +tp14023 +a(g7 +V/* Fallthrough */ +p14024 +tp14025 +a(g189 +V\u000a +tp14026 +a(g189 +V +p14027 +tp14028 +a(g111 +Vcase +p14029 +tp14030 +a(g189 +V +tp14031 +a(g189 +V +tp14032 +a(g319 +V2 +tp14033 +a(g189 +V: +tp14034 +a(g189 +V\u000a +tp14035 +a(g189 +V +p14036 +tp14037 +a(g18 +Vv +tp14038 +a(g189 +V +tp14039 +a(g344 +V= +tp14040 +a(g189 +V +tp14041 +a(g18 +VPOP +p14042 +tp14043 +a(g202 +V( +tp14044 +a(g202 +V) +tp14045 +a(g202 +V; +tp14046 +a(g189 +V +tp14047 +a(g7 +V/* value */ +p14048 +tp14049 +a(g189 +V\u000a +tp14050 +a(g189 +V +p14051 +tp14052 +a(g7 +V/* Fallthrough */ +p14053 +tp14054 +a(g189 +V\u000a +tp14055 +a(g189 +V +p14056 +tp14057 +a(g111 +Vcase +p14058 +tp14059 +a(g189 +V +tp14060 +a(g189 +V +tp14061 +a(g319 +V1 +tp14062 +a(g189 +V: +tp14063 +a(g189 +V\u000a +tp14064 +a(g189 +V +p14065 +tp14066 +a(g18 +Vw +tp14067 +a(g189 +V +tp14068 +a(g344 +V= +tp14069 +a(g189 +V +tp14070 +a(g18 +VPOP +p14071 +tp14072 +a(g202 +V( +tp14073 +a(g202 +V) +tp14074 +a(g202 +V; +tp14075 +a(g189 +V +tp14076 +a(g7 +V/* exc */ +p14077 +tp14078 +a(g189 +V\u000a +tp14079 +a(g189 +V +p14080 +tp14081 +a(g111 +Vcase +p14082 +tp14083 +a(g189 +V +tp14084 +a(g189 +V +tp14085 +a(g319 +V0 +tp14086 +a(g189 +V: +tp14087 +a(g189 +V +tp14088 +a(g7 +V/* Fallthrough */ +p14089 +tp14090 +a(g189 +V\u000a +tp14091 +a(g189 +V +p14092 +tp14093 +a(g18 +Vwhy +p14094 +tp14095 +a(g189 +V +tp14096 +a(g344 +V= +tp14097 +a(g189 +V +tp14098 +a(g18 +Vdo_raise +p14099 +tp14100 +a(g202 +V( +tp14101 +a(g18 +Vw +tp14102 +a(g202 +V, +tp14103 +a(g189 +V +tp14104 +a(g18 +Vv +tp14105 +a(g202 +V, +tp14106 +a(g189 +V +tp14107 +a(g18 +Vu +tp14108 +a(g202 +V) +tp14109 +a(g202 +V; +tp14110 +a(g189 +V\u000a +tp14111 +a(g189 +V +p14112 +tp14113 +a(g111 +Vbreak +p14114 +tp14115 +a(g202 +V; +tp14116 +a(g189 +V\u000a +tp14117 +a(g189 +V +p14118 +tp14119 +a(g45 +Vdefault: +p14120 +tp14121 +a(g189 +V\u000a +tp14122 +a(g189 +V +p14123 +tp14124 +a(g18 +VPyErr_SetString +p14125 +tp14126 +a(g202 +V( +tp14127 +a(g18 +VPyExc_SystemError +p14128 +tp14129 +a(g202 +V, +tp14130 +a(g189 +V\u000a +tp14131 +a(g189 +V +p14132 +tp14133 +a(g226 +V" +tp14134 +a(g226 +Vbad RAISE_VARARGS oparg +p14135 +tp14136 +a(g226 +V" +tp14137 +a(g202 +V) +tp14138 +a(g202 +V; +tp14139 +a(g189 +V\u000a +tp14140 +a(g189 +V +p14141 +tp14142 +a(g18 +Vwhy +p14143 +tp14144 +a(g189 +V +tp14145 +a(g344 +V= +tp14146 +a(g189 +V +tp14147 +a(g18 +VWHY_EXCEPTION +p14148 +tp14149 +a(g202 +V; +tp14150 +a(g189 +V\u000a +tp14151 +a(g189 +V +p14152 +tp14153 +a(g111 +Vbreak +p14154 +tp14155 +a(g202 +V; +tp14156 +a(g189 +V\u000a +tp14157 +a(g189 +V +p14158 +tp14159 +a(g202 +V} +tp14160 +a(g189 +V\u000a +tp14161 +a(g189 +V +p14162 +tp14163 +a(g111 +Vbreak +p14164 +tp14165 +a(g202 +V; +tp14166 +a(g189 +V\u000a +tp14167 +a(g189 +V\u000a +tp14168 +a(g189 +V +p14169 +tp14170 +a(g111 +Vcase +p14171 +tp14172 +a(g189 +V +tp14173 +a(g189 +V +tp14174 +a(g18 +VLOAD_LOCALS +p14175 +tp14176 +a(g189 +V: +tp14177 +a(g189 +V\u000a +tp14178 +a(g189 +V +p14179 +tp14180 +a(g111 +Vif +p14181 +tp14182 +a(g189 +V +tp14183 +a(g202 +V( +tp14184 +a(g202 +V( +tp14185 +a(g18 +Vx +tp14186 +a(g189 +V +tp14187 +a(g344 +V= +tp14188 +a(g189 +V +tp14189 +a(g18 +Vf +tp14190 +a(g344 +V- +tp14191 +a(g344 +V> +tp14192 +a(g18 +Vf_locals +p14193 +tp14194 +a(g202 +V) +tp14195 +a(g189 +V +tp14196 +a(g344 +V! +tp14197 +a(g344 +V= +tp14198 +a(g189 +V +tp14199 +a(g57 +VNULL +p14200 +tp14201 +a(g202 +V) +tp14202 +a(g189 +V +tp14203 +a(g202 +V{ +tp14204 +a(g189 +V\u000a +tp14205 +a(g189 +V +p14206 +tp14207 +a(g18 +VPy_INCREF +p14208 +tp14209 +a(g202 +V( +tp14210 +a(g18 +Vx +tp14211 +a(g202 +V) +tp14212 +a(g202 +V; +tp14213 +a(g189 +V\u000a +tp14214 +a(g189 +V +p14215 +tp14216 +a(g18 +VPUSH +p14217 +tp14218 +a(g202 +V( +tp14219 +a(g18 +Vx +tp14220 +a(g202 +V) +tp14221 +a(g202 +V; +tp14222 +a(g189 +V\u000a +tp14223 +a(g189 +V +p14224 +tp14225 +a(g111 +Vcontinue +p14226 +tp14227 +a(g202 +V; +tp14228 +a(g189 +V\u000a +tp14229 +a(g189 +V +p14230 +tp14231 +a(g202 +V} +tp14232 +a(g189 +V\u000a +tp14233 +a(g189 +V +p14234 +tp14235 +a(g18 +VPyErr_SetString +p14236 +tp14237 +a(g202 +V( +tp14238 +a(g18 +VPyExc_SystemError +p14239 +tp14240 +a(g202 +V, +tp14241 +a(g189 +V +tp14242 +a(g226 +V" +tp14243 +a(g226 +Vno locals +p14244 +tp14245 +a(g226 +V" +tp14246 +a(g202 +V) +tp14247 +a(g202 +V; +tp14248 +a(g189 +V\u000a +tp14249 +a(g189 +V +p14250 +tp14251 +a(g111 +Vbreak +p14252 +tp14253 +a(g202 +V; +tp14254 +a(g189 +V\u000a +tp14255 +a(g189 +V\u000a +tp14256 +a(g189 +V +p14257 +tp14258 +a(g111 +Vcase +p14259 +tp14260 +a(g189 +V +tp14261 +a(g189 +V +tp14262 +a(g18 +VRETURN_VALUE +p14263 +tp14264 +a(g189 +V: +tp14265 +a(g189 +V\u000a +tp14266 +a(g189 +V +p14267 +tp14268 +a(g18 +Vretval +p14269 +tp14270 +a(g189 +V +tp14271 +a(g344 +V= +tp14272 +a(g189 +V +tp14273 +a(g18 +VPOP +p14274 +tp14275 +a(g202 +V( +tp14276 +a(g202 +V) +tp14277 +a(g202 +V; +tp14278 +a(g189 +V\u000a +tp14279 +a(g189 +V +p14280 +tp14281 +a(g18 +Vwhy +p14282 +tp14283 +a(g189 +V +tp14284 +a(g344 +V= +tp14285 +a(g189 +V +tp14286 +a(g18 +VWHY_RETURN +p14287 +tp14288 +a(g202 +V; +tp14289 +a(g189 +V\u000a +tp14290 +a(g189 +V +p14291 +tp14292 +a(g111 +Vgoto +p14293 +tp14294 +a(g189 +V +tp14295 +a(g18 +Vfast_block_end +p14296 +tp14297 +a(g202 +V; +tp14298 +a(g189 +V\u000a +tp14299 +a(g189 +V\u000a +tp14300 +a(g189 +V +p14301 +tp14302 +a(g111 +Vcase +p14303 +tp14304 +a(g189 +V +tp14305 +a(g189 +V +tp14306 +a(g18 +VYIELD_VALUE +p14307 +tp14308 +a(g189 +V: +tp14309 +a(g189 +V\u000a +tp14310 +a(g189 +V +p14311 +tp14312 +a(g18 +Vretval +p14313 +tp14314 +a(g189 +V +tp14315 +a(g344 +V= +tp14316 +a(g189 +V +tp14317 +a(g18 +VPOP +p14318 +tp14319 +a(g202 +V( +tp14320 +a(g202 +V) +tp14321 +a(g202 +V; +tp14322 +a(g189 +V\u000a +tp14323 +a(g189 +V +p14324 +tp14325 +a(g18 +Vf +tp14326 +a(g344 +V- +tp14327 +a(g344 +V> +tp14328 +a(g18 +Vf_stacktop +p14329 +tp14330 +a(g189 +V +tp14331 +a(g344 +V= +tp14332 +a(g189 +V +tp14333 +a(g18 +Vstack_pointer +p14334 +tp14335 +a(g202 +V; +tp14336 +a(g189 +V\u000a +tp14337 +a(g189 +V +p14338 +tp14339 +a(g18 +Vwhy +p14340 +tp14341 +a(g189 +V +tp14342 +a(g344 +V= +tp14343 +a(g189 +V +tp14344 +a(g18 +VWHY_YIELD +p14345 +tp14346 +a(g202 +V; +tp14347 +a(g189 +V\u000a +tp14348 +a(g189 +V +p14349 +tp14350 +a(g111 +Vgoto +p14351 +tp14352 +a(g189 +V +tp14353 +a(g18 +Vfast_yield +p14354 +tp14355 +a(g202 +V; +tp14356 +a(g189 +V\u000a +tp14357 +a(g189 +V\u000a +tp14358 +a(g189 +V +p14359 +tp14360 +a(g111 +Vcase +p14361 +tp14362 +a(g189 +V +tp14363 +a(g189 +V +tp14364 +a(g18 +VEXEC_STMT +p14365 +tp14366 +a(g189 +V: +tp14367 +a(g189 +V\u000a +tp14368 +a(g189 +V +p14369 +tp14370 +a(g18 +Vw +tp14371 +a(g189 +V +tp14372 +a(g344 +V= +tp14373 +a(g189 +V +tp14374 +a(g18 +VTOP +p14375 +tp14376 +a(g202 +V( +tp14377 +a(g202 +V) +tp14378 +a(g202 +V; +tp14379 +a(g189 +V\u000a +tp14380 +a(g189 +V +p14381 +tp14382 +a(g18 +Vv +tp14383 +a(g189 +V +tp14384 +a(g344 +V= +tp14385 +a(g189 +V +tp14386 +a(g18 +VSECOND +p14387 +tp14388 +a(g202 +V( +tp14389 +a(g202 +V) +tp14390 +a(g202 +V; +tp14391 +a(g189 +V\u000a +tp14392 +a(g189 +V +p14393 +tp14394 +a(g18 +Vu +tp14395 +a(g189 +V +tp14396 +a(g344 +V= +tp14397 +a(g189 +V +tp14398 +a(g18 +VTHIRD +p14399 +tp14400 +a(g202 +V( +tp14401 +a(g202 +V) +tp14402 +a(g202 +V; +tp14403 +a(g189 +V\u000a +tp14404 +a(g189 +V +p14405 +tp14406 +a(g18 +VSTACKADJ +p14407 +tp14408 +a(g202 +V( +tp14409 +a(g344 +V- +tp14410 +a(g319 +V3 +tp14411 +a(g202 +V) +tp14412 +a(g202 +V; +tp14413 +a(g189 +V\u000a +tp14414 +a(g189 +V +p14415 +tp14416 +a(g18 +VREAD_TIMESTAMP +p14417 +tp14418 +a(g202 +V( +tp14419 +a(g18 +Vintr0 +p14420 +tp14421 +a(g202 +V) +tp14422 +a(g202 +V; +tp14423 +a(g189 +V\u000a +tp14424 +a(g189 +V +p14425 +tp14426 +a(g18 +Verr +p14427 +tp14428 +a(g189 +V +tp14429 +a(g344 +V= +tp14430 +a(g189 +V +tp14431 +a(g18 +Vexec_statement +p14432 +tp14433 +a(g202 +V( +tp14434 +a(g18 +Vf +tp14435 +a(g202 +V, +tp14436 +a(g189 +V +tp14437 +a(g18 +Vu +tp14438 +a(g202 +V, +tp14439 +a(g189 +V +tp14440 +a(g18 +Vv +tp14441 +a(g202 +V, +tp14442 +a(g189 +V +tp14443 +a(g18 +Vw +tp14444 +a(g202 +V) +tp14445 +a(g202 +V; +tp14446 +a(g189 +V\u000a +tp14447 +a(g189 +V +p14448 +tp14449 +a(g18 +VREAD_TIMESTAMP +p14450 +tp14451 +a(g202 +V( +tp14452 +a(g18 +Vintr1 +p14453 +tp14454 +a(g202 +V) +tp14455 +a(g202 +V; +tp14456 +a(g189 +V\u000a +tp14457 +a(g189 +V +p14458 +tp14459 +a(g18 +VPy_DECREF +p14460 +tp14461 +a(g202 +V( +tp14462 +a(g18 +Vu +tp14463 +a(g202 +V) +tp14464 +a(g202 +V; +tp14465 +a(g189 +V\u000a +tp14466 +a(g189 +V +p14467 +tp14468 +a(g18 +VPy_DECREF +p14469 +tp14470 +a(g202 +V( +tp14471 +a(g18 +Vv +tp14472 +a(g202 +V) +tp14473 +a(g202 +V; +tp14474 +a(g189 +V\u000a +tp14475 +a(g189 +V +p14476 +tp14477 +a(g18 +VPy_DECREF +p14478 +tp14479 +a(g202 +V( +tp14480 +a(g18 +Vw +tp14481 +a(g202 +V) +tp14482 +a(g202 +V; +tp14483 +a(g189 +V\u000a +tp14484 +a(g189 +V +p14485 +tp14486 +a(g111 +Vbreak +p14487 +tp14488 +a(g202 +V; +tp14489 +a(g189 +V\u000a +tp14490 +a(g189 +V\u000a +tp14491 +a(g189 +V +p14492 +tp14493 +a(g111 +Vcase +p14494 +tp14495 +a(g189 +V +tp14496 +a(g189 +V +tp14497 +a(g18 +VPOP_BLOCK +p14498 +tp14499 +a(g189 +V: +tp14500 +a(g189 +V\u000a +tp14501 +a(g189 +V +p14502 +tp14503 +a(g202 +V{ +tp14504 +a(g189 +V\u000a +tp14505 +a(g189 +V +p14506 +tp14507 +a(g18 +VPyTryBlock +p14508 +tp14509 +a(g189 +V +tp14510 +a(g344 +V* +tp14511 +a(g18 +Vb +tp14512 +a(g189 +V +tp14513 +a(g344 +V= +tp14514 +a(g189 +V +tp14515 +a(g18 +VPyFrame_BlockPop +p14516 +tp14517 +a(g202 +V( +tp14518 +a(g18 +Vf +tp14519 +a(g202 +V) +tp14520 +a(g202 +V; +tp14521 +a(g189 +V\u000a +tp14522 +a(g189 +V +p14523 +tp14524 +a(g111 +Vwhile +p14525 +tp14526 +a(g189 +V +tp14527 +a(g202 +V( +tp14528 +a(g18 +VSTACK_LEVEL +p14529 +tp14530 +a(g202 +V( +tp14531 +a(g202 +V) +tp14532 +a(g189 +V +tp14533 +a(g344 +V> +tp14534 +a(g189 +V +tp14535 +a(g18 +Vb +tp14536 +a(g344 +V- +tp14537 +a(g344 +V> +tp14538 +a(g18 +Vb_level +p14539 +tp14540 +a(g202 +V) +tp14541 +a(g189 +V +tp14542 +a(g202 +V{ +tp14543 +a(g189 +V\u000a +tp14544 +a(g189 +V +p14545 +tp14546 +a(g18 +Vv +tp14547 +a(g189 +V +tp14548 +a(g344 +V= +tp14549 +a(g189 +V +tp14550 +a(g18 +VPOP +p14551 +tp14552 +a(g202 +V( +tp14553 +a(g202 +V) +tp14554 +a(g202 +V; +tp14555 +a(g189 +V\u000a +tp14556 +a(g189 +V +p14557 +tp14558 +a(g18 +VPy_DECREF +p14559 +tp14560 +a(g202 +V( +tp14561 +a(g18 +Vv +tp14562 +a(g202 +V) +tp14563 +a(g202 +V; +tp14564 +a(g189 +V\u000a +tp14565 +a(g189 +V +p14566 +tp14567 +a(g202 +V} +tp14568 +a(g189 +V\u000a +tp14569 +a(g189 +V +p14570 +tp14571 +a(g202 +V} +tp14572 +a(g189 +V\u000a +tp14573 +a(g189 +V +p14574 +tp14575 +a(g111 +Vcontinue +p14576 +tp14577 +a(g202 +V; +tp14578 +a(g189 +V\u000a +tp14579 +a(g189 +V\u000a +tp14580 +a(g189 +V +p14581 +tp14582 +a(g111 +Vcase +p14583 +tp14584 +a(g189 +V +tp14585 +a(g189 +V +tp14586 +a(g18 +VEND_FINALLY +p14587 +tp14588 +a(g189 +V: +tp14589 +a(g189 +V\u000a +tp14590 +a(g189 +V +p14591 +tp14592 +a(g18 +Vv +tp14593 +a(g189 +V +tp14594 +a(g344 +V= +tp14595 +a(g189 +V +tp14596 +a(g18 +VPOP +p14597 +tp14598 +a(g202 +V( +tp14599 +a(g202 +V) +tp14600 +a(g202 +V; +tp14601 +a(g189 +V\u000a +tp14602 +a(g189 +V +p14603 +tp14604 +a(g111 +Vif +p14605 +tp14606 +a(g189 +V +tp14607 +a(g202 +V( +tp14608 +a(g18 +VPyInt_Check +p14609 +tp14610 +a(g202 +V( +tp14611 +a(g18 +Vv +tp14612 +a(g202 +V) +tp14613 +a(g202 +V) +tp14614 +a(g189 +V +tp14615 +a(g202 +V{ +tp14616 +a(g189 +V\u000a +tp14617 +a(g189 +V +p14618 +tp14619 +a(g18 +Vwhy +p14620 +tp14621 +a(g189 +V +tp14622 +a(g344 +V= +tp14623 +a(g189 +V +tp14624 +a(g202 +V( +tp14625 +a(g111 +Venum +p14626 +tp14627 +a(g189 +V +tp14628 +a(g18 +Vwhy_code +p14629 +tp14630 +a(g202 +V) +tp14631 +a(g189 +V +tp14632 +a(g18 +VPyInt_AS_LONG +p14633 +tp14634 +a(g202 +V( +tp14635 +a(g18 +Vv +tp14636 +a(g202 +V) +tp14637 +a(g202 +V; +tp14638 +a(g189 +V\u000a +tp14639 +a(g189 +V +p14640 +tp14641 +a(g18 +Vassert +p14642 +tp14643 +a(g202 +V( +tp14644 +a(g18 +Vwhy +p14645 +tp14646 +a(g189 +V +tp14647 +a(g344 +V! +tp14648 +a(g344 +V= +tp14649 +a(g189 +V +tp14650 +a(g18 +VWHY_YIELD +p14651 +tp14652 +a(g202 +V) +tp14653 +a(g202 +V; +tp14654 +a(g189 +V\u000a +tp14655 +a(g189 +V +p14656 +tp14657 +a(g111 +Vif +p14658 +tp14659 +a(g189 +V +tp14660 +a(g202 +V( +tp14661 +a(g18 +Vwhy +p14662 +tp14663 +a(g189 +V +tp14664 +a(g344 +V= +tp14665 +a(g344 +V= +tp14666 +a(g189 +V +tp14667 +a(g18 +VWHY_RETURN +p14668 +tp14669 +a(g189 +V +tp14670 +a(g344 +V| +tp14671 +a(g344 +V| +tp14672 +a(g189 +V\u000a +tp14673 +a(g189 +V +p14674 +tp14675 +a(g18 +Vwhy +p14676 +tp14677 +a(g189 +V +tp14678 +a(g344 +V= +tp14679 +a(g344 +V= +tp14680 +a(g189 +V +tp14681 +a(g18 +VWHY_CONTINUE +p14682 +tp14683 +a(g202 +V) +tp14684 +a(g189 +V\u000a +tp14685 +a(g189 +V +p14686 +tp14687 +a(g18 +Vretval +p14688 +tp14689 +a(g189 +V +tp14690 +a(g344 +V= +tp14691 +a(g189 +V +tp14692 +a(g18 +VPOP +p14693 +tp14694 +a(g202 +V( +tp14695 +a(g202 +V) +tp14696 +a(g202 +V; +tp14697 +a(g189 +V\u000a +tp14698 +a(g189 +V +p14699 +tp14700 +a(g202 +V} +tp14701 +a(g189 +V\u000a +tp14702 +a(g189 +V +p14703 +tp14704 +a(g111 +Velse +p14705 +tp14706 +a(g189 +V +tp14707 +a(g111 +Vif +p14708 +tp14709 +a(g189 +V +tp14710 +a(g202 +V( +tp14711 +a(g18 +VPyExceptionClass_Check +p14712 +tp14713 +a(g202 +V( +tp14714 +a(g18 +Vv +tp14715 +a(g202 +V) +tp14716 +a(g189 +V +tp14717 +a(g344 +V| +tp14718 +a(g344 +V| +tp14719 +a(g189 +V +tp14720 +a(g18 +VPyString_Check +p14721 +tp14722 +a(g202 +V( +tp14723 +a(g18 +Vv +tp14724 +a(g202 +V) +tp14725 +a(g202 +V) +tp14726 +a(g189 +V +tp14727 +a(g202 +V{ +tp14728 +a(g189 +V\u000a +tp14729 +a(g189 +V +p14730 +tp14731 +a(g18 +Vw +tp14732 +a(g189 +V +tp14733 +a(g344 +V= +tp14734 +a(g189 +V +tp14735 +a(g18 +VPOP +p14736 +tp14737 +a(g202 +V( +tp14738 +a(g202 +V) +tp14739 +a(g202 +V; +tp14740 +a(g189 +V\u000a +tp14741 +a(g189 +V +p14742 +tp14743 +a(g18 +Vu +tp14744 +a(g189 +V +tp14745 +a(g344 +V= +tp14746 +a(g189 +V +tp14747 +a(g18 +VPOP +p14748 +tp14749 +a(g202 +V( +tp14750 +a(g202 +V) +tp14751 +a(g202 +V; +tp14752 +a(g189 +V\u000a +tp14753 +a(g189 +V +p14754 +tp14755 +a(g18 +VPyErr_Restore +p14756 +tp14757 +a(g202 +V( +tp14758 +a(g18 +Vv +tp14759 +a(g202 +V, +tp14760 +a(g189 +V +tp14761 +a(g18 +Vw +tp14762 +a(g202 +V, +tp14763 +a(g189 +V +tp14764 +a(g18 +Vu +tp14765 +a(g202 +V) +tp14766 +a(g202 +V; +tp14767 +a(g189 +V\u000a +tp14768 +a(g189 +V +p14769 +tp14770 +a(g18 +Vwhy +p14771 +tp14772 +a(g189 +V +tp14773 +a(g344 +V= +tp14774 +a(g189 +V +tp14775 +a(g18 +VWHY_RERAISE +p14776 +tp14777 +a(g202 +V; +tp14778 +a(g189 +V\u000a +tp14779 +a(g189 +V +p14780 +tp14781 +a(g111 +Vbreak +p14782 +tp14783 +a(g202 +V; +tp14784 +a(g189 +V\u000a +tp14785 +a(g189 +V +p14786 +tp14787 +a(g202 +V} +tp14788 +a(g189 +V\u000a +tp14789 +a(g189 +V +p14790 +tp14791 +a(g111 +Velse +p14792 +tp14793 +a(g189 +V +tp14794 +a(g111 +Vif +p14795 +tp14796 +a(g189 +V +tp14797 +a(g202 +V( +tp14798 +a(g18 +Vv +tp14799 +a(g189 +V +tp14800 +a(g344 +V! +tp14801 +a(g344 +V= +tp14802 +a(g189 +V +tp14803 +a(g18 +VPy_None +p14804 +tp14805 +a(g202 +V) +tp14806 +a(g189 +V +tp14807 +a(g202 +V{ +tp14808 +a(g189 +V\u000a +tp14809 +a(g189 +V +p14810 +tp14811 +a(g18 +VPyErr_SetString +p14812 +tp14813 +a(g202 +V( +tp14814 +a(g18 +VPyExc_SystemError +p14815 +tp14816 +a(g202 +V, +tp14817 +a(g189 +V\u000a +tp14818 +a(g189 +V +p14819 +tp14820 +a(g226 +V" +tp14821 +a(g226 +V'finally' pops bad exception +p14822 +tp14823 +a(g226 +V" +tp14824 +a(g202 +V) +tp14825 +a(g202 +V; +tp14826 +a(g189 +V\u000a +tp14827 +a(g189 +V +p14828 +tp14829 +a(g18 +Vwhy +p14830 +tp14831 +a(g189 +V +tp14832 +a(g344 +V= +tp14833 +a(g189 +V +tp14834 +a(g18 +VWHY_EXCEPTION +p14835 +tp14836 +a(g202 +V; +tp14837 +a(g189 +V\u000a +tp14838 +a(g189 +V +p14839 +tp14840 +a(g202 +V} +tp14841 +a(g189 +V\u000a +tp14842 +a(g189 +V +p14843 +tp14844 +a(g18 +VPy_DECREF +p14845 +tp14846 +a(g202 +V( +tp14847 +a(g18 +Vv +tp14848 +a(g202 +V) +tp14849 +a(g202 +V; +tp14850 +a(g189 +V\u000a +tp14851 +a(g189 +V +p14852 +tp14853 +a(g111 +Vbreak +p14854 +tp14855 +a(g202 +V; +tp14856 +a(g189 +V\u000a +tp14857 +a(g189 +V\u000a +tp14858 +a(g189 +V +p14859 +tp14860 +a(g111 +Vcase +p14861 +tp14862 +a(g189 +V +tp14863 +a(g189 +V +tp14864 +a(g18 +VBUILD_CLASS +p14865 +tp14866 +a(g189 +V: +tp14867 +a(g189 +V\u000a +tp14868 +a(g189 +V +p14869 +tp14870 +a(g18 +Vu +tp14871 +a(g189 +V +tp14872 +a(g344 +V= +tp14873 +a(g189 +V +tp14874 +a(g18 +VTOP +p14875 +tp14876 +a(g202 +V( +tp14877 +a(g202 +V) +tp14878 +a(g202 +V; +tp14879 +a(g189 +V\u000a +tp14880 +a(g189 +V +p14881 +tp14882 +a(g18 +Vv +tp14883 +a(g189 +V +tp14884 +a(g344 +V= +tp14885 +a(g189 +V +tp14886 +a(g18 +VSECOND +p14887 +tp14888 +a(g202 +V( +tp14889 +a(g202 +V) +tp14890 +a(g202 +V; +tp14891 +a(g189 +V\u000a +tp14892 +a(g189 +V +p14893 +tp14894 +a(g18 +Vw +tp14895 +a(g189 +V +tp14896 +a(g344 +V= +tp14897 +a(g189 +V +tp14898 +a(g18 +VTHIRD +p14899 +tp14900 +a(g202 +V( +tp14901 +a(g202 +V) +tp14902 +a(g202 +V; +tp14903 +a(g189 +V\u000a +tp14904 +a(g189 +V +p14905 +tp14906 +a(g18 +VSTACKADJ +p14907 +tp14908 +a(g202 +V( +tp14909 +a(g344 +V- +tp14910 +a(g319 +V2 +tp14911 +a(g202 +V) +tp14912 +a(g202 +V; +tp14913 +a(g189 +V\u000a +tp14914 +a(g189 +V +p14915 +tp14916 +a(g18 +Vx +tp14917 +a(g189 +V +tp14918 +a(g344 +V= +tp14919 +a(g189 +V +tp14920 +a(g18 +Vbuild_class +p14921 +tp14922 +a(g202 +V( +tp14923 +a(g18 +Vu +tp14924 +a(g202 +V, +tp14925 +a(g189 +V +tp14926 +a(g18 +Vv +tp14927 +a(g202 +V, +tp14928 +a(g189 +V +tp14929 +a(g18 +Vw +tp14930 +a(g202 +V) +tp14931 +a(g202 +V; +tp14932 +a(g189 +V\u000a +tp14933 +a(g189 +V +p14934 +tp14935 +a(g18 +VSET_TOP +p14936 +tp14937 +a(g202 +V( +tp14938 +a(g18 +Vx +tp14939 +a(g202 +V) +tp14940 +a(g202 +V; +tp14941 +a(g189 +V\u000a +tp14942 +a(g189 +V +p14943 +tp14944 +a(g18 +VPy_DECREF +p14945 +tp14946 +a(g202 +V( +tp14947 +a(g18 +Vu +tp14948 +a(g202 +V) +tp14949 +a(g202 +V; +tp14950 +a(g189 +V\u000a +tp14951 +a(g189 +V +p14952 +tp14953 +a(g18 +VPy_DECREF +p14954 +tp14955 +a(g202 +V( +tp14956 +a(g18 +Vv +tp14957 +a(g202 +V) +tp14958 +a(g202 +V; +tp14959 +a(g189 +V\u000a +tp14960 +a(g189 +V +p14961 +tp14962 +a(g18 +VPy_DECREF +p14963 +tp14964 +a(g202 +V( +tp14965 +a(g18 +Vw +tp14966 +a(g202 +V) +tp14967 +a(g202 +V; +tp14968 +a(g189 +V\u000a +tp14969 +a(g189 +V +p14970 +tp14971 +a(g111 +Vbreak +p14972 +tp14973 +a(g202 +V; +tp14974 +a(g189 +V\u000a +tp14975 +a(g189 +V\u000a +tp14976 +a(g189 +V +p14977 +tp14978 +a(g111 +Vcase +p14979 +tp14980 +a(g189 +V +tp14981 +a(g189 +V +tp14982 +a(g18 +VSTORE_NAME +p14983 +tp14984 +a(g189 +V: +tp14985 +a(g189 +V\u000a +tp14986 +a(g189 +V +p14987 +tp14988 +a(g18 +Vw +tp14989 +a(g189 +V +tp14990 +a(g344 +V= +tp14991 +a(g189 +V +tp14992 +a(g18 +VGETITEM +p14993 +tp14994 +a(g202 +V( +tp14995 +a(g18 +Vnames +p14996 +tp14997 +a(g202 +V, +tp14998 +a(g189 +V +tp14999 +a(g18 +Voparg +p15000 +tp15001 +a(g202 +V) +tp15002 +a(g202 +V; +tp15003 +a(g189 +V\u000a +tp15004 +a(g189 +V +p15005 +tp15006 +a(g18 +Vv +tp15007 +a(g189 +V +tp15008 +a(g344 +V= +tp15009 +a(g189 +V +tp15010 +a(g18 +VPOP +p15011 +tp15012 +a(g202 +V( +tp15013 +a(g202 +V) +tp15014 +a(g202 +V; +tp15015 +a(g189 +V\u000a +tp15016 +a(g189 +V +p15017 +tp15018 +a(g111 +Vif +p15019 +tp15020 +a(g189 +V +tp15021 +a(g202 +V( +tp15022 +a(g202 +V( +tp15023 +a(g18 +Vx +tp15024 +a(g189 +V +tp15025 +a(g344 +V= +tp15026 +a(g189 +V +tp15027 +a(g18 +Vf +tp15028 +a(g344 +V- +tp15029 +a(g344 +V> +tp15030 +a(g18 +Vf_locals +p15031 +tp15032 +a(g202 +V) +tp15033 +a(g189 +V +tp15034 +a(g344 +V! +tp15035 +a(g344 +V= +tp15036 +a(g189 +V +tp15037 +a(g57 +VNULL +p15038 +tp15039 +a(g202 +V) +tp15040 +a(g189 +V +tp15041 +a(g202 +V{ +tp15042 +a(g189 +V\u000a +tp15043 +a(g189 +V +p15044 +tp15045 +a(g111 +Vif +p15046 +tp15047 +a(g189 +V +tp15048 +a(g202 +V( +tp15049 +a(g18 +VPyDict_CheckExact +p15050 +tp15051 +a(g202 +V( +tp15052 +a(g18 +Vx +tp15053 +a(g202 +V) +tp15054 +a(g202 +V) +tp15055 +a(g189 +V\u000a +tp15056 +a(g189 +V +p15057 +tp15058 +a(g18 +Verr +p15059 +tp15060 +a(g189 +V +tp15061 +a(g344 +V= +tp15062 +a(g189 +V +tp15063 +a(g18 +VPyDict_SetItem +p15064 +tp15065 +a(g202 +V( +tp15066 +a(g18 +Vx +tp15067 +a(g202 +V, +tp15068 +a(g189 +V +tp15069 +a(g18 +Vw +tp15070 +a(g202 +V, +tp15071 +a(g189 +V +tp15072 +a(g18 +Vv +tp15073 +a(g202 +V) +tp15074 +a(g202 +V; +tp15075 +a(g189 +V\u000a +tp15076 +a(g189 +V +p15077 +tp15078 +a(g111 +Velse +p15079 +tp15080 +a(g189 +V\u000a +tp15081 +a(g189 +V +p15082 +tp15083 +a(g18 +Verr +p15084 +tp15085 +a(g189 +V +tp15086 +a(g344 +V= +tp15087 +a(g189 +V +tp15088 +a(g18 +VPyObject_SetItem +p15089 +tp15090 +a(g202 +V( +tp15091 +a(g18 +Vx +tp15092 +a(g202 +V, +tp15093 +a(g189 +V +tp15094 +a(g18 +Vw +tp15095 +a(g202 +V, +tp15096 +a(g189 +V +tp15097 +a(g18 +Vv +tp15098 +a(g202 +V) +tp15099 +a(g202 +V; +tp15100 +a(g189 +V\u000a +tp15101 +a(g189 +V +p15102 +tp15103 +a(g18 +VPy_DECREF +p15104 +tp15105 +a(g202 +V( +tp15106 +a(g18 +Vv +tp15107 +a(g202 +V) +tp15108 +a(g202 +V; +tp15109 +a(g189 +V\u000a +tp15110 +a(g189 +V +p15111 +tp15112 +a(g111 +Vif +p15113 +tp15114 +a(g189 +V +tp15115 +a(g202 +V( +tp15116 +a(g18 +Verr +p15117 +tp15118 +a(g189 +V +tp15119 +a(g344 +V= +tp15120 +a(g344 +V= +tp15121 +a(g189 +V +tp15122 +a(g319 +V0 +tp15123 +a(g202 +V) +tp15124 +a(g189 +V +tp15125 +a(g111 +Vcontinue +p15126 +tp15127 +a(g202 +V; +tp15128 +a(g189 +V\u000a +tp15129 +a(g189 +V +p15130 +tp15131 +a(g111 +Vbreak +p15132 +tp15133 +a(g202 +V; +tp15134 +a(g189 +V\u000a +tp15135 +a(g189 +V +p15136 +tp15137 +a(g202 +V} +tp15138 +a(g189 +V\u000a +tp15139 +a(g189 +V +p15140 +tp15141 +a(g18 +VPyErr_Format +p15142 +tp15143 +a(g202 +V( +tp15144 +a(g18 +VPyExc_SystemError +p15145 +tp15146 +a(g202 +V, +tp15147 +a(g189 +V\u000a +tp15148 +a(g189 +V +p15149 +tp15150 +a(g226 +V" +tp15151 +a(g226 +Vno locals found when storing %s +p15152 +tp15153 +a(g226 +V" +tp15154 +a(g202 +V, +tp15155 +a(g189 +V\u000a +tp15156 +a(g189 +V +p15157 +tp15158 +a(g18 +VPyObject_REPR +p15159 +tp15160 +a(g202 +V( +tp15161 +a(g18 +Vw +tp15162 +a(g202 +V) +tp15163 +a(g202 +V) +tp15164 +a(g202 +V; +tp15165 +a(g189 +V\u000a +tp15166 +a(g189 +V +p15167 +tp15168 +a(g111 +Vbreak +p15169 +tp15170 +a(g202 +V; +tp15171 +a(g189 +V\u000a +tp15172 +a(g189 +V\u000a +tp15173 +a(g189 +V +p15174 +tp15175 +a(g111 +Vcase +p15176 +tp15177 +a(g189 +V +tp15178 +a(g189 +V +tp15179 +a(g18 +VDELETE_NAME +p15180 +tp15181 +a(g189 +V: +tp15182 +a(g189 +V\u000a +tp15183 +a(g189 +V +p15184 +tp15185 +a(g18 +Vw +tp15186 +a(g189 +V +tp15187 +a(g344 +V= +tp15188 +a(g189 +V +tp15189 +a(g18 +VGETITEM +p15190 +tp15191 +a(g202 +V( +tp15192 +a(g18 +Vnames +p15193 +tp15194 +a(g202 +V, +tp15195 +a(g189 +V +tp15196 +a(g18 +Voparg +p15197 +tp15198 +a(g202 +V) +tp15199 +a(g202 +V; +tp15200 +a(g189 +V\u000a +tp15201 +a(g189 +V +p15202 +tp15203 +a(g111 +Vif +p15204 +tp15205 +a(g189 +V +tp15206 +a(g202 +V( +tp15207 +a(g202 +V( +tp15208 +a(g18 +Vx +tp15209 +a(g189 +V +tp15210 +a(g344 +V= +tp15211 +a(g189 +V +tp15212 +a(g18 +Vf +tp15213 +a(g344 +V- +tp15214 +a(g344 +V> +tp15215 +a(g18 +Vf_locals +p15216 +tp15217 +a(g202 +V) +tp15218 +a(g189 +V +tp15219 +a(g344 +V! +tp15220 +a(g344 +V= +tp15221 +a(g189 +V +tp15222 +a(g57 +VNULL +p15223 +tp15224 +a(g202 +V) +tp15225 +a(g189 +V +tp15226 +a(g202 +V{ +tp15227 +a(g189 +V\u000a +tp15228 +a(g189 +V +p15229 +tp15230 +a(g111 +Vif +p15231 +tp15232 +a(g189 +V +tp15233 +a(g202 +V( +tp15234 +a(g202 +V( +tp15235 +a(g18 +Verr +p15236 +tp15237 +a(g189 +V +tp15238 +a(g344 +V= +tp15239 +a(g189 +V +tp15240 +a(g18 +VPyObject_DelItem +p15241 +tp15242 +a(g202 +V( +tp15243 +a(g18 +Vx +tp15244 +a(g202 +V, +tp15245 +a(g189 +V +tp15246 +a(g18 +Vw +tp15247 +a(g202 +V) +tp15248 +a(g202 +V) +tp15249 +a(g189 +V +tp15250 +a(g344 +V! +tp15251 +a(g344 +V= +tp15252 +a(g189 +V +tp15253 +a(g319 +V0 +tp15254 +a(g202 +V) +tp15255 +a(g189 +V\u000a +tp15256 +a(g189 +V +p15257 +tp15258 +a(g18 +Vformat_exc_check_arg +p15259 +tp15260 +a(g202 +V( +tp15261 +a(g18 +VPyExc_NameError +p15262 +tp15263 +a(g202 +V, +tp15264 +a(g189 +V\u000a +tp15265 +a(g189 +V +p15266 +tp15267 +a(g18 +VNAME_ERROR_MSG +p15268 +tp15269 +a(g189 +V +tp15270 +a(g202 +V, +tp15271 +a(g18 +Vw +tp15272 +a(g202 +V) +tp15273 +a(g202 +V; +tp15274 +a(g189 +V\u000a +tp15275 +a(g189 +V +p15276 +tp15277 +a(g111 +Vbreak +p15278 +tp15279 +a(g202 +V; +tp15280 +a(g189 +V\u000a +tp15281 +a(g189 +V +p15282 +tp15283 +a(g202 +V} +tp15284 +a(g189 +V\u000a +tp15285 +a(g189 +V +p15286 +tp15287 +a(g18 +VPyErr_Format +p15288 +tp15289 +a(g202 +V( +tp15290 +a(g18 +VPyExc_SystemError +p15291 +tp15292 +a(g202 +V, +tp15293 +a(g189 +V\u000a +tp15294 +a(g189 +V +p15295 +tp15296 +a(g226 +V" +tp15297 +a(g226 +Vno locals when deleting %s +p15298 +tp15299 +a(g226 +V" +tp15300 +a(g202 +V, +tp15301 +a(g189 +V\u000a +tp15302 +a(g189 +V +p15303 +tp15304 +a(g18 +VPyObject_REPR +p15305 +tp15306 +a(g202 +V( +tp15307 +a(g18 +Vw +tp15308 +a(g202 +V) +tp15309 +a(g202 +V) +tp15310 +a(g202 +V; +tp15311 +a(g189 +V\u000a +tp15312 +a(g189 +V +p15313 +tp15314 +a(g111 +Vbreak +p15315 +tp15316 +a(g202 +V; +tp15317 +a(g189 +V\u000a +tp15318 +a(g189 +V\u000a +tp15319 +a(g189 +V +p15320 +tp15321 +a(g18 +VPREDICTED_WITH_ARG +p15322 +tp15323 +a(g202 +V( +tp15324 +a(g18 +VUNPACK_SEQUENCE +p15325 +tp15326 +a(g202 +V) +tp15327 +a(g202 +V; +tp15328 +a(g189 +V\u000a +tp15329 +a(g189 +V +p15330 +tp15331 +a(g111 +Vcase +p15332 +tp15333 +a(g189 +V +tp15334 +a(g189 +V +tp15335 +a(g18 +VUNPACK_SEQUENCE +p15336 +tp15337 +a(g189 +V: +tp15338 +a(g189 +V\u000a +tp15339 +a(g189 +V +p15340 +tp15341 +a(g18 +Vv +tp15342 +a(g189 +V +tp15343 +a(g344 +V= +tp15344 +a(g189 +V +tp15345 +a(g18 +VPOP +p15346 +tp15347 +a(g202 +V( +tp15348 +a(g202 +V) +tp15349 +a(g202 +V; +tp15350 +a(g189 +V\u000a +tp15351 +a(g189 +V +p15352 +tp15353 +a(g111 +Vif +p15354 +tp15355 +a(g189 +V +tp15356 +a(g202 +V( +tp15357 +a(g18 +VPyTuple_CheckExact +p15358 +tp15359 +a(g202 +V( +tp15360 +a(g18 +Vv +tp15361 +a(g202 +V) +tp15362 +a(g189 +V +tp15363 +a(g344 +V& +tp15364 +a(g344 +V& +tp15365 +a(g189 +V +tp15366 +a(g18 +VPyTuple_GET_SIZE +p15367 +tp15368 +a(g202 +V( +tp15369 +a(g18 +Vv +tp15370 +a(g202 +V) +tp15371 +a(g189 +V +tp15372 +a(g344 +V= +tp15373 +a(g344 +V= +tp15374 +a(g189 +V +tp15375 +a(g18 +Voparg +p15376 +tp15377 +a(g202 +V) +tp15378 +a(g189 +V +tp15379 +a(g202 +V{ +tp15380 +a(g189 +V\u000a +tp15381 +a(g189 +V +p15382 +tp15383 +a(g18 +VPyObject +p15384 +tp15385 +a(g189 +V +tp15386 +a(g344 +V* +tp15387 +a(g344 +V* +tp15388 +a(g18 +Vitems +p15389 +tp15390 +a(g189 +V +tp15391 +a(g344 +V= +tp15392 +a(g189 +V +tp15393 +a(g202 +V( +tp15394 +a(g202 +V( +tp15395 +a(g18 +VPyTupleObject +p15396 +tp15397 +a(g189 +V +tp15398 +a(g344 +V* +tp15399 +a(g202 +V) +tp15400 +a(g18 +Vv +tp15401 +a(g202 +V) +tp15402 +a(g344 +V- +tp15403 +a(g344 +V> +tp15404 +a(g18 +Vob_item +p15405 +tp15406 +a(g202 +V; +tp15407 +a(g189 +V\u000a +tp15408 +a(g189 +V +p15409 +tp15410 +a(g111 +Vwhile +p15411 +tp15412 +a(g189 +V +tp15413 +a(g202 +V( +tp15414 +a(g18 +Voparg +p15415 +tp15416 +a(g344 +V- +tp15417 +a(g344 +V- +tp15418 +a(g202 +V) +tp15419 +a(g189 +V +tp15420 +a(g202 +V{ +tp15421 +a(g189 +V\u000a +tp15422 +a(g189 +V +p15423 +tp15424 +a(g18 +Vw +tp15425 +a(g189 +V +tp15426 +a(g344 +V= +tp15427 +a(g189 +V +tp15428 +a(g18 +Vitems +p15429 +tp15430 +a(g202 +V[ +tp15431 +a(g18 +Voparg +p15432 +tp15433 +a(g202 +V] +tp15434 +a(g202 +V; +tp15435 +a(g189 +V\u000a +tp15436 +a(g189 +V +p15437 +tp15438 +a(g18 +VPy_INCREF +p15439 +tp15440 +a(g202 +V( +tp15441 +a(g18 +Vw +tp15442 +a(g202 +V) +tp15443 +a(g202 +V; +tp15444 +a(g189 +V\u000a +tp15445 +a(g189 +V +p15446 +tp15447 +a(g18 +VPUSH +p15448 +tp15449 +a(g202 +V( +tp15450 +a(g18 +Vw +tp15451 +a(g202 +V) +tp15452 +a(g202 +V; +tp15453 +a(g189 +V\u000a +tp15454 +a(g189 +V +p15455 +tp15456 +a(g202 +V} +tp15457 +a(g189 +V\u000a +tp15458 +a(g189 +V +p15459 +tp15460 +a(g18 +VPy_DECREF +p15461 +tp15462 +a(g202 +V( +tp15463 +a(g18 +Vv +tp15464 +a(g202 +V) +tp15465 +a(g202 +V; +tp15466 +a(g189 +V\u000a +tp15467 +a(g189 +V +p15468 +tp15469 +a(g111 +Vcontinue +p15470 +tp15471 +a(g202 +V; +tp15472 +a(g189 +V\u000a +tp15473 +a(g189 +V +p15474 +tp15475 +a(g202 +V} +tp15476 +a(g189 +V +tp15477 +a(g111 +Velse +p15478 +tp15479 +a(g189 +V +tp15480 +a(g111 +Vif +p15481 +tp15482 +a(g189 +V +tp15483 +a(g202 +V( +tp15484 +a(g18 +VPyList_CheckExact +p15485 +tp15486 +a(g202 +V( +tp15487 +a(g18 +Vv +tp15488 +a(g202 +V) +tp15489 +a(g189 +V +tp15490 +a(g344 +V& +tp15491 +a(g344 +V& +tp15492 +a(g189 +V +tp15493 +a(g18 +VPyList_GET_SIZE +p15494 +tp15495 +a(g202 +V( +tp15496 +a(g18 +Vv +tp15497 +a(g202 +V) +tp15498 +a(g189 +V +tp15499 +a(g344 +V= +tp15500 +a(g344 +V= +tp15501 +a(g189 +V +tp15502 +a(g18 +Voparg +p15503 +tp15504 +a(g202 +V) +tp15505 +a(g189 +V +tp15506 +a(g202 +V{ +tp15507 +a(g189 +V\u000a +tp15508 +a(g189 +V +p15509 +tp15510 +a(g18 +VPyObject +p15511 +tp15512 +a(g189 +V +tp15513 +a(g344 +V* +tp15514 +a(g344 +V* +tp15515 +a(g18 +Vitems +p15516 +tp15517 +a(g189 +V +tp15518 +a(g344 +V= +tp15519 +a(g189 +V +tp15520 +a(g202 +V( +tp15521 +a(g202 +V( +tp15522 +a(g18 +VPyListObject +p15523 +tp15524 +a(g189 +V +tp15525 +a(g344 +V* +tp15526 +a(g202 +V) +tp15527 +a(g18 +Vv +tp15528 +a(g202 +V) +tp15529 +a(g344 +V- +tp15530 +a(g344 +V> +tp15531 +a(g18 +Vob_item +p15532 +tp15533 +a(g202 +V; +tp15534 +a(g189 +V\u000a +tp15535 +a(g189 +V +p15536 +tp15537 +a(g111 +Vwhile +p15538 +tp15539 +a(g189 +V +tp15540 +a(g202 +V( +tp15541 +a(g18 +Voparg +p15542 +tp15543 +a(g344 +V- +tp15544 +a(g344 +V- +tp15545 +a(g202 +V) +tp15546 +a(g189 +V +tp15547 +a(g202 +V{ +tp15548 +a(g189 +V\u000a +tp15549 +a(g189 +V +p15550 +tp15551 +a(g18 +Vw +tp15552 +a(g189 +V +tp15553 +a(g344 +V= +tp15554 +a(g189 +V +tp15555 +a(g18 +Vitems +p15556 +tp15557 +a(g202 +V[ +tp15558 +a(g18 +Voparg +p15559 +tp15560 +a(g202 +V] +tp15561 +a(g202 +V; +tp15562 +a(g189 +V\u000a +tp15563 +a(g189 +V +p15564 +tp15565 +a(g18 +VPy_INCREF +p15566 +tp15567 +a(g202 +V( +tp15568 +a(g18 +Vw +tp15569 +a(g202 +V) +tp15570 +a(g202 +V; +tp15571 +a(g189 +V\u000a +tp15572 +a(g189 +V +p15573 +tp15574 +a(g18 +VPUSH +p15575 +tp15576 +a(g202 +V( +tp15577 +a(g18 +Vw +tp15578 +a(g202 +V) +tp15579 +a(g202 +V; +tp15580 +a(g189 +V\u000a +tp15581 +a(g189 +V +p15582 +tp15583 +a(g202 +V} +tp15584 +a(g189 +V\u000a +tp15585 +a(g189 +V +p15586 +tp15587 +a(g202 +V} +tp15588 +a(g189 +V +tp15589 +a(g111 +Velse +p15590 +tp15591 +a(g189 +V +tp15592 +a(g111 +Vif +p15593 +tp15594 +a(g189 +V +tp15595 +a(g202 +V( +tp15596 +a(g18 +Vunpack_iterable +p15597 +tp15598 +a(g202 +V( +tp15599 +a(g18 +Vv +tp15600 +a(g202 +V, +tp15601 +a(g189 +V +tp15602 +a(g18 +Voparg +p15603 +tp15604 +a(g202 +V, +tp15605 +a(g189 +V\u000a +tp15606 +a(g189 +V +p15607 +tp15608 +a(g18 +Vstack_pointer +p15609 +tp15610 +a(g189 +V +tp15611 +a(g344 +V+ +tp15612 +a(g189 +V +tp15613 +a(g18 +Voparg +p15614 +tp15615 +a(g202 +V) +tp15616 +a(g202 +V) +tp15617 +a(g189 +V\u000a +tp15618 +a(g189 +V +p15619 +tp15620 +a(g18 +Vstack_pointer +p15621 +tp15622 +a(g189 +V +tp15623 +a(g344 +V+ +tp15624 +a(g344 +V= +tp15625 +a(g189 +V +tp15626 +a(g18 +Voparg +p15627 +tp15628 +a(g202 +V; +tp15629 +a(g189 +V\u000a +tp15630 +a(g189 +V +p15631 +tp15632 +a(g111 +Velse +p15633 +tp15634 +a(g189 +V +tp15635 +a(g202 +V{ +tp15636 +a(g189 +V\u000a +tp15637 +a(g189 +V +p15638 +tp15639 +a(g111 +Vif +p15640 +tp15641 +a(g189 +V +tp15642 +a(g202 +V( +tp15643 +a(g18 +VPyErr_ExceptionMatches +p15644 +tp15645 +a(g202 +V( +tp15646 +a(g18 +VPyExc_TypeError +p15647 +tp15648 +a(g202 +V) +tp15649 +a(g202 +V) +tp15650 +a(g189 +V\u000a +tp15651 +a(g189 +V +p15652 +tp15653 +a(g18 +VPyErr_SetString +p15654 +tp15655 +a(g202 +V( +tp15656 +a(g18 +VPyExc_TypeError +p15657 +tp15658 +a(g202 +V, +tp15659 +a(g189 +V\u000a +tp15660 +a(g189 +V +p15661 +tp15662 +a(g226 +V" +tp15663 +a(g226 +Vunpack non-sequence +p15664 +tp15665 +a(g226 +V" +tp15666 +a(g202 +V) +tp15667 +a(g202 +V; +tp15668 +a(g189 +V\u000a +tp15669 +a(g189 +V +p15670 +tp15671 +a(g18 +Vwhy +p15672 +tp15673 +a(g189 +V +tp15674 +a(g344 +V= +tp15675 +a(g189 +V +tp15676 +a(g18 +VWHY_EXCEPTION +p15677 +tp15678 +a(g202 +V; +tp15679 +a(g189 +V\u000a +tp15680 +a(g189 +V +p15681 +tp15682 +a(g202 +V} +tp15683 +a(g189 +V\u000a +tp15684 +a(g189 +V +p15685 +tp15686 +a(g18 +VPy_DECREF +p15687 +tp15688 +a(g202 +V( +tp15689 +a(g18 +Vv +tp15690 +a(g202 +V) +tp15691 +a(g202 +V; +tp15692 +a(g189 +V\u000a +tp15693 +a(g189 +V +p15694 +tp15695 +a(g111 +Vbreak +p15696 +tp15697 +a(g202 +V; +tp15698 +a(g189 +V\u000a +tp15699 +a(g189 +V\u000a +tp15700 +a(g189 +V +p15701 +tp15702 +a(g111 +Vcase +p15703 +tp15704 +a(g189 +V +tp15705 +a(g189 +V +tp15706 +a(g18 +VSTORE_ATTR +p15707 +tp15708 +a(g189 +V: +tp15709 +a(g189 +V\u000a +tp15710 +a(g189 +V +p15711 +tp15712 +a(g18 +Vw +tp15713 +a(g189 +V +tp15714 +a(g344 +V= +tp15715 +a(g189 +V +tp15716 +a(g18 +VGETITEM +p15717 +tp15718 +a(g202 +V( +tp15719 +a(g18 +Vnames +p15720 +tp15721 +a(g202 +V, +tp15722 +a(g189 +V +tp15723 +a(g18 +Voparg +p15724 +tp15725 +a(g202 +V) +tp15726 +a(g202 +V; +tp15727 +a(g189 +V\u000a +tp15728 +a(g189 +V +p15729 +tp15730 +a(g18 +Vv +tp15731 +a(g189 +V +tp15732 +a(g344 +V= +tp15733 +a(g189 +V +tp15734 +a(g18 +VTOP +p15735 +tp15736 +a(g202 +V( +tp15737 +a(g202 +V) +tp15738 +a(g202 +V; +tp15739 +a(g189 +V\u000a +tp15740 +a(g189 +V +p15741 +tp15742 +a(g18 +Vu +tp15743 +a(g189 +V +tp15744 +a(g344 +V= +tp15745 +a(g189 +V +tp15746 +a(g18 +VSECOND +p15747 +tp15748 +a(g202 +V( +tp15749 +a(g202 +V) +tp15750 +a(g202 +V; +tp15751 +a(g189 +V\u000a +tp15752 +a(g189 +V +p15753 +tp15754 +a(g18 +VSTACKADJ +p15755 +tp15756 +a(g202 +V( +tp15757 +a(g344 +V- +tp15758 +a(g319 +V2 +tp15759 +a(g202 +V) +tp15760 +a(g202 +V; +tp15761 +a(g189 +V\u000a +tp15762 +a(g189 +V +p15763 +tp15764 +a(g18 +Verr +p15765 +tp15766 +a(g189 +V +tp15767 +a(g344 +V= +tp15768 +a(g189 +V +tp15769 +a(g18 +VPyObject_SetAttr +p15770 +tp15771 +a(g202 +V( +tp15772 +a(g18 +Vv +tp15773 +a(g202 +V, +tp15774 +a(g189 +V +tp15775 +a(g18 +Vw +tp15776 +a(g202 +V, +tp15777 +a(g189 +V +tp15778 +a(g18 +Vu +tp15779 +a(g202 +V) +tp15780 +a(g202 +V; +tp15781 +a(g189 +V +tp15782 +a(g7 +V/* v.w = u */ +p15783 +tp15784 +a(g189 +V\u000a +tp15785 +a(g189 +V +p15786 +tp15787 +a(g18 +VPy_DECREF +p15788 +tp15789 +a(g202 +V( +tp15790 +a(g18 +Vv +tp15791 +a(g202 +V) +tp15792 +a(g202 +V; +tp15793 +a(g189 +V\u000a +tp15794 +a(g189 +V +p15795 +tp15796 +a(g18 +VPy_DECREF +p15797 +tp15798 +a(g202 +V( +tp15799 +a(g18 +Vu +tp15800 +a(g202 +V) +tp15801 +a(g202 +V; +tp15802 +a(g189 +V\u000a +tp15803 +a(g189 +V +p15804 +tp15805 +a(g111 +Vif +p15806 +tp15807 +a(g189 +V +tp15808 +a(g202 +V( +tp15809 +a(g18 +Verr +p15810 +tp15811 +a(g189 +V +tp15812 +a(g344 +V= +tp15813 +a(g344 +V= +tp15814 +a(g189 +V +tp15815 +a(g319 +V0 +tp15816 +a(g202 +V) +tp15817 +a(g189 +V +tp15818 +a(g111 +Vcontinue +p15819 +tp15820 +a(g202 +V; +tp15821 +a(g189 +V\u000a +tp15822 +a(g189 +V +p15823 +tp15824 +a(g111 +Vbreak +p15825 +tp15826 +a(g202 +V; +tp15827 +a(g189 +V\u000a +tp15828 +a(g189 +V\u000a +tp15829 +a(g189 +V +p15830 +tp15831 +a(g111 +Vcase +p15832 +tp15833 +a(g189 +V +tp15834 +a(g189 +V +tp15835 +a(g18 +VDELETE_ATTR +p15836 +tp15837 +a(g189 +V: +tp15838 +a(g189 +V\u000a +tp15839 +a(g189 +V +p15840 +tp15841 +a(g18 +Vw +tp15842 +a(g189 +V +tp15843 +a(g344 +V= +tp15844 +a(g189 +V +tp15845 +a(g18 +VGETITEM +p15846 +tp15847 +a(g202 +V( +tp15848 +a(g18 +Vnames +p15849 +tp15850 +a(g202 +V, +tp15851 +a(g189 +V +tp15852 +a(g18 +Voparg +p15853 +tp15854 +a(g202 +V) +tp15855 +a(g202 +V; +tp15856 +a(g189 +V\u000a +tp15857 +a(g189 +V +p15858 +tp15859 +a(g18 +Vv +tp15860 +a(g189 +V +tp15861 +a(g344 +V= +tp15862 +a(g189 +V +tp15863 +a(g18 +VPOP +p15864 +tp15865 +a(g202 +V( +tp15866 +a(g202 +V) +tp15867 +a(g202 +V; +tp15868 +a(g189 +V\u000a +tp15869 +a(g189 +V +p15870 +tp15871 +a(g18 +Verr +p15872 +tp15873 +a(g189 +V +tp15874 +a(g344 +V= +tp15875 +a(g189 +V +tp15876 +a(g18 +VPyObject_SetAttr +p15877 +tp15878 +a(g202 +V( +tp15879 +a(g18 +Vv +tp15880 +a(g202 +V, +tp15881 +a(g189 +V +tp15882 +a(g18 +Vw +tp15883 +a(g202 +V, +tp15884 +a(g189 +V +tp15885 +a(g202 +V( +tp15886 +a(g18 +VPyObject +p15887 +tp15888 +a(g189 +V +tp15889 +a(g344 +V* +tp15890 +a(g202 +V) +tp15891 +a(g57 +VNULL +p15892 +tp15893 +a(g202 +V) +tp15894 +a(g202 +V; +tp15895 +a(g189 +V\u000a +tp15896 +a(g189 +V +p15897 +tp15898 +a(g7 +V/* del v.w */ +p15899 +tp15900 +a(g189 +V\u000a +tp15901 +a(g189 +V +p15902 +tp15903 +a(g18 +VPy_DECREF +p15904 +tp15905 +a(g202 +V( +tp15906 +a(g18 +Vv +tp15907 +a(g202 +V) +tp15908 +a(g202 +V; +tp15909 +a(g189 +V\u000a +tp15910 +a(g189 +V +p15911 +tp15912 +a(g111 +Vbreak +p15913 +tp15914 +a(g202 +V; +tp15915 +a(g189 +V\u000a +tp15916 +a(g189 +V\u000a +tp15917 +a(g189 +V +p15918 +tp15919 +a(g111 +Vcase +p15920 +tp15921 +a(g189 +V +tp15922 +a(g189 +V +tp15923 +a(g18 +VSTORE_GLOBAL +p15924 +tp15925 +a(g189 +V: +tp15926 +a(g189 +V\u000a +tp15927 +a(g189 +V +p15928 +tp15929 +a(g18 +Vw +tp15930 +a(g189 +V +tp15931 +a(g344 +V= +tp15932 +a(g189 +V +tp15933 +a(g18 +VGETITEM +p15934 +tp15935 +a(g202 +V( +tp15936 +a(g18 +Vnames +p15937 +tp15938 +a(g202 +V, +tp15939 +a(g189 +V +tp15940 +a(g18 +Voparg +p15941 +tp15942 +a(g202 +V) +tp15943 +a(g202 +V; +tp15944 +a(g189 +V\u000a +tp15945 +a(g189 +V +p15946 +tp15947 +a(g18 +Vv +tp15948 +a(g189 +V +tp15949 +a(g344 +V= +tp15950 +a(g189 +V +tp15951 +a(g18 +VPOP +p15952 +tp15953 +a(g202 +V( +tp15954 +a(g202 +V) +tp15955 +a(g202 +V; +tp15956 +a(g189 +V\u000a +tp15957 +a(g189 +V +p15958 +tp15959 +a(g18 +Verr +p15960 +tp15961 +a(g189 +V +tp15962 +a(g344 +V= +tp15963 +a(g189 +V +tp15964 +a(g18 +VPyDict_SetItem +p15965 +tp15966 +a(g202 +V( +tp15967 +a(g18 +Vf +tp15968 +a(g344 +V- +tp15969 +a(g344 +V> +tp15970 +a(g18 +Vf_globals +p15971 +tp15972 +a(g202 +V, +tp15973 +a(g189 +V +tp15974 +a(g18 +Vw +tp15975 +a(g202 +V, +tp15976 +a(g189 +V +tp15977 +a(g18 +Vv +tp15978 +a(g202 +V) +tp15979 +a(g202 +V; +tp15980 +a(g189 +V\u000a +tp15981 +a(g189 +V +p15982 +tp15983 +a(g18 +VPy_DECREF +p15984 +tp15985 +a(g202 +V( +tp15986 +a(g18 +Vv +tp15987 +a(g202 +V) +tp15988 +a(g202 +V; +tp15989 +a(g189 +V\u000a +tp15990 +a(g189 +V +p15991 +tp15992 +a(g111 +Vif +p15993 +tp15994 +a(g189 +V +tp15995 +a(g202 +V( +tp15996 +a(g18 +Verr +p15997 +tp15998 +a(g189 +V +tp15999 +a(g344 +V= +tp16000 +a(g344 +V= +tp16001 +a(g189 +V +tp16002 +a(g319 +V0 +tp16003 +a(g202 +V) +tp16004 +a(g189 +V +tp16005 +a(g111 +Vcontinue +p16006 +tp16007 +a(g202 +V; +tp16008 +a(g189 +V\u000a +tp16009 +a(g189 +V +p16010 +tp16011 +a(g111 +Vbreak +p16012 +tp16013 +a(g202 +V; +tp16014 +a(g189 +V\u000a +tp16015 +a(g189 +V\u000a +tp16016 +a(g189 +V +p16017 +tp16018 +a(g111 +Vcase +p16019 +tp16020 +a(g189 +V +tp16021 +a(g189 +V +tp16022 +a(g18 +VDELETE_GLOBAL +p16023 +tp16024 +a(g189 +V: +tp16025 +a(g189 +V\u000a +tp16026 +a(g189 +V +p16027 +tp16028 +a(g18 +Vw +tp16029 +a(g189 +V +tp16030 +a(g344 +V= +tp16031 +a(g189 +V +tp16032 +a(g18 +VGETITEM +p16033 +tp16034 +a(g202 +V( +tp16035 +a(g18 +Vnames +p16036 +tp16037 +a(g202 +V, +tp16038 +a(g189 +V +tp16039 +a(g18 +Voparg +p16040 +tp16041 +a(g202 +V) +tp16042 +a(g202 +V; +tp16043 +a(g189 +V\u000a +tp16044 +a(g189 +V +p16045 +tp16046 +a(g111 +Vif +p16047 +tp16048 +a(g189 +V +tp16049 +a(g202 +V( +tp16050 +a(g202 +V( +tp16051 +a(g18 +Verr +p16052 +tp16053 +a(g189 +V +tp16054 +a(g344 +V= +tp16055 +a(g189 +V +tp16056 +a(g18 +VPyDict_DelItem +p16057 +tp16058 +a(g202 +V( +tp16059 +a(g18 +Vf +tp16060 +a(g344 +V- +tp16061 +a(g344 +V> +tp16062 +a(g18 +Vf_globals +p16063 +tp16064 +a(g202 +V, +tp16065 +a(g189 +V +tp16066 +a(g18 +Vw +tp16067 +a(g202 +V) +tp16068 +a(g202 +V) +tp16069 +a(g189 +V +tp16070 +a(g344 +V! +tp16071 +a(g344 +V= +tp16072 +a(g189 +V +tp16073 +a(g319 +V0 +tp16074 +a(g202 +V) +tp16075 +a(g189 +V\u000a +tp16076 +a(g189 +V +p16077 +tp16078 +a(g18 +Vformat_exc_check_arg +p16079 +tp16080 +a(g202 +V( +tp16081 +a(g189 +V\u000a +tp16082 +a(g189 +V +p16083 +tp16084 +a(g18 +VPyExc_NameError +p16085 +tp16086 +a(g202 +V, +tp16087 +a(g189 +V +tp16088 +a(g18 +VGLOBAL_NAME_ERROR_MSG +p16089 +tp16090 +a(g202 +V, +tp16091 +a(g189 +V +tp16092 +a(g18 +Vw +tp16093 +a(g202 +V) +tp16094 +a(g202 +V; +tp16095 +a(g189 +V\u000a +tp16096 +a(g189 +V +p16097 +tp16098 +a(g111 +Vbreak +p16099 +tp16100 +a(g202 +V; +tp16101 +a(g189 +V\u000a +tp16102 +a(g189 +V\u000a +tp16103 +a(g189 +V +p16104 +tp16105 +a(g111 +Vcase +p16106 +tp16107 +a(g189 +V +tp16108 +a(g189 +V +tp16109 +a(g18 +VLOAD_NAME +p16110 +tp16111 +a(g189 +V: +tp16112 +a(g189 +V\u000a +tp16113 +a(g189 +V +p16114 +tp16115 +a(g18 +Vw +tp16116 +a(g189 +V +tp16117 +a(g344 +V= +tp16118 +a(g189 +V +tp16119 +a(g18 +VGETITEM +p16120 +tp16121 +a(g202 +V( +tp16122 +a(g18 +Vnames +p16123 +tp16124 +a(g202 +V, +tp16125 +a(g189 +V +tp16126 +a(g18 +Voparg +p16127 +tp16128 +a(g202 +V) +tp16129 +a(g202 +V; +tp16130 +a(g189 +V\u000a +tp16131 +a(g189 +V +p16132 +tp16133 +a(g111 +Vif +p16134 +tp16135 +a(g189 +V +tp16136 +a(g202 +V( +tp16137 +a(g202 +V( +tp16138 +a(g18 +Vv +tp16139 +a(g189 +V +tp16140 +a(g344 +V= +tp16141 +a(g189 +V +tp16142 +a(g18 +Vf +tp16143 +a(g344 +V- +tp16144 +a(g344 +V> +tp16145 +a(g18 +Vf_locals +p16146 +tp16147 +a(g202 +V) +tp16148 +a(g189 +V +tp16149 +a(g344 +V= +tp16150 +a(g344 +V= +tp16151 +a(g189 +V +tp16152 +a(g57 +VNULL +p16153 +tp16154 +a(g202 +V) +tp16155 +a(g189 +V +tp16156 +a(g202 +V{ +tp16157 +a(g189 +V\u000a +tp16158 +a(g189 +V +p16159 +tp16160 +a(g18 +VPyErr_Format +p16161 +tp16162 +a(g202 +V( +tp16163 +a(g18 +VPyExc_SystemError +p16164 +tp16165 +a(g202 +V, +tp16166 +a(g189 +V\u000a +tp16167 +a(g189 +V +p16168 +tp16169 +a(g226 +V" +tp16170 +a(g226 +Vno locals when loading %s +p16171 +tp16172 +a(g226 +V" +tp16173 +a(g202 +V, +tp16174 +a(g189 +V\u000a +tp16175 +a(g189 +V +p16176 +tp16177 +a(g18 +VPyObject_REPR +p16178 +tp16179 +a(g202 +V( +tp16180 +a(g18 +Vw +tp16181 +a(g202 +V) +tp16182 +a(g202 +V) +tp16183 +a(g202 +V; +tp16184 +a(g189 +V\u000a +tp16185 +a(g189 +V +p16186 +tp16187 +a(g111 +Vbreak +p16188 +tp16189 +a(g202 +V; +tp16190 +a(g189 +V\u000a +tp16191 +a(g189 +V +p16192 +tp16193 +a(g202 +V} +tp16194 +a(g189 +V\u000a +tp16195 +a(g189 +V +p16196 +tp16197 +a(g111 +Vif +p16198 +tp16199 +a(g189 +V +tp16200 +a(g202 +V( +tp16201 +a(g18 +VPyDict_CheckExact +p16202 +tp16203 +a(g202 +V( +tp16204 +a(g18 +Vv +tp16205 +a(g202 +V) +tp16206 +a(g202 +V) +tp16207 +a(g189 +V +tp16208 +a(g202 +V{ +tp16209 +a(g189 +V\u000a +tp16210 +a(g189 +V +p16211 +tp16212 +a(g18 +Vx +tp16213 +a(g189 +V +tp16214 +a(g344 +V= +tp16215 +a(g189 +V +tp16216 +a(g18 +VPyDict_GetItem +p16217 +tp16218 +a(g202 +V( +tp16219 +a(g18 +Vv +tp16220 +a(g202 +V, +tp16221 +a(g189 +V +tp16222 +a(g18 +Vw +tp16223 +a(g202 +V) +tp16224 +a(g202 +V; +tp16225 +a(g189 +V\u000a +tp16226 +a(g189 +V +p16227 +tp16228 +a(g18 +VPy_XINCREF +p16229 +tp16230 +a(g202 +V( +tp16231 +a(g18 +Vx +tp16232 +a(g202 +V) +tp16233 +a(g202 +V; +tp16234 +a(g189 +V\u000a +tp16235 +a(g189 +V +p16236 +tp16237 +a(g202 +V} +tp16238 +a(g189 +V\u000a +tp16239 +a(g189 +V +p16240 +tp16241 +a(g111 +Velse +p16242 +tp16243 +a(g189 +V +tp16244 +a(g202 +V{ +tp16245 +a(g189 +V\u000a +tp16246 +a(g189 +V +p16247 +tp16248 +a(g18 +Vx +tp16249 +a(g189 +V +tp16250 +a(g344 +V= +tp16251 +a(g189 +V +tp16252 +a(g18 +VPyObject_GetItem +p16253 +tp16254 +a(g202 +V( +tp16255 +a(g18 +Vv +tp16256 +a(g202 +V, +tp16257 +a(g189 +V +tp16258 +a(g18 +Vw +tp16259 +a(g202 +V) +tp16260 +a(g202 +V; +tp16261 +a(g189 +V\u000a +tp16262 +a(g189 +V +p16263 +tp16264 +a(g111 +Vif +p16265 +tp16266 +a(g189 +V +tp16267 +a(g202 +V( +tp16268 +a(g18 +Vx +tp16269 +a(g189 +V +tp16270 +a(g344 +V= +tp16271 +a(g344 +V= +tp16272 +a(g189 +V +tp16273 +a(g57 +VNULL +p16274 +tp16275 +a(g189 +V +tp16276 +a(g344 +V& +tp16277 +a(g344 +V& +tp16278 +a(g189 +V +tp16279 +a(g18 +VPyErr_Occurred +p16280 +tp16281 +a(g202 +V( +tp16282 +a(g202 +V) +tp16283 +a(g202 +V) +tp16284 +a(g189 +V +tp16285 +a(g202 +V{ +tp16286 +a(g189 +V\u000a +tp16287 +a(g189 +V +p16288 +tp16289 +a(g111 +Vif +p16290 +tp16291 +a(g189 +V +tp16292 +a(g202 +V( +tp16293 +a(g344 +V! +tp16294 +a(g18 +VPyErr_ExceptionMatches +p16295 +tp16296 +a(g202 +V( +tp16297 +a(g18 +VPyExc_KeyError +p16298 +tp16299 +a(g202 +V) +tp16300 +a(g202 +V) +tp16301 +a(g189 +V\u000a +tp16302 +a(g189 +V +p16303 +tp16304 +a(g111 +Vbreak +p16305 +tp16306 +a(g202 +V; +tp16307 +a(g189 +V\u000a +tp16308 +a(g189 +V +p16309 +tp16310 +a(g18 +VPyErr_Clear +p16311 +tp16312 +a(g202 +V( +tp16313 +a(g202 +V) +tp16314 +a(g202 +V; +tp16315 +a(g189 +V\u000a +tp16316 +a(g189 +V +p16317 +tp16318 +a(g202 +V} +tp16319 +a(g189 +V\u000a +tp16320 +a(g189 +V +p16321 +tp16322 +a(g202 +V} +tp16323 +a(g189 +V\u000a +tp16324 +a(g189 +V +p16325 +tp16326 +a(g111 +Vif +p16327 +tp16328 +a(g189 +V +tp16329 +a(g202 +V( +tp16330 +a(g18 +Vx +tp16331 +a(g189 +V +tp16332 +a(g344 +V= +tp16333 +a(g344 +V= +tp16334 +a(g189 +V +tp16335 +a(g57 +VNULL +p16336 +tp16337 +a(g202 +V) +tp16338 +a(g189 +V +tp16339 +a(g202 +V{ +tp16340 +a(g189 +V\u000a +tp16341 +a(g189 +V +p16342 +tp16343 +a(g18 +Vx +tp16344 +a(g189 +V +tp16345 +a(g344 +V= +tp16346 +a(g189 +V +tp16347 +a(g18 +VPyDict_GetItem +p16348 +tp16349 +a(g202 +V( +tp16350 +a(g18 +Vf +tp16351 +a(g344 +V- +tp16352 +a(g344 +V> +tp16353 +a(g18 +Vf_globals +p16354 +tp16355 +a(g202 +V, +tp16356 +a(g189 +V +tp16357 +a(g18 +Vw +tp16358 +a(g202 +V) +tp16359 +a(g202 +V; +tp16360 +a(g189 +V\u000a +tp16361 +a(g189 +V +p16362 +tp16363 +a(g111 +Vif +p16364 +tp16365 +a(g189 +V +tp16366 +a(g202 +V( +tp16367 +a(g18 +Vx +tp16368 +a(g189 +V +tp16369 +a(g344 +V= +tp16370 +a(g344 +V= +tp16371 +a(g189 +V +tp16372 +a(g57 +VNULL +p16373 +tp16374 +a(g202 +V) +tp16375 +a(g189 +V +tp16376 +a(g202 +V{ +tp16377 +a(g189 +V\u000a +tp16378 +a(g189 +V +p16379 +tp16380 +a(g18 +Vx +tp16381 +a(g189 +V +tp16382 +a(g344 +V= +tp16383 +a(g189 +V +tp16384 +a(g18 +VPyDict_GetItem +p16385 +tp16386 +a(g202 +V( +tp16387 +a(g18 +Vf +tp16388 +a(g344 +V- +tp16389 +a(g344 +V> +tp16390 +a(g18 +Vf_builtins +p16391 +tp16392 +a(g202 +V, +tp16393 +a(g189 +V +tp16394 +a(g18 +Vw +tp16395 +a(g202 +V) +tp16396 +a(g202 +V; +tp16397 +a(g189 +V\u000a +tp16398 +a(g189 +V +p16399 +tp16400 +a(g111 +Vif +p16401 +tp16402 +a(g189 +V +tp16403 +a(g202 +V( +tp16404 +a(g18 +Vx +tp16405 +a(g189 +V +tp16406 +a(g344 +V= +tp16407 +a(g344 +V= +tp16408 +a(g189 +V +tp16409 +a(g57 +VNULL +p16410 +tp16411 +a(g202 +V) +tp16412 +a(g189 +V +tp16413 +a(g202 +V{ +tp16414 +a(g189 +V\u000a +tp16415 +a(g189 +V +p16416 +tp16417 +a(g18 +Vformat_exc_check_arg +p16418 +tp16419 +a(g202 +V( +tp16420 +a(g189 +V\u000a +tp16421 +a(g189 +V +p16422 +tp16423 +a(g18 +VPyExc_NameError +p16424 +tp16425 +a(g202 +V, +tp16426 +a(g189 +V\u000a +tp16427 +a(g189 +V +p16428 +tp16429 +a(g18 +VNAME_ERROR_MSG +p16430 +tp16431 +a(g189 +V +tp16432 +a(g202 +V, +tp16433 +a(g18 +Vw +tp16434 +a(g202 +V) +tp16435 +a(g202 +V; +tp16436 +a(g189 +V\u000a +tp16437 +a(g189 +V +p16438 +tp16439 +a(g111 +Vbreak +p16440 +tp16441 +a(g202 +V; +tp16442 +a(g189 +V\u000a +tp16443 +a(g189 +V +p16444 +tp16445 +a(g202 +V} +tp16446 +a(g189 +V\u000a +tp16447 +a(g189 +V +p16448 +tp16449 +a(g202 +V} +tp16450 +a(g189 +V\u000a +tp16451 +a(g189 +V +p16452 +tp16453 +a(g18 +VPy_INCREF +p16454 +tp16455 +a(g202 +V( +tp16456 +a(g18 +Vx +tp16457 +a(g202 +V) +tp16458 +a(g202 +V; +tp16459 +a(g189 +V\u000a +tp16460 +a(g189 +V +p16461 +tp16462 +a(g202 +V} +tp16463 +a(g189 +V\u000a +tp16464 +a(g189 +V +p16465 +tp16466 +a(g18 +VPUSH +p16467 +tp16468 +a(g202 +V( +tp16469 +a(g18 +Vx +tp16470 +a(g202 +V) +tp16471 +a(g202 +V; +tp16472 +a(g189 +V\u000a +tp16473 +a(g189 +V +p16474 +tp16475 +a(g111 +Vcontinue +p16476 +tp16477 +a(g202 +V; +tp16478 +a(g189 +V\u000a +tp16479 +a(g189 +V\u000a +tp16480 +a(g189 +V +p16481 +tp16482 +a(g111 +Vcase +p16483 +tp16484 +a(g189 +V +tp16485 +a(g189 +V +tp16486 +a(g18 +VLOAD_GLOBAL +p16487 +tp16488 +a(g189 +V: +tp16489 +a(g189 +V\u000a +tp16490 +a(g189 +V +p16491 +tp16492 +a(g18 +Vw +tp16493 +a(g189 +V +tp16494 +a(g344 +V= +tp16495 +a(g189 +V +tp16496 +a(g18 +VGETITEM +p16497 +tp16498 +a(g202 +V( +tp16499 +a(g18 +Vnames +p16500 +tp16501 +a(g202 +V, +tp16502 +a(g189 +V +tp16503 +a(g18 +Voparg +p16504 +tp16505 +a(g202 +V) +tp16506 +a(g202 +V; +tp16507 +a(g189 +V\u000a +tp16508 +a(g189 +V +p16509 +tp16510 +a(g111 +Vif +p16511 +tp16512 +a(g189 +V +tp16513 +a(g202 +V( +tp16514 +a(g18 +VPyString_CheckExact +p16515 +tp16516 +a(g202 +V( +tp16517 +a(g18 +Vw +tp16518 +a(g202 +V) +tp16519 +a(g202 +V) +tp16520 +a(g189 +V +tp16521 +a(g202 +V{ +tp16522 +a(g189 +V\u000a +tp16523 +a(g189 +V +p16524 +tp16525 +a(g7 +V/* Inline the PyDict_GetItem() calls.\u000a WARNING: this is an extreme speed hack.\u000a Do not try this at home. */ +p16526 +tp16527 +a(g189 +V\u000a +tp16528 +a(g189 +V +p16529 +tp16530 +a(g139 +Vlong +p16531 +tp16532 +a(g189 +V +tp16533 +a(g18 +Vhash +p16534 +tp16535 +a(g189 +V +tp16536 +a(g344 +V= +tp16537 +a(g189 +V +tp16538 +a(g202 +V( +tp16539 +a(g202 +V( +tp16540 +a(g18 +VPyStringObject +p16541 +tp16542 +a(g189 +V +tp16543 +a(g344 +V* +tp16544 +a(g202 +V) +tp16545 +a(g18 +Vw +tp16546 +a(g202 +V) +tp16547 +a(g344 +V- +tp16548 +a(g344 +V> +tp16549 +a(g18 +Vob_shash +p16550 +tp16551 +a(g202 +V; +tp16552 +a(g189 +V\u000a +tp16553 +a(g189 +V +p16554 +tp16555 +a(g111 +Vif +p16556 +tp16557 +a(g189 +V +tp16558 +a(g202 +V( +tp16559 +a(g18 +Vhash +p16560 +tp16561 +a(g189 +V +tp16562 +a(g344 +V! +tp16563 +a(g344 +V= +tp16564 +a(g189 +V +tp16565 +a(g344 +V- +tp16566 +a(g319 +V1 +tp16567 +a(g202 +V) +tp16568 +a(g189 +V +tp16569 +a(g202 +V{ +tp16570 +a(g189 +V\u000a +tp16571 +a(g189 +V +p16572 +tp16573 +a(g18 +VPyDictObject +p16574 +tp16575 +a(g189 +V +tp16576 +a(g344 +V* +tp16577 +a(g18 +Vd +tp16578 +a(g202 +V; +tp16579 +a(g189 +V\u000a +tp16580 +a(g189 +V +p16581 +tp16582 +a(g18 +VPyDictEntry +p16583 +tp16584 +a(g189 +V +tp16585 +a(g344 +V* +tp16586 +a(g18 +Ve +tp16587 +a(g202 +V; +tp16588 +a(g189 +V\u000a +tp16589 +a(g189 +V +p16590 +tp16591 +a(g18 +Vd +tp16592 +a(g189 +V +tp16593 +a(g344 +V= +tp16594 +a(g189 +V +tp16595 +a(g202 +V( +tp16596 +a(g18 +VPyDictObject +p16597 +tp16598 +a(g189 +V +tp16599 +a(g344 +V* +tp16600 +a(g202 +V) +tp16601 +a(g202 +V( +tp16602 +a(g18 +Vf +tp16603 +a(g344 +V- +tp16604 +a(g344 +V> +tp16605 +a(g18 +Vf_globals +p16606 +tp16607 +a(g202 +V) +tp16608 +a(g202 +V; +tp16609 +a(g189 +V\u000a +tp16610 +a(g189 +V +p16611 +tp16612 +a(g18 +Ve +tp16613 +a(g189 +V +tp16614 +a(g344 +V= +tp16615 +a(g189 +V +tp16616 +a(g18 +Vd +tp16617 +a(g344 +V- +tp16618 +a(g344 +V> +tp16619 +a(g18 +Vma_lookup +p16620 +tp16621 +a(g202 +V( +tp16622 +a(g18 +Vd +tp16623 +a(g202 +V, +tp16624 +a(g189 +V +tp16625 +a(g18 +Vw +tp16626 +a(g202 +V, +tp16627 +a(g189 +V +tp16628 +a(g18 +Vhash +p16629 +tp16630 +a(g202 +V) +tp16631 +a(g202 +V; +tp16632 +a(g189 +V\u000a +tp16633 +a(g189 +V +p16634 +tp16635 +a(g111 +Vif +p16636 +tp16637 +a(g189 +V +tp16638 +a(g202 +V( +tp16639 +a(g18 +Ve +tp16640 +a(g189 +V +tp16641 +a(g344 +V= +tp16642 +a(g344 +V= +tp16643 +a(g189 +V +tp16644 +a(g57 +VNULL +p16645 +tp16646 +a(g202 +V) +tp16647 +a(g189 +V +tp16648 +a(g202 +V{ +tp16649 +a(g189 +V\u000a +tp16650 +a(g189 +V +p16651 +tp16652 +a(g18 +Vx +tp16653 +a(g189 +V +tp16654 +a(g344 +V= +tp16655 +a(g189 +V +tp16656 +a(g57 +VNULL +p16657 +tp16658 +a(g202 +V; +tp16659 +a(g189 +V\u000a +tp16660 +a(g189 +V +p16661 +tp16662 +a(g111 +Vbreak +p16663 +tp16664 +a(g202 +V; +tp16665 +a(g189 +V\u000a +tp16666 +a(g189 +V +p16667 +tp16668 +a(g202 +V} +tp16669 +a(g189 +V\u000a +tp16670 +a(g189 +V +p16671 +tp16672 +a(g18 +Vx +tp16673 +a(g189 +V +tp16674 +a(g344 +V= +tp16675 +a(g189 +V +tp16676 +a(g18 +Ve +tp16677 +a(g344 +V- +tp16678 +a(g344 +V> +tp16679 +a(g18 +Vme_value +p16680 +tp16681 +a(g202 +V; +tp16682 +a(g189 +V\u000a +tp16683 +a(g189 +V +p16684 +tp16685 +a(g111 +Vif +p16686 +tp16687 +a(g189 +V +tp16688 +a(g202 +V( +tp16689 +a(g18 +Vx +tp16690 +a(g189 +V +tp16691 +a(g344 +V! +tp16692 +a(g344 +V= +tp16693 +a(g189 +V +tp16694 +a(g57 +VNULL +p16695 +tp16696 +a(g202 +V) +tp16697 +a(g189 +V +tp16698 +a(g202 +V{ +tp16699 +a(g189 +V\u000a +tp16700 +a(g189 +V +p16701 +tp16702 +a(g18 +VPy_INCREF +p16703 +tp16704 +a(g202 +V( +tp16705 +a(g18 +Vx +tp16706 +a(g202 +V) +tp16707 +a(g202 +V; +tp16708 +a(g189 +V\u000a +tp16709 +a(g189 +V +p16710 +tp16711 +a(g18 +VPUSH +p16712 +tp16713 +a(g202 +V( +tp16714 +a(g18 +Vx +tp16715 +a(g202 +V) +tp16716 +a(g202 +V; +tp16717 +a(g189 +V\u000a +tp16718 +a(g189 +V +p16719 +tp16720 +a(g111 +Vcontinue +p16721 +tp16722 +a(g202 +V; +tp16723 +a(g189 +V\u000a +tp16724 +a(g189 +V +p16725 +tp16726 +a(g202 +V} +tp16727 +a(g189 +V\u000a +tp16728 +a(g189 +V +p16729 +tp16730 +a(g18 +Vd +tp16731 +a(g189 +V +tp16732 +a(g344 +V= +tp16733 +a(g189 +V +tp16734 +a(g202 +V( +tp16735 +a(g18 +VPyDictObject +p16736 +tp16737 +a(g189 +V +tp16738 +a(g344 +V* +tp16739 +a(g202 +V) +tp16740 +a(g202 +V( +tp16741 +a(g18 +Vf +tp16742 +a(g344 +V- +tp16743 +a(g344 +V> +tp16744 +a(g18 +Vf_builtins +p16745 +tp16746 +a(g202 +V) +tp16747 +a(g202 +V; +tp16748 +a(g189 +V\u000a +tp16749 +a(g189 +V +p16750 +tp16751 +a(g18 +Ve +tp16752 +a(g189 +V +tp16753 +a(g344 +V= +tp16754 +a(g189 +V +tp16755 +a(g18 +Vd +tp16756 +a(g344 +V- +tp16757 +a(g344 +V> +tp16758 +a(g18 +Vma_lookup +p16759 +tp16760 +a(g202 +V( +tp16761 +a(g18 +Vd +tp16762 +a(g202 +V, +tp16763 +a(g189 +V +tp16764 +a(g18 +Vw +tp16765 +a(g202 +V, +tp16766 +a(g189 +V +tp16767 +a(g18 +Vhash +p16768 +tp16769 +a(g202 +V) +tp16770 +a(g202 +V; +tp16771 +a(g189 +V\u000a +tp16772 +a(g189 +V +p16773 +tp16774 +a(g111 +Vif +p16775 +tp16776 +a(g189 +V +tp16777 +a(g202 +V( +tp16778 +a(g18 +Ve +tp16779 +a(g189 +V +tp16780 +a(g344 +V= +tp16781 +a(g344 +V= +tp16782 +a(g189 +V +tp16783 +a(g57 +VNULL +p16784 +tp16785 +a(g202 +V) +tp16786 +a(g189 +V +tp16787 +a(g202 +V{ +tp16788 +a(g189 +V\u000a +tp16789 +a(g189 +V +p16790 +tp16791 +a(g18 +Vx +tp16792 +a(g189 +V +tp16793 +a(g344 +V= +tp16794 +a(g189 +V +tp16795 +a(g57 +VNULL +p16796 +tp16797 +a(g202 +V; +tp16798 +a(g189 +V\u000a +tp16799 +a(g189 +V +p16800 +tp16801 +a(g111 +Vbreak +p16802 +tp16803 +a(g202 +V; +tp16804 +a(g189 +V\u000a +tp16805 +a(g189 +V +p16806 +tp16807 +a(g202 +V} +tp16808 +a(g189 +V\u000a +tp16809 +a(g189 +V +p16810 +tp16811 +a(g18 +Vx +tp16812 +a(g189 +V +tp16813 +a(g344 +V= +tp16814 +a(g189 +V +tp16815 +a(g18 +Ve +tp16816 +a(g344 +V- +tp16817 +a(g344 +V> +tp16818 +a(g18 +Vme_value +p16819 +tp16820 +a(g202 +V; +tp16821 +a(g189 +V\u000a +tp16822 +a(g189 +V +p16823 +tp16824 +a(g111 +Vif +p16825 +tp16826 +a(g189 +V +tp16827 +a(g202 +V( +tp16828 +a(g18 +Vx +tp16829 +a(g189 +V +tp16830 +a(g344 +V! +tp16831 +a(g344 +V= +tp16832 +a(g189 +V +tp16833 +a(g57 +VNULL +p16834 +tp16835 +a(g202 +V) +tp16836 +a(g189 +V +tp16837 +a(g202 +V{ +tp16838 +a(g189 +V\u000a +tp16839 +a(g189 +V +p16840 +tp16841 +a(g18 +VPy_INCREF +p16842 +tp16843 +a(g202 +V( +tp16844 +a(g18 +Vx +tp16845 +a(g202 +V) +tp16846 +a(g202 +V; +tp16847 +a(g189 +V\u000a +tp16848 +a(g189 +V +p16849 +tp16850 +a(g18 +VPUSH +p16851 +tp16852 +a(g202 +V( +tp16853 +a(g18 +Vx +tp16854 +a(g202 +V) +tp16855 +a(g202 +V; +tp16856 +a(g189 +V\u000a +tp16857 +a(g189 +V +p16858 +tp16859 +a(g111 +Vcontinue +p16860 +tp16861 +a(g202 +V; +tp16862 +a(g189 +V\u000a +tp16863 +a(g189 +V +p16864 +tp16865 +a(g202 +V} +tp16866 +a(g189 +V\u000a +tp16867 +a(g189 +V +p16868 +tp16869 +a(g111 +Vgoto +p16870 +tp16871 +a(g189 +V +tp16872 +a(g18 +Vload_global_error +p16873 +tp16874 +a(g202 +V; +tp16875 +a(g189 +V\u000a +tp16876 +a(g189 +V +p16877 +tp16878 +a(g202 +V} +tp16879 +a(g189 +V\u000a +tp16880 +a(g189 +V +p16881 +tp16882 +a(g202 +V} +tp16883 +a(g189 +V\u000a +tp16884 +a(g189 +V +p16885 +tp16886 +a(g7 +V/* This is the un-inlined version of the code above */ +p16887 +tp16888 +a(g189 +V\u000a +tp16889 +a(g189 +V +p16890 +tp16891 +a(g18 +Vx +tp16892 +a(g189 +V +tp16893 +a(g344 +V= +tp16894 +a(g189 +V +tp16895 +a(g18 +VPyDict_GetItem +p16896 +tp16897 +a(g202 +V( +tp16898 +a(g18 +Vf +tp16899 +a(g344 +V- +tp16900 +a(g344 +V> +tp16901 +a(g18 +Vf_globals +p16902 +tp16903 +a(g202 +V, +tp16904 +a(g189 +V +tp16905 +a(g18 +Vw +tp16906 +a(g202 +V) +tp16907 +a(g202 +V; +tp16908 +a(g189 +V\u000a +tp16909 +a(g189 +V +p16910 +tp16911 +a(g111 +Vif +p16912 +tp16913 +a(g189 +V +tp16914 +a(g202 +V( +tp16915 +a(g18 +Vx +tp16916 +a(g189 +V +tp16917 +a(g344 +V= +tp16918 +a(g344 +V= +tp16919 +a(g189 +V +tp16920 +a(g57 +VNULL +p16921 +tp16922 +a(g202 +V) +tp16923 +a(g189 +V +tp16924 +a(g202 +V{ +tp16925 +a(g189 +V\u000a +tp16926 +a(g189 +V +p16927 +tp16928 +a(g18 +Vx +tp16929 +a(g189 +V +tp16930 +a(g344 +V= +tp16931 +a(g189 +V +tp16932 +a(g18 +VPyDict_GetItem +p16933 +tp16934 +a(g202 +V( +tp16935 +a(g18 +Vf +tp16936 +a(g344 +V- +tp16937 +a(g344 +V> +tp16938 +a(g18 +Vf_builtins +p16939 +tp16940 +a(g202 +V, +tp16941 +a(g189 +V +tp16942 +a(g18 +Vw +tp16943 +a(g202 +V) +tp16944 +a(g202 +V; +tp16945 +a(g189 +V\u000a +tp16946 +a(g189 +V +p16947 +tp16948 +a(g111 +Vif +p16949 +tp16950 +a(g189 +V +tp16951 +a(g202 +V( +tp16952 +a(g18 +Vx +tp16953 +a(g189 +V +tp16954 +a(g344 +V= +tp16955 +a(g344 +V= +tp16956 +a(g189 +V +tp16957 +a(g57 +VNULL +p16958 +tp16959 +a(g202 +V) +tp16960 +a(g189 +V +tp16961 +a(g202 +V{ +tp16962 +a(g189 +V\u000a +tp16963 +a(g189 +V +p16964 +tp16965 +a(g45 +Vload_global_error: +p16966 +tp16967 +a(g189 +V\u000a +tp16968 +a(g189 +V +p16969 +tp16970 +a(g18 +Vformat_exc_check_arg +p16971 +tp16972 +a(g202 +V( +tp16973 +a(g189 +V\u000a +tp16974 +a(g189 +V +p16975 +tp16976 +a(g18 +VPyExc_NameError +p16977 +tp16978 +a(g202 +V, +tp16979 +a(g189 +V\u000a +tp16980 +a(g189 +V +p16981 +tp16982 +a(g18 +VGLOBAL_NAME_ERROR_MSG +p16983 +tp16984 +a(g202 +V, +tp16985 +a(g189 +V +tp16986 +a(g18 +Vw +tp16987 +a(g202 +V) +tp16988 +a(g202 +V; +tp16989 +a(g189 +V\u000a +tp16990 +a(g189 +V +p16991 +tp16992 +a(g111 +Vbreak +p16993 +tp16994 +a(g202 +V; +tp16995 +a(g189 +V\u000a +tp16996 +a(g189 +V +p16997 +tp16998 +a(g202 +V} +tp16999 +a(g189 +V\u000a +tp17000 +a(g189 +V +p17001 +tp17002 +a(g202 +V} +tp17003 +a(g189 +V\u000a +tp17004 +a(g189 +V +p17005 +tp17006 +a(g18 +VPy_INCREF +p17007 +tp17008 +a(g202 +V( +tp17009 +a(g18 +Vx +tp17010 +a(g202 +V) +tp17011 +a(g202 +V; +tp17012 +a(g189 +V\u000a +tp17013 +a(g189 +V +p17014 +tp17015 +a(g18 +VPUSH +p17016 +tp17017 +a(g202 +V( +tp17018 +a(g18 +Vx +tp17019 +a(g202 +V) +tp17020 +a(g202 +V; +tp17021 +a(g189 +V\u000a +tp17022 +a(g189 +V +p17023 +tp17024 +a(g111 +Vcontinue +p17025 +tp17026 +a(g202 +V; +tp17027 +a(g189 +V\u000a +tp17028 +a(g189 +V\u000a +tp17029 +a(g189 +V +p17030 +tp17031 +a(g111 +Vcase +p17032 +tp17033 +a(g189 +V +tp17034 +a(g189 +V +tp17035 +a(g18 +VDELETE_FAST +p17036 +tp17037 +a(g189 +V: +tp17038 +a(g189 +V\u000a +tp17039 +a(g189 +V +p17040 +tp17041 +a(g18 +Vx +tp17042 +a(g189 +V +tp17043 +a(g344 +V= +tp17044 +a(g189 +V +tp17045 +a(g18 +VGETLOCAL +p17046 +tp17047 +a(g202 +V( +tp17048 +a(g18 +Voparg +p17049 +tp17050 +a(g202 +V) +tp17051 +a(g202 +V; +tp17052 +a(g189 +V\u000a +tp17053 +a(g189 +V +p17054 +tp17055 +a(g111 +Vif +p17056 +tp17057 +a(g189 +V +tp17058 +a(g202 +V( +tp17059 +a(g18 +Vx +tp17060 +a(g189 +V +tp17061 +a(g344 +V! +tp17062 +a(g344 +V= +tp17063 +a(g189 +V +tp17064 +a(g57 +VNULL +p17065 +tp17066 +a(g202 +V) +tp17067 +a(g189 +V +tp17068 +a(g202 +V{ +tp17069 +a(g189 +V\u000a +tp17070 +a(g189 +V +p17071 +tp17072 +a(g18 +VSETLOCAL +p17073 +tp17074 +a(g202 +V( +tp17075 +a(g18 +Voparg +p17076 +tp17077 +a(g202 +V, +tp17078 +a(g189 +V +tp17079 +a(g57 +VNULL +p17080 +tp17081 +a(g202 +V) +tp17082 +a(g202 +V; +tp17083 +a(g189 +V\u000a +tp17084 +a(g189 +V +p17085 +tp17086 +a(g111 +Vcontinue +p17087 +tp17088 +a(g202 +V; +tp17089 +a(g189 +V\u000a +tp17090 +a(g189 +V +p17091 +tp17092 +a(g202 +V} +tp17093 +a(g189 +V\u000a +tp17094 +a(g189 +V +p17095 +tp17096 +a(g18 +Vformat_exc_check_arg +p17097 +tp17098 +a(g202 +V( +tp17099 +a(g189 +V\u000a +tp17100 +a(g189 +V +p17101 +tp17102 +a(g18 +VPyExc_UnboundLocalError +p17103 +tp17104 +a(g202 +V, +tp17105 +a(g189 +V\u000a +tp17106 +a(g189 +V +p17107 +tp17108 +a(g18 +VUNBOUNDLOCAL_ERROR_MSG +p17109 +tp17110 +a(g202 +V, +tp17111 +a(g189 +V\u000a +tp17112 +a(g189 +V +p17113 +tp17114 +a(g18 +VPyTuple_GetItem +p17115 +tp17116 +a(g202 +V( +tp17117 +a(g18 +Vco +p17118 +tp17119 +a(g344 +V- +tp17120 +a(g344 +V> +tp17121 +a(g18 +Vco_varnames +p17122 +tp17123 +a(g202 +V, +tp17124 +a(g189 +V +tp17125 +a(g18 +Voparg +p17126 +tp17127 +a(g202 +V) +tp17128 +a(g189 +V\u000a +tp17129 +a(g189 +V +p17130 +tp17131 +a(g202 +V) +tp17132 +a(g202 +V; +tp17133 +a(g189 +V\u000a +tp17134 +a(g189 +V +p17135 +tp17136 +a(g111 +Vbreak +p17137 +tp17138 +a(g202 +V; +tp17139 +a(g189 +V\u000a +tp17140 +a(g189 +V\u000a +tp17141 +a(g189 +V +p17142 +tp17143 +a(g111 +Vcase +p17144 +tp17145 +a(g189 +V +tp17146 +a(g189 +V +tp17147 +a(g18 +VLOAD_CLOSURE +p17148 +tp17149 +a(g189 +V: +tp17150 +a(g189 +V\u000a +tp17151 +a(g189 +V +p17152 +tp17153 +a(g18 +Vx +tp17154 +a(g189 +V +tp17155 +a(g344 +V= +tp17156 +a(g189 +V +tp17157 +a(g18 +Vfreevars +p17158 +tp17159 +a(g202 +V[ +tp17160 +a(g18 +Voparg +p17161 +tp17162 +a(g202 +V] +tp17163 +a(g202 +V; +tp17164 +a(g189 +V\u000a +tp17165 +a(g189 +V +p17166 +tp17167 +a(g18 +VPy_INCREF +p17168 +tp17169 +a(g202 +V( +tp17170 +a(g18 +Vx +tp17171 +a(g202 +V) +tp17172 +a(g202 +V; +tp17173 +a(g189 +V\u000a +tp17174 +a(g189 +V +p17175 +tp17176 +a(g18 +VPUSH +p17177 +tp17178 +a(g202 +V( +tp17179 +a(g18 +Vx +tp17180 +a(g202 +V) +tp17181 +a(g202 +V; +tp17182 +a(g189 +V\u000a +tp17183 +a(g189 +V +p17184 +tp17185 +a(g111 +Vif +p17186 +tp17187 +a(g189 +V +tp17188 +a(g202 +V( +tp17189 +a(g18 +Vx +tp17190 +a(g189 +V +tp17191 +a(g344 +V! +tp17192 +a(g344 +V= +tp17193 +a(g189 +V +tp17194 +a(g57 +VNULL +p17195 +tp17196 +a(g202 +V) +tp17197 +a(g189 +V +tp17198 +a(g111 +Vcontinue +p17199 +tp17200 +a(g202 +V; +tp17201 +a(g189 +V\u000a +tp17202 +a(g189 +V +p17203 +tp17204 +a(g111 +Vbreak +p17205 +tp17206 +a(g202 +V; +tp17207 +a(g189 +V\u000a +tp17208 +a(g189 +V\u000a +tp17209 +a(g189 +V +p17210 +tp17211 +a(g111 +Vcase +p17212 +tp17213 +a(g189 +V +tp17214 +a(g189 +V +tp17215 +a(g18 +VLOAD_DEREF +p17216 +tp17217 +a(g189 +V: +tp17218 +a(g189 +V\u000a +tp17219 +a(g189 +V +p17220 +tp17221 +a(g18 +Vx +tp17222 +a(g189 +V +tp17223 +a(g344 +V= +tp17224 +a(g189 +V +tp17225 +a(g18 +Vfreevars +p17226 +tp17227 +a(g202 +V[ +tp17228 +a(g18 +Voparg +p17229 +tp17230 +a(g202 +V] +tp17231 +a(g202 +V; +tp17232 +a(g189 +V\u000a +tp17233 +a(g189 +V +p17234 +tp17235 +a(g18 +Vw +tp17236 +a(g189 +V +tp17237 +a(g344 +V= +tp17238 +a(g189 +V +tp17239 +a(g18 +VPyCell_Get +p17240 +tp17241 +a(g202 +V( +tp17242 +a(g18 +Vx +tp17243 +a(g202 +V) +tp17244 +a(g202 +V; +tp17245 +a(g189 +V\u000a +tp17246 +a(g189 +V +p17247 +tp17248 +a(g111 +Vif +p17249 +tp17250 +a(g189 +V +tp17251 +a(g202 +V( +tp17252 +a(g18 +Vw +tp17253 +a(g189 +V +tp17254 +a(g344 +V! +tp17255 +a(g344 +V= +tp17256 +a(g189 +V +tp17257 +a(g57 +VNULL +p17258 +tp17259 +a(g202 +V) +tp17260 +a(g189 +V +tp17261 +a(g202 +V{ +tp17262 +a(g189 +V\u000a +tp17263 +a(g189 +V +p17264 +tp17265 +a(g18 +VPUSH +p17266 +tp17267 +a(g202 +V( +tp17268 +a(g18 +Vw +tp17269 +a(g202 +V) +tp17270 +a(g202 +V; +tp17271 +a(g189 +V\u000a +tp17272 +a(g189 +V +p17273 +tp17274 +a(g111 +Vcontinue +p17275 +tp17276 +a(g202 +V; +tp17277 +a(g189 +V\u000a +tp17278 +a(g189 +V +p17279 +tp17280 +a(g202 +V} +tp17281 +a(g189 +V\u000a +tp17282 +a(g189 +V +p17283 +tp17284 +a(g18 +Verr +p17285 +tp17286 +a(g189 +V +tp17287 +a(g344 +V= +tp17288 +a(g189 +V +tp17289 +a(g344 +V- +tp17290 +a(g319 +V1 +tp17291 +a(g202 +V; +tp17292 +a(g189 +V\u000a +tp17293 +a(g189 +V +p17294 +tp17295 +a(g7 +V/* Don't stomp existing exception */ +p17296 +tp17297 +a(g189 +V\u000a +tp17298 +a(g189 +V +p17299 +tp17300 +a(g111 +Vif +p17301 +tp17302 +a(g189 +V +tp17303 +a(g202 +V( +tp17304 +a(g18 +VPyErr_Occurred +p17305 +tp17306 +a(g202 +V( +tp17307 +a(g202 +V) +tp17308 +a(g202 +V) +tp17309 +a(g189 +V\u000a +tp17310 +a(g189 +V +p17311 +tp17312 +a(g111 +Vbreak +p17313 +tp17314 +a(g202 +V; +tp17315 +a(g189 +V\u000a +tp17316 +a(g189 +V +p17317 +tp17318 +a(g111 +Vif +p17319 +tp17320 +a(g189 +V +tp17321 +a(g202 +V( +tp17322 +a(g18 +Voparg +p17323 +tp17324 +a(g189 +V +tp17325 +a(g344 +V< +tp17326 +a(g189 +V +tp17327 +a(g18 +VPyTuple_GET_SIZE +p17328 +tp17329 +a(g202 +V( +tp17330 +a(g18 +Vco +p17331 +tp17332 +a(g344 +V- +tp17333 +a(g344 +V> +tp17334 +a(g18 +Vco_cellvars +p17335 +tp17336 +a(g202 +V) +tp17337 +a(g202 +V) +tp17338 +a(g189 +V +tp17339 +a(g202 +V{ +tp17340 +a(g189 +V\u000a +tp17341 +a(g189 +V +p17342 +tp17343 +a(g18 +Vv +tp17344 +a(g189 +V +tp17345 +a(g344 +V= +tp17346 +a(g189 +V +tp17347 +a(g18 +VPyTuple_GET_ITEM +p17348 +tp17349 +a(g202 +V( +tp17350 +a(g18 +Vco +p17351 +tp17352 +a(g344 +V- +tp17353 +a(g344 +V> +tp17354 +a(g18 +Vco_cellvars +p17355 +tp17356 +a(g202 +V, +tp17357 +a(g189 +V\u000a +tp17358 +a(g189 +V +p17359 +tp17360 +a(g18 +Voparg +p17361 +tp17362 +a(g202 +V) +tp17363 +a(g202 +V; +tp17364 +a(g189 +V\u000a +tp17365 +a(g189 +V +p17366 +tp17367 +a(g18 +Vformat_exc_check_arg +p17368 +tp17369 +a(g202 +V( +tp17370 +a(g189 +V\u000a +tp17371 +a(g189 +V +p17372 +tp17373 +a(g18 +VPyExc_UnboundLocalError +p17374 +tp17375 +a(g202 +V, +tp17376 +a(g189 +V\u000a +tp17377 +a(g189 +V +p17378 +tp17379 +a(g18 +VUNBOUNDLOCAL_ERROR_MSG +p17380 +tp17381 +a(g202 +V, +tp17382 +a(g189 +V\u000a +tp17383 +a(g189 +V +p17384 +tp17385 +a(g18 +Vv +tp17386 +a(g202 +V) +tp17387 +a(g202 +V; +tp17388 +a(g189 +V\u000a +tp17389 +a(g189 +V +p17390 +tp17391 +a(g202 +V} +tp17392 +a(g189 +V +tp17393 +a(g111 +Velse +p17394 +tp17395 +a(g189 +V +tp17396 +a(g202 +V{ +tp17397 +a(g189 +V\u000a +tp17398 +a(g189 +V +p17399 +tp17400 +a(g18 +Vv +tp17401 +a(g189 +V +tp17402 +a(g344 +V= +tp17403 +a(g189 +V +tp17404 +a(g18 +VPyTuple_GET_ITEM +p17405 +tp17406 +a(g202 +V( +tp17407 +a(g189 +V\u000a +tp17408 +a(g189 +V +p17409 +tp17410 +a(g18 +Vco +p17411 +tp17412 +a(g344 +V- +tp17413 +a(g344 +V> +tp17414 +a(g18 +Vco_freevars +p17415 +tp17416 +a(g202 +V, +tp17417 +a(g189 +V\u000a +tp17418 +a(g189 +V +p17419 +tp17420 +a(g18 +Voparg +p17421 +tp17422 +a(g189 +V +tp17423 +a(g344 +V- +tp17424 +a(g189 +V +tp17425 +a(g18 +VPyTuple_GET_SIZE +p17426 +tp17427 +a(g202 +V( +tp17428 +a(g18 +Vco +p17429 +tp17430 +a(g344 +V- +tp17431 +a(g344 +V> +tp17432 +a(g18 +Vco_cellvars +p17433 +tp17434 +a(g202 +V) +tp17435 +a(g202 +V) +tp17436 +a(g202 +V; +tp17437 +a(g189 +V\u000a +tp17438 +a(g189 +V +p17439 +tp17440 +a(g18 +Vformat_exc_check_arg +p17441 +tp17442 +a(g202 +V( +tp17443 +a(g189 +V\u000a +tp17444 +a(g189 +V +p17445 +tp17446 +a(g18 +VPyExc_NameError +p17447 +tp17448 +a(g202 +V, +tp17449 +a(g189 +V\u000a +tp17450 +a(g189 +V +p17451 +tp17452 +a(g18 +VUNBOUNDFREE_ERROR_MSG +p17453 +tp17454 +a(g202 +V, +tp17455 +a(g189 +V\u000a +tp17456 +a(g189 +V +p17457 +tp17458 +a(g18 +Vv +tp17459 +a(g202 +V) +tp17460 +a(g202 +V; +tp17461 +a(g189 +V\u000a +tp17462 +a(g189 +V +p17463 +tp17464 +a(g202 +V} +tp17465 +a(g189 +V\u000a +tp17466 +a(g189 +V +p17467 +tp17468 +a(g111 +Vbreak +p17469 +tp17470 +a(g202 +V; +tp17471 +a(g189 +V\u000a +tp17472 +a(g189 +V\u000a +tp17473 +a(g189 +V +p17474 +tp17475 +a(g111 +Vcase +p17476 +tp17477 +a(g189 +V +tp17478 +a(g189 +V +tp17479 +a(g18 +VSTORE_DEREF +p17480 +tp17481 +a(g189 +V: +tp17482 +a(g189 +V\u000a +tp17483 +a(g189 +V +p17484 +tp17485 +a(g18 +Vw +tp17486 +a(g189 +V +tp17487 +a(g344 +V= +tp17488 +a(g189 +V +tp17489 +a(g18 +VPOP +p17490 +tp17491 +a(g202 +V( +tp17492 +a(g202 +V) +tp17493 +a(g202 +V; +tp17494 +a(g189 +V\u000a +tp17495 +a(g189 +V +p17496 +tp17497 +a(g18 +Vx +tp17498 +a(g189 +V +tp17499 +a(g344 +V= +tp17500 +a(g189 +V +tp17501 +a(g18 +Vfreevars +p17502 +tp17503 +a(g202 +V[ +tp17504 +a(g18 +Voparg +p17505 +tp17506 +a(g202 +V] +tp17507 +a(g202 +V; +tp17508 +a(g189 +V\u000a +tp17509 +a(g189 +V +p17510 +tp17511 +a(g18 +VPyCell_Set +p17512 +tp17513 +a(g202 +V( +tp17514 +a(g18 +Vx +tp17515 +a(g202 +V, +tp17516 +a(g189 +V +tp17517 +a(g18 +Vw +tp17518 +a(g202 +V) +tp17519 +a(g202 +V; +tp17520 +a(g189 +V\u000a +tp17521 +a(g189 +V +p17522 +tp17523 +a(g18 +VPy_DECREF +p17524 +tp17525 +a(g202 +V( +tp17526 +a(g18 +Vw +tp17527 +a(g202 +V) +tp17528 +a(g202 +V; +tp17529 +a(g189 +V\u000a +tp17530 +a(g189 +V +p17531 +tp17532 +a(g111 +Vcontinue +p17533 +tp17534 +a(g202 +V; +tp17535 +a(g189 +V\u000a +tp17536 +a(g189 +V\u000a +tp17537 +a(g189 +V +p17538 +tp17539 +a(g111 +Vcase +p17540 +tp17541 +a(g189 +V +tp17542 +a(g189 +V +tp17543 +a(g18 +VBUILD_TUPLE +p17544 +tp17545 +a(g189 +V: +tp17546 +a(g189 +V\u000a +tp17547 +a(g189 +V +p17548 +tp17549 +a(g18 +Vx +tp17550 +a(g189 +V +tp17551 +a(g344 +V= +tp17552 +a(g189 +V +tp17553 +a(g18 +VPyTuple_New +p17554 +tp17555 +a(g202 +V( +tp17556 +a(g18 +Voparg +p17557 +tp17558 +a(g202 +V) +tp17559 +a(g202 +V; +tp17560 +a(g189 +V\u000a +tp17561 +a(g189 +V +p17562 +tp17563 +a(g111 +Vif +p17564 +tp17565 +a(g189 +V +tp17566 +a(g202 +V( +tp17567 +a(g18 +Vx +tp17568 +a(g189 +V +tp17569 +a(g344 +V! +tp17570 +a(g344 +V= +tp17571 +a(g189 +V +tp17572 +a(g57 +VNULL +p17573 +tp17574 +a(g202 +V) +tp17575 +a(g189 +V +tp17576 +a(g202 +V{ +tp17577 +a(g189 +V\u000a +tp17578 +a(g189 +V +p17579 +tp17580 +a(g111 +Vfor +p17581 +tp17582 +a(g189 +V +tp17583 +a(g202 +V( +tp17584 +a(g202 +V; +tp17585 +a(g189 +V +tp17586 +a(g344 +V- +tp17587 +a(g344 +V- +tp17588 +a(g18 +Voparg +p17589 +tp17590 +a(g189 +V +tp17591 +a(g344 +V> +tp17592 +a(g344 +V= +tp17593 +a(g189 +V +tp17594 +a(g319 +V0 +tp17595 +a(g202 +V; +tp17596 +a(g202 +V) +tp17597 +a(g189 +V +tp17598 +a(g202 +V{ +tp17599 +a(g189 +V\u000a +tp17600 +a(g189 +V +p17601 +tp17602 +a(g18 +Vw +tp17603 +a(g189 +V +tp17604 +a(g344 +V= +tp17605 +a(g189 +V +tp17606 +a(g18 +VPOP +p17607 +tp17608 +a(g202 +V( +tp17609 +a(g202 +V) +tp17610 +a(g202 +V; +tp17611 +a(g189 +V\u000a +tp17612 +a(g189 +V +p17613 +tp17614 +a(g18 +VPyTuple_SET_ITEM +p17615 +tp17616 +a(g202 +V( +tp17617 +a(g18 +Vx +tp17618 +a(g202 +V, +tp17619 +a(g189 +V +tp17620 +a(g18 +Voparg +p17621 +tp17622 +a(g202 +V, +tp17623 +a(g189 +V +tp17624 +a(g18 +Vw +tp17625 +a(g202 +V) +tp17626 +a(g202 +V; +tp17627 +a(g189 +V\u000a +tp17628 +a(g189 +V +p17629 +tp17630 +a(g202 +V} +tp17631 +a(g189 +V\u000a +tp17632 +a(g189 +V +p17633 +tp17634 +a(g18 +VPUSH +p17635 +tp17636 +a(g202 +V( +tp17637 +a(g18 +Vx +tp17638 +a(g202 +V) +tp17639 +a(g202 +V; +tp17640 +a(g189 +V\u000a +tp17641 +a(g189 +V +p17642 +tp17643 +a(g111 +Vcontinue +p17644 +tp17645 +a(g202 +V; +tp17646 +a(g189 +V\u000a +tp17647 +a(g189 +V +p17648 +tp17649 +a(g202 +V} +tp17650 +a(g189 +V\u000a +tp17651 +a(g189 +V +p17652 +tp17653 +a(g111 +Vbreak +p17654 +tp17655 +a(g202 +V; +tp17656 +a(g189 +V\u000a +tp17657 +a(g189 +V\u000a +tp17658 +a(g189 +V +p17659 +tp17660 +a(g111 +Vcase +p17661 +tp17662 +a(g189 +V +tp17663 +a(g189 +V +tp17664 +a(g18 +VBUILD_LIST +p17665 +tp17666 +a(g189 +V: +tp17667 +a(g189 +V\u000a +tp17668 +a(g189 +V +p17669 +tp17670 +a(g18 +Vx +tp17671 +a(g189 +V +tp17672 +a(g344 +V= +tp17673 +a(g189 +V +p17674 +tp17675 +a(g18 +VPyList_New +p17676 +tp17677 +a(g202 +V( +tp17678 +a(g18 +Voparg +p17679 +tp17680 +a(g202 +V) +tp17681 +a(g202 +V; +tp17682 +a(g189 +V\u000a +tp17683 +a(g189 +V +p17684 +tp17685 +a(g111 +Vif +p17686 +tp17687 +a(g189 +V +tp17688 +a(g202 +V( +tp17689 +a(g18 +Vx +tp17690 +a(g189 +V +tp17691 +a(g344 +V! +tp17692 +a(g344 +V= +tp17693 +a(g189 +V +tp17694 +a(g57 +VNULL +p17695 +tp17696 +a(g202 +V) +tp17697 +a(g189 +V +tp17698 +a(g202 +V{ +tp17699 +a(g189 +V\u000a +tp17700 +a(g189 +V +p17701 +tp17702 +a(g111 +Vfor +p17703 +tp17704 +a(g189 +V +tp17705 +a(g202 +V( +tp17706 +a(g202 +V; +tp17707 +a(g189 +V +tp17708 +a(g344 +V- +tp17709 +a(g344 +V- +tp17710 +a(g18 +Voparg +p17711 +tp17712 +a(g189 +V +tp17713 +a(g344 +V> +tp17714 +a(g344 +V= +tp17715 +a(g189 +V +tp17716 +a(g319 +V0 +tp17717 +a(g202 +V; +tp17718 +a(g202 +V) +tp17719 +a(g189 +V +tp17720 +a(g202 +V{ +tp17721 +a(g189 +V\u000a +tp17722 +a(g189 +V +p17723 +tp17724 +a(g18 +Vw +tp17725 +a(g189 +V +tp17726 +a(g344 +V= +tp17727 +a(g189 +V +tp17728 +a(g18 +VPOP +p17729 +tp17730 +a(g202 +V( +tp17731 +a(g202 +V) +tp17732 +a(g202 +V; +tp17733 +a(g189 +V\u000a +tp17734 +a(g189 +V +p17735 +tp17736 +a(g18 +VPyList_SET_ITEM +p17737 +tp17738 +a(g202 +V( +tp17739 +a(g18 +Vx +tp17740 +a(g202 +V, +tp17741 +a(g189 +V +tp17742 +a(g18 +Voparg +p17743 +tp17744 +a(g202 +V, +tp17745 +a(g189 +V +tp17746 +a(g18 +Vw +tp17747 +a(g202 +V) +tp17748 +a(g202 +V; +tp17749 +a(g189 +V\u000a +tp17750 +a(g189 +V +p17751 +tp17752 +a(g202 +V} +tp17753 +a(g189 +V\u000a +tp17754 +a(g189 +V +p17755 +tp17756 +a(g18 +VPUSH +p17757 +tp17758 +a(g202 +V( +tp17759 +a(g18 +Vx +tp17760 +a(g202 +V) +tp17761 +a(g202 +V; +tp17762 +a(g189 +V\u000a +tp17763 +a(g189 +V +p17764 +tp17765 +a(g111 +Vcontinue +p17766 +tp17767 +a(g202 +V; +tp17768 +a(g189 +V\u000a +tp17769 +a(g189 +V +p17770 +tp17771 +a(g202 +V} +tp17772 +a(g189 +V\u000a +tp17773 +a(g189 +V +p17774 +tp17775 +a(g111 +Vbreak +p17776 +tp17777 +a(g202 +V; +tp17778 +a(g189 +V\u000a +tp17779 +a(g189 +V\u000a +tp17780 +a(g189 +V +p17781 +tp17782 +a(g111 +Vcase +p17783 +tp17784 +a(g189 +V +tp17785 +a(g189 +V +tp17786 +a(g18 +VBUILD_MAP +p17787 +tp17788 +a(g189 +V: +tp17789 +a(g189 +V\u000a +tp17790 +a(g189 +V +p17791 +tp17792 +a(g18 +Vx +tp17793 +a(g189 +V +tp17794 +a(g344 +V= +tp17795 +a(g189 +V +tp17796 +a(g18 +VPyDict_New +p17797 +tp17798 +a(g202 +V( +tp17799 +a(g202 +V) +tp17800 +a(g202 +V; +tp17801 +a(g189 +V\u000a +tp17802 +a(g189 +V +p17803 +tp17804 +a(g18 +VPUSH +p17805 +tp17806 +a(g202 +V( +tp17807 +a(g18 +Vx +tp17808 +a(g202 +V) +tp17809 +a(g202 +V; +tp17810 +a(g189 +V\u000a +tp17811 +a(g189 +V +p17812 +tp17813 +a(g111 +Vif +p17814 +tp17815 +a(g189 +V +tp17816 +a(g202 +V( +tp17817 +a(g18 +Vx +tp17818 +a(g189 +V +tp17819 +a(g344 +V! +tp17820 +a(g344 +V= +tp17821 +a(g189 +V +tp17822 +a(g57 +VNULL +p17823 +tp17824 +a(g202 +V) +tp17825 +a(g189 +V +tp17826 +a(g111 +Vcontinue +p17827 +tp17828 +a(g202 +V; +tp17829 +a(g189 +V\u000a +tp17830 +a(g189 +V +p17831 +tp17832 +a(g111 +Vbreak +p17833 +tp17834 +a(g202 +V; +tp17835 +a(g189 +V\u000a +tp17836 +a(g189 +V\u000a +tp17837 +a(g189 +V +p17838 +tp17839 +a(g111 +Vcase +p17840 +tp17841 +a(g189 +V +tp17842 +a(g189 +V +tp17843 +a(g18 +VLOAD_ATTR +p17844 +tp17845 +a(g189 +V: +tp17846 +a(g189 +V\u000a +tp17847 +a(g189 +V +p17848 +tp17849 +a(g18 +Vw +tp17850 +a(g189 +V +tp17851 +a(g344 +V= +tp17852 +a(g189 +V +tp17853 +a(g18 +VGETITEM +p17854 +tp17855 +a(g202 +V( +tp17856 +a(g18 +Vnames +p17857 +tp17858 +a(g202 +V, +tp17859 +a(g189 +V +tp17860 +a(g18 +Voparg +p17861 +tp17862 +a(g202 +V) +tp17863 +a(g202 +V; +tp17864 +a(g189 +V\u000a +tp17865 +a(g189 +V +p17866 +tp17867 +a(g18 +Vv +tp17868 +a(g189 +V +tp17869 +a(g344 +V= +tp17870 +a(g189 +V +tp17871 +a(g18 +VTOP +p17872 +tp17873 +a(g202 +V( +tp17874 +a(g202 +V) +tp17875 +a(g202 +V; +tp17876 +a(g189 +V\u000a +tp17877 +a(g189 +V +p17878 +tp17879 +a(g18 +Vx +tp17880 +a(g189 +V +tp17881 +a(g344 +V= +tp17882 +a(g189 +V +tp17883 +a(g18 +VPyObject_GetAttr +p17884 +tp17885 +a(g202 +V( +tp17886 +a(g18 +Vv +tp17887 +a(g202 +V, +tp17888 +a(g189 +V +tp17889 +a(g18 +Vw +tp17890 +a(g202 +V) +tp17891 +a(g202 +V; +tp17892 +a(g189 +V\u000a +tp17893 +a(g189 +V +p17894 +tp17895 +a(g18 +VPy_DECREF +p17896 +tp17897 +a(g202 +V( +tp17898 +a(g18 +Vv +tp17899 +a(g202 +V) +tp17900 +a(g202 +V; +tp17901 +a(g189 +V\u000a +tp17902 +a(g189 +V +p17903 +tp17904 +a(g18 +VSET_TOP +p17905 +tp17906 +a(g202 +V( +tp17907 +a(g18 +Vx +tp17908 +a(g202 +V) +tp17909 +a(g202 +V; +tp17910 +a(g189 +V\u000a +tp17911 +a(g189 +V +p17912 +tp17913 +a(g111 +Vif +p17914 +tp17915 +a(g189 +V +tp17916 +a(g202 +V( +tp17917 +a(g18 +Vx +tp17918 +a(g189 +V +tp17919 +a(g344 +V! +tp17920 +a(g344 +V= +tp17921 +a(g189 +V +tp17922 +a(g57 +VNULL +p17923 +tp17924 +a(g202 +V) +tp17925 +a(g189 +V +tp17926 +a(g111 +Vcontinue +p17927 +tp17928 +a(g202 +V; +tp17929 +a(g189 +V\u000a +tp17930 +a(g189 +V +p17931 +tp17932 +a(g111 +Vbreak +p17933 +tp17934 +a(g202 +V; +tp17935 +a(g189 +V\u000a +tp17936 +a(g189 +V\u000a +tp17937 +a(g189 +V +p17938 +tp17939 +a(g111 +Vcase +p17940 +tp17941 +a(g189 +V +tp17942 +a(g189 +V +tp17943 +a(g18 +VCOMPARE_OP +p17944 +tp17945 +a(g189 +V: +tp17946 +a(g189 +V\u000a +tp17947 +a(g189 +V +p17948 +tp17949 +a(g18 +Vw +tp17950 +a(g189 +V +tp17951 +a(g344 +V= +tp17952 +a(g189 +V +tp17953 +a(g18 +VPOP +p17954 +tp17955 +a(g202 +V( +tp17956 +a(g202 +V) +tp17957 +a(g202 +V; +tp17958 +a(g189 +V\u000a +tp17959 +a(g189 +V +p17960 +tp17961 +a(g18 +Vv +tp17962 +a(g189 +V +tp17963 +a(g344 +V= +tp17964 +a(g189 +V +tp17965 +a(g18 +VTOP +p17966 +tp17967 +a(g202 +V( +tp17968 +a(g202 +V) +tp17969 +a(g202 +V; +tp17970 +a(g189 +V\u000a +tp17971 +a(g189 +V +p17972 +tp17973 +a(g111 +Vif +p17974 +tp17975 +a(g189 +V +tp17976 +a(g202 +V( +tp17977 +a(g18 +VPyInt_CheckExact +p17978 +tp17979 +a(g202 +V( +tp17980 +a(g18 +Vw +tp17981 +a(g202 +V) +tp17982 +a(g189 +V +tp17983 +a(g344 +V& +tp17984 +a(g344 +V& +tp17985 +a(g189 +V +tp17986 +a(g18 +VPyInt_CheckExact +p17987 +tp17988 +a(g202 +V( +tp17989 +a(g18 +Vv +tp17990 +a(g202 +V) +tp17991 +a(g202 +V) +tp17992 +a(g189 +V +tp17993 +a(g202 +V{ +tp17994 +a(g189 +V\u000a +tp17995 +a(g189 +V +p17996 +tp17997 +a(g7 +V/* INLINE: cmp(int, int) */ +p17998 +tp17999 +a(g189 +V\u000a +tp18000 +a(g189 +V +p18001 +tp18002 +a(g111 +Vregister +p18003 +tp18004 +a(g189 +V +tp18005 +a(g139 +Vlong +p18006 +tp18007 +a(g189 +V +tp18008 +a(g18 +Va +tp18009 +a(g202 +V, +tp18010 +a(g189 +V +tp18011 +a(g18 +Vb +tp18012 +a(g202 +V; +tp18013 +a(g189 +V\u000a +tp18014 +a(g189 +V +p18015 +tp18016 +a(g111 +Vregister +p18017 +tp18018 +a(g189 +V +tp18019 +a(g139 +Vint +p18020 +tp18021 +a(g189 +V +tp18022 +a(g18 +Vres +p18023 +tp18024 +a(g202 +V; +tp18025 +a(g189 +V\u000a +tp18026 +a(g189 +V +p18027 +tp18028 +a(g18 +Va +tp18029 +a(g189 +V +tp18030 +a(g344 +V= +tp18031 +a(g189 +V +tp18032 +a(g18 +VPyInt_AS_LONG +p18033 +tp18034 +a(g202 +V( +tp18035 +a(g18 +Vv +tp18036 +a(g202 +V) +tp18037 +a(g202 +V; +tp18038 +a(g189 +V\u000a +tp18039 +a(g189 +V +p18040 +tp18041 +a(g18 +Vb +tp18042 +a(g189 +V +tp18043 +a(g344 +V= +tp18044 +a(g189 +V +tp18045 +a(g18 +VPyInt_AS_LONG +p18046 +tp18047 +a(g202 +V( +tp18048 +a(g18 +Vw +tp18049 +a(g202 +V) +tp18050 +a(g202 +V; +tp18051 +a(g189 +V\u000a +tp18052 +a(g189 +V +p18053 +tp18054 +a(g111 +Vswitch +p18055 +tp18056 +a(g189 +V +tp18057 +a(g202 +V( +tp18058 +a(g18 +Voparg +p18059 +tp18060 +a(g202 +V) +tp18061 +a(g189 +V +tp18062 +a(g202 +V{ +tp18063 +a(g189 +V\u000a +tp18064 +a(g189 +V +p18065 +tp18066 +a(g111 +Vcase +p18067 +tp18068 +a(g189 +V +tp18069 +a(g189 +V +tp18070 +a(g18 +VPyCmp_LT +p18071 +tp18072 +a(g189 +V: +tp18073 +a(g189 +V +tp18074 +a(g18 +Vres +p18075 +tp18076 +a(g189 +V +tp18077 +a(g344 +V= +tp18078 +a(g189 +V +tp18079 +a(g18 +Va +tp18080 +a(g189 +V +tp18081 +a(g344 +V< +tp18082 +a(g189 +V +p18083 +tp18084 +a(g18 +Vb +tp18085 +a(g202 +V; +tp18086 +a(g189 +V +tp18087 +a(g111 +Vbreak +p18088 +tp18089 +a(g202 +V; +tp18090 +a(g189 +V\u000a +tp18091 +a(g189 +V +p18092 +tp18093 +a(g111 +Vcase +p18094 +tp18095 +a(g189 +V +tp18096 +a(g189 +V +tp18097 +a(g18 +VPyCmp_LE +p18098 +tp18099 +a(g189 +V: +tp18100 +a(g189 +V +tp18101 +a(g18 +Vres +p18102 +tp18103 +a(g189 +V +tp18104 +a(g344 +V= +tp18105 +a(g189 +V +tp18106 +a(g18 +Va +tp18107 +a(g189 +V +tp18108 +a(g344 +V< +tp18109 +a(g344 +V= +tp18110 +a(g189 +V +tp18111 +a(g18 +Vb +tp18112 +a(g202 +V; +tp18113 +a(g189 +V +tp18114 +a(g111 +Vbreak +p18115 +tp18116 +a(g202 +V; +tp18117 +a(g189 +V\u000a +tp18118 +a(g189 +V +p18119 +tp18120 +a(g111 +Vcase +p18121 +tp18122 +a(g189 +V +tp18123 +a(g189 +V +tp18124 +a(g18 +VPyCmp_EQ +p18125 +tp18126 +a(g189 +V: +tp18127 +a(g189 +V +tp18128 +a(g18 +Vres +p18129 +tp18130 +a(g189 +V +tp18131 +a(g344 +V= +tp18132 +a(g189 +V +tp18133 +a(g18 +Va +tp18134 +a(g189 +V +tp18135 +a(g344 +V= +tp18136 +a(g344 +V= +tp18137 +a(g189 +V +tp18138 +a(g18 +Vb +tp18139 +a(g202 +V; +tp18140 +a(g189 +V +tp18141 +a(g111 +Vbreak +p18142 +tp18143 +a(g202 +V; +tp18144 +a(g189 +V\u000a +tp18145 +a(g189 +V +p18146 +tp18147 +a(g111 +Vcase +p18148 +tp18149 +a(g189 +V +tp18150 +a(g189 +V +tp18151 +a(g18 +VPyCmp_NE +p18152 +tp18153 +a(g189 +V: +tp18154 +a(g189 +V +tp18155 +a(g18 +Vres +p18156 +tp18157 +a(g189 +V +tp18158 +a(g344 +V= +tp18159 +a(g189 +V +tp18160 +a(g18 +Va +tp18161 +a(g189 +V +tp18162 +a(g344 +V! +tp18163 +a(g344 +V= +tp18164 +a(g189 +V +tp18165 +a(g18 +Vb +tp18166 +a(g202 +V; +tp18167 +a(g189 +V +tp18168 +a(g111 +Vbreak +p18169 +tp18170 +a(g202 +V; +tp18171 +a(g189 +V\u000a +tp18172 +a(g189 +V +p18173 +tp18174 +a(g111 +Vcase +p18175 +tp18176 +a(g189 +V +tp18177 +a(g189 +V +tp18178 +a(g18 +VPyCmp_GT +p18179 +tp18180 +a(g189 +V: +tp18181 +a(g189 +V +tp18182 +a(g18 +Vres +p18183 +tp18184 +a(g189 +V +tp18185 +a(g344 +V= +tp18186 +a(g189 +V +tp18187 +a(g18 +Va +tp18188 +a(g189 +V +tp18189 +a(g344 +V> +tp18190 +a(g189 +V +p18191 +tp18192 +a(g18 +Vb +tp18193 +a(g202 +V; +tp18194 +a(g189 +V +tp18195 +a(g111 +Vbreak +p18196 +tp18197 +a(g202 +V; +tp18198 +a(g189 +V\u000a +tp18199 +a(g189 +V +p18200 +tp18201 +a(g111 +Vcase +p18202 +tp18203 +a(g189 +V +tp18204 +a(g189 +V +tp18205 +a(g18 +VPyCmp_GE +p18206 +tp18207 +a(g189 +V: +tp18208 +a(g189 +V +tp18209 +a(g18 +Vres +p18210 +tp18211 +a(g189 +V +tp18212 +a(g344 +V= +tp18213 +a(g189 +V +tp18214 +a(g18 +Va +tp18215 +a(g189 +V +tp18216 +a(g344 +V> +tp18217 +a(g344 +V= +tp18218 +a(g189 +V +tp18219 +a(g18 +Vb +tp18220 +a(g202 +V; +tp18221 +a(g189 +V +tp18222 +a(g111 +Vbreak +p18223 +tp18224 +a(g202 +V; +tp18225 +a(g189 +V\u000a +tp18226 +a(g189 +V +p18227 +tp18228 +a(g111 +Vcase +p18229 +tp18230 +a(g189 +V +tp18231 +a(g189 +V +tp18232 +a(g18 +VPyCmp_IS +p18233 +tp18234 +a(g189 +V: +tp18235 +a(g189 +V +tp18236 +a(g18 +Vres +p18237 +tp18238 +a(g189 +V +tp18239 +a(g344 +V= +tp18240 +a(g189 +V +tp18241 +a(g18 +Vv +tp18242 +a(g189 +V +tp18243 +a(g344 +V= +tp18244 +a(g344 +V= +tp18245 +a(g189 +V +tp18246 +a(g18 +Vw +tp18247 +a(g202 +V; +tp18248 +a(g189 +V +tp18249 +a(g111 +Vbreak +p18250 +tp18251 +a(g202 +V; +tp18252 +a(g189 +V\u000a +tp18253 +a(g189 +V +p18254 +tp18255 +a(g111 +Vcase +p18256 +tp18257 +a(g189 +V +tp18258 +a(g189 +V +tp18259 +a(g18 +VPyCmp_IS_NOT +p18260 +tp18261 +a(g189 +V: +tp18262 +a(g189 +V +tp18263 +a(g18 +Vres +p18264 +tp18265 +a(g189 +V +tp18266 +a(g344 +V= +tp18267 +a(g189 +V +tp18268 +a(g18 +Vv +tp18269 +a(g189 +V +tp18270 +a(g344 +V! +tp18271 +a(g344 +V= +tp18272 +a(g189 +V +tp18273 +a(g18 +Vw +tp18274 +a(g202 +V; +tp18275 +a(g189 +V +tp18276 +a(g111 +Vbreak +p18277 +tp18278 +a(g202 +V; +tp18279 +a(g189 +V\u000a +tp18280 +a(g189 +V +p18281 +tp18282 +a(g45 +Vdefault: +p18283 +tp18284 +a(g189 +V +tp18285 +a(g111 +Vgoto +p18286 +tp18287 +a(g189 +V +tp18288 +a(g18 +Vslow_compare +p18289 +tp18290 +a(g202 +V; +tp18291 +a(g189 +V\u000a +tp18292 +a(g189 +V +p18293 +tp18294 +a(g202 +V} +tp18295 +a(g189 +V\u000a +tp18296 +a(g189 +V +p18297 +tp18298 +a(g18 +Vx +tp18299 +a(g189 +V +tp18300 +a(g344 +V= +tp18301 +a(g189 +V +tp18302 +a(g18 +Vres +p18303 +tp18304 +a(g189 +V +tp18305 +a(g344 +V? +tp18306 +a(g189 +V +tp18307 +a(g18 +VPy_True +p18308 +tp18309 +a(g189 +V +tp18310 +a(g344 +V: +tp18311 +a(g189 +V +tp18312 +a(g18 +VPy_False +p18313 +tp18314 +a(g202 +V; +tp18315 +a(g189 +V\u000a +tp18316 +a(g189 +V +p18317 +tp18318 +a(g18 +VPy_INCREF +p18319 +tp18320 +a(g202 +V( +tp18321 +a(g18 +Vx +tp18322 +a(g202 +V) +tp18323 +a(g202 +V; +tp18324 +a(g189 +V\u000a +tp18325 +a(g189 +V +p18326 +tp18327 +a(g202 +V} +tp18328 +a(g189 +V\u000a +tp18329 +a(g189 +V +p18330 +tp18331 +a(g111 +Velse +p18332 +tp18333 +a(g189 +V +tp18334 +a(g202 +V{ +tp18335 +a(g189 +V\u000a +tp18336 +a(g189 +V +p18337 +tp18338 +a(g45 +Vslow_compare: +p18339 +tp18340 +a(g189 +V\u000a +tp18341 +a(g189 +V +p18342 +tp18343 +a(g18 +Vx +tp18344 +a(g189 +V +tp18345 +a(g344 +V= +tp18346 +a(g189 +V +tp18347 +a(g18 +Vcmp_outcome +p18348 +tp18349 +a(g202 +V( +tp18350 +a(g18 +Voparg +p18351 +tp18352 +a(g202 +V, +tp18353 +a(g189 +V +tp18354 +a(g18 +Vv +tp18355 +a(g202 +V, +tp18356 +a(g189 +V +tp18357 +a(g18 +Vw +tp18358 +a(g202 +V) +tp18359 +a(g202 +V; +tp18360 +a(g189 +V\u000a +tp18361 +a(g189 +V +p18362 +tp18363 +a(g202 +V} +tp18364 +a(g189 +V\u000a +tp18365 +a(g189 +V +p18366 +tp18367 +a(g18 +VPy_DECREF +p18368 +tp18369 +a(g202 +V( +tp18370 +a(g18 +Vv +tp18371 +a(g202 +V) +tp18372 +a(g202 +V; +tp18373 +a(g189 +V\u000a +tp18374 +a(g189 +V +p18375 +tp18376 +a(g18 +VPy_DECREF +p18377 +tp18378 +a(g202 +V( +tp18379 +a(g18 +Vw +tp18380 +a(g202 +V) +tp18381 +a(g202 +V; +tp18382 +a(g189 +V\u000a +tp18383 +a(g189 +V +p18384 +tp18385 +a(g18 +VSET_TOP +p18386 +tp18387 +a(g202 +V( +tp18388 +a(g18 +Vx +tp18389 +a(g202 +V) +tp18390 +a(g202 +V; +tp18391 +a(g189 +V\u000a +tp18392 +a(g189 +V +p18393 +tp18394 +a(g111 +Vif +p18395 +tp18396 +a(g189 +V +tp18397 +a(g202 +V( +tp18398 +a(g18 +Vx +tp18399 +a(g189 +V +tp18400 +a(g344 +V= +tp18401 +a(g344 +V= +tp18402 +a(g189 +V +tp18403 +a(g57 +VNULL +p18404 +tp18405 +a(g202 +V) +tp18406 +a(g189 +V +tp18407 +a(g111 +Vbreak +p18408 +tp18409 +a(g202 +V; +tp18410 +a(g189 +V\u000a +tp18411 +a(g189 +V +p18412 +tp18413 +a(g18 +VPREDICT +p18414 +tp18415 +a(g202 +V( +tp18416 +a(g18 +VJUMP_IF_FALSE +p18417 +tp18418 +a(g202 +V) +tp18419 +a(g202 +V; +tp18420 +a(g189 +V\u000a +tp18421 +a(g189 +V +p18422 +tp18423 +a(g18 +VPREDICT +p18424 +tp18425 +a(g202 +V( +tp18426 +a(g18 +VJUMP_IF_TRUE +p18427 +tp18428 +a(g202 +V) +tp18429 +a(g202 +V; +tp18430 +a(g189 +V\u000a +tp18431 +a(g189 +V +p18432 +tp18433 +a(g111 +Vcontinue +p18434 +tp18435 +a(g202 +V; +tp18436 +a(g189 +V\u000a +tp18437 +a(g189 +V\u000a +tp18438 +a(g189 +V +p18439 +tp18440 +a(g111 +Vcase +p18441 +tp18442 +a(g189 +V +tp18443 +a(g189 +V +tp18444 +a(g18 +VIMPORT_NAME +p18445 +tp18446 +a(g189 +V: +tp18447 +a(g189 +V\u000a +tp18448 +a(g189 +V +p18449 +tp18450 +a(g18 +Vw +tp18451 +a(g189 +V +tp18452 +a(g344 +V= +tp18453 +a(g189 +V +tp18454 +a(g18 +VGETITEM +p18455 +tp18456 +a(g202 +V( +tp18457 +a(g18 +Vnames +p18458 +tp18459 +a(g202 +V, +tp18460 +a(g189 +V +tp18461 +a(g18 +Voparg +p18462 +tp18463 +a(g202 +V) +tp18464 +a(g202 +V; +tp18465 +a(g189 +V\u000a +tp18466 +a(g189 +V +p18467 +tp18468 +a(g18 +Vx +tp18469 +a(g189 +V +tp18470 +a(g344 +V= +tp18471 +a(g189 +V +tp18472 +a(g18 +VPyDict_GetItemString +p18473 +tp18474 +a(g202 +V( +tp18475 +a(g18 +Vf +tp18476 +a(g344 +V- +tp18477 +a(g344 +V> +tp18478 +a(g18 +Vf_builtins +p18479 +tp18480 +a(g202 +V, +tp18481 +a(g189 +V +tp18482 +a(g226 +V" +tp18483 +a(g226 +V__import__ +p18484 +tp18485 +a(g226 +V" +tp18486 +a(g202 +V) +tp18487 +a(g202 +V; +tp18488 +a(g189 +V\u000a +tp18489 +a(g189 +V +p18490 +tp18491 +a(g111 +Vif +p18492 +tp18493 +a(g189 +V +tp18494 +a(g202 +V( +tp18495 +a(g18 +Vx +tp18496 +a(g189 +V +tp18497 +a(g344 +V= +tp18498 +a(g344 +V= +tp18499 +a(g189 +V +tp18500 +a(g57 +VNULL +p18501 +tp18502 +a(g202 +V) +tp18503 +a(g189 +V +tp18504 +a(g202 +V{ +tp18505 +a(g189 +V\u000a +tp18506 +a(g189 +V +p18507 +tp18508 +a(g18 +VPyErr_SetString +p18509 +tp18510 +a(g202 +V( +tp18511 +a(g18 +VPyExc_ImportError +p18512 +tp18513 +a(g202 +V, +tp18514 +a(g189 +V\u000a +tp18515 +a(g189 +V +p18516 +tp18517 +a(g226 +V" +tp18518 +a(g226 +V__import__ not found +p18519 +tp18520 +a(g226 +V" +tp18521 +a(g202 +V) +tp18522 +a(g202 +V; +tp18523 +a(g189 +V\u000a +tp18524 +a(g189 +V +p18525 +tp18526 +a(g111 +Vbreak +p18527 +tp18528 +a(g202 +V; +tp18529 +a(g189 +V\u000a +tp18530 +a(g189 +V +p18531 +tp18532 +a(g202 +V} +tp18533 +a(g189 +V\u000a +tp18534 +a(g189 +V +p18535 +tp18536 +a(g18 +Vv +tp18537 +a(g189 +V +tp18538 +a(g344 +V= +tp18539 +a(g189 +V +tp18540 +a(g18 +VPOP +p18541 +tp18542 +a(g202 +V( +tp18543 +a(g202 +V) +tp18544 +a(g202 +V; +tp18545 +a(g189 +V\u000a +tp18546 +a(g189 +V +p18547 +tp18548 +a(g18 +Vu +tp18549 +a(g189 +V +tp18550 +a(g344 +V= +tp18551 +a(g189 +V +tp18552 +a(g18 +VTOP +p18553 +tp18554 +a(g202 +V( +tp18555 +a(g202 +V) +tp18556 +a(g202 +V; +tp18557 +a(g189 +V\u000a +tp18558 +a(g189 +V +p18559 +tp18560 +a(g111 +Vif +p18561 +tp18562 +a(g189 +V +tp18563 +a(g202 +V( +tp18564 +a(g18 +VPyInt_AsLong +p18565 +tp18566 +a(g202 +V( +tp18567 +a(g18 +Vu +tp18568 +a(g202 +V) +tp18569 +a(g189 +V +tp18570 +a(g344 +V! +tp18571 +a(g344 +V= +tp18572 +a(g189 +V +tp18573 +a(g344 +V- +tp18574 +a(g319 +V1 +tp18575 +a(g189 +V +tp18576 +a(g344 +V| +tp18577 +a(g344 +V| +tp18578 +a(g189 +V +tp18579 +a(g18 +VPyErr_Occurred +p18580 +tp18581 +a(g202 +V( +tp18582 +a(g202 +V) +tp18583 +a(g202 +V) +tp18584 +a(g189 +V\u000a +tp18585 +a(g189 +V +p18586 +tp18587 +a(g18 +Vw +tp18588 +a(g189 +V +tp18589 +a(g344 +V= +tp18590 +a(g189 +V +tp18591 +a(g18 +VPyTuple_Pack +p18592 +tp18593 +a(g202 +V( +tp18594 +a(g319 +V5 +tp18595 +a(g202 +V, +tp18596 +a(g189 +V\u000a +tp18597 +a(g189 +V +p18598 +tp18599 +a(g18 +Vw +tp18600 +a(g202 +V, +tp18601 +a(g189 +V\u000a +tp18602 +a(g189 +V +p18603 +tp18604 +a(g18 +Vf +tp18605 +a(g344 +V- +tp18606 +a(g344 +V> +tp18607 +a(g18 +Vf_globals +p18608 +tp18609 +a(g202 +V, +tp18610 +a(g189 +V\u000a +tp18611 +a(g189 +V +p18612 +tp18613 +a(g18 +Vf +tp18614 +a(g344 +V- +tp18615 +a(g344 +V> +tp18616 +a(g18 +Vf_locals +p18617 +tp18618 +a(g189 +V +tp18619 +a(g344 +V= +tp18620 +a(g344 +V= +tp18621 +a(g189 +V +tp18622 +a(g57 +VNULL +p18623 +tp18624 +a(g189 +V +tp18625 +a(g344 +V? +tp18626 +a(g189 +V\u000a +tp18627 +a(g189 +V +p18628 +tp18629 +a(g18 +VPy_None +p18630 +tp18631 +a(g189 +V +tp18632 +a(g344 +V: +tp18633 +a(g189 +V +tp18634 +a(g18 +Vf +tp18635 +a(g344 +V- +tp18636 +a(g344 +V> +tp18637 +a(g18 +Vf_locals +p18638 +tp18639 +a(g202 +V, +tp18640 +a(g189 +V\u000a +tp18641 +a(g189 +V +p18642 +tp18643 +a(g18 +Vv +tp18644 +a(g202 +V, +tp18645 +a(g189 +V\u000a +tp18646 +a(g189 +V +p18647 +tp18648 +a(g18 +Vu +tp18649 +a(g202 +V) +tp18650 +a(g202 +V; +tp18651 +a(g189 +V\u000a +tp18652 +a(g189 +V +p18653 +tp18654 +a(g111 +Velse +p18655 +tp18656 +a(g189 +V\u000a +tp18657 +a(g189 +V +p18658 +tp18659 +a(g18 +Vw +tp18660 +a(g189 +V +tp18661 +a(g344 +V= +tp18662 +a(g189 +V +tp18663 +a(g18 +VPyTuple_Pack +p18664 +tp18665 +a(g202 +V( +tp18666 +a(g319 +V4 +tp18667 +a(g202 +V, +tp18668 +a(g189 +V\u000a +tp18669 +a(g189 +V +p18670 +tp18671 +a(g18 +Vw +tp18672 +a(g202 +V, +tp18673 +a(g189 +V\u000a +tp18674 +a(g189 +V +p18675 +tp18676 +a(g18 +Vf +tp18677 +a(g344 +V- +tp18678 +a(g344 +V> +tp18679 +a(g18 +Vf_globals +p18680 +tp18681 +a(g202 +V, +tp18682 +a(g189 +V\u000a +tp18683 +a(g189 +V +p18684 +tp18685 +a(g18 +Vf +tp18686 +a(g344 +V- +tp18687 +a(g344 +V> +tp18688 +a(g18 +Vf_locals +p18689 +tp18690 +a(g189 +V +tp18691 +a(g344 +V= +tp18692 +a(g344 +V= +tp18693 +a(g189 +V +tp18694 +a(g57 +VNULL +p18695 +tp18696 +a(g189 +V +tp18697 +a(g344 +V? +tp18698 +a(g189 +V\u000a +tp18699 +a(g189 +V +p18700 +tp18701 +a(g18 +VPy_None +p18702 +tp18703 +a(g189 +V +tp18704 +a(g344 +V: +tp18705 +a(g189 +V +tp18706 +a(g18 +Vf +tp18707 +a(g344 +V- +tp18708 +a(g344 +V> +tp18709 +a(g18 +Vf_locals +p18710 +tp18711 +a(g202 +V, +tp18712 +a(g189 +V\u000a +tp18713 +a(g189 +V +p18714 +tp18715 +a(g18 +Vv +tp18716 +a(g202 +V) +tp18717 +a(g202 +V; +tp18718 +a(g189 +V\u000a +tp18719 +a(g189 +V +p18720 +tp18721 +a(g18 +VPy_DECREF +p18722 +tp18723 +a(g202 +V( +tp18724 +a(g18 +Vv +tp18725 +a(g202 +V) +tp18726 +a(g202 +V; +tp18727 +a(g189 +V\u000a +tp18728 +a(g189 +V +p18729 +tp18730 +a(g18 +VPy_DECREF +p18731 +tp18732 +a(g202 +V( +tp18733 +a(g18 +Vu +tp18734 +a(g202 +V) +tp18735 +a(g202 +V; +tp18736 +a(g189 +V\u000a +tp18737 +a(g189 +V +p18738 +tp18739 +a(g111 +Vif +p18740 +tp18741 +a(g189 +V +tp18742 +a(g202 +V( +tp18743 +a(g18 +Vw +tp18744 +a(g189 +V +tp18745 +a(g344 +V= +tp18746 +a(g344 +V= +tp18747 +a(g189 +V +tp18748 +a(g57 +VNULL +p18749 +tp18750 +a(g202 +V) +tp18751 +a(g189 +V +tp18752 +a(g202 +V{ +tp18753 +a(g189 +V\u000a +tp18754 +a(g189 +V +p18755 +tp18756 +a(g18 +Vu +tp18757 +a(g189 +V +tp18758 +a(g344 +V= +tp18759 +a(g189 +V +tp18760 +a(g18 +VPOP +p18761 +tp18762 +a(g202 +V( +tp18763 +a(g202 +V) +tp18764 +a(g202 +V; +tp18765 +a(g189 +V\u000a +tp18766 +a(g189 +V +p18767 +tp18768 +a(g18 +Vx +tp18769 +a(g189 +V +tp18770 +a(g344 +V= +tp18771 +a(g189 +V +tp18772 +a(g57 +VNULL +p18773 +tp18774 +a(g202 +V; +tp18775 +a(g189 +V\u000a +tp18776 +a(g189 +V +p18777 +tp18778 +a(g111 +Vbreak +p18779 +tp18780 +a(g202 +V; +tp18781 +a(g189 +V\u000a +tp18782 +a(g189 +V +p18783 +tp18784 +a(g202 +V} +tp18785 +a(g189 +V\u000a +tp18786 +a(g189 +V +p18787 +tp18788 +a(g18 +VREAD_TIMESTAMP +p18789 +tp18790 +a(g202 +V( +tp18791 +a(g18 +Vintr0 +p18792 +tp18793 +a(g202 +V) +tp18794 +a(g202 +V; +tp18795 +a(g189 +V\u000a +tp18796 +a(g189 +V +p18797 +tp18798 +a(g18 +Vx +tp18799 +a(g189 +V +tp18800 +a(g344 +V= +tp18801 +a(g189 +V +tp18802 +a(g18 +VPyEval_CallObject +p18803 +tp18804 +a(g202 +V( +tp18805 +a(g18 +Vx +tp18806 +a(g202 +V, +tp18807 +a(g189 +V +tp18808 +a(g18 +Vw +tp18809 +a(g202 +V) +tp18810 +a(g202 +V; +tp18811 +a(g189 +V\u000a +tp18812 +a(g189 +V +p18813 +tp18814 +a(g18 +VREAD_TIMESTAMP +p18815 +tp18816 +a(g202 +V( +tp18817 +a(g18 +Vintr1 +p18818 +tp18819 +a(g202 +V) +tp18820 +a(g202 +V; +tp18821 +a(g189 +V\u000a +tp18822 +a(g189 +V +p18823 +tp18824 +a(g18 +VPy_DECREF +p18825 +tp18826 +a(g202 +V( +tp18827 +a(g18 +Vw +tp18828 +a(g202 +V) +tp18829 +a(g202 +V; +tp18830 +a(g189 +V\u000a +tp18831 +a(g189 +V +p18832 +tp18833 +a(g18 +VSET_TOP +p18834 +tp18835 +a(g202 +V( +tp18836 +a(g18 +Vx +tp18837 +a(g202 +V) +tp18838 +a(g202 +V; +tp18839 +a(g189 +V\u000a +tp18840 +a(g189 +V +p18841 +tp18842 +a(g111 +Vif +p18843 +tp18844 +a(g189 +V +tp18845 +a(g202 +V( +tp18846 +a(g18 +Vx +tp18847 +a(g189 +V +tp18848 +a(g344 +V! +tp18849 +a(g344 +V= +tp18850 +a(g189 +V +tp18851 +a(g57 +VNULL +p18852 +tp18853 +a(g202 +V) +tp18854 +a(g189 +V +tp18855 +a(g111 +Vcontinue +p18856 +tp18857 +a(g202 +V; +tp18858 +a(g189 +V\u000a +tp18859 +a(g189 +V +p18860 +tp18861 +a(g111 +Vbreak +p18862 +tp18863 +a(g202 +V; +tp18864 +a(g189 +V\u000a +tp18865 +a(g189 +V\u000a +tp18866 +a(g189 +V +p18867 +tp18868 +a(g111 +Vcase +p18869 +tp18870 +a(g189 +V +tp18871 +a(g189 +V +tp18872 +a(g18 +VIMPORT_STAR +p18873 +tp18874 +a(g189 +V: +tp18875 +a(g189 +V\u000a +tp18876 +a(g189 +V +p18877 +tp18878 +a(g18 +Vv +tp18879 +a(g189 +V +tp18880 +a(g344 +V= +tp18881 +a(g189 +V +tp18882 +a(g18 +VPOP +p18883 +tp18884 +a(g202 +V( +tp18885 +a(g202 +V) +tp18886 +a(g202 +V; +tp18887 +a(g189 +V\u000a +tp18888 +a(g189 +V +p18889 +tp18890 +a(g18 +VPyFrame_FastToLocals +p18891 +tp18892 +a(g202 +V( +tp18893 +a(g18 +Vf +tp18894 +a(g202 +V) +tp18895 +a(g202 +V; +tp18896 +a(g189 +V\u000a +tp18897 +a(g189 +V +p18898 +tp18899 +a(g111 +Vif +p18900 +tp18901 +a(g189 +V +tp18902 +a(g202 +V( +tp18903 +a(g202 +V( +tp18904 +a(g18 +Vx +tp18905 +a(g189 +V +tp18906 +a(g344 +V= +tp18907 +a(g189 +V +tp18908 +a(g18 +Vf +tp18909 +a(g344 +V- +tp18910 +a(g344 +V> +tp18911 +a(g18 +Vf_locals +p18912 +tp18913 +a(g202 +V) +tp18914 +a(g189 +V +tp18915 +a(g344 +V= +tp18916 +a(g344 +V= +tp18917 +a(g189 +V +tp18918 +a(g57 +VNULL +p18919 +tp18920 +a(g202 +V) +tp18921 +a(g189 +V +tp18922 +a(g202 +V{ +tp18923 +a(g189 +V\u000a +tp18924 +a(g189 +V +p18925 +tp18926 +a(g18 +VPyErr_SetString +p18927 +tp18928 +a(g202 +V( +tp18929 +a(g18 +VPyExc_SystemError +p18930 +tp18931 +a(g202 +V, +tp18932 +a(g189 +V\u000a +tp18933 +a(g189 +V +p18934 +tp18935 +a(g226 +V" +tp18936 +a(g226 +Vno locals found during 'import *' +p18937 +tp18938 +a(g226 +V" +tp18939 +a(g202 +V) +tp18940 +a(g202 +V; +tp18941 +a(g189 +V\u000a +tp18942 +a(g189 +V +p18943 +tp18944 +a(g111 +Vbreak +p18945 +tp18946 +a(g202 +V; +tp18947 +a(g189 +V\u000a +tp18948 +a(g189 +V +p18949 +tp18950 +a(g202 +V} +tp18951 +a(g189 +V\u000a +tp18952 +a(g189 +V +p18953 +tp18954 +a(g18 +VREAD_TIMESTAMP +p18955 +tp18956 +a(g202 +V( +tp18957 +a(g18 +Vintr0 +p18958 +tp18959 +a(g202 +V) +tp18960 +a(g202 +V; +tp18961 +a(g189 +V\u000a +tp18962 +a(g189 +V +p18963 +tp18964 +a(g18 +Verr +p18965 +tp18966 +a(g189 +V +tp18967 +a(g344 +V= +tp18968 +a(g189 +V +tp18969 +a(g18 +Vimport_all_from +p18970 +tp18971 +a(g202 +V( +tp18972 +a(g18 +Vx +tp18973 +a(g202 +V, +tp18974 +a(g189 +V +tp18975 +a(g18 +Vv +tp18976 +a(g202 +V) +tp18977 +a(g202 +V; +tp18978 +a(g189 +V\u000a +tp18979 +a(g189 +V +p18980 +tp18981 +a(g18 +VREAD_TIMESTAMP +p18982 +tp18983 +a(g202 +V( +tp18984 +a(g18 +Vintr1 +p18985 +tp18986 +a(g202 +V) +tp18987 +a(g202 +V; +tp18988 +a(g189 +V\u000a +tp18989 +a(g189 +V +p18990 +tp18991 +a(g18 +VPyFrame_LocalsToFast +p18992 +tp18993 +a(g202 +V( +tp18994 +a(g18 +Vf +tp18995 +a(g202 +V, +tp18996 +a(g189 +V +tp18997 +a(g319 +V0 +tp18998 +a(g202 +V) +tp18999 +a(g202 +V; +tp19000 +a(g189 +V\u000a +tp19001 +a(g189 +V +p19002 +tp19003 +a(g18 +VPy_DECREF +p19004 +tp19005 +a(g202 +V( +tp19006 +a(g18 +Vv +tp19007 +a(g202 +V) +tp19008 +a(g202 +V; +tp19009 +a(g189 +V\u000a +tp19010 +a(g189 +V +p19011 +tp19012 +a(g111 +Vif +p19013 +tp19014 +a(g189 +V +tp19015 +a(g202 +V( +tp19016 +a(g18 +Verr +p19017 +tp19018 +a(g189 +V +tp19019 +a(g344 +V= +tp19020 +a(g344 +V= +tp19021 +a(g189 +V +tp19022 +a(g319 +V0 +tp19023 +a(g202 +V) +tp19024 +a(g189 +V +tp19025 +a(g111 +Vcontinue +p19026 +tp19027 +a(g202 +V; +tp19028 +a(g189 +V\u000a +tp19029 +a(g189 +V +p19030 +tp19031 +a(g111 +Vbreak +p19032 +tp19033 +a(g202 +V; +tp19034 +a(g189 +V\u000a +tp19035 +a(g189 +V\u000a +tp19036 +a(g189 +V +p19037 +tp19038 +a(g111 +Vcase +p19039 +tp19040 +a(g189 +V +tp19041 +a(g189 +V +tp19042 +a(g18 +VIMPORT_FROM +p19043 +tp19044 +a(g189 +V: +tp19045 +a(g189 +V\u000a +tp19046 +a(g189 +V +p19047 +tp19048 +a(g18 +Vw +tp19049 +a(g189 +V +tp19050 +a(g344 +V= +tp19051 +a(g189 +V +tp19052 +a(g18 +VGETITEM +p19053 +tp19054 +a(g202 +V( +tp19055 +a(g18 +Vnames +p19056 +tp19057 +a(g202 +V, +tp19058 +a(g189 +V +tp19059 +a(g18 +Voparg +p19060 +tp19061 +a(g202 +V) +tp19062 +a(g202 +V; +tp19063 +a(g189 +V\u000a +tp19064 +a(g189 +V +p19065 +tp19066 +a(g18 +Vv +tp19067 +a(g189 +V +tp19068 +a(g344 +V= +tp19069 +a(g189 +V +tp19070 +a(g18 +VTOP +p19071 +tp19072 +a(g202 +V( +tp19073 +a(g202 +V) +tp19074 +a(g202 +V; +tp19075 +a(g189 +V\u000a +tp19076 +a(g189 +V +p19077 +tp19078 +a(g18 +VREAD_TIMESTAMP +p19079 +tp19080 +a(g202 +V( +tp19081 +a(g18 +Vintr0 +p19082 +tp19083 +a(g202 +V) +tp19084 +a(g202 +V; +tp19085 +a(g189 +V\u000a +tp19086 +a(g189 +V +p19087 +tp19088 +a(g18 +Vx +tp19089 +a(g189 +V +tp19090 +a(g344 +V= +tp19091 +a(g189 +V +tp19092 +a(g18 +Vimport_from +p19093 +tp19094 +a(g202 +V( +tp19095 +a(g18 +Vv +tp19096 +a(g202 +V, +tp19097 +a(g189 +V +tp19098 +a(g18 +Vw +tp19099 +a(g202 +V) +tp19100 +a(g202 +V; +tp19101 +a(g189 +V\u000a +tp19102 +a(g189 +V +p19103 +tp19104 +a(g18 +VREAD_TIMESTAMP +p19105 +tp19106 +a(g202 +V( +tp19107 +a(g18 +Vintr1 +p19108 +tp19109 +a(g202 +V) +tp19110 +a(g202 +V; +tp19111 +a(g189 +V\u000a +tp19112 +a(g189 +V +p19113 +tp19114 +a(g18 +VPUSH +p19115 +tp19116 +a(g202 +V( +tp19117 +a(g18 +Vx +tp19118 +a(g202 +V) +tp19119 +a(g202 +V; +tp19120 +a(g189 +V\u000a +tp19121 +a(g189 +V +p19122 +tp19123 +a(g111 +Vif +p19124 +tp19125 +a(g189 +V +tp19126 +a(g202 +V( +tp19127 +a(g18 +Vx +tp19128 +a(g189 +V +tp19129 +a(g344 +V! +tp19130 +a(g344 +V= +tp19131 +a(g189 +V +tp19132 +a(g57 +VNULL +p19133 +tp19134 +a(g202 +V) +tp19135 +a(g189 +V +tp19136 +a(g111 +Vcontinue +p19137 +tp19138 +a(g202 +V; +tp19139 +a(g189 +V\u000a +tp19140 +a(g189 +V +p19141 +tp19142 +a(g111 +Vbreak +p19143 +tp19144 +a(g202 +V; +tp19145 +a(g189 +V\u000a +tp19146 +a(g189 +V\u000a +tp19147 +a(g189 +V +p19148 +tp19149 +a(g111 +Vcase +p19150 +tp19151 +a(g189 +V +tp19152 +a(g189 +V +tp19153 +a(g18 +VJUMP_FORWARD +p19154 +tp19155 +a(g189 +V: +tp19156 +a(g189 +V\u000a +tp19157 +a(g189 +V +p19158 +tp19159 +a(g18 +VJUMPBY +p19160 +tp19161 +a(g202 +V( +tp19162 +a(g18 +Voparg +p19163 +tp19164 +a(g202 +V) +tp19165 +a(g202 +V; +tp19166 +a(g189 +V\u000a +tp19167 +a(g189 +V +p19168 +tp19169 +a(g111 +Vgoto +p19170 +tp19171 +a(g189 +V +tp19172 +a(g18 +Vfast_next_opcode +p19173 +tp19174 +a(g202 +V; +tp19175 +a(g189 +V\u000a +tp19176 +a(g189 +V\u000a +tp19177 +a(g189 +V +p19178 +tp19179 +a(g18 +VPREDICTED_WITH_ARG +p19180 +tp19181 +a(g202 +V( +tp19182 +a(g18 +VJUMP_IF_FALSE +p19183 +tp19184 +a(g202 +V) +tp19185 +a(g202 +V; +tp19186 +a(g189 +V\u000a +tp19187 +a(g189 +V +p19188 +tp19189 +a(g111 +Vcase +p19190 +tp19191 +a(g189 +V +tp19192 +a(g189 +V +tp19193 +a(g18 +VJUMP_IF_FALSE +p19194 +tp19195 +a(g189 +V: +tp19196 +a(g189 +V\u000a +tp19197 +a(g189 +V +p19198 +tp19199 +a(g18 +Vw +tp19200 +a(g189 +V +tp19201 +a(g344 +V= +tp19202 +a(g189 +V +tp19203 +a(g18 +VTOP +p19204 +tp19205 +a(g202 +V( +tp19206 +a(g202 +V) +tp19207 +a(g202 +V; +tp19208 +a(g189 +V\u000a +tp19209 +a(g189 +V +p19210 +tp19211 +a(g111 +Vif +p19212 +tp19213 +a(g189 +V +tp19214 +a(g202 +V( +tp19215 +a(g18 +Vw +tp19216 +a(g189 +V +tp19217 +a(g344 +V= +tp19218 +a(g344 +V= +tp19219 +a(g189 +V +tp19220 +a(g18 +VPy_True +p19221 +tp19222 +a(g202 +V) +tp19223 +a(g189 +V +tp19224 +a(g202 +V{ +tp19225 +a(g189 +V\u000a +tp19226 +a(g189 +V +p19227 +tp19228 +a(g18 +VPREDICT +p19229 +tp19230 +a(g202 +V( +tp19231 +a(g18 +VPOP_TOP +p19232 +tp19233 +a(g202 +V) +tp19234 +a(g202 +V; +tp19235 +a(g189 +V\u000a +tp19236 +a(g189 +V +p19237 +tp19238 +a(g111 +Vgoto +p19239 +tp19240 +a(g189 +V +tp19241 +a(g18 +Vfast_next_opcode +p19242 +tp19243 +a(g202 +V; +tp19244 +a(g189 +V\u000a +tp19245 +a(g189 +V +p19246 +tp19247 +a(g202 +V} +tp19248 +a(g189 +V\u000a +tp19249 +a(g189 +V +p19250 +tp19251 +a(g111 +Vif +p19252 +tp19253 +a(g189 +V +tp19254 +a(g202 +V( +tp19255 +a(g18 +Vw +tp19256 +a(g189 +V +tp19257 +a(g344 +V= +tp19258 +a(g344 +V= +tp19259 +a(g189 +V +tp19260 +a(g18 +VPy_False +p19261 +tp19262 +a(g202 +V) +tp19263 +a(g189 +V +tp19264 +a(g202 +V{ +tp19265 +a(g189 +V\u000a +tp19266 +a(g189 +V +p19267 +tp19268 +a(g18 +VJUMPBY +p19269 +tp19270 +a(g202 +V( +tp19271 +a(g18 +Voparg +p19272 +tp19273 +a(g202 +V) +tp19274 +a(g202 +V; +tp19275 +a(g189 +V\u000a +tp19276 +a(g189 +V +p19277 +tp19278 +a(g111 +Vgoto +p19279 +tp19280 +a(g189 +V +tp19281 +a(g18 +Vfast_next_opcode +p19282 +tp19283 +a(g202 +V; +tp19284 +a(g189 +V\u000a +tp19285 +a(g189 +V +p19286 +tp19287 +a(g202 +V} +tp19288 +a(g189 +V\u000a +tp19289 +a(g189 +V +p19290 +tp19291 +a(g18 +Verr +p19292 +tp19293 +a(g189 +V +tp19294 +a(g344 +V= +tp19295 +a(g189 +V +tp19296 +a(g18 +VPyObject_IsTrue +p19297 +tp19298 +a(g202 +V( +tp19299 +a(g18 +Vw +tp19300 +a(g202 +V) +tp19301 +a(g202 +V; +tp19302 +a(g189 +V\u000a +tp19303 +a(g189 +V +p19304 +tp19305 +a(g111 +Vif +p19306 +tp19307 +a(g189 +V +tp19308 +a(g202 +V( +tp19309 +a(g18 +Verr +p19310 +tp19311 +a(g189 +V +tp19312 +a(g344 +V> +tp19313 +a(g189 +V +tp19314 +a(g319 +V0 +tp19315 +a(g202 +V) +tp19316 +a(g189 +V\u000a +tp19317 +a(g189 +V +p19318 +tp19319 +a(g18 +Verr +p19320 +tp19321 +a(g189 +V +tp19322 +a(g344 +V= +tp19323 +a(g189 +V +tp19324 +a(g319 +V0 +tp19325 +a(g202 +V; +tp19326 +a(g189 +V\u000a +tp19327 +a(g189 +V +p19328 +tp19329 +a(g111 +Velse +p19330 +tp19331 +a(g189 +V +tp19332 +a(g111 +Vif +p19333 +tp19334 +a(g189 +V +tp19335 +a(g202 +V( +tp19336 +a(g18 +Verr +p19337 +tp19338 +a(g189 +V +tp19339 +a(g344 +V= +tp19340 +a(g344 +V= +tp19341 +a(g189 +V +tp19342 +a(g319 +V0 +tp19343 +a(g202 +V) +tp19344 +a(g189 +V\u000a +tp19345 +a(g189 +V +p19346 +tp19347 +a(g18 +VJUMPBY +p19348 +tp19349 +a(g202 +V( +tp19350 +a(g18 +Voparg +p19351 +tp19352 +a(g202 +V) +tp19353 +a(g202 +V; +tp19354 +a(g189 +V\u000a +tp19355 +a(g189 +V +p19356 +tp19357 +a(g111 +Velse +p19358 +tp19359 +a(g189 +V\u000a +tp19360 +a(g189 +V +p19361 +tp19362 +a(g111 +Vbreak +p19363 +tp19364 +a(g202 +V; +tp19365 +a(g189 +V\u000a +tp19366 +a(g189 +V +p19367 +tp19368 +a(g111 +Vcontinue +p19369 +tp19370 +a(g202 +V; +tp19371 +a(g189 +V\u000a +tp19372 +a(g189 +V\u000a +tp19373 +a(g189 +V +p19374 +tp19375 +a(g18 +VPREDICTED_WITH_ARG +p19376 +tp19377 +a(g202 +V( +tp19378 +a(g18 +VJUMP_IF_TRUE +p19379 +tp19380 +a(g202 +V) +tp19381 +a(g202 +V; +tp19382 +a(g189 +V\u000a +tp19383 +a(g189 +V +p19384 +tp19385 +a(g111 +Vcase +p19386 +tp19387 +a(g189 +V +tp19388 +a(g189 +V +tp19389 +a(g18 +VJUMP_IF_TRUE +p19390 +tp19391 +a(g189 +V: +tp19392 +a(g189 +V\u000a +tp19393 +a(g189 +V +p19394 +tp19395 +a(g18 +Vw +tp19396 +a(g189 +V +tp19397 +a(g344 +V= +tp19398 +a(g189 +V +tp19399 +a(g18 +VTOP +p19400 +tp19401 +a(g202 +V( +tp19402 +a(g202 +V) +tp19403 +a(g202 +V; +tp19404 +a(g189 +V\u000a +tp19405 +a(g189 +V +p19406 +tp19407 +a(g111 +Vif +p19408 +tp19409 +a(g189 +V +tp19410 +a(g202 +V( +tp19411 +a(g18 +Vw +tp19412 +a(g189 +V +tp19413 +a(g344 +V= +tp19414 +a(g344 +V= +tp19415 +a(g189 +V +tp19416 +a(g18 +VPy_False +p19417 +tp19418 +a(g202 +V) +tp19419 +a(g189 +V +tp19420 +a(g202 +V{ +tp19421 +a(g189 +V\u000a +tp19422 +a(g189 +V +p19423 +tp19424 +a(g18 +VPREDICT +p19425 +tp19426 +a(g202 +V( +tp19427 +a(g18 +VPOP_TOP +p19428 +tp19429 +a(g202 +V) +tp19430 +a(g202 +V; +tp19431 +a(g189 +V\u000a +tp19432 +a(g189 +V +p19433 +tp19434 +a(g111 +Vgoto +p19435 +tp19436 +a(g189 +V +tp19437 +a(g18 +Vfast_next_opcode +p19438 +tp19439 +a(g202 +V; +tp19440 +a(g189 +V\u000a +tp19441 +a(g189 +V +p19442 +tp19443 +a(g202 +V} +tp19444 +a(g189 +V\u000a +tp19445 +a(g189 +V +p19446 +tp19447 +a(g111 +Vif +p19448 +tp19449 +a(g189 +V +tp19450 +a(g202 +V( +tp19451 +a(g18 +Vw +tp19452 +a(g189 +V +tp19453 +a(g344 +V= +tp19454 +a(g344 +V= +tp19455 +a(g189 +V +tp19456 +a(g18 +VPy_True +p19457 +tp19458 +a(g202 +V) +tp19459 +a(g189 +V +tp19460 +a(g202 +V{ +tp19461 +a(g189 +V\u000a +tp19462 +a(g189 +V +p19463 +tp19464 +a(g18 +VJUMPBY +p19465 +tp19466 +a(g202 +V( +tp19467 +a(g18 +Voparg +p19468 +tp19469 +a(g202 +V) +tp19470 +a(g202 +V; +tp19471 +a(g189 +V\u000a +tp19472 +a(g189 +V +p19473 +tp19474 +a(g111 +Vgoto +p19475 +tp19476 +a(g189 +V +tp19477 +a(g18 +Vfast_next_opcode +p19478 +tp19479 +a(g202 +V; +tp19480 +a(g189 +V\u000a +tp19481 +a(g189 +V +p19482 +tp19483 +a(g202 +V} +tp19484 +a(g189 +V\u000a +tp19485 +a(g189 +V +p19486 +tp19487 +a(g18 +Verr +p19488 +tp19489 +a(g189 +V +tp19490 +a(g344 +V= +tp19491 +a(g189 +V +tp19492 +a(g18 +VPyObject_IsTrue +p19493 +tp19494 +a(g202 +V( +tp19495 +a(g18 +Vw +tp19496 +a(g202 +V) +tp19497 +a(g202 +V; +tp19498 +a(g189 +V\u000a +tp19499 +a(g189 +V +p19500 +tp19501 +a(g111 +Vif +p19502 +tp19503 +a(g189 +V +tp19504 +a(g202 +V( +tp19505 +a(g18 +Verr +p19506 +tp19507 +a(g189 +V +tp19508 +a(g344 +V> +tp19509 +a(g189 +V +tp19510 +a(g319 +V0 +tp19511 +a(g202 +V) +tp19512 +a(g189 +V +tp19513 +a(g202 +V{ +tp19514 +a(g189 +V\u000a +tp19515 +a(g189 +V +p19516 +tp19517 +a(g18 +Verr +p19518 +tp19519 +a(g189 +V +tp19520 +a(g344 +V= +tp19521 +a(g189 +V +tp19522 +a(g319 +V0 +tp19523 +a(g202 +V; +tp19524 +a(g189 +V\u000a +tp19525 +a(g189 +V +p19526 +tp19527 +a(g18 +VJUMPBY +p19528 +tp19529 +a(g202 +V( +tp19530 +a(g18 +Voparg +p19531 +tp19532 +a(g202 +V) +tp19533 +a(g202 +V; +tp19534 +a(g189 +V\u000a +tp19535 +a(g189 +V +p19536 +tp19537 +a(g202 +V} +tp19538 +a(g189 +V\u000a +tp19539 +a(g189 +V +p19540 +tp19541 +a(g111 +Velse +p19542 +tp19543 +a(g189 +V +tp19544 +a(g111 +Vif +p19545 +tp19546 +a(g189 +V +tp19547 +a(g202 +V( +tp19548 +a(g18 +Verr +p19549 +tp19550 +a(g189 +V +tp19551 +a(g344 +V= +tp19552 +a(g344 +V= +tp19553 +a(g189 +V +tp19554 +a(g319 +V0 +tp19555 +a(g202 +V) +tp19556 +a(g189 +V\u000a +tp19557 +a(g189 +V +p19558 +tp19559 +a(g202 +V; +tp19560 +a(g189 +V\u000a +tp19561 +a(g189 +V +p19562 +tp19563 +a(g111 +Velse +p19564 +tp19565 +a(g189 +V\u000a +tp19566 +a(g189 +V +p19567 +tp19568 +a(g111 +Vbreak +p19569 +tp19570 +a(g202 +V; +tp19571 +a(g189 +V\u000a +tp19572 +a(g189 +V +p19573 +tp19574 +a(g111 +Vcontinue +p19575 +tp19576 +a(g202 +V; +tp19577 +a(g189 +V\u000a +tp19578 +a(g189 +V\u000a +tp19579 +a(g189 +V +p19580 +tp19581 +a(g18 +VPREDICTED_WITH_ARG +p19582 +tp19583 +a(g202 +V( +tp19584 +a(g18 +VJUMP_ABSOLUTE +p19585 +tp19586 +a(g202 +V) +tp19587 +a(g202 +V; +tp19588 +a(g189 +V\u000a +tp19589 +a(g189 +V +p19590 +tp19591 +a(g111 +Vcase +p19592 +tp19593 +a(g189 +V +tp19594 +a(g189 +V +tp19595 +a(g18 +VJUMP_ABSOLUTE +p19596 +tp19597 +a(g189 +V: +tp19598 +a(g189 +V\u000a +tp19599 +a(g189 +V +p19600 +tp19601 +a(g18 +VJUMPTO +p19602 +tp19603 +a(g202 +V( +tp19604 +a(g18 +Voparg +p19605 +tp19606 +a(g202 +V) +tp19607 +a(g202 +V; +tp19608 +a(g189 +V\u000a +tp19609 +a(g189 +V +p19610 +tp19611 +a(g111 +Vcontinue +p19612 +tp19613 +a(g202 +V; +tp19614 +a(g189 +V\u000a +tp19615 +a(g189 +V\u000a +tp19616 +a(g189 +V +p19617 +tp19618 +a(g111 +Vcase +p19619 +tp19620 +a(g189 +V +tp19621 +a(g189 +V +tp19622 +a(g18 +VGET_ITER +p19623 +tp19624 +a(g189 +V: +tp19625 +a(g189 +V\u000a +tp19626 +a(g189 +V +p19627 +tp19628 +a(g7 +V/* before: [obj]; after [getiter(obj)] */ +p19629 +tp19630 +a(g189 +V\u000a +tp19631 +a(g189 +V +p19632 +tp19633 +a(g18 +Vv +tp19634 +a(g189 +V +tp19635 +a(g344 +V= +tp19636 +a(g189 +V +tp19637 +a(g18 +VTOP +p19638 +tp19639 +a(g202 +V( +tp19640 +a(g202 +V) +tp19641 +a(g202 +V; +tp19642 +a(g189 +V\u000a +tp19643 +a(g189 +V +p19644 +tp19645 +a(g18 +Vx +tp19646 +a(g189 +V +tp19647 +a(g344 +V= +tp19648 +a(g189 +V +tp19649 +a(g18 +VPyObject_GetIter +p19650 +tp19651 +a(g202 +V( +tp19652 +a(g18 +Vv +tp19653 +a(g202 +V) +tp19654 +a(g202 +V; +tp19655 +a(g189 +V\u000a +tp19656 +a(g189 +V +p19657 +tp19658 +a(g18 +VPy_DECREF +p19659 +tp19660 +a(g202 +V( +tp19661 +a(g18 +Vv +tp19662 +a(g202 +V) +tp19663 +a(g202 +V; +tp19664 +a(g189 +V\u000a +tp19665 +a(g189 +V +p19666 +tp19667 +a(g111 +Vif +p19668 +tp19669 +a(g189 +V +tp19670 +a(g202 +V( +tp19671 +a(g18 +Vx +tp19672 +a(g189 +V +tp19673 +a(g344 +V! +tp19674 +a(g344 +V= +tp19675 +a(g189 +V +tp19676 +a(g57 +VNULL +p19677 +tp19678 +a(g202 +V) +tp19679 +a(g189 +V +tp19680 +a(g202 +V{ +tp19681 +a(g189 +V\u000a +tp19682 +a(g189 +V +p19683 +tp19684 +a(g18 +VSET_TOP +p19685 +tp19686 +a(g202 +V( +tp19687 +a(g18 +Vx +tp19688 +a(g202 +V) +tp19689 +a(g202 +V; +tp19690 +a(g189 +V\u000a +tp19691 +a(g189 +V +p19692 +tp19693 +a(g18 +VPREDICT +p19694 +tp19695 +a(g202 +V( +tp19696 +a(g18 +VFOR_ITER +p19697 +tp19698 +a(g202 +V) +tp19699 +a(g202 +V; +tp19700 +a(g189 +V\u000a +tp19701 +a(g189 +V +p19702 +tp19703 +a(g111 +Vcontinue +p19704 +tp19705 +a(g202 +V; +tp19706 +a(g189 +V\u000a +tp19707 +a(g189 +V +p19708 +tp19709 +a(g202 +V} +tp19710 +a(g189 +V\u000a +tp19711 +a(g189 +V +p19712 +tp19713 +a(g18 +VSTACKADJ +p19714 +tp19715 +a(g202 +V( +tp19716 +a(g344 +V- +tp19717 +a(g319 +V1 +tp19718 +a(g202 +V) +tp19719 +a(g202 +V; +tp19720 +a(g189 +V\u000a +tp19721 +a(g189 +V +p19722 +tp19723 +a(g111 +Vbreak +p19724 +tp19725 +a(g202 +V; +tp19726 +a(g189 +V\u000a +tp19727 +a(g189 +V\u000a +tp19728 +a(g189 +V +p19729 +tp19730 +a(g18 +VPREDICTED_WITH_ARG +p19731 +tp19732 +a(g202 +V( +tp19733 +a(g18 +VFOR_ITER +p19734 +tp19735 +a(g202 +V) +tp19736 +a(g202 +V; +tp19737 +a(g189 +V\u000a +tp19738 +a(g189 +V +p19739 +tp19740 +a(g111 +Vcase +p19741 +tp19742 +a(g189 +V +tp19743 +a(g189 +V +tp19744 +a(g18 +VFOR_ITER +p19745 +tp19746 +a(g189 +V: +tp19747 +a(g189 +V\u000a +tp19748 +a(g189 +V +p19749 +tp19750 +a(g7 +V/* before: [iter]; after: [iter, iter()] *or* [] */ +p19751 +tp19752 +a(g189 +V\u000a +tp19753 +a(g189 +V +p19754 +tp19755 +a(g18 +Vv +tp19756 +a(g189 +V +tp19757 +a(g344 +V= +tp19758 +a(g189 +V +tp19759 +a(g18 +VTOP +p19760 +tp19761 +a(g202 +V( +tp19762 +a(g202 +V) +tp19763 +a(g202 +V; +tp19764 +a(g189 +V\u000a +tp19765 +a(g189 +V +p19766 +tp19767 +a(g18 +Vx +tp19768 +a(g189 +V +tp19769 +a(g344 +V= +tp19770 +a(g189 +V +tp19771 +a(g202 +V( +tp19772 +a(g344 +V* +tp19773 +a(g18 +Vv +tp19774 +a(g344 +V- +tp19775 +a(g344 +V> +tp19776 +a(g18 +Vob_type +p19777 +tp19778 +a(g344 +V- +tp19779 +a(g344 +V> +tp19780 +a(g18 +Vtp_iternext +p19781 +tp19782 +a(g202 +V) +tp19783 +a(g202 +V( +tp19784 +a(g18 +Vv +tp19785 +a(g202 +V) +tp19786 +a(g202 +V; +tp19787 +a(g189 +V\u000a +tp19788 +a(g189 +V +p19789 +tp19790 +a(g111 +Vif +p19791 +tp19792 +a(g189 +V +tp19793 +a(g202 +V( +tp19794 +a(g18 +Vx +tp19795 +a(g189 +V +tp19796 +a(g344 +V! +tp19797 +a(g344 +V= +tp19798 +a(g189 +V +tp19799 +a(g57 +VNULL +p19800 +tp19801 +a(g202 +V) +tp19802 +a(g189 +V +tp19803 +a(g202 +V{ +tp19804 +a(g189 +V\u000a +tp19805 +a(g189 +V +p19806 +tp19807 +a(g18 +VPUSH +p19808 +tp19809 +a(g202 +V( +tp19810 +a(g18 +Vx +tp19811 +a(g202 +V) +tp19812 +a(g202 +V; +tp19813 +a(g189 +V\u000a +tp19814 +a(g189 +V +p19815 +tp19816 +a(g18 +VPREDICT +p19817 +tp19818 +a(g202 +V( +tp19819 +a(g18 +VSTORE_FAST +p19820 +tp19821 +a(g202 +V) +tp19822 +a(g202 +V; +tp19823 +a(g189 +V\u000a +tp19824 +a(g189 +V +p19825 +tp19826 +a(g18 +VPREDICT +p19827 +tp19828 +a(g202 +V( +tp19829 +a(g18 +VUNPACK_SEQUENCE +p19830 +tp19831 +a(g202 +V) +tp19832 +a(g202 +V; +tp19833 +a(g189 +V\u000a +tp19834 +a(g189 +V +p19835 +tp19836 +a(g111 +Vcontinue +p19837 +tp19838 +a(g202 +V; +tp19839 +a(g189 +V\u000a +tp19840 +a(g189 +V +p19841 +tp19842 +a(g202 +V} +tp19843 +a(g189 +V\u000a +tp19844 +a(g189 +V +p19845 +tp19846 +a(g111 +Vif +p19847 +tp19848 +a(g189 +V +tp19849 +a(g202 +V( +tp19850 +a(g18 +VPyErr_Occurred +p19851 +tp19852 +a(g202 +V( +tp19853 +a(g202 +V) +tp19854 +a(g202 +V) +tp19855 +a(g189 +V +tp19856 +a(g202 +V{ +tp19857 +a(g189 +V\u000a +tp19858 +a(g189 +V +p19859 +tp19860 +a(g111 +Vif +p19861 +tp19862 +a(g189 +V +tp19863 +a(g202 +V( +tp19864 +a(g344 +V! +tp19865 +a(g18 +VPyErr_ExceptionMatches +p19866 +tp19867 +a(g202 +V( +tp19868 +a(g18 +VPyExc_StopIteration +p19869 +tp19870 +a(g202 +V) +tp19871 +a(g202 +V) +tp19872 +a(g189 +V\u000a +tp19873 +a(g189 +V +p19874 +tp19875 +a(g111 +Vbreak +p19876 +tp19877 +a(g202 +V; +tp19878 +a(g189 +V\u000a +tp19879 +a(g189 +V +p19880 +tp19881 +a(g18 +VPyErr_Clear +p19882 +tp19883 +a(g202 +V( +tp19884 +a(g202 +V) +tp19885 +a(g202 +V; +tp19886 +a(g189 +V\u000a +tp19887 +a(g189 +V +p19888 +tp19889 +a(g202 +V} +tp19890 +a(g189 +V\u000a +tp19891 +a(g189 +V +p19892 +tp19893 +a(g7 +V/* iterator ended normally */ +p19894 +tp19895 +a(g189 +V\u000a +tp19896 +a(g189 +V +p19897 +tp19898 +a(g18 +Vx +tp19899 +a(g189 +V +tp19900 +a(g344 +V= +tp19901 +a(g189 +V +tp19902 +a(g18 +Vv +tp19903 +a(g189 +V +tp19904 +a(g344 +V= +tp19905 +a(g189 +V +tp19906 +a(g18 +VPOP +p19907 +tp19908 +a(g202 +V( +tp19909 +a(g202 +V) +tp19910 +a(g202 +V; +tp19911 +a(g189 +V\u000a +tp19912 +a(g189 +V +p19913 +tp19914 +a(g18 +VPy_DECREF +p19915 +tp19916 +a(g202 +V( +tp19917 +a(g18 +Vv +tp19918 +a(g202 +V) +tp19919 +a(g202 +V; +tp19920 +a(g189 +V\u000a +tp19921 +a(g189 +V +p19922 +tp19923 +a(g18 +VJUMPBY +p19924 +tp19925 +a(g202 +V( +tp19926 +a(g18 +Voparg +p19927 +tp19928 +a(g202 +V) +tp19929 +a(g202 +V; +tp19930 +a(g189 +V\u000a +tp19931 +a(g189 +V +p19932 +tp19933 +a(g111 +Vcontinue +p19934 +tp19935 +a(g202 +V; +tp19936 +a(g189 +V\u000a +tp19937 +a(g189 +V\u000a +tp19938 +a(g189 +V +p19939 +tp19940 +a(g111 +Vcase +p19941 +tp19942 +a(g189 +V +tp19943 +a(g189 +V +tp19944 +a(g18 +VBREAK_LOOP +p19945 +tp19946 +a(g189 +V: +tp19947 +a(g189 +V\u000a +tp19948 +a(g189 +V +p19949 +tp19950 +a(g18 +Vwhy +p19951 +tp19952 +a(g189 +V +tp19953 +a(g344 +V= +tp19954 +a(g189 +V +tp19955 +a(g18 +VWHY_BREAK +p19956 +tp19957 +a(g202 +V; +tp19958 +a(g189 +V\u000a +tp19959 +a(g189 +V +p19960 +tp19961 +a(g111 +Vgoto +p19962 +tp19963 +a(g189 +V +tp19964 +a(g18 +Vfast_block_end +p19965 +tp19966 +a(g202 +V; +tp19967 +a(g189 +V\u000a +tp19968 +a(g189 +V\u000a +tp19969 +a(g189 +V +p19970 +tp19971 +a(g111 +Vcase +p19972 +tp19973 +a(g189 +V +tp19974 +a(g189 +V +tp19975 +a(g18 +VCONTINUE_LOOP +p19976 +tp19977 +a(g189 +V: +tp19978 +a(g189 +V\u000a +tp19979 +a(g189 +V +p19980 +tp19981 +a(g18 +Vretval +p19982 +tp19983 +a(g189 +V +tp19984 +a(g344 +V= +tp19985 +a(g189 +V +tp19986 +a(g18 +VPyInt_FromLong +p19987 +tp19988 +a(g202 +V( +tp19989 +a(g18 +Voparg +p19990 +tp19991 +a(g202 +V) +tp19992 +a(g202 +V; +tp19993 +a(g189 +V\u000a +tp19994 +a(g189 +V +p19995 +tp19996 +a(g111 +Vif +p19997 +tp19998 +a(g189 +V +tp19999 +a(g202 +V( +tp20000 +a(g344 +V! +tp20001 +a(g18 +Vretval +p20002 +tp20003 +a(g202 +V) +tp20004 +a(g189 +V +tp20005 +a(g202 +V{ +tp20006 +a(g189 +V\u000a +tp20007 +a(g189 +V +p20008 +tp20009 +a(g18 +Vx +tp20010 +a(g189 +V +tp20011 +a(g344 +V= +tp20012 +a(g189 +V +tp20013 +a(g57 +VNULL +p20014 +tp20015 +a(g202 +V; +tp20016 +a(g189 +V\u000a +tp20017 +a(g189 +V +p20018 +tp20019 +a(g111 +Vbreak +p20020 +tp20021 +a(g202 +V; +tp20022 +a(g189 +V\u000a +tp20023 +a(g189 +V +p20024 +tp20025 +a(g202 +V} +tp20026 +a(g189 +V\u000a +tp20027 +a(g189 +V +p20028 +tp20029 +a(g18 +Vwhy +p20030 +tp20031 +a(g189 +V +tp20032 +a(g344 +V= +tp20033 +a(g189 +V +tp20034 +a(g18 +VWHY_CONTINUE +p20035 +tp20036 +a(g202 +V; +tp20037 +a(g189 +V\u000a +tp20038 +a(g189 +V +p20039 +tp20040 +a(g111 +Vgoto +p20041 +tp20042 +a(g189 +V +tp20043 +a(g18 +Vfast_block_end +p20044 +tp20045 +a(g202 +V; +tp20046 +a(g189 +V\u000a +tp20047 +a(g189 +V\u000a +tp20048 +a(g189 +V +p20049 +tp20050 +a(g111 +Vcase +p20051 +tp20052 +a(g189 +V +tp20053 +a(g189 +V +tp20054 +a(g18 +VSETUP_LOOP +p20055 +tp20056 +a(g189 +V: +tp20057 +a(g189 +V\u000a +tp20058 +a(g189 +V +p20059 +tp20060 +a(g111 +Vcase +p20061 +tp20062 +a(g189 +V +tp20063 +a(g189 +V +tp20064 +a(g18 +VSETUP_EXCEPT +p20065 +tp20066 +a(g189 +V: +tp20067 +a(g189 +V\u000a +tp20068 +a(g189 +V +p20069 +tp20070 +a(g111 +Vcase +p20071 +tp20072 +a(g189 +V +tp20073 +a(g189 +V +tp20074 +a(g18 +VSETUP_FINALLY +p20075 +tp20076 +a(g189 +V: +tp20077 +a(g189 +V\u000a +tp20078 +a(g189 +V +p20079 +tp20080 +a(g7 +V/* NOTE: If you add any new block-setup opcodes that are not try/except/finally\u000a handlers, you may need to update the PyGen_NeedsFinalizing() function. */ +p20081 +tp20082 +a(g189 +V\u000a +tp20083 +a(g189 +V\u000a +tp20084 +a(g189 +V +p20085 +tp20086 +a(g18 +VPyFrame_BlockSetup +p20087 +tp20088 +a(g202 +V( +tp20089 +a(g18 +Vf +tp20090 +a(g202 +V, +tp20091 +a(g189 +V +tp20092 +a(g18 +Vopcode +p20093 +tp20094 +a(g202 +V, +tp20095 +a(g189 +V +tp20096 +a(g18 +VINSTR_OFFSET +p20097 +tp20098 +a(g202 +V( +tp20099 +a(g202 +V) +tp20100 +a(g189 +V +tp20101 +a(g344 +V+ +tp20102 +a(g189 +V +tp20103 +a(g18 +Voparg +p20104 +tp20105 +a(g202 +V, +tp20106 +a(g189 +V\u000a +tp20107 +a(g189 +V +p20108 +tp20109 +a(g18 +VSTACK_LEVEL +p20110 +tp20111 +a(g202 +V( +tp20112 +a(g202 +V) +tp20113 +a(g202 +V) +tp20114 +a(g202 +V; +tp20115 +a(g189 +V\u000a +tp20116 +a(g189 +V +p20117 +tp20118 +a(g111 +Vcontinue +p20119 +tp20120 +a(g202 +V; +tp20121 +a(g189 +V\u000a +tp20122 +a(g189 +V\u000a +tp20123 +a(g189 +V +p20124 +tp20125 +a(g111 +Vcase +p20126 +tp20127 +a(g189 +V +tp20128 +a(g189 +V +tp20129 +a(g18 +VWITH_CLEANUP +p20130 +tp20131 +a(g189 +V: +tp20132 +a(g189 +V\u000a +tp20133 +a(g189 +V +p20134 +tp20135 +a(g202 +V{ +tp20136 +a(g189 +V\u000a +tp20137 +a(g189 +V +p20138 +tp20139 +a(g7 +V/* TOP is the context.__exit__ bound method.\u000a Below that are 1-3 values indicating how/why\u000a we entered the finally clause:\u000a - SECOND = None\u000a - (SECOND, THIRD) = (WHY_{RETURN,CONTINUE}), retval\u000a - SECOND = WHY_*; no retval below it\u000a - (SECOND, THIRD, FOURTH) = exc_info()\u000a In the last case, we must call\u000a TOP(SECOND, THIRD, FOURTH)\u000a otherwise we must call\u000a TOP(None, None, None)\u000a\u000a In addition, if the stack represents an exception,\u000a *and* the function call returns a 'true' value, we\u000a "zap" this information, to prevent END_FINALLY from\u000a re-raising the exception. (But non-local gotos\u000a should still be resumed.)\u000a */ +p20140 +tp20141 +a(g189 +V\u000a +tp20142 +a(g189 +V\u000a +tp20143 +a(g189 +V +p20144 +tp20145 +a(g18 +Vx +tp20146 +a(g189 +V +tp20147 +a(g344 +V= +tp20148 +a(g189 +V +tp20149 +a(g18 +VTOP +p20150 +tp20151 +a(g202 +V( +tp20152 +a(g202 +V) +tp20153 +a(g202 +V; +tp20154 +a(g189 +V\u000a +tp20155 +a(g189 +V +p20156 +tp20157 +a(g18 +Vu +tp20158 +a(g189 +V +tp20159 +a(g344 +V= +tp20160 +a(g189 +V +tp20161 +a(g18 +VSECOND +p20162 +tp20163 +a(g202 +V( +tp20164 +a(g202 +V) +tp20165 +a(g202 +V; +tp20166 +a(g189 +V\u000a +tp20167 +a(g189 +V +p20168 +tp20169 +a(g111 +Vif +p20170 +tp20171 +a(g189 +V +tp20172 +a(g202 +V( +tp20173 +a(g18 +VPyInt_Check +p20174 +tp20175 +a(g202 +V( +tp20176 +a(g18 +Vu +tp20177 +a(g202 +V) +tp20178 +a(g189 +V +tp20179 +a(g344 +V| +tp20180 +a(g344 +V| +tp20181 +a(g189 +V +tp20182 +a(g18 +Vu +tp20183 +a(g189 +V +tp20184 +a(g344 +V= +tp20185 +a(g344 +V= +tp20186 +a(g189 +V +tp20187 +a(g18 +VPy_None +p20188 +tp20189 +a(g202 +V) +tp20190 +a(g189 +V +tp20191 +a(g202 +V{ +tp20192 +a(g189 +V\u000a +tp20193 +a(g189 +V +p20194 +tp20195 +a(g18 +Vu +tp20196 +a(g189 +V +tp20197 +a(g344 +V= +tp20198 +a(g189 +V +tp20199 +a(g18 +Vv +tp20200 +a(g189 +V +tp20201 +a(g344 +V= +tp20202 +a(g189 +V +tp20203 +a(g18 +Vw +tp20204 +a(g189 +V +tp20205 +a(g344 +V= +tp20206 +a(g189 +V +tp20207 +a(g18 +VPy_None +p20208 +tp20209 +a(g202 +V; +tp20210 +a(g189 +V\u000a +tp20211 +a(g189 +V +p20212 +tp20213 +a(g202 +V} +tp20214 +a(g189 +V\u000a +tp20215 +a(g189 +V +p20216 +tp20217 +a(g111 +Velse +p20218 +tp20219 +a(g189 +V +tp20220 +a(g202 +V{ +tp20221 +a(g189 +V\u000a +tp20222 +a(g189 +V +p20223 +tp20224 +a(g18 +Vv +tp20225 +a(g189 +V +tp20226 +a(g344 +V= +tp20227 +a(g189 +V +tp20228 +a(g18 +VTHIRD +p20229 +tp20230 +a(g202 +V( +tp20231 +a(g202 +V) +tp20232 +a(g202 +V; +tp20233 +a(g189 +V\u000a +tp20234 +a(g189 +V +p20235 +tp20236 +a(g18 +Vw +tp20237 +a(g189 +V +tp20238 +a(g344 +V= +tp20239 +a(g189 +V +tp20240 +a(g18 +VFOURTH +p20241 +tp20242 +a(g202 +V( +tp20243 +a(g202 +V) +tp20244 +a(g202 +V; +tp20245 +a(g189 +V\u000a +tp20246 +a(g189 +V +p20247 +tp20248 +a(g202 +V} +tp20249 +a(g189 +V\u000a +tp20250 +a(g189 +V +p20251 +tp20252 +a(g7 +V/* XXX Not the fastest way to call it... */ +p20253 +tp20254 +a(g189 +V\u000a +tp20255 +a(g189 +V +p20256 +tp20257 +a(g18 +Vx +tp20258 +a(g189 +V +tp20259 +a(g344 +V= +tp20260 +a(g189 +V +tp20261 +a(g18 +VPyObject_CallFunctionObjArgs +p20262 +tp20263 +a(g202 +V( +tp20264 +a(g18 +Vx +tp20265 +a(g202 +V, +tp20266 +a(g189 +V +tp20267 +a(g18 +Vu +tp20268 +a(g202 +V, +tp20269 +a(g189 +V +tp20270 +a(g18 +Vv +tp20271 +a(g202 +V, +tp20272 +a(g189 +V +tp20273 +a(g18 +Vw +tp20274 +a(g202 +V, +tp20275 +a(g189 +V +tp20276 +a(g57 +VNULL +p20277 +tp20278 +a(g202 +V) +tp20279 +a(g202 +V; +tp20280 +a(g189 +V\u000a +tp20281 +a(g189 +V +p20282 +tp20283 +a(g111 +Vif +p20284 +tp20285 +a(g189 +V +tp20286 +a(g202 +V( +tp20287 +a(g18 +Vx +tp20288 +a(g189 +V +tp20289 +a(g344 +V= +tp20290 +a(g344 +V= +tp20291 +a(g189 +V +tp20292 +a(g57 +VNULL +p20293 +tp20294 +a(g202 +V) +tp20295 +a(g189 +V\u000a +tp20296 +a(g189 +V +p20297 +tp20298 +a(g111 +Vbreak +p20299 +tp20300 +a(g202 +V; +tp20301 +a(g189 +V +tp20302 +a(g7 +V/* Go to error exit */ +p20303 +tp20304 +a(g189 +V\u000a +tp20305 +a(g189 +V +p20306 +tp20307 +a(g111 +Vif +p20308 +tp20309 +a(g189 +V +tp20310 +a(g202 +V( +tp20311 +a(g18 +Vu +tp20312 +a(g189 +V +tp20313 +a(g344 +V! +tp20314 +a(g344 +V= +tp20315 +a(g189 +V +tp20316 +a(g18 +VPy_None +p20317 +tp20318 +a(g189 +V +tp20319 +a(g344 +V& +tp20320 +a(g344 +V& +tp20321 +a(g189 +V +tp20322 +a(g18 +VPyObject_IsTrue +p20323 +tp20324 +a(g202 +V( +tp20325 +a(g18 +Vx +tp20326 +a(g202 +V) +tp20327 +a(g202 +V) +tp20328 +a(g189 +V +tp20329 +a(g202 +V{ +tp20330 +a(g189 +V\u000a +tp20331 +a(g189 +V +p20332 +tp20333 +a(g7 +V/* There was an exception and a true return */ +p20334 +tp20335 +a(g189 +V\u000a +tp20336 +a(g189 +V +p20337 +tp20338 +a(g18 +VPy_DECREF +p20339 +tp20340 +a(g202 +V( +tp20341 +a(g18 +Vx +tp20342 +a(g202 +V) +tp20343 +a(g202 +V; +tp20344 +a(g189 +V\u000a +tp20345 +a(g189 +V +p20346 +tp20347 +a(g18 +Vx +tp20348 +a(g189 +V +tp20349 +a(g344 +V= +tp20350 +a(g189 +V +tp20351 +a(g18 +VTOP +p20352 +tp20353 +a(g202 +V( +tp20354 +a(g202 +V) +tp20355 +a(g202 +V; +tp20356 +a(g189 +V +tp20357 +a(g7 +V/* Again */ +p20358 +tp20359 +a(g189 +V\u000a +tp20360 +a(g189 +V +p20361 +tp20362 +a(g18 +VSTACKADJ +p20363 +tp20364 +a(g202 +V( +tp20365 +a(g344 +V- +tp20366 +a(g319 +V3 +tp20367 +a(g202 +V) +tp20368 +a(g202 +V; +tp20369 +a(g189 +V\u000a +tp20370 +a(g189 +V +p20371 +tp20372 +a(g18 +VPy_INCREF +p20373 +tp20374 +a(g202 +V( +tp20375 +a(g18 +VPy_None +p20376 +tp20377 +a(g202 +V) +tp20378 +a(g202 +V; +tp20379 +a(g189 +V\u000a +tp20380 +a(g189 +V +p20381 +tp20382 +a(g18 +VSET_TOP +p20383 +tp20384 +a(g202 +V( +tp20385 +a(g18 +VPy_None +p20386 +tp20387 +a(g202 +V) +tp20388 +a(g202 +V; +tp20389 +a(g189 +V\u000a +tp20390 +a(g189 +V +p20391 +tp20392 +a(g18 +VPy_DECREF +p20393 +tp20394 +a(g202 +V( +tp20395 +a(g18 +Vx +tp20396 +a(g202 +V) +tp20397 +a(g202 +V; +tp20398 +a(g189 +V\u000a +tp20399 +a(g189 +V +p20400 +tp20401 +a(g18 +VPy_DECREF +p20402 +tp20403 +a(g202 +V( +tp20404 +a(g18 +Vu +tp20405 +a(g202 +V) +tp20406 +a(g202 +V; +tp20407 +a(g189 +V\u000a +tp20408 +a(g189 +V +p20409 +tp20410 +a(g18 +VPy_DECREF +p20411 +tp20412 +a(g202 +V( +tp20413 +a(g18 +Vv +tp20414 +a(g202 +V) +tp20415 +a(g202 +V; +tp20416 +a(g189 +V\u000a +tp20417 +a(g189 +V +p20418 +tp20419 +a(g18 +VPy_DECREF +p20420 +tp20421 +a(g202 +V( +tp20422 +a(g18 +Vw +tp20423 +a(g202 +V) +tp20424 +a(g202 +V; +tp20425 +a(g189 +V\u000a +tp20426 +a(g189 +V +p20427 +tp20428 +a(g202 +V} +tp20429 +a(g189 +V +tp20430 +a(g111 +Velse +p20431 +tp20432 +a(g189 +V +tp20433 +a(g202 +V{ +tp20434 +a(g189 +V\u000a +tp20435 +a(g189 +V +p20436 +tp20437 +a(g7 +V/* Let END_FINALLY do its thing */ +p20438 +tp20439 +a(g189 +V\u000a +tp20440 +a(g189 +V +p20441 +tp20442 +a(g18 +VPy_DECREF +p20443 +tp20444 +a(g202 +V( +tp20445 +a(g18 +Vx +tp20446 +a(g202 +V) +tp20447 +a(g202 +V; +tp20448 +a(g189 +V\u000a +tp20449 +a(g189 +V +p20450 +tp20451 +a(g18 +Vx +tp20452 +a(g189 +V +tp20453 +a(g344 +V= +tp20454 +a(g189 +V +tp20455 +a(g18 +VPOP +p20456 +tp20457 +a(g202 +V( +tp20458 +a(g202 +V) +tp20459 +a(g202 +V; +tp20460 +a(g189 +V\u000a +tp20461 +a(g189 +V +p20462 +tp20463 +a(g18 +VPy_DECREF +p20464 +tp20465 +a(g202 +V( +tp20466 +a(g18 +Vx +tp20467 +a(g202 +V) +tp20468 +a(g202 +V; +tp20469 +a(g189 +V\u000a +tp20470 +a(g189 +V +p20471 +tp20472 +a(g202 +V} +tp20473 +a(g189 +V\u000a +tp20474 +a(g189 +V +p20475 +tp20476 +a(g111 +Vbreak +p20477 +tp20478 +a(g202 +V; +tp20479 +a(g189 +V\u000a +tp20480 +a(g189 +V +p20481 +tp20482 +a(g202 +V} +tp20483 +a(g189 +V\u000a +tp20484 +a(g189 +V\u000a +tp20485 +a(g189 +V +p20486 +tp20487 +a(g111 +Vcase +p20488 +tp20489 +a(g189 +V +tp20490 +a(g189 +V +tp20491 +a(g18 +VCALL_FUNCTION +p20492 +tp20493 +a(g189 +V: +tp20494 +a(g189 +V\u000a +tp20495 +a(g189 +V +p20496 +tp20497 +a(g202 +V{ +tp20498 +a(g189 +V\u000a +tp20499 +a(g189 +V +p20500 +tp20501 +a(g18 +VPyObject +p20502 +tp20503 +a(g189 +V +tp20504 +a(g344 +V* +tp20505 +a(g344 +V* +tp20506 +a(g18 +Vsp +p20507 +tp20508 +a(g202 +V; +tp20509 +a(g189 +V\u000a +tp20510 +a(g189 +V +p20511 +tp20512 +a(g18 +VPCALL +p20513 +tp20514 +a(g202 +V( +tp20515 +a(g18 +VPCALL_ALL +p20516 +tp20517 +a(g202 +V) +tp20518 +a(g202 +V; +tp20519 +a(g189 +V\u000a +tp20520 +a(g189 +V +p20521 +tp20522 +a(g18 +Vsp +p20523 +tp20524 +a(g189 +V +tp20525 +a(g344 +V= +tp20526 +a(g189 +V +tp20527 +a(g18 +Vstack_pointer +p20528 +tp20529 +a(g202 +V; +tp20530 +a(g189 +V\u000a +tp20531 +a(g355 +V# +tp20532 +a(g355 +Vifdef WITH_TSC +p20533 +tp20534 +a(g355 +V\u000a +tp20535 +a(g189 +V +p20536 +tp20537 +a(g18 +Vx +tp20538 +a(g189 +V +tp20539 +a(g344 +V= +tp20540 +a(g189 +V +tp20541 +a(g18 +Vcall_function +p20542 +tp20543 +a(g202 +V( +tp20544 +a(g344 +V& +tp20545 +a(g18 +Vsp +p20546 +tp20547 +a(g202 +V, +tp20548 +a(g189 +V +tp20549 +a(g18 +Voparg +p20550 +tp20551 +a(g202 +V, +tp20552 +a(g189 +V +tp20553 +a(g344 +V& +tp20554 +a(g18 +Vintr0 +p20555 +tp20556 +a(g202 +V, +tp20557 +a(g189 +V +tp20558 +a(g344 +V& +tp20559 +a(g18 +Vintr1 +p20560 +tp20561 +a(g202 +V) +tp20562 +a(g202 +V; +tp20563 +a(g189 +V\u000a +tp20564 +a(g355 +V# +tp20565 +a(g355 +Velse +p20566 +tp20567 +a(g355 +V\u000a +tp20568 +a(g189 +V +p20569 +tp20570 +a(g18 +Vx +tp20571 +a(g189 +V +tp20572 +a(g344 +V= +tp20573 +a(g189 +V +tp20574 +a(g18 +Vcall_function +p20575 +tp20576 +a(g202 +V( +tp20577 +a(g344 +V& +tp20578 +a(g18 +Vsp +p20579 +tp20580 +a(g202 +V, +tp20581 +a(g189 +V +tp20582 +a(g18 +Voparg +p20583 +tp20584 +a(g202 +V) +tp20585 +a(g202 +V; +tp20586 +a(g189 +V\u000a +tp20587 +a(g355 +V# +tp20588 +a(g355 +Vendif +p20589 +tp20590 +a(g355 +V\u000a +tp20591 +a(g189 +V +p20592 +tp20593 +a(g18 +Vstack_pointer +p20594 +tp20595 +a(g189 +V +tp20596 +a(g344 +V= +tp20597 +a(g189 +V +tp20598 +a(g18 +Vsp +p20599 +tp20600 +a(g202 +V; +tp20601 +a(g189 +V\u000a +tp20602 +a(g189 +V +p20603 +tp20604 +a(g18 +VPUSH +p20605 +tp20606 +a(g202 +V( +tp20607 +a(g18 +Vx +tp20608 +a(g202 +V) +tp20609 +a(g202 +V; +tp20610 +a(g189 +V\u000a +tp20611 +a(g189 +V +p20612 +tp20613 +a(g111 +Vif +p20614 +tp20615 +a(g189 +V +tp20616 +a(g202 +V( +tp20617 +a(g18 +Vx +tp20618 +a(g189 +V +tp20619 +a(g344 +V! +tp20620 +a(g344 +V= +tp20621 +a(g189 +V +tp20622 +a(g57 +VNULL +p20623 +tp20624 +a(g202 +V) +tp20625 +a(g189 +V\u000a +tp20626 +a(g189 +V +p20627 +tp20628 +a(g111 +Vcontinue +p20629 +tp20630 +a(g202 +V; +tp20631 +a(g189 +V\u000a +tp20632 +a(g189 +V +p20633 +tp20634 +a(g111 +Vbreak +p20635 +tp20636 +a(g202 +V; +tp20637 +a(g189 +V\u000a +tp20638 +a(g189 +V +p20639 +tp20640 +a(g202 +V} +tp20641 +a(g189 +V\u000a +tp20642 +a(g189 +V\u000a +tp20643 +a(g189 +V +p20644 +tp20645 +a(g111 +Vcase +p20646 +tp20647 +a(g189 +V +tp20648 +a(g189 +V +tp20649 +a(g18 +VCALL_FUNCTION_VAR +p20650 +tp20651 +a(g189 +V: +tp20652 +a(g189 +V\u000a +tp20653 +a(g189 +V +p20654 +tp20655 +a(g111 +Vcase +p20656 +tp20657 +a(g189 +V +tp20658 +a(g189 +V +tp20659 +a(g18 +VCALL_FUNCTION_KW +p20660 +tp20661 +a(g189 +V: +tp20662 +a(g189 +V\u000a +tp20663 +a(g189 +V +p20664 +tp20665 +a(g111 +Vcase +p20666 +tp20667 +a(g189 +V +tp20668 +a(g189 +V +tp20669 +a(g18 +VCALL_FUNCTION_VAR_KW +p20670 +tp20671 +a(g189 +V: +tp20672 +a(g189 +V\u000a +tp20673 +a(g189 +V +p20674 +tp20675 +a(g202 +V{ +tp20676 +a(g189 +V\u000a +tp20677 +a(g189 +V +p20678 +tp20679 +a(g139 +Vint +p20680 +tp20681 +a(g189 +V +tp20682 +a(g18 +Vna +p20683 +tp20684 +a(g189 +V +tp20685 +a(g344 +V= +tp20686 +a(g189 +V +tp20687 +a(g18 +Voparg +p20688 +tp20689 +a(g189 +V +tp20690 +a(g344 +V& +tp20691 +a(g189 +V +tp20692 +a(g315 +V0xff +p20693 +tp20694 +a(g202 +V; +tp20695 +a(g189 +V\u000a +tp20696 +a(g189 +V +p20697 +tp20698 +a(g139 +Vint +p20699 +tp20700 +a(g189 +V +tp20701 +a(g18 +Vnk +p20702 +tp20703 +a(g189 +V +tp20704 +a(g344 +V= +tp20705 +a(g189 +V +tp20706 +a(g202 +V( +tp20707 +a(g18 +Voparg +p20708 +tp20709 +a(g344 +V> +tp20710 +a(g344 +V> +tp20711 +a(g319 +V8 +tp20712 +a(g202 +V) +tp20713 +a(g189 +V +tp20714 +a(g344 +V& +tp20715 +a(g189 +V +tp20716 +a(g315 +V0xff +p20717 +tp20718 +a(g202 +V; +tp20719 +a(g189 +V\u000a +tp20720 +a(g189 +V +p20721 +tp20722 +a(g139 +Vint +p20723 +tp20724 +a(g189 +V +tp20725 +a(g18 +Vflags +p20726 +tp20727 +a(g189 +V +tp20728 +a(g344 +V= +tp20729 +a(g189 +V +tp20730 +a(g202 +V( +tp20731 +a(g18 +Vopcode +p20732 +tp20733 +a(g189 +V +tp20734 +a(g344 +V- +tp20735 +a(g189 +V +tp20736 +a(g18 +VCALL_FUNCTION +p20737 +tp20738 +a(g202 +V) +tp20739 +a(g189 +V +tp20740 +a(g344 +V& +tp20741 +a(g189 +V +tp20742 +a(g319 +V3 +tp20743 +a(g202 +V; +tp20744 +a(g189 +V\u000a +tp20745 +a(g189 +V +p20746 +tp20747 +a(g139 +Vint +p20748 +tp20749 +a(g189 +V +tp20750 +a(g18 +Vn +tp20751 +a(g189 +V +tp20752 +a(g344 +V= +tp20753 +a(g189 +V +tp20754 +a(g18 +Vna +p20755 +tp20756 +a(g189 +V +tp20757 +a(g344 +V+ +tp20758 +a(g189 +V +tp20759 +a(g319 +V2 +tp20760 +a(g189 +V +tp20761 +a(g344 +V* +tp20762 +a(g189 +V +tp20763 +a(g18 +Vnk +p20764 +tp20765 +a(g202 +V; +tp20766 +a(g189 +V\u000a +tp20767 +a(g189 +V +p20768 +tp20769 +a(g18 +VPyObject +p20770 +tp20771 +a(g189 +V +tp20772 +a(g344 +V* +tp20773 +a(g344 +V* +tp20774 +a(g18 +Vpfunc +p20775 +tp20776 +a(g202 +V, +tp20777 +a(g189 +V +tp20778 +a(g344 +V* +tp20779 +a(g18 +Vfunc +p20780 +tp20781 +a(g202 +V, +tp20782 +a(g189 +V +tp20783 +a(g344 +V* +tp20784 +a(g344 +V* +tp20785 +a(g18 +Vsp +p20786 +tp20787 +a(g202 +V; +tp20788 +a(g189 +V\u000a +tp20789 +a(g189 +V +p20790 +tp20791 +a(g18 +VPCALL +p20792 +tp20793 +a(g202 +V( +tp20794 +a(g18 +VPCALL_ALL +p20795 +tp20796 +a(g202 +V) +tp20797 +a(g202 +V; +tp20798 +a(g189 +V\u000a +tp20799 +a(g189 +V +p20800 +tp20801 +a(g111 +Vif +p20802 +tp20803 +a(g189 +V +tp20804 +a(g202 +V( +tp20805 +a(g18 +Vflags +p20806 +tp20807 +a(g189 +V +tp20808 +a(g344 +V& +tp20809 +a(g189 +V +tp20810 +a(g18 +VCALL_FLAG_VAR +p20811 +tp20812 +a(g202 +V) +tp20813 +a(g189 +V\u000a +tp20814 +a(g189 +V +p20815 +tp20816 +a(g18 +Vn +tp20817 +a(g344 +V+ +tp20818 +a(g344 +V+ +tp20819 +a(g202 +V; +tp20820 +a(g189 +V\u000a +tp20821 +a(g189 +V +p20822 +tp20823 +a(g111 +Vif +p20824 +tp20825 +a(g189 +V +tp20826 +a(g202 +V( +tp20827 +a(g18 +Vflags +p20828 +tp20829 +a(g189 +V +tp20830 +a(g344 +V& +tp20831 +a(g189 +V +tp20832 +a(g18 +VCALL_FLAG_KW +p20833 +tp20834 +a(g202 +V) +tp20835 +a(g189 +V\u000a +tp20836 +a(g189 +V +p20837 +tp20838 +a(g18 +Vn +tp20839 +a(g344 +V+ +tp20840 +a(g344 +V+ +tp20841 +a(g202 +V; +tp20842 +a(g189 +V\u000a +tp20843 +a(g189 +V +p20844 +tp20845 +a(g18 +Vpfunc +p20846 +tp20847 +a(g189 +V +tp20848 +a(g344 +V= +tp20849 +a(g189 +V +tp20850 +a(g18 +Vstack_pointer +p20851 +tp20852 +a(g189 +V +tp20853 +a(g344 +V- +tp20854 +a(g189 +V +tp20855 +a(g18 +Vn +tp20856 +a(g189 +V +tp20857 +a(g344 +V- +tp20858 +a(g189 +V +tp20859 +a(g319 +V1 +tp20860 +a(g202 +V; +tp20861 +a(g189 +V\u000a +tp20862 +a(g189 +V +p20863 +tp20864 +a(g18 +Vfunc +p20865 +tp20866 +a(g189 +V +tp20867 +a(g344 +V= +tp20868 +a(g189 +V +tp20869 +a(g344 +V* +tp20870 +a(g18 +Vpfunc +p20871 +tp20872 +a(g202 +V; +tp20873 +a(g189 +V\u000a +tp20874 +a(g189 +V\u000a +tp20875 +a(g189 +V +p20876 +tp20877 +a(g111 +Vif +p20878 +tp20879 +a(g189 +V +tp20880 +a(g202 +V( +tp20881 +a(g18 +VPyMethod_Check +p20882 +tp20883 +a(g202 +V( +tp20884 +a(g18 +Vfunc +p20885 +tp20886 +a(g202 +V) +tp20887 +a(g189 +V\u000a +tp20888 +a(g189 +V +p20889 +tp20890 +a(g344 +V& +tp20891 +a(g344 +V& +tp20892 +a(g189 +V +tp20893 +a(g18 +VPyMethod_GET_SELF +p20894 +tp20895 +a(g202 +V( +tp20896 +a(g18 +Vfunc +p20897 +tp20898 +a(g202 +V) +tp20899 +a(g189 +V +tp20900 +a(g344 +V! +tp20901 +a(g344 +V= +tp20902 +a(g189 +V +tp20903 +a(g57 +VNULL +p20904 +tp20905 +a(g202 +V) +tp20906 +a(g189 +V +tp20907 +a(g202 +V{ +tp20908 +a(g189 +V\u000a +tp20909 +a(g189 +V +p20910 +tp20911 +a(g18 +VPyObject +p20912 +tp20913 +a(g189 +V +tp20914 +a(g344 +V* +tp20915 +a(g18 +Vself +p20916 +tp20917 +a(g189 +V +tp20918 +a(g344 +V= +tp20919 +a(g189 +V +tp20920 +a(g18 +VPyMethod_GET_SELF +p20921 +tp20922 +a(g202 +V( +tp20923 +a(g18 +Vfunc +p20924 +tp20925 +a(g202 +V) +tp20926 +a(g202 +V; +tp20927 +a(g189 +V\u000a +tp20928 +a(g189 +V +p20929 +tp20930 +a(g18 +VPy_INCREF +p20931 +tp20932 +a(g202 +V( +tp20933 +a(g18 +Vself +p20934 +tp20935 +a(g202 +V) +tp20936 +a(g202 +V; +tp20937 +a(g189 +V\u000a +tp20938 +a(g189 +V +p20939 +tp20940 +a(g18 +Vfunc +p20941 +tp20942 +a(g189 +V +tp20943 +a(g344 +V= +tp20944 +a(g189 +V +tp20945 +a(g18 +VPyMethod_GET_FUNCTION +p20946 +tp20947 +a(g202 +V( +tp20948 +a(g18 +Vfunc +p20949 +tp20950 +a(g202 +V) +tp20951 +a(g202 +V; +tp20952 +a(g189 +V\u000a +tp20953 +a(g189 +V +p20954 +tp20955 +a(g18 +VPy_INCREF +p20956 +tp20957 +a(g202 +V( +tp20958 +a(g18 +Vfunc +p20959 +tp20960 +a(g202 +V) +tp20961 +a(g202 +V; +tp20962 +a(g189 +V\u000a +tp20963 +a(g189 +V +p20964 +tp20965 +a(g18 +VPy_DECREF +p20966 +tp20967 +a(g202 +V( +tp20968 +a(g344 +V* +tp20969 +a(g18 +Vpfunc +p20970 +tp20971 +a(g202 +V) +tp20972 +a(g202 +V; +tp20973 +a(g189 +V\u000a +tp20974 +a(g189 +V +p20975 +tp20976 +a(g344 +V* +tp20977 +a(g18 +Vpfunc +p20978 +tp20979 +a(g189 +V +tp20980 +a(g344 +V= +tp20981 +a(g189 +V +tp20982 +a(g18 +Vself +p20983 +tp20984 +a(g202 +V; +tp20985 +a(g189 +V\u000a +tp20986 +a(g189 +V +p20987 +tp20988 +a(g18 +Vna +p20989 +tp20990 +a(g344 +V+ +tp20991 +a(g344 +V+ +tp20992 +a(g202 +V; +tp20993 +a(g189 +V\u000a +tp20994 +a(g189 +V +p20995 +tp20996 +a(g18 +Vn +tp20997 +a(g344 +V+ +tp20998 +a(g344 +V+ +tp20999 +a(g202 +V; +tp21000 +a(g189 +V\u000a +tp21001 +a(g189 +V +p21002 +tp21003 +a(g202 +V} +tp21004 +a(g189 +V +tp21005 +a(g111 +Velse +p21006 +tp21007 +a(g189 +V\u000a +tp21008 +a(g189 +V +p21009 +tp21010 +a(g18 +VPy_INCREF +p21011 +tp21012 +a(g202 +V( +tp21013 +a(g18 +Vfunc +p21014 +tp21015 +a(g202 +V) +tp21016 +a(g202 +V; +tp21017 +a(g189 +V\u000a +tp21018 +a(g189 +V +p21019 +tp21020 +a(g18 +Vsp +p21021 +tp21022 +a(g189 +V +tp21023 +a(g344 +V= +tp21024 +a(g189 +V +tp21025 +a(g18 +Vstack_pointer +p21026 +tp21027 +a(g202 +V; +tp21028 +a(g189 +V\u000a +tp21029 +a(g189 +V +p21030 +tp21031 +a(g18 +VREAD_TIMESTAMP +p21032 +tp21033 +a(g202 +V( +tp21034 +a(g18 +Vintr0 +p21035 +tp21036 +a(g202 +V) +tp21037 +a(g202 +V; +tp21038 +a(g189 +V\u000a +tp21039 +a(g189 +V +p21040 +tp21041 +a(g18 +Vx +tp21042 +a(g189 +V +tp21043 +a(g344 +V= +tp21044 +a(g189 +V +tp21045 +a(g18 +Vext_do_call +p21046 +tp21047 +a(g202 +V( +tp21048 +a(g18 +Vfunc +p21049 +tp21050 +a(g202 +V, +tp21051 +a(g189 +V +tp21052 +a(g344 +V& +tp21053 +a(g18 +Vsp +p21054 +tp21055 +a(g202 +V, +tp21056 +a(g189 +V +tp21057 +a(g18 +Vflags +p21058 +tp21059 +a(g202 +V, +tp21060 +a(g189 +V +tp21061 +a(g18 +Vna +p21062 +tp21063 +a(g202 +V, +tp21064 +a(g189 +V +tp21065 +a(g18 +Vnk +p21066 +tp21067 +a(g202 +V) +tp21068 +a(g202 +V; +tp21069 +a(g189 +V\u000a +tp21070 +a(g189 +V +p21071 +tp21072 +a(g18 +VREAD_TIMESTAMP +p21073 +tp21074 +a(g202 +V( +tp21075 +a(g18 +Vintr1 +p21076 +tp21077 +a(g202 +V) +tp21078 +a(g202 +V; +tp21079 +a(g189 +V\u000a +tp21080 +a(g189 +V +p21081 +tp21082 +a(g18 +Vstack_pointer +p21083 +tp21084 +a(g189 +V +tp21085 +a(g344 +V= +tp21086 +a(g189 +V +tp21087 +a(g18 +Vsp +p21088 +tp21089 +a(g202 +V; +tp21090 +a(g189 +V\u000a +tp21091 +a(g189 +V +p21092 +tp21093 +a(g18 +VPy_DECREF +p21094 +tp21095 +a(g202 +V( +tp21096 +a(g18 +Vfunc +p21097 +tp21098 +a(g202 +V) +tp21099 +a(g202 +V; +tp21100 +a(g189 +V\u000a +tp21101 +a(g189 +V\u000a +tp21102 +a(g189 +V +p21103 +tp21104 +a(g111 +Vwhile +p21105 +tp21106 +a(g189 +V +tp21107 +a(g202 +V( +tp21108 +a(g18 +Vstack_pointer +p21109 +tp21110 +a(g189 +V +tp21111 +a(g344 +V> +tp21112 +a(g189 +V +tp21113 +a(g18 +Vpfunc +p21114 +tp21115 +a(g202 +V) +tp21116 +a(g189 +V +tp21117 +a(g202 +V{ +tp21118 +a(g189 +V\u000a +tp21119 +a(g189 +V +p21120 +tp21121 +a(g18 +Vw +tp21122 +a(g189 +V +tp21123 +a(g344 +V= +tp21124 +a(g189 +V +tp21125 +a(g18 +VPOP +p21126 +tp21127 +a(g202 +V( +tp21128 +a(g202 +V) +tp21129 +a(g202 +V; +tp21130 +a(g189 +V\u000a +tp21131 +a(g189 +V +p21132 +tp21133 +a(g18 +VPy_DECREF +p21134 +tp21135 +a(g202 +V( +tp21136 +a(g18 +Vw +tp21137 +a(g202 +V) +tp21138 +a(g202 +V; +tp21139 +a(g189 +V\u000a +tp21140 +a(g189 +V +p21141 +tp21142 +a(g202 +V} +tp21143 +a(g189 +V\u000a +tp21144 +a(g189 +V +p21145 +tp21146 +a(g18 +VPUSH +p21147 +tp21148 +a(g202 +V( +tp21149 +a(g18 +Vx +tp21150 +a(g202 +V) +tp21151 +a(g202 +V; +tp21152 +a(g189 +V\u000a +tp21153 +a(g189 +V +p21154 +tp21155 +a(g111 +Vif +p21156 +tp21157 +a(g189 +V +tp21158 +a(g202 +V( +tp21159 +a(g18 +Vx +tp21160 +a(g189 +V +tp21161 +a(g344 +V! +tp21162 +a(g344 +V= +tp21163 +a(g189 +V +tp21164 +a(g57 +VNULL +p21165 +tp21166 +a(g202 +V) +tp21167 +a(g189 +V\u000a +tp21168 +a(g189 +V +p21169 +tp21170 +a(g111 +Vcontinue +p21171 +tp21172 +a(g202 +V; +tp21173 +a(g189 +V\u000a +tp21174 +a(g189 +V +p21175 +tp21176 +a(g111 +Vbreak +p21177 +tp21178 +a(g202 +V; +tp21179 +a(g189 +V\u000a +tp21180 +a(g189 +V +p21181 +tp21182 +a(g202 +V} +tp21183 +a(g189 +V\u000a +tp21184 +a(g189 +V\u000a +tp21185 +a(g189 +V +p21186 +tp21187 +a(g111 +Vcase +p21188 +tp21189 +a(g189 +V +tp21190 +a(g189 +V +tp21191 +a(g18 +VMAKE_FUNCTION +p21192 +tp21193 +a(g189 +V: +tp21194 +a(g189 +V\u000a +tp21195 +a(g189 +V +p21196 +tp21197 +a(g18 +Vv +tp21198 +a(g189 +V +tp21199 +a(g344 +V= +tp21200 +a(g189 +V +tp21201 +a(g18 +VPOP +p21202 +tp21203 +a(g202 +V( +tp21204 +a(g202 +V) +tp21205 +a(g202 +V; +tp21206 +a(g189 +V +tp21207 +a(g7 +V/* code object */ +p21208 +tp21209 +a(g189 +V\u000a +tp21210 +a(g189 +V +p21211 +tp21212 +a(g18 +Vx +tp21213 +a(g189 +V +tp21214 +a(g344 +V= +tp21215 +a(g189 +V +tp21216 +a(g18 +VPyFunction_New +p21217 +tp21218 +a(g202 +V( +tp21219 +a(g18 +Vv +tp21220 +a(g202 +V, +tp21221 +a(g189 +V +tp21222 +a(g18 +Vf +tp21223 +a(g344 +V- +tp21224 +a(g344 +V> +tp21225 +a(g18 +Vf_globals +p21226 +tp21227 +a(g202 +V) +tp21228 +a(g202 +V; +tp21229 +a(g189 +V\u000a +tp21230 +a(g189 +V +p21231 +tp21232 +a(g18 +VPy_DECREF +p21233 +tp21234 +a(g202 +V( +tp21235 +a(g18 +Vv +tp21236 +a(g202 +V) +tp21237 +a(g202 +V; +tp21238 +a(g189 +V\u000a +tp21239 +a(g189 +V +p21240 +tp21241 +a(g7 +V/* XXX Maybe this should be a separate opcode? */ +p21242 +tp21243 +a(g189 +V\u000a +tp21244 +a(g189 +V +p21245 +tp21246 +a(g111 +Vif +p21247 +tp21248 +a(g189 +V +tp21249 +a(g202 +V( +tp21250 +a(g18 +Vx +tp21251 +a(g189 +V +tp21252 +a(g344 +V! +tp21253 +a(g344 +V= +tp21254 +a(g189 +V +tp21255 +a(g57 +VNULL +p21256 +tp21257 +a(g189 +V +tp21258 +a(g344 +V& +tp21259 +a(g344 +V& +tp21260 +a(g189 +V +tp21261 +a(g18 +Voparg +p21262 +tp21263 +a(g189 +V +tp21264 +a(g344 +V> +tp21265 +a(g189 +V +tp21266 +a(g319 +V0 +tp21267 +a(g202 +V) +tp21268 +a(g189 +V +tp21269 +a(g202 +V{ +tp21270 +a(g189 +V\u000a +tp21271 +a(g189 +V +p21272 +tp21273 +a(g18 +Vv +tp21274 +a(g189 +V +tp21275 +a(g344 +V= +tp21276 +a(g189 +V +tp21277 +a(g18 +VPyTuple_New +p21278 +tp21279 +a(g202 +V( +tp21280 +a(g18 +Voparg +p21281 +tp21282 +a(g202 +V) +tp21283 +a(g202 +V; +tp21284 +a(g189 +V\u000a +tp21285 +a(g189 +V +p21286 +tp21287 +a(g111 +Vif +p21288 +tp21289 +a(g189 +V +tp21290 +a(g202 +V( +tp21291 +a(g18 +Vv +tp21292 +a(g189 +V +tp21293 +a(g344 +V= +tp21294 +a(g344 +V= +tp21295 +a(g189 +V +tp21296 +a(g57 +VNULL +p21297 +tp21298 +a(g202 +V) +tp21299 +a(g189 +V +tp21300 +a(g202 +V{ +tp21301 +a(g189 +V\u000a +tp21302 +a(g189 +V +p21303 +tp21304 +a(g18 +VPy_DECREF +p21305 +tp21306 +a(g202 +V( +tp21307 +a(g18 +Vx +tp21308 +a(g202 +V) +tp21309 +a(g202 +V; +tp21310 +a(g189 +V\u000a +tp21311 +a(g189 +V +p21312 +tp21313 +a(g18 +Vx +tp21314 +a(g189 +V +tp21315 +a(g344 +V= +tp21316 +a(g189 +V +tp21317 +a(g57 +VNULL +p21318 +tp21319 +a(g202 +V; +tp21320 +a(g189 +V\u000a +tp21321 +a(g189 +V +p21322 +tp21323 +a(g111 +Vbreak +p21324 +tp21325 +a(g202 +V; +tp21326 +a(g189 +V\u000a +tp21327 +a(g189 +V +p21328 +tp21329 +a(g202 +V} +tp21330 +a(g189 +V\u000a +tp21331 +a(g189 +V +p21332 +tp21333 +a(g111 +Vwhile +p21334 +tp21335 +a(g189 +V +tp21336 +a(g202 +V( +tp21337 +a(g344 +V- +tp21338 +a(g344 +V- +tp21339 +a(g18 +Voparg +p21340 +tp21341 +a(g189 +V +tp21342 +a(g344 +V> +tp21343 +a(g344 +V= +tp21344 +a(g189 +V +tp21345 +a(g319 +V0 +tp21346 +a(g202 +V) +tp21347 +a(g189 +V +tp21348 +a(g202 +V{ +tp21349 +a(g189 +V\u000a +tp21350 +a(g189 +V +p21351 +tp21352 +a(g18 +Vw +tp21353 +a(g189 +V +tp21354 +a(g344 +V= +tp21355 +a(g189 +V +tp21356 +a(g18 +VPOP +p21357 +tp21358 +a(g202 +V( +tp21359 +a(g202 +V) +tp21360 +a(g202 +V; +tp21361 +a(g189 +V\u000a +tp21362 +a(g189 +V +p21363 +tp21364 +a(g18 +VPyTuple_SET_ITEM +p21365 +tp21366 +a(g202 +V( +tp21367 +a(g18 +Vv +tp21368 +a(g202 +V, +tp21369 +a(g189 +V +tp21370 +a(g18 +Voparg +p21371 +tp21372 +a(g202 +V, +tp21373 +a(g189 +V +tp21374 +a(g18 +Vw +tp21375 +a(g202 +V) +tp21376 +a(g202 +V; +tp21377 +a(g189 +V\u000a +tp21378 +a(g189 +V +p21379 +tp21380 +a(g202 +V} +tp21381 +a(g189 +V\u000a +tp21382 +a(g189 +V +p21383 +tp21384 +a(g18 +Verr +p21385 +tp21386 +a(g189 +V +tp21387 +a(g344 +V= +tp21388 +a(g189 +V +tp21389 +a(g18 +VPyFunction_SetDefaults +p21390 +tp21391 +a(g202 +V( +tp21392 +a(g18 +Vx +tp21393 +a(g202 +V, +tp21394 +a(g189 +V +tp21395 +a(g18 +Vv +tp21396 +a(g202 +V) +tp21397 +a(g202 +V; +tp21398 +a(g189 +V\u000a +tp21399 +a(g189 +V +p21400 +tp21401 +a(g18 +VPy_DECREF +p21402 +tp21403 +a(g202 +V( +tp21404 +a(g18 +Vv +tp21405 +a(g202 +V) +tp21406 +a(g202 +V; +tp21407 +a(g189 +V\u000a +tp21408 +a(g189 +V +p21409 +tp21410 +a(g202 +V} +tp21411 +a(g189 +V\u000a +tp21412 +a(g189 +V +p21413 +tp21414 +a(g18 +VPUSH +p21415 +tp21416 +a(g202 +V( +tp21417 +a(g18 +Vx +tp21418 +a(g202 +V) +tp21419 +a(g202 +V; +tp21420 +a(g189 +V\u000a +tp21421 +a(g189 +V +p21422 +tp21423 +a(g111 +Vbreak +p21424 +tp21425 +a(g202 +V; +tp21426 +a(g189 +V\u000a +tp21427 +a(g189 +V\u000a +tp21428 +a(g189 +V +p21429 +tp21430 +a(g111 +Vcase +p21431 +tp21432 +a(g189 +V +tp21433 +a(g189 +V +tp21434 +a(g18 +VMAKE_CLOSURE +p21435 +tp21436 +a(g189 +V: +tp21437 +a(g189 +V\u000a +tp21438 +a(g189 +V +p21439 +tp21440 +a(g202 +V{ +tp21441 +a(g189 +V\u000a +tp21442 +a(g189 +V +p21443 +tp21444 +a(g18 +Vv +tp21445 +a(g189 +V +tp21446 +a(g344 +V= +tp21447 +a(g189 +V +tp21448 +a(g18 +VPOP +p21449 +tp21450 +a(g202 +V( +tp21451 +a(g202 +V) +tp21452 +a(g202 +V; +tp21453 +a(g189 +V +tp21454 +a(g7 +V/* code object */ +p21455 +tp21456 +a(g189 +V\u000a +tp21457 +a(g189 +V +p21458 +tp21459 +a(g18 +Vx +tp21460 +a(g189 +V +tp21461 +a(g344 +V= +tp21462 +a(g189 +V +tp21463 +a(g18 +VPyFunction_New +p21464 +tp21465 +a(g202 +V( +tp21466 +a(g18 +Vv +tp21467 +a(g202 +V, +tp21468 +a(g189 +V +tp21469 +a(g18 +Vf +tp21470 +a(g344 +V- +tp21471 +a(g344 +V> +tp21472 +a(g18 +Vf_globals +p21473 +tp21474 +a(g202 +V) +tp21475 +a(g202 +V; +tp21476 +a(g189 +V\u000a +tp21477 +a(g189 +V +p21478 +tp21479 +a(g18 +VPy_DECREF +p21480 +tp21481 +a(g202 +V( +tp21482 +a(g18 +Vv +tp21483 +a(g202 +V) +tp21484 +a(g202 +V; +tp21485 +a(g189 +V\u000a +tp21486 +a(g189 +V +p21487 +tp21488 +a(g111 +Vif +p21489 +tp21490 +a(g189 +V +tp21491 +a(g202 +V( +tp21492 +a(g18 +Vx +tp21493 +a(g189 +V +tp21494 +a(g344 +V! +tp21495 +a(g344 +V= +tp21496 +a(g189 +V +tp21497 +a(g57 +VNULL +p21498 +tp21499 +a(g202 +V) +tp21500 +a(g189 +V +tp21501 +a(g202 +V{ +tp21502 +a(g189 +V\u000a +tp21503 +a(g189 +V +p21504 +tp21505 +a(g18 +Vv +tp21506 +a(g189 +V +tp21507 +a(g344 +V= +tp21508 +a(g189 +V +tp21509 +a(g18 +VPOP +p21510 +tp21511 +a(g202 +V( +tp21512 +a(g202 +V) +tp21513 +a(g202 +V; +tp21514 +a(g189 +V\u000a +tp21515 +a(g189 +V +p21516 +tp21517 +a(g18 +Verr +p21518 +tp21519 +a(g189 +V +tp21520 +a(g344 +V= +tp21521 +a(g189 +V +tp21522 +a(g18 +VPyFunction_SetClosure +p21523 +tp21524 +a(g202 +V( +tp21525 +a(g18 +Vx +tp21526 +a(g202 +V, +tp21527 +a(g189 +V +tp21528 +a(g18 +Vv +tp21529 +a(g202 +V) +tp21530 +a(g202 +V; +tp21531 +a(g189 +V\u000a +tp21532 +a(g189 +V +p21533 +tp21534 +a(g18 +VPy_DECREF +p21535 +tp21536 +a(g202 +V( +tp21537 +a(g18 +Vv +tp21538 +a(g202 +V) +tp21539 +a(g202 +V; +tp21540 +a(g189 +V\u000a +tp21541 +a(g189 +V +p21542 +tp21543 +a(g202 +V} +tp21544 +a(g189 +V\u000a +tp21545 +a(g189 +V +p21546 +tp21547 +a(g111 +Vif +p21548 +tp21549 +a(g189 +V +tp21550 +a(g202 +V( +tp21551 +a(g18 +Vx +tp21552 +a(g189 +V +tp21553 +a(g344 +V! +tp21554 +a(g344 +V= +tp21555 +a(g189 +V +tp21556 +a(g57 +VNULL +p21557 +tp21558 +a(g189 +V +tp21559 +a(g344 +V& +tp21560 +a(g344 +V& +tp21561 +a(g189 +V +tp21562 +a(g18 +Voparg +p21563 +tp21564 +a(g189 +V +tp21565 +a(g344 +V> +tp21566 +a(g189 +V +tp21567 +a(g319 +V0 +tp21568 +a(g202 +V) +tp21569 +a(g189 +V +tp21570 +a(g202 +V{ +tp21571 +a(g189 +V\u000a +tp21572 +a(g189 +V +p21573 +tp21574 +a(g18 +Vv +tp21575 +a(g189 +V +tp21576 +a(g344 +V= +tp21577 +a(g189 +V +tp21578 +a(g18 +VPyTuple_New +p21579 +tp21580 +a(g202 +V( +tp21581 +a(g18 +Voparg +p21582 +tp21583 +a(g202 +V) +tp21584 +a(g202 +V; +tp21585 +a(g189 +V\u000a +tp21586 +a(g189 +V +p21587 +tp21588 +a(g111 +Vif +p21589 +tp21590 +a(g189 +V +tp21591 +a(g202 +V( +tp21592 +a(g18 +Vv +tp21593 +a(g189 +V +tp21594 +a(g344 +V= +tp21595 +a(g344 +V= +tp21596 +a(g189 +V +tp21597 +a(g57 +VNULL +p21598 +tp21599 +a(g202 +V) +tp21600 +a(g189 +V +tp21601 +a(g202 +V{ +tp21602 +a(g189 +V\u000a +tp21603 +a(g189 +V +p21604 +tp21605 +a(g18 +VPy_DECREF +p21606 +tp21607 +a(g202 +V( +tp21608 +a(g18 +Vx +tp21609 +a(g202 +V) +tp21610 +a(g202 +V; +tp21611 +a(g189 +V\u000a +tp21612 +a(g189 +V +p21613 +tp21614 +a(g18 +Vx +tp21615 +a(g189 +V +tp21616 +a(g344 +V= +tp21617 +a(g189 +V +tp21618 +a(g57 +VNULL +p21619 +tp21620 +a(g202 +V; +tp21621 +a(g189 +V\u000a +tp21622 +a(g189 +V +p21623 +tp21624 +a(g111 +Vbreak +p21625 +tp21626 +a(g202 +V; +tp21627 +a(g189 +V\u000a +tp21628 +a(g189 +V +p21629 +tp21630 +a(g202 +V} +tp21631 +a(g189 +V\u000a +tp21632 +a(g189 +V +p21633 +tp21634 +a(g111 +Vwhile +p21635 +tp21636 +a(g189 +V +tp21637 +a(g202 +V( +tp21638 +a(g344 +V- +tp21639 +a(g344 +V- +tp21640 +a(g18 +Voparg +p21641 +tp21642 +a(g189 +V +tp21643 +a(g344 +V> +tp21644 +a(g344 +V= +tp21645 +a(g189 +V +tp21646 +a(g319 +V0 +tp21647 +a(g202 +V) +tp21648 +a(g189 +V +tp21649 +a(g202 +V{ +tp21650 +a(g189 +V\u000a +tp21651 +a(g189 +V +p21652 +tp21653 +a(g18 +Vw +tp21654 +a(g189 +V +tp21655 +a(g344 +V= +tp21656 +a(g189 +V +tp21657 +a(g18 +VPOP +p21658 +tp21659 +a(g202 +V( +tp21660 +a(g202 +V) +tp21661 +a(g202 +V; +tp21662 +a(g189 +V\u000a +tp21663 +a(g189 +V +p21664 +tp21665 +a(g18 +VPyTuple_SET_ITEM +p21666 +tp21667 +a(g202 +V( +tp21668 +a(g18 +Vv +tp21669 +a(g202 +V, +tp21670 +a(g189 +V +tp21671 +a(g18 +Voparg +p21672 +tp21673 +a(g202 +V, +tp21674 +a(g189 +V +tp21675 +a(g18 +Vw +tp21676 +a(g202 +V) +tp21677 +a(g202 +V; +tp21678 +a(g189 +V\u000a +tp21679 +a(g189 +V +p21680 +tp21681 +a(g202 +V} +tp21682 +a(g189 +V\u000a +tp21683 +a(g189 +V +p21684 +tp21685 +a(g18 +Verr +p21686 +tp21687 +a(g189 +V +tp21688 +a(g344 +V= +tp21689 +a(g189 +V +tp21690 +a(g18 +VPyFunction_SetDefaults +p21691 +tp21692 +a(g202 +V( +tp21693 +a(g18 +Vx +tp21694 +a(g202 +V, +tp21695 +a(g189 +V +tp21696 +a(g18 +Vv +tp21697 +a(g202 +V) +tp21698 +a(g202 +V; +tp21699 +a(g189 +V\u000a +tp21700 +a(g189 +V +p21701 +tp21702 +a(g18 +VPy_DECREF +p21703 +tp21704 +a(g202 +V( +tp21705 +a(g18 +Vv +tp21706 +a(g202 +V) +tp21707 +a(g202 +V; +tp21708 +a(g189 +V\u000a +tp21709 +a(g189 +V +p21710 +tp21711 +a(g202 +V} +tp21712 +a(g189 +V\u000a +tp21713 +a(g189 +V +p21714 +tp21715 +a(g18 +VPUSH +p21716 +tp21717 +a(g202 +V( +tp21718 +a(g18 +Vx +tp21719 +a(g202 +V) +tp21720 +a(g202 +V; +tp21721 +a(g189 +V\u000a +tp21722 +a(g189 +V +p21723 +tp21724 +a(g111 +Vbreak +p21725 +tp21726 +a(g202 +V; +tp21727 +a(g189 +V\u000a +tp21728 +a(g189 +V +p21729 +tp21730 +a(g202 +V} +tp21731 +a(g189 +V\u000a +tp21732 +a(g189 +V\u000a +tp21733 +a(g189 +V +p21734 +tp21735 +a(g111 +Vcase +p21736 +tp21737 +a(g189 +V +tp21738 +a(g189 +V +tp21739 +a(g18 +VBUILD_SLICE +p21740 +tp21741 +a(g189 +V: +tp21742 +a(g189 +V\u000a +tp21743 +a(g189 +V +p21744 +tp21745 +a(g111 +Vif +p21746 +tp21747 +a(g189 +V +tp21748 +a(g202 +V( +tp21749 +a(g18 +Voparg +p21750 +tp21751 +a(g189 +V +tp21752 +a(g344 +V= +tp21753 +a(g344 +V= +tp21754 +a(g189 +V +tp21755 +a(g319 +V3 +tp21756 +a(g202 +V) +tp21757 +a(g189 +V\u000a +tp21758 +a(g189 +V +p21759 +tp21760 +a(g18 +Vw +tp21761 +a(g189 +V +tp21762 +a(g344 +V= +tp21763 +a(g189 +V +tp21764 +a(g18 +VPOP +p21765 +tp21766 +a(g202 +V( +tp21767 +a(g202 +V) +tp21768 +a(g202 +V; +tp21769 +a(g189 +V\u000a +tp21770 +a(g189 +V +p21771 +tp21772 +a(g111 +Velse +p21773 +tp21774 +a(g189 +V\u000a +tp21775 +a(g189 +V +p21776 +tp21777 +a(g18 +Vw +tp21778 +a(g189 +V +tp21779 +a(g344 +V= +tp21780 +a(g189 +V +tp21781 +a(g57 +VNULL +p21782 +tp21783 +a(g202 +V; +tp21784 +a(g189 +V\u000a +tp21785 +a(g189 +V +p21786 +tp21787 +a(g18 +Vv +tp21788 +a(g189 +V +tp21789 +a(g344 +V= +tp21790 +a(g189 +V +tp21791 +a(g18 +VPOP +p21792 +tp21793 +a(g202 +V( +tp21794 +a(g202 +V) +tp21795 +a(g202 +V; +tp21796 +a(g189 +V\u000a +tp21797 +a(g189 +V +p21798 +tp21799 +a(g18 +Vu +tp21800 +a(g189 +V +tp21801 +a(g344 +V= +tp21802 +a(g189 +V +tp21803 +a(g18 +VTOP +p21804 +tp21805 +a(g202 +V( +tp21806 +a(g202 +V) +tp21807 +a(g202 +V; +tp21808 +a(g189 +V\u000a +tp21809 +a(g189 +V +p21810 +tp21811 +a(g18 +Vx +tp21812 +a(g189 +V +tp21813 +a(g344 +V= +tp21814 +a(g189 +V +tp21815 +a(g18 +VPySlice_New +p21816 +tp21817 +a(g202 +V( +tp21818 +a(g18 +Vu +tp21819 +a(g202 +V, +tp21820 +a(g189 +V +tp21821 +a(g18 +Vv +tp21822 +a(g202 +V, +tp21823 +a(g189 +V +tp21824 +a(g18 +Vw +tp21825 +a(g202 +V) +tp21826 +a(g202 +V; +tp21827 +a(g189 +V\u000a +tp21828 +a(g189 +V +p21829 +tp21830 +a(g18 +VPy_DECREF +p21831 +tp21832 +a(g202 +V( +tp21833 +a(g18 +Vu +tp21834 +a(g202 +V) +tp21835 +a(g202 +V; +tp21836 +a(g189 +V\u000a +tp21837 +a(g189 +V +p21838 +tp21839 +a(g18 +VPy_DECREF +p21840 +tp21841 +a(g202 +V( +tp21842 +a(g18 +Vv +tp21843 +a(g202 +V) +tp21844 +a(g202 +V; +tp21845 +a(g189 +V\u000a +tp21846 +a(g189 +V +p21847 +tp21848 +a(g18 +VPy_XDECREF +p21849 +tp21850 +a(g202 +V( +tp21851 +a(g18 +Vw +tp21852 +a(g202 +V) +tp21853 +a(g202 +V; +tp21854 +a(g189 +V\u000a +tp21855 +a(g189 +V +p21856 +tp21857 +a(g18 +VSET_TOP +p21858 +tp21859 +a(g202 +V( +tp21860 +a(g18 +Vx +tp21861 +a(g202 +V) +tp21862 +a(g202 +V; +tp21863 +a(g189 +V\u000a +tp21864 +a(g189 +V +p21865 +tp21866 +a(g111 +Vif +p21867 +tp21868 +a(g189 +V +tp21869 +a(g202 +V( +tp21870 +a(g18 +Vx +tp21871 +a(g189 +V +tp21872 +a(g344 +V! +tp21873 +a(g344 +V= +tp21874 +a(g189 +V +tp21875 +a(g57 +VNULL +p21876 +tp21877 +a(g202 +V) +tp21878 +a(g189 +V +tp21879 +a(g111 +Vcontinue +p21880 +tp21881 +a(g202 +V; +tp21882 +a(g189 +V\u000a +tp21883 +a(g189 +V +p21884 +tp21885 +a(g111 +Vbreak +p21886 +tp21887 +a(g202 +V; +tp21888 +a(g189 +V\u000a +tp21889 +a(g189 +V\u000a +tp21890 +a(g189 +V +p21891 +tp21892 +a(g111 +Vcase +p21893 +tp21894 +a(g189 +V +tp21895 +a(g189 +V +tp21896 +a(g18 +VEXTENDED_ARG +p21897 +tp21898 +a(g189 +V: +tp21899 +a(g189 +V\u000a +tp21900 +a(g189 +V +p21901 +tp21902 +a(g18 +Vopcode +p21903 +tp21904 +a(g189 +V +tp21905 +a(g344 +V= +tp21906 +a(g189 +V +tp21907 +a(g18 +VNEXTOP +p21908 +tp21909 +a(g202 +V( +tp21910 +a(g202 +V) +tp21911 +a(g202 +V; +tp21912 +a(g189 +V\u000a +tp21913 +a(g189 +V +p21914 +tp21915 +a(g18 +Voparg +p21916 +tp21917 +a(g189 +V +tp21918 +a(g344 +V= +tp21919 +a(g189 +V +tp21920 +a(g18 +Voparg +p21921 +tp21922 +a(g344 +V< +tp21923 +a(g344 +V< +tp21924 +a(g319 +V16 +p21925 +tp21926 +a(g189 +V +tp21927 +a(g344 +V| +tp21928 +a(g189 +V +tp21929 +a(g18 +VNEXTARG +p21930 +tp21931 +a(g202 +V( +tp21932 +a(g202 +V) +tp21933 +a(g202 +V; +tp21934 +a(g189 +V\u000a +tp21935 +a(g189 +V +p21936 +tp21937 +a(g111 +Vgoto +p21938 +tp21939 +a(g189 +V +tp21940 +a(g18 +Vdispatch_opcode +p21941 +tp21942 +a(g202 +V; +tp21943 +a(g189 +V\u000a +tp21944 +a(g189 +V\u000a +p21945 +tp21946 +a(g45 +Vdefault: +p21947 +tp21948 +a(g189 +V\u000a +tp21949 +a(g189 +V +p21950 +tp21951 +a(g18 +Vfprintf +p21952 +tp21953 +a(g202 +V( +tp21954 +a(g18 +Vstderr +p21955 +tp21956 +a(g202 +V, +tp21957 +a(g189 +V\u000a +tp21958 +a(g189 +V +p21959 +tp21960 +a(g226 +V" +tp21961 +a(g226 +VXXX lineno: %d, opcode: %d +p21962 +tp21963 +a(g252 +V\u005cn +p21964 +tp21965 +a(g226 +V" +tp21966 +a(g202 +V, +tp21967 +a(g189 +V\u000a +tp21968 +a(g189 +V +p21969 +tp21970 +a(g18 +VPyCode_Addr2Line +p21971 +tp21972 +a(g202 +V( +tp21973 +a(g18 +Vf +tp21974 +a(g344 +V- +tp21975 +a(g344 +V> +tp21976 +a(g18 +Vf_code +p21977 +tp21978 +a(g202 +V, +tp21979 +a(g189 +V +tp21980 +a(g18 +Vf +tp21981 +a(g344 +V- +tp21982 +a(g344 +V> +tp21983 +a(g18 +Vf_lasti +p21984 +tp21985 +a(g202 +V) +tp21986 +a(g202 +V, +tp21987 +a(g189 +V\u000a +tp21988 +a(g189 +V +p21989 +tp21990 +a(g18 +Vopcode +p21991 +tp21992 +a(g202 +V) +tp21993 +a(g202 +V; +tp21994 +a(g189 +V\u000a +tp21995 +a(g189 +V +p21996 +tp21997 +a(g18 +VPyErr_SetString +p21998 +tp21999 +a(g202 +V( +tp22000 +a(g18 +VPyExc_SystemError +p22001 +tp22002 +a(g202 +V, +tp22003 +a(g189 +V +tp22004 +a(g226 +V" +tp22005 +a(g226 +Vunknown opcode +p22006 +tp22007 +a(g226 +V" +tp22008 +a(g202 +V) +tp22009 +a(g202 +V; +tp22010 +a(g189 +V\u000a +tp22011 +a(g189 +V +p22012 +tp22013 +a(g18 +Vwhy +p22014 +tp22015 +a(g189 +V +tp22016 +a(g344 +V= +tp22017 +a(g189 +V +tp22018 +a(g18 +VWHY_EXCEPTION +p22019 +tp22020 +a(g202 +V; +tp22021 +a(g189 +V\u000a +tp22022 +a(g189 +V +p22023 +tp22024 +a(g111 +Vbreak +p22025 +tp22026 +a(g202 +V; +tp22027 +a(g189 +V\u000a +tp22028 +a(g355 +V\u000a# +p22029 +tp22030 +a(g355 +Vifdef CASE_TOO_BIG +p22031 +tp22032 +a(g355 +V\u000a +tp22033 +a(g189 +V +p22034 +tp22035 +a(g202 +V} +tp22036 +a(g189 +V\u000a +tp22037 +a(g355 +V# +tp22038 +a(g355 +Vendif +p22039 +tp22040 +a(g355 +V\u000a +tp22041 +a(g189 +V\u000a +tp22042 +a(g189 +V +p22043 +tp22044 +a(g202 +V} +tp22045 +a(g189 +V +tp22046 +a(g7 +V/* switch */ +p22047 +tp22048 +a(g189 +V\u000a +tp22049 +a(g189 +V\u000a +p22050 +tp22051 +a(g45 +Von_error: +p22052 +tp22053 +a(g189 +V\u000a +tp22054 +a(g189 +V\u000a +tp22055 +a(g189 +V +p22056 +tp22057 +a(g18 +VREAD_TIMESTAMP +p22058 +tp22059 +a(g202 +V( +tp22060 +a(g18 +Vinst1 +p22061 +tp22062 +a(g202 +V) +tp22063 +a(g202 +V; +tp22064 +a(g189 +V\u000a +tp22065 +a(g189 +V\u000a +tp22066 +a(g189 +V +p22067 +tp22068 +a(g7 +V/* Quickly continue if no error occurred */ +p22069 +tp22070 +a(g189 +V\u000a +tp22071 +a(g189 +V\u000a +tp22072 +a(g189 +V +p22073 +tp22074 +a(g111 +Vif +p22075 +tp22076 +a(g189 +V +tp22077 +a(g202 +V( +tp22078 +a(g18 +Vwhy +p22079 +tp22080 +a(g189 +V +tp22081 +a(g344 +V= +tp22082 +a(g344 +V= +tp22083 +a(g189 +V +tp22084 +a(g18 +VWHY_NOT +p22085 +tp22086 +a(g202 +V) +tp22087 +a(g189 +V +tp22088 +a(g202 +V{ +tp22089 +a(g189 +V\u000a +tp22090 +a(g189 +V +p22091 +tp22092 +a(g111 +Vif +p22093 +tp22094 +a(g189 +V +tp22095 +a(g202 +V( +tp22096 +a(g18 +Verr +p22097 +tp22098 +a(g189 +V +tp22099 +a(g344 +V= +tp22100 +a(g344 +V= +tp22101 +a(g189 +V +tp22102 +a(g319 +V0 +tp22103 +a(g189 +V +tp22104 +a(g344 +V& +tp22105 +a(g344 +V& +tp22106 +a(g189 +V +tp22107 +a(g18 +Vx +tp22108 +a(g189 +V +tp22109 +a(g344 +V! +tp22110 +a(g344 +V= +tp22111 +a(g189 +V +tp22112 +a(g57 +VNULL +p22113 +tp22114 +a(g202 +V) +tp22115 +a(g189 +V +tp22116 +a(g202 +V{ +tp22117 +a(g189 +V\u000a +tp22118 +a(g355 +V# +tp22119 +a(g355 +Vifdef CHECKEXC +p22120 +tp22121 +a(g355 +V\u000a +tp22122 +a(g189 +V +p22123 +tp22124 +a(g7 +V/* This check is expensive! */ +p22125 +tp22126 +a(g189 +V\u000a +tp22127 +a(g189 +V +p22128 +tp22129 +a(g111 +Vif +p22130 +tp22131 +a(g189 +V +tp22132 +a(g202 +V( +tp22133 +a(g18 +VPyErr_Occurred +p22134 +tp22135 +a(g202 +V( +tp22136 +a(g202 +V) +tp22137 +a(g202 +V) +tp22138 +a(g189 +V\u000a +tp22139 +a(g189 +V +p22140 +tp22141 +a(g18 +Vfprintf +p22142 +tp22143 +a(g202 +V( +tp22144 +a(g18 +Vstderr +p22145 +tp22146 +a(g202 +V, +tp22147 +a(g189 +V\u000a +tp22148 +a(g189 +V +p22149 +tp22150 +a(g226 +V" +tp22151 +a(g226 +VXXX undetected error +p22152 +tp22153 +a(g252 +V\u005cn +p22154 +tp22155 +a(g226 +V" +tp22156 +a(g202 +V) +tp22157 +a(g202 +V; +tp22158 +a(g189 +V\u000a +tp22159 +a(g189 +V +p22160 +tp22161 +a(g111 +Velse +p22162 +tp22163 +a(g189 +V +tp22164 +a(g202 +V{ +tp22165 +a(g189 +V\u000a +tp22166 +a(g355 +V# +tp22167 +a(g355 +Vendif +p22168 +tp22169 +a(g355 +V\u000a +tp22170 +a(g189 +V +p22171 +tp22172 +a(g18 +VREAD_TIMESTAMP +p22173 +tp22174 +a(g202 +V( +tp22175 +a(g18 +Vloop1 +p22176 +tp22177 +a(g202 +V) +tp22178 +a(g202 +V; +tp22179 +a(g189 +V\u000a +tp22180 +a(g189 +V +p22181 +tp22182 +a(g111 +Vcontinue +p22183 +tp22184 +a(g202 +V; +tp22185 +a(g189 +V +tp22186 +a(g7 +V/* Normal, fast path */ +p22187 +tp22188 +a(g189 +V\u000a +tp22189 +a(g355 +V# +tp22190 +a(g355 +Vifdef CHECKEXC +p22191 +tp22192 +a(g355 +V\u000a +tp22193 +a(g189 +V +p22194 +tp22195 +a(g202 +V} +tp22196 +a(g189 +V\u000a +tp22197 +a(g355 +V# +tp22198 +a(g355 +Vendif +p22199 +tp22200 +a(g355 +V\u000a +tp22201 +a(g189 +V +p22202 +tp22203 +a(g202 +V} +tp22204 +a(g189 +V\u000a +tp22205 +a(g189 +V +p22206 +tp22207 +a(g18 +Vwhy +p22208 +tp22209 +a(g189 +V +tp22210 +a(g344 +V= +tp22211 +a(g189 +V +tp22212 +a(g18 +VWHY_EXCEPTION +p22213 +tp22214 +a(g202 +V; +tp22215 +a(g189 +V\u000a +tp22216 +a(g189 +V +p22217 +tp22218 +a(g18 +Vx +tp22219 +a(g189 +V +tp22220 +a(g344 +V= +tp22221 +a(g189 +V +tp22222 +a(g18 +VPy_None +p22223 +tp22224 +a(g202 +V; +tp22225 +a(g189 +V\u000a +tp22226 +a(g189 +V +p22227 +tp22228 +a(g18 +Verr +p22229 +tp22230 +a(g189 +V +tp22231 +a(g344 +V= +tp22232 +a(g189 +V +tp22233 +a(g319 +V0 +tp22234 +a(g202 +V; +tp22235 +a(g189 +V\u000a +tp22236 +a(g189 +V +p22237 +tp22238 +a(g202 +V} +tp22239 +a(g189 +V\u000a +tp22240 +a(g189 +V\u000a +tp22241 +a(g189 +V +p22242 +tp22243 +a(g7 +V/* Double-check exception status */ +p22244 +tp22245 +a(g189 +V\u000a +tp22246 +a(g189 +V\u000a +tp22247 +a(g189 +V +p22248 +tp22249 +a(g111 +Vif +p22250 +tp22251 +a(g189 +V +tp22252 +a(g202 +V( +tp22253 +a(g18 +Vwhy +p22254 +tp22255 +a(g189 +V +tp22256 +a(g344 +V= +tp22257 +a(g344 +V= +tp22258 +a(g189 +V +tp22259 +a(g18 +VWHY_EXCEPTION +p22260 +tp22261 +a(g189 +V +tp22262 +a(g344 +V| +tp22263 +a(g344 +V| +tp22264 +a(g189 +V +tp22265 +a(g18 +Vwhy +p22266 +tp22267 +a(g189 +V +tp22268 +a(g344 +V= +tp22269 +a(g344 +V= +tp22270 +a(g189 +V +tp22271 +a(g18 +VWHY_RERAISE +p22272 +tp22273 +a(g202 +V) +tp22274 +a(g189 +V +tp22275 +a(g202 +V{ +tp22276 +a(g189 +V\u000a +tp22277 +a(g189 +V +p22278 +tp22279 +a(g111 +Vif +p22280 +tp22281 +a(g189 +V +tp22282 +a(g202 +V( +tp22283 +a(g344 +V! +tp22284 +a(g18 +VPyErr_Occurred +p22285 +tp22286 +a(g202 +V( +tp22287 +a(g202 +V) +tp22288 +a(g202 +V) +tp22289 +a(g189 +V +tp22290 +a(g202 +V{ +tp22291 +a(g189 +V\u000a +tp22292 +a(g189 +V +p22293 +tp22294 +a(g18 +VPyErr_SetString +p22295 +tp22296 +a(g202 +V( +tp22297 +a(g18 +VPyExc_SystemError +p22298 +tp22299 +a(g202 +V, +tp22300 +a(g189 +V\u000a +tp22301 +a(g189 +V +p22302 +tp22303 +a(g226 +V" +tp22304 +a(g226 +Verror return without exception set +p22305 +tp22306 +a(g226 +V" +tp22307 +a(g202 +V) +tp22308 +a(g202 +V; +tp22309 +a(g189 +V\u000a +tp22310 +a(g189 +V +p22311 +tp22312 +a(g18 +Vwhy +p22313 +tp22314 +a(g189 +V +tp22315 +a(g344 +V= +tp22316 +a(g189 +V +tp22317 +a(g18 +VWHY_EXCEPTION +p22318 +tp22319 +a(g202 +V; +tp22320 +a(g189 +V\u000a +tp22321 +a(g189 +V +p22322 +tp22323 +a(g202 +V} +tp22324 +a(g189 +V\u000a +tp22325 +a(g189 +V +p22326 +tp22327 +a(g202 +V} +tp22328 +a(g189 +V\u000a +tp22329 +a(g355 +V# +tp22330 +a(g355 +Vifdef CHECKEXC +p22331 +tp22332 +a(g355 +V\u000a +tp22333 +a(g189 +V +p22334 +tp22335 +a(g111 +Velse +p22336 +tp22337 +a(g189 +V +tp22338 +a(g202 +V{ +tp22339 +a(g189 +V\u000a +tp22340 +a(g189 +V +p22341 +tp22342 +a(g7 +V/* This check is expensive! */ +p22343 +tp22344 +a(g189 +V\u000a +tp22345 +a(g189 +V +p22346 +tp22347 +a(g111 +Vif +p22348 +tp22349 +a(g189 +V +tp22350 +a(g202 +V( +tp22351 +a(g18 +VPyErr_Occurred +p22352 +tp22353 +a(g202 +V( +tp22354 +a(g202 +V) +tp22355 +a(g202 +V) +tp22356 +a(g189 +V +tp22357 +a(g202 +V{ +tp22358 +a(g189 +V\u000a +tp22359 +a(g189 +V +p22360 +tp22361 +a(g139 +Vchar +p22362 +tp22363 +a(g189 +V +tp22364 +a(g18 +Vbuf +p22365 +tp22366 +a(g202 +V[ +tp22367 +a(g319 +V1024 +p22368 +tp22369 +a(g202 +V] +tp22370 +a(g202 +V; +tp22371 +a(g189 +V\u000a +tp22372 +a(g189 +V +p22373 +tp22374 +a(g18 +Vsprintf +p22375 +tp22376 +a(g202 +V( +tp22377 +a(g18 +Vbuf +p22378 +tp22379 +a(g202 +V, +tp22380 +a(g189 +V +tp22381 +a(g226 +V" +tp22382 +a(g226 +VStack unwind with exception +p22383 +tp22384 +a(g226 +V" +tp22385 +a(g189 +V\u000a +tp22386 +a(g189 +V +p22387 +tp22388 +a(g226 +V" +tp22389 +a(g226 +Vset and why=%d +p22390 +tp22391 +a(g226 +V" +tp22392 +a(g202 +V, +tp22393 +a(g189 +V +tp22394 +a(g18 +Vwhy +p22395 +tp22396 +a(g202 +V) +tp22397 +a(g202 +V; +tp22398 +a(g189 +V\u000a +tp22399 +a(g189 +V +p22400 +tp22401 +a(g18 +VPy_FatalError +p22402 +tp22403 +a(g202 +V( +tp22404 +a(g18 +Vbuf +p22405 +tp22406 +a(g202 +V) +tp22407 +a(g202 +V; +tp22408 +a(g189 +V\u000a +tp22409 +a(g189 +V +p22410 +tp22411 +a(g202 +V} +tp22412 +a(g189 +V\u000a +tp22413 +a(g189 +V +p22414 +tp22415 +a(g202 +V} +tp22416 +a(g189 +V\u000a +tp22417 +a(g355 +V# +tp22418 +a(g355 +Vendif +p22419 +tp22420 +a(g355 +V\u000a +tp22421 +a(g189 +V\u000a +tp22422 +a(g189 +V +p22423 +tp22424 +a(g7 +V/* Log traceback info if this is a real exception */ +p22425 +tp22426 +a(g189 +V\u000a +tp22427 +a(g189 +V\u000a +tp22428 +a(g189 +V +p22429 +tp22430 +a(g111 +Vif +p22431 +tp22432 +a(g189 +V +tp22433 +a(g202 +V( +tp22434 +a(g18 +Vwhy +p22435 +tp22436 +a(g189 +V +tp22437 +a(g344 +V= +tp22438 +a(g344 +V= +tp22439 +a(g189 +V +tp22440 +a(g18 +VWHY_EXCEPTION +p22441 +tp22442 +a(g202 +V) +tp22443 +a(g189 +V +tp22444 +a(g202 +V{ +tp22445 +a(g189 +V\u000a +tp22446 +a(g189 +V +p22447 +tp22448 +a(g18 +VPyTraceBack_Here +p22449 +tp22450 +a(g202 +V( +tp22451 +a(g18 +Vf +tp22452 +a(g202 +V) +tp22453 +a(g202 +V; +tp22454 +a(g189 +V\u000a +tp22455 +a(g189 +V\u000a +tp22456 +a(g189 +V +p22457 +tp22458 +a(g111 +Vif +p22459 +tp22460 +a(g189 +V +tp22461 +a(g202 +V( +tp22462 +a(g18 +Vtstate +p22463 +tp22464 +a(g344 +V- +tp22465 +a(g344 +V> +tp22466 +a(g18 +Vc_tracefunc +p22467 +tp22468 +a(g189 +V +tp22469 +a(g344 +V! +tp22470 +a(g344 +V= +tp22471 +a(g189 +V +tp22472 +a(g57 +VNULL +p22473 +tp22474 +a(g202 +V) +tp22475 +a(g189 +V\u000a +tp22476 +a(g189 +V +p22477 +tp22478 +a(g18 +Vcall_exc_trace +p22479 +tp22480 +a(g202 +V( +tp22481 +a(g18 +Vtstate +p22482 +tp22483 +a(g344 +V- +tp22484 +a(g344 +V> +tp22485 +a(g18 +Vc_tracefunc +p22486 +tp22487 +a(g202 +V, +tp22488 +a(g189 +V\u000a +tp22489 +a(g189 +V +p22490 +tp22491 +a(g18 +Vtstate +p22492 +tp22493 +a(g344 +V- +tp22494 +a(g344 +V> +tp22495 +a(g18 +Vc_traceobj +p22496 +tp22497 +a(g202 +V, +tp22498 +a(g189 +V +tp22499 +a(g18 +Vf +tp22500 +a(g202 +V) +tp22501 +a(g202 +V; +tp22502 +a(g189 +V\u000a +tp22503 +a(g189 +V +p22504 +tp22505 +a(g202 +V} +tp22506 +a(g189 +V\u000a +tp22507 +a(g189 +V\u000a +tp22508 +a(g189 +V +p22509 +tp22510 +a(g7 +V/* For the rest, treat WHY_RERAISE as WHY_EXCEPTION */ +p22511 +tp22512 +a(g189 +V\u000a +tp22513 +a(g189 +V\u000a +tp22514 +a(g189 +V +p22515 +tp22516 +a(g111 +Vif +p22517 +tp22518 +a(g189 +V +tp22519 +a(g202 +V( +tp22520 +a(g18 +Vwhy +p22521 +tp22522 +a(g189 +V +tp22523 +a(g344 +V= +tp22524 +a(g344 +V= +tp22525 +a(g189 +V +tp22526 +a(g18 +VWHY_RERAISE +p22527 +tp22528 +a(g202 +V) +tp22529 +a(g189 +V\u000a +tp22530 +a(g189 +V +p22531 +tp22532 +a(g18 +Vwhy +p22533 +tp22534 +a(g189 +V +tp22535 +a(g344 +V= +tp22536 +a(g189 +V +tp22537 +a(g18 +VWHY_EXCEPTION +p22538 +tp22539 +a(g202 +V; +tp22540 +a(g189 +V\u000a +tp22541 +a(g189 +V\u000a +tp22542 +a(g189 +V +p22543 +tp22544 +a(g7 +V/* Unwind stacks if a (pseudo) exception occurred */ +p22545 +tp22546 +a(g189 +V\u000a +tp22547 +a(g189 +V\u000a +tp22548 +a(g45 +Vfast_block_end: +p22549 +tp22550 +a(g189 +V\u000a +tp22551 +a(g189 +V +p22552 +tp22553 +a(g111 +Vwhile +p22554 +tp22555 +a(g189 +V +tp22556 +a(g202 +V( +tp22557 +a(g18 +Vwhy +p22558 +tp22559 +a(g189 +V +tp22560 +a(g344 +V! +tp22561 +a(g344 +V= +tp22562 +a(g189 +V +tp22563 +a(g18 +VWHY_NOT +p22564 +tp22565 +a(g189 +V +tp22566 +a(g344 +V& +tp22567 +a(g344 +V& +tp22568 +a(g189 +V +tp22569 +a(g18 +Vf +tp22570 +a(g344 +V- +tp22571 +a(g344 +V> +tp22572 +a(g18 +Vf_iblock +p22573 +tp22574 +a(g189 +V +tp22575 +a(g344 +V> +tp22576 +a(g189 +V +tp22577 +a(g319 +V0 +tp22578 +a(g202 +V) +tp22579 +a(g189 +V +tp22580 +a(g202 +V{ +tp22581 +a(g189 +V\u000a +tp22582 +a(g189 +V +p22583 +tp22584 +a(g18 +VPyTryBlock +p22585 +tp22586 +a(g189 +V +tp22587 +a(g344 +V* +tp22588 +a(g18 +Vb +tp22589 +a(g189 +V +tp22590 +a(g344 +V= +tp22591 +a(g189 +V +tp22592 +a(g18 +VPyFrame_BlockPop +p22593 +tp22594 +a(g202 +V( +tp22595 +a(g18 +Vf +tp22596 +a(g202 +V) +tp22597 +a(g202 +V; +tp22598 +a(g189 +V\u000a +tp22599 +a(g189 +V\u000a +tp22600 +a(g189 +V +p22601 +tp22602 +a(g18 +Vassert +p22603 +tp22604 +a(g202 +V( +tp22605 +a(g18 +Vwhy +p22606 +tp22607 +a(g189 +V +tp22608 +a(g344 +V! +tp22609 +a(g344 +V= +tp22610 +a(g189 +V +tp22611 +a(g18 +VWHY_YIELD +p22612 +tp22613 +a(g202 +V) +tp22614 +a(g202 +V; +tp22615 +a(g189 +V\u000a +tp22616 +a(g189 +V +p22617 +tp22618 +a(g111 +Vif +p22619 +tp22620 +a(g189 +V +tp22621 +a(g202 +V( +tp22622 +a(g18 +Vb +tp22623 +a(g344 +V- +tp22624 +a(g344 +V> +tp22625 +a(g18 +Vb_type +p22626 +tp22627 +a(g189 +V +tp22628 +a(g344 +V= +tp22629 +a(g344 +V= +tp22630 +a(g189 +V +tp22631 +a(g18 +VSETUP_LOOP +p22632 +tp22633 +a(g189 +V +tp22634 +a(g344 +V& +tp22635 +a(g344 +V& +tp22636 +a(g189 +V +tp22637 +a(g18 +Vwhy +p22638 +tp22639 +a(g189 +V +tp22640 +a(g344 +V= +tp22641 +a(g344 +V= +tp22642 +a(g189 +V +tp22643 +a(g18 +VWHY_CONTINUE +p22644 +tp22645 +a(g202 +V) +tp22646 +a(g189 +V +tp22647 +a(g202 +V{ +tp22648 +a(g189 +V\u000a +tp22649 +a(g189 +V +p22650 +tp22651 +a(g7 +V/* For a continue inside a try block,\u000a don't pop the block for the loop. */ +p22652 +tp22653 +a(g189 +V\u000a +tp22654 +a(g189 +V +p22655 +tp22656 +a(g18 +VPyFrame_BlockSetup +p22657 +tp22658 +a(g202 +V( +tp22659 +a(g18 +Vf +tp22660 +a(g202 +V, +tp22661 +a(g189 +V +tp22662 +a(g18 +Vb +tp22663 +a(g344 +V- +tp22664 +a(g344 +V> +tp22665 +a(g18 +Vb_type +p22666 +tp22667 +a(g202 +V, +tp22668 +a(g189 +V +tp22669 +a(g18 +Vb +tp22670 +a(g344 +V- +tp22671 +a(g344 +V> +tp22672 +a(g18 +Vb_handler +p22673 +tp22674 +a(g202 +V, +tp22675 +a(g189 +V\u000a +tp22676 +a(g189 +V +p22677 +tp22678 +a(g18 +Vb +tp22679 +a(g344 +V- +tp22680 +a(g344 +V> +tp22681 +a(g18 +Vb_level +p22682 +tp22683 +a(g202 +V) +tp22684 +a(g202 +V; +tp22685 +a(g189 +V\u000a +tp22686 +a(g189 +V +p22687 +tp22688 +a(g18 +Vwhy +p22689 +tp22690 +a(g189 +V +tp22691 +a(g344 +V= +tp22692 +a(g189 +V +tp22693 +a(g18 +VWHY_NOT +p22694 +tp22695 +a(g202 +V; +tp22696 +a(g189 +V\u000a +tp22697 +a(g189 +V +p22698 +tp22699 +a(g18 +VJUMPTO +p22700 +tp22701 +a(g202 +V( +tp22702 +a(g18 +VPyInt_AS_LONG +p22703 +tp22704 +a(g202 +V( +tp22705 +a(g18 +Vretval +p22706 +tp22707 +a(g202 +V) +tp22708 +a(g202 +V) +tp22709 +a(g202 +V; +tp22710 +a(g189 +V\u000a +tp22711 +a(g189 +V +p22712 +tp22713 +a(g18 +VPy_DECREF +p22714 +tp22715 +a(g202 +V( +tp22716 +a(g18 +Vretval +p22717 +tp22718 +a(g202 +V) +tp22719 +a(g202 +V; +tp22720 +a(g189 +V\u000a +tp22721 +a(g189 +V +p22722 +tp22723 +a(g111 +Vbreak +p22724 +tp22725 +a(g202 +V; +tp22726 +a(g189 +V\u000a +tp22727 +a(g189 +V +p22728 +tp22729 +a(g202 +V} +tp22730 +a(g189 +V\u000a +tp22731 +a(g189 +V\u000a +tp22732 +a(g189 +V +p22733 +tp22734 +a(g111 +Vwhile +p22735 +tp22736 +a(g189 +V +tp22737 +a(g202 +V( +tp22738 +a(g18 +VSTACK_LEVEL +p22739 +tp22740 +a(g202 +V( +tp22741 +a(g202 +V) +tp22742 +a(g189 +V +tp22743 +a(g344 +V> +tp22744 +a(g189 +V +tp22745 +a(g18 +Vb +tp22746 +a(g344 +V- +tp22747 +a(g344 +V> +tp22748 +a(g18 +Vb_level +p22749 +tp22750 +a(g202 +V) +tp22751 +a(g189 +V +tp22752 +a(g202 +V{ +tp22753 +a(g189 +V\u000a +tp22754 +a(g189 +V +p22755 +tp22756 +a(g18 +Vv +tp22757 +a(g189 +V +tp22758 +a(g344 +V= +tp22759 +a(g189 +V +tp22760 +a(g18 +VPOP +p22761 +tp22762 +a(g202 +V( +tp22763 +a(g202 +V) +tp22764 +a(g202 +V; +tp22765 +a(g189 +V\u000a +tp22766 +a(g189 +V +p22767 +tp22768 +a(g18 +VPy_XDECREF +p22769 +tp22770 +a(g202 +V( +tp22771 +a(g18 +Vv +tp22772 +a(g202 +V) +tp22773 +a(g202 +V; +tp22774 +a(g189 +V\u000a +tp22775 +a(g189 +V +p22776 +tp22777 +a(g202 +V} +tp22778 +a(g189 +V\u000a +tp22779 +a(g189 +V +p22780 +tp22781 +a(g111 +Vif +p22782 +tp22783 +a(g189 +V +tp22784 +a(g202 +V( +tp22785 +a(g18 +Vb +tp22786 +a(g344 +V- +tp22787 +a(g344 +V> +tp22788 +a(g18 +Vb_type +p22789 +tp22790 +a(g189 +V +tp22791 +a(g344 +V= +tp22792 +a(g344 +V= +tp22793 +a(g189 +V +tp22794 +a(g18 +VSETUP_LOOP +p22795 +tp22796 +a(g189 +V +tp22797 +a(g344 +V& +tp22798 +a(g344 +V& +tp22799 +a(g189 +V +tp22800 +a(g18 +Vwhy +p22801 +tp22802 +a(g189 +V +tp22803 +a(g344 +V= +tp22804 +a(g344 +V= +tp22805 +a(g189 +V +tp22806 +a(g18 +VWHY_BREAK +p22807 +tp22808 +a(g202 +V) +tp22809 +a(g189 +V +tp22810 +a(g202 +V{ +tp22811 +a(g189 +V\u000a +tp22812 +a(g189 +V +p22813 +tp22814 +a(g18 +Vwhy +p22815 +tp22816 +a(g189 +V +tp22817 +a(g344 +V= +tp22818 +a(g189 +V +tp22819 +a(g18 +VWHY_NOT +p22820 +tp22821 +a(g202 +V; +tp22822 +a(g189 +V\u000a +tp22823 +a(g189 +V +p22824 +tp22825 +a(g18 +VJUMPTO +p22826 +tp22827 +a(g202 +V( +tp22828 +a(g18 +Vb +tp22829 +a(g344 +V- +tp22830 +a(g344 +V> +tp22831 +a(g18 +Vb_handler +p22832 +tp22833 +a(g202 +V) +tp22834 +a(g202 +V; +tp22835 +a(g189 +V\u000a +tp22836 +a(g189 +V +p22837 +tp22838 +a(g111 +Vbreak +p22839 +tp22840 +a(g202 +V; +tp22841 +a(g189 +V\u000a +tp22842 +a(g189 +V +p22843 +tp22844 +a(g202 +V} +tp22845 +a(g189 +V\u000a +tp22846 +a(g189 +V +p22847 +tp22848 +a(g111 +Vif +p22849 +tp22850 +a(g189 +V +tp22851 +a(g202 +V( +tp22852 +a(g18 +Vb +tp22853 +a(g344 +V- +tp22854 +a(g344 +V> +tp22855 +a(g18 +Vb_type +p22856 +tp22857 +a(g189 +V +tp22858 +a(g344 +V= +tp22859 +a(g344 +V= +tp22860 +a(g189 +V +tp22861 +a(g18 +VSETUP_FINALLY +p22862 +tp22863 +a(g189 +V +tp22864 +a(g344 +V| +tp22865 +a(g344 +V| +tp22866 +a(g189 +V\u000a +tp22867 +a(g189 +V +p22868 +tp22869 +a(g202 +V( +tp22870 +a(g18 +Vb +tp22871 +a(g344 +V- +tp22872 +a(g344 +V> +tp22873 +a(g18 +Vb_type +p22874 +tp22875 +a(g189 +V +tp22876 +a(g344 +V= +tp22877 +a(g344 +V= +tp22878 +a(g189 +V +tp22879 +a(g18 +VSETUP_EXCEPT +p22880 +tp22881 +a(g189 +V +tp22882 +a(g344 +V& +tp22883 +a(g344 +V& +tp22884 +a(g189 +V\u000a +tp22885 +a(g189 +V +p22886 +tp22887 +a(g18 +Vwhy +p22888 +tp22889 +a(g189 +V +tp22890 +a(g344 +V= +tp22891 +a(g344 +V= +tp22892 +a(g189 +V +tp22893 +a(g18 +VWHY_EXCEPTION +p22894 +tp22895 +a(g202 +V) +tp22896 +a(g202 +V) +tp22897 +a(g189 +V +tp22898 +a(g202 +V{ +tp22899 +a(g189 +V\u000a +tp22900 +a(g189 +V +p22901 +tp22902 +a(g111 +Vif +p22903 +tp22904 +a(g189 +V +tp22905 +a(g202 +V( +tp22906 +a(g18 +Vwhy +p22907 +tp22908 +a(g189 +V +tp22909 +a(g344 +V= +tp22910 +a(g344 +V= +tp22911 +a(g189 +V +tp22912 +a(g18 +VWHY_EXCEPTION +p22913 +tp22914 +a(g202 +V) +tp22915 +a(g189 +V +tp22916 +a(g202 +V{ +tp22917 +a(g189 +V\u000a +tp22918 +a(g189 +V +p22919 +tp22920 +a(g18 +VPyObject +p22921 +tp22922 +a(g189 +V +tp22923 +a(g344 +V* +tp22924 +a(g18 +Vexc +p22925 +tp22926 +a(g202 +V, +tp22927 +a(g189 +V +tp22928 +a(g344 +V* +tp22929 +a(g18 +Vval +p22930 +tp22931 +a(g202 +V, +tp22932 +a(g189 +V +tp22933 +a(g344 +V* +tp22934 +a(g18 +Vtb +p22935 +tp22936 +a(g202 +V; +tp22937 +a(g189 +V\u000a +tp22938 +a(g189 +V +p22939 +tp22940 +a(g18 +VPyErr_Fetch +p22941 +tp22942 +a(g202 +V( +tp22943 +a(g344 +V& +tp22944 +a(g18 +Vexc +p22945 +tp22946 +a(g202 +V, +tp22947 +a(g189 +V +tp22948 +a(g344 +V& +tp22949 +a(g18 +Vval +p22950 +tp22951 +a(g202 +V, +tp22952 +a(g189 +V +tp22953 +a(g344 +V& +tp22954 +a(g18 +Vtb +p22955 +tp22956 +a(g202 +V) +tp22957 +a(g202 +V; +tp22958 +a(g189 +V\u000a +tp22959 +a(g189 +V +p22960 +tp22961 +a(g111 +Vif +p22962 +tp22963 +a(g189 +V +tp22964 +a(g202 +V( +tp22965 +a(g18 +Vval +p22966 +tp22967 +a(g189 +V +tp22968 +a(g344 +V= +tp22969 +a(g344 +V= +tp22970 +a(g189 +V +tp22971 +a(g57 +VNULL +p22972 +tp22973 +a(g202 +V) +tp22974 +a(g189 +V +tp22975 +a(g202 +V{ +tp22976 +a(g189 +V\u000a +tp22977 +a(g189 +V +p22978 +tp22979 +a(g18 +Vval +p22980 +tp22981 +a(g189 +V +tp22982 +a(g344 +V= +tp22983 +a(g189 +V +tp22984 +a(g18 +VPy_None +p22985 +tp22986 +a(g202 +V; +tp22987 +a(g189 +V\u000a +tp22988 +a(g189 +V +p22989 +tp22990 +a(g18 +VPy_INCREF +p22991 +tp22992 +a(g202 +V( +tp22993 +a(g18 +Vval +p22994 +tp22995 +a(g202 +V) +tp22996 +a(g202 +V; +tp22997 +a(g189 +V\u000a +tp22998 +a(g189 +V +p22999 +tp23000 +a(g202 +V} +tp23001 +a(g189 +V\u000a +tp23002 +a(g189 +V +p23003 +tp23004 +a(g7 +V/* Make the raw exception data\u000a available to the handler,\u000a so a program can emulate the\u000a Python main loop. Don't do\u000a this for 'finally'. */ +p23005 +tp23006 +a(g189 +V\u000a +tp23007 +a(g189 +V +p23008 +tp23009 +a(g111 +Vif +p23010 +tp23011 +a(g189 +V +tp23012 +a(g202 +V( +tp23013 +a(g18 +Vb +tp23014 +a(g344 +V- +tp23015 +a(g344 +V> +tp23016 +a(g18 +Vb_type +p23017 +tp23018 +a(g189 +V +tp23019 +a(g344 +V= +tp23020 +a(g344 +V= +tp23021 +a(g189 +V +tp23022 +a(g18 +VSETUP_EXCEPT +p23023 +tp23024 +a(g202 +V) +tp23025 +a(g189 +V +tp23026 +a(g202 +V{ +tp23027 +a(g189 +V\u000a +tp23028 +a(g189 +V +p23029 +tp23030 +a(g18 +VPyErr_NormalizeException +p23031 +tp23032 +a(g202 +V( +tp23033 +a(g189 +V\u000a +tp23034 +a(g189 +V +p23035 +tp23036 +a(g344 +V& +tp23037 +a(g18 +Vexc +p23038 +tp23039 +a(g202 +V, +tp23040 +a(g189 +V +tp23041 +a(g344 +V& +tp23042 +a(g18 +Vval +p23043 +tp23044 +a(g202 +V, +tp23045 +a(g189 +V +tp23046 +a(g344 +V& +tp23047 +a(g18 +Vtb +p23048 +tp23049 +a(g202 +V) +tp23050 +a(g202 +V; +tp23051 +a(g189 +V\u000a +tp23052 +a(g189 +V +p23053 +tp23054 +a(g18 +Vset_exc_info +p23055 +tp23056 +a(g202 +V( +tp23057 +a(g18 +Vtstate +p23058 +tp23059 +a(g202 +V, +tp23060 +a(g189 +V\u000a +tp23061 +a(g189 +V +p23062 +tp23063 +a(g18 +Vexc +p23064 +tp23065 +a(g202 +V, +tp23066 +a(g189 +V +tp23067 +a(g18 +Vval +p23068 +tp23069 +a(g202 +V, +tp23070 +a(g189 +V +tp23071 +a(g18 +Vtb +p23072 +tp23073 +a(g202 +V) +tp23074 +a(g202 +V; +tp23075 +a(g189 +V\u000a +tp23076 +a(g189 +V +p23077 +tp23078 +a(g202 +V} +tp23079 +a(g189 +V\u000a +tp23080 +a(g189 +V +p23081 +tp23082 +a(g111 +Vif +p23083 +tp23084 +a(g189 +V +tp23085 +a(g202 +V( +tp23086 +a(g18 +Vtb +p23087 +tp23088 +a(g189 +V +tp23089 +a(g344 +V= +tp23090 +a(g344 +V= +tp23091 +a(g189 +V +tp23092 +a(g57 +VNULL +p23093 +tp23094 +a(g202 +V) +tp23095 +a(g189 +V +tp23096 +a(g202 +V{ +tp23097 +a(g189 +V\u000a +tp23098 +a(g189 +V +p23099 +tp23100 +a(g18 +VPy_INCREF +p23101 +tp23102 +a(g202 +V( +tp23103 +a(g18 +VPy_None +p23104 +tp23105 +a(g202 +V) +tp23106 +a(g202 +V; +tp23107 +a(g189 +V\u000a +tp23108 +a(g189 +V +p23109 +tp23110 +a(g18 +VPUSH +p23111 +tp23112 +a(g202 +V( +tp23113 +a(g18 +VPy_None +p23114 +tp23115 +a(g202 +V) +tp23116 +a(g202 +V; +tp23117 +a(g189 +V\u000a +tp23118 +a(g189 +V +p23119 +tp23120 +a(g202 +V} +tp23121 +a(g189 +V +tp23122 +a(g111 +Velse +p23123 +tp23124 +a(g189 +V\u000a +tp23125 +a(g189 +V +p23126 +tp23127 +a(g18 +VPUSH +p23128 +tp23129 +a(g202 +V( +tp23130 +a(g18 +Vtb +p23131 +tp23132 +a(g202 +V) +tp23133 +a(g202 +V; +tp23134 +a(g189 +V\u000a +tp23135 +a(g189 +V +p23136 +tp23137 +a(g18 +VPUSH +p23138 +tp23139 +a(g202 +V( +tp23140 +a(g18 +Vval +p23141 +tp23142 +a(g202 +V) +tp23143 +a(g202 +V; +tp23144 +a(g189 +V\u000a +tp23145 +a(g189 +V +p23146 +tp23147 +a(g18 +VPUSH +p23148 +tp23149 +a(g202 +V( +tp23150 +a(g18 +Vexc +p23151 +tp23152 +a(g202 +V) +tp23153 +a(g202 +V; +tp23154 +a(g189 +V\u000a +tp23155 +a(g189 +V +p23156 +tp23157 +a(g202 +V} +tp23158 +a(g189 +V\u000a +tp23159 +a(g189 +V +p23160 +tp23161 +a(g111 +Velse +p23162 +tp23163 +a(g189 +V +tp23164 +a(g202 +V{ +tp23165 +a(g189 +V\u000a +tp23166 +a(g189 +V +p23167 +tp23168 +a(g111 +Vif +p23169 +tp23170 +a(g189 +V +tp23171 +a(g202 +V( +tp23172 +a(g18 +Vwhy +p23173 +tp23174 +a(g189 +V +tp23175 +a(g344 +V& +tp23176 +a(g189 +V +tp23177 +a(g202 +V( +tp23178 +a(g18 +VWHY_RETURN +p23179 +tp23180 +a(g189 +V +tp23181 +a(g344 +V| +tp23182 +a(g189 +V +tp23183 +a(g18 +VWHY_CONTINUE +p23184 +tp23185 +a(g202 +V) +tp23186 +a(g202 +V) +tp23187 +a(g189 +V\u000a +tp23188 +a(g189 +V +p23189 +tp23190 +a(g18 +VPUSH +p23191 +tp23192 +a(g202 +V( +tp23193 +a(g18 +Vretval +p23194 +tp23195 +a(g202 +V) +tp23196 +a(g202 +V; +tp23197 +a(g189 +V\u000a +tp23198 +a(g189 +V +p23199 +tp23200 +a(g18 +Vv +tp23201 +a(g189 +V +tp23202 +a(g344 +V= +tp23203 +a(g189 +V +tp23204 +a(g18 +VPyInt_FromLong +p23205 +tp23206 +a(g202 +V( +tp23207 +a(g202 +V( +tp23208 +a(g139 +Vlong +p23209 +tp23210 +a(g202 +V) +tp23211 +a(g18 +Vwhy +p23212 +tp23213 +a(g202 +V) +tp23214 +a(g202 +V; +tp23215 +a(g189 +V\u000a +tp23216 +a(g189 +V +p23217 +tp23218 +a(g18 +VPUSH +p23219 +tp23220 +a(g202 +V( +tp23221 +a(g18 +Vv +tp23222 +a(g202 +V) +tp23223 +a(g202 +V; +tp23224 +a(g189 +V\u000a +tp23225 +a(g189 +V +p23226 +tp23227 +a(g202 +V} +tp23228 +a(g189 +V\u000a +tp23229 +a(g189 +V +p23230 +tp23231 +a(g18 +Vwhy +p23232 +tp23233 +a(g189 +V +tp23234 +a(g344 +V= +tp23235 +a(g189 +V +tp23236 +a(g18 +VWHY_NOT +p23237 +tp23238 +a(g202 +V; +tp23239 +a(g189 +V\u000a +tp23240 +a(g189 +V +p23241 +tp23242 +a(g18 +VJUMPTO +p23243 +tp23244 +a(g202 +V( +tp23245 +a(g18 +Vb +tp23246 +a(g344 +V- +tp23247 +a(g344 +V> +tp23248 +a(g18 +Vb_handler +p23249 +tp23250 +a(g202 +V) +tp23251 +a(g202 +V; +tp23252 +a(g189 +V\u000a +tp23253 +a(g189 +V +p23254 +tp23255 +a(g111 +Vbreak +p23256 +tp23257 +a(g202 +V; +tp23258 +a(g189 +V\u000a +tp23259 +a(g189 +V +p23260 +tp23261 +a(g202 +V} +tp23262 +a(g189 +V\u000a +tp23263 +a(g189 +V +p23264 +tp23265 +a(g202 +V} +tp23266 +a(g189 +V +tp23267 +a(g7 +V/* unwind stack */ +p23268 +tp23269 +a(g189 +V\u000a +tp23270 +a(g189 +V\u000a +tp23271 +a(g189 +V +p23272 +tp23273 +a(g7 +V/* End the loop if we still have an error (or return) */ +p23274 +tp23275 +a(g189 +V\u000a +tp23276 +a(g189 +V\u000a +tp23277 +a(g189 +V +p23278 +tp23279 +a(g111 +Vif +p23280 +tp23281 +a(g189 +V +tp23282 +a(g202 +V( +tp23283 +a(g18 +Vwhy +p23284 +tp23285 +a(g189 +V +tp23286 +a(g344 +V! +tp23287 +a(g344 +V= +tp23288 +a(g189 +V +tp23289 +a(g18 +VWHY_NOT +p23290 +tp23291 +a(g202 +V) +tp23292 +a(g189 +V\u000a +tp23293 +a(g189 +V +p23294 +tp23295 +a(g111 +Vbreak +p23296 +tp23297 +a(g202 +V; +tp23298 +a(g189 +V\u000a +tp23299 +a(g189 +V +p23300 +tp23301 +a(g18 +VREAD_TIMESTAMP +p23302 +tp23303 +a(g202 +V( +tp23304 +a(g18 +Vloop1 +p23305 +tp23306 +a(g202 +V) +tp23307 +a(g202 +V; +tp23308 +a(g189 +V\u000a +tp23309 +a(g189 +V\u000a +tp23310 +a(g189 +V +tp23311 +a(g202 +V} +tp23312 +a(g189 +V +tp23313 +a(g7 +V/* main loop */ +p23314 +tp23315 +a(g189 +V\u000a +tp23316 +a(g189 +V\u000a +tp23317 +a(g189 +V +tp23318 +a(g18 +Vassert +p23319 +tp23320 +a(g202 +V( +tp23321 +a(g18 +Vwhy +p23322 +tp23323 +a(g189 +V +tp23324 +a(g344 +V! +tp23325 +a(g344 +V= +tp23326 +a(g189 +V +tp23327 +a(g18 +VWHY_YIELD +p23328 +tp23329 +a(g202 +V) +tp23330 +a(g202 +V; +tp23331 +a(g189 +V\u000a +tp23332 +a(g189 +V +tp23333 +a(g7 +V/* Pop remaining stack entries. */ +p23334 +tp23335 +a(g189 +V\u000a +tp23336 +a(g189 +V +tp23337 +a(g111 +Vwhile +p23338 +tp23339 +a(g189 +V +tp23340 +a(g202 +V( +tp23341 +a(g344 +V! +tp23342 +a(g18 +VEMPTY +p23343 +tp23344 +a(g202 +V( +tp23345 +a(g202 +V) +tp23346 +a(g202 +V) +tp23347 +a(g189 +V +tp23348 +a(g202 +V{ +tp23349 +a(g189 +V\u000a +tp23350 +a(g189 +V +p23351 +tp23352 +a(g18 +Vv +tp23353 +a(g189 +V +tp23354 +a(g344 +V= +tp23355 +a(g189 +V +tp23356 +a(g18 +VPOP +p23357 +tp23358 +a(g202 +V( +tp23359 +a(g202 +V) +tp23360 +a(g202 +V; +tp23361 +a(g189 +V\u000a +tp23362 +a(g189 +V +p23363 +tp23364 +a(g18 +VPy_XDECREF +p23365 +tp23366 +a(g202 +V( +tp23367 +a(g18 +Vv +tp23368 +a(g202 +V) +tp23369 +a(g202 +V; +tp23370 +a(g189 +V\u000a +tp23371 +a(g189 +V +tp23372 +a(g202 +V} +tp23373 +a(g189 +V\u000a +tp23374 +a(g189 +V\u000a +tp23375 +a(g189 +V +tp23376 +a(g111 +Vif +p23377 +tp23378 +a(g189 +V +tp23379 +a(g202 +V( +tp23380 +a(g18 +Vwhy +p23381 +tp23382 +a(g189 +V +tp23383 +a(g344 +V! +tp23384 +a(g344 +V= +tp23385 +a(g189 +V +tp23386 +a(g18 +VWHY_RETURN +p23387 +tp23388 +a(g202 +V) +tp23389 +a(g189 +V\u000a +tp23390 +a(g189 +V +p23391 +tp23392 +a(g18 +Vretval +p23393 +tp23394 +a(g189 +V +tp23395 +a(g344 +V= +tp23396 +a(g189 +V +tp23397 +a(g57 +VNULL +p23398 +tp23399 +a(g202 +V; +tp23400 +a(g189 +V\u000a +tp23401 +a(g189 +V\u000a +tp23402 +a(g45 +Vfast_yield: +p23403 +tp23404 +a(g189 +V\u000a +tp23405 +a(g189 +V +tp23406 +a(g111 +Vif +p23407 +tp23408 +a(g189 +V +tp23409 +a(g202 +V( +tp23410 +a(g18 +Vtstate +p23411 +tp23412 +a(g344 +V- +tp23413 +a(g344 +V> +tp23414 +a(g18 +Vuse_tracing +p23415 +tp23416 +a(g202 +V) +tp23417 +a(g189 +V +tp23418 +a(g202 +V{ +tp23419 +a(g189 +V\u000a +tp23420 +a(g189 +V +p23421 +tp23422 +a(g111 +Vif +p23423 +tp23424 +a(g189 +V +tp23425 +a(g202 +V( +tp23426 +a(g18 +Vtstate +p23427 +tp23428 +a(g344 +V- +tp23429 +a(g344 +V> +tp23430 +a(g18 +Vc_tracefunc +p23431 +tp23432 +a(g202 +V) +tp23433 +a(g189 +V +tp23434 +a(g202 +V{ +tp23435 +a(g189 +V\u000a +tp23436 +a(g189 +V +p23437 +tp23438 +a(g111 +Vif +p23439 +tp23440 +a(g189 +V +tp23441 +a(g202 +V( +tp23442 +a(g18 +Vwhy +p23443 +tp23444 +a(g189 +V +tp23445 +a(g344 +V= +tp23446 +a(g344 +V= +tp23447 +a(g189 +V +tp23448 +a(g18 +VWHY_RETURN +p23449 +tp23450 +a(g189 +V +tp23451 +a(g344 +V| +tp23452 +a(g344 +V| +tp23453 +a(g189 +V +tp23454 +a(g18 +Vwhy +p23455 +tp23456 +a(g189 +V +tp23457 +a(g344 +V= +tp23458 +a(g344 +V= +tp23459 +a(g189 +V +tp23460 +a(g18 +VWHY_YIELD +p23461 +tp23462 +a(g202 +V) +tp23463 +a(g189 +V +tp23464 +a(g202 +V{ +tp23465 +a(g189 +V\u000a +tp23466 +a(g189 +V +p23467 +tp23468 +a(g111 +Vif +p23469 +tp23470 +a(g189 +V +tp23471 +a(g202 +V( +tp23472 +a(g18 +Vcall_trace +p23473 +tp23474 +a(g202 +V( +tp23475 +a(g18 +Vtstate +p23476 +tp23477 +a(g344 +V- +tp23478 +a(g344 +V> +tp23479 +a(g18 +Vc_tracefunc +p23480 +tp23481 +a(g202 +V, +tp23482 +a(g189 +V\u000a +tp23483 +a(g189 +V +p23484 +tp23485 +a(g18 +Vtstate +p23486 +tp23487 +a(g344 +V- +tp23488 +a(g344 +V> +tp23489 +a(g18 +Vc_traceobj +p23490 +tp23491 +a(g202 +V, +tp23492 +a(g189 +V +tp23493 +a(g18 +Vf +tp23494 +a(g202 +V, +tp23495 +a(g189 +V\u000a +tp23496 +a(g189 +V +p23497 +tp23498 +a(g18 +VPyTrace_RETURN +p23499 +tp23500 +a(g202 +V, +tp23501 +a(g189 +V +tp23502 +a(g18 +Vretval +p23503 +tp23504 +a(g202 +V) +tp23505 +a(g202 +V) +tp23506 +a(g189 +V +tp23507 +a(g202 +V{ +tp23508 +a(g189 +V\u000a +tp23509 +a(g189 +V +p23510 +tp23511 +a(g18 +VPy_XDECREF +p23512 +tp23513 +a(g202 +V( +tp23514 +a(g18 +Vretval +p23515 +tp23516 +a(g202 +V) +tp23517 +a(g202 +V; +tp23518 +a(g189 +V\u000a +tp23519 +a(g189 +V +p23520 +tp23521 +a(g18 +Vretval +p23522 +tp23523 +a(g189 +V +tp23524 +a(g344 +V= +tp23525 +a(g189 +V +tp23526 +a(g57 +VNULL +p23527 +tp23528 +a(g202 +V; +tp23529 +a(g189 +V\u000a +tp23530 +a(g189 +V +p23531 +tp23532 +a(g18 +Vwhy +p23533 +tp23534 +a(g189 +V +tp23535 +a(g344 +V= +tp23536 +a(g189 +V +tp23537 +a(g18 +VWHY_EXCEPTION +p23538 +tp23539 +a(g202 +V; +tp23540 +a(g189 +V\u000a +tp23541 +a(g189 +V +p23542 +tp23543 +a(g202 +V} +tp23544 +a(g189 +V\u000a +tp23545 +a(g189 +V +p23546 +tp23547 +a(g202 +V} +tp23548 +a(g189 +V\u000a +tp23549 +a(g189 +V +p23550 +tp23551 +a(g111 +Velse +p23552 +tp23553 +a(g189 +V +tp23554 +a(g111 +Vif +p23555 +tp23556 +a(g189 +V +tp23557 +a(g202 +V( +tp23558 +a(g18 +Vwhy +p23559 +tp23560 +a(g189 +V +tp23561 +a(g344 +V= +tp23562 +a(g344 +V= +tp23563 +a(g189 +V +tp23564 +a(g18 +VWHY_EXCEPTION +p23565 +tp23566 +a(g202 +V) +tp23567 +a(g189 +V +tp23568 +a(g202 +V{ +tp23569 +a(g189 +V\u000a +tp23570 +a(g189 +V +p23571 +tp23572 +a(g18 +Vcall_trace_protected +p23573 +tp23574 +a(g202 +V( +tp23575 +a(g18 +Vtstate +p23576 +tp23577 +a(g344 +V- +tp23578 +a(g344 +V> +tp23579 +a(g18 +Vc_tracefunc +p23580 +tp23581 +a(g202 +V, +tp23582 +a(g189 +V\u000a +tp23583 +a(g189 +V +p23584 +tp23585 +a(g18 +Vtstate +p23586 +tp23587 +a(g344 +V- +tp23588 +a(g344 +V> +tp23589 +a(g18 +Vc_traceobj +p23590 +tp23591 +a(g202 +V, +tp23592 +a(g189 +V +tp23593 +a(g18 +Vf +tp23594 +a(g202 +V, +tp23595 +a(g189 +V\u000a +tp23596 +a(g189 +V +p23597 +tp23598 +a(g18 +VPyTrace_RETURN +p23599 +tp23600 +a(g202 +V, +tp23601 +a(g189 +V +tp23602 +a(g57 +VNULL +p23603 +tp23604 +a(g202 +V) +tp23605 +a(g202 +V; +tp23606 +a(g189 +V\u000a +tp23607 +a(g189 +V +p23608 +tp23609 +a(g202 +V} +tp23610 +a(g189 +V\u000a +tp23611 +a(g189 +V +p23612 +tp23613 +a(g202 +V} +tp23614 +a(g189 +V\u000a +tp23615 +a(g189 +V +p23616 +tp23617 +a(g111 +Vif +p23618 +tp23619 +a(g189 +V +tp23620 +a(g202 +V( +tp23621 +a(g18 +Vtstate +p23622 +tp23623 +a(g344 +V- +tp23624 +a(g344 +V> +tp23625 +a(g18 +Vc_profilefunc +p23626 +tp23627 +a(g202 +V) +tp23628 +a(g189 +V +tp23629 +a(g202 +V{ +tp23630 +a(g189 +V\u000a +tp23631 +a(g189 +V +p23632 +tp23633 +a(g111 +Vif +p23634 +tp23635 +a(g189 +V +tp23636 +a(g202 +V( +tp23637 +a(g18 +Vwhy +p23638 +tp23639 +a(g189 +V +tp23640 +a(g344 +V= +tp23641 +a(g344 +V= +tp23642 +a(g189 +V +tp23643 +a(g18 +VWHY_EXCEPTION +p23644 +tp23645 +a(g202 +V) +tp23646 +a(g189 +V\u000a +tp23647 +a(g189 +V +p23648 +tp23649 +a(g18 +Vcall_trace_protected +p23650 +tp23651 +a(g202 +V( +tp23652 +a(g18 +Vtstate +p23653 +tp23654 +a(g344 +V- +tp23655 +a(g344 +V> +tp23656 +a(g18 +Vc_profilefunc +p23657 +tp23658 +a(g202 +V, +tp23659 +a(g189 +V\u000a +tp23660 +a(g189 +V +p23661 +tp23662 +a(g18 +Vtstate +p23663 +tp23664 +a(g344 +V- +tp23665 +a(g344 +V> +tp23666 +a(g18 +Vc_profileobj +p23667 +tp23668 +a(g202 +V, +tp23669 +a(g189 +V +tp23670 +a(g18 +Vf +tp23671 +a(g202 +V, +tp23672 +a(g189 +V\u000a +tp23673 +a(g189 +V +p23674 +tp23675 +a(g18 +VPyTrace_RETURN +p23676 +tp23677 +a(g202 +V, +tp23678 +a(g189 +V +tp23679 +a(g57 +VNULL +p23680 +tp23681 +a(g202 +V) +tp23682 +a(g202 +V; +tp23683 +a(g189 +V\u000a +tp23684 +a(g189 +V +p23685 +tp23686 +a(g111 +Velse +p23687 +tp23688 +a(g189 +V +tp23689 +a(g111 +Vif +p23690 +tp23691 +a(g189 +V +tp23692 +a(g202 +V( +tp23693 +a(g18 +Vcall_trace +p23694 +tp23695 +a(g202 +V( +tp23696 +a(g18 +Vtstate +p23697 +tp23698 +a(g344 +V- +tp23699 +a(g344 +V> +tp23700 +a(g18 +Vc_profilefunc +p23701 +tp23702 +a(g202 +V, +tp23703 +a(g189 +V\u000a +tp23704 +a(g189 +V +p23705 +tp23706 +a(g18 +Vtstate +p23707 +tp23708 +a(g344 +V- +tp23709 +a(g344 +V> +tp23710 +a(g18 +Vc_profileobj +p23711 +tp23712 +a(g202 +V, +tp23713 +a(g189 +V +tp23714 +a(g18 +Vf +tp23715 +a(g202 +V, +tp23716 +a(g189 +V\u000a +tp23717 +a(g189 +V +p23718 +tp23719 +a(g18 +VPyTrace_RETURN +p23720 +tp23721 +a(g202 +V, +tp23722 +a(g189 +V +tp23723 +a(g18 +Vretval +p23724 +tp23725 +a(g202 +V) +tp23726 +a(g202 +V) +tp23727 +a(g189 +V +tp23728 +a(g202 +V{ +tp23729 +a(g189 +V\u000a +tp23730 +a(g189 +V +p23731 +tp23732 +a(g18 +VPy_XDECREF +p23733 +tp23734 +a(g202 +V( +tp23735 +a(g18 +Vretval +p23736 +tp23737 +a(g202 +V) +tp23738 +a(g202 +V; +tp23739 +a(g189 +V\u000a +tp23740 +a(g189 +V +p23741 +tp23742 +a(g18 +Vretval +p23743 +tp23744 +a(g189 +V +tp23745 +a(g344 +V= +tp23746 +a(g189 +V +tp23747 +a(g57 +VNULL +p23748 +tp23749 +a(g202 +V; +tp23750 +a(g189 +V\u000a +tp23751 +a(g189 +V +p23752 +tp23753 +a(g18 +Vwhy +p23754 +tp23755 +a(g189 +V +tp23756 +a(g344 +V= +tp23757 +a(g189 +V +tp23758 +a(g18 +VWHY_EXCEPTION +p23759 +tp23760 +a(g202 +V; +tp23761 +a(g189 +V\u000a +tp23762 +a(g189 +V +p23763 +tp23764 +a(g202 +V} +tp23765 +a(g189 +V\u000a +tp23766 +a(g189 +V +p23767 +tp23768 +a(g202 +V} +tp23769 +a(g189 +V\u000a +tp23770 +a(g189 +V +tp23771 +a(g202 +V} +tp23772 +a(g189 +V\u000a +tp23773 +a(g189 +V\u000a +tp23774 +a(g189 +V +tp23775 +a(g111 +Vif +p23776 +tp23777 +a(g189 +V +tp23778 +a(g202 +V( +tp23779 +a(g18 +Vtstate +p23780 +tp23781 +a(g344 +V- +tp23782 +a(g344 +V> +tp23783 +a(g18 +Vframe +p23784 +tp23785 +a(g344 +V- +tp23786 +a(g344 +V> +tp23787 +a(g18 +Vf_exc_type +p23788 +tp23789 +a(g189 +V +tp23790 +a(g344 +V! +tp23791 +a(g344 +V= +tp23792 +a(g189 +V +tp23793 +a(g57 +VNULL +p23794 +tp23795 +a(g202 +V) +tp23796 +a(g189 +V\u000a +tp23797 +a(g189 +V +p23798 +tp23799 +a(g18 +Vreset_exc_info +p23800 +tp23801 +a(g202 +V( +tp23802 +a(g18 +Vtstate +p23803 +tp23804 +a(g202 +V) +tp23805 +a(g202 +V; +tp23806 +a(g189 +V\u000a +tp23807 +a(g189 +V +tp23808 +a(g111 +Velse +p23809 +tp23810 +a(g189 +V +tp23811 +a(g202 +V{ +tp23812 +a(g189 +V\u000a +tp23813 +a(g189 +V +p23814 +tp23815 +a(g18 +Vassert +p23816 +tp23817 +a(g202 +V( +tp23818 +a(g18 +Vtstate +p23819 +tp23820 +a(g344 +V- +tp23821 +a(g344 +V> +tp23822 +a(g18 +Vframe +p23823 +tp23824 +a(g344 +V- +tp23825 +a(g344 +V> +tp23826 +a(g18 +Vf_exc_value +p23827 +tp23828 +a(g189 +V +tp23829 +a(g344 +V= +tp23830 +a(g344 +V= +tp23831 +a(g189 +V +tp23832 +a(g57 +VNULL +p23833 +tp23834 +a(g202 +V) +tp23835 +a(g202 +V; +tp23836 +a(g189 +V\u000a +tp23837 +a(g189 +V +p23838 +tp23839 +a(g18 +Vassert +p23840 +tp23841 +a(g202 +V( +tp23842 +a(g18 +Vtstate +p23843 +tp23844 +a(g344 +V- +tp23845 +a(g344 +V> +tp23846 +a(g18 +Vframe +p23847 +tp23848 +a(g344 +V- +tp23849 +a(g344 +V> +tp23850 +a(g18 +Vf_exc_traceback +p23851 +tp23852 +a(g189 +V +tp23853 +a(g344 +V= +tp23854 +a(g344 +V= +tp23855 +a(g189 +V +tp23856 +a(g57 +VNULL +p23857 +tp23858 +a(g202 +V) +tp23859 +a(g202 +V; +tp23860 +a(g189 +V\u000a +tp23861 +a(g189 +V +tp23862 +a(g202 +V} +tp23863 +a(g189 +V\u000a +tp23864 +a(g189 +V\u000a +tp23865 +a(g189 +V +tp23866 +a(g7 +V/* pop frame */ +p23867 +tp23868 +a(g189 +V\u000a +tp23869 +a(g189 +V +p23870 +tp23871 +a(g45 +Vexit_eval_frame: +p23872 +tp23873 +a(g189 +V\u000a +tp23874 +a(g189 +V +tp23875 +a(g18 +VPy_LeaveRecursiveCall +p23876 +tp23877 +a(g202 +V( +tp23878 +a(g202 +V) +tp23879 +a(g202 +V; +tp23880 +a(g189 +V\u000a +tp23881 +a(g189 +V +tp23882 +a(g18 +Vtstate +p23883 +tp23884 +a(g344 +V- +tp23885 +a(g344 +V> +tp23886 +a(g18 +Vframe +p23887 +tp23888 +a(g189 +V +tp23889 +a(g344 +V= +tp23890 +a(g189 +V +tp23891 +a(g18 +Vf +tp23892 +a(g344 +V- +tp23893 +a(g344 +V> +tp23894 +a(g18 +Vf_back +p23895 +tp23896 +a(g202 +V; +tp23897 +a(g189 +V\u000a +tp23898 +a(g189 +V\u000a +tp23899 +a(g189 +V +tp23900 +a(g111 +Vreturn +p23901 +tp23902 +a(g189 +V +tp23903 +a(g18 +Vretval +p23904 +tp23905 +a(g202 +V; +tp23906 +a(g189 +V\u000a +tp23907 +a(g202 +V} +tp23908 +a(g189 +V\u000a +tp23909 +a(g189 +V +tp23910 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/cheetah_example.html b/tests/examplefiles/output/cheetah_example.html new file mode 100644 index 0000000..87e367b --- /dev/null +++ b/tests/examplefiles/output/cheetah_example.html @@ -0,0 +1,1534 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Name' +p5 +S'Tag' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Function' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsS'Exception' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g9 +g10 +((ltRp22 +sg12 +g13 +sbsg6 +g7 +sS'Constant' +p23 +g2 +(g3 +g4 +(g5 +g23 +ttRp24 +(dp25 +g9 +g10 +((ltRp26 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp27 +(dp28 +S'Comment' +p29 +g2 +(g3 +g4 +(g29 +ttRp30 +(dp31 +g12 +g27 +sS'Preproc' +p32 +g2 +(g3 +g4 +(g29 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g30 +sbsS'Single' +p36 +g2 +(g3 +g4 +(g29 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g30 +sbsS'Multiline' +p40 +g2 +(g3 +g4 +(g29 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g30 +sbsg9 +g10 +((lp44 +g2 +(g3 +g4 +(g29 +S'Special' +p45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g30 +sbag33 +ag37 +ag41 +atRp49 +sg45 +g46 +sbsg5 +g13 +sS'Keyword' +p50 +g2 +(g3 +g4 +(g50 +ttRp51 +(dp52 +S'Pervasive' +p53 +g2 +(g3 +g4 +(g50 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g51 +sbsg23 +g2 +(g3 +g4 +(g50 +g23 +ttRp57 +(dp58 +g9 +g10 +((ltRp59 +sg12 +g51 +sbsg12 +g27 +sS'Namespace' +p60 +g2 +(g3 +g4 +(g50 +g60 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g51 +sbsS'Pseudo' +p64 +g2 +(g3 +g4 +(g50 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g51 +sbsS'Reserved' +p68 +g2 +(g3 +g4 +(g50 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g51 +sbsS'Declaration' +p72 +g2 +(g3 +g4 +(g50 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g51 +sbsS'Variable' +p76 +g2 +(g3 +g4 +(g50 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g51 +sbsg9 +g10 +((lp80 +g57 +ag69 +ag2 +(g3 +g4 +(g50 +S'Type' +p81 +ttRp82 +(dp83 +g9 +g10 +((ltRp84 +sg12 +g51 +sbag54 +ag73 +ag77 +ag61 +ag65 +atRp85 +sg81 +g82 +sbsS'Generic' +p86 +g2 +(g3 +g4 +(g86 +ttRp87 +(dp88 +S'Prompt' +p89 +g2 +(g3 +g4 +(g86 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g87 +sbsg12 +g27 +sS'Deleted' +p93 +g2 +(g3 +g4 +(g86 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g87 +sbsS'Traceback' +p97 +g2 +(g3 +g4 +(g86 +g97 +ttRp98 +(dp99 +g9 +g10 +((ltRp100 +sg12 +g87 +sbsS'Emph' +p101 +g2 +(g3 +g4 +(g86 +g101 +ttRp102 +(dp103 +g9 +g10 +((ltRp104 +sg12 +g87 +sbsS'Output' +p105 +g2 +(g3 +g4 +(g86 +g105 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g87 +sbsS'Subheading' +p109 +g2 +(g3 +g4 +(g86 +g109 +ttRp110 +(dp111 +g9 +g10 +((ltRp112 +sg12 +g87 +sbsS'Error' +p113 +g2 +(g3 +g4 +(g86 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g87 +sbsg9 +g10 +((lp117 +g106 +ag102 +ag114 +ag110 +ag98 +ag94 +ag2 +(g3 +g4 +(g86 +S'Heading' +p118 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g87 +sbag2 +(g3 +g4 +(g86 +S'Inserted' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g87 +sbag2 +(g3 +g4 +(g86 +S'Strong' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g87 +sbag90 +atRp130 +sg126 +g127 +sg122 +g123 +sg118 +g119 +sbsS'Text' +p131 +g2 +(g3 +g4 +(g131 +ttRp132 +(dp133 +g9 +g10 +((lp134 +g2 +(g3 +g4 +(g131 +S'Symbol' +p135 +ttRp136 +(dp137 +g9 +g10 +((ltRp138 +sg12 +g132 +sbag2 +(g3 +g4 +(g131 +S'Whitespace' +p139 +ttRp140 +(dp141 +g9 +g10 +((ltRp142 +sg12 +g132 +sbatRp143 +sg135 +g136 +sg139 +g140 +sg12 +g27 +sbsS'Punctuation' +p144 +g2 +(g3 +g4 +(g144 +ttRp145 +(dp146 +g9 +g10 +((lp147 +g2 +(g3 +g4 +(g144 +S'Indicator' +p148 +ttRp149 +(dp150 +g9 +g10 +((ltRp151 +sg12 +g145 +sbatRp152 +sg148 +g149 +sg12 +g27 +sbsS'Token' +p153 +g27 +sS'Number' +p154 +g2 +(g3 +g4 +(S'Literal' +p155 +g154 +ttRp156 +(dp157 +S'Bin' +p158 +g2 +(g3 +g4 +(g155 +g154 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g156 +sbsS'Binary' +p162 +g2 +(g3 +g4 +(g155 +g154 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g156 +sbsg12 +g2 +(g3 +g4 +(g155 +ttRp166 +(dp167 +S'String' +p168 +g2 +(g3 +g4 +(g155 +g168 +ttRp169 +(dp170 +S'Regex' +p171 +g2 +(g3 +g4 +(g155 +g168 +g171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g169 +sbsS'Interpol' +p175 +g2 +(g3 +g4 +(g155 +g168 +g175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g169 +sbsS'Regexp' +p179 +g2 +(g3 +g4 +(g155 +g168 +g179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g169 +sbsg12 +g166 +sS'Heredoc' +p183 +g2 +(g3 +g4 +(g155 +g168 +g183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g169 +sbsS'Double' +p187 +g2 +(g3 +g4 +(g155 +g168 +g187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg12 +g169 +sbsg135 +g2 +(g3 +g4 +(g155 +g168 +g135 +ttRp191 +(dp192 +g9 +g10 +((ltRp193 +sg12 +g169 +sbsS'Escape' +p194 +g2 +(g3 +g4 +(g155 +g168 +g194 +ttRp195 +(dp196 +g9 +g10 +((ltRp197 +sg12 +g169 +sbsS'Character' +p198 +g2 +(g3 +g4 +(g155 +g168 +g198 +ttRp199 +(dp200 +g9 +g10 +((ltRp201 +sg12 +g169 +sbsS'Interp' +p202 +g2 +(g3 +g4 +(g155 +g168 +g202 +ttRp203 +(dp204 +g9 +g10 +((ltRp205 +sg12 +g169 +sbsS'Backtick' +p206 +g2 +(g3 +g4 +(g155 +g168 +g206 +ttRp207 +(dp208 +g9 +g10 +((ltRp209 +sg12 +g169 +sbsS'Char' +p210 +g2 +(g3 +g4 +(g155 +g168 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg12 +g169 +sbsg36 +g2 +(g3 +g4 +(g155 +g168 +g36 +ttRp214 +(dp215 +g9 +g10 +((ltRp216 +sg12 +g169 +sbsS'Other' +p217 +g2 +(g3 +g4 +(g155 +g168 +g217 +ttRp218 +(dp219 +g9 +g10 +((ltRp220 +sg12 +g169 +sbsS'Doc' +p221 +g2 +(g3 +g4 +(g155 +g168 +g221 +ttRp222 +(dp223 +g9 +g10 +((ltRp224 +sg12 +g169 +sbsg9 +g10 +((lp225 +g218 +ag2 +(g3 +g4 +(g155 +g168 +S'Atom' +p226 +ttRp227 +(dp228 +g9 +g10 +((ltRp229 +sg12 +g169 +sbag188 +ag211 +ag203 +ag222 +ag184 +ag207 +ag176 +ag191 +ag180 +ag172 +ag214 +ag199 +ag195 +atRp230 +sg226 +g227 +sbsg12 +g27 +sg154 +g156 +sS'Scalar' +p231 +g2 +(g3 +g4 +(g155 +g231 +ttRp232 +(dp233 +g9 +g10 +((lp234 +g2 +(g3 +g4 +(g155 +g231 +S'Plain' +p235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g232 +sbatRp239 +sg12 +g166 +sg235 +g236 +sbsg217 +g2 +(g3 +g4 +(g155 +g217 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g166 +sbsS'Date' +p243 +g2 +(g3 +g4 +(g155 +g243 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g166 +sbsg9 +g10 +((lp247 +g244 +ag169 +ag240 +ag156 +ag232 +atRp248 +sbsS'Decimal' +p249 +g2 +(g3 +g4 +(g155 +g154 +g249 +ttRp250 +(dp251 +g9 +g10 +((ltRp252 +sg12 +g156 +sbsS'Float' +p253 +g2 +(g3 +g4 +(g155 +g154 +g253 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g156 +sbsS'Hex' +p257 +g2 +(g3 +g4 +(g155 +g154 +g257 +ttRp258 +(dp259 +g9 +g10 +((ltRp260 +sg12 +g156 +sbsS'Integer' +p261 +g2 +(g3 +g4 +(g155 +g154 +g261 +ttRp262 +(dp263 +g9 +g10 +((lp264 +g2 +(g3 +g4 +(g155 +g154 +g261 +S'Long' +p265 +ttRp266 +(dp267 +g9 +g10 +((ltRp268 +sg12 +g262 +sbatRp269 +sg265 +g266 +sg12 +g156 +sbsS'Octal' +p270 +g2 +(g3 +g4 +(g155 +g154 +g270 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g156 +sbsg9 +g10 +((lp274 +g159 +ag163 +ag271 +ag250 +ag2 +(g3 +g4 +(g155 +g154 +S'Oct' +p275 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g156 +sbag262 +ag254 +ag258 +atRp279 +sg275 +g276 +sbsg155 +g166 +sg217 +g2 +(g3 +g4 +(g217 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g27 +sbsg113 +g2 +(g3 +g4 +(g113 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g27 +sbsS'Operator' +p286 +g2 +(g3 +g4 +(g286 +ttRp287 +(dp288 +g9 +g10 +((lp289 +g2 +(g3 +g4 +(g286 +S'Word' +p290 +ttRp291 +(dp292 +g9 +g10 +((ltRp293 +sg12 +g287 +sbatRp294 +sg290 +g291 +sg12 +g27 +sbsg9 +g10 +((lp295 +g30 +ag283 +ag87 +ag132 +ag13 +ag145 +ag51 +ag166 +ag287 +ag280 +atRp296 +sg168 +g169 +sbsg64 +g2 +(g3 +g4 +(g5 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g13 +sbsS'Attribute' +p300 +g2 +(g3 +g4 +(g5 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g13 +sbsS'Label' +p304 +g2 +(g3 +g4 +(g5 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g13 +sbsS'Blubb' +p308 +g2 +(g3 +g4 +(g5 +g308 +ttRp309 +(dp310 +g9 +g10 +((ltRp311 +sg12 +g13 +sbsS'Entity' +p312 +g2 +(g3 +g4 +(g5 +g312 +ttRp313 +(dp314 +g9 +g10 +((ltRp315 +sg12 +g13 +sbsS'Builtin' +p316 +g2 +(g3 +g4 +(g5 +g316 +ttRp317 +(dp318 +g9 +g10 +((lp319 +g2 +(g3 +g4 +(g5 +g316 +g64 +ttRp320 +(dp321 +g9 +g10 +((ltRp322 +sg12 +g317 +sbatRp323 +sg64 +g320 +sg12 +g13 +sbsg217 +g2 +(g3 +g4 +(g5 +g217 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g13 +sbsS'Identifier' +p327 +g2 +(g3 +g4 +(g5 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g13 +sbsg76 +g2 +(g3 +g4 +(g5 +g76 +ttRp331 +(dp332 +g12 +g13 +sS'Global' +p333 +g2 +(g3 +g4 +(g5 +g76 +g333 +ttRp334 +(dp335 +g9 +g10 +((ltRp336 +sg12 +g331 +sbsS'Instance' +p337 +g2 +(g3 +g4 +(g5 +g76 +g337 +ttRp338 +(dp339 +g9 +g10 +((ltRp340 +sg12 +g331 +sbsS'Anonymous' +p341 +g2 +(g3 +g4 +(g5 +g76 +g341 +ttRp342 +(dp343 +g9 +g10 +((ltRp344 +sg12 +g331 +sbsg9 +g10 +((lp345 +g342 +ag338 +ag334 +ag2 +(g3 +g4 +(g5 +g76 +S'Class' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g331 +sbatRp350 +sg346 +g347 +sbsg9 +g10 +((lp351 +g2 +(g3 +g4 +(g5 +S'Decorator' +p352 +ttRp353 +(dp354 +g9 +g10 +((ltRp355 +sg12 +g13 +sbag301 +ag24 +ag297 +ag2 +(g3 +g4 +(g5 +g60 +ttRp356 +(dp357 +g9 +g10 +((ltRp358 +sg12 +g13 +sbag328 +ag317 +ag331 +ag324 +ag309 +ag313 +ag16 +ag2 +(g3 +g4 +(g5 +S'Property' +p359 +ttRp360 +(dp361 +g9 +g10 +((ltRp362 +sg12 +g13 +sbag305 +ag7 +ag20 +ag2 +(g3 +g4 +(g5 +g346 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbatRp366 +sg359 +g360 +sg346 +g363 +sg352 +g353 +sg60 +g356 +sbsbV +tp369 +a(g132 +V\u000a +p370 +tp371 +a(g7 +V +tp374 +a(g7 +V +tp377 +a(g132 +V$title +p378 +tp379 +a(g7 +V +p380 +tp381 +a(g7 +V +p382 +tp383 +a(g132 +V\u000a +p384 +tp385 +a(g7 +V +tp388 +a(g132 +V\u000a +p389 +tp390 +a(g7 +V +tp393 +a(g132 +V\u000a #for $client in $clients\u000a +p394 +tp395 +a(g7 +V +tp398 +a(g132 +V\u000a +p399 +tp400 +a(g7 +V +p406 +tp407 +a(g132 +V\u000a +p408 +tp409 +a(g7 +V +p425 +tp426 +a(g132 +V\u000a +p427 +tp428 +a(g7 +V +p429 +tp430 +a(g132 +V\u000a #end for\u000a +p431 +tp432 +a(g7 +V
    +tp403 +a(g132 +V$client.surname, $client.firstname +p404 +tp405 +a(g7 +V +tp412 +a(g7 +V +tp420 +a(g132 +V$client.email +p421 +tp422 +a(g7 +V +p423 +tp424 +a(g7 +V
    +p433 +tp434 +a(g132 +V\u000a +p435 +tp436 +a(g7 +V +p437 +tp438 +a(g132 +V\u000a +tp439 +a(g7 +V +p440 +tp441 +a(g132 +V\u000a +tp442 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/classes.dylan b/tests/examplefiles/output/classes.dylan new file mode 100644 index 0000000..b9d7d92 --- /dev/null +++ b/tests/examplefiles/output/classes.dylan @@ -0,0 +1,2036 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Constant' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp15 +(dp16 +S'Comment' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +g14 +g15 +sS'Preproc' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g11 +g12 +((ltRp23 +sg14 +g18 +sbsS'Single' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g18 +sbsS'Multiline' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g18 +sbsg11 +g12 +((lp32 +g2 +(g3 +g4 +(g17 +S'Special' +p33 +ttRp34 +(dp35 +g11 +g12 +((ltRp36 +sg14 +g18 +sbag21 +ag25 +ag29 +atRp37 +sg33 +g34 +sbsS'Name' +p38 +g2 +(g3 +g4 +(g38 +ttRp39 +(dp40 +S'Function' +p41 +g2 +(g3 +g4 +(g38 +g41 +ttRp42 +(dp43 +g11 +g12 +((ltRp44 +sg14 +g39 +sbsS'Exception' +p45 +g2 +(g3 +g4 +(g38 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g39 +sbsS'Tag' +p49 +g2 +(g3 +g4 +(g38 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g39 +sbsg8 +g2 +(g3 +g4 +(g38 +g8 +ttRp53 +(dp54 +g11 +g12 +((ltRp55 +sg14 +g39 +sbsg14 +g15 +sS'Pseudo' +p56 +g2 +(g3 +g4 +(g38 +g56 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g39 +sbsS'Attribute' +p60 +g2 +(g3 +g4 +(g38 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g39 +sbsS'Label' +p64 +g2 +(g3 +g4 +(g38 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g39 +sbsS'Blubb' +p68 +g2 +(g3 +g4 +(g38 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g39 +sbsS'Entity' +p72 +g2 +(g3 +g4 +(g38 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g39 +sbsS'Builtin' +p76 +g2 +(g3 +g4 +(g38 +g76 +ttRp77 +(dp78 +g11 +g12 +((lp79 +g2 +(g3 +g4 +(g38 +g76 +g56 +ttRp80 +(dp81 +g11 +g12 +((ltRp82 +sg14 +g77 +sbatRp83 +sg56 +g80 +sg14 +g39 +sbsS'Other' +p84 +g2 +(g3 +g4 +(g38 +g84 +ttRp85 +(dp86 +g11 +g12 +((ltRp87 +sg14 +g39 +sbsS'Identifier' +p88 +g2 +(g3 +g4 +(g38 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g39 +sbsS'Variable' +p92 +g2 +(g3 +g4 +(g38 +g92 +ttRp93 +(dp94 +g14 +g39 +sS'Global' +p95 +g2 +(g3 +g4 +(g38 +g92 +g95 +ttRp96 +(dp97 +g11 +g12 +((ltRp98 +sg14 +g93 +sbsS'Instance' +p99 +g2 +(g3 +g4 +(g38 +g92 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g93 +sbsS'Anonymous' +p103 +g2 +(g3 +g4 +(g38 +g92 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g93 +sbsg11 +g12 +((lp107 +g104 +ag100 +ag96 +ag2 +(g3 +g4 +(g38 +g92 +S'Class' +p108 +ttRp109 +(dp110 +g11 +g12 +((ltRp111 +sg14 +g93 +sbatRp112 +sg108 +g109 +sbsg11 +g12 +((lp113 +g2 +(g3 +g4 +(g38 +S'Decorator' +p114 +ttRp115 +(dp116 +g11 +g12 +((ltRp117 +sg14 +g39 +sbag61 +ag53 +ag57 +ag2 +(g3 +g4 +(g38 +S'Namespace' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g39 +sbag89 +ag77 +ag93 +ag85 +ag69 +ag73 +ag42 +ag2 +(g3 +g4 +(g38 +S'Property' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g39 +sbag65 +ag50 +ag46 +ag2 +(g3 +g4 +(g38 +g108 +ttRp126 +(dp127 +g11 +g12 +((ltRp128 +sg14 +g39 +sbatRp129 +sg122 +g123 +sg108 +g126 +sg114 +g115 +sg118 +g119 +sbsg5 +g6 +sS'Generic' +p130 +g2 +(g3 +g4 +(g130 +ttRp131 +(dp132 +S'Prompt' +p133 +g2 +(g3 +g4 +(g130 +g133 +ttRp134 +(dp135 +g11 +g12 +((ltRp136 +sg14 +g131 +sbsg14 +g15 +sS'Deleted' +p137 +g2 +(g3 +g4 +(g130 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g131 +sbsS'Traceback' +p141 +g2 +(g3 +g4 +(g130 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g131 +sbsS'Emph' +p145 +g2 +(g3 +g4 +(g130 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g131 +sbsS'Output' +p149 +g2 +(g3 +g4 +(g130 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g131 +sbsS'Subheading' +p153 +g2 +(g3 +g4 +(g130 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g131 +sbsS'Error' +p157 +g2 +(g3 +g4 +(g130 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g131 +sbsg11 +g12 +((lp161 +g150 +ag146 +ag158 +ag154 +ag142 +ag138 +ag2 +(g3 +g4 +(g130 +S'Heading' +p162 +ttRp163 +(dp164 +g11 +g12 +((ltRp165 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Inserted' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Strong' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g131 +sbag134 +atRp174 +sg170 +g171 +sg166 +g167 +sg162 +g163 +sbsS'Text' +p175 +g2 +(g3 +g4 +(g175 +ttRp176 +(dp177 +g11 +g12 +((lp178 +g2 +(g3 +g4 +(g175 +S'Symbol' +p179 +ttRp180 +(dp181 +g11 +g12 +((ltRp182 +sg14 +g176 +sbag2 +(g3 +g4 +(g175 +S'Whitespace' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g176 +sbatRp187 +sg179 +g180 +sg183 +g184 +sg14 +g15 +sbsS'Punctuation' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g11 +g12 +((lp191 +g2 +(g3 +g4 +(g188 +S'Indicator' +p192 +ttRp193 +(dp194 +g11 +g12 +((ltRp195 +sg14 +g189 +sbatRp196 +sg192 +g193 +sg14 +g15 +sbsS'Token' +p197 +g15 +sS'Number' +p198 +g2 +(g3 +g4 +(S'Literal' +p199 +g198 +ttRp200 +(dp201 +S'Bin' +p202 +g2 +(g3 +g4 +(g199 +g198 +g202 +ttRp203 +(dp204 +g11 +g12 +((ltRp205 +sg14 +g200 +sbsS'Binary' +p206 +g2 +(g3 +g4 +(g199 +g198 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g200 +sbsg14 +g2 +(g3 +g4 +(g199 +ttRp210 +(dp211 +S'String' +p212 +g2 +(g3 +g4 +(g199 +g212 +ttRp213 +(dp214 +S'Regex' +p215 +g2 +(g3 +g4 +(g199 +g212 +g215 +ttRp216 +(dp217 +g11 +g12 +((ltRp218 +sg14 +g213 +sbsS'Interpol' +p219 +g2 +(g3 +g4 +(g199 +g212 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g213 +sbsS'Regexp' +p223 +g2 +(g3 +g4 +(g199 +g212 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g213 +sbsg14 +g210 +sS'Heredoc' +p227 +g2 +(g3 +g4 +(g199 +g212 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g213 +sbsS'Double' +p231 +g2 +(g3 +g4 +(g199 +g212 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g213 +sbsg179 +g2 +(g3 +g4 +(g199 +g212 +g179 +ttRp235 +(dp236 +g11 +g12 +((ltRp237 +sg14 +g213 +sbsS'Escape' +p238 +g2 +(g3 +g4 +(g199 +g212 +g238 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g213 +sbsS'Character' +p242 +g2 +(g3 +g4 +(g199 +g212 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g213 +sbsS'Interp' +p246 +g2 +(g3 +g4 +(g199 +g212 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g213 +sbsS'Backtick' +p250 +g2 +(g3 +g4 +(g199 +g212 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g213 +sbsS'Char' +p254 +g2 +(g3 +g4 +(g199 +g212 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g213 +sbsg24 +g2 +(g3 +g4 +(g199 +g212 +g24 +ttRp258 +(dp259 +g11 +g12 +((ltRp260 +sg14 +g213 +sbsg84 +g2 +(g3 +g4 +(g199 +g212 +g84 +ttRp261 +(dp262 +g11 +g12 +((ltRp263 +sg14 +g213 +sbsS'Doc' +p264 +g2 +(g3 +g4 +(g199 +g212 +g264 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g213 +sbsg11 +g12 +((lp268 +g261 +ag2 +(g3 +g4 +(g199 +g212 +S'Atom' +p269 +ttRp270 +(dp271 +g11 +g12 +((ltRp272 +sg14 +g213 +sbag232 +ag255 +ag247 +ag265 +ag228 +ag251 +ag220 +ag235 +ag224 +ag216 +ag258 +ag243 +ag239 +atRp273 +sg269 +g270 +sbsg14 +g15 +sg198 +g200 +sS'Scalar' +p274 +g2 +(g3 +g4 +(g199 +g274 +ttRp275 +(dp276 +g11 +g12 +((lp277 +g2 +(g3 +g4 +(g199 +g274 +S'Plain' +p278 +ttRp279 +(dp280 +g11 +g12 +((ltRp281 +sg14 +g275 +sbatRp282 +sg14 +g210 +sg278 +g279 +sbsg84 +g2 +(g3 +g4 +(g199 +g84 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g210 +sbsS'Date' +p286 +g2 +(g3 +g4 +(g199 +g286 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g210 +sbsg11 +g12 +((lp290 +g287 +ag213 +ag283 +ag200 +ag275 +atRp291 +sbsS'Decimal' +p292 +g2 +(g3 +g4 +(g199 +g198 +g292 +ttRp293 +(dp294 +g11 +g12 +((ltRp295 +sg14 +g200 +sbsS'Float' +p296 +g2 +(g3 +g4 +(g199 +g198 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g200 +sbsS'Hex' +p300 +g2 +(g3 +g4 +(g199 +g198 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g200 +sbsS'Integer' +p304 +g2 +(g3 +g4 +(g199 +g198 +g304 +ttRp305 +(dp306 +g11 +g12 +((lp307 +g2 +(g3 +g4 +(g199 +g198 +g304 +S'Long' +p308 +ttRp309 +(dp310 +g11 +g12 +((ltRp311 +sg14 +g305 +sbatRp312 +sg308 +g309 +sg14 +g200 +sbsS'Octal' +p313 +g2 +(g3 +g4 +(g199 +g198 +g313 +ttRp314 +(dp315 +g11 +g12 +((ltRp316 +sg14 +g200 +sbsg11 +g12 +((lp317 +g203 +ag207 +ag314 +ag293 +ag2 +(g3 +g4 +(g199 +g198 +S'Oct' +p318 +ttRp319 +(dp320 +g11 +g12 +((ltRp321 +sg14 +g200 +sbag305 +ag297 +ag301 +atRp322 +sg318 +g319 +sbsg199 +g210 +sg84 +g2 +(g3 +g4 +(g84 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g15 +sbsg157 +g2 +(g3 +g4 +(g157 +ttRp326 +(dp327 +g11 +g12 +((ltRp328 +sg14 +g15 +sbsS'Operator' +p329 +g2 +(g3 +g4 +(g329 +ttRp330 +(dp331 +g11 +g12 +((lp332 +g2 +(g3 +g4 +(g329 +S'Word' +p333 +ttRp334 +(dp335 +g11 +g12 +((ltRp336 +sg14 +g330 +sbatRp337 +sg333 +g334 +sg14 +g15 +sbsg11 +g12 +((lp338 +g18 +ag326 +ag131 +ag176 +ag39 +ag189 +ag6 +ag210 +ag330 +ag323 +atRp339 +sg212 +g213 +sbsg118 +g2 +(g3 +g4 +(g5 +g118 +ttRp340 +(dp341 +g11 +g12 +((ltRp342 +sg14 +g6 +sbsg56 +g2 +(g3 +g4 +(g5 +g56 +ttRp343 +(dp344 +g11 +g12 +((ltRp345 +sg14 +g6 +sbsS'Reserved' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Declaration' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsg92 +g2 +(g3 +g4 +(g5 +g92 +ttRp354 +(dp355 +g11 +g12 +((ltRp356 +sg14 +g6 +sbsg11 +g12 +((lp357 +g9 +ag347 +ag2 +(g3 +g4 +(g5 +S'Type' +p358 +ttRp359 +(dp360 +g11 +g12 +((ltRp361 +sg14 +g6 +sbag351 +ag354 +ag340 +ag343 +atRp362 +sg358 +g359 +sbVdefine +p363 +tp364 +a(g176 +V +tp365 +a(g77 +Vclass +p366 +tp367 +a(g176 +V +tp368 +a(g42 +V +p369 +tp370 +a(g189 +V( +tp371 +a(g359 +V +p372 +tp373 +a(g189 +V) +tp374 +a(g176 +V\u000a +p375 +tp376 +a(g77 +Vslot +p377 +tp378 +a(g176 +V +tp379 +a(g93 +Vserial-number +p380 +tp381 +a(g176 +V +tp382 +a(g93 +V:: +p383 +tp384 +a(g176 +V +tp385 +a(g359 +V +p386 +tp387 +a(g176 +V +tp388 +a(g330 +V= +tp389 +a(g176 +V +tp390 +a(g42 +Vunique-serial-number +p391 +tp392 +a(g189 +V( +tp393 +a(g189 +V) +tp394 +a(g189 +V; +tp395 +a(g176 +V\u000a +p396 +tp397 +a(g77 +Vslot +p398 +tp399 +a(g176 +V +tp400 +a(g93 +Vmodel-name +p401 +tp402 +a(g176 +V +tp403 +a(g93 +V:: +p404 +tp405 +a(g176 +V +tp406 +a(g359 +V +p407 +tp408 +a(g189 +V, +tp409 +a(g176 +V\u000a +p410 +tp411 +a(g93 +Vrequired-init-keyword +p412 +tp413 +a(g330 +V: +tp414 +a(g176 +V +tp415 +a(g93 +Vmodel: +p416 +tp417 +a(g189 +V; +tp418 +a(g176 +V\u000a +p419 +tp420 +a(g77 +Vslot +p421 +tp422 +a(g176 +V +tp423 +a(g93 +Vhas-sunroof +p424 +tp425 +a(g330 +V? +tp426 +a(g176 +V +tp427 +a(g93 +V:: +p428 +tp429 +a(g176 +V +tp430 +a(g359 +V +p431 +tp432 +a(g189 +V, +tp433 +a(g176 +V\u000a +p434 +tp435 +a(g93 +Vinit-keyword +p436 +tp437 +a(g330 +V: +tp438 +a(g176 +V +tp439 +a(g93 +Vsunroof?: +p440 +tp441 +a(g189 +V, +tp442 +a(g176 +V\u000a +p443 +tp444 +a(g93 +Vinit-value +p445 +tp446 +a(g330 +V: +tp447 +a(g176 +V +tp448 +a(g6 +V#f +p449 +tp450 +a(g189 +V; +tp451 +a(g176 +V\u000a +tp452 +a(g6 +Vend +p453 +tp454 +a(g176 +V +tp455 +a(g77 +Vclass +p456 +tp457 +a(g176 +V +tp458 +a(g359 +V +p459 +tp460 +a(g189 +V; +tp461 +a(g176 +V\u000a\u000a +p462 +tp463 +a(g6 +Vdefine +p464 +tp465 +a(g176 +V +tp466 +a(g77 +Vvariable +p467 +tp468 +a(g176 +V +tp469 +a(g330 +V* +tp470 +a(g93 +Vunique-serial-number +p471 +tp472 +a(g330 +V* +tp473 +a(g176 +V +tp474 +a(g330 +V= +tp475 +a(g176 +V +tp476 +a(g200 +V0 +tp477 +a(g189 +V; +tp478 +a(g176 +V\u000a\u000a +p479 +tp480 +a(g6 +Vdefine +p481 +tp482 +a(g176 +V +tp483 +a(g77 +Vfunction +p484 +tp485 +a(g176 +V +tp486 +a(g42 +Vunique-serial-number +p487 +tp488 +a(g189 +V( +tp489 +a(g189 +V) +tp490 +a(g176 +V +tp491 +a(g42 +V=> +p492 +tp493 +a(g189 +V( +tp494 +a(g93 +Vusn +p495 +tp496 +a(g176 +V +tp497 +a(g93 +V:: +p498 +tp499 +a(g176 +V +tp500 +a(g359 +V +p501 +tp502 +a(g189 +V) +tp503 +a(g176 +V\u000a +p504 +tp505 +a(g6 +Vlet +p506 +tp507 +a(g176 +V +tp508 +a(g93 +Vserial +p509 +tp510 +a(g176 +V +tp511 +a(g330 +V= +tp512 +a(g176 +V +tp513 +a(g330 +V* +tp514 +a(g93 +Vunique-serial-number +p515 +tp516 +a(g330 +V* +tp517 +a(g189 +V; +tp518 +a(g176 +V\u000a +p519 +tp520 +a(g330 +V* +tp521 +a(g93 +Vunique-serial-number +p522 +tp523 +a(g330 +V* +tp524 +a(g176 +V +tp525 +a(g93 +V: +tp526 +a(g330 +V= +tp527 +a(g176 +V +tp528 +a(g330 +V* +tp529 +a(g93 +Vunique-serial-number +p530 +tp531 +a(g330 +V* +tp532 +a(g176 +V +tp533 +a(g330 +V+ +tp534 +a(g176 +V +tp535 +a(g200 +V1 +tp536 +a(g189 +V; +tp537 +a(g176 +V\u000a +p538 +tp539 +a(g93 +Vserial +p540 +tp541 +a(g189 +V; +tp542 +a(g176 +V\u000a +tp543 +a(g6 +Vend +p544 +tp545 +a(g176 +V +tp546 +a(g77 +Vfunction +p547 +tp548 +a(g189 +V; +tp549 +a(g176 +V\u000a\u000a +p550 +tp551 +a(g6 +Vdefine +p552 +tp553 +a(g176 +V +tp554 +a(g77 +Vconstant +p555 +tp556 +a(g176 +V +tp557 +a(g53 +V$blue-car +p558 +tp559 +a(g176 +V +tp560 +a(g330 +V= +tp561 +a(g176 +V +tp562 +a(g42 +Vmake +p563 +tp564 +a(g189 +V( +tp565 +a(g359 +V +p566 +tp567 +a(g189 +V, +tp568 +a(g176 +V +tp569 +a(g93 +Vmodel: +p570 +tp571 +a(g176 +V +tp572 +a(g232 +V"Viper" +p573 +tp574 +a(g189 +V) +tp575 +a(g189 +V; +tp576 +a(g176 +V\u000a +tp577 +a(g6 +Vdefine +p578 +tp579 +a(g176 +V +tp580 +a(g77 +Vconstant +p581 +tp582 +a(g176 +V +tp583 +a(g53 +V$black-car +p584 +tp585 +a(g176 +V +tp586 +a(g330 +V= +tp587 +a(g176 +V +tp588 +a(g42 +Vmake +p589 +tp590 +a(g189 +V( +tp591 +a(g359 +V +p592 +tp593 +a(g189 +V, +tp594 +a(g176 +V +tp595 +a(g93 +Vmodel: +p596 +tp597 +a(g176 +V +tp598 +a(g232 +V"Town Car" +p599 +tp600 +a(g189 +V, +tp601 +a(g176 +V +tp602 +a(g93 +Vsunroof?: +p603 +tp604 +a(g176 +V +tp605 +a(g6 +V#t +p606 +tp607 +a(g189 +V) +tp608 +a(g189 +V; +tp609 +a(g176 +V\u000a +tp610 +a(g6 +Vdefine +p611 +tp612 +a(g176 +V +tp613 +a(g77 +Vconstant +p614 +tp615 +a(g176 +V +tp616 +a(g53 +V$red-car +p617 +tp618 +a(g176 +V +tp619 +a(g330 +V= +tp620 +a(g176 +V +tp621 +a(g42 +Vmake +p622 +tp623 +a(g189 +V( +tp624 +a(g359 +V +p625 +tp626 +a(g189 +V, +tp627 +a(g176 +V +tp628 +a(g93 +Vmodel: +p629 +tp630 +a(g176 +V +tp631 +a(g232 +V"F40" +p632 +tp633 +a(g189 +V, +tp634 +a(g176 +V +tp635 +a(g93 +Vsunroof?: +p636 +tp637 +a(g176 +V +tp638 +a(g6 +V#f +p639 +tp640 +a(g189 +V) +tp641 +a(g189 +V; +tp642 +a(g176 +V\u000a +tp643 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/condensed_ruby.rb b/tests/examplefiles/output/condensed_ruby.rb new file mode 100644 index 0000000..2dfcaad --- /dev/null +++ b/tests/examplefiles/output/condensed_ruby.rb @@ -0,0 +1,2487 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV# Server: ruby p2p.rb password server server-uri merge-servers +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g7 +V# Sample: ruby p2p.rb foobar server druby://localhost:1337 druby://foo.bar:1337 +p370 +tp371 +a(g189 +V\u000a +tp372 +a(g7 +V# Client: ruby p2p.rb password client server-uri download-pattern +p373 +tp374 +a(g189 +V\u000a +tp375 +a(g7 +V# Sample: ruby p2p.rb foobar client druby://localhost:1337 *.rb +p376 +tp377 +a(g189 +V\u000a +tp378 +a(g57 +Vrequire +p379 +tp380 +a(g271 +V'drb' +p381 +tp382 +a(g202 +V; +tp383 +a(g18 +VF +tp384 +a(g202 +V, +tp385 +a(g18 +VD +tp386 +a(g202 +V, +tp387 +a(g18 +VC +tp388 +a(g202 +V, +tp389 +a(g18 +VP +tp390 +a(g202 +V, +tp391 +a(g18 +VM +tp392 +a(g202 +V, +tp393 +a(g18 +VU +tp394 +a(g202 +V, +tp395 +a(g343 +V* +tp396 +a(g18 +VO +tp397 +a(g343 +V= +tp398 +a(g33 +VFile +p399 +tp400 +a(g202 +V, +tp401 +a(g33 +VClass +p402 +tp403 +a(g202 +V, +tp404 +a(g33 +VDir +p405 +tp406 +a(g202 +V, +tp407 +a(g343 +V* +tp408 +a(g33 +VARGV +p409 +tp410 +a(g202 +V; +tp411 +a(g111 +Vdef +p412 +tp413 +a(g189 +V +tp414 +a(g21 +Vs +tp415 +a(g202 +V( +tp416 +a(g57 +Vp +tp417 +a(g202 +V) +tp418 +a(g18 +VF +tp419 +a(g343 +V. +tp420 +a(g18 +Vsplit +p421 +tp422 +a(g202 +V( +tp423 +a(g57 +Vp +tp424 +a(g343 +V[ +tp425 +a(g343 +V/ +tp426 +a(g343 +V[ +tp427 +a(g343 +V^ +tp428 +a(g343 +V| +tp429 +a(g343 +V] +tp430 +a(g343 +V. +tp431 +a(g18 +V* +tp432 +a(g343 +V/ +tp433 +a(g343 +V] +tp434 +a(g202 +V) +tp435 +a(g343 +V[ +tp436 +a(g343 +V- +tp437 +a(g318 +V1 +tp438 +a(g189 +V\u000a +tp439 +a(g343 +V] +tp440 +a(g111 +Vend +p441 +tp442 +a(g202 +V; +tp443 +a(g111 +Vdef +p444 +tp445 +a(g189 +V +tp446 +a(g21 +Vc +tp447 +a(g202 +V( +tp448 +a(g18 +Vu +tp449 +a(g202 +V) +tp450 +a(g202 +V; +tp451 +a(g33 +VDRbObject +p452 +tp453 +a(g343 +V. +tp454 +a(g18 +Vnew +p455 +tp456 +a(g202 +V( +tp457 +a(g202 +V( +tp458 +a(g202 +V) +tp459 +a(g202 +V, +tp460 +a(g18 +Vu +tp461 +a(g202 +V) +tp462 +a(g111 +Vend +p463 +tp464 +a(g202 +V; +tp465 +a(g111 +Vdef +p466 +tp467 +a(g189 +V +tp468 +a(g21 +Vx +tp469 +a(g202 +V( +tp470 +a(g18 +Vu +tp471 +a(g202 +V) +tp472 +a(g343 +V[ +tp473 +a(g18 +VP +tp474 +a(g202 +V, +tp475 +a(g18 +Vu +tp476 +a(g343 +V] +tp477 +a(g343 +V. +tp478 +a(g18 +Vhash +p479 +tp480 +a(g202 +V; +tp481 +a(g111 +Vend +p482 +tp483 +a(g202 +V; +tp484 +a(g18 +VM +tp485 +a(g343 +V== +p486 +tp487 +a(g245 +V" +tp488 +a(g245 +Vclient +p489 +tp490 +a(g245 +V" +tp491 +a(g343 +V&& +p492 +tp493 +a(g18 +Vc +tp494 +a(g202 +V( +tp495 +a(g18 +VU +tp496 +a(g202 +V) +tp497 +a(g343 +V. +tp498 +a(g18 +Vf +tp499 +a(g202 +V( +tp500 +a(g189 +V\u000a +tp501 +a(g18 +Vx +tp502 +a(g202 +V( +tp503 +a(g18 +VU +tp504 +a(g202 +V) +tp505 +a(g202 +V) +tp506 +a(g343 +V. +tp507 +a(g18 +Veach +p508 +tp509 +a(g202 +V{ +tp510 +a(g343 +V| +tp511 +a(g18 +Vn +tp512 +a(g343 +V| +tp513 +a(g57 +Vp +tp514 +a(g202 +V, +tp515 +a(g18 +Vc +tp516 +a(g343 +V= +tp517 +a(g18 +Vx +tp518 +a(g202 +V( +tp519 +a(g18 +Vn +tp520 +a(g202 +V) +tp521 +a(g202 +V, +tp522 +a(g18 +Vc +tp523 +a(g202 +V( +tp524 +a(g18 +Vn +tp525 +a(g202 +V) +tp526 +a(g202 +V; +tp527 +a(g202 +V( +tp528 +a(g18 +Vc +tp529 +a(g343 +V. +tp530 +a(g18 +Vf +tp531 +a(g202 +V( +tp532 +a(g57 +Vp +tp533 +a(g202 +V, +tp534 +a(g18 +VO +tp535 +a(g343 +V[ +tp536 +a(g318 +V0 +tp537 +a(g343 +V] +tp538 +a(g202 +V, +tp539 +a(g318 +V0 +tp540 +a(g202 +V) +tp541 +a(g343 +V. +tp542 +a(g18 +Vmap +p543 +tp544 +a(g202 +V{ +tp545 +a(g343 +V| +tp546 +a(g18 +Vf +tp547 +a(g343 +V| +tp548 +a(g18 +Vs +tp549 +a(g189 +V +tp550 +a(g18 +Vf +tp551 +a(g202 +V} +tp552 +a(g343 +V- +tp553 +a(g18 +VD +tp554 +a(g343 +V[ +tp555 +a(g245 +V" +tp556 +a(g245 +V* +tp557 +a(g245 +V" +tp558 +a(g343 +V] +tp559 +a(g202 +V) +tp560 +a(g343 +V. +tp561 +a(g18 +Veach +p562 +tp563 +a(g202 +V{ +tp564 +a(g343 +V| +tp565 +a(g18 +Vf +tp566 +a(g343 +V| +tp567 +a(g18 +VF +tp568 +a(g343 +V. +tp569 +a(g18 +Vopen +p570 +tp571 +a(g202 +V( +tp572 +a(g18 +Vf +tp573 +a(g202 +V, +tp574 +a(g189 +V\u000a +tp575 +a(g245 +V" +tp576 +a(g245 +Vw +tp577 +a(g245 +V" +tp578 +a(g202 +V) +tp579 +a(g202 +V{ +tp580 +a(g343 +V| +tp581 +a(g18 +Vo +tp582 +a(g343 +V| +tp583 +a(g18 +Vo +tp584 +a(g343 +V<< +p585 +tp586 +a(g18 +Vc +tp587 +a(g343 +V. +tp588 +a(g18 +Vf +tp589 +a(g202 +V( +tp590 +a(g57 +Vp +tp591 +a(g202 +V, +tp592 +a(g18 +Vf +tp593 +a(g202 +V, +tp594 +a(g318 +V1 +tp595 +a(g202 +V) +tp596 +a(g202 +V} +tp597 +a(g202 +V} +tp598 +a(g202 +V} +tp599 +a(g343 +V|| +p600 +tp601 +a(g202 +V( +tp602 +a(g33 +VDRb +p603 +tp604 +a(g343 +V. +tp605 +a(g18 +Vstart_service +p606 +tp607 +a(g189 +V +tp608 +a(g18 +VU +tp609 +a(g202 +V, +tp610 +a(g18 +VC +tp611 +a(g343 +V. +tp612 +a(g18 +Vnew +p613 +tp614 +a(g202 +V{ +tp615 +a(g111 +Vdef +p616 +tp617 +a(g189 +V +tp618 +a(g21 +Vf +tp619 +a(g202 +V( +tp620 +a(g18 +Vc +tp621 +a(g202 +V, +tp622 +a(g18 +Va +tp623 +a(g343 +V= +tp624 +a(g343 +V[ +tp625 +a(g343 +V] +tp626 +a(g202 +V, +tp627 +a(g18 +Vt +tp628 +a(g343 +V= +tp629 +a(g318 +V2 +tp630 +a(g202 +V) +tp631 +a(g18 +Vc +tp632 +a(g343 +V== +p633 +tp634 +a(g18 +Vx +tp635 +a(g202 +V( +tp636 +a(g18 +VU +tp637 +a(g202 +V) +tp638 +a(g343 +V&& +p639 +tp640 +a(g202 +V( +tp641 +a(g189 +V\u000a +tp642 +a(g18 +Vt +tp643 +a(g343 +V== +p644 +tp645 +a(g318 +V0 +tp646 +a(g343 +V&& +p647 +tp648 +a(g18 +VD +tp649 +a(g343 +V[ +tp650 +a(g18 +Vs +tp651 +a(g202 +V( +tp652 +a(g18 +Va +tp653 +a(g202 +V) +tp654 +a(g343 +V] +tp655 +a(g343 +V|| +p656 +tp657 +a(g18 +Vt +tp658 +a(g343 +V== +p659 +tp660 +a(g318 +V1 +tp661 +a(g343 +V&& +p662 +tp663 +a(g18 +VF +tp664 +a(g343 +V. +tp665 +a(g18 +Vread +p666 +tp667 +a(g202 +V( +tp668 +a(g18 +Vs +tp669 +a(g202 +V( +tp670 +a(g18 +Va +tp671 +a(g202 +V) +tp672 +a(g202 +V) +tp673 +a(g343 +V|| +p674 +tp675 +a(g57 +Vp +tp676 +a(g202 +V( +tp677 +a(g18 +Va +tp678 +a(g202 +V) +tp679 +a(g202 +V) +tp680 +a(g111 +Vend +p681 +tp682 +a(g202 +V; +tp683 +a(g111 +Vdef +p684 +tp685 +a(g189 +V +tp686 +a(g21 +Vy +tp687 +a(g202 +V( +tp688 +a(g202 +V) +tp689 +a(g202 +V( +tp690 +a(g57 +Vp +tp691 +a(g202 +V( +tp692 +a(g18 +VU +tp693 +a(g202 +V) +tp694 +a(g343 +V+ +tp695 +a(g57 +Vp +tp696 +a(g202 +V) +tp697 +a(g343 +V. +tp698 +a(g18 +Veach +p699 +tp700 +a(g202 +V{ +tp701 +a(g343 +V| +tp702 +a(g18 +Vu +tp703 +a(g343 +V| +tp704 +a(g18 +Vc +tp705 +a(g202 +V( +tp706 +a(g18 +Vu +tp707 +a(g202 +V) +tp708 +a(g343 +V. +tp709 +a(g18 +Vf +tp710 +a(g202 +V( +tp711 +a(g18 +Vx +tp712 +a(g202 +V( +tp713 +a(g18 +Vu +tp714 +a(g202 +V) +tp715 +a(g202 +V, +tp716 +a(g189 +V\u000a +tp717 +a(g57 +Vp +tp718 +a(g202 +V( +tp719 +a(g18 +VU +tp720 +a(g202 +V) +tp721 +a(g202 +V) +tp722 +a(g111 +Vrescue +p723 +tp724 +a(g202 +V( +tp725 +a(g202 +V) +tp726 +a(g202 +V} +tp727 +a(g202 +V; +tp728 +a(g57 +Vself +p729 +tp730 +a(g202 +V; +tp731 +a(g111 +Vend +p732 +tp733 +a(g202 +V; +tp734 +a(g123 +Vprivate +p735 +tp736 +a(g202 +V; +tp737 +a(g111 +Vdef +p738 +tp739 +a(g189 +V +tp740 +a(g21 +Vp +tp741 +a(g202 +V( +tp742 +a(g18 +Vx +tp743 +a(g343 +V= +tp744 +a(g343 +V[ +tp745 +a(g343 +V] +tp746 +a(g202 +V) +tp747 +a(g202 +V; +tp748 +a(g18 +VO +tp749 +a(g343 +V. +tp750 +a(g18 +Vpush +p751 +tp752 +a(g202 +V( +tp753 +a(g343 +V* +tp754 +a(g18 +Vx +tp755 +a(g202 +V) +tp756 +a(g343 +V. +tp757 +a(g18 +Vuniq! +p758 +tp759 +a(g202 +V; +tp760 +a(g18 +VO +tp761 +a(g202 +V; +tp762 +a(g111 +Vend +p763 +tp764 +a(g202 +V} +tp765 +a(g343 +V. +tp766 +a(g18 +Vnew +p767 +tp768 +a(g343 +V. +tp769 +a(g18 +Vy +tp770 +a(g202 +V; +tp771 +a(g57 +Vsleep +p772 +tp773 +a(g202 +V) +tp774 +a(g189 +V\u000a +tp775 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/database.pytb b/tests/examplefiles/output/database.pytb new file mode 100644 index 0000000..5d2c45a --- /dev/null +++ b/tests/examplefiles/output/database.pytb @@ -0,0 +1,1879 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Other' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((ltRp10 +sS'parent' +p11 +g2 +(g3 +g4 +(ttRp12 +(dp13 +S'Comment' +p14 +g2 +(g3 +g4 +(g14 +ttRp15 +(dp16 +g11 +g12 +sS'Preproc' +p17 +g2 +(g3 +g4 +(g14 +g17 +ttRp18 +(dp19 +g8 +g9 +((ltRp20 +sg11 +g15 +sbsS'Single' +p21 +g2 +(g3 +g4 +(g14 +g21 +ttRp22 +(dp23 +g8 +g9 +((ltRp24 +sg11 +g15 +sbsS'Multiline' +p25 +g2 +(g3 +g4 +(g14 +g25 +ttRp26 +(dp27 +g8 +g9 +((ltRp28 +sg11 +g15 +sbsg8 +g9 +((lp29 +g2 +(g3 +g4 +(g14 +S'Special' +p30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg11 +g15 +sbag18 +ag22 +ag26 +atRp34 +sg30 +g31 +sbsS'Name' +p35 +g2 +(g3 +g4 +(g35 +ttRp36 +(dp37 +S'Function' +p38 +g2 +(g3 +g4 +(g35 +g38 +ttRp39 +(dp40 +g8 +g9 +((ltRp41 +sg11 +g36 +sbsS'Exception' +p42 +g2 +(g3 +g4 +(g35 +g42 +ttRp43 +(dp44 +g8 +g9 +((ltRp45 +sg11 +g36 +sbsS'Tag' +p46 +g2 +(g3 +g4 +(g35 +g46 +ttRp47 +(dp48 +g8 +g9 +((ltRp49 +sg11 +g36 +sbsS'Constant' +p50 +g2 +(g3 +g4 +(g35 +g50 +ttRp51 +(dp52 +g8 +g9 +((ltRp53 +sg11 +g36 +sbsg11 +g12 +sS'Pseudo' +p54 +g2 +(g3 +g4 +(g35 +g54 +ttRp55 +(dp56 +g8 +g9 +((ltRp57 +sg11 +g36 +sbsS'Attribute' +p58 +g2 +(g3 +g4 +(g35 +g58 +ttRp59 +(dp60 +g8 +g9 +((ltRp61 +sg11 +g36 +sbsS'Label' +p62 +g2 +(g3 +g4 +(g35 +g62 +ttRp63 +(dp64 +g8 +g9 +((ltRp65 +sg11 +g36 +sbsS'Blubb' +p66 +g2 +(g3 +g4 +(g35 +g66 +ttRp67 +(dp68 +g8 +g9 +((ltRp69 +sg11 +g36 +sbsS'Entity' +p70 +g2 +(g3 +g4 +(g35 +g70 +ttRp71 +(dp72 +g8 +g9 +((ltRp73 +sg11 +g36 +sbsS'Builtin' +p74 +g2 +(g3 +g4 +(g35 +g74 +ttRp75 +(dp76 +g8 +g9 +((lp77 +g2 +(g3 +g4 +(g35 +g74 +g54 +ttRp78 +(dp79 +g8 +g9 +((ltRp80 +sg11 +g75 +sbatRp81 +sg54 +g78 +sg11 +g36 +sbsg5 +g2 +(g3 +g4 +(g35 +g5 +ttRp82 +(dp83 +g8 +g9 +((ltRp84 +sg11 +g36 +sbsS'Identifier' +p85 +g2 +(g3 +g4 +(g35 +g85 +ttRp86 +(dp87 +g8 +g9 +((ltRp88 +sg11 +g36 +sbsS'Variable' +p89 +g2 +(g3 +g4 +(g35 +g89 +ttRp90 +(dp91 +g11 +g36 +sS'Global' +p92 +g2 +(g3 +g4 +(g35 +g89 +g92 +ttRp93 +(dp94 +g8 +g9 +((ltRp95 +sg11 +g90 +sbsS'Instance' +p96 +g2 +(g3 +g4 +(g35 +g89 +g96 +ttRp97 +(dp98 +g8 +g9 +((ltRp99 +sg11 +g90 +sbsS'Anonymous' +p100 +g2 +(g3 +g4 +(g35 +g89 +g100 +ttRp101 +(dp102 +g8 +g9 +((ltRp103 +sg11 +g90 +sbsg8 +g9 +((lp104 +g101 +ag97 +ag93 +ag2 +(g3 +g4 +(g35 +g89 +S'Class' +p105 +ttRp106 +(dp107 +g8 +g9 +((ltRp108 +sg11 +g90 +sbatRp109 +sg105 +g106 +sbsg8 +g9 +((lp110 +g2 +(g3 +g4 +(g35 +S'Decorator' +p111 +ttRp112 +(dp113 +g8 +g9 +((ltRp114 +sg11 +g36 +sbag59 +ag51 +ag55 +ag2 +(g3 +g4 +(g35 +S'Namespace' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg11 +g36 +sbag86 +ag75 +ag90 +ag82 +ag67 +ag71 +ag39 +ag2 +(g3 +g4 +(g35 +S'Property' +p119 +ttRp120 +(dp121 +g8 +g9 +((ltRp122 +sg11 +g36 +sbag63 +ag47 +ag43 +ag2 +(g3 +g4 +(g35 +g105 +ttRp123 +(dp124 +g8 +g9 +((ltRp125 +sg11 +g36 +sbatRp126 +sg119 +g120 +sg105 +g123 +sg111 +g112 +sg115 +g116 +sbsS'Keyword' +p127 +g2 +(g3 +g4 +(g127 +ttRp128 +(dp129 +g50 +g2 +(g3 +g4 +(g127 +g50 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg11 +g128 +sbsg11 +g12 +sg115 +g2 +(g3 +g4 +(g127 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg11 +g128 +sbsg54 +g2 +(g3 +g4 +(g127 +g54 +ttRp136 +(dp137 +g8 +g9 +((ltRp138 +sg11 +g128 +sbsS'Reserved' +p139 +g2 +(g3 +g4 +(g127 +g139 +ttRp140 +(dp141 +g8 +g9 +((ltRp142 +sg11 +g128 +sbsS'Declaration' +p143 +g2 +(g3 +g4 +(g127 +g143 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg11 +g128 +sbsg89 +g2 +(g3 +g4 +(g127 +g89 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg11 +g128 +sbsg8 +g9 +((lp150 +g130 +ag140 +ag2 +(g3 +g4 +(g127 +S'Type' +p151 +ttRp152 +(dp153 +g8 +g9 +((ltRp154 +sg11 +g128 +sbag144 +ag147 +ag133 +ag136 +atRp155 +sg151 +g152 +sbsS'Generic' +p156 +g2 +(g3 +g4 +(g156 +ttRp157 +(dp158 +S'Prompt' +p159 +g2 +(g3 +g4 +(g156 +g159 +ttRp160 +(dp161 +g8 +g9 +((ltRp162 +sg11 +g157 +sbsg11 +g12 +sS'Deleted' +p163 +g2 +(g3 +g4 +(g156 +g163 +ttRp164 +(dp165 +g8 +g9 +((ltRp166 +sg11 +g157 +sbsS'Traceback' +p167 +g2 +(g3 +g4 +(g156 +g167 +ttRp168 +(dp169 +g8 +g9 +((ltRp170 +sg11 +g157 +sbsS'Emph' +p171 +g2 +(g3 +g4 +(g156 +g171 +ttRp172 +(dp173 +g8 +g9 +((ltRp174 +sg11 +g157 +sbsS'Output' +p175 +g2 +(g3 +g4 +(g156 +g175 +ttRp176 +(dp177 +g8 +g9 +((ltRp178 +sg11 +g157 +sbsS'Subheading' +p179 +g2 +(g3 +g4 +(g156 +g179 +ttRp180 +(dp181 +g8 +g9 +((ltRp182 +sg11 +g157 +sbsS'Error' +p183 +g2 +(g3 +g4 +(g156 +g183 +ttRp184 +(dp185 +g8 +g9 +((ltRp186 +sg11 +g157 +sbsg8 +g9 +((lp187 +g176 +ag172 +ag184 +ag180 +ag168 +ag164 +ag2 +(g3 +g4 +(g156 +S'Heading' +p188 +ttRp189 +(dp190 +g8 +g9 +((ltRp191 +sg11 +g157 +sbag2 +(g3 +g4 +(g156 +S'Inserted' +p192 +ttRp193 +(dp194 +g8 +g9 +((ltRp195 +sg11 +g157 +sbag2 +(g3 +g4 +(g156 +S'Strong' +p196 +ttRp197 +(dp198 +g8 +g9 +((ltRp199 +sg11 +g157 +sbag160 +atRp200 +sg196 +g197 +sg192 +g193 +sg188 +g189 +sbsS'Text' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g8 +g9 +((lp204 +g2 +(g3 +g4 +(g201 +S'Symbol' +p205 +ttRp206 +(dp207 +g8 +g9 +((ltRp208 +sg11 +g202 +sbag2 +(g3 +g4 +(g201 +S'Whitespace' +p209 +ttRp210 +(dp211 +g8 +g9 +((ltRp212 +sg11 +g202 +sbatRp213 +sg205 +g206 +sg209 +g210 +sg11 +g12 +sbsS'Punctuation' +p214 +g2 +(g3 +g4 +(g214 +ttRp215 +(dp216 +g8 +g9 +((lp217 +g2 +(g3 +g4 +(g214 +S'Indicator' +p218 +ttRp219 +(dp220 +g8 +g9 +((ltRp221 +sg11 +g215 +sbatRp222 +sg218 +g219 +sg11 +g12 +sbsS'Token' +p223 +g12 +sS'Number' +p224 +g2 +(g3 +g4 +(S'Literal' +p225 +g224 +ttRp226 +(dp227 +S'Bin' +p228 +g2 +(g3 +g4 +(g225 +g224 +g228 +ttRp229 +(dp230 +g8 +g9 +((ltRp231 +sg11 +g226 +sbsS'Binary' +p232 +g2 +(g3 +g4 +(g225 +g224 +g232 +ttRp233 +(dp234 +g8 +g9 +((ltRp235 +sg11 +g226 +sbsg11 +g2 +(g3 +g4 +(g225 +ttRp236 +(dp237 +S'String' +p238 +g2 +(g3 +g4 +(g225 +g238 +ttRp239 +(dp240 +S'Regex' +p241 +g2 +(g3 +g4 +(g225 +g238 +g241 +ttRp242 +(dp243 +g8 +g9 +((ltRp244 +sg11 +g239 +sbsS'Interpol' +p245 +g2 +(g3 +g4 +(g225 +g238 +g245 +ttRp246 +(dp247 +g8 +g9 +((ltRp248 +sg11 +g239 +sbsS'Regexp' +p249 +g2 +(g3 +g4 +(g225 +g238 +g249 +ttRp250 +(dp251 +g8 +g9 +((ltRp252 +sg11 +g239 +sbsg11 +g236 +sS'Heredoc' +p253 +g2 +(g3 +g4 +(g225 +g238 +g253 +ttRp254 +(dp255 +g8 +g9 +((ltRp256 +sg11 +g239 +sbsS'Double' +p257 +g2 +(g3 +g4 +(g225 +g238 +g257 +ttRp258 +(dp259 +g8 +g9 +((ltRp260 +sg11 +g239 +sbsg205 +g2 +(g3 +g4 +(g225 +g238 +g205 +ttRp261 +(dp262 +g8 +g9 +((ltRp263 +sg11 +g239 +sbsS'Escape' +p264 +g2 +(g3 +g4 +(g225 +g238 +g264 +ttRp265 +(dp266 +g8 +g9 +((ltRp267 +sg11 +g239 +sbsS'Character' +p268 +g2 +(g3 +g4 +(g225 +g238 +g268 +ttRp269 +(dp270 +g8 +g9 +((ltRp271 +sg11 +g239 +sbsS'Interp' +p272 +g2 +(g3 +g4 +(g225 +g238 +g272 +ttRp273 +(dp274 +g8 +g9 +((ltRp275 +sg11 +g239 +sbsS'Backtick' +p276 +g2 +(g3 +g4 +(g225 +g238 +g276 +ttRp277 +(dp278 +g8 +g9 +((ltRp279 +sg11 +g239 +sbsS'Char' +p280 +g2 +(g3 +g4 +(g225 +g238 +g280 +ttRp281 +(dp282 +g8 +g9 +((ltRp283 +sg11 +g239 +sbsg21 +g2 +(g3 +g4 +(g225 +g238 +g21 +ttRp284 +(dp285 +g8 +g9 +((ltRp286 +sg11 +g239 +sbsg5 +g2 +(g3 +g4 +(g225 +g238 +g5 +ttRp287 +(dp288 +g8 +g9 +((ltRp289 +sg11 +g239 +sbsS'Doc' +p290 +g2 +(g3 +g4 +(g225 +g238 +g290 +ttRp291 +(dp292 +g8 +g9 +((ltRp293 +sg11 +g239 +sbsg8 +g9 +((lp294 +g287 +ag2 +(g3 +g4 +(g225 +g238 +S'Atom' +p295 +ttRp296 +(dp297 +g8 +g9 +((ltRp298 +sg11 +g239 +sbag258 +ag281 +ag273 +ag291 +ag254 +ag277 +ag246 +ag261 +ag250 +ag242 +ag284 +ag269 +ag265 +atRp299 +sg295 +g296 +sbsg11 +g12 +sg224 +g226 +sS'Scalar' +p300 +g2 +(g3 +g4 +(g225 +g300 +ttRp301 +(dp302 +g8 +g9 +((lp303 +g2 +(g3 +g4 +(g225 +g300 +S'Plain' +p304 +ttRp305 +(dp306 +g8 +g9 +((ltRp307 +sg11 +g301 +sbatRp308 +sg11 +g236 +sg304 +g305 +sbsg5 +g2 +(g3 +g4 +(g225 +g5 +ttRp309 +(dp310 +g8 +g9 +((ltRp311 +sg11 +g236 +sbsS'Date' +p312 +g2 +(g3 +g4 +(g225 +g312 +ttRp313 +(dp314 +g8 +g9 +((ltRp315 +sg11 +g236 +sbsg8 +g9 +((lp316 +g313 +ag239 +ag309 +ag226 +ag301 +atRp317 +sbsS'Decimal' +p318 +g2 +(g3 +g4 +(g225 +g224 +g318 +ttRp319 +(dp320 +g8 +g9 +((ltRp321 +sg11 +g226 +sbsS'Float' +p322 +g2 +(g3 +g4 +(g225 +g224 +g322 +ttRp323 +(dp324 +g8 +g9 +((ltRp325 +sg11 +g226 +sbsS'Hex' +p326 +g2 +(g3 +g4 +(g225 +g224 +g326 +ttRp327 +(dp328 +g8 +g9 +((ltRp329 +sg11 +g226 +sbsS'Integer' +p330 +g2 +(g3 +g4 +(g225 +g224 +g330 +ttRp331 +(dp332 +g8 +g9 +((lp333 +g2 +(g3 +g4 +(g225 +g224 +g330 +S'Long' +p334 +ttRp335 +(dp336 +g8 +g9 +((ltRp337 +sg11 +g331 +sbatRp338 +sg334 +g335 +sg11 +g226 +sbsS'Octal' +p339 +g2 +(g3 +g4 +(g225 +g224 +g339 +ttRp340 +(dp341 +g8 +g9 +((ltRp342 +sg11 +g226 +sbsg8 +g9 +((lp343 +g229 +ag233 +ag340 +ag319 +ag2 +(g3 +g4 +(g225 +g224 +S'Oct' +p344 +ttRp345 +(dp346 +g8 +g9 +((ltRp347 +sg11 +g226 +sbag331 +ag323 +ag327 +atRp348 +sg344 +g345 +sbsg225 +g236 +sg5 +g6 +sg183 +g2 +(g3 +g4 +(g183 +ttRp349 +(dp350 +g8 +g9 +((ltRp351 +sg11 +g12 +sbsS'Operator' +p352 +g2 +(g3 +g4 +(g352 +ttRp353 +(dp354 +g8 +g9 +((lp355 +g2 +(g3 +g4 +(g352 +S'Word' +p356 +ttRp357 +(dp358 +g8 +g9 +((ltRp359 +sg11 +g353 +sbatRp360 +sg356 +g357 +sg11 +g12 +sbsg8 +g9 +((lp361 +g15 +ag349 +ag157 +ag202 +ag36 +ag215 +ag128 +ag236 +ag353 +ag6 +atRp362 +sg238 +g239 +sbsbVText before\u000a +p363 +tp364 +a(g6 +V\u000a +tp365 +a(g168 +VTraceback (most recent call last):\u000a +p366 +tp367 +a(g202 +V File +p368 +tp369 +a(g75 +V"/usr/lib/python2.3/site-packages/trac/web/main.py" +p370 +tp371 +a(g202 +V, line +p372 +tp373 +a(g226 +V314 +p374 +tp375 +a(g202 +V, in +p376 +tp377 +a(g86 +Vdispatch_request +p378 +tp379 +a(g202 +V\u000a +tp380 +a(g202 +V +p381 +tp382 +a(g36 +Vdispatcher +p383 +tp384 +a(g353 +V. +tp385 +a(g36 +Vdispatch +p386 +tp387 +a(g215 +V( +tp388 +a(g36 +Vreq +p389 +tp390 +a(g215 +V) +tp391 +a(g202 +V\u000a +tp392 +a(g202 +V File +p393 +tp394 +a(g75 +V"/usr/lib/python2.3/site-packages/trac/web/main.py" +p395 +tp396 +a(g202 +V, line +p397 +tp398 +a(g226 +V186 +p399 +tp400 +a(g202 +V, in +p401 +tp402 +a(g86 +Vdispatch +p403 +tp404 +a(g202 +V\u000a +tp405 +a(g202 +V +p406 +tp407 +a(g36 +Vreq +p408 +tp409 +a(g353 +V. +tp410 +a(g36 +Vsession +p411 +tp412 +a(g202 +V +tp413 +a(g353 +V= +tp414 +a(g202 +V +tp415 +a(g36 +VSession +p416 +tp417 +a(g215 +V( +tp418 +a(g78 +Vself +p419 +tp420 +a(g353 +V. +tp421 +a(g36 +Venv +p422 +tp423 +a(g215 +V, +tp424 +a(g202 +V +tp425 +a(g36 +Vreq +p426 +tp427 +a(g215 +V) +tp428 +a(g202 +V\u000a +tp429 +a(g202 +V File +p430 +tp431 +a(g75 +V"/usr/lib/python2.3/site-packages/trac/web/session.py" +p432 +tp433 +a(g202 +V, line +p434 +tp435 +a(g226 +V52 +p436 +tp437 +a(g202 +V, in +p438 +tp439 +a(g86 +V__init__ +p440 +tp441 +a(g202 +V\u000a +tp442 +a(g202 +V +p443 +tp444 +a(g78 +Vself +p445 +tp446 +a(g353 +V. +tp447 +a(g36 +Vpromote_session +p448 +tp449 +a(g215 +V( +tp450 +a(g36 +Vsid +p451 +tp452 +a(g215 +V) +tp453 +a(g202 +V\u000a +tp454 +a(g202 +V File +p455 +tp456 +a(g75 +V"/usr/lib/python2.3/site-packages/trac/web/session.py" +p457 +tp458 +a(g202 +V, line +p459 +tp460 +a(g226 +V125 +p461 +tp462 +a(g202 +V, in +p463 +tp464 +a(g86 +Vpromote_session +p465 +tp466 +a(g202 +V\u000a +tp467 +a(g202 +V +p468 +tp469 +a(g239 +V" +tp470 +a(g239 +VAND authenticated=0 +p471 +tp472 +a(g239 +V" +tp473 +a(g215 +V, +tp474 +a(g202 +V +tp475 +a(g215 +V( +tp476 +a(g36 +Vsid +p477 +tp478 +a(g215 +V, +tp479 +a(g215 +V) +tp480 +a(g215 +V) +tp481 +a(g202 +V\u000a +tp482 +a(g202 +V File +p483 +tp484 +a(g75 +V"/usr/lib/python2.3/site-packages/trac/db/util.py" +p485 +tp486 +a(g202 +V, line +p487 +tp488 +a(g226 +V47 +p489 +tp490 +a(g202 +V, in +p491 +tp492 +a(g86 +Vexecute +p493 +tp494 +a(g202 +V\u000a +tp495 +a(g202 +V +p496 +tp497 +a(g128 +Vreturn +p498 +tp499 +a(g202 +V +tp500 +a(g78 +Vself +p501 +tp502 +a(g353 +V. +tp503 +a(g36 +Vcursor +p504 +tp505 +a(g353 +V. +tp506 +a(g36 +Vexecute +p507 +tp508 +a(g215 +V( +tp509 +a(g36 +Vsql_escape_percent +p510 +tp511 +a(g215 +V( +tp512 +a(g36 +Vsql +p513 +tp514 +a(g215 +V) +tp515 +a(g215 +V, +tp516 +a(g202 +V +tp517 +a(g36 +Vargs +p518 +tp519 +a(g215 +V) +tp520 +a(g202 +V\u000a +tp521 +a(g202 +V File +p522 +tp523 +a(g75 +V"/usr/lib/python2.3/site-packages/trac/db/sqlite_backend.py" +p524 +tp525 +a(g202 +V, line +p526 +tp527 +a(g226 +V44 +p528 +tp529 +a(g202 +V, in +p530 +tp531 +a(g86 +Vexecute +p532 +tp533 +a(g202 +V\u000a +tp534 +a(g202 +V +p535 +tp536 +a(g36 +Vargs +p537 +tp538 +a(g202 +V +tp539 +a(g357 +Vor +p540 +tp541 +a(g202 +V +tp542 +a(g215 +V[ +tp543 +a(g215 +V] +tp544 +a(g215 +V) +tp545 +a(g202 +V\u000a +tp546 +a(g202 +V File +p547 +tp548 +a(g75 +V"/usr/lib/python2.3/site-packages/trac/db/sqlite_backend.py" +p549 +tp550 +a(g202 +V, line +p551 +tp552 +a(g226 +V36 +p553 +tp554 +a(g202 +V, in +p555 +tp556 +a(g86 +V_rollback_on_error +p557 +tp558 +a(g202 +V\u000a +tp559 +a(g202 +V +p560 +tp561 +a(g128 +Vreturn +p562 +tp563 +a(g202 +V +tp564 +a(g36 +Vfunction +p565 +tp566 +a(g215 +V( +tp567 +a(g78 +Vself +p568 +tp569 +a(g215 +V, +tp570 +a(g202 +V +tp571 +a(g353 +V* +tp572 +a(g36 +Vargs +p573 +tp574 +a(g215 +V, +tp575 +a(g202 +V +tp576 +a(g353 +V* +tp577 +a(g353 +V* +tp578 +a(g36 +Vkwargs +p579 +tp580 +a(g215 +V) +tp581 +a(g202 +V\u000a +tp582 +a(g123 +VOperationalError +p583 +tp584 +a(g202 +V: +p585 +tp586 +a(g86 +Vdatabase is locked +p587 +tp588 +a(g202 +V\u000a +tp589 +a(g6 +V\u000a +tp590 +a(g6 +VText after\u000a +p591 +tp592 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/de.MoinMoin.po b/tests/examplefiles/output/de.MoinMoin.po new file mode 100644 index 0000000..0eb5406 --- /dev/null +++ b/tests/examplefiles/output/de.MoinMoin.po @@ -0,0 +1,24670 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +g32 +g2 +(g3 +g4 +(g110 +g32 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp116 +(dp117 +g9 +g10 +((ltRp118 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g111 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g110 +g122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g111 +sbsg9 +g10 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g110 +S'Type' +p134 +ttRp135 +(dp136 +g9 +g10 +((ltRp137 +sg12 +g111 +sbag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g9 +g10 +((ltRp145 +sg12 +g140 +sbsg12 +g15 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g140 +sbsg9 +g10 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g9 +g10 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g9 +g10 +((ltRp191 +sg12 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg12 +g15 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g9 +g10 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g9 +g10 +((ltRp204 +sg12 +g198 +sbatRp205 +sg201 +g202 +sg12 +g15 +sbsS'Token' +p206 +g15 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g9 +g10 +((ltRp214 +sg12 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g209 +sbsg12 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g222 +sbsg12 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g222 +sbsg6 +g2 +(g3 +g4 +(g208 +g221 +g6 +ttRp267 +(dp268 +g9 +g10 +((ltRp269 +sg12 +g222 +sbsg64 +g2 +(g3 +g4 +(g208 +g221 +g64 +ttRp270 +(dp271 +g9 +g10 +((ltRp272 +sg12 +g222 +sbsS'Doc' +p273 +g2 +(g3 +g4 +(g208 +g221 +g273 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g222 +sbsg9 +g10 +((lp277 +g270 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g222 +sbag241 +ag264 +ag256 +ag274 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag267 +ag252 +ag248 +atRp282 +sg278 +g279 +sbsg12 +g15 +sg207 +g209 +sS'Scalar' +p283 +g2 +(g3 +g4 +(g208 +g283 +ttRp284 +(dp285 +g9 +g10 +((lp286 +g2 +(g3 +g4 +(g208 +g283 +S'Plain' +p287 +ttRp288 +(dp289 +g9 +g10 +((ltRp290 +sg12 +g284 +sbatRp291 +sg12 +g219 +sg287 +g288 +sbsg64 +g2 +(g3 +g4 +(g208 +g64 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g219 +sbsS'Date' +p295 +g2 +(g3 +g4 +(g208 +g295 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g219 +sbsg9 +g10 +((lp299 +g296 +ag222 +ag292 +ag209 +ag284 +atRp300 +sbsS'Decimal' +p301 +g2 +(g3 +g4 +(g208 +g207 +g301 +ttRp302 +(dp303 +g9 +g10 +((ltRp304 +sg12 +g209 +sbsS'Float' +p305 +g2 +(g3 +g4 +(g208 +g207 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g209 +sbsS'Hex' +p309 +g2 +(g3 +g4 +(g208 +g207 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g209 +sbsS'Integer' +p313 +g2 +(g3 +g4 +(g208 +g207 +g313 +ttRp314 +(dp315 +g9 +g10 +((lp316 +g2 +(g3 +g4 +(g208 +g207 +g313 +S'Long' +p317 +ttRp318 +(dp319 +g9 +g10 +((ltRp320 +sg12 +g314 +sbatRp321 +sg317 +g318 +sg12 +g209 +sbsS'Octal' +p322 +g2 +(g3 +g4 +(g208 +g207 +g322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g209 +sbsg9 +g10 +((lp326 +g212 +ag216 +ag323 +ag302 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g209 +sbag314 +ag306 +ag310 +atRp331 +sg327 +g328 +sbsg208 +g219 +sg64 +g2 +(g3 +g4 +(g64 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g15 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp335 +(dp336 +g9 +g10 +((ltRp337 +sg12 +g15 +sbsS'Operator' +p338 +g2 +(g3 +g4 +(g338 +ttRp339 +(dp340 +g9 +g10 +((lp341 +g2 +(g3 +g4 +(g338 +S'Word' +p342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g339 +sbatRp346 +sg342 +g343 +sg12 +g15 +sbsg9 +g10 +((lp347 +g13 +ag335 +ag140 +ag185 +ag18 +ag198 +ag111 +ag219 +ag339 +ag332 +atRp348 +sg221 +g222 +sbsS'Preproc' +p349 +g2 +(g3 +g4 +(g5 +g349 +ttRp350 +(dp351 +g9 +g10 +((ltRp352 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg9 +g10 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag350 +ag7 +ag354 +atRp362 +sg358 +g359 +sbsbV## Please edit system and help pages ONLY in the moinmaster wiki! For more +p363 +tp364 +a(g185 +V\u000a +tp365 +a(g7 +V## information, please see MoinMaster:MoinPagesEditorGroup. +p366 +tp367 +a(g185 +V\u000a +tp368 +a(g7 +V##master-page:None +p369 +tp370 +a(g185 +V\u000a +tp371 +a(g7 +V##master-date:None +p372 +tp373 +a(g185 +V\u000a +tp374 +a(g7 +V#acl MoinPagesEditorGroup:read,write,delete,revert All:read +p375 +tp376 +a(g185 +V\u000a +tp377 +a(g7 +V#format gettext +p378 +tp379 +a(g185 +V\u000a +tp380 +a(g7 +V#language de +p381 +tp382 +a(g185 +V\u000a +tp383 +a(g185 +V\u000a +tp384 +a(g7 +V# +tp385 +a(g185 +V\u000a +tp386 +a(g7 +V# MoinMoin de system text translation +p387 +tp388 +a(g185 +V\u000a +tp389 +a(g7 +V# +tp390 +a(g185 +V\u000a +tp391 +a(g73 +Vmsgid +p392 +tp393 +a(g185 +V +tp394 +a(g222 +V"" +p395 +tp396 +a(g185 +V\u000a +tp397 +a(g73 +Vmsgstr +p398 +tp399 +a(g185 +V +tp400 +a(g222 +V"" +p401 +tp402 +a(g185 +V\u000a +tp403 +a(g222 +V" +tp404 +a(g103 +VProject-Id-Version: +p405 +tp406 +a(g222 +V MoinMoin 1.6\u005cn" +p407 +tp408 +a(g185 +V\u000a +tp409 +a(g222 +V" +tp410 +a(g103 +VReport-Msgid-Bugs-To: +p411 +tp412 +a(g222 +V \u005cn" +p413 +tp414 +a(g185 +V\u000a +tp415 +a(g222 +V" +tp416 +a(g103 +VPOT-Creation-Date: +p417 +tp418 +a(g222 +V 2007-05-26 23:12+0200\u005cn" +p419 +tp420 +a(g185 +V\u000a +tp421 +a(g222 +V" +tp422 +a(g103 +VPO-Revision-Date: +p423 +tp424 +a(g222 +V 2005-09-21 00:00+0100\u005cn" +p425 +tp426 +a(g185 +V\u000a +tp427 +a(g222 +V" +tp428 +a(g103 +VLast-Translator: +p429 +tp430 +a(g222 +V Thomas Waldmann \u005cn" +p431 +tp432 +a(g185 +V\u000a +tp433 +a(g222 +V" +tp434 +a(g103 +VLanguage-Team: +p435 +tp436 +a(g222 +V German \u005cn" +p437 +tp438 +a(g185 +V\u000a +tp439 +a(g222 +V" +tp440 +a(g103 +VMIME-Version: +p441 +tp442 +a(g222 +V 1.0\u005cn" +p443 +tp444 +a(g185 +V\u000a +tp445 +a(g222 +V" +tp446 +a(g103 +VContent-Type: +p447 +tp448 +a(g222 +V text/plain; charset=utf-8\u005cn" +p449 +tp450 +a(g185 +V\u000a +tp451 +a(g222 +V" +tp452 +a(g103 +VContent-Transfer-Encoding: +p453 +tp454 +a(g222 +V 8bit\u005cn" +p455 +tp456 +a(g185 +V\u000a +tp457 +a(g222 +V" +tp458 +a(g103 +VX-Language: +p459 +tp460 +a(g222 +V Deutsch\u005cn" +p461 +tp462 +a(g185 +V\u000a +tp463 +a(g222 +V" +tp464 +a(g103 +VX-Language-in-English: +p465 +tp466 +a(g222 +V German\u005cn" +p467 +tp468 +a(g185 +V\u000a +tp469 +a(g222 +V" +tp470 +a(g103 +VX-HasWikiMarkup: +p471 +tp472 +a(g222 +V True\u005cn" +p473 +tp474 +a(g185 +V\u000a +tp475 +a(g222 +V" +tp476 +a(g103 +VX-Direction: +p477 +tp478 +a(g222 +V ltr\u005cn" +p479 +tp480 +a(g185 +V\u000a +tp481 +a(g185 +V\u000a +tp482 +a(g73 +Vmsgid +p483 +tp484 +a(g185 +V +tp485 +a(g222 +V"" +p486 +tp487 +a(g185 +V\u000a +tp488 +a(g222 +V"This wiki is not enabled for mail processing.\u005cn" +p489 +tp490 +a(g185 +V\u000a +tp491 +a(g222 +V"Contact the owner of the wiki, who can enable email." +p492 +tp493 +a(g185 +V\u000a +tp494 +a(g73 +Vmsgstr +p495 +tp496 +a(g185 +V +tp497 +a(g222 +V"" +p498 +tp499 +a(g185 +V\u000a +tp500 +a(g222 +V"In diesem Wiki ist Mail-Verarbeitung nicht eingeschaltet.\u005cn" +p501 +tp502 +a(g185 +V\u000a +tp503 +a(g222 +V"Bitte kontaktieren Sie den Eigentümer des Wiki, der die Mailfunktionen " +p504 +tp505 +a(g185 +V\u000a +tp506 +a(g222 +V"einschalten kann." +p507 +tp508 +a(g185 +V\u000a +tp509 +a(g185 +V\u000a +tp510 +a(g73 +Vmsgid +p511 +tp512 +a(g185 +V +tp513 +a(g222 +V"Please provide a valid email address!" +p514 +tp515 +a(g185 +V\u000a +tp516 +a(g73 +Vmsgstr +p517 +tp518 +a(g185 +V +tp519 +a(g222 +V"Bitte eine gültige E-Mail-Adresse angeben!" +p520 +tp521 +a(g185 +V\u000a +tp522 +a(g185 +V\u000a +tp523 +a(g135 +V#, python-format +p524 +tp525 +a(g185 +V\u000a +tp526 +a(g73 +Vmsgid +p527 +tp528 +a(g185 +V +tp529 +a(g222 +V"Found no account matching the given email address '%(email)s'!" +p530 +tp531 +a(g185 +V\u000a +tp532 +a(g73 +Vmsgstr +p533 +tp534 +a(g185 +V +tp535 +a(g222 +V"" +p536 +tp537 +a(g185 +V\u000a +tp538 +a(g222 +V"Es wurde kein Benutzerkonto mit der E-Mail-Adresse '%(email)s' gefunden!" +p539 +tp540 +a(g185 +V\u000a +tp541 +a(g185 +V\u000a +tp542 +a(g73 +Vmsgid +p543 +tp544 +a(g185 +V +tp545 +a(g222 +V"Use UserPreferences to change your settings or create an account." +p546 +tp547 +a(g185 +V\u000a +tp548 +a(g73 +Vmsgstr +p549 +tp550 +a(g185 +V +tp551 +a(g222 +V"" +p552 +tp553 +a(g185 +V\u000a +tp554 +a(g222 +V"Benutzen Sie BenutzerEinstellungen, um Ihre Einstellungen zu ändern oder ein " +p555 +tp556 +a(g185 +V\u000a +tp557 +a(g222 +V"Konto zu erzeugen." +p558 +tp559 +a(g185 +V\u000a +tp560 +a(g185 +V\u000a +tp561 +a(g73 +Vmsgid +p562 +tp563 +a(g185 +V +tp564 +a(g222 +V"Empty user name. Please enter a user name." +p565 +tp566 +a(g185 +V\u000a +tp567 +a(g73 +Vmsgstr +p568 +tp569 +a(g185 +V +tp570 +a(g222 +V"Leerer Benutzername, bitte geben Sie einen Benutzernamen ein." +p571 +tp572 +a(g185 +V\u000a +tp573 +a(g185 +V\u000a +tp574 +a(g135 +V#, python-format +p575 +tp576 +a(g185 +V\u000a +tp577 +a(g73 +Vmsgid +p578 +tp579 +a(g185 +V +tp580 +a(g222 +V"" +p581 +tp582 +a(g185 +V\u000a +tp583 +a(g222 +V"Invalid user name {{{'%s'}}}.\u005cn" +p584 +tp585 +a(g185 +V\u000a +tp586 +a(g222 +V"Name may contain any Unicode alpha numeric character, with optional one\u005cn" +p587 +tp588 +a(g185 +V\u000a +tp589 +a(g222 +V"space between words. Group page name is not allowed." +p590 +tp591 +a(g185 +V\u000a +tp592 +a(g73 +Vmsgstr +p593 +tp594 +a(g185 +V +tp595 +a(g222 +V"" +p596 +tp597 +a(g185 +V\u000a +tp598 +a(g222 +V"Ungültiger Benutzername {{{'%s'}}}.\u005cn" +p599 +tp600 +a(g185 +V\u000a +tp601 +a(g222 +V"Der Name darf beliebige alphanumerische Unicode-Zeichen enthalten, mit " +p602 +tp603 +a(g185 +V\u000a +tp604 +a(g222 +V"optional einem\u005cn" +p605 +tp606 +a(g185 +V\u000a +tp607 +a(g222 +V"Leerzeichen zwischen den Worten. Gruppennamen sind nicht erlaubt." +p608 +tp609 +a(g185 +V\u000a +tp610 +a(g185 +V\u000a +tp611 +a(g73 +Vmsgid +p612 +tp613 +a(g185 +V +tp614 +a(g222 +V"This user name already belongs to somebody else." +p615 +tp616 +a(g185 +V\u000a +tp617 +a(g73 +Vmsgstr +p618 +tp619 +a(g185 +V +tp620 +a(g222 +V"Dieser Benutzername gehört bereits jemand anderem." +p621 +tp622 +a(g185 +V\u000a +tp623 +a(g185 +V\u000a +tp624 +a(g73 +Vmsgid +p625 +tp626 +a(g185 +V +tp627 +a(g222 +V"Passwords don't match!" +p628 +tp629 +a(g185 +V\u000a +tp630 +a(g73 +Vmsgstr +p631 +tp632 +a(g185 +V +tp633 +a(g222 +V"Die Passworte sind nicht gleich!" +p634 +tp635 +a(g185 +V\u000a +tp636 +a(g185 +V\u000a +tp637 +a(g73 +Vmsgid +p638 +tp639 +a(g185 +V +tp640 +a(g222 +V"Please specify a password!" +p641 +tp642 +a(g185 +V\u000a +tp643 +a(g73 +Vmsgstr +p644 +tp645 +a(g185 +V +tp646 +a(g222 +V"Bitte geben Sie ein Passwort an!" +p647 +tp648 +a(g185 +V\u000a +tp649 +a(g185 +V\u000a +tp650 +a(g73 +Vmsgid +p651 +tp652 +a(g185 +V +tp653 +a(g222 +V"" +p654 +tp655 +a(g185 +V\u000a +tp656 +a(g222 +V"Please provide your email address. If you lose your login information, you " +p657 +tp658 +a(g185 +V\u000a +tp659 +a(g222 +V"can get it by email." +p660 +tp661 +a(g185 +V\u000a +tp662 +a(g73 +Vmsgstr +p663 +tp664 +a(g185 +V +tp665 +a(g222 +V"" +p666 +tp667 +a(g185 +V\u000a +tp668 +a(g222 +V"Bitte geben Sie Ihre E-Mail-Adresse an. Wenn Sie Ihre Login-Informationen " +p669 +tp670 +a(g185 +V\u000a +tp671 +a(g222 +V"verlieren können Sie sie per E-Mail wieder bekommen." +p672 +tp673 +a(g185 +V\u000a +tp674 +a(g185 +V\u000a +tp675 +a(g73 +Vmsgid +p676 +tp677 +a(g185 +V +tp678 +a(g222 +V"This email already belongs to somebody else." +p679 +tp680 +a(g185 +V\u000a +tp681 +a(g73 +Vmsgstr +p682 +tp683 +a(g185 +V +tp684 +a(g222 +V"Diese E-Mail-Adresse gehört bereits jemand anderem." +p685 +tp686 +a(g185 +V\u000a +tp687 +a(g185 +V\u000a +tp688 +a(g73 +Vmsgid +p689 +tp690 +a(g185 +V +tp691 +a(g222 +V"User account created! You can use this account to login now..." +p692 +tp693 +a(g185 +V\u000a +tp694 +a(g73 +Vmsgstr +p695 +tp696 +a(g185 +V +tp697 +a(g222 +V"" +p698 +tp699 +a(g185 +V\u000a +tp700 +a(g222 +V"Es wurde ein Benutzerkonto für Sie angelegt. Sie können sich nun anmelden..." +p701 +tp702 +a(g185 +V\u000a +tp703 +a(g185 +V\u000a +tp704 +a(g73 +Vmsgid +p705 +tp706 +a(g185 +V +tp707 +a(g222 +V"Use UserPreferences to change settings of the selected user account" +p708 +tp709 +a(g185 +V\u000a +tp710 +a(g73 +Vmsgstr +p711 +tp712 +a(g185 +V +tp713 +a(g222 +V"" +p714 +tp715 +a(g185 +V\u000a +tp716 +a(g222 +V"Benutzen Sie BenutzerEinstellungen, um die Einstellungen des ausgewählten " +p717 +tp718 +a(g185 +V\u000a +tp719 +a(g222 +V"Benutzers zu ändern." +p720 +tp721 +a(g185 +V\u000a +tp722 +a(g185 +V\u000a +tp723 +a(g135 +V#, python-format +p724 +tp725 +a(g185 +V\u000a +tp726 +a(g73 +Vmsgid +p727 +tp728 +a(g185 +V +tp729 +a(g222 +V"The theme '%(theme_name)s' could not be loaded!" +p730 +tp731 +a(g185 +V\u000a +tp732 +a(g73 +Vmsgstr +p733 +tp734 +a(g185 +V +tp735 +a(g222 +V"Das Theme '%(theme_name)s' konnte nicht geladen werden!" +p736 +tp737 +a(g185 +V\u000a +tp738 +a(g185 +V\u000a +tp739 +a(g73 +Vmsgid +p740 +tp741 +a(g185 +V +tp742 +a(g222 +V"User preferences saved!" +p743 +tp744 +a(g185 +V\u000a +tp745 +a(g73 +Vmsgstr +p746 +tp747 +a(g185 +V +tp748 +a(g222 +V"Persönliche Einstellungen gespeichert!" +p749 +tp750 +a(g185 +V\u000a +tp751 +a(g185 +V\u000a +tp752 +a(g73 +Vmsgid +p753 +tp754 +a(g185 +V +tp755 +a(g222 +V"Default" +p756 +tp757 +a(g185 +V\u000a +tp758 +a(g73 +Vmsgstr +p759 +tp760 +a(g185 +V +tp761 +a(g222 +V"Standardeinstellung" +p762 +tp763 +a(g185 +V\u000a +tp764 +a(g185 +V\u000a +tp765 +a(g73 +Vmsgid +p766 +tp767 +a(g185 +V +tp768 +a(g222 +V"" +p769 +tp770 +a(g185 +V\u000a +tp771 +a(g73 +Vmsgstr +p772 +tp773 +a(g185 +V +tp774 +a(g222 +V"" +p775 +tp776 +a(g185 +V\u000a +tp777 +a(g185 +V\u000a +tp778 +a(g73 +Vmsgid +p779 +tp780 +a(g185 +V +tp781 +a(g222 +V"the one preferred" +p782 +tp783 +a(g185 +V\u000a +tp784 +a(g73 +Vmsgstr +p785 +tp786 +a(g185 +V +tp787 +a(g222 +V"der Bevorzugte" +p788 +tp789 +a(g185 +V\u000a +tp790 +a(g185 +V\u000a +tp791 +a(g73 +Vmsgid +p792 +tp793 +a(g185 +V +tp794 +a(g222 +V"free choice" +p795 +tp796 +a(g185 +V\u000a +tp797 +a(g73 +Vmsgstr +p798 +tp799 +a(g185 +V +tp800 +a(g222 +V"Freie Auswahl" +p801 +tp802 +a(g185 +V\u000a +tp803 +a(g185 +V\u000a +tp804 +a(g73 +Vmsgid +p805 +tp806 +a(g185 +V +tp807 +a(g222 +V"Select User" +p808 +tp809 +a(g185 +V\u000a +tp810 +a(g73 +Vmsgstr +p811 +tp812 +a(g185 +V +tp813 +a(g222 +V"Benutzer auswählen" +p814 +tp815 +a(g185 +V\u000a +tp816 +a(g185 +V\u000a +tp817 +a(g73 +Vmsgid +p818 +tp819 +a(g185 +V +tp820 +a(g222 +V"Save" +p821 +tp822 +a(g185 +V\u000a +tp823 +a(g73 +Vmsgstr +p824 +tp825 +a(g185 +V +tp826 +a(g222 +V"Speichern" +p827 +tp828 +a(g185 +V\u000a +tp829 +a(g185 +V\u000a +tp830 +a(g73 +Vmsgid +p831 +tp832 +a(g185 +V +tp833 +a(g222 +V"Cancel" +p834 +tp835 +a(g185 +V\u000a +tp836 +a(g73 +Vmsgstr +p837 +tp838 +a(g185 +V +tp839 +a(g222 +V"Abbrechen" +p840 +tp841 +a(g185 +V\u000a +tp842 +a(g185 +V\u000a +tp843 +a(g73 +Vmsgid +p844 +tp845 +a(g185 +V +tp846 +a(g222 +V"Preferred theme" +p847 +tp848 +a(g185 +V\u000a +tp849 +a(g73 +Vmsgstr +p850 +tp851 +a(g185 +V +tp852 +a(g222 +V"Bevorzugter Stil" +p853 +tp854 +a(g185 +V\u000a +tp855 +a(g185 +V\u000a +tp856 +a(g73 +Vmsgid +p857 +tp858 +a(g185 +V +tp859 +a(g222 +V"Editor Preference" +p860 +tp861 +a(g185 +V\u000a +tp862 +a(g73 +Vmsgstr +p863 +tp864 +a(g185 +V +tp865 +a(g222 +V"Bevorzugter Editor" +p866 +tp867 +a(g185 +V\u000a +tp868 +a(g185 +V\u000a +tp869 +a(g73 +Vmsgid +p870 +tp871 +a(g185 +V +tp872 +a(g222 +V"Editor shown on UI" +p873 +tp874 +a(g185 +V\u000a +tp875 +a(g73 +Vmsgstr +p876 +tp877 +a(g185 +V +tp878 +a(g222 +V"Angezeigter Editor" +p879 +tp880 +a(g185 +V\u000a +tp881 +a(g185 +V\u000a +tp882 +a(g73 +Vmsgid +p883 +tp884 +a(g185 +V +tp885 +a(g222 +V"Time zone" +p886 +tp887 +a(g185 +V\u000a +tp888 +a(g73 +Vmsgstr +p889 +tp890 +a(g185 +V +tp891 +a(g222 +V"Zeitzone" +p892 +tp893 +a(g185 +V\u000a +tp894 +a(g185 +V\u000a +tp895 +a(g73 +Vmsgid +p896 +tp897 +a(g185 +V +tp898 +a(g222 +V"Your time is" +p899 +tp900 +a(g185 +V\u000a +tp901 +a(g73 +Vmsgstr +p902 +tp903 +a(g185 +V +tp904 +a(g222 +V"Die lokale Zeit ist" +p905 +tp906 +a(g185 +V\u000a +tp907 +a(g185 +V\u000a +tp908 +a(g73 +Vmsgid +p909 +tp910 +a(g185 +V +tp911 +a(g222 +V"Server time is" +p912 +tp913 +a(g185 +V\u000a +tp914 +a(g73 +Vmsgstr +p915 +tp916 +a(g185 +V +tp917 +a(g222 +V"Die Zeit des Servers ist" +p918 +tp919 +a(g185 +V\u000a +tp920 +a(g185 +V\u000a +tp921 +a(g73 +Vmsgid +p922 +tp923 +a(g185 +V +tp924 +a(g222 +V"Date format" +p925 +tp926 +a(g185 +V\u000a +tp927 +a(g73 +Vmsgstr +p928 +tp929 +a(g185 +V +tp930 +a(g222 +V"Datumsformat" +p931 +tp932 +a(g185 +V\u000a +tp933 +a(g185 +V\u000a +tp934 +a(g73 +Vmsgid +p935 +tp936 +a(g185 +V +tp937 +a(g222 +V"Preferred language" +p938 +tp939 +a(g185 +V\u000a +tp940 +a(g73 +Vmsgstr +p941 +tp942 +a(g185 +V +tp943 +a(g222 +V"Bevorzugte Sprache" +p944 +tp945 +a(g185 +V\u000a +tp946 +a(g185 +V\u000a +tp947 +a(g73 +Vmsgid +p948 +tp949 +a(g185 +V +tp950 +a(g222 +V"General options" +p951 +tp952 +a(g185 +V\u000a +tp953 +a(g73 +Vmsgstr +p954 +tp955 +a(g185 +V +tp956 +a(g222 +V"Allgemeine Optionen" +p957 +tp958 +a(g185 +V\u000a +tp959 +a(g185 +V\u000a +tp960 +a(g73 +Vmsgid +p961 +tp962 +a(g185 +V +tp963 +a(g222 +V"Quick links" +p964 +tp965 +a(g185 +V\u000a +tp966 +a(g73 +Vmsgstr +p967 +tp968 +a(g185 +V +tp969 +a(g222 +V"Expressverweise" +p970 +tp971 +a(g185 +V\u000a +tp972 +a(g185 +V\u000a +tp973 +a(g73 +Vmsgid +p974 +tp975 +a(g185 +V +tp976 +a(g222 +V"This list does not work, unless you have entered a valid email address!" +p977 +tp978 +a(g185 +V\u000a +tp979 +a(g73 +Vmsgstr +p980 +tp981 +a(g185 +V +tp982 +a(g222 +V"" +p983 +tp984 +a(g185 +V\u000a +tp985 +a(g222 +V"Änderungsnachrichten werden nur versandt, wenn eine gültige E-Mail-Adresse " +p986 +tp987 +a(g185 +V\u000a +tp988 +a(g222 +V"eingegeben wurde!" +p989 +tp990 +a(g185 +V\u000a +tp991 +a(g185 +V\u000a +tp992 +a(g73 +Vmsgid +p993 +tp994 +a(g185 +V +tp995 +a(g222 +V"Subscribed wiki pages (one regex per line)" +p996 +tp997 +a(g185 +V\u000a +tp998 +a(g73 +Vmsgstr +p999 +tp1000 +a(g185 +V +tp1001 +a(g222 +V"Abonnierte Wiki-Seiten (ein regulärer Ausdruck pro Zeile)" +p1002 +tp1003 +a(g185 +V\u000a +tp1004 +a(g185 +V\u000a +tp1005 +a(g73 +Vmsgid +p1006 +tp1007 +a(g185 +V +tp1008 +a(g222 +V"Create Profile" +p1009 +tp1010 +a(g185 +V\u000a +tp1011 +a(g73 +Vmsgstr +p1012 +tp1013 +a(g185 +V +tp1014 +a(g222 +V"Benutzer anlegen" +p1015 +tp1016 +a(g185 +V\u000a +tp1017 +a(g185 +V\u000a +tp1018 +a(g73 +Vmsgid +p1019 +tp1020 +a(g185 +V +tp1021 +a(g222 +V"Mail me my account data" +p1022 +tp1023 +a(g185 +V\u000a +tp1024 +a(g73 +Vmsgstr +p1025 +tp1026 +a(g185 +V +tp1027 +a(g222 +V"E-Mail mit den Zugangsdaten senden" +p1028 +tp1029 +a(g185 +V\u000a +tp1030 +a(g185 +V\u000a +tp1031 +a(g73 +Vmsgid +p1032 +tp1033 +a(g185 +V +tp1034 +a(g222 +V"Email" +p1035 +tp1036 +a(g185 +V\u000a +tp1037 +a(g73 +Vmsgstr +p1038 +tp1039 +a(g185 +V +tp1040 +a(g222 +V"E-Mail" +p1041 +tp1042 +a(g185 +V\u000a +tp1043 +a(g185 +V\u000a +tp1044 +a(g135 +V#, python-format +p1045 +tp1046 +a(g185 +V\u000a +tp1047 +a(g73 +Vmsgid +p1048 +tp1049 +a(g185 +V +tp1050 +a(g222 +V"" +p1051 +tp1052 +a(g185 +V\u000a +tp1053 +a(g222 +V"To create an account, see the %(userprefslink)s page. To recover a lost " +p1054 +tp1055 +a(g185 +V\u000a +tp1056 +a(g222 +V"password, go to %(sendmypasswordlink)s." +p1057 +tp1058 +a(g185 +V\u000a +tp1059 +a(g73 +Vmsgstr +p1060 +tp1061 +a(g185 +V +tp1062 +a(g222 +V"" +p1063 +tp1064 +a(g185 +V\u000a +tp1065 +a(g222 +V"Siehe Seite %(userprefslink)s, um einen Account anzulegen. Um ein verlorenes " +p1066 +tp1067 +a(g185 +V\u000a +tp1068 +a(g222 +V"Passwort wieder zu erhalten, siehe %(sendmypasswordlink)s." +p1069 +tp1070 +a(g185 +V\u000a +tp1071 +a(g185 +V\u000a +tp1072 +a(g73 +Vmsgid +p1073 +tp1074 +a(g185 +V +tp1075 +a(g222 +V"Name" +p1076 +tp1077 +a(g185 +V\u000a +tp1078 +a(g73 +Vmsgstr +p1079 +tp1080 +a(g185 +V +tp1081 +a(g222 +V"Name" +p1082 +tp1083 +a(g185 +V\u000a +tp1084 +a(g185 +V\u000a +tp1085 +a(g73 +Vmsgid +p1086 +tp1087 +a(g185 +V +tp1088 +a(g222 +V"Password" +p1089 +tp1090 +a(g185 +V\u000a +tp1091 +a(g73 +Vmsgstr +p1092 +tp1093 +a(g185 +V +tp1094 +a(g222 +V"Passwort" +p1095 +tp1096 +a(g185 +V\u000a +tp1097 +a(g185 +V\u000a +tp1098 +a(g73 +Vmsgid +p1099 +tp1100 +a(g185 +V +tp1101 +a(g222 +V"Login" +p1102 +tp1103 +a(g185 +V\u000a +tp1104 +a(g73 +Vmsgstr +p1105 +tp1106 +a(g185 +V +tp1107 +a(g222 +V"Anmelden" +p1108 +tp1109 +a(g185 +V\u000a +tp1110 +a(g185 +V\u000a +tp1111 +a(g73 +Vmsgid +p1112 +tp1113 +a(g185 +V +tp1114 +a(g222 +V"Action" +p1115 +tp1116 +a(g185 +V\u000a +tp1117 +a(g73 +Vmsgstr +p1118 +tp1119 +a(g185 +V +tp1120 +a(g222 +V"Aktion" +p1121 +tp1122 +a(g185 +V\u000a +tp1123 +a(g185 +V\u000a +tp1124 +a(g135 +V#, python-format +p1125 +tp1126 +a(g185 +V\u000a +tp1127 +a(g73 +Vmsgid +p1128 +tp1129 +a(g185 +V +tp1130 +a(g222 +V"Expected \u005c"=\u005c" to follow \u005c"%(token)s\u005c"" +p1131 +tp1132 +a(g185 +V\u000a +tp1133 +a(g73 +Vmsgstr +p1134 +tp1135 +a(g185 +V +tp1136 +a(g222 +V"\u005c"=\u005c" fehlt hinter dem Attribut \u005c"%(token)s\u005c"" +p1137 +tp1138 +a(g185 +V\u000a +tp1139 +a(g185 +V\u000a +tp1140 +a(g135 +V#, python-format +p1141 +tp1142 +a(g185 +V\u000a +tp1143 +a(g73 +Vmsgid +p1144 +tp1145 +a(g185 +V +tp1146 +a(g222 +V"Expected a value for key \u005c"%(token)s\u005c"" +p1147 +tp1148 +a(g185 +V\u000a +tp1149 +a(g73 +Vmsgstr +p1150 +tp1151 +a(g185 +V +tp1152 +a(g222 +V"Attribut \u005c"%(token)s\u005c" wurde kein Wert zugewiesen" +p1153 +tp1154 +a(g185 +V\u000a +tp1155 +a(g185 +V\u000a +tp1156 +a(g73 +Vmsgid +p1157 +tp1158 +a(g185 +V +tp1159 +a(g222 +V"You are not allowed to edit this page." +p1160 +tp1161 +a(g185 +V\u000a +tp1162 +a(g73 +Vmsgstr +p1163 +tp1164 +a(g185 +V +tp1165 +a(g222 +V"Sie dürfen diese Seite nicht editieren." +p1166 +tp1167 +a(g185 +V\u000a +tp1168 +a(g185 +V\u000a +tp1169 +a(g73 +Vmsgid +p1170 +tp1171 +a(g185 +V +tp1172 +a(g222 +V"Page is immutable!" +p1173 +tp1174 +a(g185 +V\u000a +tp1175 +a(g73 +Vmsgstr +p1176 +tp1177 +a(g185 +V +tp1178 +a(g222 +V"Die Seite ist gegen Änderungen geschützt!" +p1179 +tp1180 +a(g185 +V\u000a +tp1181 +a(g185 +V\u000a +tp1182 +a(g73 +Vmsgid +p1183 +tp1184 +a(g185 +V +tp1185 +a(g222 +V"Cannot edit old revisions!" +p1186 +tp1187 +a(g185 +V\u000a +tp1188 +a(g73 +Vmsgstr +p1189 +tp1190 +a(g185 +V +tp1191 +a(g222 +V"Alte Versionen können nicht editiert werden!" +p1192 +tp1193 +a(g185 +V\u000a +tp1194 +a(g185 +V\u000a +tp1195 +a(g73 +Vmsgid +p1196 +tp1197 +a(g185 +V +tp1198 +a(g222 +V"The lock you held timed out. Be prepared for editing conflicts!" +p1199 +tp1200 +a(g185 +V\u000a +tp1201 +a(g73 +Vmsgstr +p1202 +tp1203 +a(g185 +V +tp1204 +a(g222 +V"" +p1205 +tp1206 +a(g185 +V\u000a +tp1207 +a(g222 +V"Die von Ihnen gehaltene Sperre ist abgelaufen. Das Auftreten von " +p1208 +tp1209 +a(g185 +V\u000a +tp1210 +a(g222 +V"Änderungskonflikten ist wahrscheinlich!" +p1211 +tp1212 +a(g185 +V\u000a +tp1213 +a(g185 +V\u000a +tp1214 +a(g135 +V#, python-format +p1215 +tp1216 +a(g185 +V\u000a +tp1217 +a(g73 +Vmsgid +p1218 +tp1219 +a(g185 +V +tp1220 +a(g222 +V"Draft of \u005c"%(pagename)s\u005c"" +p1221 +tp1222 +a(g185 +V\u000a +tp1223 +a(g73 +Vmsgstr +p1224 +tp1225 +a(g185 +V +tp1226 +a(g222 +V"Entwurf von \u005c"%(pagename)s\u005c"" +p1227 +tp1228 +a(g185 +V\u000a +tp1229 +a(g185 +V\u000a +tp1230 +a(g135 +V#, python-format +p1231 +tp1232 +a(g185 +V\u000a +tp1233 +a(g73 +Vmsgid +p1234 +tp1235 +a(g185 +V +tp1236 +a(g222 +V"Edit \u005c"%(pagename)s\u005c"" +p1237 +tp1238 +a(g185 +V\u000a +tp1239 +a(g73 +Vmsgstr +p1240 +tp1241 +a(g185 +V +tp1242 +a(g222 +V"\u005c"%(pagename)s\u005c" editieren" +p1243 +tp1244 +a(g185 +V\u000a +tp1245 +a(g185 +V\u000a +tp1246 +a(g135 +V#, python-format +p1247 +tp1248 +a(g185 +V\u000a +tp1249 +a(g73 +Vmsgid +p1250 +tp1251 +a(g185 +V +tp1252 +a(g222 +V"Preview of \u005c"%(pagename)s\u005c"" +p1253 +tp1254 +a(g185 +V\u000a +tp1255 +a(g73 +Vmsgstr +p1256 +tp1257 +a(g185 +V +tp1258 +a(g222 +V"Vorschau für \u005c"%(pagename)s\u005c"" +p1259 +tp1260 +a(g185 +V\u000a +tp1261 +a(g185 +V\u000a +tp1262 +a(g135 +V#, python-format +p1263 +tp1264 +a(g185 +V\u000a +tp1265 +a(g73 +Vmsgid +p1266 +tp1267 +a(g185 +V +tp1268 +a(g222 +V"Your edit lock on %(lock_page)s has expired!" +p1269 +tp1270 +a(g185 +V\u000a +tp1271 +a(g73 +Vmsgstr +p1272 +tp1273 +a(g185 +V +tp1274 +a(g222 +V"Ihre Sperre der Seite %(lock_page)s ist abgelaufen!" +p1275 +tp1276 +a(g185 +V\u000a +tp1277 +a(g185 +V\u000a +tp1278 +a(g135 +V#, python-format +p1279 +tp1280 +a(g185 +V\u000a +tp1281 +a(g73 +Vmsgid +p1282 +tp1283 +a(g185 +V +tp1284 +a(g222 +V"Your edit lock on %(lock_page)s will expire in # minutes." +p1285 +tp1286 +a(g185 +V\u000a +tp1287 +a(g73 +Vmsgstr +p1288 +tp1289 +a(g185 +V +tp1290 +a(g222 +V"Ihre Sperre der Seite %(lock_page)s läuft in # Minuten ab." +p1291 +tp1292 +a(g185 +V\u000a +tp1293 +a(g185 +V\u000a +tp1294 +a(g135 +V#, python-format +p1295 +tp1296 +a(g185 +V\u000a +tp1297 +a(g73 +Vmsgid +p1298 +tp1299 +a(g185 +V +tp1300 +a(g222 +V"Your edit lock on %(lock_page)s will expire in # seconds." +p1301 +tp1302 +a(g185 +V\u000a +tp1303 +a(g73 +Vmsgstr +p1304 +tp1305 +a(g185 +V +tp1306 +a(g222 +V"Ihre Sperre der Seite %(lock_page)s läuft in # Sekunden ab." +p1307 +tp1308 +a(g185 +V\u000a +tp1309 +a(g185 +V\u000a +tp1310 +a(g73 +Vmsgid +p1311 +tp1312 +a(g185 +V +tp1313 +a(g222 +V"Someone else deleted this page while you were editing!" +p1314 +tp1315 +a(g185 +V\u000a +tp1316 +a(g73 +Vmsgstr +p1317 +tp1318 +a(g185 +V +tp1319 +a(g222 +V"Ein anderer Benutzer hat diese Seite inzwischen gelöscht!" +p1320 +tp1321 +a(g185 +V\u000a +tp1322 +a(g185 +V\u000a +tp1323 +a(g73 +Vmsgid +p1324 +tp1325 +a(g185 +V +tp1326 +a(g222 +V"Someone else changed this page while you were editing!" +p1327 +tp1328 +a(g185 +V\u000a +tp1329 +a(g73 +Vmsgstr +p1330 +tp1331 +a(g185 +V +tp1332 +a(g222 +V"Ein anderer Benutzer hat diese Seite inzwischen geändert!" +p1333 +tp1334 +a(g185 +V\u000a +tp1335 +a(g185 +V\u000a +tp1336 +a(g73 +Vmsgid +p1337 +tp1338 +a(g185 +V +tp1339 +a(g222 +V"" +p1340 +tp1341 +a(g185 +V\u000a +tp1342 +a(g222 +V"Someone else saved this page while you were editing!\u005cn" +p1343 +tp1344 +a(g185 +V\u000a +tp1345 +a(g222 +V"Please review the page and save then. Do not save this page as it is!" +p1346 +tp1347 +a(g185 +V\u000a +tp1348 +a(g73 +Vmsgstr +p1349 +tp1350 +a(g185 +V +tp1351 +a(g222 +V"" +p1352 +tp1353 +a(g185 +V\u000a +tp1354 +a(g222 +V"Ein anderer Benutzer hat gespeichert, während Sie editiert haben!\u005cn" +p1355 +tp1356 +a(g185 +V\u000a +tp1357 +a(g222 +V"Bitte schauen Sie die Seite nochmal durch und speichern Sie dann. Speichern " +p1358 +tp1359 +a(g185 +V\u000a +tp1360 +a(g222 +V"Sie die Seite nicht so, wie sie ist!" +p1361 +tp1362 +a(g185 +V\u000a +tp1363 +a(g185 +V\u000a +tp1364 +a(g73 +Vmsgid +p1365 +tp1366 +a(g185 +V +tp1367 +a(g222 +V"[Content loaded from draft]" +p1368 +tp1369 +a(g185 +V\u000a +tp1370 +a(g73 +Vmsgstr +p1371 +tp1372 +a(g185 +V +tp1373 +a(g222 +V"[Inhalt der Seite mit dem Entwurf geladen]" +p1374 +tp1375 +a(g185 +V\u000a +tp1376 +a(g185 +V\u000a +tp1377 +a(g135 +V#, python-format +p1378 +tp1379 +a(g185 +V\u000a +tp1380 +a(g73 +Vmsgid +p1381 +tp1382 +a(g185 +V +tp1383 +a(g222 +V"[Content of new page loaded from %s]" +p1384 +tp1385 +a(g185 +V\u000a +tp1386 +a(g73 +Vmsgstr +p1387 +tp1388 +a(g185 +V +tp1389 +a(g222 +V"[Inhalt der neuen Seite auf Basis der Vorlage %s]" +p1390 +tp1391 +a(g185 +V\u000a +tp1392 +a(g185 +V\u000a +tp1393 +a(g135 +V#, python-format +p1394 +tp1395 +a(g185 +V\u000a +tp1396 +a(g73 +Vmsgid +p1397 +tp1398 +a(g185 +V +tp1399 +a(g222 +V"[Template %s not found]" +p1400 +tp1401 +a(g185 +V\u000a +tp1402 +a(g73 +Vmsgstr +p1403 +tp1404 +a(g185 +V +tp1405 +a(g222 +V"[Vorlage %s nicht gefunden]" +p1406 +tp1407 +a(g185 +V\u000a +tp1408 +a(g185 +V\u000a +tp1409 +a(g135 +V#, python-format +p1410 +tp1411 +a(g185 +V\u000a +tp1412 +a(g73 +Vmsgid +p1413 +tp1414 +a(g185 +V +tp1415 +a(g222 +V"[You may not read %s]" +p1416 +tp1417 +a(g185 +V\u000a +tp1418 +a(g73 +Vmsgstr +p1419 +tp1420 +a(g185 +V +tp1421 +a(g222 +V"[Sie dürfen %s nicht lesen]" +p1422 +tp1423 +a(g185 +V\u000a +tp1424 +a(g185 +V\u000a +tp1425 +a(g135 +V#, python-format +p1426 +tp1427 +a(g185 +V\u000a +tp1428 +a(g73 +Vmsgid +p1429 +tp1430 +a(g185 +V +tp1431 +a(g222 +V"" +p1432 +tp1433 +a(g185 +V\u000a +tp1434 +a(g222 +V"'''[[BR]]Your draft based on revision %(draft_rev)d (saved %" +p1435 +tp1436 +a(g185 +V\u000a +tp1437 +a(g222 +V"(draft_timestamp_str)s) can be loaded instead of the current revision %" +p1438 +tp1439 +a(g185 +V\u000a +tp1440 +a(g222 +V"(page_rev)d by using the load draft button - in case you lost your last edit " +p1441 +tp1442 +a(g185 +V\u000a +tp1443 +a(g222 +V"somehow without saving it.''' A draft gets saved for you when you do a " +p1444 +tp1445 +a(g185 +V\u000a +tp1446 +a(g222 +V"preview, cancel an edit or unsuccessfully save." +p1447 +tp1448 +a(g185 +V\u000a +tp1449 +a(g73 +Vmsgstr +p1450 +tp1451 +a(g185 +V +tp1452 +a(g222 +V"" +p1453 +tp1454 +a(g185 +V\u000a +tp1455 +a(g222 +V"'''[[BR]]Ihr Entwurf basierend auf Revision %(draft_rev)d (gespeichert %" +p1456 +tp1457 +a(g185 +V\u000a +tp1458 +a(g222 +V"(draft_timestamp_str)s kann anstatt der aktuellen Revision %(page_rev)d " +p1459 +tp1460 +a(g185 +V\u000a +tp1461 +a(g222 +V"geladen werden, indem Sie den Knopf ''Entwurf laden'' drücken (falls Sie " +p1462 +tp1463 +a(g185 +V\u000a +tp1464 +a(g222 +V"Ihre letzten Änderungen verloren haben, bevor Sie sie gespeichert " +p1465 +tp1466 +a(g185 +V\u000a +tp1467 +a(g222 +V"hatten).''' Ein Entwurf wird für Sie gespeichert, wenn Sie auf Vorschau oder " +p1468 +tp1469 +a(g185 +V\u000a +tp1470 +a(g222 +V"Abbrechen drücken oder das Speichern nicht funktioniert." +p1471 +tp1472 +a(g185 +V\u000a +tp1473 +a(g185 +V\u000a +tp1474 +a(g135 +V#, python-format +p1475 +tp1476 +a(g185 +V\u000a +tp1477 +a(g73 +Vmsgid +p1478 +tp1479 +a(g185 +V +tp1480 +a(g222 +V"Describe %s here." +p1481 +tp1482 +a(g185 +V\u000a +tp1483 +a(g73 +Vmsgstr +p1484 +tp1485 +a(g185 +V +tp1486 +a(g222 +V"%s hier beschreiben..." +p1487 +tp1488 +a(g185 +V\u000a +tp1489 +a(g185 +V\u000a +tp1490 +a(g73 +Vmsgid +p1491 +tp1492 +a(g185 +V +tp1493 +a(g222 +V"Check Spelling" +p1494 +tp1495 +a(g185 +V\u000a +tp1496 +a(g73 +Vmsgstr +p1497 +tp1498 +a(g185 +V +tp1499 +a(g222 +V"Rechtschreibung prüfen" +p1500 +tp1501 +a(g185 +V\u000a +tp1502 +a(g185 +V\u000a +tp1503 +a(g73 +Vmsgid +p1504 +tp1505 +a(g185 +V +tp1506 +a(g222 +V"Save Changes" +p1507 +tp1508 +a(g185 +V\u000a +tp1509 +a(g73 +Vmsgstr +p1510 +tp1511 +a(g185 +V +tp1512 +a(g222 +V"Änderungen speichern" +p1513 +tp1514 +a(g185 +V\u000a +tp1515 +a(g185 +V\u000a +tp1516 +a(g135 +V#, python-format +p1517 +tp1518 +a(g185 +V\u000a +tp1519 +a(g73 +Vmsgid +p1520 +tp1521 +a(g185 +V +tp1522 +a(g222 +V"" +p1523 +tp1524 +a(g185 +V\u000a +tp1525 +a(g222 +V"By hitting '''%(save_button_text)s''' you put your changes under the %" +p1526 +tp1527 +a(g185 +V\u000a +tp1528 +a(g222 +V"(license_link)s.\u005cn" +p1529 +tp1530 +a(g185 +V\u000a +tp1531 +a(g222 +V"If you don't want that, hit '''%(cancel_button_text)s''' to cancel your " +p1532 +tp1533 +a(g185 +V\u000a +tp1534 +a(g222 +V"changes." +p1535 +tp1536 +a(g185 +V\u000a +tp1537 +a(g73 +Vmsgstr +p1538 +tp1539 +a(g185 +V +tp1540 +a(g222 +V"" +p1541 +tp1542 +a(g185 +V\u000a +tp1543 +a(g222 +V"Durch Anklicken von '''%(save_button_text)s''' stellen Sie Ihre Änderungen " +p1544 +tp1545 +a(g185 +V\u000a +tp1546 +a(g222 +V"unter die %(license_link)s.\u005cn" +p1547 +tp1548 +a(g185 +V\u000a +tp1549 +a(g222 +V"Wenn Sie das nicht wollen, klicken Sie auf '''%(cancel_button_text)s''', um " +p1550 +tp1551 +a(g185 +V\u000a +tp1552 +a(g222 +V"Ihre Änderungen zu verwerfen." +p1553 +tp1554 +a(g185 +V\u000a +tp1555 +a(g185 +V\u000a +tp1556 +a(g73 +Vmsgid +p1557 +tp1558 +a(g185 +V +tp1559 +a(g222 +V"Preview" +p1560 +tp1561 +a(g185 +V\u000a +tp1562 +a(g73 +Vmsgstr +p1563 +tp1564 +a(g185 +V +tp1565 +a(g222 +V"Vorschau anzeigen" +p1566 +tp1567 +a(g185 +V\u000a +tp1568 +a(g185 +V\u000a +tp1569 +a(g73 +Vmsgid +p1570 +tp1571 +a(g185 +V +tp1572 +a(g222 +V"Text mode" +p1573 +tp1574 +a(g185 +V\u000a +tp1575 +a(g73 +Vmsgstr +p1576 +tp1577 +a(g185 +V +tp1578 +a(g222 +V"Text-Modus" +p1579 +tp1580 +a(g185 +V\u000a +tp1581 +a(g185 +V\u000a +tp1582 +a(g73 +Vmsgid +p1583 +tp1584 +a(g185 +V +tp1585 +a(g222 +V"Load Draft" +p1586 +tp1587 +a(g185 +V\u000a +tp1588 +a(g73 +Vmsgstr +p1589 +tp1590 +a(g185 +V +tp1591 +a(g222 +V"Entwurf laden" +p1592 +tp1593 +a(g185 +V\u000a +tp1594 +a(g185 +V\u000a +tp1595 +a(g73 +Vmsgid +p1596 +tp1597 +a(g185 +V +tp1598 +a(g222 +V"Comment:" +p1599 +tp1600 +a(g185 +V\u000a +tp1601 +a(g73 +Vmsgstr +p1602 +tp1603 +a(g185 +V +tp1604 +a(g222 +V"Kommentar:" +p1605 +tp1606 +a(g185 +V\u000a +tp1607 +a(g185 +V\u000a +tp1608 +a(g73 +Vmsgid +p1609 +tp1610 +a(g185 +V +tp1611 +a(g222 +V"" +p1612 +tp1613 +a(g185 +V\u000a +tp1614 +a(g73 +Vmsgstr +p1615 +tp1616 +a(g185 +V +tp1617 +a(g222 +V"" +p1618 +tp1619 +a(g185 +V\u000a +tp1620 +a(g185 +V\u000a +tp1621 +a(g135 +V#, python-format +p1622 +tp1623 +a(g185 +V\u000a +tp1624 +a(g73 +Vmsgid +p1625 +tp1626 +a(g185 +V +tp1627 +a(g222 +V"Add to: %(category)s" +p1628 +tp1629 +a(g185 +V\u000a +tp1630 +a(g73 +Vmsgstr +p1631 +tp1632 +a(g185 +V +tp1633 +a(g222 +V"Zu %(category)s hinzufügen:" +p1634 +tp1635 +a(g185 +V\u000a +tp1636 +a(g185 +V\u000a +tp1637 +a(g73 +Vmsgid +p1638 +tp1639 +a(g185 +V +tp1640 +a(g222 +V"Trivial change" +p1641 +tp1642 +a(g185 +V\u000a +tp1643 +a(g73 +Vmsgstr +p1644 +tp1645 +a(g185 +V +tp1646 +a(g222 +V"Triviale Änderung" +p1647 +tp1648 +a(g185 +V\u000a +tp1649 +a(g185 +V\u000a +tp1650 +a(g73 +Vmsgid +p1651 +tp1652 +a(g185 +V +tp1653 +a(g222 +V"Remove trailing whitespace from each line" +p1654 +tp1655 +a(g185 +V\u000a +tp1656 +a(g73 +Vmsgstr +p1657 +tp1658 +a(g185 +V +tp1659 +a(g222 +V"Leerzeichen am Ende jeder Zeile entfernen" +p1660 +tp1661 +a(g185 +V\u000a +tp1662 +a(g185 +V\u000a +tp1663 +a(g73 +Vmsgid +p1664 +tp1665 +a(g185 +V +tp1666 +a(g222 +V"The wiki is currently not reachable." +p1667 +tp1668 +a(g185 +V\u000a +tp1669 +a(g73 +Vmsgstr +p1670 +tp1671 +a(g185 +V +tp1672 +a(g222 +V"Das Wiki ist derzeit nicht erreichbar." +p1673 +tp1674 +a(g185 +V\u000a +tp1675 +a(g185 +V\u000a +tp1676 +a(g73 +Vmsgid +p1677 +tp1678 +a(g185 +V +tp1679 +a(g222 +V"" +p1680 +tp1681 +a(g185 +V\u000a +tp1682 +a(g222 +V"The remote version of MoinMoin is too old, version 1.6 is required at least." +p1683 +tp1684 +a(g185 +V\u000a +tp1685 +a(g73 +Vmsgstr +p1686 +tp1687 +a(g185 +V +tp1688 +a(g222 +V"" +p1689 +tp1690 +a(g185 +V\u000a +tp1691 +a(g222 +V"Die ferne MoinMoin-Version ist zu alt, mindestens Version 1.6 wird benötigt." +p1692 +tp1693 +a(g185 +V\u000a +tp1694 +a(g185 +V\u000a +tp1695 +a(g73 +Vmsgid +p1696 +tp1697 +a(g185 +V +tp1698 +a(g222 +V"Invalid username or password." +p1699 +tp1700 +a(g185 +V\u000a +tp1701 +a(g73 +Vmsgstr +p1702 +tp1703 +a(g185 +V +tp1704 +a(g222 +V"Ungültiger Username oder Passwort." +p1705 +tp1706 +a(g185 +V\u000a +tp1707 +a(g185 +V\u000a +tp1708 +a(g135 +V#, python-format +p1709 +tp1710 +a(g185 +V\u000a +tp1711 +a(g73 +Vmsgid +p1712 +tp1713 +a(g185 +V +tp1714 +a(g222 +V"" +p1715 +tp1716 +a(g185 +V\u000a +tp1717 +a(g222 +V"The remote wiki uses a different InterWiki name (%(remotename)s) internally " +p1718 +tp1719 +a(g185 +V\u000a +tp1720 +a(g222 +V"than you specified (%(localname)s)." +p1721 +tp1722 +a(g185 +V\u000a +tp1723 +a(g73 +Vmsgstr +p1724 +tp1725 +a(g185 +V +tp1726 +a(g222 +V"" +p1727 +tp1728 +a(g185 +V\u000a +tp1729 +a(g222 +V"Das ferne Wiki benutzt intern einen anderen InterWiki-Namen (%(remotename)s) " +p1730 +tp1731 +a(g185 +V\u000a +tp1732 +a(g222 +V"als Sie angegeben haben (%(localname)s)." +p1733 +tp1734 +a(g185 +V\u000a +tp1735 +a(g185 +V\u000a +tp1736 +a(g135 +V#, python-format +p1737 +tp1738 +a(g185 +V\u000a +tp1739 +a(g73 +Vmsgid +p1740 +tp1741 +a(g185 +V +tp1742 +a(g222 +V"The package needs a newer version of MoinMoin (at least %s)." +p1743 +tp1744 +a(g185 +V\u000a +tp1745 +a(g73 +Vmsgstr +p1746 +tp1747 +a(g185 +V +tp1748 +a(g222 +V"Das Paket erfordert eine neuere Version von MoinMoin (mindestens %s)." +p1749 +tp1750 +a(g185 +V\u000a +tp1751 +a(g185 +V\u000a +tp1752 +a(g73 +Vmsgid +p1753 +tp1754 +a(g185 +V +tp1755 +a(g222 +V"The theme name is not set." +p1756 +tp1757 +a(g185 +V\u000a +tp1758 +a(g73 +Vmsgstr +p1759 +tp1760 +a(g185 +V +tp1761 +a(g222 +V"Theme-Name ist nicht gesetzt." +p1762 +tp1763 +a(g185 +V\u000a +tp1764 +a(g185 +V\u000a +tp1765 +a(g73 +Vmsgid +p1766 +tp1767 +a(g185 +V +tp1768 +a(g222 +V"Installing theme files is only supported for standalone type servers." +p1769 +tp1770 +a(g185 +V\u000a +tp1771 +a(g73 +Vmsgstr +p1772 +tp1773 +a(g185 +V +tp1774 +a(g222 +V"" +p1775 +tp1776 +a(g185 +V\u000a +tp1777 +a(g222 +V"Das Installieren von Theme-Dateien wird nur für Server-Typ standalone " +p1778 +tp1779 +a(g185 +V\u000a +tp1780 +a(g222 +V"unterstützt." +p1781 +tp1782 +a(g185 +V\u000a +tp1783 +a(g185 +V\u000a +tp1784 +a(g135 +V#, python-format +p1785 +tp1786 +a(g185 +V\u000a +tp1787 +a(g73 +Vmsgid +p1788 +tp1789 +a(g185 +V +tp1790 +a(g222 +V"Installation of '%(filename)s' failed." +p1791 +tp1792 +a(g185 +V\u000a +tp1793 +a(g73 +Vmsgstr +p1794 +tp1795 +a(g185 +V +tp1796 +a(g222 +V"Installation von '%(filename)s' fehlgeschlagen." +p1797 +tp1798 +a(g185 +V\u000a +tp1799 +a(g185 +V\u000a +tp1800 +a(g135 +V#, python-format +p1801 +tp1802 +a(g185 +V\u000a +tp1803 +a(g73 +Vmsgid +p1804 +tp1805 +a(g185 +V +tp1806 +a(g222 +V"The file %s is not a MoinMoin package file." +p1807 +tp1808 +a(g185 +V\u000a +tp1809 +a(g73 +Vmsgstr +p1810 +tp1811 +a(g185 +V +tp1812 +a(g222 +V"Die Datei %s ist keine MoinMoin-Paket-Datei." +p1813 +tp1814 +a(g185 +V\u000a +tp1815 +a(g185 +V\u000a +tp1816 +a(g135 +V#, python-format +p1817 +tp1818 +a(g185 +V\u000a +tp1819 +a(g73 +Vmsgid +p1820 +tp1821 +a(g185 +V +tp1822 +a(g222 +V"The page %s does not exist." +p1823 +tp1824 +a(g185 +V\u000a +tp1825 +a(g73 +Vmsgstr +p1826 +tp1827 +a(g185 +V +tp1828 +a(g222 +V"Die Seite %s existiert nicht." +p1829 +tp1830 +a(g185 +V\u000a +tp1831 +a(g185 +V\u000a +tp1832 +a(g73 +Vmsgid +p1833 +tp1834 +a(g185 +V +tp1835 +a(g222 +V"Invalid package file header." +p1836 +tp1837 +a(g185 +V\u000a +tp1838 +a(g73 +Vmsgstr +p1839 +tp1840 +a(g185 +V +tp1841 +a(g222 +V"Ungültiger Paket-Datei-Header." +p1842 +tp1843 +a(g185 +V\u000a +tp1844 +a(g185 +V\u000a +tp1845 +a(g73 +Vmsgid +p1846 +tp1847 +a(g185 +V +tp1848 +a(g222 +V"Package file format unsupported." +p1849 +tp1850 +a(g185 +V\u000a +tp1851 +a(g73 +Vmsgstr +p1852 +tp1853 +a(g185 +V +tp1854 +a(g222 +V"Paket-Datei-Format nicht unterstützt." +p1855 +tp1856 +a(g185 +V\u000a +tp1857 +a(g185 +V\u000a +tp1858 +a(g135 +V#, python-format +p1859 +tp1860 +a(g185 +V\u000a +tp1861 +a(g73 +Vmsgid +p1862 +tp1863 +a(g185 +V +tp1864 +a(g222 +V"Unknown function %(func)s in line %(lineno)i." +p1865 +tp1866 +a(g185 +V\u000a +tp1867 +a(g73 +Vmsgstr +p1868 +tp1869 +a(g185 +V +tp1870 +a(g222 +V"Unbekannte Funktion %(func)s in Zeile %(lineno)i." +p1871 +tp1872 +a(g185 +V\u000a +tp1873 +a(g185 +V\u000a +tp1874 +a(g135 +V#, python-format +p1875 +tp1876 +a(g185 +V\u000a +tp1877 +a(g73 +Vmsgid +p1878 +tp1879 +a(g185 +V +tp1880 +a(g222 +V"The file %s was not found in the package." +p1881 +tp1882 +a(g185 +V\u000a +tp1883 +a(g73 +Vmsgstr +p1884 +tp1885 +a(g185 +V +tp1886 +a(g222 +V"Die Datei %s wurde im Paket nicht gefunden." +p1887 +tp1888 +a(g185 +V\u000a +tp1889 +a(g185 +V\u000a +tp1890 +a(g73 +Vmsgid +p1891 +tp1892 +a(g185 +V +tp1893 +a(g222 +V"Your changes are not saved!" +p1894 +tp1895 +a(g185 +V\u000a +tp1896 +a(g73 +Vmsgstr +p1897 +tp1898 +a(g185 +V +tp1899 +a(g222 +V"Ihre Änderungen sind nicht gesichert!" +p1900 +tp1901 +a(g185 +V\u000a +tp1902 +a(g185 +V\u000a +tp1903 +a(g73 +Vmsgid +p1904 +tp1905 +a(g185 +V +tp1906 +a(g222 +V"Page name is too long, try shorter name." +p1907 +tp1908 +a(g185 +V\u000a +tp1909 +a(g73 +Vmsgstr +p1910 +tp1911 +a(g185 +V +tp1912 +a(g222 +V"Seitenname ist zu lang, bitte kürzen." +p1913 +tp1914 +a(g185 +V\u000a +tp1915 +a(g185 +V\u000a +tp1916 +a(g73 +Vmsgid +p1917 +tp1918 +a(g185 +V +tp1919 +a(g222 +V"GUI Mode" +p1920 +tp1921 +a(g185 +V\u000a +tp1922 +a(g73 +Vmsgstr +p1923 +tp1924 +a(g185 +V +tp1925 +a(g222 +V"GUI-Modus" +p1926 +tp1927 +a(g185 +V\u000a +tp1928 +a(g185 +V\u000a +tp1929 +a(g73 +Vmsgid +p1930 +tp1931 +a(g185 +V +tp1932 +a(g222 +V"Edit was cancelled." +p1933 +tp1934 +a(g185 +V\u000a +tp1935 +a(g73 +Vmsgstr +p1936 +tp1937 +a(g185 +V +tp1938 +a(g222 +V"Editierung wurde abgebrochen." +p1939 +tp1940 +a(g185 +V\u000a +tp1941 +a(g185 +V\u000a +tp1942 +a(g73 +Vmsgid +p1943 +tp1944 +a(g185 +V +tp1945 +a(g222 +V"You can't copy to an empty pagename." +p1946 +tp1947 +a(g185 +V\u000a +tp1948 +a(g73 +Vmsgstr +p1949 +tp1950 +a(g185 +V +tp1951 +a(g222 +V"Sie können eine Seite nicht auf einen leeren Seitennamen kopieren." +p1952 +tp1953 +a(g185 +V\u000a +tp1954 +a(g185 +V\u000a +tp1955 +a(g73 +Vmsgid +p1956 +tp1957 +a(g185 +V +tp1958 +a(g222 +V"You are not allowed to copy this page!" +p1959 +tp1960 +a(g185 +V\u000a +tp1961 +a(g73 +Vmsgstr +p1962 +tp1963 +a(g185 +V +tp1964 +a(g222 +V"Sie dürfen diese Seite nicht kopieren!" +p1965 +tp1966 +a(g185 +V\u000a +tp1967 +a(g185 +V\u000a +tp1968 +a(g135 +V#, python-format +p1969 +tp1970 +a(g185 +V\u000a +tp1971 +a(g73 +Vmsgid +p1972 +tp1973 +a(g185 +V +tp1974 +a(g222 +V"" +p1975 +tp1976 +a(g185 +V\u000a +tp1977 +a(g222 +V"'''A page with the name {{{'%s'}}} already exists.'''\u005cn" +p1978 +tp1979 +a(g185 +V\u000a +tp1980 +a(g222 +V"Try a different name." +p1981 +tp1982 +a(g185 +V\u000a +tp1983 +a(g73 +Vmsgstr +p1984 +tp1985 +a(g185 +V +tp1986 +a(g222 +V"" +p1987 +tp1988 +a(g185 +V\u000a +tp1989 +a(g222 +V"'''Es gibt bereits eine Seite mit dem Namen {{{'%s'}}}.'''\u005cn" +p1990 +tp1991 +a(g185 +V\u000a +tp1992 +a(g222 +V"Versuchen Sie es mit einem anderen Namen." +p1993 +tp1994 +a(g185 +V\u000a +tp1995 +a(g185 +V\u000a +tp1996 +a(g135 +V#, python-format +p1997 +tp1998 +a(g185 +V\u000a +tp1999 +a(g73 +Vmsgid +p2000 +tp2001 +a(g185 +V +tp2002 +a(g222 +V"Could not copy page because of file system error: %s." +p2003 +tp2004 +a(g185 +V\u000a +tp2005 +a(g73 +Vmsgstr +p2006 +tp2007 +a(g185 +V +tp2008 +a(g222 +V"" +p2009 +tp2010 +a(g185 +V\u000a +tp2011 +a(g222 +V"Konnte die Seite nicht kopieren wegen eines Dateisystem-Fehlercodes: %s." +p2012 +tp2013 +a(g185 +V\u000a +tp2014 +a(g185 +V\u000a +tp2015 +a(g73 +Vmsgid +p2016 +tp2017 +a(g185 +V +tp2018 +a(g222 +V"You are not allowed to rename this page!" +p2019 +tp2020 +a(g185 +V\u000a +tp2021 +a(g73 +Vmsgstr +p2022 +tp2023 +a(g185 +V +tp2024 +a(g222 +V"Sie dürfen diese Seite nicht umbenennen!" +p2025 +tp2026 +a(g185 +V\u000a +tp2027 +a(g185 +V\u000a +tp2028 +a(g73 +Vmsgid +p2029 +tp2030 +a(g185 +V +tp2031 +a(g222 +V"You can't rename to an empty pagename." +p2032 +tp2033 +a(g185 +V\u000a +tp2034 +a(g73 +Vmsgstr +p2035 +tp2036 +a(g185 +V +tp2037 +a(g222 +V"Sie können eine Seite nicht auf einen leeren Seitennamen umbenennen." +p2038 +tp2039 +a(g185 +V\u000a +tp2040 +a(g185 +V\u000a +tp2041 +a(g135 +V#, python-format +p2042 +tp2043 +a(g185 +V\u000a +tp2044 +a(g73 +Vmsgid +p2045 +tp2046 +a(g185 +V +tp2047 +a(g222 +V"" +p2048 +tp2049 +a(g185 +V\u000a +tp2050 +a(g222 +V"'''A page with the name {{{'%s'}}} already exists.'''\u005cn" +p2051 +tp2052 +a(g185 +V\u000a +tp2053 +a(g222 +V"\u005cn" +p2054 +tp2055 +a(g185 +V\u000a +tp2056 +a(g222 +V"Try a different name." +p2057 +tp2058 +a(g185 +V\u000a +tp2059 +a(g73 +Vmsgstr +p2060 +tp2061 +a(g185 +V +tp2062 +a(g222 +V"" +p2063 +tp2064 +a(g185 +V\u000a +tp2065 +a(g222 +V"'''Es gibt bereits eine Seite mit dem Namen {{{'%s'}}}.'''\u005cn" +p2066 +tp2067 +a(g185 +V\u000a +tp2068 +a(g222 +V"Versuchen Sie es mit einem anderen Namen." +p2069 +tp2070 +a(g185 +V\u000a +tp2071 +a(g185 +V\u000a +tp2072 +a(g135 +V#, python-format +p2073 +tp2074 +a(g185 +V\u000a +tp2075 +a(g73 +Vmsgid +p2076 +tp2077 +a(g185 +V +tp2078 +a(g222 +V"Could not rename page because of file system error: %s." +p2079 +tp2080 +a(g185 +V\u000a +tp2081 +a(g73 +Vmsgstr +p2082 +tp2083 +a(g185 +V +tp2084 +a(g222 +V"" +p2085 +tp2086 +a(g185 +V\u000a +tp2087 +a(g222 +V"Konnte die Seite nicht umbenennen wegen eines Dateisystem-Fehlercodes: %s." +p2088 +tp2089 +a(g185 +V\u000a +tp2090 +a(g185 +V\u000a +tp2091 +a(g73 +Vmsgid +p2092 +tp2093 +a(g185 +V +tp2094 +a(g222 +V"You are not allowed to delete this page!" +p2095 +tp2096 +a(g185 +V\u000a +tp2097 +a(g73 +Vmsgstr +p2098 +tp2099 +a(g185 +V +tp2100 +a(g222 +V"Sie dürfen diese Seite nicht löschen!" +p2101 +tp2102 +a(g185 +V\u000a +tp2103 +a(g185 +V\u000a +tp2104 +a(g73 +Vmsgid +p2105 +tp2106 +a(g185 +V +tp2107 +a(g222 +V"Thank you for your changes. Your attention to detail is appreciated." +p2108 +tp2109 +a(g185 +V\u000a +tp2110 +a(g73 +Vmsgstr +p2111 +tp2112 +a(g185 +V +tp2113 +a(g222 +V"Danke für die Änderung und die Sorgfalt beim Editieren." +p2114 +tp2115 +a(g185 +V\u000a +tp2116 +a(g185 +V\u000a +tp2117 +a(g135 +V#, python-format +p2118 +tp2119 +a(g185 +V\u000a +tp2120 +a(g73 +Vmsgid +p2121 +tp2122 +a(g185 +V +tp2123 +a(g222 +V"Page \u005c"%s\u005c" was successfully deleted!" +p2124 +tp2125 +a(g185 +V\u000a +tp2126 +a(g73 +Vmsgstr +p2127 +tp2128 +a(g185 +V +tp2129 +a(g222 +V"Seite \u005c"%s\u005c" wurde erfolgreich gelöscht!" +p2130 +tp2131 +a(g185 +V\u000a +tp2132 +a(g185 +V\u000a +tp2133 +a(g135 +V#, python-format +p2134 +tp2135 +a(g185 +V\u000a +tp2136 +a(g73 +Vmsgid +p2137 +tp2138 +a(g185 +V +tp2139 +a(g222 +V"" +p2140 +tp2141 +a(g185 +V\u000a +tp2142 +a(g222 +V"Dear Wiki user,\u005cn" +p2143 +tp2144 +a(g185 +V\u000a +tp2145 +a(g222 +V"\u005cn" +p2146 +tp2147 +a(g185 +V\u000a +tp2148 +a(g222 +V"You have subscribed to a wiki page or wiki category on \u005c"%(sitename)s\u005c" for " +p2149 +tp2150 +a(g185 +V\u000a +tp2151 +a(g222 +V"change notification.\u005cn" +p2152 +tp2153 +a(g185 +V\u000a +tp2154 +a(g222 +V"\u005cn" +p2155 +tp2156 +a(g185 +V\u000a +tp2157 +a(g222 +V"The following page has been changed by %(editor)s:\u005cn" +p2158 +tp2159 +a(g185 +V\u000a +tp2160 +a(g222 +V"%(pagelink)s\u005cn" +p2161 +tp2162 +a(g185 +V\u000a +tp2163 +a(g222 +V"\u005cn" +p2164 +tp2165 +a(g185 +V\u000a +tp2166 +a(g73 +Vmsgstr +p2167 +tp2168 +a(g185 +V +tp2169 +a(g222 +V"" +p2170 +tp2171 +a(g185 +V\u000a +tp2172 +a(g222 +V"Sehr geehrter Wikibenutzer,\u005cn" +p2173 +tp2174 +a(g185 +V\u000a +tp2175 +a(g222 +V"\u005cn" +p2176 +tp2177 +a(g185 +V\u000a +tp2178 +a(g222 +V"Sie haben die Änderungen einer Wikiseite oder Kategorie von \u005c"%(sitename)s\u005c" " +p2179 +tp2180 +a(g185 +V\u000a +tp2181 +a(g222 +V"abonniert.\u005cn" +p2182 +tp2183 +a(g185 +V\u000a +tp2184 +a(g222 +V"\u005cn" +p2185 +tp2186 +a(g185 +V\u000a +tp2187 +a(g222 +V"Die folgende Seite wurde durch %(editor)s verändert:\u005cn" +p2188 +tp2189 +a(g185 +V\u000a +tp2190 +a(g222 +V"%(pagelink)s\u005cn" +p2191 +tp2192 +a(g185 +V\u000a +tp2193 +a(g222 +V"\u005cn" +p2194 +tp2195 +a(g185 +V\u000a +tp2196 +a(g185 +V\u000a +tp2197 +a(g135 +V#, python-format +p2198 +tp2199 +a(g185 +V\u000a +tp2200 +a(g73 +Vmsgid +p2201 +tp2202 +a(g185 +V +tp2203 +a(g222 +V"" +p2204 +tp2205 +a(g185 +V\u000a +tp2206 +a(g222 +V"The comment on the change is:\u005cn" +p2207 +tp2208 +a(g185 +V\u000a +tp2209 +a(g222 +V"%(comment)s\u005cn" +p2210 +tp2211 +a(g185 +V\u000a +tp2212 +a(g222 +V"\u005cn" +p2213 +tp2214 +a(g185 +V\u000a +tp2215 +a(g73 +Vmsgstr +p2216 +tp2217 +a(g185 +V +tp2218 +a(g222 +V"" +p2219 +tp2220 +a(g185 +V\u000a +tp2221 +a(g222 +V"Der Kommentar zur Änderung ist:\u005cn" +p2222 +tp2223 +a(g185 +V\u000a +tp2224 +a(g222 +V"%(comment)s\u005cn" +p2225 +tp2226 +a(g185 +V\u000a +tp2227 +a(g222 +V"\u005cn" +p2228 +tp2229 +a(g185 +V\u000a +tp2230 +a(g185 +V\u000a +tp2231 +a(g73 +Vmsgid +p2232 +tp2233 +a(g185 +V +tp2234 +a(g222 +V"New page:\u005cn" +p2235 +tp2236 +a(g185 +V\u000a +tp2237 +a(g73 +Vmsgstr +p2238 +tp2239 +a(g185 +V +tp2240 +a(g222 +V"Neue Seite:\u005cn" +p2241 +tp2242 +a(g185 +V\u000a +tp2243 +a(g185 +V\u000a +tp2244 +a(g73 +Vmsgid +p2245 +tp2246 +a(g185 +V +tp2247 +a(g222 +V"No differences found!\u005cn" +p2248 +tp2249 +a(g185 +V\u000a +tp2250 +a(g73 +Vmsgstr +p2251 +tp2252 +a(g185 +V +tp2253 +a(g222 +V"Es wurden keine Änderungen gefunden!\u005cn" +p2254 +tp2255 +a(g185 +V\u000a +tp2256 +a(g185 +V\u000a +tp2257 +a(g135 +V#, python-format +p2258 +tp2259 +a(g185 +V\u000a +tp2260 +a(g73 +Vmsgid +p2261 +tp2262 +a(g185 +V +tp2263 +a(g222 +V"[%(sitename)s] %(trivial)sUpdate of \u005c"%(pagename)s\u005c" by %(username)s" +p2264 +tp2265 +a(g185 +V\u000a +tp2266 +a(g73 +Vmsgstr +p2267 +tp2268 +a(g185 +V +tp2269 +a(g222 +V"" +p2270 +tp2271 +a(g185 +V\u000a +tp2272 +a(g222 +V"[%(sitename)s] %(trivial)sÄnderung von \u005c"%(pagename)s\u005c" von %(username)s" +p2273 +tp2274 +a(g185 +V\u000a +tp2275 +a(g185 +V\u000a +tp2276 +a(g73 +Vmsgid +p2277 +tp2278 +a(g185 +V +tp2279 +a(g222 +V"Trivial " +p2280 +tp2281 +a(g185 +V\u000a +tp2282 +a(g73 +Vmsgstr +p2283 +tp2284 +a(g185 +V +tp2285 +a(g222 +V"Triviale " +p2286 +tp2287 +a(g185 +V\u000a +tp2288 +a(g185 +V\u000a +tp2289 +a(g73 +Vmsgid +p2290 +tp2291 +a(g185 +V +tp2292 +a(g222 +V"Status of sending notification mails:" +p2293 +tp2294 +a(g185 +V\u000a +tp2295 +a(g73 +Vmsgstr +p2296 +tp2297 +a(g185 +V +tp2298 +a(g222 +V"Status des Versands der Änderungsnachrichten:" +p2299 +tp2300 +a(g185 +V\u000a +tp2301 +a(g185 +V\u000a +tp2302 +a(g135 +V#, python-format +p2303 +tp2304 +a(g185 +V\u000a +tp2305 +a(g73 +Vmsgid +p2306 +tp2307 +a(g185 +V +tp2308 +a(g222 +V"[%(lang)s] %(recipients)s: %(status)s" +p2309 +tp2310 +a(g185 +V\u000a +tp2311 +a(g73 +Vmsgstr +p2312 +tp2313 +a(g185 +V +tp2314 +a(g222 +V"[%(lang)s] %(recipients)s: %(status)s" +p2315 +tp2316 +a(g185 +V\u000a +tp2317 +a(g185 +V\u000a +tp2318 +a(g135 +V#, python-format +p2319 +tp2320 +a(g185 +V\u000a +tp2321 +a(g73 +Vmsgid +p2322 +tp2323 +a(g185 +V +tp2324 +a(g222 +V"Page could not get locked. Unexpected error (errno=%d)." +p2325 +tp2326 +a(g185 +V\u000a +tp2327 +a(g73 +Vmsgstr +p2328 +tp2329 +a(g185 +V +tp2330 +a(g222 +V"Seite konnte nicht gesperrt werden. Unerwarteter Fehler (errno=%d)." +p2331 +tp2332 +a(g185 +V\u000a +tp2333 +a(g185 +V\u000a +tp2334 +a(g73 +Vmsgid +p2335 +tp2336 +a(g185 +V +tp2337 +a(g222 +V"Page could not get locked. Missing 'current' file?" +p2338 +tp2339 +a(g185 +V\u000a +tp2340 +a(g73 +Vmsgstr +p2341 +tp2342 +a(g185 +V +tp2343 +a(g222 +V"Seite konnte nicht gesperrt werden. Fehlende Datei 'current'?" +p2344 +tp2345 +a(g185 +V\u000a +tp2346 +a(g185 +V\u000a +tp2347 +a(g73 +Vmsgid +p2348 +tp2349 +a(g185 +V +tp2350 +a(g222 +V"You are not allowed to edit this page!" +p2351 +tp2352 +a(g185 +V\u000a +tp2353 +a(g73 +Vmsgstr +p2354 +tp2355 +a(g185 +V +tp2356 +a(g222 +V"Sie dürfen diese Seite nicht editieren!" +p2357 +tp2358 +a(g185 +V\u000a +tp2359 +a(g185 +V\u000a +tp2360 +a(g73 +Vmsgid +p2361 +tp2362 +a(g185 +V +tp2363 +a(g222 +V"You cannot save empty pages." +p2364 +tp2365 +a(g185 +V\u000a +tp2366 +a(g73 +Vmsgstr +p2367 +tp2368 +a(g185 +V +tp2369 +a(g222 +V"Leere Seiten können nicht gespeichert werden!" +p2370 +tp2371 +a(g185 +V\u000a +tp2372 +a(g185 +V\u000a +tp2373 +a(g73 +Vmsgid +p2374 +tp2375 +a(g185 +V +tp2376 +a(g222 +V"You already saved this page!" +p2377 +tp2378 +a(g185 +V\u000a +tp2379 +a(g73 +Vmsgstr +p2380 +tp2381 +a(g185 +V +tp2382 +a(g222 +V"Sie haben diese Seite bereits gesichert!" +p2383 +tp2384 +a(g185 +V\u000a +tp2385 +a(g185 +V\u000a +tp2386 +a(g73 +Vmsgid +p2387 +tp2388 +a(g185 +V +tp2389 +a(g222 +V"You already edited this page! Please do not use the back button." +p2390 +tp2391 +a(g185 +V\u000a +tp2392 +a(g73 +Vmsgstr +p2393 +tp2394 +a(g185 +V +tp2395 +a(g222 +V"" +p2396 +tp2397 +a(g185 +V\u000a +tp2398 +a(g222 +V"Sie haben diese Seite bereits editiert! Bitte benutzen Sie nicht den Zurück-" +p2399 +tp2400 +a(g185 +V\u000a +tp2401 +a(g222 +V"Button." +p2402 +tp2403 +a(g185 +V\u000a +tp2404 +a(g185 +V\u000a +tp2405 +a(g73 +Vmsgid +p2406 +tp2407 +a(g185 +V +tp2408 +a(g222 +V"You did not change the page content, not saved!" +p2409 +tp2410 +a(g185 +V\u000a +tp2411 +a(g73 +Vmsgstr +p2412 +tp2413 +a(g185 +V +tp2414 +a(g222 +V"Der Seiteninhalt wurde nicht verändert und folglich nicht gesichert!" +p2415 +tp2416 +a(g185 +V\u000a +tp2417 +a(g185 +V\u000a +tp2418 +a(g73 +Vmsgid +p2419 +tp2420 +a(g185 +V +tp2421 +a(g222 +V"" +p2422 +tp2423 +a(g185 +V\u000a +tp2424 +a(g222 +V"You can't change ACLs on this page since you have no admin rights on it!" +p2425 +tp2426 +a(g185 +V\u000a +tp2427 +a(g73 +Vmsgstr +p2428 +tp2429 +a(g185 +V +tp2430 +a(g222 +V"" +p2431 +tp2432 +a(g185 +V\u000a +tp2433 +a(g222 +V"Sie dürfen keine ACLs auf dieser Seite ändern, weil Sie keine admin-Rechte " +p2434 +tp2435 +a(g185 +V\u000a +tp2436 +a(g222 +V"auf ihr haben!" +p2437 +tp2438 +a(g185 +V\u000a +tp2439 +a(g185 +V\u000a +tp2440 +a(g135 +V#, python-format +p2441 +tp2442 +a(g185 +V\u000a +tp2443 +a(g73 +Vmsgid +p2444 +tp2445 +a(g185 +V +tp2446 +a(g222 +V"" +p2447 +tp2448 +a(g185 +V\u000a +tp2449 +a(g222 +V"The lock of %(owner)s timed out %(mins_ago)d minute(s) ago, and you were " +p2450 +tp2451 +a(g185 +V\u000a +tp2452 +a(g222 +V"granted the lock for this page." +p2453 +tp2454 +a(g185 +V\u000a +tp2455 +a(g73 +Vmsgstr +p2456 +tp2457 +a(g185 +V +tp2458 +a(g222 +V"" +p2459 +tp2460 +a(g185 +V\u000a +tp2461 +a(g222 +V"Die Sperre von %(owner)s ist vor %(mins_ago)d Minute(n) abgelaufen und wurde " +p2462 +tp2463 +a(g185 +V\u000a +tp2464 +a(g222 +V"an Sie übertragen." +p2465 +tp2466 +a(g185 +V\u000a +tp2467 +a(g185 +V\u000a +tp2468 +a(g135 +V#, python-format +p2469 +tp2470 +a(g185 +V\u000a +tp2471 +a(g73 +Vmsgid +p2472 +tp2473 +a(g185 +V +tp2474 +a(g222 +V"" +p2475 +tp2476 +a(g185 +V\u000a +tp2477 +a(g222 +V"Other users will be ''blocked'' from editing this page until %(bumptime)s." +p2478 +tp2479 +a(g185 +V\u000a +tp2480 +a(g73 +Vmsgstr +p2481 +tp2482 +a(g185 +V +tp2483 +a(g222 +V"" +p2484 +tp2485 +a(g185 +V\u000a +tp2486 +a(g222 +V"Anderen Benutzern wird die Editierung dieser Seite bis %(bumptime)s " +p2487 +tp2488 +a(g185 +V\u000a +tp2489 +a(g222 +V"''verweigert''." +p2490 +tp2491 +a(g185 +V\u000a +tp2492 +a(g185 +V\u000a +tp2493 +a(g135 +V#, python-format +p2494 +tp2495 +a(g185 +V\u000a +tp2496 +a(g73 +Vmsgid +p2497 +tp2498 +a(g185 +V +tp2499 +a(g222 +V"" +p2500 +tp2501 +a(g185 +V\u000a +tp2502 +a(g222 +V"Other users will be ''warned'' until %(bumptime)s that you are editing this " +p2503 +tp2504 +a(g185 +V\u000a +tp2505 +a(g222 +V"page." +p2506 +tp2507 +a(g185 +V\u000a +tp2508 +a(g73 +Vmsgstr +p2509 +tp2510 +a(g185 +V +tp2511 +a(g222 +V"" +p2512 +tp2513 +a(g185 +V\u000a +tp2514 +a(g222 +V"Andere Benutzer erhalten bis %(bumptime)s eine ''Warnung'', dass Sie diese " +p2515 +tp2516 +a(g185 +V\u000a +tp2517 +a(g222 +V"Seite editieren." +p2518 +tp2519 +a(g185 +V\u000a +tp2520 +a(g185 +V\u000a +tp2521 +a(g73 +Vmsgid +p2522 +tp2523 +a(g185 +V +tp2524 +a(g222 +V"Use the Preview button to extend the locking period." +p2525 +tp2526 +a(g185 +V\u000a +tp2527 +a(g73 +Vmsgstr +p2528 +tp2529 +a(g185 +V +tp2530 +a(g222 +V"Mit \u005c"Vorschau anzeigen\u005c" können Sie diesen Zeitraum verlängern." +p2531 +tp2532 +a(g185 +V\u000a +tp2533 +a(g185 +V\u000a +tp2534 +a(g135 +V#, python-format +p2535 +tp2536 +a(g185 +V\u000a +tp2537 +a(g73 +Vmsgid +p2538 +tp2539 +a(g185 +V +tp2540 +a(g222 +V"" +p2541 +tp2542 +a(g185 +V\u000a +tp2543 +a(g222 +V"This page is currently ''locked'' for editing by %(owner)s until %(timestamp)" +p2544 +tp2545 +a(g185 +V\u000a +tp2546 +a(g222 +V"s, i.e. for %(mins_valid)d minute(s)." +p2547 +tp2548 +a(g185 +V\u000a +tp2549 +a(g73 +Vmsgstr +p2550 +tp2551 +a(g185 +V +tp2552 +a(g222 +V"" +p2553 +tp2554 +a(g185 +V\u000a +tp2555 +a(g222 +V"Diese Seite ist derzeit zur Editierung durch %(owner)s gegen Änderungen " +p2556 +tp2557 +a(g185 +V\u000a +tp2558 +a(g222 +V"''gesperrt'' bis %(timestamp)s, also weitere %(mins_valid)d Minute(n)." +p2559 +tp2560 +a(g185 +V\u000a +tp2561 +a(g185 +V\u000a +tp2562 +a(g135 +V#, python-format +p2563 +tp2564 +a(g185 +V\u000a +tp2565 +a(g73 +Vmsgid +p2566 +tp2567 +a(g185 +V +tp2568 +a(g222 +V"" +p2569 +tp2570 +a(g185 +V\u000a +tp2571 +a(g222 +V"This page was opened for editing or last previewed at %(timestamp)s by %" +p2572 +tp2573 +a(g185 +V\u000a +tp2574 +a(g222 +V"(owner)s.[[BR]]\u005cn" +p2575 +tp2576 +a(g185 +V\u000a +tp2577 +a(g222 +V"'''You should ''refrain from editing'' this page for at least another %" +p2578 +tp2579 +a(g185 +V\u000a +tp2580 +a(g222 +V"(mins_valid)d minute(s),\u005cn" +p2581 +tp2582 +a(g185 +V\u000a +tp2583 +a(g222 +V"to avoid editing conflicts.'''[[BR]]\u005cn" +p2584 +tp2585 +a(g185 +V\u000a +tp2586 +a(g222 +V"To leave the editor, press the Cancel button." +p2587 +tp2588 +a(g185 +V\u000a +tp2589 +a(g73 +Vmsgstr +p2590 +tp2591 +a(g185 +V +tp2592 +a(g222 +V"" +p2593 +tp2594 +a(g185 +V\u000a +tp2595 +a(g222 +V"Diese Seite wurde zum letzten Mal um %(timestamp)s durch %(owner)s zum " +p2596 +tp2597 +a(g185 +V\u000a +tp2598 +a(g222 +V"Editieren geöffnet\u005cn" +p2599 +tp2600 +a(g185 +V\u000a +tp2601 +a(g222 +V"oder in der Vorschau angezeigt.[[BR]]\u005cn" +p2602 +tp2603 +a(g185 +V\u000a +tp2604 +a(g222 +V"'''Sie sollten diese Seite für mindestens weitere %(mins_valid)d Minute(n) " +p2605 +tp2606 +a(g185 +V\u000a +tp2607 +a(g222 +V"''nicht editieren'', um Konflikte auszuschließen.'''[[BR]]\u005cn" +p2608 +tp2609 +a(g185 +V\u000a +tp2610 +a(g222 +V"Benutzen Sie \u005c"Abbrechen\u005c" zum Verlassen des Editors." +p2611 +tp2612 +a(g185 +V\u000a +tp2613 +a(g185 +V\u000a +tp2614 +a(g73 +Vmsgid +p2615 +tp2616 +a(g185 +V +tp2617 +a(g222 +V"" +p2618 +tp2619 +a(g185 +V\u000a +tp2620 +a(g73 +Vmsgstr +p2621 +tp2622 +a(g185 +V +tp2623 +a(g222 +V"" +p2624 +tp2625 +a(g185 +V\u000a +tp2626 +a(g185 +V\u000a +tp2627 +a(g135 +V#, python-format +p2628 +tp2629 +a(g185 +V\u000a +tp2630 +a(g73 +Vmsgid +p2631 +tp2632 +a(g185 +V +tp2633 +a(g222 +V"" +p2634 +tp2635 +a(g185 +V\u000a +tp2636 +a(g222 +V"Login Name: %s\u005cn" +p2637 +tp2638 +a(g185 +V\u000a +tp2639 +a(g222 +V"\u005cn" +p2640 +tp2641 +a(g185 +V\u000a +tp2642 +a(g222 +V"Login Password: %s\u005cn" +p2643 +tp2644 +a(g185 +V\u000a +tp2645 +a(g222 +V"\u005cn" +p2646 +tp2647 +a(g185 +V\u000a +tp2648 +a(g222 +V"Login URL: %s/%s?action=login\u005cn" +p2649 +tp2650 +a(g185 +V\u000a +tp2651 +a(g73 +Vmsgstr +p2652 +tp2653 +a(g185 +V +tp2654 +a(g222 +V"" +p2655 +tp2656 +a(g185 +V\u000a +tp2657 +a(g222 +V" +tp2658 +a(g103 +VAnmelde-Name: +p2659 +tp2660 +a(g222 +V %s\u005cn" +p2661 +tp2662 +a(g185 +V\u000a +tp2663 +a(g222 +V"\u005cn" +p2664 +tp2665 +a(g185 +V\u000a +tp2666 +a(g222 +V" +tp2667 +a(g103 +VAnmelde-Passwort: +p2668 +tp2669 +a(g222 +V %s\u005cn" +p2670 +tp2671 +a(g185 +V\u000a +tp2672 +a(g222 +V"\u005cn" +p2673 +tp2674 +a(g185 +V\u000a +tp2675 +a(g222 +V" +tp2676 +a(g103 +VAnmelde-URL: +p2677 +tp2678 +a(g222 +V %s/%s?action=login\u005cn" +p2679 +tp2680 +a(g185 +V\u000a +tp2681 +a(g185 +V\u000a +tp2682 +a(g73 +Vmsgid +p2683 +tp2684 +a(g185 +V +tp2685 +a(g222 +V"" +p2686 +tp2687 +a(g185 +V\u000a +tp2688 +a(g222 +V"Somebody has requested to submit your account data to this email address.\u005cn" +p2689 +tp2690 +a(g185 +V\u000a +tp2691 +a(g222 +V"\u005cn" +p2692 +tp2693 +a(g185 +V\u000a +tp2694 +a(g222 +V"If you lost your password, please use the data below and just enter the\u005cn" +p2695 +tp2696 +a(g185 +V\u000a +tp2697 +a(g222 +V"password AS SHOWN into the wiki's password form field (use copy and paste\u005cn" +p2698 +tp2699 +a(g185 +V\u000a +tp2700 +a(g222 +V"for that).\u005cn" +p2701 +tp2702 +a(g185 +V\u000a +tp2703 +a(g222 +V"\u005cn" +p2704 +tp2705 +a(g185 +V\u000a +tp2706 +a(g222 +V"After successfully logging in, it is of course a good idea to set a new and " +p2707 +tp2708 +a(g185 +V\u000a +tp2709 +a(g222 +V"known password.\u005cn" +p2710 +tp2711 +a(g185 +V\u000a +tp2712 +a(g73 +Vmsgstr +p2713 +tp2714 +a(g185 +V +tp2715 +a(g222 +V"" +p2716 +tp2717 +a(g185 +V\u000a +tp2718 +a(g222 +V"Jemand hat angefordert, Ihre Accountdaten an diese E-Mail-Adresse zu " +p2719 +tp2720 +a(g185 +V\u000a +tp2721 +a(g222 +V"senden.\u005cn" +p2722 +tp2723 +a(g185 +V\u000a +tp2724 +a(g222 +V"\u005cn" +p2725 +tp2726 +a(g185 +V\u000a +tp2727 +a(g222 +V"Wenn Sie Ihr Passwort vergessen haben, benutzen Sie bitte die Daten unten " +p2728 +tp2729 +a(g185 +V\u000a +tp2730 +a(g222 +V"und\u005cn" +p2731 +tp2732 +a(g185 +V\u000a +tp2733 +a(g222 +V"geben Sie das Passwort GENAUSO WIE ANGEZEIGT in das Passwort-Feld des Wikis " +p2734 +tp2735 +a(g185 +V\u000a +tp2736 +a(g222 +V"ein (benutzen Sie kopieren und einfügen dazu).\u005cn" +p2737 +tp2738 +a(g185 +V\u000a +tp2739 +a(g222 +V"\u005cn" +p2740 +tp2741 +a(g185 +V\u000a +tp2742 +a(g222 +V"Nachdem Sie sich erfolgreich angemeldet haben, setzen Sie bitte Ihr Passwort " +p2743 +tp2744 +a(g185 +V\u000a +tp2745 +a(g222 +V"neu.\u005cn" +p2746 +tp2747 +a(g185 +V\u000a +tp2748 +a(g185 +V\u000a +tp2749 +a(g135 +V#, python-format +p2750 +tp2751 +a(g185 +V\u000a +tp2752 +a(g73 +Vmsgid +p2753 +tp2754 +a(g185 +V +tp2755 +a(g222 +V"[%(sitename)s] Your wiki account data" +p2756 +tp2757 +a(g185 +V\u000a +tp2758 +a(g73 +Vmsgstr +p2759 +tp2760 +a(g185 +V +tp2761 +a(g222 +V"[%(sitename)s] Ihre Wiki-Acount-Daten" +p2762 +tp2763 +a(g185 +V\u000a +tp2764 +a(g185 +V\u000a +tp2765 +a(g73 +Vmsgid +p2766 +tp2767 +a(g185 +V +tp2768 +a(g222 +V"" +p2769 +tp2770 +a(g185 +V\u000a +tp2771 +a(g222 +V"The backed up content of this page is deprecated and will not be included in " +p2772 +tp2773 +a(g185 +V\u000a +tp2774 +a(g222 +V"search results!" +p2775 +tp2776 +a(g185 +V\u000a +tp2777 +a(g73 +Vmsgstr +p2778 +tp2779 +a(g185 +V +tp2780 +a(g222 +V"" +p2781 +tp2782 +a(g185 +V\u000a +tp2783 +a(g222 +V"Der Inhalt der letzten Sicherungskopie ist veraltet und wird von der " +p2784 +tp2785 +a(g185 +V\u000a +tp2786 +a(g222 +V"Volltextsuche ignoriert!" +p2787 +tp2788 +a(g185 +V\u000a +tp2789 +a(g185 +V\u000a +tp2790 +a(g135 +V#, python-format +p2791 +tp2792 +a(g185 +V\u000a +tp2793 +a(g73 +Vmsgid +p2794 +tp2795 +a(g185 +V +tp2796 +a(g222 +V"Revision %(rev)d as of %(date)s" +p2797 +tp2798 +a(g185 +V\u000a +tp2799 +a(g73 +Vmsgstr +p2800 +tp2801 +a(g185 +V +tp2802 +a(g222 +V"Revision %(rev)d vom %(date)s" +p2803 +tp2804 +a(g185 +V\u000a +tp2805 +a(g185 +V\u000a +tp2806 +a(g135 +V#, python-format +p2807 +tp2808 +a(g185 +V\u000a +tp2809 +a(g73 +Vmsgid +p2810 +tp2811 +a(g185 +V +tp2812 +a(g222 +V"Redirected from page \u005c"%(page)s\u005c"" +p2813 +tp2814 +a(g185 +V\u000a +tp2815 +a(g73 +Vmsgstr +p2816 +tp2817 +a(g185 +V +tp2818 +a(g222 +V"Hierher umgeleitet von Seite \u005c"%(page)s\u005c"" +p2819 +tp2820 +a(g185 +V\u000a +tp2821 +a(g185 +V\u000a +tp2822 +a(g135 +V#, python-format +p2823 +tp2824 +a(g185 +V\u000a +tp2825 +a(g73 +Vmsgid +p2826 +tp2827 +a(g185 +V +tp2828 +a(g222 +V"This page redirects to page \u005c"%(page)s\u005c"" +p2829 +tp2830 +a(g185 +V\u000a +tp2831 +a(g73 +Vmsgstr +p2832 +tp2833 +a(g185 +V +tp2834 +a(g222 +V"Diese Seite wird umgeleitet auf \u005c"%(page)s\u005c"" +p2835 +tp2836 +a(g185 +V\u000a +tp2837 +a(g185 +V\u000a +tp2838 +a(g73 +Vmsgid +p2839 +tp2840 +a(g185 +V +tp2841 +a(g222 +V"Create New Page" +p2842 +tp2843 +a(g185 +V\u000a +tp2844 +a(g73 +Vmsgstr +p2845 +tp2846 +a(g185 +V +tp2847 +a(g222 +V"Neue Seite anlegen" +p2848 +tp2849 +a(g185 +V\u000a +tp2850 +a(g185 +V\u000a +tp2851 +a(g73 +Vmsgid +p2852 +tp2853 +a(g185 +V +tp2854 +a(g222 +V"You are not allowed to view this page." +p2855 +tp2856 +a(g185 +V\u000a +tp2857 +a(g73 +Vmsgstr +p2858 +tp2859 +a(g185 +V +tp2860 +a(g222 +V"Sie dürfen diese Seite nicht ansehen." +p2861 +tp2862 +a(g185 +V\u000a +tp2863 +a(g185 +V\u000a +tp2864 +a(g135 +V#, python-format +p2865 +tp2866 +a(g185 +V\u000a +tp2867 +a(g73 +Vmsgid +p2868 +tp2869 +a(g185 +V +tp2870 +a(g222 +V"" +p2871 +tp2872 +a(g185 +V\u000a +tp2873 +a(g222 +V"Results %(bs)s%(hitsFrom)d - %(hitsTo)d%(be)s of %(aboutHits)s %(bs)s%(hits)d" +p2874 +tp2875 +a(g185 +V\u000a +tp2876 +a(g222 +V"%(be)s results out of about %(pages)d pages." +p2877 +tp2878 +a(g185 +V\u000a +tp2879 +a(g73 +Vmsgstr +p2880 +tp2881 +a(g185 +V +tp2882 +a(g222 +V"" +p2883 +tp2884 +a(g185 +V\u000a +tp2885 +a(g222 +V"Ergebnisse %(bs)s%(hitsFrom)d - %(hitsTo)d%(be)s von %(aboutHits)s %(bs)s%" +p2886 +tp2887 +a(g185 +V\u000a +tp2888 +a(g222 +V"(hits)d%(be)s Ergebnisse aus ungefähr %(pages)d Seiten." +p2889 +tp2890 +a(g185 +V\u000a +tp2891 +a(g185 +V\u000a +tp2892 +a(g73 +Vmsgid +p2893 +tp2894 +a(g185 +V +tp2895 +a(g222 +V"seconds" +p2896 +tp2897 +a(g185 +V\u000a +tp2898 +a(g73 +Vmsgstr +p2899 +tp2900 +a(g185 +V +tp2901 +a(g222 +V"Sekunden" +p2902 +tp2903 +a(g185 +V\u000a +tp2904 +a(g185 +V\u000a +tp2905 +a(g73 +Vmsgid +p2906 +tp2907 +a(g185 +V +tp2908 +a(g222 +V"Previous" +p2909 +tp2910 +a(g185 +V\u000a +tp2911 +a(g73 +Vmsgstr +p2912 +tp2913 +a(g185 +V +tp2914 +a(g222 +V"Vorherige" +p2915 +tp2916 +a(g185 +V\u000a +tp2917 +a(g185 +V\u000a +tp2918 +a(g73 +Vmsgid +p2919 +tp2920 +a(g185 +V +tp2921 +a(g222 +V"Next" +p2922 +tp2923 +a(g185 +V\u000a +tp2924 +a(g73 +Vmsgstr +p2925 +tp2926 +a(g185 +V +tp2927 +a(g222 +V"Nächste" +p2928 +tp2929 +a(g185 +V\u000a +tp2930 +a(g185 +V\u000a +tp2931 +a(g73 +Vmsgid +p2932 +tp2933 +a(g185 +V +tp2934 +a(g222 +V"current" +p2935 +tp2936 +a(g185 +V\u000a +tp2937 +a(g73 +Vmsgstr +p2938 +tp2939 +a(g185 +V +tp2940 +a(g222 +V"aktuelle" +p2941 +tp2942 +a(g185 +V\u000a +tp2943 +a(g185 +V\u000a +tp2944 +a(g135 +V#, python-format +p2945 +tp2946 +a(g185 +V\u000a +tp2947 +a(g73 +Vmsgid +p2948 +tp2949 +a(g185 +V +tp2950 +a(g222 +V"last modified: %s" +p2951 +tp2952 +a(g185 +V\u000a +tp2953 +a(g73 +Vmsgstr +p2954 +tp2955 +a(g185 +V +tp2956 +a(g222 +V"zuletzt geändert: %s" +p2957 +tp2958 +a(g185 +V\u000a +tp2959 +a(g185 +V\u000a +tp2960 +a(g73 +Vmsgid +p2961 +tp2962 +a(g185 +V +tp2963 +a(g222 +V"match" +p2964 +tp2965 +a(g185 +V\u000a +tp2966 +a(g73 +Vmsgstr +p2967 +tp2968 +a(g185 +V +tp2969 +a(g222 +V"Treffer" +p2970 +tp2971 +a(g185 +V\u000a +tp2972 +a(g185 +V\u000a +tp2973 +a(g73 +Vmsgid +p2974 +tp2975 +a(g185 +V +tp2976 +a(g222 +V"matches" +p2977 +tp2978 +a(g185 +V\u000a +tp2979 +a(g73 +Vmsgstr +p2980 +tp2981 +a(g185 +V +tp2982 +a(g222 +V"Treffer" +p2983 +tp2984 +a(g185 +V\u000a +tp2985 +a(g185 +V\u000a +tp2986 +a(g73 +Vmsgid +p2987 +tp2988 +a(g185 +V +tp2989 +a(g222 +V"Go To Page" +p2990 +tp2991 +a(g185 +V\u000a +tp2992 +a(g73 +Vmsgstr +p2993 +tp2994 +a(g185 +V +tp2995 +a(g222 +V"Gehe zu Seite" +p2996 +tp2997 +a(g185 +V\u000a +tp2998 +a(g185 +V\u000a +tp2999 +a(g73 +Vmsgid +p3000 +tp3001 +a(g185 +V +tp3002 +a(g222 +V"Include system pages" +p3003 +tp3004 +a(g185 +V\u000a +tp3005 +a(g73 +Vmsgstr +p3006 +tp3007 +a(g185 +V +tp3008 +a(g222 +V"Systemseiten einschließen" +p3009 +tp3010 +a(g185 +V\u000a +tp3011 +a(g185 +V\u000a +tp3012 +a(g73 +Vmsgid +p3013 +tp3014 +a(g185 +V +tp3015 +a(g222 +V"Exclude system pages" +p3016 +tp3017 +a(g185 +V\u000a +tp3018 +a(g73 +Vmsgstr +p3019 +tp3020 +a(g185 +V +tp3021 +a(g222 +V"Systemseiten ausschließen" +p3022 +tp3023 +a(g185 +V\u000a +tp3024 +a(g185 +V\u000a +tp3025 +a(g135 +V#, python-format +p3026 +tp3027 +a(g185 +V\u000a +tp3028 +a(g73 +Vmsgid +p3029 +tp3030 +a(g185 +V +tp3031 +a(g222 +V"Please use a more selective search term instead of {{{\u005c"%s\u005c"}}}" +p3032 +tp3033 +a(g185 +V\u000a +tp3034 +a(g73 +Vmsgstr +p3035 +tp3036 +a(g185 +V +tp3037 +a(g222 +V"" +p3038 +tp3039 +a(g185 +V\u000a +tp3040 +a(g222 +V"Bitte verwenden Sie einen selektiveren Suchbegriff anstatt {{{\u005c"%s\u005c"}}}" +p3041 +tp3042 +a(g185 +V\u000a +tp3043 +a(g185 +V\u000a +tp3044 +a(g135 +V#, python-format +p3045 +tp3046 +a(g185 +V\u000a +tp3047 +a(g73 +Vmsgid +p3048 +tp3049 +a(g185 +V +tp3050 +a(g222 +V"ERROR in regex '%s'" +p3051 +tp3052 +a(g185 +V\u000a +tp3053 +a(g73 +Vmsgstr +p3054 +tp3055 +a(g185 +V +tp3056 +a(g222 +V"FEHLER in regulärem Ausdruck '%s'" +p3057 +tp3058 +a(g185 +V\u000a +tp3059 +a(g185 +V\u000a +tp3060 +a(g135 +V#, python-format +p3061 +tp3062 +a(g185 +V\u000a +tp3063 +a(g73 +Vmsgid +p3064 +tp3065 +a(g185 +V +tp3066 +a(g222 +V"Bad timestamp '%s'" +p3067 +tp3068 +a(g185 +V\u000a +tp3069 +a(g73 +Vmsgstr +p3070 +tp3071 +a(g185 +V +tp3072 +a(g222 +V"Ungültige Zeitangabe '%s'" +p3073 +tp3074 +a(g185 +V\u000a +tp3075 +a(g185 +V\u000a +tp3076 +a(g135 +V#, python-format +p3077 +tp3078 +a(g185 +V\u000a +tp3079 +a(g73 +Vmsgid +p3080 +tp3081 +a(g185 +V +tp3082 +a(g222 +V"Unsupported navigation scheme '%(scheme)s'!" +p3083 +tp3084 +a(g185 +V\u000a +tp3085 +a(g73 +Vmsgstr +p3086 +tp3087 +a(g185 +V +tp3088 +a(g222 +V"Nicht bekanntes Navigationsschema '%(scheme)s'!" +p3089 +tp3090 +a(g185 +V\u000a +tp3091 +a(g185 +V\u000a +tp3092 +a(g73 +Vmsgid +p3093 +tp3094 +a(g185 +V +tp3095 +a(g222 +V"No parent page found!" +p3096 +tp3097 +a(g185 +V\u000a +tp3098 +a(g73 +Vmsgstr +p3099 +tp3100 +a(g185 +V +tp3101 +a(g222 +V"Diese Seite ist keine Unterseite!" +p3102 +tp3103 +a(g185 +V\u000a +tp3104 +a(g185 +V\u000a +tp3105 +a(g73 +Vmsgid +p3106 +tp3107 +a(g185 +V +tp3108 +a(g222 +V"Wiki" +p3109 +tp3110 +a(g185 +V\u000a +tp3111 +a(g73 +Vmsgstr +p3112 +tp3113 +a(g185 +V +tp3114 +a(g222 +V"Wiki" +p3115 +tp3116 +a(g185 +V\u000a +tp3117 +a(g185 +V\u000a +tp3118 +a(g73 +Vmsgid +p3119 +tp3120 +a(g185 +V +tp3121 +a(g222 +V"Edit" +p3122 +tp3123 +a(g185 +V\u000a +tp3124 +a(g73 +Vmsgstr +p3125 +tp3126 +a(g185 +V +tp3127 +a(g222 +V"Editieren" +p3128 +tp3129 +a(g185 +V\u000a +tp3130 +a(g185 +V\u000a +tp3131 +a(g73 +Vmsgid +p3132 +tp3133 +a(g185 +V +tp3134 +a(g222 +V"Slideshow" +p3135 +tp3136 +a(g185 +V\u000a +tp3137 +a(g73 +Vmsgstr +p3138 +tp3139 +a(g185 +V +tp3140 +a(g222 +V"Diaschau" +p3141 +tp3142 +a(g185 +V\u000a +tp3143 +a(g185 +V\u000a +tp3144 +a(g73 +Vmsgid +p3145 +tp3146 +a(g185 +V +tp3147 +a(g222 +V"Start" +p3148 +tp3149 +a(g185 +V\u000a +tp3150 +a(g73 +Vmsgstr +p3151 +tp3152 +a(g185 +V +tp3153 +a(g222 +V"Start" +p3154 +tp3155 +a(g185 +V\u000a +tp3156 +a(g185 +V\u000a +tp3157 +a(g135 +V#, python-format +p3158 +tp3159 +a(g185 +V\u000a +tp3160 +a(g73 +Vmsgid +p3161 +tp3162 +a(g185 +V +tp3163 +a(g222 +V"Slide %(pos)d of %(size)d" +p3164 +tp3165 +a(g185 +V\u000a +tp3166 +a(g73 +Vmsgstr +p3167 +tp3168 +a(g185 +V +tp3169 +a(g222 +V"Seite %(pos)d von %(size)d" +p3170 +tp3171 +a(g185 +V\u000a +tp3172 +a(g185 +V\u000a +tp3173 +a(g73 +Vmsgid +p3174 +tp3175 +a(g185 +V +tp3176 +a(g222 +V"Search Titles" +p3177 +tp3178 +a(g185 +V\u000a +tp3179 +a(g73 +Vmsgstr +p3180 +tp3181 +a(g185 +V +tp3182 +a(g222 +V"Titel durchsuchen" +p3183 +tp3184 +a(g185 +V\u000a +tp3185 +a(g185 +V\u000a +tp3186 +a(g73 +Vmsgid +p3187 +tp3188 +a(g185 +V +tp3189 +a(g222 +V"Display context of search results" +p3190 +tp3191 +a(g185 +V\u000a +tp3192 +a(g73 +Vmsgstr +p3193 +tp3194 +a(g185 +V +tp3195 +a(g222 +V"Umgebung der Treffer anzeigen" +p3196 +tp3197 +a(g185 +V\u000a +tp3198 +a(g185 +V\u000a +tp3199 +a(g73 +Vmsgid +p3200 +tp3201 +a(g185 +V +tp3202 +a(g222 +V"Case-sensitive searching" +p3203 +tp3204 +a(g185 +V\u000a +tp3205 +a(g73 +Vmsgstr +p3206 +tp3207 +a(g185 +V +tp3208 +a(g222 +V"Groß-/Kleinschreibung beachten" +p3209 +tp3210 +a(g185 +V\u000a +tp3211 +a(g185 +V\u000a +tp3212 +a(g73 +Vmsgid +p3213 +tp3214 +a(g185 +V +tp3215 +a(g222 +V"Search Text" +p3216 +tp3217 +a(g185 +V\u000a +tp3218 +a(g73 +Vmsgstr +p3219 +tp3220 +a(g185 +V +tp3221 +a(g222 +V"Text durchsuchen" +p3222 +tp3223 +a(g185 +V\u000a +tp3224 +a(g185 +V\u000a +tp3225 +a(g135 +V#, python-format +p3226 +tp3227 +a(g185 +V\u000a +tp3228 +a(g73 +Vmsgid +p3229 +tp3230 +a(g185 +V +tp3231 +a(g222 +V"Not supported mimetype of file: %s" +p3232 +tp3233 +a(g185 +V\u000a +tp3234 +a(g73 +Vmsgstr +p3235 +tp3236 +a(g185 +V +tp3237 +a(g222 +V"MIME-Typ der Datei wird nicht unterstützt: %s" +p3238 +tp3239 +a(g185 +V\u000a +tp3240 +a(g185 +V\u000a +tp3241 +a(g73 +Vmsgid +p3242 +tp3243 +a(g185 +V +tp3244 +a(g222 +V"Embedded" +p3245 +tp3246 +a(g185 +V\u000a +tp3247 +a(g73 +Vmsgstr +p3248 +tp3249 +a(g185 +V +tp3250 +a(g222 +V"Eingebettet" +p3251 +tp3252 +a(g185 +V\u000a +tp3253 +a(g185 +V\u000a +tp3254 +a(g135 +V#, python-format +p3255 +tp3256 +a(g185 +V\u000a +tp3257 +a(g73 +Vmsgid +p3258 +tp3259 +a(g185 +V +tp3260 +a(g222 +V"Upload new attachment \u005c"%(filename)s\u005c"" +p3261 +tp3262 +a(g185 +V\u000a +tp3263 +a(g73 +Vmsgstr +p3264 +tp3265 +a(g185 +V +tp3266 +a(g222 +V"Neuen Dateianhang \u005c"%(filename)s\u005c" hochladen" +p3267 +tp3268 +a(g185 +V\u000a +tp3269 +a(g185 +V\u000a +tp3270 +a(g135 +V#, python-format +p3271 +tp3272 +a(g185 +V\u000a +tp3273 +a(g73 +Vmsgid +p3274 +tp3275 +a(g185 +V +tp3276 +a(g222 +V"Invalid MonthCalendar calparms \u005c"%s\u005c"!" +p3277 +tp3278 +a(g185 +V\u000a +tp3279 +a(g73 +Vmsgstr +p3280 +tp3281 +a(g185 +V +tp3282 +a(g222 +V"Ungültige MonthCalendaer calparms \u005c"%s\u005c"!" +p3283 +tp3284 +a(g185 +V\u000a +tp3285 +a(g185 +V\u000a +tp3286 +a(g135 +V#, python-format +p3287 +tp3288 +a(g185 +V\u000a +tp3289 +a(g73 +Vmsgid +p3290 +tp3291 +a(g185 +V +tp3292 +a(g222 +V"Invalid MonthCalendar arguments \u005c"%s\u005c"!" +p3293 +tp3294 +a(g185 +V\u000a +tp3295 +a(g73 +Vmsgstr +p3296 +tp3297 +a(g185 +V +tp3298 +a(g222 +V"Ungültige MonthCalendar-Argumente: \u005c"%s\u005c"!" +p3299 +tp3300 +a(g185 +V\u000a +tp3301 +a(g185 +V\u000a +tp3302 +a(g73 +Vmsgid +p3303 +tp3304 +a(g185 +V +tp3305 +a(g222 +V"No orphaned pages in this wiki." +p3306 +tp3307 +a(g185 +V\u000a +tp3308 +a(g73 +Vmsgstr +p3309 +tp3310 +a(g185 +V +tp3311 +a(g222 +V"Es existieren keine verwaisten Seiten in diesem Wiki." +p3312 +tp3313 +a(g185 +V\u000a +tp3314 +a(g185 +V\u000a +tp3315 +a(g73 +Vmsgid +p3316 +tp3317 +a(g185 +V +tp3318 +a(g222 +V"Python Version" +p3319 +tp3320 +a(g185 +V\u000a +tp3321 +a(g73 +Vmsgstr +p3322 +tp3323 +a(g185 +V +tp3324 +a(g222 +V"Python Version" +p3325 +tp3326 +a(g185 +V\u000a +tp3327 +a(g185 +V\u000a +tp3328 +a(g73 +Vmsgid +p3329 +tp3330 +a(g185 +V +tp3331 +a(g222 +V"MoinMoin Version" +p3332 +tp3333 +a(g185 +V\u000a +tp3334 +a(g73 +Vmsgstr +p3335 +tp3336 +a(g185 +V +tp3337 +a(g222 +V"MoinMoin Version" +p3338 +tp3339 +a(g185 +V\u000a +tp3340 +a(g185 +V\u000a +tp3341 +a(g135 +V#, python-format +p3342 +tp3343 +a(g185 +V\u000a +tp3344 +a(g73 +Vmsgid +p3345 +tp3346 +a(g185 +V +tp3347 +a(g222 +V"Release %s [Revision %s]" +p3348 +tp3349 +a(g185 +V\u000a +tp3350 +a(g73 +Vmsgstr +p3351 +tp3352 +a(g185 +V +tp3353 +a(g222 +V"Version %s [Revision %s]" +p3354 +tp3355 +a(g185 +V\u000a +tp3356 +a(g185 +V\u000a +tp3357 +a(g73 +Vmsgid +p3358 +tp3359 +a(g185 +V +tp3360 +a(g222 +V"4Suite Version" +p3361 +tp3362 +a(g185 +V\u000a +tp3363 +a(g73 +Vmsgstr +p3364 +tp3365 +a(g185 +V +tp3366 +a(g222 +V"4Suite Version" +p3367 +tp3368 +a(g185 +V\u000a +tp3369 +a(g185 +V\u000a +tp3370 +a(g73 +Vmsgid +p3371 +tp3372 +a(g185 +V +tp3373 +a(g222 +V"Number of pages" +p3374 +tp3375 +a(g185 +V\u000a +tp3376 +a(g73 +Vmsgstr +p3377 +tp3378 +a(g185 +V +tp3379 +a(g222 +V"Seitenanzahl" +p3380 +tp3381 +a(g185 +V\u000a +tp3382 +a(g185 +V\u000a +tp3383 +a(g73 +Vmsgid +p3384 +tp3385 +a(g185 +V +tp3386 +a(g222 +V"Number of system pages" +p3387 +tp3388 +a(g185 +V\u000a +tp3389 +a(g73 +Vmsgstr +p3390 +tp3391 +a(g185 +V +tp3392 +a(g222 +V"Anzahl der Systemseiten" +p3393 +tp3394 +a(g185 +V\u000a +tp3395 +a(g185 +V\u000a +tp3396 +a(g73 +Vmsgid +p3397 +tp3398 +a(g185 +V +tp3399 +a(g222 +V"Accumulated page sizes" +p3400 +tp3401 +a(g185 +V\u000a +tp3402 +a(g73 +Vmsgstr +p3403 +tp3404 +a(g185 +V +tp3405 +a(g222 +V"Kumulierte Seitengrößen" +p3406 +tp3407 +a(g185 +V\u000a +tp3408 +a(g185 +V\u000a +tp3409 +a(g135 +V#, python-format +p3410 +tp3411 +a(g185 +V\u000a +tp3412 +a(g73 +Vmsgid +p3413 +tp3414 +a(g185 +V +tp3415 +a(g222 +V"Disk usage of %(data_dir)s/pages/" +p3416 +tp3417 +a(g185 +V\u000a +tp3418 +a(g73 +Vmsgstr +p3419 +tp3420 +a(g185 +V +tp3421 +a(g222 +V"Plattenbelegung von %(data_dir)s/pages/" +p3422 +tp3423 +a(g185 +V\u000a +tp3424 +a(g185 +V\u000a +tp3425 +a(g135 +V#, python-format +p3426 +tp3427 +a(g185 +V\u000a +tp3428 +a(g73 +Vmsgid +p3429 +tp3430 +a(g185 +V +tp3431 +a(g222 +V"Disk usage of %(data_dir)s/" +p3432 +tp3433 +a(g185 +V\u000a +tp3434 +a(g73 +Vmsgstr +p3435 +tp3436 +a(g185 +V +tp3437 +a(g222 +V"Plattenbelegung von %(data_dir)s/" +p3438 +tp3439 +a(g185 +V\u000a +tp3440 +a(g185 +V\u000a +tp3441 +a(g73 +Vmsgid +p3442 +tp3443 +a(g185 +V +tp3444 +a(g222 +V"Entries in edit log" +p3445 +tp3446 +a(g185 +V\u000a +tp3447 +a(g73 +Vmsgstr +p3448 +tp3449 +a(g185 +V +tp3450 +a(g222 +V"Einträge in der Änderungshistorie" +p3451 +tp3452 +a(g185 +V\u000a +tp3453 +a(g185 +V\u000a +tp3454 +a(g73 +Vmsgid +p3455 +tp3456 +a(g185 +V +tp3457 +a(g222 +V"NONE" +p3458 +tp3459 +a(g185 +V\u000a +tp3460 +a(g73 +Vmsgstr +p3461 +tp3462 +a(g185 +V +tp3463 +a(g222 +V"KEINE" +p3464 +tp3465 +a(g185 +V\u000a +tp3466 +a(g185 +V\u000a +tp3467 +a(g73 +Vmsgid +p3468 +tp3469 +a(g185 +V +tp3470 +a(g222 +V"Global extension macros" +p3471 +tp3472 +a(g185 +V\u000a +tp3473 +a(g73 +Vmsgstr +p3474 +tp3475 +a(g185 +V +tp3476 +a(g222 +V"Globale Erweiterungsmakros" +p3477 +tp3478 +a(g185 +V\u000a +tp3479 +a(g185 +V\u000a +tp3480 +a(g73 +Vmsgid +p3481 +tp3482 +a(g185 +V +tp3483 +a(g222 +V"Local extension macros" +p3484 +tp3485 +a(g185 +V\u000a +tp3486 +a(g73 +Vmsgstr +p3487 +tp3488 +a(g185 +V +tp3489 +a(g222 +V"Lokale Erweiterungsmakros" +p3490 +tp3491 +a(g185 +V\u000a +tp3492 +a(g185 +V\u000a +tp3493 +a(g73 +Vmsgid +p3494 +tp3495 +a(g185 +V +tp3496 +a(g222 +V"Global extension actions" +p3497 +tp3498 +a(g185 +V\u000a +tp3499 +a(g73 +Vmsgstr +p3500 +tp3501 +a(g185 +V +tp3502 +a(g222 +V"Globale Erweiterungsaktionen" +p3503 +tp3504 +a(g185 +V\u000a +tp3505 +a(g185 +V\u000a +tp3506 +a(g73 +Vmsgid +p3507 +tp3508 +a(g185 +V +tp3509 +a(g222 +V"Local extension actions" +p3510 +tp3511 +a(g185 +V\u000a +tp3512 +a(g73 +Vmsgstr +p3513 +tp3514 +a(g185 +V +tp3515 +a(g222 +V"Lokale Erweiterungsaktionen" +p3516 +tp3517 +a(g185 +V\u000a +tp3518 +a(g185 +V\u000a +tp3519 +a(g73 +Vmsgid +p3520 +tp3521 +a(g185 +V +tp3522 +a(g222 +V"Global parsers" +p3523 +tp3524 +a(g185 +V\u000a +tp3525 +a(g73 +Vmsgstr +p3526 +tp3527 +a(g185 +V +tp3528 +a(g222 +V"Globale Parser" +p3529 +tp3530 +a(g185 +V\u000a +tp3531 +a(g185 +V\u000a +tp3532 +a(g73 +Vmsgid +p3533 +tp3534 +a(g185 +V +tp3535 +a(g222 +V"Local extension parsers" +p3536 +tp3537 +a(g185 +V\u000a +tp3538 +a(g73 +Vmsgstr +p3539 +tp3540 +a(g185 +V +tp3541 +a(g222 +V"Lokale Erweiterungsparser" +p3542 +tp3543 +a(g185 +V\u000a +tp3544 +a(g185 +V\u000a +tp3545 +a(g73 +Vmsgid +p3546 +tp3547 +a(g185 +V +tp3548 +a(g222 +V"Disabled" +p3549 +tp3550 +a(g185 +V\u000a +tp3551 +a(g73 +Vmsgstr +p3552 +tp3553 +a(g185 +V +tp3554 +a(g222 +V"Deaktiviert" +p3555 +tp3556 +a(g185 +V\u000a +tp3557 +a(g185 +V\u000a +tp3558 +a(g73 +Vmsgid +p3559 +tp3560 +a(g185 +V +tp3561 +a(g222 +V"Enabled" +p3562 +tp3563 +a(g185 +V\u000a +tp3564 +a(g73 +Vmsgstr +p3565 +tp3566 +a(g185 +V +tp3567 +a(g222 +V"Aktiviert" +p3568 +tp3569 +a(g185 +V\u000a +tp3570 +a(g185 +V\u000a +tp3571 +a(g73 +Vmsgid +p3572 +tp3573 +a(g185 +V +tp3574 +a(g222 +V"index available" +p3575 +tp3576 +a(g185 +V\u000a +tp3577 +a(g73 +Vmsgstr +p3578 +tp3579 +a(g185 +V +tp3580 +a(g222 +V"Index verfügbar" +p3581 +tp3582 +a(g185 +V\u000a +tp3583 +a(g185 +V\u000a +tp3584 +a(g73 +Vmsgid +p3585 +tp3586 +a(g185 +V +tp3587 +a(g222 +V"index unavailable" +p3588 +tp3589 +a(g185 +V\u000a +tp3590 +a(g73 +Vmsgstr +p3591 +tp3592 +a(g185 +V +tp3593 +a(g222 +V"Index nicht verfügbar" +p3594 +tp3595 +a(g185 +V\u000a +tp3596 +a(g185 +V\u000a +tp3597 +a(g73 +Vmsgid +p3598 +tp3599 +a(g185 +V +tp3600 +a(g222 +V"N/A" +p3601 +tp3602 +a(g185 +V\u000a +tp3603 +a(g73 +Vmsgstr +p3604 +tp3605 +a(g185 +V +tp3606 +a(g222 +V"k.A." +p3607 +tp3608 +a(g185 +V\u000a +tp3609 +a(g185 +V\u000a +tp3610 +a(g73 +Vmsgid +p3611 +tp3612 +a(g185 +V +tp3613 +a(g222 +V"Xapian and/or Python Xapian bindings not installed" +p3614 +tp3615 +a(g185 +V\u000a +tp3616 +a(g73 +Vmsgstr +p3617 +tp3618 +a(g185 +V +tp3619 +a(g222 +V"Xapian und/oder Python-Xapian-Bindings nicht installiert" +p3620 +tp3621 +a(g185 +V\u000a +tp3622 +a(g185 +V\u000a +tp3623 +a(g73 +Vmsgid +p3624 +tp3625 +a(g185 +V +tp3626 +a(g222 +V"Xapian search" +p3627 +tp3628 +a(g185 +V\u000a +tp3629 +a(g73 +Vmsgstr +p3630 +tp3631 +a(g185 +V +tp3632 +a(g222 +V"Xapian-Suche" +p3633 +tp3634 +a(g185 +V\u000a +tp3635 +a(g185 +V\u000a +tp3636 +a(g73 +Vmsgid +p3637 +tp3638 +a(g185 +V +tp3639 +a(g222 +V"Xapian Version" +p3640 +tp3641 +a(g185 +V\u000a +tp3642 +a(g73 +Vmsgstr +p3643 +tp3644 +a(g185 +V +tp3645 +a(g222 +V"Xapian-Version" +p3646 +tp3647 +a(g185 +V\u000a +tp3648 +a(g185 +V\u000a +tp3649 +a(g73 +Vmsgid +p3650 +tp3651 +a(g185 +V +tp3652 +a(g222 +V"Xapian stemming" +p3653 +tp3654 +a(g185 +V\u000a +tp3655 +a(g73 +Vmsgstr +p3656 +tp3657 +a(g185 +V +tp3658 +a(g222 +V"Xapian-Wortstamm-Bildung" +p3659 +tp3660 +a(g185 +V\u000a +tp3661 +a(g185 +V\u000a +tp3662 +a(g73 +Vmsgid +p3663 +tp3664 +a(g185 +V +tp3665 +a(g222 +V"Active threads" +p3666 +tp3667 +a(g185 +V\u000a +tp3668 +a(g73 +Vmsgstr +p3669 +tp3670 +a(g185 +V +tp3671 +a(g222 +V"Aktive Threads" +p3672 +tp3673 +a(g185 +V\u000a +tp3674 +a(g185 +V\u000a +tp3675 +a(g135 +V#, python-format +p3676 +tp3677 +a(g185 +V\u000a +tp3678 +a(g73 +Vmsgid +p3679 +tp3680 +a(g185 +V +tp3681 +a(g222 +V"No quotes on %(pagename)s." +p3682 +tp3683 +a(g185 +V\u000a +tp3684 +a(g73 +Vmsgstr +p3685 +tp3686 +a(g185 +V +tp3687 +a(g222 +V"Keine Zitate auf Seite %(pagename)s gefunden." +p3688 +tp3689 +a(g185 +V\u000a +tp3690 +a(g185 +V\u000a +tp3691 +a(g135 +V#, python-format +p3692 +tp3693 +a(g185 +V\u000a +tp3694 +a(g73 +Vmsgid +p3695 +tp3696 +a(g185 +V +tp3697 +a(g222 +V"Upload of attachment '%(filename)s'." +p3698 +tp3699 +a(g185 +V\u000a +tp3700 +a(g73 +Vmsgstr +p3701 +tp3702 +a(g185 +V +tp3703 +a(g222 +V"Dateianhang '%(filename)s' wurde angelegt." +p3704 +tp3705 +a(g185 +V\u000a +tp3706 +a(g185 +V\u000a +tp3707 +a(g135 +V#, python-format +p3708 +tp3709 +a(g185 +V\u000a +tp3710 +a(g73 +Vmsgid +p3711 +tp3712 +a(g185 +V +tp3713 +a(g222 +V"Attachment '%(filename)s' deleted." +p3714 +tp3715 +a(g185 +V\u000a +tp3716 +a(g73 +Vmsgstr +p3717 +tp3718 +a(g185 +V +tp3719 +a(g222 +V"Dateianhang '%(filename)s' wurde gelöscht." +p3720 +tp3721 +a(g185 +V\u000a +tp3722 +a(g185 +V\u000a +tp3723 +a(g135 +V#, python-format +p3724 +tp3725 +a(g185 +V\u000a +tp3726 +a(g73 +Vmsgid +p3727 +tp3728 +a(g185 +V +tp3729 +a(g222 +V"Drawing '%(filename)s' saved." +p3730 +tp3731 +a(g185 +V\u000a +tp3732 +a(g73 +Vmsgstr +p3733 +tp3734 +a(g185 +V +tp3735 +a(g222 +V"Zeichnung '%(filename)s' wurde gesichert." +p3736 +tp3737 +a(g185 +V\u000a +tp3738 +a(g185 +V\u000a +tp3739 +a(g135 +V#, python-format +p3740 +tp3741 +a(g185 +V\u000a +tp3742 +a(g73 +Vmsgid +p3743 +tp3744 +a(g185 +V +tp3745 +a(g222 +V"Revert to revision %(rev)d." +p3746 +tp3747 +a(g185 +V\u000a +tp3748 +a(g73 +Vmsgstr +p3749 +tp3750 +a(g185 +V +tp3751 +a(g222 +V"Revision %(rev)d restauriert." +p3752 +tp3753 +a(g185 +V\u000a +tp3754 +a(g185 +V\u000a +tp3755 +a(g135 +V#, python-format +p3756 +tp3757 +a(g185 +V\u000a +tp3758 +a(g73 +Vmsgid +p3759 +tp3760 +a(g185 +V +tp3761 +a(g222 +V"Renamed from '%(oldpagename)s'." +p3762 +tp3763 +a(g185 +V\u000a +tp3764 +a(g73 +Vmsgstr +p3765 +tp3766 +a(g185 +V +tp3767 +a(g222 +V"Umbenannt von '%(oldpagename)s'." +p3768 +tp3769 +a(g185 +V\u000a +tp3770 +a(g185 +V\u000a +tp3771 +a(g135 +V#, python-format +p3772 +tp3773 +a(g185 +V\u000a +tp3774 +a(g73 +Vmsgid +p3775 +tp3776 +a(g185 +V +tp3777 +a(g222 +V"%(mins)dm ago" +p3778 +tp3779 +a(g185 +V\u000a +tp3780 +a(g73 +Vmsgstr +p3781 +tp3782 +a(g185 +V +tp3783 +a(g222 +V"vor %(mins)dm" +p3784 +tp3785 +a(g185 +V\u000a +tp3786 +a(g185 +V\u000a +tp3787 +a(g73 +Vmsgid +p3788 +tp3789 +a(g185 +V +tp3790 +a(g222 +V"(no bookmark set)" +p3791 +tp3792 +a(g185 +V\u000a +tp3793 +a(g73 +Vmsgstr +p3794 +tp3795 +a(g185 +V +tp3796 +a(g222 +V"(kein Lesezeichen gesetzt)" +p3797 +tp3798 +a(g185 +V\u000a +tp3799 +a(g185 +V\u000a +tp3800 +a(g135 +V#, python-format +p3801 +tp3802 +a(g185 +V\u000a +tp3803 +a(g73 +Vmsgid +p3804 +tp3805 +a(g185 +V +tp3806 +a(g222 +V"(currently set to %s)" +p3807 +tp3808 +a(g185 +V\u000a +tp3809 +a(g73 +Vmsgstr +p3810 +tp3811 +a(g185 +V +tp3812 +a(g222 +V"(derzeit %s)" +p3813 +tp3814 +a(g185 +V\u000a +tp3815 +a(g185 +V\u000a +tp3816 +a(g73 +Vmsgid +p3817 +tp3818 +a(g185 +V +tp3819 +a(g222 +V"Delete bookmark" +p3820 +tp3821 +a(g185 +V\u000a +tp3822 +a(g73 +Vmsgstr +p3823 +tp3824 +a(g185 +V +tp3825 +a(g222 +V"Lesezeichen löschen" +p3826 +tp3827 +a(g185 +V\u000a +tp3828 +a(g185 +V\u000a +tp3829 +a(g73 +Vmsgid +p3830 +tp3831 +a(g185 +V +tp3832 +a(g222 +V"Set bookmark" +p3833 +tp3834 +a(g185 +V\u000a +tp3835 +a(g73 +Vmsgstr +p3836 +tp3837 +a(g185 +V +tp3838 +a(g222 +V"Lesezeichen setzen" +p3839 +tp3840 +a(g185 +V\u000a +tp3841 +a(g185 +V\u000a +tp3842 +a(g73 +Vmsgid +p3843 +tp3844 +a(g185 +V +tp3845 +a(g222 +V"[Bookmark reached]" +p3846 +tp3847 +a(g185 +V\u000a +tp3848 +a(g73 +Vmsgstr +p3849 +tp3850 +a(g185 +V +tp3851 +a(g222 +V"[Lesezeichen erreicht]" +p3852 +tp3853 +a(g185 +V\u000a +tp3854 +a(g185 +V\u000a +tp3855 +a(g135 +V#, python-format +p3856 +tp3857 +a(g185 +V\u000a +tp3858 +a(g73 +Vmsgid +p3859 +tp3860 +a(g185 +V +tp3861 +a(g222 +V"Invalid include arguments \u005c"%s\u005c"!" +p3862 +tp3863 +a(g185 +V\u000a +tp3864 +a(g73 +Vmsgstr +p3865 +tp3866 +a(g185 +V +tp3867 +a(g222 +V"Ungültige \u005c"Include\u005c"-Argumente: \u005c"%s\u005c"!" +p3868 +tp3869 +a(g185 +V\u000a +tp3870 +a(g185 +V\u000a +tp3871 +a(g135 +V#, python-format +p3872 +tp3873 +a(g185 +V\u000a +tp3874 +a(g73 +Vmsgid +p3875 +tp3876 +a(g185 +V +tp3877 +a(g222 +V"Nothing found for \u005c"%s\u005c"!" +p3878 +tp3879 +a(g185 +V\u000a +tp3880 +a(g73 +Vmsgstr +p3881 +tp3882 +a(g185 +V +tp3883 +a(g222 +V"Textmarkierung \u005c"%s\u005c" nicht gefunden!" +p3884 +tp3885 +a(g185 +V\u000a +tp3886 +a(g185 +V\u000a +tp3887 +a(g73 +Vmsgid +p3888 +tp3889 +a(g185 +V +tp3890 +a(g222 +V"edit" +p3891 +tp3892 +a(g185 +V\u000a +tp3893 +a(g73 +Vmsgstr +p3894 +tp3895 +a(g185 +V +tp3896 +a(g222 +V"ändern" +p3897 +tp3898 +a(g185 +V\u000a +tp3899 +a(g185 +V\u000a +tp3900 +a(g73 +Vmsgid +p3901 +tp3902 +a(g185 +V +tp3903 +a(g222 +V"Contents" +p3904 +tp3905 +a(g185 +V\u000a +tp3906 +a(g73 +Vmsgstr +p3907 +tp3908 +a(g185 +V +tp3909 +a(g222 +V"Inhaltsverzeichnis" +p3910 +tp3911 +a(g185 +V\u000a +tp3912 +a(g185 +V\u000a +tp3913 +a(g73 +Vmsgid +p3914 +tp3915 +a(g185 +V +tp3916 +a(g222 +V"You need to provide a chart type!" +p3917 +tp3918 +a(g185 +V\u000a +tp3919 +a(g73 +Vmsgstr +p3920 +tp3921 +a(g185 +V +tp3922 +a(g222 +V"Es muss ein Diagrammtyp angegeben werden!" +p3923 +tp3924 +a(g185 +V\u000a +tp3925 +a(g185 +V\u000a +tp3926 +a(g135 +V#, python-format +p3927 +tp3928 +a(g185 +V\u000a +tp3929 +a(g73 +Vmsgid +p3930 +tp3931 +a(g185 +V +tp3932 +a(g222 +V"Bad chart type \u005c"%s\u005c"!" +p3933 +tp3934 +a(g185 +V\u000a +tp3935 +a(g73 +Vmsgstr +p3936 +tp3937 +a(g185 +V +tp3938 +a(g222 +V"Unbekannter Diagrammtyp \u005c"%s\u005c"!" +p3939 +tp3940 +a(g185 +V\u000a +tp3941 +a(g185 +V\u000a +tp3942 +a(g73 +Vmsgid +p3943 +tp3944 +a(g185 +V +tp3945 +a(g222 +V"Search for items" +p3946 +tp3947 +a(g185 +V\u000a +tp3948 +a(g73 +Vmsgstr +p3949 +tp3950 +a(g185 +V +tp3951 +a(g222 +V"Nach Items suchen" +p3952 +tp3953 +a(g185 +V\u000a +tp3954 +a(g185 +V\u000a +tp3955 +a(g73 +Vmsgid +p3956 +tp3957 +a(g185 +V +tp3958 +a(g222 +V"containing all the following terms" +p3959 +tp3960 +a(g185 +V\u000a +tp3961 +a(g73 +Vmsgstr +p3962 +tp3963 +a(g185 +V +tp3964 +a(g222 +V"die alle folgenden Ausdrücke enthalten" +p3965 +tp3966 +a(g185 +V\u000a +tp3967 +a(g185 +V\u000a +tp3968 +a(g73 +Vmsgid +p3969 +tp3970 +a(g185 +V +tp3971 +a(g222 +V"containing one or more of the following terms" +p3972 +tp3973 +a(g185 +V\u000a +tp3974 +a(g73 +Vmsgstr +p3975 +tp3976 +a(g185 +V +tp3977 +a(g222 +V"die einen oder mehrere der folgenden Ausdrücke enthalten" +p3978 +tp3979 +a(g185 +V\u000a +tp3980 +a(g185 +V\u000a +tp3981 +a(g73 +Vmsgid +p3982 +tp3983 +a(g185 +V +tp3984 +a(g222 +V"not containing the following terms" +p3985 +tp3986 +a(g185 +V\u000a +tp3987 +a(g73 +Vmsgstr +p3988 +tp3989 +a(g185 +V +tp3990 +a(g222 +V"die folgende Ausdrücke nicht enthalten" +p3991 +tp3992 +a(g185 +V\u000a +tp3993 +a(g185 +V\u000a +tp3994 +a(g73 +Vmsgid +p3995 +tp3996 +a(g185 +V +tp3997 +a(g222 +V"belonging to one of the following categories" +p3998 +tp3999 +a(g185 +V\u000a +tp4000 +a(g73 +Vmsgstr +p4001 +tp4002 +a(g185 +V +tp4003 +a(g222 +V"die einer der folgenden Kategorien angehören" +p4004 +tp4005 +a(g185 +V\u000a +tp4006 +a(g185 +V\u000a +tp4007 +a(g73 +Vmsgid +p4008 +tp4009 +a(g185 +V +tp4010 +a(g222 +V"last modified since (e.g. last 2 weeks)" +p4011 +tp4012 +a(g185 +V\u000a +tp4013 +a(g73 +Vmsgstr +p4014 +tp4015 +a(g185 +V +tp4016 +a(g222 +V"die zuletzt geändert wurden seit (z.B. 'last 2 weeks')" +p4017 +tp4018 +a(g185 +V\u000a +tp4019 +a(g185 +V\u000a +tp4020 +a(g73 +Vmsgid +p4021 +tp4022 +a(g185 +V +tp4023 +a(g222 +V"any language" +p4024 +tp4025 +a(g185 +V\u000a +tp4026 +a(g73 +Vmsgstr +p4027 +tp4028 +a(g185 +V +tp4029 +a(g222 +V"jede Sprache" +p4030 +tp4031 +a(g185 +V\u000a +tp4032 +a(g185 +V\u000a +tp4033 +a(g73 +Vmsgid +p4034 +tp4035 +a(g185 +V +tp4036 +a(g222 +V"any mimetype" +p4037 +tp4038 +a(g185 +V\u000a +tp4039 +a(g73 +Vmsgstr +p4040 +tp4041 +a(g185 +V +tp4042 +a(g222 +V"jeder MIME-Typ" +p4043 +tp4044 +a(g185 +V\u000a +tp4045 +a(g185 +V\u000a +tp4046 +a(g73 +Vmsgid +p4047 +tp4048 +a(g185 +V +tp4049 +a(g222 +V"Language" +p4050 +tp4051 +a(g185 +V\u000a +tp4052 +a(g73 +Vmsgstr +p4053 +tp4054 +a(g185 +V +tp4055 +a(g222 +V"Sprache" +p4056 +tp4057 +a(g185 +V\u000a +tp4058 +a(g185 +V\u000a +tp4059 +a(g73 +Vmsgid +p4060 +tp4061 +a(g185 +V +tp4062 +a(g222 +V"File Type" +p4063 +tp4064 +a(g185 +V\u000a +tp4065 +a(g73 +Vmsgstr +p4066 +tp4067 +a(g185 +V +tp4068 +a(g222 +V"Dateityp" +p4069 +tp4070 +a(g185 +V\u000a +tp4071 +a(g185 +V\u000a +tp4072 +a(g73 +Vmsgid +p4073 +tp4074 +a(g185 +V +tp4075 +a(g222 +V"Search only in titles" +p4076 +tp4077 +a(g185 +V\u000a +tp4078 +a(g73 +Vmsgstr +p4079 +tp4080 +a(g185 +V +tp4081 +a(g222 +V"Nur Titel durchsuchen" +p4082 +tp4083 +a(g185 +V\u000a +tp4084 +a(g185 +V\u000a +tp4085 +a(g73 +Vmsgid +p4086 +tp4087 +a(g185 +V +tp4088 +a(g222 +V"Case-sensitive search" +p4089 +tp4090 +a(g185 +V\u000a +tp4091 +a(g73 +Vmsgstr +p4092 +tp4093 +a(g185 +V +tp4094 +a(g222 +V"Groß-/Kleinschreibung bei der Suche beachten" +p4095 +tp4096 +a(g185 +V\u000a +tp4097 +a(g185 +V\u000a +tp4098 +a(g73 +Vmsgid +p4099 +tp4100 +a(g185 +V +tp4101 +a(g222 +V"Exclude underlay" +p4102 +tp4103 +a(g185 +V\u000a +tp4104 +a(g73 +Vmsgstr +p4105 +tp4106 +a(g185 +V +tp4107 +a(g222 +V"Underlay ausschließen" +p4108 +tp4109 +a(g185 +V\u000a +tp4110 +a(g185 +V\u000a +tp4111 +a(g73 +Vmsgid +p4112 +tp4113 +a(g185 +V +tp4114 +a(g222 +V"No system items" +p4115 +tp4116 +a(g185 +V\u000a +tp4117 +a(g73 +Vmsgstr +p4118 +tp4119 +a(g185 +V +tp4120 +a(g222 +V"Keine System-Items" +p4121 +tp4122 +a(g185 +V\u000a +tp4123 +a(g185 +V\u000a +tp4124 +a(g73 +Vmsgid +p4125 +tp4126 +a(g185 +V +tp4127 +a(g222 +V"Search in all page revisions" +p4128 +tp4129 +a(g185 +V\u000a +tp4130 +a(g73 +Vmsgstr +p4131 +tp4132 +a(g185 +V +tp4133 +a(g222 +V"In allen Seitenrevisionen suchen" +p4134 +tp4135 +a(g185 +V\u000a +tp4136 +a(g185 +V\u000a +tp4137 +a(g73 +Vmsgid +p4138 +tp4139 +a(g185 +V +tp4140 +a(g222 +V"Go get it!" +p4141 +tp4142 +a(g185 +V\u000a +tp4143 +a(g73 +Vmsgstr +p4144 +tp4145 +a(g185 +V +tp4146 +a(g222 +V"Los geht's" +p4147 +tp4148 +a(g185 +V\u000a +tp4149 +a(g185 +V\u000a +tp4150 +a(g135 +V#, python-format +p4151 +tp4152 +a(g185 +V\u000a +tp4153 +a(g73 +Vmsgid +p4154 +tp4155 +a(g185 +V +tp4156 +a(g222 +V"Check your argument %s" +p4157 +tp4158 +a(g185 +V\u000a +tp4159 +a(g73 +Vmsgstr +p4160 +tp4161 +a(g185 +V +tp4162 +a(g222 +V"Überprüfen Sie das Argument %s" +p4163 +tp4164 +a(g185 +V\u000a +tp4165 +a(g185 +V\u000a +tp4166 +a(g73 +Vmsgid +p4167 +tp4168 +a(g185 +V +tp4169 +a(g222 +V"Markup" +p4170 +tp4171 +a(g185 +V\u000a +tp4172 +a(g73 +Vmsgstr +p4173 +tp4174 +a(g185 +V +tp4175 +a(g222 +V"Notation" +p4176 +tp4177 +a(g185 +V\u000a +tp4178 +a(g185 +V\u000a +tp4179 +a(g73 +Vmsgid +p4180 +tp4181 +a(g185 +V +tp4182 +a(g222 +V"Display" +p4183 +tp4184 +a(g185 +V\u000a +tp4185 +a(g73 +Vmsgstr +p4186 +tp4187 +a(g185 +V +tp4188 +a(g222 +V"Anzeige" +p4189 +tp4190 +a(g185 +V\u000a +tp4191 +a(g185 +V\u000a +tp4192 +a(g73 +Vmsgid +p4193 +tp4194 +a(g185 +V +tp4195 +a(g222 +V"No wanted pages in this wiki." +p4196 +tp4197 +a(g185 +V\u000a +tp4198 +a(g73 +Vmsgstr +p4199 +tp4200 +a(g185 +V +tp4201 +a(g222 +V"Es existieren keine gewünschten Seiten in diesem Wiki." +p4202 +tp4203 +a(g185 +V\u000a +tp4204 +a(g185 +V\u000a +tp4205 +a(g135 +V#, python-format +p4206 +tp4207 +a(g185 +V\u000a +tp4208 +a(g73 +Vmsgid +p4209 +tp4210 +a(g185 +V +tp4211 +a(g222 +V"Connection to mailserver '%(server)s' failed: %(reason)s" +p4212 +tp4213 +a(g185 +V\u000a +tp4214 +a(g73 +Vmsgstr +p4215 +tp4216 +a(g185 +V +tp4217 +a(g222 +V"Verbindung zum Mailserver '%(server)s' gestört: %(reason)s" +p4218 +tp4219 +a(g185 +V\u000a +tp4220 +a(g185 +V\u000a +tp4221 +a(g73 +Vmsgid +p4222 +tp4223 +a(g185 +V +tp4224 +a(g222 +V"Mail not sent" +p4225 +tp4226 +a(g185 +V\u000a +tp4227 +a(g73 +Vmsgstr +p4228 +tp4229 +a(g185 +V +tp4230 +a(g222 +V"E-Mail wurde nicht versandt" +p4231 +tp4232 +a(g185 +V\u000a +tp4233 +a(g185 +V\u000a +tp4234 +a(g73 +Vmsgid +p4235 +tp4236 +a(g185 +V +tp4237 +a(g222 +V"Mail sent OK" +p4238 +tp4239 +a(g185 +V\u000a +tp4240 +a(g73 +Vmsgstr +p4241 +tp4242 +a(g185 +V +tp4243 +a(g222 +V"E-Mail wurde erfolgreich versandt" +p4244 +tp4245 +a(g185 +V\u000a +tp4246 +a(g185 +V\u000a +tp4247 +a(g73 +Vmsgid +p4248 +tp4249 +a(g185 +V +tp4250 +a(g222 +V"Date" +p4251 +tp4252 +a(g185 +V\u000a +tp4253 +a(g73 +Vmsgstr +p4254 +tp4255 +a(g185 +V +tp4256 +a(g222 +V"Datum" +p4257 +tp4258 +a(g185 +V\u000a +tp4259 +a(g185 +V\u000a +tp4260 +a(g73 +Vmsgid +p4261 +tp4262 +a(g185 +V +tp4263 +a(g222 +V"From" +p4264 +tp4265 +a(g185 +V\u000a +tp4266 +a(g73 +Vmsgstr +p4267 +tp4268 +a(g185 +V +tp4269 +a(g222 +V"Von" +p4270 +tp4271 +a(g185 +V\u000a +tp4272 +a(g185 +V\u000a +tp4273 +a(g73 +Vmsgid +p4274 +tp4275 +a(g185 +V +tp4276 +a(g222 +V"To" +p4277 +tp4278 +a(g185 +V\u000a +tp4279 +a(g73 +Vmsgstr +p4280 +tp4281 +a(g185 +V +tp4282 +a(g222 +V"An" +p4283 +tp4284 +a(g185 +V\u000a +tp4285 +a(g185 +V\u000a +tp4286 +a(g73 +Vmsgid +p4287 +tp4288 +a(g185 +V +tp4289 +a(g222 +V"Content" +p4290 +tp4291 +a(g185 +V\u000a +tp4292 +a(g73 +Vmsgstr +p4293 +tp4294 +a(g185 +V +tp4295 +a(g222 +V"Inhalt" +p4296 +tp4297 +a(g185 +V\u000a +tp4298 +a(g185 +V\u000a +tp4299 +a(g73 +Vmsgid +p4300 +tp4301 +a(g185 +V +tp4302 +a(g222 +V"Attachments" +p4303 +tp4304 +a(g185 +V\u000a +tp4305 +a(g73 +Vmsgstr +p4306 +tp4307 +a(g185 +V +tp4308 +a(g222 +V"Dateianhänge" +p4309 +tp4310 +a(g185 +V\u000a +tp4311 +a(g185 +V\u000a +tp4312 +a(g73 +Vmsgid +p4313 +tp4314 +a(g185 +V +tp4315 +a(g222 +V"XSLT option disabled, please look at HelpOnConfiguration." +p4316 +tp4317 +a(g185 +V\u000a +tp4318 +a(g73 +Vmsgstr +p4319 +tp4320 +a(g185 +V +tp4321 +a(g222 +V"XSLT-Option ist abgeschaltet, siehe HelpOnConfiguration." +p4322 +tp4323 +a(g185 +V\u000a +tp4324 +a(g185 +V\u000a +tp4325 +a(g73 +Vmsgid +p4326 +tp4327 +a(g185 +V +tp4328 +a(g222 +V"XSLT processing is not available, please install 4suite 1.x." +p4329 +tp4330 +a(g185 +V\u000a +tp4331 +a(g73 +Vmsgstr +p4332 +tp4333 +a(g185 +V +tp4334 +a(g222 +V"" +p4335 +tp4336 +a(g185 +V\u000a +tp4337 +a(g222 +V"Die Verarbeitung von XSLT-Stylesheets ist nicht verfügbar, bitte 4suite 1.x " +p4338 +tp4339 +a(g185 +V\u000a +tp4340 +a(g222 +V"installieren." +p4341 +tp4342 +a(g185 +V\u000a +tp4343 +a(g185 +V\u000a +tp4344 +a(g135 +V#, python-format +p4345 +tp4346 +a(g185 +V\u000a +tp4347 +a(g73 +Vmsgid +p4348 +tp4349 +a(g185 +V +tp4350 +a(g222 +V"%(errortype)s processing error" +p4351 +tp4352 +a(g185 +V\u000a +tp4353 +a(g73 +Vmsgstr +p4354 +tp4355 +a(g185 +V +tp4356 +a(g222 +V"Verarbeitungsfehler vom Typ \u005c"%(errortype)s\u005c"" +p4357 +tp4358 +a(g185 +V\u000a +tp4359 +a(g185 +V\u000a +tp4360 +a(g135 +V#, python-format +p4361 +tp4362 +a(g185 +V\u000a +tp4363 +a(g73 +Vmsgid +p4364 +tp4365 +a(g185 +V +tp4366 +a(g222 +V"Expected \u005c"%(wanted)s\u005c" after \u005c"%(key)s\u005c", got \u005c"%(token)s\u005c"" +p4367 +tp4368 +a(g185 +V\u000a +tp4369 +a(g73 +Vmsgstr +p4370 +tp4371 +a(g185 +V +tp4372 +a(g222 +V"Erwartete \u005c"%(wanted)s\u005c" nach \u005c"%(key)s\u005c", bekam \u005c"%(token)s\u005c"" +p4373 +tp4374 +a(g185 +V\u000a +tp4375 +a(g185 +V\u000a +tp4376 +a(g135 +V#, python-format +p4377 +tp4378 +a(g185 +V\u000a +tp4379 +a(g73 +Vmsgid +p4380 +tp4381 +a(g185 +V +tp4382 +a(g222 +V"Expected an integer \u005c"%(key)s\u005c" before \u005c"%(token)s\u005c"" +p4383 +tp4384 +a(g185 +V\u000a +tp4385 +a(g73 +Vmsgstr +p4386 +tp4387 +a(g185 +V +tp4388 +a(g222 +V"Erwartete eine Ganzzahl \u005c"%(key)s\u005c" vor \u005c"%(token)s\u005c"" +p4389 +tp4390 +a(g185 +V\u000a +tp4391 +a(g185 +V\u000a +tp4392 +a(g135 +V#, python-format +p4393 +tp4394 +a(g185 +V\u000a +tp4395 +a(g73 +Vmsgid +p4396 +tp4397 +a(g185 +V +tp4398 +a(g222 +V"Expected an integer \u005c"%(arg)s\u005c" after \u005c"%(key)s\u005c"" +p4399 +tp4400 +a(g185 +V\u000a +tp4401 +a(g73 +Vmsgstr +p4402 +tp4403 +a(g185 +V +tp4404 +a(g222 +V"Erwartete eine Ganzzahl \u005c"%(arg)s\u005c" nach \u005c"%(key)s\u005c"" +p4405 +tp4406 +a(g185 +V\u000a +tp4407 +a(g185 +V\u000a +tp4408 +a(g135 +V#, python-format +p4409 +tp4410 +a(g185 +V\u000a +tp4411 +a(g73 +Vmsgid +p4412 +tp4413 +a(g185 +V +tp4414 +a(g222 +V"Expected a color value \u005c"%(arg)s\u005c" after \u005c"%(key)s\u005c"" +p4415 +tp4416 +a(g185 +V\u000a +tp4417 +a(g73 +Vmsgstr +p4418 +tp4419 +a(g185 +V +tp4420 +a(g222 +V"Erwartete einen Farbwert \u005c"%(arg)s\u005c" nach \u005c"%(key)s\u005c"" +p4421 +tp4422 +a(g185 +V\u000a +tp4423 +a(g185 +V\u000a +tp4424 +a(g73 +Vmsgid +p4425 +tp4426 +a(g185 +V +tp4427 +a(g222 +V"" +p4428 +tp4429 +a(g185 +V\u000a +tp4430 +a(g222 +V"Rendering of reStructured text is not possible, please install Docutils." +p4431 +tp4432 +a(g185 +V\u000a +tp4433 +a(g73 +Vmsgstr +p4434 +tp4435 +a(g185 +V +tp4436 +a(g222 +V"" +p4437 +tp4438 +a(g185 +V\u000a +tp4439 +a(g222 +V"Anzeigen von reStructured Text ist nicht möglich, bitte installieren Sie " +p4440 +tp4441 +a(g185 +V\u000a +tp4442 +a(g222 +V"Docutils." +p4443 +tp4444 +a(g185 +V\u000a +tp4445 +a(g185 +V\u000a +tp4446 +a(g73 +Vmsgid +p4447 +tp4448 +a(g185 +V +tp4449 +a(g222 +V"**Maximum number of allowed includes exceeded**" +p4450 +tp4451 +a(g185 +V\u000a +tp4452 +a(g73 +Vmsgstr +p4453 +tp4454 +a(g185 +V +tp4455 +a(g222 +V"**Maximale Anzahl erlaubter Includes überschritten**" +p4456 +tp4457 +a(g185 +V\u000a +tp4458 +a(g185 +V\u000a +tp4459 +a(g135 +V#, python-format +p4460 +tp4461 +a(g185 +V\u000a +tp4462 +a(g73 +Vmsgid +p4463 +tp4464 +a(g185 +V +tp4465 +a(g222 +V"**Could not find the referenced page: %s**" +p4466 +tp4467 +a(g185 +V\u000a +tp4468 +a(g73 +Vmsgstr +p4469 +tp4470 +a(g185 +V +tp4471 +a(g222 +V"**Konnte die referenzierte Seite nicht finden: %s**" +p4472 +tp4473 +a(g185 +V\u000a +tp4474 +a(g185 +V\u000a +tp4475 +a(g135 +V#, python-format +p4476 +tp4477 +a(g185 +V\u000a +tp4478 +a(g73 +Vmsgid +p4479 +tp4480 +a(g185 +V +tp4481 +a(g222 +V"Inlined image: %(url)s" +p4482 +tp4483 +a(g185 +V\u000a +tp4484 +a(g73 +Vmsgstr +p4485 +tp4486 +a(g185 +V +tp4487 +a(g222 +V"Eingebettetes Bild: %(url)s" +p4488 +tp4489 +a(g185 +V\u000a +tp4490 +a(g185 +V\u000a +tp4491 +a(g135 +V#, python-format +p4492 +tp4493 +a(g185 +V\u000a +tp4494 +a(g73 +Vmsgid +p4495 +tp4496 +a(g185 +V +tp4497 +a(g222 +V"Create new drawing \u005c"%(filename)s (opens in new window)\u005c"" +p4498 +tp4499 +a(g185 +V\u000a +tp4500 +a(g73 +Vmsgstr +p4501 +tp4502 +a(g185 +V +tp4503 +a(g222 +V"Neue Zeichnung \u005c"%(filename)s\u005c" anlegen (öffnet ein neues Fenster)" +p4504 +tp4505 +a(g185 +V\u000a +tp4506 +a(g185 +V\u000a +tp4507 +a(g135 +V#, python-format +p4508 +tp4509 +a(g185 +V\u000a +tp4510 +a(g73 +Vmsgid +p4511 +tp4512 +a(g185 +V +tp4513 +a(g222 +V"Edit drawing %(filename)s (opens in new window)" +p4514 +tp4515 +a(g185 +V\u000a +tp4516 +a(g73 +Vmsgstr +p4517 +tp4518 +a(g185 +V +tp4519 +a(g222 +V"Zeichnung %(filename)s bearbeiten (öffnet ein neues Fenster)" +p4520 +tp4521 +a(g185 +V\u000a +tp4522 +a(g185 +V\u000a +tp4523 +a(g135 +V#, python-format +p4524 +tp4525 +a(g185 +V\u000a +tp4526 +a(g73 +Vmsgid +p4527 +tp4528 +a(g185 +V +tp4529 +a(g222 +V"Clickable drawing: %(filename)s" +p4530 +tp4531 +a(g185 +V\u000a +tp4532 +a(g73 +Vmsgstr +p4533 +tp4534 +a(g185 +V +tp4535 +a(g222 +V"Anklickbare Zeichnung %(filename)s" +p4536 +tp4537 +a(g185 +V\u000a +tp4538 +a(g185 +V\u000a +tp4539 +a(g73 +Vmsgid +p4540 +tp4541 +a(g185 +V +tp4542 +a(g222 +V"Toggle line numbers" +p4543 +tp4544 +a(g185 +V\u000a +tp4545 +a(g73 +Vmsgstr +p4546 +tp4547 +a(g185 +V +tp4548 +a(g222 +V"Zeilennummern ein/ausschalten" +p4549 +tp4550 +a(g185 +V\u000a +tp4551 +a(g185 +V\u000a +tp4552 +a(g73 +Vmsgid +p4553 +tp4554 +a(g185 +V +tp4555 +a(g222 +V"[all]" +p4556 +tp4557 +a(g185 +V\u000a +tp4558 +a(g73 +Vmsgstr +p4559 +tp4560 +a(g185 +V +tp4561 +a(g222 +V"[alle]" +p4562 +tp4563 +a(g185 +V\u000a +tp4564 +a(g185 +V\u000a +tp4565 +a(g73 +Vmsgid +p4566 +tp4567 +a(g185 +V +tp4568 +a(g222 +V"[not empty]" +p4569 +tp4570 +a(g185 +V\u000a +tp4571 +a(g73 +Vmsgstr +p4572 +tp4573 +a(g185 +V +tp4574 +a(g222 +V"[nicht leer]" +p4575 +tp4576 +a(g185 +V\u000a +tp4577 +a(g185 +V\u000a +tp4578 +a(g73 +Vmsgid +p4579 +tp4580 +a(g185 +V +tp4581 +a(g222 +V"[empty]" +p4582 +tp4583 +a(g185 +V\u000a +tp4584 +a(g73 +Vmsgstr +p4585 +tp4586 +a(g185 +V +tp4587 +a(g222 +V"[leer]" +p4588 +tp4589 +a(g185 +V\u000a +tp4590 +a(g185 +V\u000a +tp4591 +a(g73 +Vmsgid +p4592 +tp4593 +a(g185 +V +tp4594 +a(g222 +V"filter" +p4595 +tp4596 +a(g185 +V\u000a +tp4597 +a(g73 +Vmsgstr +p4598 +tp4599 +a(g185 +V +tp4600 +a(g222 +V"Filter" +p4601 +tp4602 +a(g185 +V\u000a +tp4603 +a(g185 +V\u000a +tp4604 +a(g73 +Vmsgid +p4605 +tp4606 +a(g185 +V +tp4607 +a(g222 +V"Line" +p4608 +tp4609 +a(g185 +V\u000a +tp4610 +a(g73 +Vmsgstr +p4611 +tp4612 +a(g185 +V +tp4613 +a(g222 +V"Zeile" +p4614 +tp4615 +a(g185 +V\u000a +tp4616 +a(g185 +V\u000a +tp4617 +a(g73 +Vmsgid +p4618 +tp4619 +a(g185 +V +tp4620 +a(g222 +V"No differences found!" +p4621 +tp4622 +a(g185 +V\u000a +tp4623 +a(g73 +Vmsgstr +p4624 +tp4625 +a(g185 +V +tp4626 +a(g222 +V"Es wurden keine Änderungen gefunden!" +p4627 +tp4628 +a(g185 +V\u000a +tp4629 +a(g185 +V\u000a +tp4630 +a(g73 +Vmsgid +p4631 +tp4632 +a(g185 +V +tp4633 +a(g222 +V"Deletions are marked like this." +p4634 +tp4635 +a(g185 +V\u000a +tp4636 +a(g73 +Vmsgstr +p4637 +tp4638 +a(g185 +V +tp4639 +a(g222 +V"Gelöschter Text ist auf diese Art markiert." +p4640 +tp4641 +a(g185 +V\u000a +tp4642 +a(g185 +V\u000a +tp4643 +a(g73 +Vmsgid +p4644 +tp4645 +a(g185 +V +tp4646 +a(g222 +V"Additions are marked like this." +p4647 +tp4648 +a(g185 +V\u000a +tp4649 +a(g73 +Vmsgstr +p4650 +tp4651 +a(g185 +V +tp4652 +a(g222 +V"Hinzugefügter Text ist auf diese Art markiert." +p4653 +tp4654 +a(g185 +V\u000a +tp4655 +a(g185 +V\u000a +tp4656 +a(g135 +V#, python-format +p4657 +tp4658 +a(g185 +V\u000a +tp4659 +a(g73 +Vmsgid +p4660 +tp4661 +a(g185 +V +tp4662 +a(g222 +V"" +p4663 +tp4664 +a(g185 +V\u000a +tp4665 +a(g222 +V"Sorry, can not save page because \u005c"%(content)s\u005c" is not allowed in this wiki." +p4666 +tp4667 +a(g185 +V\u000a +tp4668 +a(g73 +Vmsgstr +p4669 +tp4670 +a(g185 +V +tp4671 +a(g222 +V"" +p4672 +tp4673 +a(g185 +V\u000a +tp4674 +a(g222 +V"Kann die Seite nicht speichern, weil der Inhalt \u005c"%(content)s\u005c" in diesem " +p4675 +tp4676 +a(g185 +V\u000a +tp4677 +a(g222 +V"Wiki nicht erlaubt ist." +p4678 +tp4679 +a(g185 +V\u000a +tp4680 +a(g185 +V\u000a +tp4681 +a(g73 +Vmsgid +p4682 +tp4683 +a(g185 +V +tp4684 +a(g222 +V"Page" +p4685 +tp4686 +a(g185 +V\u000a +tp4687 +a(g73 +Vmsgstr +p4688 +tp4689 +a(g185 +V +tp4690 +a(g222 +V"Seite" +p4691 +tp4692 +a(g185 +V\u000a +tp4693 +a(g185 +V\u000a +tp4694 +a(g73 +Vmsgid +p4695 +tp4696 +a(g185 +V +tp4697 +a(g222 +V"User" +p4698 +tp4699 +a(g185 +V\u000a +tp4700 +a(g73 +Vmsgstr +p4701 +tp4702 +a(g185 +V +tp4703 +a(g222 +V"Benutzer" +p4704 +tp4705 +a(g185 +V\u000a +tp4706 +a(g185 +V\u000a +tp4707 +a(g73 +Vmsgid +p4708 +tp4709 +a(g185 +V +tp4710 +a(g222 +V"Diffs" +p4711 +tp4712 +a(g185 +V\u000a +tp4713 +a(g73 +Vmsgstr +p4714 +tp4715 +a(g185 +V +tp4716 +a(g222 +V"DifferenzAnzeige" +p4717 +tp4718 +a(g185 +V\u000a +tp4719 +a(g185 +V\u000a +tp4720 +a(g73 +Vmsgid +p4721 +tp4722 +a(g185 +V +tp4723 +a(g222 +V"Info" +p4724 +tp4725 +a(g185 +V\u000a +tp4726 +a(g73 +Vmsgstr +p4727 +tp4728 +a(g185 +V +tp4729 +a(g222 +V"Info" +p4730 +tp4731 +a(g185 +V\u000a +tp4732 +a(g185 +V\u000a +tp4733 +a(g73 +Vmsgid +p4734 +tp4735 +a(g185 +V +tp4736 +a(g222 +V"Unsubscribe" +p4737 +tp4738 +a(g185 +V\u000a +tp4739 +a(g73 +Vmsgstr +p4740 +tp4741 +a(g185 +V +tp4742 +a(g222 +V"Nicht abonnieren" +p4743 +tp4744 +a(g185 +V\u000a +tp4745 +a(g185 +V\u000a +tp4746 +a(g73 +Vmsgid +p4747 +tp4748 +a(g185 +V +tp4749 +a(g222 +V"Subscribe" +p4750 +tp4751 +a(g185 +V\u000a +tp4752 +a(g73 +Vmsgstr +p4753 +tp4754 +a(g185 +V +tp4755 +a(g222 +V"Abonnieren" +p4756 +tp4757 +a(g185 +V\u000a +tp4758 +a(g185 +V\u000a +tp4759 +a(g73 +Vmsgid +p4760 +tp4761 +a(g185 +V +tp4762 +a(g222 +V"Raw" +p4763 +tp4764 +a(g185 +V\u000a +tp4765 +a(g73 +Vmsgstr +p4766 +tp4767 +a(g185 +V +tp4768 +a(g222 +V"Rohform" +p4769 +tp4770 +a(g185 +V\u000a +tp4771 +a(g185 +V\u000a +tp4772 +a(g73 +Vmsgid +p4773 +tp4774 +a(g185 +V +tp4775 +a(g222 +V"XML" +p4776 +tp4777 +a(g185 +V\u000a +tp4778 +a(g73 +Vmsgstr +p4779 +tp4780 +a(g185 +V +tp4781 +a(g222 +V"XML" +p4782 +tp4783 +a(g185 +V\u000a +tp4784 +a(g185 +V\u000a +tp4785 +a(g73 +Vmsgid +p4786 +tp4787 +a(g185 +V +tp4788 +a(g222 +V"Print" +p4789 +tp4790 +a(g185 +V\u000a +tp4791 +a(g73 +Vmsgstr +p4792 +tp4793 +a(g185 +V +tp4794 +a(g222 +V"Druckansicht" +p4795 +tp4796 +a(g185 +V\u000a +tp4797 +a(g185 +V\u000a +tp4798 +a(g73 +Vmsgid +p4799 +tp4800 +a(g185 +V +tp4801 +a(g222 +V"View" +p4802 +tp4803 +a(g185 +V\u000a +tp4804 +a(g73 +Vmsgstr +p4805 +tp4806 +a(g185 +V +tp4807 +a(g222 +V"Anzeigen" +p4808 +tp4809 +a(g185 +V\u000a +tp4810 +a(g185 +V\u000a +tp4811 +a(g73 +Vmsgid +p4812 +tp4813 +a(g185 +V +tp4814 +a(g222 +V"Home" +p4815 +tp4816 +a(g185 +V\u000a +tp4817 +a(g73 +Vmsgstr +p4818 +tp4819 +a(g185 +V +tp4820 +a(g222 +V"Heim" +p4821 +tp4822 +a(g185 +V\u000a +tp4823 +a(g185 +V\u000a +tp4824 +a(g73 +Vmsgid +p4825 +tp4826 +a(g185 +V +tp4827 +a(g222 +V"Up" +p4828 +tp4829 +a(g185 +V\u000a +tp4830 +a(g73 +Vmsgstr +p4831 +tp4832 +a(g185 +V +tp4833 +a(g222 +V"Hoch" +p4834 +tp4835 +a(g185 +V\u000a +tp4836 +a(g185 +V\u000a +tp4837 +a(g73 +Vmsgid +p4838 +tp4839 +a(g185 +V +tp4840 +a(g222 +V"[RSS]" +p4841 +tp4842 +a(g185 +V\u000a +tp4843 +a(g73 +Vmsgstr +p4844 +tp4845 +a(g185 +V +tp4846 +a(g222 +V"[RSS]" +p4847 +tp4848 +a(g185 +V\u000a +tp4849 +a(g185 +V\u000a +tp4850 +a(g73 +Vmsgid +p4851 +tp4852 +a(g185 +V +tp4853 +a(g222 +V"[DELETED]" +p4854 +tp4855 +a(g185 +V\u000a +tp4856 +a(g73 +Vmsgstr +p4857 +tp4858 +a(g185 +V +tp4859 +a(g222 +V"[GELÖSCHT]" +p4860 +tp4861 +a(g185 +V\u000a +tp4862 +a(g185 +V\u000a +tp4863 +a(g73 +Vmsgid +p4864 +tp4865 +a(g185 +V +tp4866 +a(g222 +V"[UPDATED]" +p4867 +tp4868 +a(g185 +V\u000a +tp4869 +a(g73 +Vmsgstr +p4870 +tp4871 +a(g185 +V +tp4872 +a(g222 +V"[AKTUALISIERT]" +p4873 +tp4874 +a(g185 +V\u000a +tp4875 +a(g185 +V\u000a +tp4876 +a(g73 +Vmsgid +p4877 +tp4878 +a(g185 +V +tp4879 +a(g222 +V"[RENAMED]" +p4880 +tp4881 +a(g185 +V\u000a +tp4882 +a(g73 +Vmsgstr +p4883 +tp4884 +a(g185 +V +tp4885 +a(g222 +V"[UMBENANNT]" +p4886 +tp4887 +a(g185 +V\u000a +tp4888 +a(g185 +V\u000a +tp4889 +a(g73 +Vmsgid +p4890 +tp4891 +a(g185 +V +tp4892 +a(g222 +V"[CONFLICT]" +p4893 +tp4894 +a(g185 +V\u000a +tp4895 +a(g73 +Vmsgstr +p4896 +tp4897 +a(g185 +V +tp4898 +a(g222 +V"[KONFLIKT]" +p4899 +tp4900 +a(g185 +V\u000a +tp4901 +a(g185 +V\u000a +tp4902 +a(g73 +Vmsgid +p4903 +tp4904 +a(g185 +V +tp4905 +a(g222 +V"[NEW]" +p4906 +tp4907 +a(g185 +V\u000a +tp4908 +a(g73 +Vmsgstr +p4909 +tp4910 +a(g185 +V +tp4911 +a(g222 +V"[NEU]" +p4912 +tp4913 +a(g185 +V\u000a +tp4914 +a(g185 +V\u000a +tp4915 +a(g73 +Vmsgid +p4916 +tp4917 +a(g185 +V +tp4918 +a(g222 +V"[DIFF]" +p4919 +tp4920 +a(g185 +V\u000a +tp4921 +a(g73 +Vmsgstr +p4922 +tp4923 +a(g185 +V +tp4924 +a(g222 +V"[DIFF]" +p4925 +tp4926 +a(g185 +V\u000a +tp4927 +a(g185 +V\u000a +tp4928 +a(g73 +Vmsgid +p4929 +tp4930 +a(g185 +V +tp4931 +a(g222 +V"[BOTTOM]" +p4932 +tp4933 +a(g185 +V\u000a +tp4934 +a(g73 +Vmsgstr +p4935 +tp4936 +a(g185 +V +tp4937 +a(g222 +V"[FUSS]" +p4938 +tp4939 +a(g185 +V\u000a +tp4940 +a(g185 +V\u000a +tp4941 +a(g73 +Vmsgid +p4942 +tp4943 +a(g185 +V +tp4944 +a(g222 +V"[TOP]" +p4945 +tp4946 +a(g185 +V\u000a +tp4947 +a(g73 +Vmsgstr +p4948 +tp4949 +a(g185 +V +tp4950 +a(g222 +V"[KOPF]" +p4951 +tp4952 +a(g185 +V\u000a +tp4953 +a(g185 +V\u000a +tp4954 +a(g73 +Vmsgid +p4955 +tp4956 +a(g185 +V +tp4957 +a(g222 +V"Click to do a full-text search for this title" +p4958 +tp4959 +a(g185 +V\u000a +tp4960 +a(g73 +Vmsgstr +p4961 +tp4962 +a(g185 +V +tp4963 +a(g222 +V"Hier klicken für eine Liste der Seiten, die auf diese verweisen" +p4964 +tp4965 +a(g185 +V\u000a +tp4966 +a(g185 +V\u000a +tp4967 +a(g73 +Vmsgid +p4968 +tp4969 +a(g185 +V +tp4970 +a(g222 +V"Preferences" +p4971 +tp4972 +a(g185 +V\u000a +tp4973 +a(g73 +Vmsgstr +p4974 +tp4975 +a(g185 +V +tp4976 +a(g222 +V"Einstellungen" +p4977 +tp4978 +a(g185 +V\u000a +tp4979 +a(g185 +V\u000a +tp4980 +a(g73 +Vmsgid +p4981 +tp4982 +a(g185 +V +tp4983 +a(g222 +V"Logout" +p4984 +tp4985 +a(g185 +V\u000a +tp4986 +a(g73 +Vmsgstr +p4987 +tp4988 +a(g185 +V +tp4989 +a(g222 +V"Abmelden" +p4990 +tp4991 +a(g185 +V\u000a +tp4992 +a(g185 +V\u000a +tp4993 +a(g73 +Vmsgid +p4994 +tp4995 +a(g185 +V +tp4996 +a(g222 +V"Clear message" +p4997 +tp4998 +a(g185 +V\u000a +tp4999 +a(g73 +Vmsgstr +p5000 +tp5001 +a(g185 +V +tp5002 +a(g222 +V"Nachricht löschen" +p5003 +tp5004 +a(g185 +V\u000a +tp5005 +a(g185 +V\u000a +tp5006 +a(g135 +V#, python-format +p5007 +tp5008 +a(g185 +V\u000a +tp5009 +a(g73 +Vmsgid +p5010 +tp5011 +a(g185 +V +tp5012 +a(g222 +V"last edited %(time)s by %(editor)s" +p5013 +tp5014 +a(g185 +V\u000a +tp5015 +a(g73 +Vmsgstr +p5016 +tp5017 +a(g185 +V +tp5018 +a(g222 +V"zuletzt geändert am %(time)s durch %(editor)s" +p5019 +tp5020 +a(g185 +V\u000a +tp5021 +a(g185 +V\u000a +tp5022 +a(g135 +V#, python-format +p5023 +tp5024 +a(g185 +V\u000a +tp5025 +a(g73 +Vmsgid +p5026 +tp5027 +a(g185 +V +tp5028 +a(g222 +V"last modified %(time)s" +p5029 +tp5030 +a(g185 +V\u000a +tp5031 +a(g73 +Vmsgstr +p5032 +tp5033 +a(g185 +V +tp5034 +a(g222 +V"zuletzt geändert %(time)s" +p5035 +tp5036 +a(g185 +V\u000a +tp5037 +a(g185 +V\u000a +tp5038 +a(g73 +Vmsgid +p5039 +tp5040 +a(g185 +V +tp5041 +a(g222 +V"Search:" +p5042 +tp5043 +a(g185 +V\u000a +tp5044 +a(g73 +Vmsgstr +p5045 +tp5046 +a(g185 +V +tp5047 +a(g222 +V"Suchen:" +p5048 +tp5049 +a(g185 +V\u000a +tp5050 +a(g185 +V\u000a +tp5051 +a(g73 +Vmsgid +p5052 +tp5053 +a(g185 +V +tp5054 +a(g222 +V"Text" +p5055 +tp5056 +a(g185 +V\u000a +tp5057 +a(g73 +Vmsgstr +p5058 +tp5059 +a(g185 +V +tp5060 +a(g222 +V"Text" +p5061 +tp5062 +a(g185 +V\u000a +tp5063 +a(g185 +V\u000a +tp5064 +a(g73 +Vmsgid +p5065 +tp5066 +a(g185 +V +tp5067 +a(g222 +V"Titles" +p5068 +tp5069 +a(g185 +V\u000a +tp5070 +a(g73 +Vmsgstr +p5071 +tp5072 +a(g185 +V +tp5073 +a(g222 +V"Titel" +p5074 +tp5075 +a(g185 +V\u000a +tp5076 +a(g185 +V\u000a +tp5077 +a(g73 +Vmsgid +p5078 +tp5079 +a(g185 +V +tp5080 +a(g222 +V"Search" +p5081 +tp5082 +a(g185 +V\u000a +tp5083 +a(g73 +Vmsgstr +p5084 +tp5085 +a(g185 +V +tp5086 +a(g222 +V"Suche" +p5087 +tp5088 +a(g185 +V\u000a +tp5089 +a(g185 +V\u000a +tp5090 +a(g73 +Vmsgid +p5091 +tp5092 +a(g185 +V +tp5093 +a(g222 +V"More Actions:" +p5094 +tp5095 +a(g185 +V\u000a +tp5096 +a(g73 +Vmsgstr +p5097 +tp5098 +a(g185 +V +tp5099 +a(g222 +V"Weitere Aktionen:" +p5100 +tp5101 +a(g185 +V\u000a +tp5102 +a(g185 +V\u000a +tp5103 +a(g73 +Vmsgid +p5104 +tp5105 +a(g185 +V +tp5106 +a(g222 +V"------------------------" +p5107 +tp5108 +a(g185 +V\u000a +tp5109 +a(g73 +Vmsgstr +p5110 +tp5111 +a(g185 +V +tp5112 +a(g222 +V"------------------------" +p5113 +tp5114 +a(g185 +V\u000a +tp5115 +a(g185 +V\u000a +tp5116 +a(g73 +Vmsgid +p5117 +tp5118 +a(g185 +V +tp5119 +a(g222 +V"Raw Text" +p5120 +tp5121 +a(g185 +V\u000a +tp5122 +a(g73 +Vmsgstr +p5123 +tp5124 +a(g185 +V +tp5125 +a(g222 +V"Rohform" +p5126 +tp5127 +a(g185 +V\u000a +tp5128 +a(g185 +V\u000a +tp5129 +a(g73 +Vmsgid +p5130 +tp5131 +a(g185 +V +tp5132 +a(g222 +V"Print View" +p5133 +tp5134 +a(g185 +V\u000a +tp5135 +a(g73 +Vmsgstr +p5136 +tp5137 +a(g185 +V +tp5138 +a(g222 +V"Druckansicht" +p5139 +tp5140 +a(g185 +V\u000a +tp5141 +a(g185 +V\u000a +tp5142 +a(g73 +Vmsgid +p5143 +tp5144 +a(g185 +V +tp5145 +a(g222 +V"Delete Cache" +p5146 +tp5147 +a(g185 +V\u000a +tp5148 +a(g73 +Vmsgstr +p5149 +tp5150 +a(g185 +V +tp5151 +a(g222 +V"Cache löschen" +p5152 +tp5153 +a(g185 +V\u000a +tp5154 +a(g185 +V\u000a +tp5155 +a(g73 +Vmsgid +p5156 +tp5157 +a(g185 +V +tp5158 +a(g222 +V"Rename Page" +p5159 +tp5160 +a(g185 +V\u000a +tp5161 +a(g73 +Vmsgstr +p5162 +tp5163 +a(g185 +V +tp5164 +a(g222 +V"Seite umbenennen" +p5165 +tp5166 +a(g185 +V\u000a +tp5167 +a(g185 +V\u000a +tp5168 +a(g73 +Vmsgid +p5169 +tp5170 +a(g185 +V +tp5171 +a(g222 +V"Copy Page" +p5172 +tp5173 +a(g185 +V\u000a +tp5174 +a(g73 +Vmsgstr +p5175 +tp5176 +a(g185 +V +tp5177 +a(g222 +V"Seite kopieren" +p5178 +tp5179 +a(g185 +V\u000a +tp5180 +a(g185 +V\u000a +tp5181 +a(g73 +Vmsgid +p5182 +tp5183 +a(g185 +V +tp5184 +a(g222 +V"Delete Page" +p5185 +tp5186 +a(g185 +V\u000a +tp5187 +a(g73 +Vmsgstr +p5188 +tp5189 +a(g185 +V +tp5190 +a(g222 +V"Seite löschen" +p5191 +tp5192 +a(g185 +V\u000a +tp5193 +a(g185 +V\u000a +tp5194 +a(g73 +Vmsgid +p5195 +tp5196 +a(g185 +V +tp5197 +a(g222 +V"Like Pages" +p5198 +tp5199 +a(g185 +V\u000a +tp5200 +a(g73 +Vmsgstr +p5201 +tp5202 +a(g185 +V +tp5203 +a(g222 +V"Ähnliche Seiten" +p5204 +tp5205 +a(g185 +V\u000a +tp5206 +a(g185 +V\u000a +tp5207 +a(g73 +Vmsgid +p5208 +tp5209 +a(g185 +V +tp5210 +a(g222 +V"Local Site Map" +p5211 +tp5212 +a(g185 +V\u000a +tp5213 +a(g73 +Vmsgstr +p5214 +tp5215 +a(g185 +V +tp5216 +a(g222 +V"ÜbersichtsKarte" +p5217 +tp5218 +a(g185 +V\u000a +tp5219 +a(g185 +V\u000a +tp5220 +a(g73 +Vmsgid +p5221 +tp5222 +a(g185 +V +tp5223 +a(g222 +V"My Pages" +p5224 +tp5225 +a(g185 +V\u000a +tp5226 +a(g73 +Vmsgstr +p5227 +tp5228 +a(g185 +V +tp5229 +a(g222 +V"Meine Seiten" +p5230 +tp5231 +a(g185 +V\u000a +tp5232 +a(g185 +V\u000a +tp5233 +a(g73 +Vmsgid +p5234 +tp5235 +a(g185 +V +tp5236 +a(g222 +V"Subscribe User" +p5237 +tp5238 +a(g185 +V\u000a +tp5239 +a(g73 +Vmsgstr +p5240 +tp5241 +a(g185 +V +tp5242 +a(g222 +V"Abo für Benutzer" +p5243 +tp5244 +a(g185 +V\u000a +tp5245 +a(g185 +V\u000a +tp5246 +a(g73 +Vmsgid +p5247 +tp5248 +a(g185 +V +tp5249 +a(g222 +V"Remove Spam" +p5250 +tp5251 +a(g185 +V\u000a +tp5252 +a(g73 +Vmsgstr +p5253 +tp5254 +a(g185 +V +tp5255 +a(g222 +V"Spam entfernen" +p5256 +tp5257 +a(g185 +V\u000a +tp5258 +a(g185 +V\u000a +tp5259 +a(g73 +Vmsgid +p5260 +tp5261 +a(g185 +V +tp5262 +a(g222 +V"Revert to this revision" +p5263 +tp5264 +a(g185 +V\u000a +tp5265 +a(g73 +Vmsgstr +p5266 +tp5267 +a(g185 +V +tp5268 +a(g222 +V"Diese Revision restaurieren" +p5269 +tp5270 +a(g185 +V\u000a +tp5271 +a(g185 +V\u000a +tp5272 +a(g73 +Vmsgid +p5273 +tp5274 +a(g185 +V +tp5275 +a(g222 +V"Package Pages" +p5276 +tp5277 +a(g185 +V\u000a +tp5278 +a(g73 +Vmsgstr +p5279 +tp5280 +a(g185 +V +tp5281 +a(g222 +V"Seiten paketieren" +p5282 +tp5283 +a(g185 +V\u000a +tp5284 +a(g185 +V\u000a +tp5285 +a(g73 +Vmsgid +p5286 +tp5287 +a(g185 +V +tp5288 +a(g222 +V"Render as Docbook" +p5289 +tp5290 +a(g185 +V\u000a +tp5291 +a(g73 +Vmsgstr +p5292 +tp5293 +a(g185 +V +tp5294 +a(g222 +V"Docbook ausgeben" +p5295 +tp5296 +a(g185 +V\u000a +tp5297 +a(g185 +V\u000a +tp5298 +a(g73 +Vmsgid +p5299 +tp5300 +a(g185 +V +tp5301 +a(g222 +V"Sync Pages" +p5302 +tp5303 +a(g185 +V\u000a +tp5304 +a(g73 +Vmsgstr +p5305 +tp5306 +a(g185 +V +tp5307 +a(g222 +V"Seiten synchronisieren" +p5308 +tp5309 +a(g185 +V\u000a +tp5310 +a(g185 +V\u000a +tp5311 +a(g73 +Vmsgid +p5312 +tp5313 +a(g185 +V +tp5314 +a(g222 +V"Do" +p5315 +tp5316 +a(g185 +V\u000a +tp5317 +a(g73 +Vmsgstr +p5318 +tp5319 +a(g185 +V +tp5320 +a(g222 +V"Los!" +p5321 +tp5322 +a(g185 +V\u000a +tp5323 +a(g185 +V\u000a +tp5324 +a(g73 +Vmsgid +p5325 +tp5326 +a(g185 +V +tp5327 +a(g222 +V"Comments" +p5328 +tp5329 +a(g185 +V\u000a +tp5330 +a(g73 +Vmsgstr +p5331 +tp5332 +a(g185 +V +tp5333 +a(g222 +V"Kommentare" +p5334 +tp5335 +a(g185 +V\u000a +tp5336 +a(g185 +V\u000a +tp5337 +a(g73 +Vmsgid +p5338 +tp5339 +a(g185 +V +tp5340 +a(g222 +V"Edit (Text)" +p5341 +tp5342 +a(g185 +V\u000a +tp5343 +a(g73 +Vmsgstr +p5344 +tp5345 +a(g185 +V +tp5346 +a(g222 +V"Editieren (Text)" +p5347 +tp5348 +a(g185 +V\u000a +tp5349 +a(g185 +V\u000a +tp5350 +a(g73 +Vmsgid +p5351 +tp5352 +a(g185 +V +tp5353 +a(g222 +V"Edit (GUI)" +p5354 +tp5355 +a(g185 +V\u000a +tp5356 +a(g73 +Vmsgstr +p5357 +tp5358 +a(g185 +V +tp5359 +a(g222 +V"Editieren (GUI)" +p5360 +tp5361 +a(g185 +V\u000a +tp5362 +a(g185 +V\u000a +tp5363 +a(g73 +Vmsgid +p5364 +tp5365 +a(g185 +V +tp5366 +a(g222 +V"Immutable Page" +p5367 +tp5368 +a(g185 +V\u000a +tp5369 +a(g73 +Vmsgstr +p5370 +tp5371 +a(g185 +V +tp5372 +a(g222 +V"Geschützte Seite" +p5373 +tp5374 +a(g185 +V\u000a +tp5375 +a(g185 +V\u000a +tp5376 +a(g73 +Vmsgid +p5377 +tp5378 +a(g185 +V +tp5379 +a(g222 +V"Remove Link" +p5380 +tp5381 +a(g185 +V\u000a +tp5382 +a(g73 +Vmsgstr +p5383 +tp5384 +a(g185 +V +tp5385 +a(g222 +V"Verweis entfernen" +p5386 +tp5387 +a(g185 +V\u000a +tp5388 +a(g185 +V\u000a +tp5389 +a(g73 +Vmsgid +p5390 +tp5391 +a(g185 +V +tp5392 +a(g222 +V"Add Link" +p5393 +tp5394 +a(g185 +V\u000a +tp5395 +a(g73 +Vmsgstr +p5396 +tp5397 +a(g185 +V +tp5398 +a(g222 +V"Verweis hinzufügen" +p5399 +tp5400 +a(g185 +V\u000a +tp5401 +a(g185 +V\u000a +tp5402 +a(g135 +V#, python-format +p5403 +tp5404 +a(g185 +V\u000a +tp5405 +a(g73 +Vmsgid +p5406 +tp5407 +a(g185 +V +tp5408 +a(g222 +V"Show %s days." +p5409 +tp5410 +a(g185 +V\u000a +tp5411 +a(g73 +Vmsgstr +p5412 +tp5413 +a(g185 +V +tp5414 +a(g222 +V"%s Tage anzeigen." +p5415 +tp5416 +a(g185 +V\u000a +tp5417 +a(g185 +V\u000a +tp5418 +a(g73 +Vmsgid +p5419 +tp5420 +a(g185 +V +tp5421 +a(g222 +V"Wiki Markup" +p5422 +tp5423 +a(g185 +V\u000a +tp5424 +a(g73 +Vmsgstr +p5425 +tp5426 +a(g185 +V +tp5427 +a(g222 +V"Wiki Quelltext" +p5428 +tp5429 +a(g185 +V\u000a +tp5430 +a(g185 +V\u000a +tp5431 +a(g73 +Vmsgid +p5432 +tp5433 +a(g185 +V +tp5434 +a(g222 +V"DeleteCache" +p5435 +tp5436 +a(g185 +V\u000a +tp5437 +a(g73 +Vmsgstr +p5438 +tp5439 +a(g185 +V +tp5440 +a(g222 +V"CacheLöschen" +p5441 +tp5442 +a(g185 +V\u000a +tp5443 +a(g185 +V\u000a +tp5444 +a(g135 +V#, python-format +p5445 +tp5446 +a(g185 +V\u000a +tp5447 +a(g73 +Vmsgid +p5448 +tp5449 +a(g185 +V +tp5450 +a(g222 +V"(cached %s)" +p5451 +tp5452 +a(g185 +V\u000a +tp5453 +a(g73 +Vmsgstr +p5454 +tp5455 +a(g185 +V +tp5456 +a(g222 +V"(gecached %s)" +p5457 +tp5458 +a(g185 +V\u000a +tp5459 +a(g185 +V\u000a +tp5460 +a(g73 +Vmsgid +p5461 +tp5462 +a(g185 +V +tp5463 +a(g222 +V"Or try one of these actions:" +p5464 +tp5465 +a(g185 +V\u000a +tp5466 +a(g73 +Vmsgstr +p5467 +tp5468 +a(g185 +V +tp5469 +a(g222 +V"Oder benutze eine dieser Aktionen:" +p5470 +tp5471 +a(g185 +V\u000a +tp5472 +a(g185 +V\u000a +tp5473 +a(g73 +Vmsgid +p5474 +tp5475 +a(g185 +V +tp5476 +a(g222 +V"FrontPage" +p5477 +tp5478 +a(g185 +V\u000a +tp5479 +a(g73 +Vmsgstr +p5480 +tp5481 +a(g185 +V +tp5482 +a(g222 +V"StartSeite" +p5483 +tp5484 +a(g185 +V\u000a +tp5485 +a(g185 +V\u000a +tp5486 +a(g73 +Vmsgid +p5487 +tp5488 +a(g185 +V +tp5489 +a(g222 +V"RecentChanges" +p5490 +tp5491 +a(g185 +V\u000a +tp5492 +a(g73 +Vmsgstr +p5493 +tp5494 +a(g185 +V +tp5495 +a(g222 +V"AktuelleÄnderungen" +p5496 +tp5497 +a(g185 +V\u000a +tp5498 +a(g185 +V\u000a +tp5499 +a(g73 +Vmsgid +p5500 +tp5501 +a(g185 +V +tp5502 +a(g222 +V"TitleIndex" +p5503 +tp5504 +a(g185 +V\u000a +tp5505 +a(g73 +Vmsgstr +p5506 +tp5507 +a(g185 +V +tp5508 +a(g222 +V"TitelIndex" +p5509 +tp5510 +a(g185 +V\u000a +tp5511 +a(g185 +V\u000a +tp5512 +a(g73 +Vmsgid +p5513 +tp5514 +a(g185 +V +tp5515 +a(g222 +V"WordIndex" +p5516 +tp5517 +a(g185 +V\u000a +tp5518 +a(g73 +Vmsgstr +p5519 +tp5520 +a(g185 +V +tp5521 +a(g222 +V"WortIndex" +p5522 +tp5523 +a(g185 +V\u000a +tp5524 +a(g185 +V\u000a +tp5525 +a(g73 +Vmsgid +p5526 +tp5527 +a(g185 +V +tp5528 +a(g222 +V"FindPage" +p5529 +tp5530 +a(g185 +V\u000a +tp5531 +a(g73 +Vmsgstr +p5532 +tp5533 +a(g185 +V +tp5534 +a(g222 +V"SeiteFinden" +p5535 +tp5536 +a(g185 +V\u000a +tp5537 +a(g185 +V\u000a +tp5538 +a(g73 +Vmsgid +p5539 +tp5540 +a(g185 +V +tp5541 +a(g222 +V"SiteNavigation" +p5542 +tp5543 +a(g185 +V\u000a +tp5544 +a(g73 +Vmsgstr +p5545 +tp5546 +a(g185 +V +tp5547 +a(g222 +V"WegWeiser" +p5548 +tp5549 +a(g185 +V\u000a +tp5550 +a(g185 +V\u000a +tp5551 +a(g73 +Vmsgid +p5552 +tp5553 +a(g185 +V +tp5554 +a(g222 +V"HelpContents" +p5555 +tp5556 +a(g185 +V\u000a +tp5557 +a(g73 +Vmsgstr +p5558 +tp5559 +a(g185 +V +tp5560 +a(g222 +V"HilfeInhalt" +p5561 +tp5562 +a(g185 +V\u000a +tp5563 +a(g185 +V\u000a +tp5564 +a(g73 +Vmsgid +p5565 +tp5566 +a(g185 +V +tp5567 +a(g222 +V"HelpOnFormatting" +p5568 +tp5569 +a(g185 +V\u000a +tp5570 +a(g73 +Vmsgstr +p5571 +tp5572 +a(g185 +V +tp5573 +a(g222 +V"HilfeZumFormatieren" +p5574 +tp5575 +a(g185 +V\u000a +tp5576 +a(g185 +V\u000a +tp5577 +a(g73 +Vmsgid +p5578 +tp5579 +a(g185 +V +tp5580 +a(g222 +V"UserPreferences" +p5581 +tp5582 +a(g185 +V\u000a +tp5583 +a(g73 +Vmsgstr +p5584 +tp5585 +a(g185 +V +tp5586 +a(g222 +V"BenutzerEinstellungen" +p5587 +tp5588 +a(g185 +V\u000a +tp5589 +a(g185 +V\u000a +tp5590 +a(g73 +Vmsgid +p5591 +tp5592 +a(g185 +V +tp5593 +a(g222 +V"WikiLicense" +p5594 +tp5595 +a(g185 +V\u000a +tp5596 +a(g73 +Vmsgstr +p5597 +tp5598 +a(g185 +V +tp5599 +a(g222 +V"WikiLizenz" +p5600 +tp5601 +a(g185 +V\u000a +tp5602 +a(g185 +V\u000a +tp5603 +a(g73 +Vmsgid +p5604 +tp5605 +a(g185 +V +tp5606 +a(g222 +V"MissingPage" +p5607 +tp5608 +a(g185 +V\u000a +tp5609 +a(g73 +Vmsgstr +p5610 +tp5611 +a(g185 +V +tp5612 +a(g222 +V"FehlendeSeite" +p5613 +tp5614 +a(g185 +V\u000a +tp5615 +a(g185 +V\u000a +tp5616 +a(g73 +Vmsgid +p5617 +tp5618 +a(g185 +V +tp5619 +a(g222 +V"MissingHomePage" +p5620 +tp5621 +a(g185 +V\u000a +tp5622 +a(g73 +Vmsgstr +p5623 +tp5624 +a(g185 +V +tp5625 +a(g222 +V"FehlendePersönlicheSeite" +p5626 +tp5627 +a(g185 +V\u000a +tp5628 +a(g185 +V\u000a +tp5629 +a(g73 +Vmsgid +p5630 +tp5631 +a(g185 +V +tp5632 +a(g222 +V"Mon" +p5633 +tp5634 +a(g185 +V\u000a +tp5635 +a(g73 +Vmsgstr +p5636 +tp5637 +a(g185 +V +tp5638 +a(g222 +V"Mo" +p5639 +tp5640 +a(g185 +V\u000a +tp5641 +a(g185 +V\u000a +tp5642 +a(g73 +Vmsgid +p5643 +tp5644 +a(g185 +V +tp5645 +a(g222 +V"Tue" +p5646 +tp5647 +a(g185 +V\u000a +tp5648 +a(g73 +Vmsgstr +p5649 +tp5650 +a(g185 +V +tp5651 +a(g222 +V"Di" +p5652 +tp5653 +a(g185 +V\u000a +tp5654 +a(g185 +V\u000a +tp5655 +a(g73 +Vmsgid +p5656 +tp5657 +a(g185 +V +tp5658 +a(g222 +V"Wed" +p5659 +tp5660 +a(g185 +V\u000a +tp5661 +a(g73 +Vmsgstr +p5662 +tp5663 +a(g185 +V +tp5664 +a(g222 +V"Mi" +p5665 +tp5666 +a(g185 +V\u000a +tp5667 +a(g185 +V\u000a +tp5668 +a(g73 +Vmsgid +p5669 +tp5670 +a(g185 +V +tp5671 +a(g222 +V"Thu" +p5672 +tp5673 +a(g185 +V\u000a +tp5674 +a(g73 +Vmsgstr +p5675 +tp5676 +a(g185 +V +tp5677 +a(g222 +V"Do" +p5678 +tp5679 +a(g185 +V\u000a +tp5680 +a(g185 +V\u000a +tp5681 +a(g73 +Vmsgid +p5682 +tp5683 +a(g185 +V +tp5684 +a(g222 +V"Fri" +p5685 +tp5686 +a(g185 +V\u000a +tp5687 +a(g73 +Vmsgstr +p5688 +tp5689 +a(g185 +V +tp5690 +a(g222 +V"Fr" +p5691 +tp5692 +a(g185 +V\u000a +tp5693 +a(g185 +V\u000a +tp5694 +a(g73 +Vmsgid +p5695 +tp5696 +a(g185 +V +tp5697 +a(g222 +V"Sat" +p5698 +tp5699 +a(g185 +V\u000a +tp5700 +a(g73 +Vmsgstr +p5701 +tp5702 +a(g185 +V +tp5703 +a(g222 +V"Sa" +p5704 +tp5705 +a(g185 +V\u000a +tp5706 +a(g185 +V\u000a +tp5707 +a(g73 +Vmsgid +p5708 +tp5709 +a(g185 +V +tp5710 +a(g222 +V"Sun" +p5711 +tp5712 +a(g185 +V\u000a +tp5713 +a(g73 +Vmsgstr +p5714 +tp5715 +a(g185 +V +tp5716 +a(g222 +V"So" +p5717 +tp5718 +a(g185 +V\u000a +tp5719 +a(g185 +V\u000a +tp5720 +a(g73 +Vmsgid +p5721 +tp5722 +a(g185 +V +tp5723 +a(g222 +V"AttachFile" +p5724 +tp5725 +a(g185 +V\u000a +tp5726 +a(g73 +Vmsgstr +p5727 +tp5728 +a(g185 +V +tp5729 +a(g222 +V"DateiAnhänge" +p5730 +tp5731 +a(g185 +V\u000a +tp5732 +a(g185 +V\u000a +tp5733 +a(g73 +Vmsgid +p5734 +tp5735 +a(g185 +V +tp5736 +a(g222 +V"DeletePage" +p5737 +tp5738 +a(g185 +V\u000a +tp5739 +a(g73 +Vmsgstr +p5740 +tp5741 +a(g185 +V +tp5742 +a(g222 +V"SeiteLöschen" +p5743 +tp5744 +a(g185 +V\u000a +tp5745 +a(g185 +V\u000a +tp5746 +a(g73 +Vmsgid +p5747 +tp5748 +a(g185 +V +tp5749 +a(g222 +V"LikePages" +p5750 +tp5751 +a(g185 +V\u000a +tp5752 +a(g73 +Vmsgstr +p5753 +tp5754 +a(g185 +V +tp5755 +a(g222 +V"ÄhnlicheSeiten" +p5756 +tp5757 +a(g185 +V\u000a +tp5758 +a(g185 +V\u000a +tp5759 +a(g73 +Vmsgid +p5760 +tp5761 +a(g185 +V +tp5762 +a(g222 +V"LocalSiteMap" +p5763 +tp5764 +a(g185 +V\u000a +tp5765 +a(g73 +Vmsgstr +p5766 +tp5767 +a(g185 +V +tp5768 +a(g222 +V"ÜbersichtsKarte" +p5769 +tp5770 +a(g185 +V\u000a +tp5771 +a(g185 +V\u000a +tp5772 +a(g73 +Vmsgid +p5773 +tp5774 +a(g185 +V +tp5775 +a(g222 +V"RenamePage" +p5776 +tp5777 +a(g185 +V\u000a +tp5778 +a(g73 +Vmsgstr +p5779 +tp5780 +a(g185 +V +tp5781 +a(g222 +V"SeiteUmbenennen" +p5782 +tp5783 +a(g185 +V\u000a +tp5784 +a(g185 +V\u000a +tp5785 +a(g73 +Vmsgid +p5786 +tp5787 +a(g185 +V +tp5788 +a(g222 +V"SpellCheck" +p5789 +tp5790 +a(g185 +V\u000a +tp5791 +a(g73 +Vmsgstr +p5792 +tp5793 +a(g185 +V +tp5794 +a(g222 +V"RechtSchreibung" +p5795 +tp5796 +a(g185 +V\u000a +tp5797 +a(g185 +V\u000a +tp5798 +a(g135 +V#, python-format +p5799 +tp5800 +a(g185 +V\u000a +tp5801 +a(g73 +Vmsgid +p5802 +tp5803 +a(g185 +V +tp5804 +a(g222 +V"Unknown action %(action_name)s." +p5805 +tp5806 +a(g185 +V\u000a +tp5807 +a(g73 +Vmsgstr +p5808 +tp5809 +a(g185 +V +tp5810 +a(g222 +V"Unbekannte Aktion %(action_name)s." +p5811 +tp5812 +a(g185 +V\u000a +tp5813 +a(g185 +V\u000a +tp5814 +a(g135 +V#, python-format +p5815 +tp5816 +a(g185 +V\u000a +tp5817 +a(g73 +Vmsgid +p5818 +tp5819 +a(g185 +V +tp5820 +a(g222 +V"You are not allowed to do %(action_name)s on this page." +p5821 +tp5822 +a(g185 +V\u000a +tp5823 +a(g73 +Vmsgstr +p5824 +tp5825 +a(g185 +V +tp5826 +a(g222 +V"Sie dürfen die Aktion %(action_name)s auf dieser Seite nicht benutzen!" +p5827 +tp5828 +a(g185 +V\u000a +tp5829 +a(g185 +V\u000a +tp5830 +a(g73 +Vmsgid +p5831 +tp5832 +a(g185 +V +tp5833 +a(g222 +V"Login and try again." +p5834 +tp5835 +a(g185 +V\u000a +tp5836 +a(g73 +Vmsgstr +p5837 +tp5838 +a(g185 +V +tp5839 +a(g222 +V"Melden Sie sich an und probieren Sie es noch einmal." +p5840 +tp5841 +a(g185 +V\u000a +tp5842 +a(g185 +V\u000a +tp5843 +a(g73 +Vmsgid +p5844 +tp5845 +a(g185 +V +tp5846 +a(g222 +V"Charts are not available!" +p5847 +tp5848 +a(g185 +V\u000a +tp5849 +a(g73 +Vmsgstr +p5850 +tp5851 +a(g185 +V +tp5852 +a(g222 +V"Die Diagrammoption ist nicht verfügbar!" +p5853 +tp5854 +a(g185 +V\u000a +tp5855 +a(g185 +V\u000a +tp5856 +a(g73 +Vmsgid +p5857 +tp5858 +a(g185 +V +tp5859 +a(g222 +V"Page Size Distribution" +p5860 +tp5861 +a(g185 +V\u000a +tp5862 +a(g73 +Vmsgstr +p5863 +tp5864 +a(g185 +V +tp5865 +a(g222 +V"Verteilung der Seitengrößen" +p5866 +tp5867 +a(g185 +V\u000a +tp5868 +a(g185 +V\u000a +tp5869 +a(g73 +Vmsgid +p5870 +tp5871 +a(g185 +V +tp5872 +a(g222 +V"page size upper bound [bytes]" +p5873 +tp5874 +a(g185 +V\u000a +tp5875 +a(g73 +Vmsgstr +p5876 +tp5877 +a(g185 +V +tp5878 +a(g222 +V"Obere Grenze der Seitengröße [bytes]" +p5879 +tp5880 +a(g185 +V\u000a +tp5881 +a(g185 +V\u000a +tp5882 +a(g73 +Vmsgid +p5883 +tp5884 +a(g185 +V +tp5885 +a(g222 +V"# of pages of this size" +p5886 +tp5887 +a(g185 +V\u000a +tp5888 +a(g73 +Vmsgstr +p5889 +tp5890 +a(g185 +V +tp5891 +a(g222 +V"Anzahl der Seiten in dieser Größenklasse" +p5892 +tp5893 +a(g185 +V\u000a +tp5894 +a(g185 +V\u000a +tp5895 +a(g73 +Vmsgid +p5896 +tp5897 +a(g185 +V +tp5898 +a(g222 +V"User agent" +p5899 +tp5900 +a(g185 +V\u000a +tp5901 +a(g73 +Vmsgstr +p5902 +tp5903 +a(g185 +V +tp5904 +a(g222 +V"Browsertyp" +p5905 +tp5906 +a(g185 +V\u000a +tp5907 +a(g185 +V\u000a +tp5908 +a(g73 +Vmsgid +p5909 +tp5910 +a(g185 +V +tp5911 +a(g222 +V"Others" +p5912 +tp5913 +a(g185 +V\u000a +tp5914 +a(g73 +Vmsgstr +p5915 +tp5916 +a(g185 +V +tp5917 +a(g222 +V"Sonstige" +p5918 +tp5919 +a(g185 +V\u000a +tp5920 +a(g185 +V\u000a +tp5921 +a(g73 +Vmsgid +p5922 +tp5923 +a(g185 +V +tp5924 +a(g222 +V"Distribution of User-Agent Types" +p5925 +tp5926 +a(g185 +V\u000a +tp5927 +a(g73 +Vmsgstr +p5928 +tp5929 +a(g185 +V +tp5930 +a(g222 +V"Verteilung der Zugriffe auf Browsertypen" +p5931 +tp5932 +a(g185 +V\u000a +tp5933 +a(g185 +V\u000a +tp5934 +a(g73 +Vmsgid +p5935 +tp5936 +a(g185 +V +tp5937 +a(g222 +V"Views/day" +p5938 +tp5939 +a(g185 +V\u000a +tp5940 +a(g73 +Vmsgstr +p5941 +tp5942 +a(g185 +V +tp5943 +a(g222 +V"Lesezugriffe/Tag" +p5944 +tp5945 +a(g185 +V\u000a +tp5946 +a(g185 +V\u000a +tp5947 +a(g73 +Vmsgid +p5948 +tp5949 +a(g185 +V +tp5950 +a(g222 +V"Edits/day" +p5951 +tp5952 +a(g185 +V\u000a +tp5953 +a(g73 +Vmsgstr +p5954 +tp5955 +a(g185 +V +tp5956 +a(g222 +V"Schreibzugriffe/Tag" +p5957 +tp5958 +a(g185 +V\u000a +tp5959 +a(g185 +V\u000a +tp5960 +a(g73 +Vmsgid +p5961 +tp5962 +a(g185 +V +tp5963 +a(g222 +V"Page hits and edits" +p5964 +tp5965 +a(g185 +V\u000a +tp5966 +a(g73 +Vmsgstr +p5967 +tp5968 +a(g185 +V +tp5969 +a(g222 +V"Seitenzugriffe und Änderungen" +p5970 +tp5971 +a(g185 +V\u000a +tp5972 +a(g185 +V\u000a +tp5973 +a(g135 +V#, python-format +p5974 +tp5975 +a(g185 +V\u000a +tp5976 +a(g73 +Vmsgid +p5977 +tp5978 +a(g185 +V +tp5979 +a(g222 +V"%(chart_title)s for %(filterpage)s" +p5980 +tp5981 +a(g185 +V\u000a +tp5982 +a(g73 +Vmsgstr +p5983 +tp5984 +a(g185 +V +tp5985 +a(g222 +V"%(chart_title)s für %(filterpage)s" +p5986 +tp5987 +a(g185 +V\u000a +tp5988 +a(g185 +V\u000a +tp5989 +a(g73 +Vmsgid +p5990 +tp5991 +a(g185 +V +tp5992 +a(g222 +V"" +p5993 +tp5994 +a(g185 +V\u000a +tp5995 +a(g222 +V"green=view\u005cn" +p5996 +tp5997 +a(g185 +V\u000a +tp5998 +a(g222 +V"red=edit" +p5999 +tp6000 +a(g185 +V\u000a +tp6001 +a(g73 +Vmsgstr +p6002 +tp6003 +a(g185 +V +tp6004 +a(g222 +V"" +p6005 +tp6006 +a(g185 +V\u000a +tp6007 +a(g222 +V"grün=Anzeigen\u005cn" +p6008 +tp6009 +a(g185 +V\u000a +tp6010 +a(g222 +V"rot=Änderungen" +p6011 +tp6012 +a(g185 +V\u000a +tp6013 +a(g185 +V\u000a +tp6014 +a(g73 +Vmsgid +p6015 +tp6016 +a(g185 +V +tp6017 +a(g222 +V"date" +p6018 +tp6019 +a(g185 +V\u000a +tp6020 +a(g73 +Vmsgstr +p6021 +tp6022 +a(g185 +V +tp6023 +a(g222 +V"Datum" +p6024 +tp6025 +a(g185 +V\u000a +tp6026 +a(g185 +V\u000a +tp6027 +a(g73 +Vmsgid +p6028 +tp6029 +a(g185 +V +tp6030 +a(g222 +V"# of hits" +p6031 +tp6032 +a(g185 +V\u000a +tp6033 +a(g73 +Vmsgstr +p6034 +tp6035 +a(g185 +V +tp6036 +a(g222 +V"Anzahl der Zugriffe" +p6037 +tp6038 +a(g185 +V\u000a +tp6039 +a(g185 +V\u000a +tp6040 +a(g73 +Vmsgid +p6041 +tp6042 +a(g185 +V +tp6043 +a(g222 +V"" +p6044 +tp6045 +a(g185 +V\u000a +tp6046 +a(g222 +V" Emphasis:: [[Verbatim('')]]''italics''[[Verbatim('')]]; [[Verbatim" +p6047 +tp6048 +a(g185 +V\u000a +tp6049 +a(g222 +V"(''')]]'''bold'''[[Verbatim(''')]]; [[Verbatim(''''')]]'''''bold " +p6050 +tp6051 +a(g185 +V\u000a +tp6052 +a(g222 +V"italics'''''[[Verbatim(''''')]]; [[Verbatim('')]]''mixed ''[[Verbatim" +p6053 +tp6054 +a(g185 +V\u000a +tp6055 +a(g222 +V"(''')]]'''''bold'''[[Verbatim(''')]] and italics''[[Verbatim('')]]; " +p6056 +tp6057 +a(g185 +V\u000a +tp6058 +a(g222 +V"[[Verbatim(----)]] horizontal rule.\u005cn" +p6059 +tp6060 +a(g185 +V\u000a +tp6061 +a(g222 +V" Headings:: [[Verbatim(=)]] Title 1 [[Verbatim(=)]]; [[Verbatim(==)]] Title " +p6062 +tp6063 +a(g185 +V\u000a +tp6064 +a(g222 +V"2 [[Verbatim(==)]]; [[Verbatim(===)]] Title 3 [[Verbatim(===)]]; [[Verbatim" +p6065 +tp6066 +a(g185 +V\u000a +tp6067 +a(g222 +V"(====)]] Title 4 [[Verbatim(====)]]; [[Verbatim(=====)]] Title 5 [[Verbatim" +p6068 +tp6069 +a(g185 +V\u000a +tp6070 +a(g222 +V"(=====)]].\u005cn" +p6071 +tp6072 +a(g185 +V\u000a +tp6073 +a(g222 +V" Lists:: space and one of: * bullets; 1., a., A., i., I. numbered items; 1." +p6074 +tp6075 +a(g185 +V\u000a +tp6076 +a(g222 +V"#n start numbering at n; space alone indents.\u005cn" +p6077 +tp6078 +a(g185 +V\u000a +tp6079 +a(g222 +V" Links:: [[Verbatim(JoinCapitalizedWords)]]; [[Verbatim([\u005c"brackets and " +p6080 +tp6081 +a(g185 +V\u000a +tp6082 +a(g222 +V"double quotes\u005c"])]]; url; [url]; [url label].\u005cn" +p6083 +tp6084 +a(g185 +V\u000a +tp6085 +a(g222 +V" Tables:: || cell text |||| cell text spanning 2 columns ||; no trailing " +p6086 +tp6087 +a(g185 +V\u000a +tp6088 +a(g222 +V"white space allowed after tables or titles.\u005cn" +p6089 +tp6090 +a(g185 +V\u000a +tp6091 +a(g222 +V"\u005cn" +p6092 +tp6093 +a(g185 +V\u000a +tp6094 +a(g222 +V"(!) For more help, see HelpOnEditing or SyntaxReference.\u005cn" +p6095 +tp6096 +a(g185 +V\u000a +tp6097 +a(g73 +Vmsgstr +p6098 +tp6099 +a(g185 +V +tp6100 +a(g222 +V"" +p6101 +tp6102 +a(g185 +V\u000a +tp6103 +a(g222 +V" Betonung:: [[Verbatim('')]]''kursiv''[[Verbatim('')]]; [[Verbatim" +p6104 +tp6105 +a(g185 +V\u000a +tp6106 +a(g222 +V"(''')]]'''fett'''[[Verbatim(''')]]; [[Verbatim(''''')]]'''''fett und " +p6107 +tp6108 +a(g185 +V\u000a +tp6109 +a(g222 +V"kursiv'''''[[Verbatim(''''')]]; [[Verbatim('')]]''gemischt ''[[Verbatim" +p6110 +tp6111 +a(g185 +V\u000a +tp6112 +a(g222 +V"(''')]]'''''fett'''[[Verbatim(''')]] und kursiv''[[Verbatim('')]]; [[Verbatim" +p6113 +tp6114 +a(g185 +V\u000a +tp6115 +a(g222 +V"(----)]] horizontaler Balken.\u005cn" +p6116 +tp6117 +a(g185 +V\u000a +tp6118 +a(g222 +V" Überschriften:: [[Verbatim(=)]] Überschrift 1 [[Verbatim(=)]]; [[Verbatim" +p6119 +tp6120 +a(g185 +V\u000a +tp6121 +a(g222 +V"(==)]] Überschrift 2 [[Verbatim(==)]]; [[Verbatim(===)]] Ü 3 [[Verbatim" +p6122 +tp6123 +a(g185 +V\u000a +tp6124 +a(g222 +V"(===)]]; [[Verbatim(====)]] Ü 4 [[Verbatim(====)]]; [[Verbatim(=====)]] Ü " +p6125 +tp6126 +a(g185 +V\u000a +tp6127 +a(g222 +V"5 [[Verbatim(=====)]].\u005cn" +p6128 +tp6129 +a(g185 +V\u000a +tp6130 +a(g222 +V" Listen:: Leerzeichen und eins von: * Punkte; 1., a., A., i., I. nummerierte " +p6131 +tp6132 +a(g185 +V\u000a +tp6133 +a(g222 +V"Punkte; 1.#n starte Nummerierung bei n; nur Leerzeichen rückt ein.\u005cn" +p6134 +tp6135 +a(g185 +V\u000a +tp6136 +a(g222 +V" Links:: [[Verbatim(ZusammenGeschriebeneGroßeWorte)]]; [[Verbatim" +p6137 +tp6138 +a(g185 +V\u000a +tp6139 +a(g222 +V"([\u005c"Klammern und doppelte Anführungszeichen\u005c"])]]; url; [url]; [url " +p6140 +tp6141 +a(g185 +V\u000a +tp6142 +a(g222 +V"label].\u005cn" +p6143 +tp6144 +a(g185 +V\u000a +tp6145 +a(g222 +V" Tabellen:: || Zellentext |||| Zellentext, 2 Zellen überspannend ||; " +p6146 +tp6147 +a(g185 +V\u000a +tp6148 +a(g222 +V"keine anhängenden Leerzeichen nach Überschriften oder Tabellen.\u005cn" +p6149 +tp6150 +a(g185 +V\u000a +tp6151 +a(g222 +V"\u005cn" +p6152 +tp6153 +a(g185 +V\u000a +tp6154 +a(g222 +V"(!) Weitere Hilfe finden Sie unter HilfeZumEditieren oder SyntaxReferenz.\u005cn" +p6155 +tp6156 +a(g185 +V\u000a +tp6157 +a(g185 +V\u000a +tp6158 +a(g73 +Vmsgid +p6159 +tp6160 +a(g185 +V +tp6161 +a(g222 +V"" +p6162 +tp6163 +a(g185 +V\u000a +tp6164 +a(g222 +V" +tp6165 +a(g103 +VEmphasis: +p6166 +tp6167 +a(g222 +V *italic* **bold** ``monospace``
    \u005cn" +p6168 +tp6169 +a(g185 +V\u000a +tp6170 +a(g222 +V"
    \u005cn"
    +p6171
    +tp6172
    +a(g185
    +V\u000a
    +tp6173
    +a(g222
    +V"
    +tp6174
    +a(g103
    +VHeadings:
    +p6175
    +tp6176
    +a(g222
    +V Heading 1  Heading 2  Heading 3\u005cn"
    +p6177
    +tp6178
    +a(g185
    +V\u000a
    +tp6179
    +a(g222
    +V"          =========  ---------  ~~~~~~~~~\u005cn"
    +p6180
    +tp6181
    +a(g185
    +V\u000a
    +tp6182
    +a(g222
    +V"\u005cn"
    +p6183
    +tp6184
    +a(g185
    +V\u000a
    +tp6185
    +a(g222
    +V"Horizontal rule: ---- \u005cn"
    +p6186
    +tp6187
    +a(g185
    +V\u000a
    +tp6188
    +a(g222
    +V"
    +tp6189
    +a(g103
    +VLinks:
    +p6190
    +tp6191
    +a(g222
    +V TrailingUnderscore_ `multi word with backticks`_ external_ \u005cn"
    +p6192
    +tp6193
    +a(g185
    +V\u000a
    +tp6194
    +a(g222
    +V"\u005cn"
    +p6195
    +tp6196
    +a(g185
    +V\u000a
    +tp6197
    +a(g222
    +V".. _external: http://external-site.net/foo/\u005cn"
    +p6198
    +tp6199
    +a(g185
    +V\u000a
    +tp6200
    +a(g222
    +V"\u005cn"
    +p6201
    +tp6202
    +a(g185
    +V\u000a
    +tp6203
    +a(g222
    +V"
    +tp6204
    +a(g103
    +VLists:
    +p6205
    +tp6206
    +a(g222
    +V * bullets; 1., a. numbered items.\u005cn"
    +p6207
    +tp6208
    +a(g185
    +V\u000a
    +tp6209
    +a(g222
    +V"
    \u005cn" +p6210 +tp6211 +a(g185 +V\u000a +tp6212 +a(g222 +V"
    \u005cn" +p6213 +tp6214 +a(g185 +V\u000a +tp6215 +a(g222 +V"(!) For more help, see the \u005cn" +p6216 +tp6217 +a(g185 +V\u000a +tp6218 +a(g222 +V"\u005cn" +p6219 +tp6220 +a(g185 +V\u000a +tp6221 +a(g222 +V"reStructuredText Quick Reference\u005cn" +p6222 +tp6223 +a(g185 +V\u000a +tp6224 +a(g222 +V".\u005cn" +p6225 +tp6226 +a(g185 +V\u000a +tp6227 +a(g73 +Vmsgstr +p6228 +tp6229 +a(g185 +V +tp6230 +a(g222 +V"" +p6231 +tp6232 +a(g185 +V\u000a +tp6233 +a(g222 +V" +tp6234 +a(g103 +VBetonung: +p6235 +tp6236 +a(g222 +V *kursiv* **fett** ``gleiche Zeichenbreite``
    \u005cn" +p6237 +tp6238 +a(g185 +V\u000a +tp6239 +a(g222 +V"
    \u005cn"
    +p6240
    +tp6241
    +a(g185
    +V\u000a
    +tp6242
    +a(g222
    +V"
    +tp6243
    +a(g103
    +VÜberschriften:
    +p6244
    +tp6245
    +a(g222
    +V Überschrift 1  Überschrift 2  Überschrift 3\u005cn"
    +p6246
    +tp6247
    +a(g185
    +V\u000a
    +tp6248
    +a(g222
    +V"               =============  -------------  ~~~~~~~~~~~~~\u005cn"
    +p6249
    +tp6250
    +a(g185
    +V\u000a
    +tp6251
    +a(g222
    +V"\u005cn"
    +p6252
    +tp6253
    +a(g185
    +V\u000a
    +tp6254
    +a(g222
    +V"Horizontale Linie: ---- \u005cn"
    +p6255
    +tp6256
    +a(g185
    +V\u000a
    +tp6257
    +a(g222
    +V"
    +tp6258
    +a(g103
    +VLinks:
    +p6259
    +tp6260
    +a(g222
    +V AngehängterUnterstrich_ `mehrere Worte mit Rückwärtsapostroph`_ "
    +p6261
    +tp6262
    +a(g185
    +V\u000a
    +tp6263
    +a(g222
    +V"extern_ \u005cn"
    +p6264
    +tp6265
    +a(g185
    +V\u000a
    +tp6266
    +a(g222
    +V"\u005cn"
    +p6267
    +tp6268
    +a(g185
    +V\u000a
    +tp6269
    +a(g222
    +V".. _extern: http://externe-seite.de/\u005cn"
    +p6270
    +tp6271
    +a(g185
    +V\u000a
    +tp6272
    +a(g222
    +V"\u005cn"
    +p6273
    +tp6274
    +a(g185
    +V\u000a
    +tp6275
    +a(g222
    +V"
    +tp6276
    +a(g103
    +VListen:
    +p6277
    +tp6278
    +a(g222
    +V * Punkte; 1., a. nummerierte Punkte.\u005cn"
    +p6279
    +tp6280
    +a(g185
    +V\u000a
    +tp6281
    +a(g222
    +V"
    \u005cn" +p6282 +tp6283 +a(g185 +V\u000a +tp6284 +a(g222 +V"
    \u005cn" +p6285 +tp6286 +a(g185 +V\u000a +tp6287 +a(g222 +V"(!) Für mehr Hilfe siehe die \u005cn" +p6288 +tp6289 +a(g185 +V\u000a +tp6290 +a(g222 +V"\u005cn" +p6291 +tp6292 +a(g185 +V\u000a +tp6293 +a(g222 +V"reStructuredText Quick Reference\u005cn" +p6294 +tp6295 +a(g185 +V\u000a +tp6296 +a(g222 +V".\u005cn" +p6297 +tp6298 +a(g185 +V\u000a +tp6299 +a(g185 +V\u000a +tp6300 +a(g73 +Vmsgid +p6301 +tp6302 +a(g185 +V +tp6303 +a(g222 +V"UnSubscribe" +p6304 +tp6305 +a(g185 +V\u000a +tp6306 +a(g73 +Vmsgstr +p6307 +tp6308 +a(g185 +V +tp6309 +a(g222 +V"Nicht abonnieren" +p6310 +tp6311 +a(g185 +V\u000a +tp6312 +a(g185 +V\u000a +tp6313 +a(g73 +Vmsgid +p6314 +tp6315 +a(g185 +V +tp6316 +a(g222 +V"Publish my email (not my wiki homepage) in author info" +p6317 +tp6318 +a(g185 +V\u000a +tp6319 +a(g73 +Vmsgstr +p6320 +tp6321 +a(g185 +V +tp6322 +a(g222 +V"" +p6323 +tp6324 +a(g185 +V\u000a +tp6325 +a(g222 +V"Veröffentliche meine E-Mail-Adresse (nicht meine Wiki-Homepage) in der " +p6326 +tp6327 +a(g185 +V\u000a +tp6328 +a(g222 +V"Autoren-Info" +p6329 +tp6330 +a(g185 +V\u000a +tp6331 +a(g185 +V\u000a +tp6332 +a(g73 +Vmsgid +p6333 +tp6334 +a(g185 +V +tp6335 +a(g222 +V"Open editor on double click" +p6336 +tp6337 +a(g185 +V\u000a +tp6338 +a(g73 +Vmsgstr +p6339 +tp6340 +a(g185 +V +tp6341 +a(g222 +V"Editor per Doppelklick öffnen" +p6342 +tp6343 +a(g185 +V\u000a +tp6344 +a(g185 +V\u000a +tp6345 +a(g73 +Vmsgid +p6346 +tp6347 +a(g185 +V +tp6348 +a(g222 +V"After login, jump to last visited page" +p6349 +tp6350 +a(g185 +V\u000a +tp6351 +a(g73 +Vmsgstr +p6352 +tp6353 +a(g185 +V +tp6354 +a(g222 +V"Nach dem Anmelden zur zuletzt besuchten Seite springen" +p6355 +tp6356 +a(g185 +V\u000a +tp6357 +a(g185 +V\u000a +tp6358 +a(g73 +Vmsgid +p6359 +tp6360 +a(g185 +V +tp6361 +a(g222 +V"Show comment sections" +p6362 +tp6363 +a(g185 +V\u000a +tp6364 +a(g73 +Vmsgstr +p6365 +tp6366 +a(g185 +V +tp6367 +a(g222 +V"Kommentarabschnitte anzeigen" +p6368 +tp6369 +a(g185 +V\u000a +tp6370 +a(g185 +V\u000a +tp6371 +a(g73 +Vmsgid +p6372 +tp6373 +a(g185 +V +tp6374 +a(g222 +V"Show question mark for non-existing pagelinks" +p6375 +tp6376 +a(g185 +V\u000a +tp6377 +a(g73 +Vmsgstr +p6378 +tp6379 +a(g185 +V +tp6380 +a(g222 +V"Verweise auf unbekannte Seiten mit Fragezeichen markieren" +p6381 +tp6382 +a(g185 +V\u000a +tp6383 +a(g185 +V\u000a +tp6384 +a(g73 +Vmsgid +p6385 +tp6386 +a(g185 +V +tp6387 +a(g222 +V"Show page trail" +p6388 +tp6389 +a(g185 +V\u000a +tp6390 +a(g73 +Vmsgstr +p6391 +tp6392 +a(g185 +V +tp6393 +a(g222 +V"Kürzlich besuchte Seiten anzeigen (Verlauf)" +p6394 +tp6395 +a(g185 +V\u000a +tp6396 +a(g185 +V\u000a +tp6397 +a(g73 +Vmsgid +p6398 +tp6399 +a(g185 +V +tp6400 +a(g222 +V"Show icon toolbar" +p6401 +tp6402 +a(g185 +V\u000a +tp6403 +a(g73 +Vmsgstr +p6404 +tp6405 +a(g185 +V +tp6406 +a(g222 +V"Werkzeugleiste mit Bildsymbolen anzeigen" +p6407 +tp6408 +a(g185 +V\u000a +tp6409 +a(g185 +V\u000a +tp6410 +a(g73 +Vmsgid +p6411 +tp6412 +a(g185 +V +tp6413 +a(g222 +V"Show top/bottom links in headings" +p6414 +tp6415 +a(g185 +V\u000a +tp6416 +a(g73 +Vmsgstr +p6417 +tp6418 +a(g185 +V +tp6419 +a(g222 +V"Verweise zum Anfang und Ende der Seite in Überschriften anzeigen" +p6420 +tp6421 +a(g185 +V\u000a +tp6422 +a(g185 +V\u000a +tp6423 +a(g73 +Vmsgid +p6424 +tp6425 +a(g185 +V +tp6426 +a(g222 +V"Show fancy diffs" +p6427 +tp6428 +a(g185 +V\u000a +tp6429 +a(g73 +Vmsgstr +p6430 +tp6431 +a(g185 +V +tp6432 +a(g222 +V"Unterschiede farbig markiert anzeigen" +p6433 +tp6434 +a(g185 +V\u000a +tp6435 +a(g185 +V\u000a +tp6436 +a(g73 +Vmsgid +p6437 +tp6438 +a(g185 +V +tp6439 +a(g222 +V"Add spaces to displayed wiki names" +p6440 +tp6441 +a(g185 +V\u000a +tp6442 +a(g73 +Vmsgstr +p6443 +tp6444 +a(g185 +V +tp6445 +a(g222 +V"Angezeigte Wikinamen mit Leerzeichen trennen" +p6446 +tp6447 +a(g185 +V\u000a +tp6448 +a(g185 +V\u000a +tp6449 +a(g73 +Vmsgid +p6450 +tp6451 +a(g185 +V +tp6452 +a(g222 +V"Remember login information" +p6453 +tp6454 +a(g185 +V\u000a +tp6455 +a(g73 +Vmsgstr +p6456 +tp6457 +a(g185 +V +tp6458 +a(g222 +V"Speichere Login-Informationen" +p6459 +tp6460 +a(g185 +V\u000a +tp6461 +a(g185 +V\u000a +tp6462 +a(g73 +Vmsgid +p6463 +tp6464 +a(g185 +V +tp6465 +a(g222 +V"Subscribe to trivial changes" +p6466 +tp6467 +a(g185 +V\u000a +tp6468 +a(g73 +Vmsgstr +p6469 +tp6470 +a(g185 +V +tp6471 +a(g222 +V"Triviale Änderungen abonnieren" +p6472 +tp6473 +a(g185 +V\u000a +tp6474 +a(g185 +V\u000a +tp6475 +a(g73 +Vmsgid +p6476 +tp6477 +a(g185 +V +tp6478 +a(g222 +V"Disable this account forever" +p6479 +tp6480 +a(g185 +V\u000a +tp6481 +a(g73 +Vmsgstr +p6482 +tp6483 +a(g185 +V +tp6484 +a(g222 +V"Dieses Benutzerkonto für immer deaktivieren" +p6485 +tp6486 +a(g185 +V\u000a +tp6487 +a(g185 +V\u000a +tp6488 +a(g73 +Vmsgid +p6489 +tp6490 +a(g185 +V +tp6491 +a(g222 +V"(Use Firstname''''''Lastname)" +p6492 +tp6493 +a(g185 +V\u000a +tp6494 +a(g73 +Vmsgstr +p6495 +tp6496 +a(g185 +V +tp6497 +a(g222 +V"(Vorname''''''Nachname verwenden)" +p6498 +tp6499 +a(g185 +V\u000a +tp6500 +a(g185 +V\u000a +tp6501 +a(g73 +Vmsgid +p6502 +tp6503 +a(g185 +V +tp6504 +a(g222 +V"Alias-Name" +p6505 +tp6506 +a(g185 +V\u000a +tp6507 +a(g73 +Vmsgstr +p6508 +tp6509 +a(g185 +V +tp6510 +a(g222 +V"Alias-Name" +p6511 +tp6512 +a(g185 +V\u000a +tp6513 +a(g185 +V\u000a +tp6514 +a(g73 +Vmsgid +p6515 +tp6516 +a(g185 +V +tp6517 +a(g222 +V"Password repeat" +p6518 +tp6519 +a(g185 +V\u000a +tp6520 +a(g73 +Vmsgstr +p6521 +tp6522 +a(g185 +V +tp6523 +a(g222 +V"Passwort wiederholen" +p6524 +tp6525 +a(g185 +V\u000a +tp6526 +a(g185 +V\u000a +tp6527 +a(g73 +Vmsgid +p6528 +tp6529 +a(g185 +V +tp6530 +a(g222 +V"(Only for password change or new account)" +p6531 +tp6532 +a(g185 +V\u000a +tp6533 +a(g73 +Vmsgstr +p6534 +tp6535 +a(g185 +V +tp6536 +a(g222 +V"(Nur für Passwort-Änderung oder neue Benutzerkonten)" +p6537 +tp6538 +a(g185 +V\u000a +tp6539 +a(g185 +V\u000a +tp6540 +a(g73 +Vmsgid +p6541 +tp6542 +a(g185 +V +tp6543 +a(g222 +V"User CSS URL" +p6544 +tp6545 +a(g185 +V\u000a +tp6546 +a(g73 +Vmsgstr +p6547 +tp6548 +a(g185 +V +tp6549 +a(g222 +V"Benutzer CSS URL" +p6550 +tp6551 +a(g185 +V\u000a +tp6552 +a(g185 +V\u000a +tp6553 +a(g73 +Vmsgid +p6554 +tp6555 +a(g185 +V +tp6556 +a(g222 +V"(Leave it empty for disabling user CSS)" +p6557 +tp6558 +a(g185 +V\u000a +tp6559 +a(g73 +Vmsgstr +p6560 +tp6561 +a(g185 +V +tp6562 +a(g222 +V"Leer lassen, um benutzerdefiniertes CSS auszuschalten)" +p6563 +tp6564 +a(g185 +V\u000a +tp6565 +a(g185 +V\u000a +tp6566 +a(g73 +Vmsgid +p6567 +tp6568 +a(g185 +V +tp6569 +a(g222 +V"Editor size" +p6570 +tp6571 +a(g185 +V\u000a +tp6572 +a(g73 +Vmsgstr +p6573 +tp6574 +a(g185 +V +tp6575 +a(g222 +V"Größe des Texteingabefelds" +p6576 +tp6577 +a(g185 +V\u000a +tp6578 +a(g185 +V\u000a +tp6579 +a(g73 +Vmsgid +p6580 +tp6581 +a(g185 +V +tp6582 +a(g222 +V"Do it." +p6583 +tp6584 +a(g185 +V\u000a +tp6585 +a(g73 +Vmsgstr +p6586 +tp6587 +a(g185 +V +tp6588 +a(g222 +V"Ausführen" +p6589 +tp6590 +a(g185 +V\u000a +tp6591 +a(g185 +V\u000a +tp6592 +a(g135 +V#, python-format +p6593 +tp6594 +a(g185 +V\u000a +tp6595 +a(g73 +Vmsgid +p6596 +tp6597 +a(g185 +V +tp6598 +a(g222 +V"Execute action %(actionname)s?" +p6599 +tp6600 +a(g185 +V\u000a +tp6601 +a(g73 +Vmsgstr +p6602 +tp6603 +a(g185 +V +tp6604 +a(g222 +V"Aktion %(actionname)s ausführen?" +p6605 +tp6606 +a(g185 +V\u000a +tp6607 +a(g185 +V\u000a +tp6608 +a(g135 +V#, python-format +p6609 +tp6610 +a(g185 +V\u000a +tp6611 +a(g73 +Vmsgid +p6612 +tp6613 +a(g185 +V +tp6614 +a(g222 +V"Action %(actionname)s is excluded in this wiki!" +p6615 +tp6616 +a(g185 +V\u000a +tp6617 +a(g73 +Vmsgstr +p6618 +tp6619 +a(g185 +V +tp6620 +a(g222 +V"Aktion %(actionname)s ist ausgeschlossen in diesem Wiki!" +p6621 +tp6622 +a(g185 +V\u000a +tp6623 +a(g185 +V\u000a +tp6624 +a(g135 +V#, python-format +p6625 +tp6626 +a(g185 +V\u000a +tp6627 +a(g73 +Vmsgid +p6628 +tp6629 +a(g185 +V +tp6630 +a(g222 +V"You are not allowed to use action %(actionname)s on this page!" +p6631 +tp6632 +a(g185 +V\u000a +tp6633 +a(g73 +Vmsgstr +p6634 +tp6635 +a(g185 +V +tp6636 +a(g222 +V"Sie dürfen die Aktion %(actionname)s auf dieser Seite nicht benutzen!" +p6637 +tp6638 +a(g185 +V\u000a +tp6639 +a(g185 +V\u000a +tp6640 +a(g135 +V#, python-format +p6641 +tp6642 +a(g185 +V\u000a +tp6643 +a(g73 +Vmsgid +p6644 +tp6645 +a(g185 +V +tp6646 +a(g222 +V"Please use the interactive user interface to use action %(actionname)s!" +p6647 +tp6648 +a(g185 +V\u000a +tp6649 +a(g73 +Vmsgstr +p6650 +tp6651 +a(g185 +V +tp6652 +a(g222 +V"" +p6653 +tp6654 +a(g185 +V\u000a +tp6655 +a(g222 +V"Für die Aktion %(actionname)s bitte nur die vorgesehenen Webseiten benutzen!" +p6656 +tp6657 +a(g185 +V\u000a +tp6658 +a(g185 +V\u000a +tp6659 +a(g73 +Vmsgid +p6660 +tp6661 +a(g185 +V +tp6662 +a(g222 +V"You must login to add a quicklink." +p6663 +tp6664 +a(g185 +V\u000a +tp6665 +a(g73 +Vmsgstr +p6666 +tp6667 +a(g185 +V +tp6668 +a(g222 +V"Sie müssen sich anmelden, um einen Expressverweis hinzuzufügen." +p6669 +tp6670 +a(g185 +V\u000a +tp6671 +a(g185 +V\u000a +tp6672 +a(g73 +Vmsgid +p6673 +tp6674 +a(g185 +V +tp6675 +a(g222 +V"Your quicklink to this page has been removed." +p6676 +tp6677 +a(g185 +V\u000a +tp6678 +a(g73 +Vmsgstr +p6679 +tp6680 +a(g185 +V +tp6681 +a(g222 +V"Ihr Expressverweis für diese Seite wurde entfernt." +p6682 +tp6683 +a(g185 +V\u000a +tp6684 +a(g185 +V\u000a +tp6685 +a(g73 +Vmsgid +p6686 +tp6687 +a(g185 +V +tp6688 +a(g222 +V"Your quicklink to this page could not be removed." +p6689 +tp6690 +a(g185 +V\u000a +tp6691 +a(g73 +Vmsgstr +p6692 +tp6693 +a(g185 +V +tp6694 +a(g222 +V"Ihr Expressverweis für diese Seite konnte nicht entfernt werden." +p6695 +tp6696 +a(g185 +V\u000a +tp6697 +a(g185 +V\u000a +tp6698 +a(g73 +Vmsgid +p6699 +tp6700 +a(g185 +V +tp6701 +a(g222 +V"A quicklink to this page has been added for you." +p6702 +tp6703 +a(g185 +V\u000a +tp6704 +a(g73 +Vmsgstr +p6705 +tp6706 +a(g185 +V +tp6707 +a(g222 +V"Ein Expressverweis für diese Seite wurde hinzugefügt." +p6708 +tp6709 +a(g185 +V\u000a +tp6710 +a(g185 +V\u000a +tp6711 +a(g73 +Vmsgid +p6712 +tp6713 +a(g185 +V +tp6714 +a(g222 +V"A quicklink to this page could not be added for you." +p6715 +tp6716 +a(g185 +V\u000a +tp6717 +a(g73 +Vmsgstr +p6718 +tp6719 +a(g185 +V +tp6720 +a(g222 +V"Ein Expressverweis für diese Seite konnte nicht hinzugefügt werden." +p6721 +tp6722 +a(g185 +V\u000a +tp6723 +a(g185 +V\u000a +tp6724 +a(g73 +Vmsgid +p6725 +tp6726 +a(g185 +V +tp6727 +a(g222 +V"Missing password. Please enter user name and password." +p6728 +tp6729 +a(g185 +V\u000a +tp6730 +a(g73 +Vmsgstr +p6731 +tp6732 +a(g185 +V +tp6733 +a(g222 +V"Fehlendes Passwort. Bitte geben Sie Benutzername und Passwort ein." +p6734 +tp6735 +a(g185 +V\u000a +tp6736 +a(g185 +V\u000a +tp6737 +a(g73 +Vmsgid +p6738 +tp6739 +a(g185 +V +tp6740 +a(g222 +V"Sorry, login failed." +p6741 +tp6742 +a(g185 +V\u000a +tp6743 +a(g73 +Vmsgstr +p6744 +tp6745 +a(g185 +V +tp6746 +a(g222 +V"Login fehlgeschlagen." +p6747 +tp6748 +a(g185 +V\u000a +tp6749 +a(g185 +V\u000a +tp6750 +a(g135 +V#, python-format +p6751 +tp6752 +a(g185 +V\u000a +tp6753 +a(g73 +Vmsgid +p6754 +tp6755 +a(g185 +V +tp6756 +a(g222 +V"[%d attachments]" +p6757 +tp6758 +a(g185 +V\u000a +tp6759 +a(g73 +Vmsgstr +p6760 +tp6761 +a(g185 +V +tp6762 +a(g222 +V"[%d Anhänge]" +p6763 +tp6764 +a(g185 +V\u000a +tp6765 +a(g185 +V\u000a +tp6766 +a(g135 +V#, python-format +p6767 +tp6768 +a(g185 +V\u000a +tp6769 +a(g73 +Vmsgid +p6770 +tp6771 +a(g185 +V +tp6772 +a(g222 +V"" +p6773 +tp6774 +a(g185 +V\u000a +tp6775 +a(g222 +V"There are %(count)s attachment(s) stored for this " +p6776 +tp6777 +a(g185 +V\u000a +tp6778 +a(g222 +V"page." +p6779 +tp6780 +a(g185 +V\u000a +tp6781 +a(g73 +Vmsgstr +p6782 +tp6783 +a(g185 +V +tp6784 +a(g222 +V"" +p6785 +tp6786 +a(g185 +V\u000a +tp6787 +a(g222 +V"Es sind %(count)s Anhänge für diese Seite " +p6788 +tp6789 +a(g185 +V\u000a +tp6790 +a(g222 +V"gespeichert." +p6791 +tp6792 +a(g185 +V\u000a +tp6793 +a(g185 +V\u000a +tp6794 +a(g135 +V#, python-format +p6795 +tp6796 +a(g185 +V\u000a +tp6797 +a(g73 +Vmsgid +p6798 +tp6799 +a(g185 +V +tp6800 +a(g222 +V"Attachment '%(target)s' already exists." +p6801 +tp6802 +a(g185 +V\u000a +tp6803 +a(g73 +Vmsgstr +p6804 +tp6805 +a(g185 +V +tp6806 +a(g222 +V"Dateianhang '%(target)s' existiert bereits." +p6807 +tp6808 +a(g185 +V\u000a +tp6809 +a(g185 +V\u000a +tp6810 +a(g73 +Vmsgid +p6811 +tp6812 +a(g185 +V +tp6813 +a(g222 +V"Filename of attachment not specified!" +p6814 +tp6815 +a(g185 +V\u000a +tp6816 +a(g73 +Vmsgstr +p6817 +tp6818 +a(g185 +V +tp6819 +a(g222 +V"Dateiname des Anhangs fehlt oder ist leer!" +p6820 +tp6821 +a(g185 +V\u000a +tp6822 +a(g185 +V\u000a +tp6823 +a(g135 +V#, python-format +p6824 +tp6825 +a(g185 +V\u000a +tp6826 +a(g73 +Vmsgid +p6827 +tp6828 +a(g185 +V +tp6829 +a(g222 +V"Attachment '%(filename)s' does not exist!" +p6830 +tp6831 +a(g185 +V\u000a +tp6832 +a(g73 +Vmsgstr +p6833 +tp6834 +a(g185 +V +tp6835 +a(g222 +V"Dateianhang '%(filename)s' existiert nicht!" +p6836 +tp6837 +a(g185 +V\u000a +tp6838 +a(g185 +V\u000a +tp6839 +a(g73 +Vmsgid +p6840 +tp6841 +a(g185 +V +tp6842 +a(g222 +V"" +p6843 +tp6844 +a(g185 +V\u000a +tp6845 +a(g222 +V"To refer to attachments on a page, use '''{{{attachment:filename}}}''', \u005cn" +p6846 +tp6847 +a(g185 +V\u000a +tp6848 +a(g222 +V"as shown below in the list of files. \u005cn" +p6849 +tp6850 +a(g185 +V\u000a +tp6851 +a(g222 +V"Do '''NOT''' use the URL of the {{{[get]}}} link, \u005cn" +p6852 +tp6853 +a(g185 +V\u000a +tp6854 +a(g222 +V"since this is subject to change and can break easily." +p6855 +tp6856 +a(g185 +V\u000a +tp6857 +a(g73 +Vmsgstr +p6858 +tp6859 +a(g185 +V +tp6860 +a(g222 +V"" +p6861 +tp6862 +a(g185 +V\u000a +tp6863 +a(g222 +V"Um Dateianhänge in eine Seite einzufügen sollte unbedingt eine Angabe \u005cn" +p6864 +tp6865 +a(g185 +V\u000a +tp6866 +a(g222 +V"wie '''{{{attachment:dateiname}}}''' benutzt werden, \u005cn" +p6867 +tp6868 +a(g185 +V\u000a +tp6869 +a(g222 +V"wie sie auch in der folgenden Liste der Dateien erscheint. \u005cn" +p6870 +tp6871 +a(g185 +V\u000a +tp6872 +a(g222 +V"Es sollte '''niemals''' die URL des Verweises (\u005c"laden\u005c") kopiert werden, \u005cn" +p6873 +tp6874 +a(g185 +V\u000a +tp6875 +a(g222 +V"da sich diese jederzeit ändern kann und damit der Verweis auf die Datei " +p6876 +tp6877 +a(g185 +V\u000a +tp6878 +a(g222 +V"brechen würde." +p6879 +tp6880 +a(g185 +V\u000a +tp6881 +a(g185 +V\u000a +tp6882 +a(g73 +Vmsgid +p6883 +tp6884 +a(g185 +V +tp6885 +a(g222 +V"del" +p6886 +tp6887 +a(g185 +V\u000a +tp6888 +a(g73 +Vmsgstr +p6889 +tp6890 +a(g185 +V +tp6891 +a(g222 +V"löschen" +p6892 +tp6893 +a(g185 +V\u000a +tp6894 +a(g185 +V\u000a +tp6895 +a(g73 +Vmsgid +p6896 +tp6897 +a(g185 +V +tp6898 +a(g222 +V"move" +p6899 +tp6900 +a(g185 +V\u000a +tp6901 +a(g73 +Vmsgstr +p6902 +tp6903 +a(g185 +V +tp6904 +a(g222 +V"verschieben" +p6905 +tp6906 +a(g185 +V\u000a +tp6907 +a(g185 +V\u000a +tp6908 +a(g73 +Vmsgid +p6909 +tp6910 +a(g185 +V +tp6911 +a(g222 +V"get" +p6912 +tp6913 +a(g185 +V\u000a +tp6914 +a(g73 +Vmsgstr +p6915 +tp6916 +a(g185 +V +tp6917 +a(g222 +V"laden" +p6918 +tp6919 +a(g185 +V\u000a +tp6920 +a(g185 +V\u000a +tp6921 +a(g73 +Vmsgid +p6922 +tp6923 +a(g185 +V +tp6924 +a(g222 +V"view" +p6925 +tp6926 +a(g185 +V\u000a +tp6927 +a(g73 +Vmsgstr +p6928 +tp6929 +a(g185 +V +tp6930 +a(g222 +V"anzeigen" +p6931 +tp6932 +a(g185 +V\u000a +tp6933 +a(g185 +V\u000a +tp6934 +a(g73 +Vmsgid +p6935 +tp6936 +a(g185 +V +tp6937 +a(g222 +V"unzip" +p6938 +tp6939 +a(g185 +V\u000a +tp6940 +a(g73 +Vmsgstr +p6941 +tp6942 +a(g185 +V +tp6943 +a(g222 +V"auspacken" +p6944 +tp6945 +a(g185 +V\u000a +tp6946 +a(g185 +V\u000a +tp6947 +a(g73 +Vmsgid +p6948 +tp6949 +a(g185 +V +tp6950 +a(g222 +V"install" +p6951 +tp6952 +a(g185 +V\u000a +tp6953 +a(g73 +Vmsgstr +p6954 +tp6955 +a(g185 +V +tp6956 +a(g222 +V"installieren" +p6957 +tp6958 +a(g185 +V\u000a +tp6959 +a(g185 +V\u000a +tp6960 +a(g135 +V#, python-format +p6961 +tp6962 +a(g185 +V\u000a +tp6963 +a(g73 +Vmsgid +p6964 +tp6965 +a(g185 +V +tp6966 +a(g222 +V"No attachments stored for %(pagename)s" +p6967 +tp6968 +a(g185 +V\u000a +tp6969 +a(g73 +Vmsgstr +p6970 +tp6971 +a(g185 +V +tp6972 +a(g222 +V"Es wurden keine Anhänge für die Seite %(pagename)s gespeichert." +p6973 +tp6974 +a(g185 +V\u000a +tp6975 +a(g185 +V\u000a +tp6976 +a(g73 +Vmsgid +p6977 +tp6978 +a(g185 +V +tp6979 +a(g222 +V"Edit drawing" +p6980 +tp6981 +a(g185 +V\u000a +tp6982 +a(g73 +Vmsgstr +p6983 +tp6984 +a(g185 +V +tp6985 +a(g222 +V"Zeichnung editieren" +p6986 +tp6987 +a(g185 +V\u000a +tp6988 +a(g185 +V\u000a +tp6989 +a(g73 +Vmsgid +p6990 +tp6991 +a(g185 +V +tp6992 +a(g222 +V"New Attachment" +p6993 +tp6994 +a(g185 +V\u000a +tp6995 +a(g73 +Vmsgstr +p6996 +tp6997 +a(g185 +V +tp6998 +a(g222 +V"Neuer Dateianhang" +p6999 +tp7000 +a(g185 +V\u000a +tp7001 +a(g185 +V\u000a +tp7002 +a(g73 +Vmsgid +p7003 +tp7004 +a(g185 +V +tp7005 +a(g222 +V"" +p7006 +tp7007 +a(g185 +V\u000a +tp7008 +a(g222 +V"An upload will never overwrite an existing file. If there is a name\u005cn" +p7009 +tp7010 +a(g185 +V\u000a +tp7011 +a(g222 +V"conflict, you have to rename the file that you want to upload.\u005cn" +p7012 +tp7013 +a(g185 +V\u000a +tp7014 +a(g222 +V"Otherwise, if \u005c"Rename to\u005c" is left blank, the original filename will be " +p7015 +tp7016 +a(g185 +V\u000a +tp7017 +a(g222 +V"used." +p7018 +tp7019 +a(g185 +V\u000a +tp7020 +a(g73 +Vmsgstr +p7021 +tp7022 +a(g185 +V +tp7023 +a(g222 +V"" +p7024 +tp7025 +a(g185 +V\u000a +tp7026 +a(g222 +V"Ein neuer Anhang überschreibt niemals einen bereits vorhandenen gleichen " +p7027 +tp7028 +a(g185 +V\u000a +tp7029 +a(g222 +V"Namens.\u005cn" +p7030 +tp7031 +a(g185 +V\u000a +tp7032 +a(g222 +V"Besteht ein Namenskonflikt, muss dem neuen Anhang ein alternativer Name " +p7033 +tp7034 +a(g185 +V\u000a +tp7035 +a(g222 +V"zugewiesen werden.\u005cn" +p7036 +tp7037 +a(g185 +V\u000a +tp7038 +a(g222 +V"Ansonsten kann das Feld \u005c"Umbenennen auf\u005c" leer bleiben und es wird der " +p7039 +tp7040 +a(g185 +V\u000a +tp7041 +a(g222 +V"originale Dateiname benutzt." +p7042 +tp7043 +a(g185 +V\u000a +tp7044 +a(g185 +V\u000a +tp7045 +a(g73 +Vmsgid +p7046 +tp7047 +a(g185 +V +tp7048 +a(g222 +V"File to upload" +p7049 +tp7050 +a(g185 +V\u000a +tp7051 +a(g73 +Vmsgstr +p7052 +tp7053 +a(g185 +V +tp7054 +a(g222 +V"Neuer Dateianhang" +p7055 +tp7056 +a(g185 +V\u000a +tp7057 +a(g185 +V\u000a +tp7058 +a(g73 +Vmsgid +p7059 +tp7060 +a(g185 +V +tp7061 +a(g222 +V"Rename to" +p7062 +tp7063 +a(g185 +V\u000a +tp7064 +a(g73 +Vmsgstr +p7065 +tp7066 +a(g185 +V +tp7067 +a(g222 +V"Umbenennen auf" +p7068 +tp7069 +a(g185 +V\u000a +tp7070 +a(g185 +V\u000a +tp7071 +a(g73 +Vmsgid +p7072 +tp7073 +a(g185 +V +tp7074 +a(g222 +V"Overwrite existing attachment of same name" +p7075 +tp7076 +a(g185 +V\u000a +tp7077 +a(g73 +Vmsgstr +p7078 +tp7079 +a(g185 +V +tp7080 +a(g222 +V"Anhänge gleichen Namens überschreiben" +p7081 +tp7082 +a(g185 +V\u000a +tp7083 +a(g185 +V\u000a +tp7084 +a(g73 +Vmsgid +p7085 +tp7086 +a(g185 +V +tp7087 +a(g222 +V"Upload" +p7088 +tp7089 +a(g185 +V\u000a +tp7090 +a(g73 +Vmsgstr +p7091 +tp7092 +a(g185 +V +tp7093 +a(g222 +V"Datei hochladen" +p7094 +tp7095 +a(g185 +V\u000a +tp7096 +a(g185 +V\u000a +tp7097 +a(g73 +Vmsgid +p7098 +tp7099 +a(g185 +V +tp7100 +a(g222 +V"Attached Files" +p7101 +tp7102 +a(g185 +V\u000a +tp7103 +a(g73 +Vmsgstr +p7104 +tp7105 +a(g185 +V +tp7106 +a(g222 +V"Gespeicherte Dateianhänge" +p7107 +tp7108 +a(g185 +V\u000a +tp7109 +a(g185 +V\u000a +tp7110 +a(g73 +Vmsgid +p7111 +tp7112 +a(g185 +V +tp7113 +a(g222 +V"You are not allowed to attach a file to this page." +p7114 +tp7115 +a(g185 +V\u000a +tp7116 +a(g73 +Vmsgstr +p7117 +tp7118 +a(g185 +V +tp7119 +a(g222 +V"Sie dürfen keine Anhänge an diese Seite anhängen!" +p7120 +tp7121 +a(g185 +V\u000a +tp7122 +a(g185 +V\u000a +tp7123 +a(g73 +Vmsgid +p7124 +tp7125 +a(g185 +V +tp7126 +a(g222 +V"File attachments are not allowed in this wiki!" +p7127 +tp7128 +a(g185 +V\u000a +tp7129 +a(g73 +Vmsgstr +p7130 +tp7131 +a(g185 +V +tp7132 +a(g222 +V"Dateianhänge sind in diesem Wiki nicht erlaubt!" +p7133 +tp7134 +a(g185 +V\u000a +tp7135 +a(g185 +V\u000a +tp7136 +a(g73 +Vmsgid +p7137 +tp7138 +a(g185 +V +tp7139 +a(g222 +V"You are not allowed to save a drawing on this page." +p7140 +tp7141 +a(g185 +V\u000a +tp7142 +a(g73 +Vmsgstr +p7143 +tp7144 +a(g185 +V +tp7145 +a(g222 +V"Sie dürfen auf dieser Seite keine Zeichnung speichern." +p7146 +tp7147 +a(g185 +V\u000a +tp7148 +a(g185 +V\u000a +tp7149 +a(g73 +Vmsgid +p7150 +tp7151 +a(g185 +V +tp7152 +a(g222 +V"" +p7153 +tp7154 +a(g185 +V\u000a +tp7155 +a(g222 +V"No file content. Delete non ASCII characters from the file name and try " +p7156 +tp7157 +a(g185 +V\u000a +tp7158 +a(g222 +V"again." +p7159 +tp7160 +a(g185 +V\u000a +tp7161 +a(g73 +Vmsgstr +p7162 +tp7163 +a(g185 +V +tp7164 +a(g222 +V"" +p7165 +tp7166 +a(g185 +V\u000a +tp7167 +a(g222 +V"Kein Dateiinhalt. Löschen Sie nicht-ASCII-Zeichen aus dem Dateinamen und " +p7168 +tp7169 +a(g185 +V\u000a +tp7170 +a(g222 +V"probieren Sie es noch einmal." +p7171 +tp7172 +a(g185 +V\u000a +tp7173 +a(g185 +V\u000a +tp7174 +a(g73 +Vmsgid +p7175 +tp7176 +a(g185 +V +tp7177 +a(g222 +V"You are not allowed to delete attachments on this page." +p7178 +tp7179 +a(g185 +V\u000a +tp7180 +a(g73 +Vmsgstr +p7181 +tp7182 +a(g185 +V +tp7183 +a(g222 +V"Sie dürfen keine Anhänge dieser Seite löschen!" +p7184 +tp7185 +a(g185 +V\u000a +tp7186 +a(g185 +V\u000a +tp7187 +a(g73 +Vmsgid +p7188 +tp7189 +a(g185 +V +tp7190 +a(g222 +V"You are not allowed to move attachments from this page." +p7191 +tp7192 +a(g185 +V\u000a +tp7193 +a(g73 +Vmsgstr +p7194 +tp7195 +a(g185 +V +tp7196 +a(g222 +V"Sie dürfen keine Anhänge von dieser Seite verschieben." +p7197 +tp7198 +a(g185 +V\u000a +tp7199 +a(g185 +V\u000a +tp7200 +a(g73 +Vmsgid +p7201 +tp7202 +a(g185 +V +tp7203 +a(g222 +V"Move aborted!" +p7204 +tp7205 +a(g185 +V\u000a +tp7206 +a(g73 +Vmsgstr +p7207 +tp7208 +a(g185 +V +tp7209 +a(g222 +V"Verschieben abgebrochen!" +p7210 +tp7211 +a(g185 +V\u000a +tp7212 +a(g185 +V\u000a +tp7213 +a(g73 +Vmsgid +p7214 +tp7215 +a(g185 +V +tp7216 +a(g222 +V"Please use the interactive user interface to move attachments!" +p7217 +tp7218 +a(g185 +V\u000a +tp7219 +a(g73 +Vmsgstr +p7220 +tp7221 +a(g185 +V +tp7222 +a(g222 +V"" +p7223 +tp7224 +a(g185 +V\u000a +tp7225 +a(g222 +V"Für die das Verschieben von Anhängen bitte nur die vorgesehenen Webseiten " +p7226 +tp7227 +a(g185 +V\u000a +tp7228 +a(g222 +V"benutzen!" +p7229 +tp7230 +a(g185 +V\u000a +tp7231 +a(g185 +V\u000a +tp7232 +a(g73 +Vmsgid +p7233 +tp7234 +a(g185 +V +tp7235 +a(g222 +V"You are not allowed to get attachments from this page." +p7236 +tp7237 +a(g185 +V\u000a +tp7238 +a(g73 +Vmsgstr +p7239 +tp7240 +a(g185 +V +tp7241 +a(g222 +V"Sie dürfen auf keine Anhänge dieser Seite zugreifen." +p7242 +tp7243 +a(g185 +V\u000a +tp7244 +a(g185 +V\u000a +tp7245 +a(g73 +Vmsgid +p7246 +tp7247 +a(g185 +V +tp7248 +a(g222 +V"You are not allowed to unzip attachments of this page." +p7249 +tp7250 +a(g185 +V\u000a +tp7251 +a(g73 +Vmsgstr +p7252 +tp7253 +a(g185 +V +tp7254 +a(g222 +V"Sie dürfen keine Anhänge dieser Seite auspacken." +p7255 +tp7256 +a(g185 +V\u000a +tp7257 +a(g185 +V\u000a +tp7258 +a(g73 +Vmsgid +p7259 +tp7260 +a(g185 +V +tp7261 +a(g222 +V"You are not allowed to install files." +p7262 +tp7263 +a(g185 +V\u000a +tp7264 +a(g73 +Vmsgstr +p7265 +tp7266 +a(g185 +V +tp7267 +a(g222 +V"Sie dürfen keine Dateien installieren." +p7268 +tp7269 +a(g185 +V\u000a +tp7270 +a(g185 +V\u000a +tp7271 +a(g73 +Vmsgid +p7272 +tp7273 +a(g185 +V +tp7274 +a(g222 +V"You are not allowed to view attachments of this page." +p7275 +tp7276 +a(g185 +V\u000a +tp7277 +a(g73 +Vmsgstr +p7278 +tp7279 +a(g185 +V +tp7280 +a(g222 +V"Sie dürfen keine Anhänge dieser Seite ansehen." +p7281 +tp7282 +a(g185 +V\u000a +tp7283 +a(g185 +V\u000a +tp7284 +a(g135 +V#, python-format +p7285 +tp7286 +a(g185 +V\u000a +tp7287 +a(g73 +Vmsgid +p7288 +tp7289 +a(g185 +V +tp7290 +a(g222 +V"Unsupported upload action: %s" +p7291 +tp7292 +a(g185 +V\u000a +tp7293 +a(g73 +Vmsgstr +p7294 +tp7295 +a(g185 +V +tp7296 +a(g222 +V"Unbekannte Aktion für Dateianhang: %s" +p7297 +tp7298 +a(g185 +V\u000a +tp7299 +a(g185 +V\u000a +tp7300 +a(g135 +V#, python-format +p7301 +tp7302 +a(g185 +V\u000a +tp7303 +a(g73 +Vmsgid +p7304 +tp7305 +a(g185 +V +tp7306 +a(g222 +V"Attachments for \u005c"%(pagename)s\u005c"" +p7307 +tp7308 +a(g185 +V\u000a +tp7309 +a(g73 +Vmsgstr +p7310 +tp7311 +a(g185 +V +tp7312 +a(g222 +V"Dateianhänge für \u005c"%(pagename)s\u005c"" +p7313 +tp7314 +a(g185 +V\u000a +tp7315 +a(g185 +V\u000a +tp7316 +a(g135 +V#, python-format +p7317 +tp7318 +a(g185 +V\u000a +tp7319 +a(g73 +Vmsgid +p7320 +tp7321 +a(g185 +V +tp7322 +a(g222 +V"" +p7323 +tp7324 +a(g185 +V\u000a +tp7325 +a(g222 +V"Attachment '%(target)s' (remote name '%(filename)s') with %(bytes)d bytes " +p7326 +tp7327 +a(g185 +V\u000a +tp7328 +a(g222 +V"saved." +p7329 +tp7330 +a(g185 +V\u000a +tp7331 +a(g73 +Vmsgstr +p7332 +tp7333 +a(g185 +V +tp7334 +a(g222 +V"" +p7335 +tp7336 +a(g185 +V\u000a +tp7337 +a(g222 +V"Dateianhang '%(target)s' (ursprünglicher Name '%(filename)s') mit %(bytes)d " +p7338 +tp7339 +a(g185 +V\u000a +tp7340 +a(g222 +V"Bytes gesichert." +p7341 +tp7342 +a(g185 +V\u000a +tp7343 +a(g185 +V\u000a +tp7344 +a(g135 +V#, python-format +p7345 +tp7346 +a(g185 +V\u000a +tp7347 +a(g73 +Vmsgid +p7348 +tp7349 +a(g185 +V +tp7350 +a(g222 +V"Attachment '%(target)s' (remote name '%(filename)s') already exists." +p7351 +tp7352 +a(g185 +V\u000a +tp7353 +a(g73 +Vmsgstr +p7354 +tp7355 +a(g185 +V +tp7356 +a(g222 +V"" +p7357 +tp7358 +a(g185 +V\u000a +tp7359 +a(g222 +V"Dateianhang '%(target)s' (ursprünglicher Name '%(filename)s') existiert " +p7360 +tp7361 +a(g185 +V\u000a +tp7362 +a(g222 +V"bereits." +p7363 +tp7364 +a(g185 +V\u000a +tp7365 +a(g185 +V\u000a +tp7366 +a(g135 +V#, python-format +p7367 +tp7368 +a(g185 +V\u000a +tp7369 +a(g73 +Vmsgid +p7370 +tp7371 +a(g185 +V +tp7372 +a(g222 +V"Attachment '%(filename)s' already exists." +p7373 +tp7374 +a(g185 +V\u000a +tp7375 +a(g73 +Vmsgstr +p7376 +tp7377 +a(g185 +V +tp7378 +a(g222 +V"Dateianhang '%(filename)s' existiert bereits." +p7379 +tp7380 +a(g185 +V\u000a +tp7381 +a(g185 +V\u000a +tp7382 +a(g135 +V#, python-format +p7383 +tp7384 +a(g185 +V\u000a +tp7385 +a(g73 +Vmsgid +p7386 +tp7387 +a(g185 +V +tp7388 +a(g222 +V"Attachment '%(filename)s' moved to %(page)s." +p7389 +tp7390 +a(g185 +V\u000a +tp7391 +a(g73 +Vmsgstr +p7392 +tp7393 +a(g185 +V +tp7394 +a(g222 +V"Dateianhang '%(filename)s' auf Seite %(page)s verschoben." +p7395 +tp7396 +a(g185 +V\u000a +tp7397 +a(g185 +V\u000a +tp7398 +a(g73 +Vmsgid +p7399 +tp7400 +a(g185 +V +tp7401 +a(g222 +V"Nothing changed" +p7402 +tp7403 +a(g185 +V\u000a +tp7404 +a(g73 +Vmsgstr +p7405 +tp7406 +a(g185 +V +tp7407 +a(g222 +V"Keine Änderung." +p7408 +tp7409 +a(g185 +V\u000a +tp7410 +a(g185 +V\u000a +tp7411 +a(g135 +V#, python-format +p7412 +tp7413 +a(g185 +V\u000a +tp7414 +a(g73 +Vmsgid +p7415 +tp7416 +a(g185 +V +tp7417 +a(g222 +V"Page %(newpagename)s does not exists or you don't have enough rights." +p7418 +tp7419 +a(g185 +V\u000a +tp7420 +a(g73 +Vmsgstr +p7421 +tp7422 +a(g185 +V +tp7423 +a(g222 +V"" +p7424 +tp7425 +a(g185 +V\u000a +tp7426 +a(g222 +V"Seite %(newpagename)s existiert nicht oder Sie haben nicht ausreichend " +p7427 +tp7428 +a(g185 +V\u000a +tp7429 +a(g222 +V"Rechte." +p7430 +tp7431 +a(g185 +V\u000a +tp7432 +a(g185 +V\u000a +tp7433 +a(g73 +Vmsgid +p7434 +tp7435 +a(g185 +V +tp7436 +a(g222 +V"Move aborted because empty page name" +p7437 +tp7438 +a(g185 +V\u000a +tp7439 +a(g73 +Vmsgstr +p7440 +tp7441 +a(g185 +V +tp7442 +a(g222 +V"Sie können eine Seite nicht auf einen leeren Seitennamen umbenennen." +p7443 +tp7444 +a(g185 +V\u000a +tp7445 +a(g185 +V\u000a +tp7446 +a(g135 +V#, python-format +p7447 +tp7448 +a(g185 +V\u000a +tp7449 +a(g73 +Vmsgid +p7450 +tp7451 +a(g185 +V +tp7452 +a(g222 +V"Please use a valid filename for attachment '%(filename)s'." +p7453 +tp7454 +a(g185 +V\u000a +tp7455 +a(g73 +Vmsgstr +p7456 +tp7457 +a(g185 +V +tp7458 +a(g222 +V"" +p7459 +tp7460 +a(g185 +V\u000a +tp7461 +a(g222 +V"Bitte benutzen Sie einen gültigen Dateinamen für Dateianhang '%(filename)s'." +p7462 +tp7463 +a(g185 +V\u000a +tp7464 +a(g185 +V\u000a +tp7465 +a(g73 +Vmsgid +p7466 +tp7467 +a(g185 +V +tp7468 +a(g222 +V"Move aborted because empty attachment name" +p7469 +tp7470 +a(g185 +V\u000a +tp7471 +a(g73 +Vmsgstr +p7472 +tp7473 +a(g185 +V +tp7474 +a(g222 +V"Verschieben wegen eines leeren Anhangsnamens abgebrochen" +p7475 +tp7476 +a(g185 +V\u000a +tp7477 +a(g185 +V\u000a +tp7478 +a(g73 +Vmsgid +p7479 +tp7480 +a(g185 +V +tp7481 +a(g222 +V"Move" +p7482 +tp7483 +a(g185 +V\u000a +tp7484 +a(g73 +Vmsgstr +p7485 +tp7486 +a(g185 +V +tp7487 +a(g222 +V"Verschieben" +p7488 +tp7489 +a(g185 +V\u000a +tp7490 +a(g185 +V\u000a +tp7491 +a(g73 +Vmsgid +p7492 +tp7493 +a(g185 +V +tp7494 +a(g222 +V"New page name" +p7495 +tp7496 +a(g185 +V\u000a +tp7497 +a(g73 +Vmsgstr +p7498 +tp7499 +a(g185 +V +tp7500 +a(g222 +V"Neuer Seitenname" +p7501 +tp7502 +a(g185 +V\u000a +tp7503 +a(g185 +V\u000a +tp7504 +a(g73 +Vmsgid +p7505 +tp7506 +a(g185 +V +tp7507 +a(g222 +V"New attachment name" +p7508 +tp7509 +a(g185 +V\u000a +tp7510 +a(g73 +Vmsgstr +p7511 +tp7512 +a(g185 +V +tp7513 +a(g222 +V"Neuer Name des Dateianhangs" +p7514 +tp7515 +a(g185 +V\u000a +tp7516 +a(g185 +V\u000a +tp7517 +a(g135 +V#, python-format +p7518 +tp7519 +a(g185 +V\u000a +tp7520 +a(g73 +Vmsgid +p7521 +tp7522 +a(g185 +V +tp7523 +a(g222 +V"Attachment '%(filename)s' installed." +p7524 +tp7525 +a(g185 +V\u000a +tp7526 +a(g73 +Vmsgstr +p7527 +tp7528 +a(g185 +V +tp7529 +a(g222 +V"Dateianhang '%(filename)s' wurde installiert." +p7530 +tp7531 +a(g185 +V\u000a +tp7532 +a(g185 +V\u000a +tp7533 +a(g135 +V#, python-format +p7534 +tp7535 +a(g185 +V\u000a +tp7536 +a(g73 +Vmsgid +p7537 +tp7538 +a(g185 +V +tp7539 +a(g222 +V"" +p7540 +tp7541 +a(g185 +V\u000a +tp7542 +a(g222 +V"Attachment '%(filename)s' could not be unzipped because the resulting files " +p7543 +tp7544 +a(g185 +V\u000a +tp7545 +a(g222 +V"would be too large (%(space)d kB missing)." +p7546 +tp7547 +a(g185 +V\u000a +tp7548 +a(g73 +Vmsgstr +p7549 +tp7550 +a(g185 +V +tp7551 +a(g222 +V"" +p7552 +tp7553 +a(g185 +V\u000a +tp7554 +a(g222 +V"Dateianhang '%(filename)s' konnte nicht ausgepackt werden, weil die " +p7555 +tp7556 +a(g185 +V\u000a +tp7557 +a(g222 +V"ausgepackten Dateien zu groß wären (%(space)d kB fehlen)." +p7558 +tp7559 +a(g185 +V\u000a +tp7560 +a(g185 +V\u000a +tp7561 +a(g135 +V#, python-format +p7562 +tp7563 +a(g185 +V\u000a +tp7564 +a(g73 +Vmsgid +p7565 +tp7566 +a(g185 +V +tp7567 +a(g222 +V"" +p7568 +tp7569 +a(g185 +V\u000a +tp7570 +a(g222 +V"Attachment '%(filename)s' could not be unzipped because the resulting files " +p7571 +tp7572 +a(g185 +V\u000a +tp7573 +a(g222 +V"would be too many (%(count)d missing)." +p7574 +tp7575 +a(g185 +V\u000a +tp7576 +a(g73 +Vmsgstr +p7577 +tp7578 +a(g185 +V +tp7579 +a(g222 +V"" +p7580 +tp7581 +a(g185 +V\u000a +tp7582 +a(g222 +V"Dateianhang '%(filename)s' konnte nicht ausgepackt werden, weil die " +p7583 +tp7584 +a(g185 +V\u000a +tp7585 +a(g222 +V"ausgepackten Dateien zu viele wären (%(count)d fehlen)." +p7586 +tp7587 +a(g185 +V\u000a +tp7588 +a(g185 +V\u000a +tp7589 +a(g135 +V#, python-format +p7590 +tp7591 +a(g185 +V\u000a +tp7592 +a(g73 +Vmsgid +p7593 +tp7594 +a(g185 +V +tp7595 +a(g222 +V"Attachment '%(filename)s' unzipped." +p7596 +tp7597 +a(g185 +V\u000a +tp7598 +a(g73 +Vmsgstr +p7599 +tp7600 +a(g185 +V +tp7601 +a(g222 +V"Dateianhang '%(filename)s' wurde ausgepackt." +p7602 +tp7603 +a(g185 +V\u000a +tp7604 +a(g185 +V\u000a +tp7605 +a(g135 +V#, python-format +p7606 +tp7607 +a(g185 +V\u000a +tp7608 +a(g73 +Vmsgid +p7609 +tp7610 +a(g185 +V +tp7611 +a(g222 +V"" +p7612 +tp7613 +a(g185 +V\u000a +tp7614 +a(g222 +V"Attachment '%(filename)s' not unzipped because the files are too big, .zip " +p7615 +tp7616 +a(g185 +V\u000a +tp7617 +a(g222 +V"files only, exist already or reside in folders." +p7618 +tp7619 +a(g185 +V\u000a +tp7620 +a(g73 +Vmsgstr +p7621 +tp7622 +a(g185 +V +tp7623 +a(g222 +V"" +p7624 +tp7625 +a(g185 +V\u000a +tp7626 +a(g222 +V"Dateianhang '%(filename)s' wurde nicht ausgepackt, weil die Datei zu groß " +p7627 +tp7628 +a(g185 +V\u000a +tp7629 +a(g222 +V"sind, weil nur .zip-Dateien erlaubt sind, weil sie bereits existieren oder " +p7630 +tp7631 +a(g185 +V\u000a +tp7632 +a(g222 +V"weil Dateien in Ordnern enthalten sind." +p7633 +tp7634 +a(g185 +V\u000a +tp7635 +a(g185 +V\u000a +tp7636 +a(g135 +V#, python-format +p7637 +tp7638 +a(g185 +V\u000a +tp7639 +a(g73 +Vmsgid +p7640 +tp7641 +a(g185 +V +tp7642 +a(g222 +V"The file %(filename)s is not a .zip file." +p7643 +tp7644 +a(g185 +V\u000a +tp7645 +a(g73 +Vmsgstr +p7646 +tp7647 +a(g185 +V +tp7648 +a(g222 +V"Die Datei %(filename)s ist keine .zip-Datei." +p7649 +tp7650 +a(g185 +V\u000a +tp7651 +a(g185 +V\u000a +tp7652 +a(g135 +V#, python-format +p7653 +tp7654 +a(g185 +V\u000a +tp7655 +a(g73 +Vmsgid +p7656 +tp7657 +a(g185 +V +tp7658 +a(g222 +V"Attachment '%(filename)s'" +p7659 +tp7660 +a(g185 +V\u000a +tp7661 +a(g73 +Vmsgstr +p7662 +tp7663 +a(g185 +V +tp7664 +a(g222 +V"Dateianhang '%(filename)s'" +p7665 +tp7666 +a(g185 +V\u000a +tp7667 +a(g185 +V\u000a +tp7668 +a(g73 +Vmsgid +p7669 +tp7670 +a(g185 +V +tp7671 +a(g222 +V"Package script:" +p7672 +tp7673 +a(g185 +V\u000a +tp7674 +a(g73 +Vmsgstr +p7675 +tp7676 +a(g185 +V +tp7677 +a(g222 +V"Paket-Skript:" +p7678 +tp7679 +a(g185 +V\u000a +tp7680 +a(g185 +V\u000a +tp7681 +a(g73 +Vmsgid +p7682 +tp7683 +a(g185 +V +tp7684 +a(g222 +V"File Name" +p7685 +tp7686 +a(g185 +V\u000a +tp7687 +a(g73 +Vmsgstr +p7688 +tp7689 +a(g185 +V +tp7690 +a(g222 +V"Dateiname" +p7691 +tp7692 +a(g185 +V\u000a +tp7693 +a(g185 +V\u000a +tp7694 +a(g73 +Vmsgid +p7695 +tp7696 +a(g185 +V +tp7697 +a(g222 +V"Modified" +p7698 +tp7699 +a(g185 +V\u000a +tp7700 +a(g73 +Vmsgstr +p7701 +tp7702 +a(g185 +V +tp7703 +a(g222 +V"Modifiziert" +p7704 +tp7705 +a(g185 +V\u000a +tp7706 +a(g185 +V\u000a +tp7707 +a(g73 +Vmsgid +p7708 +tp7709 +a(g185 +V +tp7710 +a(g222 +V"Size" +p7711 +tp7712 +a(g185 +V\u000a +tp7713 +a(g73 +Vmsgstr +p7714 +tp7715 +a(g185 +V +tp7716 +a(g222 +V"Größe" +p7717 +tp7718 +a(g185 +V\u000a +tp7719 +a(g185 +V\u000a +tp7720 +a(g73 +Vmsgid +p7721 +tp7722 +a(g185 +V +tp7723 +a(g222 +V"Unknown file type, cannot display this attachment inline." +p7724 +tp7725 +a(g185 +V\u000a +tp7726 +a(g73 +Vmsgstr +p7727 +tp7728 +a(g185 +V +tp7729 +a(g222 +V"" +p7730 +tp7731 +a(g185 +V\u000a +tp7732 +a(g222 +V"Dieser Anhang besitzt einen unbekannten Dateityp und kann deshalb nicht " +p7733 +tp7734 +a(g185 +V\u000a +tp7735 +a(g222 +V"direkt angezeigt werden." +p7736 +tp7737 +a(g185 +V\u000a +tp7738 +a(g185 +V\u000a +tp7739 +a(g135 +V#, python-format +p7740 +tp7741 +a(g185 +V\u000a +tp7742 +a(g73 +Vmsgid +p7743 +tp7744 +a(g185 +V +tp7745 +a(g222 +V"attachment:%(filename)s of %(pagename)s" +p7746 +tp7747 +a(g185 +V\u000a +tp7748 +a(g73 +Vmsgstr +p7749 +tp7750 +a(g185 +V +tp7751 +a(g222 +V"[[Verbatim(attachment:)]]%(filename)s für %(pagename)s" +p7752 +tp7753 +a(g185 +V\u000a +tp7754 +a(g185 +V\u000a +tp7755 +a(g73 +Vmsgid +p7756 +tp7757 +a(g185 +V +tp7758 +a(g222 +V"This page is already deleted or was never created!" +p7759 +tp7760 +a(g185 +V\u000a +tp7761 +a(g73 +Vmsgstr +p7762 +tp7763 +a(g185 +V +tp7764 +a(g222 +V"Diese Seite wurde bereits gelöscht oder wurde bisher nicht angelegt!" +p7765 +tp7766 +a(g185 +V\u000a +tp7767 +a(g185 +V\u000a +tp7768 +a(g73 +Vmsgid +p7769 +tp7770 +a(g185 +V +tp7771 +a(g222 +V"Rename all /subpages too?" +p7772 +tp7773 +a(g185 +V\u000a +tp7774 +a(g73 +Vmsgstr +p7775 +tp7776 +a(g185 +V +tp7777 +a(g222 +V"Alle /UnterSeiten auch umbenennen?" +p7778 +tp7779 +a(g185 +V\u000a +tp7780 +a(g185 +V\u000a +tp7781 +a(g73 +Vmsgid +p7782 +tp7783 +a(g185 +V +tp7784 +a(g222 +V"New name" +p7785 +tp7786 +a(g185 +V\u000a +tp7787 +a(g73 +Vmsgstr +p7788 +tp7789 +a(g185 +V +tp7790 +a(g222 +V"Neuer Name" +p7791 +tp7792 +a(g185 +V\u000a +tp7793 +a(g185 +V\u000a +tp7794 +a(g73 +Vmsgid +p7795 +tp7796 +a(g185 +V +tp7797 +a(g222 +V"Optional reason for the renaming" +p7798 +tp7799 +a(g185 +V\u000a +tp7800 +a(g73 +Vmsgstr +p7801 +tp7802 +a(g185 +V +tp7803 +a(g222 +V"Optionale Begründung für das Umbenennen" +p7804 +tp7805 +a(g185 +V\u000a +tp7806 +a(g185 +V\u000a +tp7807 +a(g73 +Vmsgid +p7808 +tp7809 +a(g185 +V +tp7810 +a(g222 +V"Really rename this page?" +p7811 +tp7812 +a(g185 +V\u000a +tp7813 +a(g73 +Vmsgstr +p7814 +tp7815 +a(g185 +V +tp7816 +a(g222 +V"Diese Seite wirklich umbenennen?" +p7817 +tp7818 +a(g185 +V\u000a +tp7819 +a(g185 +V\u000a +tp7820 +a(g135 +V#, python-format +p7821 +tp7822 +a(g185 +V\u000a +tp7823 +a(g73 +Vmsgid +p7824 +tp7825 +a(g185 +V +tp7826 +a(g222 +V"Full Link List for \u005c"%s\u005c"" +p7827 +tp7828 +a(g185 +V\u000a +tp7829 +a(g73 +Vmsgstr +p7830 +tp7831 +a(g185 +V +tp7832 +a(g222 +V"Liste aller Seitenverweise für \u005c"%s\u005c"" +p7833 +tp7834 +a(g185 +V\u000a +tp7835 +a(g185 +V\u000a +tp7836 +a(g73 +Vmsgid +p7837 +tp7838 +a(g185 +V +tp7839 +a(g222 +V"Editor" +p7840 +tp7841 +a(g185 +V\u000a +tp7842 +a(g73 +Vmsgstr +p7843 +tp7844 +a(g185 +V +tp7845 +a(g222 +V"Autor" +p7846 +tp7847 +a(g185 +V\u000a +tp7848 +a(g185 +V\u000a +tp7849 +a(g73 +Vmsgid +p7850 +tp7851 +a(g185 +V +tp7852 +a(g222 +V"Pages" +p7853 +tp7854 +a(g185 +V\u000a +tp7855 +a(g73 +Vmsgstr +p7856 +tp7857 +a(g185 +V +tp7858 +a(g222 +V"Seiten" +p7859 +tp7860 +a(g185 +V\u000a +tp7861 +a(g185 +V\u000a +tp7862 +a(g73 +Vmsgid +p7863 +tp7864 +a(g185 +V +tp7865 +a(g222 +V"Select Author" +p7866 +tp7867 +a(g185 +V\u000a +tp7868 +a(g73 +Vmsgstr +p7869 +tp7870 +a(g185 +V +tp7871 +a(g222 +V"Autor auswählen" +p7872 +tp7873 +a(g185 +V\u000a +tp7874 +a(g185 +V\u000a +tp7875 +a(g73 +Vmsgid +p7876 +tp7877 +a(g185 +V +tp7878 +a(g222 +V"Revert all!" +p7879 +tp7880 +a(g185 +V\u000a +tp7881 +a(g73 +Vmsgstr +p7882 +tp7883 +a(g185 +V +tp7884 +a(g222 +V"Alle restaurieren!" +p7885 +tp7886 +a(g185 +V\u000a +tp7887 +a(g185 +V\u000a +tp7888 +a(g73 +Vmsgid +p7889 +tp7890 +a(g185 +V +tp7891 +a(g222 +V"You are not allowed to use this action." +p7892 +tp7893 +a(g185 +V\u000a +tp7894 +a(g73 +Vmsgstr +p7895 +tp7896 +a(g185 +V +tp7897 +a(g222 +V"Sie dürfen diese Aktion nicht ausführen." +p7898 +tp7899 +a(g185 +V\u000a +tp7900 +a(g185 +V\u000a +tp7901 +a(g135 +V#, python-format +p7902 +tp7903 +a(g185 +V\u000a +tp7904 +a(g73 +Vmsgid +p7905 +tp7906 +a(g185 +V +tp7907 +a(g222 +V"Rolled back changes to the page %s." +p7908 +tp7909 +a(g185 +V\u000a +tp7910 +a(g73 +Vmsgstr +p7911 +tp7912 +a(g185 +V +tp7913 +a(g222 +V"Änderungen an der Seite %s rückgängig gemacht" +p7914 +tp7915 +a(g185 +V\u000a +tp7916 +a(g185 +V\u000a +tp7917 +a(g73 +Vmsgid +p7918 +tp7919 +a(g185 +V +tp7920 +a(g222 +V"Exception while calling rollback function:" +p7921 +tp7922 +a(g185 +V\u000a +tp7923 +a(g73 +Vmsgstr +p7924 +tp7925 +a(g185 +V +tp7926 +a(g222 +V"Fehler beim Aufrufen der Rollback-Funktion:" +p7927 +tp7928 +a(g185 +V\u000a +tp7929 +a(g185 +V\u000a +tp7930 +a(g73 +Vmsgid +p7931 +tp7932 +a(g185 +V +tp7933 +a(g222 +V"" +p7934 +tp7935 +a(g185 +V\u000a +tp7936 +a(g222 +V"Please enter your password of your account at the remote wiki below. " +p7937 +tp7938 +a(g185 +V\u000a +tp7939 +a(g222 +V"[[BR]] /!\u005c\u005c You should trust both wikis because the password could be read " +p7940 +tp7941 +a(g185 +V\u000a +tp7942 +a(g222 +V"by the particular administrators." +p7943 +tp7944 +a(g185 +V\u000a +tp7945 +a(g73 +Vmsgstr +p7946 +tp7947 +a(g185 +V +tp7948 +a(g222 +V"" +p7949 +tp7950 +a(g185 +V\u000a +tp7951 +a(g222 +V"Bitte geben Sie das Passwort Ihres Accounts im fernen Wiki unten ein. " +p7952 +tp7953 +a(g185 +V\u000a +tp7954 +a(g222 +V"[[BR]] /!\u005c\u005c Sie sollten beiden Wikis vertrauen, weil das Passwort von den " +p7955 +tp7956 +a(g185 +V\u000a +tp7957 +a(g222 +V"entsprechenden Administratoren gelesen werden könnte." +p7958 +tp7959 +a(g185 +V\u000a +tp7960 +a(g185 +V\u000a +tp7961 +a(g73 +Vmsgid +p7962 +tp7963 +a(g185 +V +tp7964 +a(g222 +V"Operation was canceled." +p7965 +tp7966 +a(g185 +V\u000a +tp7967 +a(g73 +Vmsgstr +p7968 +tp7969 +a(g185 +V +tp7970 +a(g222 +V"Operation wurde abgebrochen." +p7971 +tp7972 +a(g185 +V\u000a +tp7973 +a(g185 +V\u000a +tp7974 +a(g73 +Vmsgid +p7975 +tp7976 +a(g185 +V +tp7977 +a(g222 +V"The only supported directions are BOTH and DOWN." +p7978 +tp7979 +a(g185 +V\u000a +tp7980 +a(g73 +Vmsgstr +p7981 +tp7982 +a(g185 +V +tp7983 +a(g222 +V"Es werden nur die Richtungen BOTH und DOWN unterstützt." +p7984 +tp7985 +a(g185 +V\u000a +tp7986 +a(g185 +V\u000a +tp7987 +a(g73 +Vmsgid +p7988 +tp7989 +a(g185 +V +tp7990 +a(g222 +V"" +p7991 +tp7992 +a(g185 +V\u000a +tp7993 +a(g222 +V"Please set an interwikiname in your wikiconfig (see HelpOnConfiguration) to " +p7994 +tp7995 +a(g185 +V\u000a +tp7996 +a(g222 +V"be able to use this action." +p7997 +tp7998 +a(g185 +V\u000a +tp7999 +a(g73 +Vmsgstr +p8000 +tp8001 +a(g185 +V +tp8002 +a(g222 +V"" +p8003 +tp8004 +a(g185 +V\u000a +tp8005 +a(g222 +V"Bitte setzen Sie interwikiname in Ihrer wikiconfig (siehe " +p8006 +tp8007 +a(g185 +V\u000a +tp8008 +a(g222 +V"HilfeZurKonfiguration), um diese Aktion benutzen zu können." +p8009 +tp8010 +a(g185 +V\u000a +tp8011 +a(g185 +V\u000a +tp8012 +a(g73 +Vmsgid +p8013 +tp8014 +a(g185 +V +tp8015 +a(g222 +V"" +p8016 +tp8017 +a(g185 +V\u000a +tp8018 +a(g222 +V"Incorrect parameters. Please supply at least the ''remoteWiki'' parameter. " +p8019 +tp8020 +a(g185 +V\u000a +tp8021 +a(g222 +V"Refer to HelpOnSynchronisation for help." +p8022 +tp8023 +a(g185 +V\u000a +tp8024 +a(g73 +Vmsgstr +p8025 +tp8026 +a(g185 +V +tp8027 +a(g222 +V"" +p8028 +tp8029 +a(g185 +V\u000a +tp8030 +a(g222 +V"Ungültige Parameter, bitte geben Sie mindestens den ''remoteWiki''-Parameter " +p8031 +tp8032 +a(g185 +V\u000a +tp8033 +a(g222 +V"an. Siehe HilfeZurSynchronisation für weitere Informationen." +p8034 +tp8035 +a(g185 +V\u000a +tp8036 +a(g185 +V\u000a +tp8037 +a(g73 +Vmsgid +p8038 +tp8039 +a(g185 +V +tp8040 +a(g222 +V"The ''remoteWiki'' is unknown." +p8041 +tp8042 +a(g185 +V\u000a +tp8043 +a(g73 +Vmsgstr +p8044 +tp8045 +a(g185 +V +tp8046 +a(g222 +V"Das ''remoteWiki'' ist nicht bekannt." +p8047 +tp8048 +a(g185 +V\u000a +tp8049 +a(g185 +V\u000a +tp8050 +a(g73 +Vmsgid +p8051 +tp8052 +a(g185 +V +tp8053 +a(g222 +V"A severe error occured:" +p8054 +tp8055 +a(g185 +V\u000a +tp8056 +a(g73 +Vmsgstr +p8057 +tp8058 +a(g185 +V +tp8059 +a(g222 +V"Ein schwerwiegender Fehler ist aufgetreten:" +p8060 +tp8061 +a(g185 +V\u000a +tp8062 +a(g185 +V\u000a +tp8063 +a(g73 +Vmsgid +p8064 +tp8065 +a(g185 +V +tp8066 +a(g222 +V"Synchronisation finished. Look below for the status messages." +p8067 +tp8068 +a(g185 +V\u000a +tp8069 +a(g73 +Vmsgstr +p8070 +tp8071 +a(g185 +V +tp8072 +a(g222 +V"Synchronisierung beendet, siehe Status-Nachrichten unten." +p8073 +tp8074 +a(g185 +V\u000a +tp8075 +a(g185 +V\u000a +tp8076 +a(g73 +Vmsgid +p8077 +tp8078 +a(g185 +V +tp8079 +a(g222 +V"Synchronisation started -" +p8080 +tp8081 +a(g185 +V\u000a +tp8082 +a(g73 +Vmsgstr +p8083 +tp8084 +a(g185 +V +tp8085 +a(g222 +V"Synchronisierung gestartet -" +p8086 +tp8087 +a(g185 +V\u000a +tp8088 +a(g185 +V\u000a +tp8089 +a(g135 +V#, python-format +p8090 +tp8091 +a(g185 +V\u000a +tp8092 +a(g73 +Vmsgid +p8093 +tp8094 +a(g185 +V +tp8095 +a(g222 +V"" +p8096 +tp8097 +a(g185 +V\u000a +tp8098 +a(g222 +V"Got a list of %s local and %s remote pages. This results in %s different " +p8099 +tp8100 +a(g185 +V\u000a +tp8101 +a(g222 +V"pages over-all." +p8102 +tp8103 +a(g185 +V\u000a +tp8104 +a(g73 +Vmsgstr +p8105 +tp8106 +a(g185 +V +tp8107 +a(g222 +V"" +p8108 +tp8109 +a(g185 +V\u000a +tp8110 +a(g222 +V"%s lokale und %s ferne Seiten, resultierend in insgesamt %s " +p8111 +tp8112 +a(g185 +V\u000a +tp8113 +a(g222 +V"unterschiedlichen Seiten." +p8114 +tp8115 +a(g185 +V\u000a +tp8116 +a(g185 +V\u000a +tp8117 +a(g135 +V#, python-format +p8118 +tp8119 +a(g185 +V\u000a +tp8120 +a(g73 +Vmsgid +p8121 +tp8122 +a(g185 +V +tp8123 +a(g222 +V"After filtering: %s pages" +p8124 +tp8125 +a(g185 +V\u000a +tp8126 +a(g73 +Vmsgstr +p8127 +tp8128 +a(g185 +V +tp8129 +a(g222 +V"Nach dem Filtern: %s Seiten" +p8130 +tp8131 +a(g185 +V\u000a +tp8132 +a(g185 +V\u000a +tp8133 +a(g135 +V#, python-format +p8134 +tp8135 +a(g185 +V\u000a +tp8136 +a(g73 +Vmsgid +p8137 +tp8138 +a(g185 +V +tp8139 +a(g222 +V"Skipped page %s because of no write access to local page." +p8140 +tp8141 +a(g185 +V\u000a +tp8142 +a(g73 +Vmsgstr +p8143 +tp8144 +a(g185 +V +tp8145 +a(g222 +V"" +p8146 +tp8147 +a(g185 +V\u000a +tp8148 +a(g222 +V"Seite %s wurde wegen fehlenden Schreibrechten auf die lokale Seite " +p8149 +tp8150 +a(g185 +V\u000a +tp8151 +a(g222 +V"übersprungen." +p8152 +tp8153 +a(g185 +V\u000a +tp8154 +a(g185 +V\u000a +tp8155 +a(g135 +V#, python-format +p8156 +tp8157 +a(g185 +V\u000a +tp8158 +a(g73 +Vmsgid +p8159 +tp8160 +a(g185 +V +tp8161 +a(g222 +V"Deleted page %s locally." +p8162 +tp8163 +a(g185 +V\u000a +tp8164 +a(g73 +Vmsgstr +p8165 +tp8166 +a(g185 +V +tp8167 +a(g222 +V"Lokale Seite %s gelöscht." +p8168 +tp8169 +a(g185 +V\u000a +tp8170 +a(g185 +V\u000a +tp8171 +a(g135 +V#, python-format +p8172 +tp8173 +a(g185 +V\u000a +tp8174 +a(g73 +Vmsgid +p8175 +tp8176 +a(g185 +V +tp8177 +a(g222 +V"Error while deleting page %s locally:" +p8178 +tp8179 +a(g185 +V\u000a +tp8180 +a(g73 +Vmsgstr +p8181 +tp8182 +a(g185 +V +tp8183 +a(g222 +V"Fehler beim lokalen Löschen der Seite %s:" +p8184 +tp8185 +a(g185 +V\u000a +tp8186 +a(g185 +V\u000a +tp8187 +a(g135 +V#, python-format +p8188 +tp8189 +a(g185 +V\u000a +tp8190 +a(g73 +Vmsgid +p8191 +tp8192 +a(g185 +V +tp8193 +a(g222 +V"Deleted page %s remotely." +p8194 +tp8195 +a(g185 +V\u000a +tp8196 +a(g73 +Vmsgstr +p8197 +tp8198 +a(g185 +V +tp8199 +a(g222 +V"Ferne Seite %s gelöscht." +p8200 +tp8201 +a(g185 +V\u000a +tp8202 +a(g185 +V\u000a +tp8203 +a(g135 +V#, python-format +p8204 +tp8205 +a(g185 +V\u000a +tp8206 +a(g73 +Vmsgid +p8207 +tp8208 +a(g185 +V +tp8209 +a(g222 +V"Error while deleting page %s remotely:" +p8210 +tp8211 +a(g185 +V\u000a +tp8212 +a(g73 +Vmsgstr +p8213 +tp8214 +a(g185 +V +tp8215 +a(g222 +V"Fehler beim fernen Löschen der Seite %s:" +p8216 +tp8217 +a(g185 +V\u000a +tp8218 +a(g185 +V\u000a +tp8219 +a(g135 +V#, python-format +p8220 +tp8221 +a(g185 +V\u000a +tp8222 +a(g73 +Vmsgid +p8223 +tp8224 +a(g185 +V +tp8225 +a(g222 +V"" +p8226 +tp8227 +a(g185 +V\u000a +tp8228 +a(g222 +V"The item %s cannot be merged automatically but was changed in both wikis. " +p8229 +tp8230 +a(g185 +V\u000a +tp8231 +a(g222 +V"Please delete it in one of both wikis and try again." +p8232 +tp8233 +a(g185 +V\u000a +tp8234 +a(g73 +Vmsgstr +p8235 +tp8236 +a(g185 +V +tp8237 +a(g222 +V"" +p8238 +tp8239 +a(g185 +V\u000a +tp8240 +a(g222 +V"Das Objekt %s kann nicht automatisch zusammengeführt werden, wurde aber in " +p8241 +tp8242 +a(g185 +V\u000a +tp8243 +a(g222 +V"beiden Wikis geändert. Bitte löschen Sie es in einem der beiden Wikis und " +p8244 +tp8245 +a(g185 +V\u000a +tp8246 +a(g222 +V"versuchen Sie es erneut." +p8247 +tp8248 +a(g185 +V\u000a +tp8249 +a(g185 +V\u000a +tp8250 +a(g135 +V#, python-format +p8251 +tp8252 +a(g185 +V\u000a +tp8253 +a(g73 +Vmsgid +p8254 +tp8255 +a(g185 +V +tp8256 +a(g222 +V"" +p8257 +tp8258 +a(g185 +V\u000a +tp8259 +a(g222 +V"The item %s has different mime types in both wikis and cannot be merged. " +p8260 +tp8261 +a(g185 +V\u000a +tp8262 +a(g222 +V"Please delete it in one of both wikis or unify the mime type, and try again." +p8263 +tp8264 +a(g185 +V\u000a +tp8265 +a(g73 +Vmsgstr +p8266 +tp8267 +a(g185 +V +tp8268 +a(g222 +V"" +p8269 +tp8270 +a(g185 +V\u000a +tp8271 +a(g222 +V"Das Objekt %s hat einen unterschiedlichen Mime-Typ in beiden Wikis und kann " +p8272 +tp8273 +a(g185 +V\u000a +tp8274 +a(g222 +V"nicht zusammengeführt werden. Bitte löschen Sie es in einem der beiden Wikis " +p8275 +tp8276 +a(g185 +V\u000a +tp8277 +a(g222 +V"oder vereinheitlichen Sie den Mime-Typ und probieren Sie es nochmal." +p8278 +tp8279 +a(g185 +V\u000a +tp8280 +a(g185 +V\u000a +tp8281 +a(g135 +V#, python-format +p8282 +tp8283 +a(g185 +V\u000a +tp8284 +a(g73 +Vmsgid +p8285 +tp8286 +a(g185 +V +tp8287 +a(g222 +V"" +p8288 +tp8289 +a(g185 +V\u000a +tp8290 +a(g222 +V"The item %s was renamed locally. This is not implemented yet. Therefore the " +p8291 +tp8292 +a(g185 +V\u000a +tp8293 +a(g222 +V"full synchronisation history is lost for this page." +p8294 +tp8295 +a(g185 +V\u000a +tp8296 +a(g73 +Vmsgstr +p8297 +tp8298 +a(g185 +V +tp8299 +a(g222 +V"" +p8300 +tp8301 +a(g185 +V\u000a +tp8302 +a(g222 +V"Seite %s wurde lokal umbenannt. Dies wird noch nicht unterstützt, daher geht " +p8303 +tp8304 +a(g185 +V\u000a +tp8305 +a(g222 +V"für diese Seite die ganze Synchronisierungs-Historie verloren." +p8306 +tp8307 +a(g185 +V\u000a +tp8308 +a(g185 +V\u000a +tp8309 +a(g135 +V#, python-format +p8310 +tp8311 +a(g185 +V\u000a +tp8312 +a(g73 +Vmsgid +p8313 +tp8314 +a(g185 +V +tp8315 +a(g222 +V"Synchronising page %s with remote page %s ..." +p8316 +tp8317 +a(g185 +V\u000a +tp8318 +a(g73 +Vmsgstr +p8319 +tp8320 +a(g185 +V +tp8321 +a(g222 +V"Synchronisiere Seite %s mit der entfernten Seite %s ..." +p8322 +tp8323 +a(g185 +V\u000a +tp8324 +a(g185 +V\u000a +tp8325 +a(g135 +V#, python-format +p8326 +tp8327 +a(g185 +V\u000a +tp8328 +a(g73 +Vmsgid +p8329 +tp8330 +a(g185 +V +tp8331 +a(g222 +V"The page %s was deleted remotely but changed locally." +p8332 +tp8333 +a(g185 +V\u000a +tp8334 +a(g73 +Vmsgstr +p8335 +tp8336 +a(g185 +V +tp8337 +a(g222 +V"Seite %s wurde lokal geändert, aber ferne gelöscht." +p8338 +tp8339 +a(g185 +V\u000a +tp8340 +a(g185 +V\u000a +tp8341 +a(g135 +V#, python-format +p8342 +tp8343 +a(g185 +V\u000a +tp8344 +a(g73 +Vmsgid +p8345 +tp8346 +a(g185 +V +tp8347 +a(g222 +V"" +p8348 +tp8349 +a(g185 +V\u000a +tp8350 +a(g222 +V"The page %s could not be synced. The remote page was renamed. This is not " +p8351 +tp8352 +a(g185 +V\u000a +tp8353 +a(g222 +V"supported yet. You may want to delete one of the pages to get it synced." +p8354 +tp8355 +a(g185 +V\u000a +tp8356 +a(g73 +Vmsgstr +p8357 +tp8358 +a(g185 +V +tp8359 +a(g222 +V"" +p8360 +tp8361 +a(g185 +V\u000a +tp8362 +a(g222 +V"Seite %s konnte nicht synchronisiert werden. Die entfernte Seite wurde " +p8363 +tp8364 +a(g185 +V\u000a +tp8365 +a(g222 +V"umbenannt, was bis jetzt noch nicht unterstützt wird. Vielleicht möchten Sie " +p8366 +tp8367 +a(g185 +V\u000a +tp8368 +a(g222 +V"eine der Seiten löschen, um die Seite erfolgreich zu synchronisieren." +p8369 +tp8370 +a(g185 +V\u000a +tp8371 +a(g185 +V\u000a +tp8372 +a(g135 +V#, python-format +p8373 +tp8374 +a(g185 +V\u000a +tp8375 +a(g73 +Vmsgid +p8376 +tp8377 +a(g185 +V +tp8378 +a(g222 +V"Skipped page %s because of a locally or remotely unresolved conflict." +p8379 +tp8380 +a(g185 +V\u000a +tp8381 +a(g73 +Vmsgstr +p8382 +tp8383 +a(g185 +V +tp8384 +a(g222 +V"" +p8385 +tp8386 +a(g185 +V\u000a +tp8387 +a(g222 +V"Seite %s wurde wegen eines lokalen oder entfernten nicht beseitigten " +p8388 +tp8389 +a(g185 +V\u000a +tp8390 +a(g222 +V"Konflikts übersprungen." +p8391 +tp8392 +a(g185 +V\u000a +tp8393 +a(g185 +V\u000a +tp8394 +a(g135 +V#, python-format +p8395 +tp8396 +a(g185 +V\u000a +tp8397 +a(g73 +Vmsgid +p8398 +tp8399 +a(g185 +V +tp8400 +a(g222 +V"" +p8401 +tp8402 +a(g185 +V\u000a +tp8403 +a(g222 +V"This is the first synchronisation between the local and the remote wiki for " +p8404 +tp8405 +a(g185 +V\u000a +tp8406 +a(g222 +V"the page %s." +p8407 +tp8408 +a(g185 +V\u000a +tp8409 +a(g73 +Vmsgstr +p8410 +tp8411 +a(g185 +V +tp8412 +a(g222 +V"" +p8413 +tp8414 +a(g185 +V\u000a +tp8415 +a(g222 +V"Dies ist die erste Synchronisation zwischen dem lokalen und fernen Wiki für " +p8416 +tp8417 +a(g185 +V\u000a +tp8418 +a(g222 +V"die Seite %s." +p8419 +tp8420 +a(g185 +V\u000a +tp8421 +a(g185 +V\u000a +tp8422 +a(g135 +V#, python-format +p8423 +tp8424 +a(g185 +V\u000a +tp8425 +a(g73 +Vmsgid +p8426 +tp8427 +a(g185 +V +tp8428 +a(g222 +V"" +p8429 +tp8430 +a(g185 +V\u000a +tp8431 +a(g222 +V"The page %s could not be merged because you are not allowed to modify the " +p8432 +tp8433 +a(g185 +V\u000a +tp8434 +a(g222 +V"page in the remote wiki." +p8435 +tp8436 +a(g185 +V\u000a +tp8437 +a(g73 +Vmsgstr +p8438 +tp8439 +a(g185 +V +tp8440 +a(g222 +V"" +p8441 +tp8442 +a(g185 +V\u000a +tp8443 +a(g222 +V"Die Seite %s konnte nicht zusammengeführt werden, weil Sie die Seite im " +p8444 +tp8445 +a(g185 +V\u000a +tp8446 +a(g222 +V"fernen Wiki nicht ändern dürfen." +p8447 +tp8448 +a(g185 +V\u000a +tp8449 +a(g185 +V\u000a +tp8450 +a(g135 +V#, python-format +p8451 +tp8452 +a(g185 +V\u000a +tp8453 +a(g73 +Vmsgid +p8454 +tp8455 +a(g185 +V +tp8456 +a(g222 +V"Page %s successfully merged." +p8457 +tp8458 +a(g185 +V\u000a +tp8459 +a(g73 +Vmsgstr +p8460 +tp8461 +a(g185 +V +tp8462 +a(g222 +V"Seite \u005c"%s\u005c" wurde erfolgreich zusammengeführt." +p8463 +tp8464 +a(g185 +V\u000a +tp8465 +a(g185 +V\u000a +tp8466 +a(g135 +V#, python-format +p8467 +tp8468 +a(g185 +V\u000a +tp8469 +a(g73 +Vmsgid +p8470 +tp8471 +a(g185 +V +tp8472 +a(g222 +V"Page %s contains conflicts that were introduced on the remote side." +p8473 +tp8474 +a(g185 +V\u000a +tp8475 +a(g73 +Vmsgstr +p8476 +tp8477 +a(g185 +V +tp8478 +a(g222 +V"Seite %s enthält von der fernen Seite eingeführte Konflikte." +p8479 +tp8480 +a(g185 +V\u000a +tp8481 +a(g185 +V\u000a +tp8482 +a(g135 +V#, python-format +p8483 +tp8484 +a(g185 +V\u000a +tp8485 +a(g73 +Vmsgid +p8486 +tp8487 +a(g185 +V +tp8488 +a(g222 +V"Page %s merged with conflicts." +p8489 +tp8490 +a(g185 +V\u000a +tp8491 +a(g73 +Vmsgstr +p8492 +tp8493 +a(g185 +V +tp8494 +a(g222 +V"Seite %s wurde mit Konflikten zusammengeführt." +p8495 +tp8496 +a(g185 +V\u000a +tp8497 +a(g185 +V\u000a +tp8498 +a(g73 +Vmsgid +p8499 +tp8500 +a(g185 +V +tp8501 +a(g222 +V"Load" +p8502 +tp8503 +a(g185 +V\u000a +tp8504 +a(g73 +Vmsgstr +p8505 +tp8506 +a(g185 +V +tp8507 +a(g222 +V"Laden" +p8508 +tp8509 +a(g185 +V\u000a +tp8510 +a(g185 +V\u000a +tp8511 +a(g73 +Vmsgid +p8512 +tp8513 +a(g185 +V +tp8514 +a(g222 +V"New Page or New Attachment" +p8515 +tp8516 +a(g185 +V\u000a +tp8517 +a(g73 +Vmsgstr +p8518 +tp8519 +a(g185 +V +tp8520 +a(g222 +V"Neue Seite oder neuer Dateianhang" +p8521 +tp8522 +a(g185 +V\u000a +tp8523 +a(g185 +V\u000a +tp8524 +a(g73 +Vmsgid +p8525 +tp8526 +a(g185 +V +tp8527 +a(g222 +V"" +p8528 +tp8529 +a(g185 +V\u000a +tp8530 +a(g222 +V"You can upload a file to a new page or choose to upload a file as attachment " +p8531 +tp8532 +a(g185 +V\u000a +tp8533 +a(g222 +V"for the current page" +p8534 +tp8535 +a(g185 +V\u000a +tp8536 +a(g73 +Vmsgstr +p8537 +tp8538 +a(g185 +V +tp8539 +a(g222 +V"" +p8540 +tp8541 +a(g185 +V\u000a +tp8542 +a(g222 +V"Sie können eine Datei in eine neue Seite hochladen oder eine Datei als " +p8543 +tp8544 +a(g185 +V\u000a +tp8545 +a(g222 +V"Dateianhang an die aktuelle Seite hochladen" +p8546 +tp8547 +a(g185 +V\u000a +tp8548 +a(g185 +V\u000a +tp8549 +a(g73 +Vmsgid +p8550 +tp8551 +a(g185 +V +tp8552 +a(g222 +V"attachment" +p8553 +tp8554 +a(g185 +V\u000a +tp8555 +a(g73 +Vmsgstr +p8556 +tp8557 +a(g185 +V +tp8558 +a(g222 +V"Dateianhang" +p8559 +tp8560 +a(g185 +V\u000a +tp8561 +a(g185 +V\u000a +tp8562 +a(g73 +Vmsgid +p8563 +tp8564 +a(g185 +V +tp8565 +a(g222 +V"overwrite" +p8566 +tp8567 +a(g185 +V\u000a +tp8568 +a(g73 +Vmsgstr +p8569 +tp8570 +a(g185 +V +tp8571 +a(g222 +V"überschreiben" +p8572 +tp8573 +a(g185 +V\u000a +tp8574 +a(g185 +V\u000a +tp8575 +a(g73 +Vmsgid +p8576 +tp8577 +a(g185 +V +tp8578 +a(g222 +V"New Name" +p8579 +tp8580 +a(g185 +V\u000a +tp8581 +a(g73 +Vmsgstr +p8582 +tp8583 +a(g185 +V +tp8584 +a(g222 +V"Neuer Name" +p8585 +tp8586 +a(g185 +V\u000a +tp8587 +a(g185 +V\u000a +tp8588 +a(g135 +V#, python-format +p8589 +tp8590 +a(g185 +V\u000a +tp8591 +a(g73 +Vmsgid +p8592 +tp8593 +a(g185 +V +tp8594 +a(g222 +V"(including %(localwords)d %(pagelink)s)" +p8595 +tp8596 +a(g185 +V\u000a +tp8597 +a(g73 +Vmsgstr +p8598 +tp8599 +a(g185 +V +tp8600 +a(g222 +V"(inklusive %(localwords)d %(pagelink)s)" +p8601 +tp8602 +a(g185 +V\u000a +tp8603 +a(g185 +V\u000a +tp8604 +a(g135 +V#, python-format +p8605 +tp8606 +a(g185 +V\u000a +tp8607 +a(g73 +Vmsgid +p8608 +tp8609 +a(g185 +V +tp8610 +a(g222 +V"" +p8611 +tp8612 +a(g185 +V\u000a +tp8613 +a(g222 +V"The following %(badwords)d words could not be found in the dictionary of %" +p8614 +tp8615 +a(g185 +V\u000a +tp8616 +a(g222 +V"(totalwords)d words%(localwords)s and are highlighted below:" +p8617 +tp8618 +a(g185 +V\u000a +tp8619 +a(g73 +Vmsgstr +p8620 +tp8621 +a(g185 +V +tp8622 +a(g222 +V"" +p8623 +tp8624 +a(g185 +V\u000a +tp8625 +a(g222 +V"Die nachfolgenden %(badwords)d Worte konnten nicht im Wörterbuch mit %" +p8626 +tp8627 +a(g185 +V\u000a +tp8628 +a(g222 +V"(totalwords)d Worten%(localwords)s gefunden werden und sind im Text " +p8629 +tp8630 +a(g185 +V\u000a +tp8631 +a(g222 +V" +tp8632 +a(g103 +Vhervorgehoben: +p8633 +tp8634 +a(g222 +V" +tp8635 +a(g185 +V\u000a +tp8636 +a(g185 +V\u000a +tp8637 +a(g73 +Vmsgid +p8638 +tp8639 +a(g185 +V +tp8640 +a(g222 +V"Add checked words to dictionary" +p8641 +tp8642 +a(g185 +V\u000a +tp8643 +a(g73 +Vmsgstr +p8644 +tp8645 +a(g185 +V +tp8646 +a(g222 +V"Markierte Wörter zum Wörterbuch hinzufügen" +p8647 +tp8648 +a(g185 +V\u000a +tp8649 +a(g185 +V\u000a +tp8650 +a(g73 +Vmsgid +p8651 +tp8652 +a(g185 +V +tp8653 +a(g222 +V"No spelling errors found!" +p8654 +tp8655 +a(g185 +V\u000a +tp8656 +a(g73 +Vmsgstr +p8657 +tp8658 +a(g185 +V +tp8659 +a(g222 +V"Keine Rechtschreibfehler gefunden!" +p8660 +tp8661 +a(g185 +V\u000a +tp8662 +a(g185 +V\u000a +tp8663 +a(g73 +Vmsgid +p8664 +tp8665 +a(g185 +V +tp8666 +a(g222 +V"You can't save spelling words." +p8667 +tp8668 +a(g185 +V\u000a +tp8669 +a(g73 +Vmsgstr +p8670 +tp8671 +a(g185 +V +tp8672 +a(g222 +V"Sie können keine Rechtschreibkorrektur-Wörter abspeichern." +p8673 +tp8674 +a(g185 +V\u000a +tp8675 +a(g185 +V\u000a +tp8676 +a(g73 +Vmsgid +p8677 +tp8678 +a(g185 +V +tp8679 +a(g222 +V"You can't check spelling on a page you can't read." +p8680 +tp8681 +a(g185 +V\u000a +tp8682 +a(g73 +Vmsgstr +p8683 +tp8684 +a(g185 +V +tp8685 +a(g222 +V"" +p8686 +tp8687 +a(g185 +V\u000a +tp8688 +a(g222 +V"Sie dürfen keine Seite auf Rechtschreibung prüfen, die Sie nicht lesen " +p8689 +tp8690 +a(g185 +V\u000a +tp8691 +a(g222 +V"können." +p8692 +tp8693 +a(g185 +V\u000a +tp8694 +a(g185 +V\u000a +tp8695 +a(g73 +Vmsgid +p8696 +tp8697 +a(g185 +V +tp8698 +a(g222 +V"You are now logged out." +p8699 +tp8700 +a(g185 +V\u000a +tp8701 +a(g73 +Vmsgstr +p8702 +tp8703 +a(g185 +V +tp8704 +a(g222 +V"Sie sind nun abgemeldet." +p8705 +tp8706 +a(g185 +V\u000a +tp8707 +a(g185 +V\u000a +tp8708 +a(g73 +Vmsgid +p8709 +tp8710 +a(g185 +V +tp8711 +a(g222 +V"You are not allowed to subscribe to a page you can't read." +p8712 +tp8713 +a(g185 +V\u000a +tp8714 +a(g73 +Vmsgstr +p8715 +tp8716 +a(g185 +V +tp8717 +a(g222 +V"Sie dürfen keine Seiten abonnieren, die Sie nicht lesen dürfen." +p8718 +tp8719 +a(g185 +V\u000a +tp8720 +a(g185 +V\u000a +tp8721 +a(g73 +Vmsgid +p8722 +tp8723 +a(g185 +V +tp8724 +a(g222 +V"This wiki is not enabled for mail processing." +p8725 +tp8726 +a(g185 +V\u000a +tp8727 +a(g73 +Vmsgstr +p8728 +tp8729 +a(g185 +V +tp8730 +a(g222 +V"In diesem Wiki ist Mail-Verarbeitung nicht eingeschaltet." +p8731 +tp8732 +a(g185 +V\u000a +tp8733 +a(g185 +V\u000a +tp8734 +a(g73 +Vmsgid +p8735 +tp8736 +a(g185 +V +tp8737 +a(g222 +V"You must log in to use subscriptions." +p8738 +tp8739 +a(g185 +V\u000a +tp8740 +a(g73 +Vmsgstr +p8741 +tp8742 +a(g185 +V +tp8743 +a(g222 +V"Sie müssen sich anmelden, um Abonnements verwenden zu können." +p8744 +tp8745 +a(g185 +V\u000a +tp8746 +a(g185 +V\u000a +tp8747 +a(g73 +Vmsgid +p8748 +tp8749 +a(g185 +V +tp8750 +a(g222 +V"Add your email address in your UserPreferences to use subscriptions." +p8751 +tp8752 +a(g185 +V\u000a +tp8753 +a(g73 +Vmsgstr +p8754 +tp8755 +a(g185 +V +tp8756 +a(g222 +V"" +p8757 +tp8758 +a(g185 +V\u000a +tp8759 +a(g222 +V"Fügen Sie Ihre E-Mail-Adresse in den BenutzerEinstellungen hinzu, um " +p8760 +tp8761 +a(g185 +V\u000a +tp8762 +a(g222 +V"Abonnements benutzen zu können." +p8763 +tp8764 +a(g185 +V\u000a +tp8765 +a(g185 +V\u000a +tp8766 +a(g73 +Vmsgid +p8767 +tp8768 +a(g185 +V +tp8769 +a(g222 +V"Your subscription to this page has been removed." +p8770 +tp8771 +a(g185 +V\u000a +tp8772 +a(g73 +Vmsgstr +p8773 +tp8774 +a(g185 +V +tp8775 +a(g222 +V"Ihr Abonnementsfür diese Seite wurde entfernt." +p8776 +tp8777 +a(g185 +V\u000a +tp8778 +a(g185 +V\u000a +tp8779 +a(g73 +Vmsgid +p8780 +tp8781 +a(g185 +V +tp8782 +a(g222 +V"Can't remove regular expression subscription!" +p8783 +tp8784 +a(g185 +V\u000a +tp8785 +a(g73 +Vmsgstr +p8786 +tp8787 +a(g185 +V +tp8788 +a(g222 +V"Kann nicht Abonnement mit regulärem Ausdruck entfernen." +p8789 +tp8790 +a(g185 +V\u000a +tp8791 +a(g185 +V\u000a +tp8792 +a(g73 +Vmsgid +p8793 +tp8794 +a(g185 +V +tp8795 +a(g222 +V"Edit the subscription regular expressions in your UserPreferences." +p8796 +tp8797 +a(g185 +V\u000a +tp8798 +a(g73 +Vmsgstr +p8799 +tp8800 +a(g185 +V +tp8801 +a(g222 +V"" +p8802 +tp8803 +a(g185 +V\u000a +tp8804 +a(g222 +V"Editieren Sie die regulären Ausdrücke für Abonnements in Ihren " +p8805 +tp8806 +a(g185 +V\u000a +tp8807 +a(g222 +V"BenutzerEinstellungen." +p8808 +tp8809 +a(g185 +V\u000a +tp8810 +a(g185 +V\u000a +tp8811 +a(g73 +Vmsgid +p8812 +tp8813 +a(g185 +V +tp8814 +a(g222 +V"You have been subscribed to this page." +p8815 +tp8816 +a(g185 +V\u000a +tp8817 +a(g73 +Vmsgstr +p8818 +tp8819 +a(g185 +V +tp8820 +a(g222 +V"Die Seite wurde zur Liste abonnierter Seiten hinzugefügt." +p8821 +tp8822 +a(g185 +V\u000a +tp8823 +a(g185 +V\u000a +tp8824 +a(g73 +Vmsgid +p8825 +tp8826 +a(g185 +V +tp8827 +a(g222 +V"You could not get subscribed to this page." +p8828 +tp8829 +a(g185 +V\u000a +tp8830 +a(g73 +Vmsgstr +p8831 +tp8832 +a(g185 +V +tp8833 +a(g222 +V"" +p8834 +tp8835 +a(g185 +V\u000a +tp8836 +a(g222 +V"Die Seite konnte nicht zur Liste abonnierter Seiten hinzugefügt werden." +p8837 +tp8838 +a(g185 +V\u000a +tp8839 +a(g185 +V\u000a +tp8840 +a(g73 +Vmsgid +p8841 +tp8842 +a(g185 +V +tp8843 +a(g222 +V"General Information" +p8844 +tp8845 +a(g185 +V\u000a +tp8846 +a(g73 +Vmsgstr +p8847 +tp8848 +a(g185 +V +tp8849 +a(g222 +V"Allgemeine Informationen" +p8850 +tp8851 +a(g185 +V\u000a +tp8852 +a(g185 +V\u000a +tp8853 +a(g135 +V#, python-format +p8854 +tp8855 +a(g185 +V\u000a +tp8856 +a(g73 +Vmsgid +p8857 +tp8858 +a(g185 +V +tp8859 +a(g222 +V"Page size: %d" +p8860 +tp8861 +a(g185 +V\u000a +tp8862 +a(g73 +Vmsgstr +p8863 +tp8864 +a(g185 +V +tp8865 +a(g222 +V"Seitengröße: %d" +p8866 +tp8867 +a(g185 +V\u000a +tp8868 +a(g185 +V\u000a +tp8869 +a(g73 +Vmsgid +p8870 +tp8871 +a(g185 +V +tp8872 +a(g222 +V"SHA digest of this page's content is:" +p8873 +tp8874 +a(g185 +V\u000a +tp8875 +a(g73 +Vmsgstr +p8876 +tp8877 +a(g185 +V +tp8878 +a(g222 +V"Signatur des Seiteninhalts nach dem SHA-Verfahren:" +p8879 +tp8880 +a(g185 +V\u000a +tp8881 +a(g185 +V\u000a +tp8882 +a(g73 +Vmsgid +p8883 +tp8884 +a(g185 +V +tp8885 +a(g222 +V"The following users subscribed to this page:" +p8886 +tp8887 +a(g185 +V\u000a +tp8888 +a(g73 +Vmsgstr +p8889 +tp8890 +a(g185 +V +tp8891 +a(g222 +V"Nachfolgende Benutzer haben diese Seite abonniert:" +p8892 +tp8893 +a(g185 +V\u000a +tp8894 +a(g185 +V\u000a +tp8895 +a(g73 +Vmsgid +p8896 +tp8897 +a(g185 +V +tp8898 +a(g222 +V"This page links to the following pages:" +p8899 +tp8900 +a(g185 +V\u000a +tp8901 +a(g73 +Vmsgstr +p8902 +tp8903 +a(g185 +V +tp8904 +a(g222 +V"Diese Seite verweist auf die folgenden Seiten:" +p8905 +tp8906 +a(g185 +V\u000a +tp8907 +a(g185 +V\u000a +tp8908 +a(g73 +Vmsgid +p8909 +tp8910 +a(g185 +V +tp8911 +a(g222 +V"Diff" +p8912 +tp8913 +a(g185 +V\u000a +tp8914 +a(g73 +Vmsgstr +p8915 +tp8916 +a(g185 +V +tp8917 +a(g222 +V"Differenz" +p8918 +tp8919 +a(g185 +V\u000a +tp8920 +a(g185 +V\u000a +tp8921 +a(g73 +Vmsgid +p8922 +tp8923 +a(g185 +V +tp8924 +a(g222 +V"Comment" +p8925 +tp8926 +a(g185 +V\u000a +tp8927 +a(g73 +Vmsgstr +p8928 +tp8929 +a(g185 +V +tp8930 +a(g222 +V"Kommentar" +p8931 +tp8932 +a(g185 +V\u000a +tp8933 +a(g185 +V\u000a +tp8934 +a(g73 +Vmsgid +p8935 +tp8936 +a(g185 +V +tp8937 +a(g222 +V"Revision History" +p8938 +tp8939 +a(g185 +V\u000a +tp8940 +a(g73 +Vmsgstr +p8941 +tp8942 +a(g185 +V +tp8943 +a(g222 +V"Versionshistorie" +p8944 +tp8945 +a(g185 +V\u000a +tp8946 +a(g185 +V\u000a +tp8947 +a(g73 +Vmsgid +p8948 +tp8949 +a(g185 +V +tp8950 +a(g222 +V"No log entries found." +p8951 +tp8952 +a(g185 +V\u000a +tp8953 +a(g73 +Vmsgstr +p8954 +tp8955 +a(g185 +V +tp8956 +a(g222 +V"Keine Log-Einträge gefunden." +p8957 +tp8958 +a(g185 +V\u000a +tp8959 +a(g185 +V\u000a +tp8960 +a(g135 +V#, python-format +p8961 +tp8962 +a(g185 +V\u000a +tp8963 +a(g73 +Vmsgid +p8964 +tp8965 +a(g185 +V +tp8966 +a(g222 +V"Info for \u005c"%s\u005c"" +p8967 +tp8968 +a(g185 +V\u000a +tp8969 +a(g73 +Vmsgstr +p8970 +tp8971 +a(g185 +V +tp8972 +a(g222 +V"Info für \u005c"%s\u005c"" +p8973 +tp8974 +a(g185 +V\u000a +tp8975 +a(g185 +V\u000a +tp8976 +a(g135 +V#, python-format +p8977 +tp8978 +a(g185 +V\u000a +tp8979 +a(g73 +Vmsgid +p8980 +tp8981 +a(g185 +V +tp8982 +a(g222 +V"Show \u005c"%(title)s\u005c"" +p8983 +tp8984 +a(g185 +V\u000a +tp8985 +a(g73 +Vmsgstr +p8986 +tp8987 +a(g185 +V +tp8988 +a(g222 +V"\u005c"%(title)s\u005c" anzeigen" +p8989 +tp8990 +a(g185 +V\u000a +tp8991 +a(g185 +V\u000a +tp8992 +a(g73 +Vmsgid +p8993 +tp8994 +a(g185 +V +tp8995 +a(g222 +V"General Page Infos" +p8996 +tp8997 +a(g185 +V\u000a +tp8998 +a(g73 +Vmsgstr +p8999 +tp9000 +a(g185 +V +tp9001 +a(g222 +V"Allgemeine Seiten-Informationen" +p9002 +tp9003 +a(g185 +V\u000a +tp9004 +a(g185 +V\u000a +tp9005 +a(g73 +Vmsgid +p9006 +tp9007 +a(g185 +V +tp9008 +a(g222 +V"Please log in first." +p9009 +tp9010 +a(g185 +V\u000a +tp9011 +a(g73 +Vmsgstr +p9012 +tp9013 +a(g185 +V +tp9014 +a(g222 +V"Bitte melden Sie sich vorher an." +p9015 +tp9016 +a(g185 +V\u000a +tp9017 +a(g185 +V\u000a +tp9018 +a(g73 +Vmsgid +p9019 +tp9020 +a(g185 +V +tp9021 +a(g222 +V"Please first create a homepage before creating additional pages." +p9022 +tp9023 +a(g185 +V\u000a +tp9024 +a(g73 +Vmsgstr +p9025 +tp9026 +a(g185 +V +tp9027 +a(g222 +V"" +p9028 +tp9029 +a(g185 +V\u000a +tp9030 +a(g222 +V"Bitte erzeugen Sie zuerst eine Homepage, bevor Sie weitere Seiten anlegen." +p9031 +tp9032 +a(g185 +V\u000a +tp9033 +a(g185 +V\u000a +tp9034 +a(g135 +V#, python-format +p9035 +tp9036 +a(g185 +V\u000a +tp9037 +a(g73 +Vmsgid +p9038 +tp9039 +a(g185 +V +tp9040 +a(g222 +V"" +p9041 +tp9042 +a(g185 +V\u000a +tp9043 +a(g222 +V"You can add some additional sub pages to your already existing homepage " +p9044 +tp9045 +a(g185 +V\u000a +tp9046 +a(g222 +V"here.\u005cn" +p9047 +tp9048 +a(g185 +V\u000a +tp9049 +a(g222 +V"\u005cn" +p9050 +tp9051 +a(g185 +V\u000a +tp9052 +a(g222 +V"You can choose how open to other readers or writers those pages shall be,\u005cn" +p9053 +tp9054 +a(g185 +V\u000a +tp9055 +a(g222 +V"access is controlled by group membership of the corresponding group page.\u005cn" +p9056 +tp9057 +a(g185 +V\u000a +tp9058 +a(g222 +V"\u005cn" +p9059 +tp9060 +a(g185 +V\u000a +tp9061 +a(g222 +V"Just enter the sub page's name and click on the button to create a new " +p9062 +tp9063 +a(g185 +V\u000a +tp9064 +a(g222 +V"page.\u005cn" +p9065 +tp9066 +a(g185 +V\u000a +tp9067 +a(g222 +V"\u005cn" +p9068 +tp9069 +a(g185 +V\u000a +tp9070 +a(g222 +V"Before creating access protected pages, make sure the corresponding group " +p9071 +tp9072 +a(g185 +V\u000a +tp9073 +a(g222 +V"page\u005cn" +p9074 +tp9075 +a(g185 +V\u000a +tp9076 +a(g222 +V"exists and has the appropriate members in it. Use HomepageGroupsTemplate for " +p9077 +tp9078 +a(g185 +V\u000a +tp9079 +a(g222 +V"creating\u005cn" +p9080 +tp9081 +a(g185 +V\u000a +tp9082 +a(g222 +V"the group pages.\u005cn" +p9083 +tp9084 +a(g185 +V\u000a +tp9085 +a(g222 +V"\u005cn" +p9086 +tp9087 +a(g185 +V\u000a +tp9088 +a(g222 +V"||'''Add a new personal page:'''||'''Related access control list " +p9089 +tp9090 +a(g185 +V\u000a +tp9091 +a(g222 +V" +tp9092 +a(g103 +Vgroup: +p9093 +tp9094 +a(g222 +V'''||\u005cn" +p9095 +tp9096 +a(g185 +V\u000a +tp9097 +a(g222 +V"||[[NewPage(HomepageReadWritePageTemplate,read-write page,%(username)s)]]||" +p9098 +tp9099 +a(g185 +V\u000a +tp9100 +a(g222 +V"[\u005c"%(username)s/ReadWriteGroup\u005c"]||\u005cn" +p9101 +tp9102 +a(g185 +V\u000a +tp9103 +a(g222 +V"||[[NewPage(HomepageReadPageTemplate,read-only page,%(username)s)]]||[\u005c"%" +p9104 +tp9105 +a(g185 +V\u000a +tp9106 +a(g222 +V"(username)s/ReadGroup\u005c"]||\u005cn" +p9107 +tp9108 +a(g185 +V\u000a +tp9109 +a(g222 +V"||[[NewPage(HomepagePrivatePageTemplate,private page,%(username)s)]]||%" +p9110 +tp9111 +a(g185 +V\u000a +tp9112 +a(g222 +V"(username)s only||\u005cn" +p9113 +tp9114 +a(g185 +V\u000a +tp9115 +a(g222 +V"\u005cn" +p9116 +tp9117 +a(g185 +V\u000a +tp9118 +a(g73 +Vmsgstr +p9119 +tp9120 +a(g185 +V +tp9121 +a(g222 +V"" +p9122 +tp9123 +a(g185 +V\u000a +tp9124 +a(g222 +V"Hier können Sie zusätzliche Unterseiten zu Ihrer bereits existierenden " +p9125 +tp9126 +a(g185 +V\u000a +tp9127 +a(g222 +V"Homepage hinzufügen.\u005cn" +p9128 +tp9129 +a(g185 +V\u000a +tp9130 +a(g222 +V"\u005cn" +p9131 +tp9132 +a(g185 +V\u000a +tp9133 +a(g222 +V"Sie können wählen, wie offen diese Seiten für andere Leser oder Autoren sein " +p9134 +tp9135 +a(g185 +V\u000a +tp9136 +a(g222 +V"sollen,\u005cn" +p9137 +tp9138 +a(g185 +V\u000a +tp9139 +a(g222 +V"der Zugriff wird über Gruppenmitgliedschaft in der entsprechenden Gruppe " +p9140 +tp9141 +a(g185 +V\u000a +tp9142 +a(g222 +V"kontrolliert.\u005cn" +p9143 +tp9144 +a(g185 +V\u000a +tp9145 +a(g222 +V"\u005cn" +p9146 +tp9147 +a(g185 +V\u000a +tp9148 +a(g222 +V"Geben Sie einfach den Namen der Unterseite ein und klicken Sie auf den " +p9149 +tp9150 +a(g185 +V\u000a +tp9151 +a(g222 +V"Knopf, um eine neue Seite zu erzeugen.\u005cn" +p9152 +tp9153 +a(g185 +V\u000a +tp9154 +a(g222 +V"\u005cn" +p9155 +tp9156 +a(g185 +V\u000a +tp9157 +a(g222 +V"Bevor Sie zugriffsgeschützte Seiten erzeugen, stellen Sie sicher, dass die " +p9158 +tp9159 +a(g185 +V\u000a +tp9160 +a(g222 +V"entsprechende Gruppenseite existiert und die richtigen Mitglieder hat. " +p9161 +tp9162 +a(g185 +V\u000a +tp9163 +a(g222 +V"Benutzen Sie HomepageGroupsTemplate für das Erzeugen der Gruppenseiten.\u005cn" +p9164 +tp9165 +a(g185 +V\u000a +tp9166 +a(g222 +V"\u005cn" +p9167 +tp9168 +a(g185 +V\u000a +tp9169 +a(g222 +V"||'''Neue persönliche Seite hinzufügen:'''||'''Zugeordnete ACL-Gruppe:'''||\u005cn" +p9170 +tp9171 +a(g185 +V\u000a +tp9172 +a(g222 +V"||[[NewPage(HomepageReadWritePageTemplate,Seite (read/write),%(username)" +p9173 +tp9174 +a(g185 +V\u000a +tp9175 +a(g222 +V"s)]]||[\u005c"%(username)s/ReadWriteGroup\u005c"]||\u005cn" +p9176 +tp9177 +a(g185 +V\u000a +tp9178 +a(g222 +V"||[[NewPage(HomepageReadPageTemplate,Seite (read-only),%(username)s)]]||[\u005c"%" +p9179 +tp9180 +a(g185 +V\u000a +tp9181 +a(g222 +V"(username)s/ReadGroup\u005c"]||\u005cn" +p9182 +tp9183 +a(g185 +V\u000a +tp9184 +a(g222 +V"||[[NewPage(HomepagePrivatePageTemplate,Seite (privat),%(username)s)]]||nur %" +p9185 +tp9186 +a(g185 +V\u000a +tp9187 +a(g222 +V"(username)s||\u005cn" +p9188 +tp9189 +a(g185 +V\u000a +tp9190 +a(g222 +V"\u005cn" +p9191 +tp9192 +a(g185 +V\u000a +tp9193 +a(g185 +V\u000a +tp9194 +a(g73 +Vmsgid +p9195 +tp9196 +a(g185 +V +tp9197 +a(g222 +V"MyPages management" +p9198 +tp9199 +a(g185 +V\u000a +tp9200 +a(g73 +Vmsgstr +p9201 +tp9202 +a(g185 +V +tp9203 +a(g222 +V"Verwaltung meiner Seiten" +p9204 +tp9205 +a(g185 +V\u000a +tp9206 +a(g185 +V\u000a +tp9207 +a(g135 +V#, python-format +p9208 +tp9209 +a(g185 +V\u000a +tp9210 +a(g73 +Vmsgid +p9211 +tp9212 +a(g185 +V +tp9213 +a(g222 +V"Subscribe users to the page %s" +p9214 +tp9215 +a(g185 +V\u000a +tp9216 +a(g73 +Vmsgstr +p9217 +tp9218 +a(g185 +V +tp9219 +a(g222 +V"Seite %s für Benutzer abonnieren" +p9220 +tp9221 +a(g185 +V\u000a +tp9222 +a(g185 +V\u000a +tp9223 +a(g135 +V#, python-format +p9224 +tp9225 +a(g185 +V\u000a +tp9226 +a(g73 +Vmsgid +p9227 +tp9228 +a(g185 +V +tp9229 +a(g222 +V"Subscribed for %s:" +p9230 +tp9231 +a(g185 +V\u000a +tp9232 +a(g73 +Vmsgstr +p9233 +tp9234 +a(g185 +V +tp9235 +a(g222 +V"Abonnenten von %s:" +p9236 +tp9237 +a(g185 +V\u000a +tp9238 +a(g185 +V\u000a +tp9239 +a(g73 +Vmsgid +p9240 +tp9241 +a(g185 +V +tp9242 +a(g222 +V"Not a user:" +p9243 +tp9244 +a(g185 +V\u000a +tp9245 +a(g73 +Vmsgstr +p9246 +tp9247 +a(g185 +V +tp9248 +a(g222 +V"Kein Benutzer:" +p9249 +tp9250 +a(g185 +V\u000a +tp9251 +a(g185 +V\u000a +tp9252 +a(g73 +Vmsgid +p9253 +tp9254 +a(g185 +V +tp9255 +a(g222 +V"You are not allowed to perform this action." +p9256 +tp9257 +a(g185 +V\u000a +tp9258 +a(g73 +Vmsgstr +p9259 +tp9260 +a(g185 +V +tp9261 +a(g222 +V"Sie dürfen diese Aktion nicht ausführen." +p9262 +tp9263 +a(g185 +V\u000a +tp9264 +a(g185 +V\u000a +tp9265 +a(g135 +V#, python-format +p9266 +tp9267 +a(g185 +V\u000a +tp9268 +a(g73 +Vmsgid +p9269 +tp9270 +a(g185 +V +tp9271 +a(g222 +V"(!) Only pages changed since '''%s''' are being displayed!" +p9272 +tp9273 +a(g185 +V\u000a +tp9274 +a(g73 +Vmsgstr +p9275 +tp9276 +a(g185 +V +tp9277 +a(g222 +V"(!) Nur Seiten, die seit '''%s''' geändert wurden, werden angezeigt!" +p9278 +tp9279 +a(g185 +V\u000a +tp9280 +a(g185 +V\u000a +tp9281 +a(g73 +Vmsgid +p9282 +tp9283 +a(g185 +V +tp9284 +a(g222 +V"" +p9285 +tp9286 +a(g185 +V\u000a +tp9287 +a(g222 +V"/!\u005c\u005c The modification date you entered was not recognized and is therefore " +p9288 +tp9289 +a(g185 +V\u000a +tp9290 +a(g222 +V"not considered for the search results!" +p9291 +tp9292 +a(g185 +V\u000a +tp9293 +a(g73 +Vmsgstr +p9294 +tp9295 +a(g185 +V +tp9296 +a(g222 +V"" +p9297 +tp9298 +a(g185 +V\u000a +tp9299 +a(g222 +V"/!\u005c\u005c Das eingegebene Änderungsdatum wurde nicht erkannt und wird deshalb " +p9300 +tp9301 +a(g185 +V\u000a +tp9302 +a(g222 +V"nicht bei der Suche berücksichtigt." +p9303 +tp9304 +a(g185 +V\u000a +tp9305 +a(g185 +V\u000a +tp9306 +a(g135 +V#, python-format +p9307 +tp9308 +a(g185 +V\u000a +tp9309 +a(g73 +Vmsgid +p9310 +tp9311 +a(g185 +V +tp9312 +a(g222 +V"Title Search: \u005c"%s\u005c"" +p9313 +tp9314 +a(g185 +V\u000a +tp9315 +a(g73 +Vmsgstr +p9316 +tp9317 +a(g185 +V +tp9318 +a(g222 +V"Titelsuche: \u005c"%s\u005c"" +p9319 +tp9320 +a(g185 +V\u000a +tp9321 +a(g185 +V\u000a +tp9322 +a(g135 +V#, python-format +p9323 +tp9324 +a(g185 +V\u000a +tp9325 +a(g73 +Vmsgid +p9326 +tp9327 +a(g185 +V +tp9328 +a(g222 +V"Advanced Search: \u005c"%s\u005c"" +p9329 +tp9330 +a(g185 +V\u000a +tp9331 +a(g73 +Vmsgstr +p9332 +tp9333 +a(g185 +V +tp9334 +a(g222 +V"Erweiterte Suche: \u005c"%s\u005c"" +p9335 +tp9336 +a(g185 +V\u000a +tp9337 +a(g185 +V\u000a +tp9338 +a(g135 +V#, python-format +p9339 +tp9340 +a(g185 +V\u000a +tp9341 +a(g73 +Vmsgid +p9342 +tp9343 +a(g185 +V +tp9344 +a(g222 +V"Full Text Search: \u005c"%s\u005c"" +p9345 +tp9346 +a(g185 +V\u000a +tp9347 +a(g73 +Vmsgstr +p9348 +tp9349 +a(g185 +V +tp9350 +a(g222 +V"Volltextsuche: \u005c"%s\u005c"" +p9351 +tp9352 +a(g185 +V\u000a +tp9353 +a(g185 +V\u000a +tp9354 +a(g135 +V#, python-format +p9355 +tp9356 +a(g185 +V\u000a +tp9357 +a(g73 +Vmsgid +p9358 +tp9359 +a(g185 +V +tp9360 +a(g222 +V"" +p9361 +tp9362 +a(g185 +V\u000a +tp9363 +a(g222 +V"Your search query {{{\u005c"%s\u005c"}}} is invalid. Please refer to HelpOnSearching " +p9364 +tp9365 +a(g185 +V\u000a +tp9366 +a(g222 +V"for more information." +p9367 +tp9368 +a(g185 +V\u000a +tp9369 +a(g73 +Vmsgstr +p9370 +tp9371 +a(g185 +V +tp9372 +a(g222 +V"" +p9373 +tp9374 +a(g185 +V\u000a +tp9375 +a(g222 +V"Ihre Suchanfrage {{{\u005c"%s\u005c"}}} ist ungültig. Siehe HilfeZumSuchen für weitere " +p9376 +tp9377 +a(g185 +V\u000a +tp9378 +a(g222 +V"Informationen." +p9379 +tp9380 +a(g185 +V\u000a +tp9381 +a(g185 +V\u000a +tp9382 +a(g135 +V#, python-format +p9383 +tp9384 +a(g185 +V\u000a +tp9385 +a(g73 +Vmsgid +p9386 +tp9387 +a(g185 +V +tp9388 +a(g222 +V"" +p9389 +tp9390 +a(g185 +V\u000a +tp9391 +a(g222 +V"Your search query {{{\u005c"%s\u005c"}}} didn't return any results. Please change some " +p9392 +tp9393 +a(g185 +V\u000a +tp9394 +a(g222 +V"terms and refer to HelpOnSearching for more information.%s" +p9395 +tp9396 +a(g185 +V\u000a +tp9397 +a(g73 +Vmsgstr +p9398 +tp9399 +a(g185 +V +tp9400 +a(g222 +V"" +p9401 +tp9402 +a(g185 +V\u000a +tp9403 +a(g222 +V"Ihre Suche nach {{{\u005c"%s\u005c"}}} hat keine Resultate ergeben. Bitte ändern Sie " +p9404 +tp9405 +a(g185 +V\u000a +tp9406 +a(g222 +V"einige Suchbegriffe und lesen Sie für weitere Informationen auf " +p9407 +tp9408 +a(g185 +V\u000a +tp9409 +a(g222 +V"HilfeZumSuchen nach. %s" +p9410 +tp9411 +a(g185 +V\u000a +tp9412 +a(g185 +V\u000a +tp9413 +a(g73 +Vmsgid +p9414 +tp9415 +a(g185 +V +tp9416 +a(g222 +V"(!) Consider performing a" +p9417 +tp9418 +a(g185 +V\u000a +tp9419 +a(g73 +Vmsgstr +p9420 +tp9421 +a(g185 +V +tp9422 +a(g222 +V"(!) Erwägen Sie eine" +p9423 +tp9424 +a(g185 +V\u000a +tp9425 +a(g185 +V\u000a +tp9426 +a(g73 +Vmsgid +p9427 +tp9428 +a(g185 +V +tp9429 +a(g222 +V"full-text search with your search terms" +p9430 +tp9431 +a(g185 +V\u000a +tp9432 +a(g73 +Vmsgstr +p9433 +tp9434 +a(g185 +V +tp9435 +a(g222 +V"Volltextsuche mit Ihren Suchbegriffen" +p9436 +tp9437 +a(g185 +V\u000a +tp9438 +a(g185 +V\u000a +tp9439 +a(g73 +Vmsgid +p9440 +tp9441 +a(g185 +V +tp9442 +a(g222 +V"" +p9443 +tp9444 +a(g185 +V\u000a +tp9445 +a(g222 +V"(!) You're performing a title search that might not include all related " +p9446 +tp9447 +a(g185 +V\u000a +tp9448 +a(g222 +V"results of your search query in this wiki. [[BR]]" +p9449 +tp9450 +a(g185 +V\u000a +tp9451 +a(g73 +Vmsgstr +p9452 +tp9453 +a(g185 +V +tp9454 +a(g222 +V"" +p9455 +tp9456 +a(g185 +V\u000a +tp9457 +a(g222 +V"(!) Sie führen eine Titelsuche durch, die möglicherweise nicht alle " +p9458 +tp9459 +a(g185 +V\u000a +tp9460 +a(g222 +V"relevanten Ergebnisse Ihrer Sucheanfrage in diesem Wiki enthält. [[BR]]" +p9461 +tp9462 +a(g185 +V\u000a +tp9463 +a(g185 +V\u000a +tp9464 +a(g73 +Vmsgid +p9465 +tp9466 +a(g185 +V +tp9467 +a(g222 +V"Click here to perform a full-text search with your search terms!" +p9468 +tp9469 +a(g185 +V\u000a +tp9470 +a(g73 +Vmsgstr +p9471 +tp9472 +a(g185 +V +tp9473 +a(g222 +V"Hier klicken für eine Volltextsuche mit diesen Suchbegriffen!" +p9474 +tp9475 +a(g185 +V\u000a +tp9476 +a(g185 +V\u000a +tp9477 +a(g135 +V#, python-format +p9478 +tp9479 +a(g185 +V\u000a +tp9480 +a(g73 +Vmsgid +p9481 +tp9482 +a(g185 +V +tp9483 +a(g222 +V"" +p9484 +tp9485 +a(g185 +V\u000a +tp9486 +a(g222 +V"Restored Backup: %(filename)s to target dir: %(targetdir)s.\u005cn" +p9487 +tp9488 +a(g185 +V\u000a +tp9489 +a(g222 +V" +tp9490 +a(g103 +VFiles: +p9491 +tp9492 +a(g222 +V %(filecount)d, Directories: %(dircount)d" +p9493 +tp9494 +a(g185 +V\u000a +tp9495 +a(g73 +Vmsgstr +p9496 +tp9497 +a(g185 +V +tp9498 +a(g222 +V"" +p9499 +tp9500 +a(g185 +V\u000a +tp9501 +a(g222 +V"Wiederhergestelltes Backup: %(filename)s nach Zielverzeichnis: %(targetdir)" +p9502 +tp9503 +a(g185 +V\u000a +tp9504 +a(g222 +V"s.\u005cn" +p9505 +tp9506 +a(g185 +V\u000a +tp9507 +a(g222 +V" +tp9508 +a(g103 +VDateien: +p9509 +tp9510 +a(g222 +V %(filecount)d, Verzeichnisse: %(dircount)d" +p9511 +tp9512 +a(g185 +V\u000a +tp9513 +a(g185 +V\u000a +tp9514 +a(g135 +V#, python-format +p9515 +tp9516 +a(g185 +V\u000a +tp9517 +a(g73 +Vmsgid +p9518 +tp9519 +a(g185 +V +tp9520 +a(g222 +V"Restoring backup: %(filename)s to target dir: %(targetdir)s failed." +p9521 +tp9522 +a(g185 +V\u000a +tp9523 +a(g73 +Vmsgstr +p9524 +tp9525 +a(g185 +V +tp9526 +a(g222 +V"" +p9527 +tp9528 +a(g185 +V\u000a +tp9529 +a(g222 +V"Wiederherstellen von Backup %(filename)s in das Zielverzeichnis %(targetdir)" +p9530 +tp9531 +a(g185 +V\u000a +tp9532 +a(g222 +V"s fehlgeschlagen." +p9533 +tp9534 +a(g185 +V\u000a +tp9535 +a(g185 +V\u000a +tp9536 +a(g73 +Vmsgid +p9537 +tp9538 +a(g185 +V +tp9539 +a(g222 +V"Wiki Backup / Restore" +p9540 +tp9541 +a(g185 +V\u000a +tp9542 +a(g73 +Vmsgstr +p9543 +tp9544 +a(g185 +V +tp9545 +a(g222 +V"Wiki Sicherung / Wiederherstellung" +p9546 +tp9547 +a(g185 +V\u000a +tp9548 +a(g185 +V\u000a +tp9549 +a(g73 +Vmsgid +p9550 +tp9551 +a(g185 +V +tp9552 +a(g222 +V"" +p9553 +tp9554 +a(g185 +V\u000a +tp9555 +a(g222 +V"Some hints:\u005cn" +p9556 +tp9557 +a(g185 +V\u000a +tp9558 +a(g222 +V" * To restore a backup:\u005cn" +p9559 +tp9560 +a(g185 +V\u000a +tp9561 +a(g222 +V" * Restoring a backup will overwrite existing data, so be careful.\u005cn" +p9562 +tp9563 +a(g185 +V\u000a +tp9564 +a(g222 +V" * Rename it to .tar. (remove the --date--time--UTC " +p9565 +tp9566 +a(g185 +V\u000a +tp9567 +a(g222 +V"stuff).\u005cn" +p9568 +tp9569 +a(g185 +V\u000a +tp9570 +a(g222 +V" * Put the backup file into the backup_storage_dir (use scp, ftp, ...).\u005cn" +p9571 +tp9572 +a(g185 +V\u000a +tp9573 +a(g222 +V" * Hit the [[GetText(Restore)]] button below.\u005cn" +p9574 +tp9575 +a(g185 +V\u000a +tp9576 +a(g222 +V"\u005cn" +p9577 +tp9578 +a(g185 +V\u000a +tp9579 +a(g222 +V" * To make a backup, just hit the [[GetText(Backup)]] button and save the " +p9580 +tp9581 +a(g185 +V\u000a +tp9582 +a(g222 +V"file\u005cn" +p9583 +tp9584 +a(g185 +V\u000a +tp9585 +a(g222 +V" you get to a secure place.\u005cn" +p9586 +tp9587 +a(g185 +V\u000a +tp9588 +a(g222 +V"\u005cn" +p9589 +tp9590 +a(g185 +V\u000a +tp9591 +a(g222 +V"Please make sure your wiki configuration backup_* values are correct and " +p9592 +tp9593 +a(g185 +V\u000a +tp9594 +a(g222 +V"complete.\u005cn" +p9595 +tp9596 +a(g185 +V\u000a +tp9597 +a(g222 +V"\u005cn" +p9598 +tp9599 +a(g185 +V\u000a +tp9600 +a(g73 +Vmsgstr +p9601 +tp9602 +a(g185 +V +tp9603 +a(g222 +V"" +p9604 +tp9605 +a(g185 +V\u000a +tp9606 +a(g222 +V" +tp9607 +a(g103 +VHinweise: +p9608 +tp9609 +a(g222 +V\u005cn" +p9610 +tp9611 +a(g185 +V\u000a +tp9612 +a(g222 +V" * Um ein Backup wiederherzustellen:\u005cn" +p9613 +tp9614 +a(g185 +V\u000a +tp9615 +a(g222 +V" * Das Wiederherstellen eines Backups wird bestehende Daten überschreiben, " +p9616 +tp9617 +a(g185 +V\u000a +tp9618 +a(g222 +V"also seien Sie vorsichtig.\u005cn" +p9619 +tp9620 +a(g185 +V\u000a +tp9621 +a(g222 +V" * Benennen Sie es auf .tar. um (entfernen Sie --date--" +p9622 +tp9623 +a(g185 +V\u000a +tp9624 +a(g222 +V"time--UTC).\u005cn" +p9625 +tp9626 +a(g185 +V\u000a +tp9627 +a(g222 +V" * Legen Sie die Backupdatei in das backup_storage_dir (mit scp, " +p9628 +tp9629 +a(g185 +V\u000a +tp9630 +a(g222 +V"ftp, ...).\u005cn" +p9631 +tp9632 +a(g185 +V\u000a +tp9633 +a(g222 +V" * Drücken Sie unten auf [[GetText(Restore)]]-Knopf unten.\u005cn" +p9634 +tp9635 +a(g185 +V\u000a +tp9636 +a(g222 +V"\u005cn" +p9637 +tp9638 +a(g185 +V\u000a +tp9639 +a(g222 +V" * Um ein Backup zu erstellen, drücken Sie einfach auf den [[GetText" +p9640 +tp9641 +a(g185 +V\u000a +tp9642 +a(g222 +V"(Backup)]]-Knopf und sichern Sie die Datei,\u005cn" +p9643 +tp9644 +a(g185 +V\u000a +tp9645 +a(g222 +V" die Sie erhalten an eine sichere Stelle.\u005cn" +p9646 +tp9647 +a(g185 +V\u000a +tp9648 +a(g222 +V"\u005cn" +p9649 +tp9650 +a(g185 +V\u000a +tp9651 +a(g222 +V"Bitte stellen Sie sicher, dass die backup_* Werte in Ihrer Wiki-" +p9652 +tp9653 +a(g185 +V\u000a +tp9654 +a(g222 +V"Konfiguration korrekt und vollständig sind.\u005cn" +p9655 +tp9656 +a(g185 +V\u000a +tp9657 +a(g185 +V\u000a +tp9658 +a(g73 +Vmsgid +p9659 +tp9660 +a(g185 +V +tp9661 +a(g222 +V"Backup" +p9662 +tp9663 +a(g185 +V\u000a +tp9664 +a(g73 +Vmsgstr +p9665 +tp9666 +a(g185 +V +tp9667 +a(g222 +V"Datensicherung" +p9668 +tp9669 +a(g185 +V\u000a +tp9670 +a(g185 +V\u000a +tp9671 +a(g73 +Vmsgid +p9672 +tp9673 +a(g185 +V +tp9674 +a(g222 +V"Restore" +p9675 +tp9676 +a(g185 +V\u000a +tp9677 +a(g73 +Vmsgstr +p9678 +tp9679 +a(g185 +V +tp9680 +a(g222 +V"Datenwiederherstellung" +p9681 +tp9682 +a(g185 +V\u000a +tp9683 +a(g185 +V\u000a +tp9684 +a(g73 +Vmsgid +p9685 +tp9686 +a(g185 +V +tp9687 +a(g222 +V"You are not allowed to do remote backup." +p9688 +tp9689 +a(g185 +V\u000a +tp9690 +a(g73 +Vmsgstr +p9691 +tp9692 +a(g185 +V +tp9693 +a(g222 +V"Sie dürfen kein Remote-Backup ausführen." +p9694 +tp9695 +a(g185 +V\u000a +tp9696 +a(g185 +V\u000a +tp9697 +a(g135 +V#, python-format +p9698 +tp9699 +a(g185 +V\u000a +tp9700 +a(g73 +Vmsgid +p9701 +tp9702 +a(g185 +V +tp9703 +a(g222 +V"Unknown backup subaction: %s." +p9704 +tp9705 +a(g185 +V\u000a +tp9706 +a(g73 +Vmsgstr +p9707 +tp9708 +a(g185 +V +tp9709 +a(g222 +V"Unbekannte backup Unteraktion: %s." +p9710 +tp9711 +a(g185 +V\u000a +tp9712 +a(g185 +V\u000a +tp9713 +a(g73 +Vmsgid +p9714 +tp9715 +a(g185 +V +tp9716 +a(g222 +V"You are not allowed to revert this page!" +p9717 +tp9718 +a(g185 +V\u000a +tp9719 +a(g73 +Vmsgstr +p9720 +tp9721 +a(g185 +V +tp9722 +a(g222 +V"Sie dürfen diese Seite nicht restaurieren!" +p9723 +tp9724 +a(g185 +V\u000a +tp9725 +a(g185 +V\u000a +tp9726 +a(g73 +Vmsgid +p9727 +tp9728 +a(g185 +V +tp9729 +a(g222 +V"" +p9730 +tp9731 +a(g185 +V\u000a +tp9732 +a(g222 +V"You were viewing the current revision of this page when you called the " +p9733 +tp9734 +a(g185 +V\u000a +tp9735 +a(g222 +V"revert action. If you want to revert to an older revision, first view that " +p9736 +tp9737 +a(g185 +V\u000a +tp9738 +a(g222 +V"older revision and then call revert to this (older) revision again." +p9739 +tp9740 +a(g185 +V\u000a +tp9741 +a(g73 +Vmsgstr +p9742 +tp9743 +a(g185 +V +tp9744 +a(g222 +V"" +p9745 +tp9746 +a(g185 +V\u000a +tp9747 +a(g222 +V"Sie haben die aktuelle Revision dieser Seite angeschaut als Sie die " +p9748 +tp9749 +a(g185 +V\u000a +tp9750 +a(g222 +V"Restaurieren-Funktion aufgerufen haben. Wenn Sie eine ältere Revision " +p9751 +tp9752 +a(g185 +V\u000a +tp9753 +a(g222 +V"restaurieren wollen, betrachten Sie erst diese ältere Revision und rufen Sie " +p9754 +tp9755 +a(g185 +V\u000a +tp9756 +a(g222 +V"dann die Restaurieren-Funktion für diese ältere Revision erneut auf." +p9757 +tp9758 +a(g185 +V\u000a +tp9759 +a(g185 +V\u000a +tp9760 +a(g135 +V#, python-format +p9761 +tp9762 +a(g185 +V\u000a +tp9763 +a(g73 +Vmsgid +p9764 +tp9765 +a(g185 +V +tp9766 +a(g222 +V"Local Site Map for \u005c"%s\u005c"" +p9767 +tp9768 +a(g185 +V\u000a +tp9769 +a(g73 +Vmsgstr +p9770 +tp9771 +a(g185 +V +tp9772 +a(g222 +V"Lokale Seitenverweise für \u005c"%s\u005c"" +p9773 +tp9774 +a(g185 +V\u000a +tp9775 +a(g185 +V\u000a +tp9776 +a(g135 +V#, python-format +p9777 +tp9778 +a(g185 +V\u000a +tp9779 +a(g73 +Vmsgid +p9780 +tp9781 +a(g185 +V +tp9782 +a(g222 +V"No pages like \u005c"%s\u005c"!" +p9783 +tp9784 +a(g185 +V\u000a +tp9785 +a(g73 +Vmsgstr +p9786 +tp9787 +a(g185 +V +tp9788 +a(g222 +V"Keine Seite ähnlich wie \u005c"%s\u005c"!" +p9789 +tp9790 +a(g185 +V\u000a +tp9791 +a(g185 +V\u000a +tp9792 +a(g135 +V#, python-format +p9793 +tp9794 +a(g185 +V\u000a +tp9795 +a(g73 +Vmsgid +p9796 +tp9797 +a(g185 +V +tp9798 +a(g222 +V"Invalid filename \u005c"%s\u005c"!" +p9799 +tp9800 +a(g185 +V\u000a +tp9801 +a(g73 +Vmsgstr +p9802 +tp9803 +a(g185 +V +tp9804 +a(g222 +V"Ungültiger Dateiname \u005c"%s\u005c"!" +p9805 +tp9806 +a(g185 +V\u000a +tp9807 +a(g185 +V\u000a +tp9808 +a(g135 +V#, python-format +p9809 +tp9810 +a(g185 +V\u000a +tp9811 +a(g73 +Vmsgid +p9812 +tp9813 +a(g185 +V +tp9814 +a(g222 +V"Created the package %s containing the pages %s." +p9815 +tp9816 +a(g185 +V\u000a +tp9817 +a(g73 +Vmsgstr +p9818 +tp9819 +a(g185 +V +tp9820 +a(g222 +V"Paket %s, das die Seiten %s enthält wurde erzeugt." +p9821 +tp9822 +a(g185 +V\u000a +tp9823 +a(g185 +V\u000a +tp9824 +a(g73 +Vmsgid +p9825 +tp9826 +a(g185 +V +tp9827 +a(g222 +V"Package pages" +p9828 +tp9829 +a(g185 +V\u000a +tp9830 +a(g73 +Vmsgstr +p9831 +tp9832 +a(g185 +V +tp9833 +a(g222 +V"Seiten paketieren" +p9834 +tp9835 +a(g185 +V\u000a +tp9836 +a(g185 +V\u000a +tp9837 +a(g73 +Vmsgid +p9838 +tp9839 +a(g185 +V +tp9840 +a(g222 +V"Package name" +p9841 +tp9842 +a(g185 +V\u000a +tp9843 +a(g73 +Vmsgstr +p9844 +tp9845 +a(g185 +V +tp9846 +a(g222 +V"Paketname" +p9847 +tp9848 +a(g185 +V\u000a +tp9849 +a(g185 +V\u000a +tp9850 +a(g73 +Vmsgid +p9851 +tp9852 +a(g185 +V +tp9853 +a(g222 +V"List of page names - separated by a comma" +p9854 +tp9855 +a(g185 +V\u000a +tp9856 +a(g73 +Vmsgstr +p9857 +tp9858 +a(g185 +V +tp9859 +a(g222 +V"Liste von Seitennamen - getrennt durch ein Komma" +p9860 +tp9861 +a(g185 +V\u000a +tp9862 +a(g185 +V\u000a +tp9863 +a(g73 +Vmsgid +p9864 +tp9865 +a(g185 +V +tp9866 +a(g222 +V"No older revisions available!" +p9867 +tp9868 +a(g185 +V\u000a +tp9869 +a(g73 +Vmsgstr +p9870 +tp9871 +a(g185 +V +tp9872 +a(g222 +V"Es sind keine älteren Versionen dieser Seite verfügbar!" +p9873 +tp9874 +a(g185 +V\u000a +tp9875 +a(g185 +V\u000a +tp9876 +a(g135 +V#, python-format +p9877 +tp9878 +a(g185 +V\u000a +tp9879 +a(g73 +Vmsgid +p9880 +tp9881 +a(g185 +V +tp9882 +a(g222 +V"Diff for \u005c"%s\u005c"" +p9883 +tp9884 +a(g185 +V\u000a +tp9885 +a(g73 +Vmsgstr +p9886 +tp9887 +a(g185 +V +tp9888 +a(g222 +V"Änderungen von \u005c"%s\u005c"" +p9889 +tp9890 +a(g185 +V\u000a +tp9891 +a(g185 +V\u000a +tp9892 +a(g135 +V#, python-format +p9893 +tp9894 +a(g185 +V\u000a +tp9895 +a(g73 +Vmsgid +p9896 +tp9897 +a(g185 +V +tp9898 +a(g222 +V"Differences between revisions %d and %d" +p9899 +tp9900 +a(g185 +V\u000a +tp9901 +a(g73 +Vmsgstr +p9902 +tp9903 +a(g185 +V +tp9904 +a(g222 +V"Unterschiede zwischen den Revisionen %d und %d" +p9905 +tp9906 +a(g185 +V\u000a +tp9907 +a(g185 +V\u000a +tp9908 +a(g135 +V#, python-format +p9909 +tp9910 +a(g185 +V\u000a +tp9911 +a(g73 +Vmsgid +p9912 +tp9913 +a(g185 +V +tp9914 +a(g222 +V"(spanning %d versions)" +p9915 +tp9916 +a(g185 +V\u000a +tp9917 +a(g73 +Vmsgstr +p9918 +tp9919 +a(g185 +V +tp9920 +a(g222 +V"(über %d Versionen hinweg)" +p9921 +tp9922 +a(g185 +V\u000a +tp9923 +a(g185 +V\u000a +tp9924 +a(g135 +V#, python-format +p9925 +tp9926 +a(g185 +V\u000a +tp9927 +a(g73 +Vmsgid +p9928 +tp9929 +a(g185 +V +tp9930 +a(g222 +V"The page was saved %(count)d times, though!" +p9931 +tp9932 +a(g185 +V\u000a +tp9933 +a(g73 +Vmsgstr +p9934 +tp9935 +a(g185 +V +tp9936 +a(g222 +V"Die Seite wurde jedoch %(count)d mal gespeichert!" +p9937 +tp9938 +a(g185 +V\u000a +tp9939 +a(g185 +V\u000a +tp9940 +a(g73 +Vmsgid +p9941 +tp9942 +a(g185 +V +tp9943 +a(g222 +V"(ignoring whitespace)" +p9944 +tp9945 +a(g185 +V\u000a +tp9946 +a(g73 +Vmsgstr +p9947 +tp9948 +a(g185 +V +tp9949 +a(g222 +V"(ignoriere Leerraum)" +p9950 +tp9951 +a(g185 +V\u000a +tp9952 +a(g185 +V\u000a +tp9953 +a(g73 +Vmsgid +p9954 +tp9955 +a(g185 +V +tp9956 +a(g222 +V"Ignore changes in the amount of whitespace" +p9957 +tp9958 +a(g185 +V\u000a +tp9959 +a(g73 +Vmsgstr +p9960 +tp9961 +a(g185 +V +tp9962 +a(g222 +V"Ausschließlich Leerraum betreffende Änderungen ignorieren" +p9963 +tp9964 +a(g185 +V\u000a +tp9965 +a(g185 +V\u000a +tp9966 +a(g135 +V#, python-format +p9967 +tp9968 +a(g185 +V\u000a +tp9969 +a(g73 +Vmsgid +p9970 +tp9971 +a(g185 +V +tp9972 +a(g222 +V"Exactly one page like \u005c"%s\u005c" found, redirecting to page." +p9973 +tp9974 +a(g185 +V\u000a +tp9975 +a(g73 +Vmsgstr +p9976 +tp9977 +a(g185 +V +tp9978 +a(g222 +V"Genau eine Seite wie \u005c"%s\u005c" gefunden, leite dorthin weiter." +p9979 +tp9980 +a(g185 +V\u000a +tp9981 +a(g185 +V\u000a +tp9982 +a(g135 +V#, python-format +p9983 +tp9984 +a(g185 +V\u000a +tp9985 +a(g73 +Vmsgid +p9986 +tp9987 +a(g185 +V +tp9988 +a(g222 +V"Pages like \u005c"%s\u005c"" +p9989 +tp9990 +a(g185 +V\u000a +tp9991 +a(g73 +Vmsgstr +p9992 +tp9993 +a(g185 +V +tp9994 +a(g222 +V"Seiten ähnlich wie \u005c"%s\u005c"" +p9995 +tp9996 +a(g185 +V\u000a +tp9997 +a(g185 +V\u000a +tp9998 +a(g135 +V#, python-format +p9999 +tp10000 +a(g185 +V\u000a +tp10001 +a(g73 +Vmsgid +p10002 +tp10003 +a(g185 +V +tp10004 +a(g222 +V"%(matchcount)d %(matches)s for \u005c"%(title)s\u005c"" +p10005 +tp10006 +a(g185 +V\u000a +tp10007 +a(g73 +Vmsgstr +p10008 +tp10009 +a(g185 +V +tp10010 +a(g222 +V"%(matchcount)d %(matches)s passen zu \u005c"%(title)s\u005c"" +p10011 +tp10012 +a(g185 +V\u000a +tp10013 +a(g185 +V\u000a +tp10014 +a(g73 +Vmsgid +p10015 +tp10016 +a(g185 +V +tp10017 +a(g222 +V"Copy all /subpages too?" +p10018 +tp10019 +a(g185 +V\u000a +tp10020 +a(g73 +Vmsgstr +p10021 +tp10022 +a(g185 +V +tp10023 +a(g222 +V"Alle /UnterSeiten auch kopieren?" +p10024 +tp10025 +a(g185 +V\u000a +tp10026 +a(g185 +V\u000a +tp10027 +a(g73 +Vmsgid +p10028 +tp10029 +a(g185 +V +tp10030 +a(g222 +V"Optional reason for the copying" +p10031 +tp10032 +a(g185 +V\u000a +tp10033 +a(g73 +Vmsgstr +p10034 +tp10035 +a(g185 +V +tp10036 +a(g222 +V"Optionale Begründung für das Kopieren" +p10037 +tp10038 +a(g185 +V\u000a +tp10039 +a(g185 +V\u000a +tp10040 +a(g73 +Vmsgid +p10041 +tp10042 +a(g185 +V +tp10043 +a(g222 +V"Really copy this page?" +p10044 +tp10045 +a(g185 +V\u000a +tp10046 +a(g73 +Vmsgstr +p10047 +tp10048 +a(g185 +V +tp10049 +a(g222 +V"Diese Seite wirklich kopieren?" +p10050 +tp10051 +a(g185 +V\u000a +tp10052 +a(g185 +V\u000a +tp10053 +a(g73 +Vmsgid +p10054 +tp10055 +a(g185 +V +tp10056 +a(g222 +V"" +p10057 +tp10058 +a(g185 +V\u000a +tp10059 +a(g222 +V"Cannot create a new page without a page name. Please specify a page name." +p10060 +tp10061 +a(g185 +V\u000a +tp10062 +a(g73 +Vmsgstr +p10063 +tp10064 +a(g185 +V +tp10065 +a(g222 +V"" +p10066 +tp10067 +a(g185 +V\u000a +tp10068 +a(g222 +V"Kann keine neue Seite ohne Seitennamen anlegen - bitte geben Sie einen " +p10069 +tp10070 +a(g185 +V\u000a +tp10071 +a(g222 +V"Seitennamen an." +p10072 +tp10073 +a(g185 +V\u000a +tp10074 +a(g185 +V\u000a +tp10075 +a(g73 +Vmsgid +p10076 +tp10077 +a(g185 +V +tp10078 +a(g222 +V"Delete" +p10079 +tp10080 +a(g185 +V\u000a +tp10081 +a(g73 +Vmsgstr +p10082 +tp10083 +a(g185 +V +tp10084 +a(g222 +V"Löschen" +p10085 +tp10086 +a(g185 +V\u000a +tp10087 +a(g185 +V\u000a +tp10088 +a(g73 +Vmsgid +p10089 +tp10090 +a(g185 +V +tp10091 +a(g222 +V"Delete all /subpages too?" +p10092 +tp10093 +a(g185 +V\u000a +tp10094 +a(g73 +Vmsgstr +p10095 +tp10096 +a(g185 +V +tp10097 +a(g222 +V"Alle /UnterSeiten auch löschen?" +p10098 +tp10099 +a(g185 +V\u000a +tp10100 +a(g185 +V\u000a +tp10101 +a(g73 +Vmsgid +p10102 +tp10103 +a(g185 +V +tp10104 +a(g222 +V"Optional reason for the deletion" +p10105 +tp10106 +a(g185 +V\u000a +tp10107 +a(g73 +Vmsgstr +p10108 +tp10109 +a(g185 +V +tp10110 +a(g222 +V"Optionale Begründung für die Löschung" +p10111 +tp10112 +a(g185 +V\u000a +tp10113 +a(g185 +V\u000a +tp10114 +a(g73 +Vmsgid +p10115 +tp10116 +a(g185 +V +tp10117 +a(g222 +V"Really delete this page?" +p10118 +tp10119 +a(g185 +V\u000a +tp10120 +a(g73 +Vmsgstr +p10121 +tp10122 +a(g185 +V +tp10123 +a(g222 +V"Diese Seite wirklich löschen?" +p10124 +tp10125 +a(g185 +V\u000a +tp10126 +a(g185 +V\u000a +tp10127 +a(g7 +V#~ msgid "filename" +p10128 +tp10129 +a(g185 +V\u000a +tp10130 +a(g7 +V#~ msgstr "Dateiname" +p10131 +tp10132 +a(g185 +V\u000a +tp10133 +a(g185 +V\u000a +tp10134 +a(g7 +V#~ msgid "" +p10135 +tp10136 +a(g185 +V\u000a +tp10137 +a(g7 +V#~ "~-If you submit this form, the submitted values will be displayed.\u005cn" +p10138 +tp10139 +a(g185 +V\u000a +tp10140 +a(g7 +V#~ "To use this form on other pages, insert a\u005cn" +p10141 +tp10142 +a(g185 +V\u000a +tp10143 +a(g7 +V#~ "[[BR]][[BR]]'''{{{ [[Form(\u005c"%(pagename)s\u005c")]]}}}'''[[BR]][[BR]]\u005cn" +p10144 +tp10145 +a(g185 +V\u000a +tp10146 +a(g7 +V#~ "macro call.-~\u005cn" +p10147 +tp10148 +a(g185 +V\u000a +tp10149 +a(g7 +V#~ msgstr "" +p10150 +tp10151 +a(g185 +V\u000a +tp10152 +a(g7 +V#~ "~-Das Absenden dieses Formulars zeigt die eingegebenen Werte an.\u005cn" +p10153 +tp10154 +a(g185 +V\u000a +tp10155 +a(g7 +V#~ "Um das Formular auf anderen Seiten zu benutzen, muss folgender " +p10156 +tp10157 +a(g185 +V\u000a +tp10158 +a(g7 +V#~ "Makroaufruf\u005cn" +p10159 +tp10160 +a(g185 +V\u000a +tp10161 +a(g7 +V#~ "[[BR]][[BR]]'''{{{ [[Form(\u005c"%(pagename)s\u005c")]]}}}'''[[BR]][[BR]]\u005cn" +p10162 +tp10163 +a(g185 +V\u000a +tp10164 +a(g7 +V#~ "auf diesen Seiten platziert werden.-~\u005cn" +p10165 +tp10166 +a(g185 +V\u000a +tp10167 +a(g185 +V\u000a +tp10168 +a(g7 +V#~ msgid "" +p10169 +tp10170 +a(g185 +V\u000a +tp10171 +a(g7 +V#~ "Unknown user name: {{{\u005c"%s\u005c"}}}. Please enter user name and password." +p10172 +tp10173 +a(g185 +V\u000a +tp10174 +a(g7 +V#~ msgstr "" +p10175 +tp10176 +a(g185 +V\u000a +tp10177 +a(g7 +V#~ "Unbekannter Benutzername: {{{\u005c"%s\u005c"}}}. Bitte geben Sie Benutzername und " +p10178 +tp10179 +a(g185 +V\u000a +tp10180 +a(g7 +V#~ "Passwort ein." +p10181 +tp10182 +a(g185 +V\u000a +tp10183 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/demo.cfm b/tests/examplefiles/output/demo.cfm new file mode 100644 index 0000000..b7702d9 --- /dev/null +++ b/tests/examplefiles/output/demo.cfm @@ -0,0 +1,2291 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +tp367 +a(g35 +V +p368 +tp369 +a(g6 +V\u000a +tp370 +a(g24 +V +p371 +tp372 +a(g6 +V\u000a +tp373 +a(g56 +V +tp376 +a(g6 +V\u000a +tp377 +a(g56 +V +tp380 +a(g6 +V\u000a +tp381 +a(g56 +V +tp384 +a(g6 +VDate Functions +p385 +tp386 +a(g56 +V +p387 +tp388 +a(g6 +V\u000a +tp389 +a(g56 +V +p390 +tp391 +a(g6 +V\u000a +tp392 +a(g56 +V +tp395 +a(g6 +V\u000a +tp396 +a(g84 +V +tp409 +a(g6 +V\u000a +tp410 +a(g84 +V +p411 +tp412 +a(g6 +V\u000a +p413 +tp414 +a(g216 +V# +tp415 +a(g100 +VRightNow +p416 +tp417 +a(g216 +V# +tp418 +a(g6 +V +tp419 +a(g56 +V
    +p423 +tp424 +a(g6 +V\u000a +p425 +tp426 +a(g216 +V# +tp427 +a(g48 +VDateFormat +p428 +tp429 +a(g216 +V( +tp430 +a(g100 +VRightNow +p431 +tp432 +a(g216 +V) +tp433 +a(g216 +V# +tp434 +a(g6 +V +tp435 +a(g56 +V
    +p439 +tp440 +a(g6 +V\u000a +p441 +tp442 +a(g216 +V# +tp443 +a(g48 +VDateFormat +p444 +tp445 +a(g216 +V( +tp446 +a(g100 +VRightNow +p447 +tp448 +a(g216 +V, +tp449 +a(g259 +V" +tp450 +a(g259 +Vmm/dd/yy +p451 +tp452 +a(g259 +V" +tp453 +a(g216 +V) +tp454 +a(g216 +V# +tp455 +a(g6 +V +tp456 +a(g56 +V
    +p460 +tp461 +a(g6 +V\u000a +p462 +tp463 +a(g216 +V# +tp464 +a(g48 +VTimeFormat +p465 +tp466 +a(g216 +V( +tp467 +a(g100 +VRightNow +p468 +tp469 +a(g216 +V) +tp470 +a(g216 +V# +tp471 +a(g6 +V +tp472 +a(g56 +V
    +p476 +tp477 +a(g6 +V\u000a +p478 +tp479 +a(g216 +V# +tp480 +a(g48 +VTimeFormat +p481 +tp482 +a(g216 +V( +tp483 +a(g100 +VRightNow +p484 +tp485 +a(g216 +V, +tp486 +a(g259 +V" +tp487 +a(g259 +Vhh:mm tt +p488 +tp489 +a(g259 +V" +tp490 +a(g216 +V) +tp491 +a(g216 +V# +tp492 +a(g6 +V +tp493 +a(g56 +V
    +p497 +tp498 +a(g6 +V\u000a +p499 +tp500 +a(g216 +V# +tp501 +a(g48 +VIsDate +p502 +tp503 +a(g216 +V( +tp504 +a(g100 +VRightNow +p505 +tp506 +a(g216 +V) +tp507 +a(g216 +V# +tp508 +a(g6 +V +tp509 +a(g56 +V
    +p513 +tp514 +a(g6 +V\u000a +p515 +tp516 +a(g216 +V# +tp517 +a(g48 +VIsDate +p518 +tp519 +a(g216 +V( +tp520 +a(g259 +V" +tp521 +a(g259 +VJanuary 31, 2007 +p522 +tp523 +a(g259 +V" +tp524 +a(g216 +V) +tp525 +a(g216 +V# +tp526 +a(g6 +V +tp527 +a(g56 +V
    +p531 +tp532 +a(g6 +V\u000a +p533 +tp534 +a(g216 +V# +tp535 +a(g48 +VIsDate +p536 +tp537 +a(g216 +V( +tp538 +a(g259 +V" +tp539 +a(g259 +Vfoo +p540 +tp541 +a(g259 +V" +tp542 +a(g216 +V) +tp543 +a(g216 +V# +tp544 +a(g6 +V +tp545 +a(g56 +V
    +p549 +tp550 +a(g6 +V\u000a +p551 +tp552 +a(g216 +V# +tp553 +a(g48 +VDaysInMonth +p554 +tp555 +a(g216 +V( +tp556 +a(g100 +VRightNow +p557 +tp558 +a(g216 +V) +tp559 +a(g216 +V# +tp560 +a(g6 +V\u000a +tp561 +a(g84 +V
    +p562 +tp563 +a(g6 +V\u000a +tp564 +a(g84 +V +p565 +tp566 +a(g6 +V\u000a +p567 +tp568 +a(g216 +V# +tp569 +a(g100 +Vx +tp570 +a(g216 +V# +tp571 +a(g6 +V\u000a +p572 +tp573 +a(g84 +V +p574 +tp575 +a(g216 +V# +tp576 +a(g100 +Vy +tp577 +a(g216 +V# +tp578 +a(g84 +V +p579 +tp580 +a(g6 +V\u000a +p581 +tp582 +a(g216 +V# +tp583 +a(g100 +Vz +tp584 +a(g216 +V# +tp585 +a(g6 +V\u000a +tp586 +a(g84 +V +p587 +tp588 +a(g6 +V\u000a +tp589 +a(g56 +V +p590 +tp591 +a(g6 +V\u000a +tp592 +a(g56 +V +p593 +tp594 +a(g6 +V\u000a\u000a +p595 +tp596 +a(g84 +V +tp609 +a(g6 +V\u000a +tp610 +a(g84 +V +tp625 +a(g6 +V\u000a\u000a +p626 +tp627 +a(g84 +V +tp647 +a(g6 +V\u000a +tp648 +a(g84 +V +tp659 +a(g6 +V\u000a +tp660 +a(g84 +V +tp674 +a(g6 +V\u000a +tp675 +a(g84 +V +tp688 +a(g6 +V\u000a +tp689 +a(g84 +V +tp702 +a(g6 +V\u000a +tp703 +a(g84 +V +tp716 +a(g6 +V\u000a +tp717 +a(g84 +V +tp730 +a(g6 +V\u000a +tp731 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/django_sample.html+django b/tests/examplefiles/output/django_sample.html+django new file mode 100644 index 0000000..9ed841d --- /dev/null +++ b/tests/examplefiles/output/django_sample.html+django @@ -0,0 +1,4182 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Preproc' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +g32 +g2 +(g3 +g4 +(g110 +g32 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp116 +(dp117 +g9 +g10 +((ltRp118 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g111 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g110 +g122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g111 +sbsg9 +g10 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g110 +S'Type' +p134 +ttRp135 +(dp136 +g9 +g10 +((ltRp137 +sg12 +g111 +sbag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g9 +g10 +((ltRp145 +sg12 +g140 +sbsg12 +g15 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g140 +sbsg9 +g10 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g9 +g10 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g9 +g10 +((ltRp191 +sg12 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg12 +g15 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g9 +g10 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g9 +g10 +((ltRp204 +sg12 +g198 +sbatRp205 +sg201 +g202 +sg12 +g15 +sbsS'Token' +p206 +g15 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g9 +g10 +((ltRp214 +sg12 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g209 +sbsg12 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g222 +sbsg12 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g222 +sbsS'Single' +p267 +g2 +(g3 +g4 +(g208 +g221 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g222 +sbsg64 +g2 +(g3 +g4 +(g208 +g221 +g64 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g222 +sbsS'Doc' +p274 +g2 +(g3 +g4 +(g208 +g221 +g274 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g222 +sbsg9 +g10 +((lp278 +g271 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p279 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g222 +sbag241 +ag264 +ag256 +ag275 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag268 +ag252 +ag248 +atRp283 +sg279 +g280 +sbsg12 +g15 +sg207 +g209 +sS'Scalar' +p284 +g2 +(g3 +g4 +(g208 +g284 +ttRp285 +(dp286 +g9 +g10 +((lp287 +g2 +(g3 +g4 +(g208 +g284 +S'Plain' +p288 +ttRp289 +(dp290 +g9 +g10 +((ltRp291 +sg12 +g285 +sbatRp292 +sg12 +g219 +sg288 +g289 +sbsg64 +g2 +(g3 +g4 +(g208 +g64 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g219 +sbsS'Date' +p296 +g2 +(g3 +g4 +(g208 +g296 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g219 +sbsg9 +g10 +((lp300 +g297 +ag222 +ag293 +ag209 +ag285 +atRp301 +sbsS'Decimal' +p302 +g2 +(g3 +g4 +(g208 +g207 +g302 +ttRp303 +(dp304 +g9 +g10 +((ltRp305 +sg12 +g209 +sbsS'Float' +p306 +g2 +(g3 +g4 +(g208 +g207 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g209 +sbsS'Hex' +p310 +g2 +(g3 +g4 +(g208 +g207 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g209 +sbsS'Integer' +p314 +g2 +(g3 +g4 +(g208 +g207 +g314 +ttRp315 +(dp316 +g9 +g10 +((lp317 +g2 +(g3 +g4 +(g208 +g207 +g314 +S'Long' +p318 +ttRp319 +(dp320 +g9 +g10 +((ltRp321 +sg12 +g315 +sbatRp322 +sg318 +g319 +sg12 +g209 +sbsS'Octal' +p323 +g2 +(g3 +g4 +(g208 +g207 +g323 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g209 +sbsg9 +g10 +((lp327 +g212 +ag216 +ag324 +ag303 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p328 +ttRp329 +(dp330 +g9 +g10 +((ltRp331 +sg12 +g209 +sbag315 +ag307 +ag311 +atRp332 +sg328 +g329 +sbsg208 +g219 +sg64 +g2 +(g3 +g4 +(g64 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g15 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsS'Operator' +p339 +g2 +(g3 +g4 +(g339 +ttRp340 +(dp341 +g9 +g10 +((lp342 +g2 +(g3 +g4 +(g339 +S'Word' +p343 +ttRp344 +(dp345 +g9 +g10 +((ltRp346 +sg12 +g340 +sbatRp347 +sg343 +g344 +sg12 +g15 +sbsg9 +g10 +((lp348 +g13 +ag336 +ag140 +ag185 +ag18 +ag198 +ag111 +ag219 +ag340 +ag333 +atRp349 +sg221 +g222 +sbsg6 +g7 +sg267 +g2 +(g3 +g4 +(g5 +g267 +ttRp350 +(dp351 +g9 +g10 +((ltRp352 +sg12 +g13 +sbsS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg9 +g10 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag7 +ag350 +ag354 +atRp362 +sg358 +g359 +sbsbV{% +p363 +tp364 +a(g185 +V +tp365 +a(g111 +Vextends +p366 +tp367 +a(g185 +V +tp368 +a(g241 +V"admin/base_site.html" +p369 +tp370 +a(g185 +V +tp371 +a(g7 +V%} +p372 +tp373 +a(g333 +V\u000a +tp374 +a(g7 +V{% +p375 +tp376 +a(g185 +V +tp377 +a(g111 +Vload +p378 +tp379 +a(g185 +V +tp380 +a(g73 +Vi18n +p381 +tp382 +a(g185 +V +tp383 +a(g73 +Vadmin_modify +p384 +tp385 +a(g185 +V +tp386 +a(g73 +Vadminmedia +p387 +tp388 +a(g185 +V +tp389 +a(g7 +V%} +p390 +tp391 +a(g333 +V\u000a +tp392 +a(g7 +V{% +p393 +tp394 +a(g185 +V +tp395 +a(g111 +Vblock +p396 +tp397 +a(g185 +V +tp398 +a(g73 +Vextrahead +p399 +tp400 +a(g185 +V +tp401 +a(g7 +V%} +p402 +tp403 +a(g7 +V{{ +p404 +tp405 +a(g185 +V +tp406 +a(g57 +Vblock +p407 +tp408 +a(g73 +V.super +p409 +tp410 +a(g185 +V +tp411 +a(g7 +V}} +p412 +tp413 +a(g333 +V\u000a\u000a +p414 +tp415 +a(g7 +V{% +p416 +tp417 +a(g185 +V +tp418 +a(g111 +Vfor +p419 +tp420 +a(g185 +V +tp421 +a(g73 +Vjs +p422 +tp423 +a(g185 +V +tp424 +a(g111 +Vin +p425 +tp426 +a(g185 +V +tp427 +a(g73 +Vjavascript_imports +p428 +tp429 +a(g185 +V +tp430 +a(g7 +V%} +p431 +tp432 +a(g7 +V{% +p433 +tp434 +a(g185 +V +tp435 +a(g111 +Vinclude_admin_script +p436 +tp437 +a(g185 +V +tp438 +a(g73 +Vjs +p439 +tp440 +a(g185 +V +tp441 +a(g7 +V%} +p442 +tp443 +a(g7 +V{% +p444 +tp445 +a(g185 +V +tp446 +a(g111 +Vendfor +p447 +tp448 +a(g185 +V +tp449 +a(g7 +V%} +p450 +tp451 +a(g333 +V\u000a +tp452 +a(g7 +V{% +p453 +tp454 +a(g185 +V +tp455 +a(g111 +Vendblock +p456 +tp457 +a(g185 +V +tp458 +a(g7 +V%} +p459 +tp460 +a(g333 +V\u000a +tp461 +a(g7 +V{% +p462 +tp463 +a(g185 +V +tp464 +a(g111 +Vblock +p465 +tp466 +a(g185 +V +tp467 +a(g73 +Vstylesheet +p468 +tp469 +a(g185 +V +tp470 +a(g7 +V%} +p471 +tp472 +a(g7 +V{% +p473 +tp474 +a(g185 +V +tp475 +a(g111 +Vadmin_media_prefix +p476 +tp477 +a(g185 +V +tp478 +a(g7 +V%} +p479 +tp480 +a(g333 +Vcss/forms.css +p481 +tp482 +a(g7 +V{% +p483 +tp484 +a(g185 +V +tp485 +a(g111 +Vendblock +p486 +tp487 +a(g185 +V +tp488 +a(g7 +V%} +p489 +tp490 +a(g333 +V\u000a +tp491 +a(g7 +V{% +p492 +tp493 +a(g185 +V +tp494 +a(g111 +Vblock +p495 +tp496 +a(g185 +V +tp497 +a(g73 +Vcoltype +p498 +tp499 +a(g185 +V +tp500 +a(g7 +V%} +p501 +tp502 +a(g7 +V{% +p503 +tp504 +a(g185 +V +tp505 +a(g111 +Vif +p506 +tp507 +a(g185 +V +tp508 +a(g73 +Vordered_objects +p509 +tp510 +a(g185 +V +tp511 +a(g7 +V%} +p512 +tp513 +a(g333 +VcolMS +p514 +tp515 +a(g7 +V{% +p516 +tp517 +a(g185 +V +tp518 +a(g111 +Velse +p519 +tp520 +a(g185 +V +tp521 +a(g7 +V%} +p522 +tp523 +a(g333 +VcolM +p524 +tp525 +a(g7 +V{% +p526 +tp527 +a(g185 +V +tp528 +a(g111 +Vendif +p529 +tp530 +a(g185 +V +tp531 +a(g7 +V%} +p532 +tp533 +a(g7 +V{% +p534 +tp535 +a(g185 +V +tp536 +a(g111 +Vendblock +p537 +tp538 +a(g185 +V +tp539 +a(g7 +V%} +p540 +tp541 +a(g333 +V\u000a +tp542 +a(g7 +V{% +p543 +tp544 +a(g185 +V +tp545 +a(g111 +Vblock +p546 +tp547 +a(g185 +V +tp548 +a(g73 +Vbodyclass +p549 +tp550 +a(g185 +V +tp551 +a(g7 +V%} +p552 +tp553 +a(g7 +V{{ +p554 +tp555 +a(g185 +V +tp556 +a(g73 +Vopts +p557 +tp558 +a(g73 +V.app_label +p559 +tp560 +a(g185 +V +tp561 +a(g7 +V}} +p562 +tp563 +a(g333 +V- +tp564 +a(g7 +V{{ +p565 +tp566 +a(g185 +V +tp567 +a(g73 +Vopts +p568 +tp569 +a(g73 +V.object_name +p570 +tp571 +a(g73 +V.lower +p572 +tp573 +a(g185 +V +tp574 +a(g7 +V}} +p575 +tp576 +a(g333 +V change-form +p577 +tp578 +a(g7 +V{% +p579 +tp580 +a(g185 +V +tp581 +a(g111 +Vendblock +p582 +tp583 +a(g185 +V +tp584 +a(g7 +V%} +p585 +tp586 +a(g333 +V\u000a +tp587 +a(g7 +V{% +p588 +tp589 +a(g185 +V +tp590 +a(g111 +Vblock +p591 +tp592 +a(g185 +V +tp593 +a(g73 +Vuserlinks +p594 +tp595 +a(g185 +V +tp596 +a(g7 +V%} +p597 +tp598 +a(g333 +V +p599 +tp600 +a(g7 +V{% +p601 +tp602 +a(g185 +V +tp603 +a(g111 +Vtrans +p604 +tp605 +a(g185 +V +tp606 +a(g268 +V'Documentation' +p607 +tp608 +a(g185 +V +tp609 +a(g7 +V%} +p610 +tp611 +a(g333 +V / +p612 +tp613 +a(g7 +V{% +p614 +tp615 +a(g185 +V +tp616 +a(g111 +Vtrans +p617 +tp618 +a(g185 +V +tp619 +a(g268 +V'Change password' +p620 +tp621 +a(g185 +V +tp622 +a(g7 +V%} +p623 +tp624 +a(g333 +V / +p625 +tp626 +a(g7 +V{% +p627 +tp628 +a(g185 +V +tp629 +a(g111 +Vtrans +p630 +tp631 +a(g185 +V +tp632 +a(g268 +V'Log out' +p633 +tp634 +a(g185 +V +tp635 +a(g7 +V%} +p636 +tp637 +a(g333 +V +p638 +tp639 +a(g7 +V{% +p640 +tp641 +a(g185 +V +tp642 +a(g111 +Vendblock +p643 +tp644 +a(g185 +V +tp645 +a(g7 +V%} +p646 +tp647 +a(g333 +V\u000a +tp648 +a(g7 +V{% +p649 +tp650 +a(g185 +V +tp651 +a(g111 +Vblock +p652 +tp653 +a(g185 +V +tp654 +a(g73 +Vbreadcrumbs +p655 +tp656 +a(g185 +V +tp657 +a(g7 +V%} +p658 +tp659 +a(g7 +V{% +p660 +tp661 +a(g185 +V +tp662 +a(g111 +Vif +p663 +tp664 +a(g185 +V +tp665 +a(g111 +Vnot +p666 +tp667 +a(g185 +V +tp668 +a(g73 +Vis_popup +p669 +tp670 +a(g185 +V +tp671 +a(g7 +V%} +p672 +tp673 +a(g333 +V\u000a\u000a +p775 +tp776 +a(g7 +V{% +p777 +tp778 +a(g185 +V +tp779 +a(g111 +Vendif +p780 +tp781 +a(g185 +V +tp782 +a(g7 +V%} +p783 +tp784 +a(g7 +V{% +p785 +tp786 +a(g185 +V +tp787 +a(g111 +Vendblock +p788 +tp789 +a(g185 +V +tp790 +a(g7 +V%} +p791 +tp792 +a(g333 +V\u000a +tp793 +a(g7 +V{% +p794 +tp795 +a(g185 +V +tp796 +a(g111 +Vblock +p797 +tp798 +a(g185 +V +tp799 +a(g73 +Vcontent +p800 +tp801 +a(g185 +V +tp802 +a(g7 +V%} +p803 +tp804 +a(g333 +V
    \u000a +p805 +tp806 +a(g7 +V{% +p807 +tp808 +a(g185 +V +tp809 +a(g111 +Vif +p810 +tp811 +a(g185 +V +tp812 +a(g73 +Vchange +p813 +tp814 +a(g185 +V +tp815 +a(g7 +V%} +p816 +tp817 +a(g7 +V{% +p818 +tp819 +a(g185 +V +tp820 +a(g111 +Vif +p821 +tp822 +a(g185 +V +tp823 +a(g111 +Vnot +p824 +tp825 +a(g185 +V +tp826 +a(g73 +Vis_popup +p827 +tp828 +a(g185 +V +tp829 +a(g7 +V%} +p830 +tp831 +a(g333 +V\u000a \u000a +p899 +tp900 +a(g7 +V{% +p901 +tp902 +a(g185 +V +tp903 +a(g111 +Vendif +p904 +tp905 +a(g185 +V +tp906 +a(g7 +V%} +p907 +tp908 +a(g7 +V{% +p909 +tp910 +a(g185 +V +tp911 +a(g111 +Vendif +p912 +tp913 +a(g185 +V +tp914 +a(g7 +V%} +p915 +tp916 +a(g333 +V\u000a
    +p962 +tp963 +a(g7 +V{% +p964 +tp965 +a(g185 +V +tp966 +a(g111 +Vblock +p967 +tp968 +a(g185 +V +tp969 +a(g73 +Vform_top +p970 +tp971 +a(g185 +V +tp972 +a(g7 +V%} +p973 +tp974 +a(g7 +V{% +p975 +tp976 +a(g185 +V +tp977 +a(g111 +Vendblock +p978 +tp979 +a(g185 +V +tp980 +a(g7 +V%} +p981 +tp982 +a(g333 +V\u000a
    \u000a +p983 +tp984 +a(g7 +V{% +p985 +tp986 +a(g185 +V +tp987 +a(g111 +Vif +p988 +tp989 +a(g185 +V +tp990 +a(g73 +Vis_popup +p991 +tp992 +a(g185 +V +tp993 +a(g7 +V%} +p994 +tp995 +a(g333 +V +p996 +tp997 +a(g7 +V{% +p998 +tp999 +a(g185 +V +tp1000 +a(g111 +Vendif +p1001 +tp1002 +a(g185 +V +tp1003 +a(g7 +V%} +p1004 +tp1005 +a(g333 +V\u000a +tp1006 +a(g7 +V{% +p1007 +tp1008 +a(g185 +V +tp1009 +a(g111 +Vif +p1010 +tp1011 +a(g185 +V +tp1012 +a(g73 +Vopts +p1013 +tp1014 +a(g73 +V.admin +p1015 +tp1016 +a(g73 +V.save_on_top +p1017 +tp1018 +a(g185 +V +tp1019 +a(g7 +V%} +p1020 +tp1021 +a(g7 +V{% +p1022 +tp1023 +a(g185 +V +tp1024 +a(g111 +Vsubmit_row +p1025 +tp1026 +a(g185 +V +tp1027 +a(g7 +V%} +p1028 +tp1029 +a(g7 +V{% +p1030 +tp1031 +a(g185 +V +tp1032 +a(g111 +Vendif +p1033 +tp1034 +a(g185 +V +tp1035 +a(g7 +V%} +p1036 +tp1037 +a(g333 +V\u000a +tp1038 +a(g7 +V{% +p1039 +tp1040 +a(g185 +V +tp1041 +a(g111 +Vif +p1042 +tp1043 +a(g185 +V +tp1044 +a(g73 +Vform +p1045 +tp1046 +a(g73 +V.error_dict +p1047 +tp1048 +a(g185 +V +tp1049 +a(g7 +V%} +p1050 +tp1051 +a(g333 +V\u000a

    \u000a +p1052 +tp1053 +a(g7 +V{% +p1054 +tp1055 +a(g185 +V +tp1056 +a(g111 +Vblocktrans +p1057 +tp1058 +a(g185 +V +tp1059 +a(g73 +Vcount +p1060 +tp1061 +a(g185 +V +tp1062 +a(g73 +Vform +p1063 +tp1064 +a(g73 +V.error_dict +p1065 +tp1066 +a(g73 +V.items +p1067 +tp1068 +a(g340 +V| +tp1069 +a(g21 +Vlength +p1070 +tp1071 +a(g185 +V +tp1072 +a(g111 +Vas +p1073 +tp1074 +a(g185 +V +tp1075 +a(g73 +Vcounter +p1076 +tp1077 +a(g185 +V +tp1078 +a(g7 +V%} +p1079 +tp1080 +a(g333 +VPlease correct the error below. +p1081 +tp1082 +a(g7 +V{% +p1083 +tp1084 +a(g185 +V +tp1085 +a(g111 +Vplural +p1086 +tp1087 +a(g185 +V +tp1088 +a(g7 +V%} +p1089 +tp1090 +a(g333 +VPlease correct the errors below. +p1091 +tp1092 +a(g7 +V{% +p1093 +tp1094 +a(g185 +V +tp1095 +a(g111 +Vendblocktrans +p1096 +tp1097 +a(g185 +V +tp1098 +a(g7 +V%} +p1099 +tp1100 +a(g333 +V\u000a

    \u000a +p1101 +tp1102 +a(g7 +V{% +p1103 +tp1104 +a(g185 +V +tp1105 +a(g111 +Vendif +p1106 +tp1107 +a(g185 +V +tp1108 +a(g7 +V%} +p1109 +tp1110 +a(g333 +V\u000a +tp1111 +a(g7 +V{% +p1112 +tp1113 +a(g185 +V +tp1114 +a(g111 +Vfor +p1115 +tp1116 +a(g185 +V +tp1117 +a(g73 +Vbound_field_set +p1118 +tp1119 +a(g185 +V +tp1120 +a(g111 +Vin +p1121 +tp1122 +a(g185 +V +tp1123 +a(g73 +Vbound_field_sets +p1124 +tp1125 +a(g185 +V +tp1126 +a(g7 +V%} +p1127 +tp1128 +a(g333 +V\u000a
    \u000a +p1141 +tp1142 +a(g7 +V{% +p1143 +tp1144 +a(g185 +V +tp1145 +a(g111 +Vif +p1146 +tp1147 +a(g185 +V +tp1148 +a(g73 +Vbound_field_set +p1149 +tp1150 +a(g73 +V.name +p1151 +tp1152 +a(g185 +V +tp1153 +a(g7 +V%} +p1154 +tp1155 +a(g333 +V

    +p1156 +tp1157 +a(g7 +V{{ +p1158 +tp1159 +a(g185 +V +tp1160 +a(g73 +Vbound_field_set +p1161 +tp1162 +a(g73 +V.name +p1163 +tp1164 +a(g185 +V +tp1165 +a(g7 +V}} +p1166 +tp1167 +a(g333 +V

    +p1168 +tp1169 +a(g7 +V{% +p1170 +tp1171 +a(g185 +V +tp1172 +a(g111 +Vendif +p1173 +tp1174 +a(g185 +V +tp1175 +a(g7 +V%} +p1176 +tp1177 +a(g333 +V\u000a +p1178 +tp1179 +a(g7 +V{% +p1180 +tp1181 +a(g185 +V +tp1182 +a(g111 +Vif +p1183 +tp1184 +a(g185 +V +tp1185 +a(g73 +Vbound_field_set +p1186 +tp1187 +a(g73 +V.description +p1188 +tp1189 +a(g185 +V +tp1190 +a(g7 +V%} +p1191 +tp1192 +a(g333 +V
    +p1193 +tp1194 +a(g7 +V{{ +p1195 +tp1196 +a(g185 +V +tp1197 +a(g73 +Vbound_field_set +p1198 +tp1199 +a(g73 +V.description +p1200 +tp1201 +a(g185 +V +tp1202 +a(g7 +V}} +p1203 +tp1204 +a(g333 +V
    +p1205 +tp1206 +a(g7 +V{% +p1207 +tp1208 +a(g185 +V +tp1209 +a(g111 +Vendif +p1210 +tp1211 +a(g185 +V +tp1212 +a(g7 +V%} +p1213 +tp1214 +a(g333 +V\u000a +p1215 +tp1216 +a(g7 +V{% +p1217 +tp1218 +a(g185 +V +tp1219 +a(g111 +Vfor +p1220 +tp1221 +a(g185 +V +tp1222 +a(g73 +Vbound_field_line +p1223 +tp1224 +a(g185 +V +tp1225 +a(g111 +Vin +p1226 +tp1227 +a(g185 +V +tp1228 +a(g73 +Vbound_field_set +p1229 +tp1230 +a(g185 +V +tp1231 +a(g7 +V%} +p1232 +tp1233 +a(g333 +V\u000a +p1234 +tp1235 +a(g7 +V{% +p1236 +tp1237 +a(g185 +V +tp1238 +a(g111 +Vadmin_field_line +p1239 +tp1240 +a(g185 +V +tp1241 +a(g73 +Vbound_field_line +p1242 +tp1243 +a(g185 +V +tp1244 +a(g7 +V%} +p1245 +tp1246 +a(g333 +V\u000a +p1247 +tp1248 +a(g7 +V{% +p1249 +tp1250 +a(g185 +V +tp1251 +a(g111 +Vfor +p1252 +tp1253 +a(g185 +V +tp1254 +a(g73 +Vbound_field +p1255 +tp1256 +a(g185 +V +tp1257 +a(g111 +Vin +p1258 +tp1259 +a(g185 +V +tp1260 +a(g73 +Vbound_field_line +p1261 +tp1262 +a(g185 +V +tp1263 +a(g7 +V%} +p1264 +tp1265 +a(g333 +V\u000a +p1266 +tp1267 +a(g7 +V{% +p1268 +tp1269 +a(g185 +V +tp1270 +a(g111 +Vfilter_interface_script_maybe +p1271 +tp1272 +a(g185 +V +tp1273 +a(g73 +Vbound_field +p1274 +tp1275 +a(g185 +V +tp1276 +a(g7 +V%} +p1277 +tp1278 +a(g333 +V\u000a +p1279 +tp1280 +a(g7 +V{% +p1281 +tp1282 +a(g185 +V +tp1283 +a(g111 +Vendfor +p1284 +tp1285 +a(g185 +V +tp1286 +a(g7 +V%} +p1287 +tp1288 +a(g333 +V\u000a +p1289 +tp1290 +a(g7 +V{% +p1291 +tp1292 +a(g185 +V +tp1293 +a(g111 +Vendfor +p1294 +tp1295 +a(g185 +V +tp1296 +a(g7 +V%} +p1297 +tp1298 +a(g333 +V\u000a
    \u000a +p1299 +tp1300 +a(g7 +V{% +p1301 +tp1302 +a(g185 +V +tp1303 +a(g111 +Vendfor +p1304 +tp1305 +a(g185 +V +tp1306 +a(g7 +V%} +p1307 +tp1308 +a(g333 +V\u000a +tp1309 +a(g7 +V{% +p1310 +tp1311 +a(g185 +V +tp1312 +a(g111 +Vblock +p1313 +tp1314 +a(g185 +V +tp1315 +a(g73 +Vafter_field_sets +p1316 +tp1317 +a(g185 +V +tp1318 +a(g7 +V%} +p1319 +tp1320 +a(g7 +V{% +p1321 +tp1322 +a(g185 +V +tp1323 +a(g111 +Vendblock +p1324 +tp1325 +a(g185 +V +tp1326 +a(g7 +V%} +p1327 +tp1328 +a(g333 +V\u000a +tp1329 +a(g7 +V{% +p1330 +tp1331 +a(g185 +V +tp1332 +a(g111 +Vif +p1333 +tp1334 +a(g185 +V +tp1335 +a(g73 +Vchange +p1336 +tp1337 +a(g185 +V +tp1338 +a(g7 +V%} +p1339 +tp1340 +a(g333 +V\u000a +p1341 +tp1342 +a(g7 +V{% +p1343 +tp1344 +a(g185 +V +tp1345 +a(g111 +Vif +p1346 +tp1347 +a(g185 +V +tp1348 +a(g73 +Vordered_objects +p1349 +tp1350 +a(g185 +V +tp1351 +a(g7 +V%} +p1352 +tp1353 +a(g333 +V\u000a

    +p1354 +tp1355 +a(g7 +V{% +p1356 +tp1357 +a(g185 +V +tp1358 +a(g111 +Vtrans +p1359 +tp1360 +a(g185 +V +tp1361 +a(g241 +V"Ordering" +p1362 +tp1363 +a(g185 +V +tp1364 +a(g7 +V%} +p1365 +tp1366 +a(g333 +V

    \u000a
    \u000a +p1394 +tp1395 +a(g7 +V{% +p1396 +tp1397 +a(g185 +V +tp1398 +a(g111 +Vif +p1399 +tp1400 +a(g185 +V +tp1401 +a(g73 +Vform +p1402 +tp1403 +a(g73 +V.order_ +p1404 +tp1405 +a(g73 +V.errors +p1406 +tp1407 +a(g185 +V +tp1408 +a(g7 +V%} +p1409 +tp1410 +a(g7 +V{{ +p1411 +tp1412 +a(g185 +V +tp1413 +a(g73 +Vform +p1414 +tp1415 +a(g73 +V.order_ +p1416 +tp1417 +a(g73 +V.html_error_list +p1418 +tp1419 +a(g185 +V +tp1420 +a(g7 +V}} +p1421 +tp1422 +a(g7 +V{% +p1423 +tp1424 +a(g185 +V +tp1425 +a(g111 +Vendif +p1426 +tp1427 +a(g185 +V +tp1428 +a(g7 +V%} +p1429 +tp1430 +a(g333 +V\u000a

    +p1444 +tp1445 +a(g7 +V{{ +p1446 +tp1447 +a(g185 +V +tp1448 +a(g73 +Vform +p1449 +tp1450 +a(g73 +V.order_ +p1451 +tp1452 +a(g185 +V +tp1453 +a(g7 +V}} +p1454 +tp1455 +a(g333 +V

    \u000a
    \u000a +p1456 +tp1457 +a(g7 +V{% +p1458 +tp1459 +a(g185 +V +tp1460 +a(g111 +Vendif +p1461 +tp1462 +a(g185 +V +tp1463 +a(g7 +V%} +p1464 +tp1465 +a(g333 +V\u000a +tp1466 +a(g7 +V{% +p1467 +tp1468 +a(g185 +V +tp1469 +a(g111 +Vendif +p1470 +tp1471 +a(g185 +V +tp1472 +a(g7 +V%} +p1473 +tp1474 +a(g333 +V\u000a +tp1475 +a(g7 +V{% +p1476 +tp1477 +a(g185 +V +tp1478 +a(g111 +Vfor +p1479 +tp1480 +a(g185 +V +tp1481 +a(g73 +Vrelated_object +p1482 +tp1483 +a(g185 +V +tp1484 +a(g111 +Vin +p1485 +tp1486 +a(g185 +V +tp1487 +a(g73 +Vinline_related_objects +p1488 +tp1489 +a(g185 +V +tp1490 +a(g7 +V%} +p1491 +tp1492 +a(g7 +V{% +p1493 +tp1494 +a(g185 +V +tp1495 +a(g111 +Vedit_inline +p1496 +tp1497 +a(g185 +V +tp1498 +a(g73 +Vrelated_object +p1499 +tp1500 +a(g185 +V +tp1501 +a(g7 +V%} +p1502 +tp1503 +a(g7 +V{% +p1504 +tp1505 +a(g185 +V +tp1506 +a(g111 +Vendfor +p1507 +tp1508 +a(g185 +V +tp1509 +a(g7 +V%} +p1510 +tp1511 +a(g333 +V\u000a +tp1512 +a(g7 +V{% +p1513 +tp1514 +a(g185 +V +tp1515 +a(g111 +Vblock +p1516 +tp1517 +a(g185 +V +tp1518 +a(g73 +Vafter_related_objects +p1519 +tp1520 +a(g185 +V +tp1521 +a(g7 +V%} +p1522 +tp1523 +a(g7 +V{% +p1524 +tp1525 +a(g185 +V +tp1526 +a(g111 +Vendblock +p1527 +tp1528 +a(g185 +V +tp1529 +a(g7 +V%} +p1530 +tp1531 +a(g333 +V\u000a +tp1532 +a(g7 +V{% +p1533 +tp1534 +a(g185 +V +tp1535 +a(g111 +Vsubmit_row +p1536 +tp1537 +a(g185 +V +tp1538 +a(g7 +V%} +p1539 +tp1540 +a(g333 +V\u000a +tp1541 +a(g7 +V{% +p1542 +tp1543 +a(g185 +V +tp1544 +a(g111 +Vif +p1545 +tp1546 +a(g185 +V +tp1547 +a(g73 +Vadd +p1548 +tp1549 +a(g185 +V +tp1550 +a(g7 +V%} +p1551 +tp1552 +a(g333 +V\u000a \u000a +p1563 +tp1564 +a(g7 +V{% +p1565 +tp1566 +a(g185 +V +tp1567 +a(g111 +Vendif +p1568 +tp1569 +a(g185 +V +tp1570 +a(g7 +V%} +p1571 +tp1572 +a(g333 +V\u000a +tp1573 +a(g7 +V{% +p1574 +tp1575 +a(g185 +V +tp1576 +a(g111 +Vif +p1577 +tp1578 +a(g185 +V +tp1579 +a(g73 +Vauto_populated_fields +p1580 +tp1581 +a(g185 +V +tp1582 +a(g7 +V%} +p1583 +tp1584 +a(g333 +V\u000a \u000a +p1601 +tp1602 +a(g7 +V{% +p1603 +tp1604 +a(g185 +V +tp1605 +a(g111 +Vendif +p1606 +tp1607 +a(g185 +V +tp1608 +a(g7 +V%} +p1609 +tp1610 +a(g333 +V\u000a
    \u000a
    \u000a +p1611 +tp1612 +a(g7 +V{% +p1613 +tp1614 +a(g185 +V +tp1615 +a(g111 +Vendblock +p1616 +tp1617 +a(g185 +V +tp1618 +a(g7 +V%} +p1619 +tp1620 +a(g333 +V\u000a +tp1621 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/dwarf.cw b/tests/examplefiles/output/dwarf.cw new file mode 100644 index 0000000..8306ae3 --- /dev/null +++ b/tests/examplefiles/output/dwarf.cw @@ -0,0 +1,1672 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +g32 +g2 +(g3 +g4 +(g110 +g32 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp116 +(dp117 +g9 +g10 +((ltRp118 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g111 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g110 +g122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g111 +sbsg9 +g10 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g110 +S'Type' +p134 +ttRp135 +(dp136 +g9 +g10 +((ltRp137 +sg12 +g111 +sbag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g9 +g10 +((ltRp145 +sg12 +g140 +sbsg12 +g15 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g140 +sbsg9 +g10 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g9 +g10 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g9 +g10 +((ltRp191 +sg12 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg12 +g15 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g9 +g10 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g9 +g10 +((ltRp204 +sg12 +g198 +sbatRp205 +sg201 +g202 +sg12 +g15 +sbsS'Token' +p206 +g15 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g9 +g10 +((ltRp214 +sg12 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g209 +sbsg12 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g222 +sbsg12 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g222 +sbsg6 +g2 +(g3 +g4 +(g208 +g221 +g6 +ttRp267 +(dp268 +g9 +g10 +((ltRp269 +sg12 +g222 +sbsg64 +g2 +(g3 +g4 +(g208 +g221 +g64 +ttRp270 +(dp271 +g9 +g10 +((ltRp272 +sg12 +g222 +sbsS'Doc' +p273 +g2 +(g3 +g4 +(g208 +g221 +g273 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g222 +sbsg9 +g10 +((lp277 +g270 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g222 +sbag241 +ag264 +ag256 +ag274 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag267 +ag252 +ag248 +atRp282 +sg278 +g279 +sbsg12 +g15 +sg207 +g209 +sS'Scalar' +p283 +g2 +(g3 +g4 +(g208 +g283 +ttRp284 +(dp285 +g9 +g10 +((lp286 +g2 +(g3 +g4 +(g208 +g283 +S'Plain' +p287 +ttRp288 +(dp289 +g9 +g10 +((ltRp290 +sg12 +g284 +sbatRp291 +sg12 +g219 +sg287 +g288 +sbsg64 +g2 +(g3 +g4 +(g208 +g64 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g219 +sbsS'Date' +p295 +g2 +(g3 +g4 +(g208 +g295 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g219 +sbsg9 +g10 +((lp299 +g296 +ag222 +ag292 +ag209 +ag284 +atRp300 +sbsS'Decimal' +p301 +g2 +(g3 +g4 +(g208 +g207 +g301 +ttRp302 +(dp303 +g9 +g10 +((ltRp304 +sg12 +g209 +sbsS'Float' +p305 +g2 +(g3 +g4 +(g208 +g207 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g209 +sbsS'Hex' +p309 +g2 +(g3 +g4 +(g208 +g207 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g209 +sbsS'Integer' +p313 +g2 +(g3 +g4 +(g208 +g207 +g313 +ttRp314 +(dp315 +g9 +g10 +((lp316 +g2 +(g3 +g4 +(g208 +g207 +g313 +S'Long' +p317 +ttRp318 +(dp319 +g9 +g10 +((ltRp320 +sg12 +g314 +sbatRp321 +sg317 +g318 +sg12 +g209 +sbsS'Octal' +p322 +g2 +(g3 +g4 +(g208 +g207 +g322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g209 +sbsg9 +g10 +((lp326 +g212 +ag216 +ag323 +ag302 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g209 +sbag314 +ag306 +ag310 +atRp331 +sg327 +g328 +sbsg208 +g219 +sg64 +g2 +(g3 +g4 +(g64 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g15 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp335 +(dp336 +g9 +g10 +((ltRp337 +sg12 +g15 +sbsS'Operator' +p338 +g2 +(g3 +g4 +(g338 +ttRp339 +(dp340 +g9 +g10 +((lp341 +g2 +(g3 +g4 +(g338 +S'Word' +p342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g339 +sbatRp346 +sg342 +g343 +sg12 +g15 +sbsg9 +g10 +((lp347 +g13 +ag335 +ag140 +ag185 +ag18 +ag198 +ag111 +ag219 +ag339 +ag332 +atRp348 +sg221 +g222 +sbsS'Preproc' +p349 +g2 +(g3 +g4 +(g5 +g349 +ttRp350 +(dp351 +g9 +g10 +((ltRp352 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg9 +g10 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag350 +ag7 +ag354 +atRp362 +sg358 +g359 +sbsbV;redcode +p363 +tp364 +a(g185 +V\u000a +tp365 +a(g7 +V;name Dwarf +p366 +tp367 +a(g185 +V\u000a +tp368 +a(g7 +V;author A. K. Dewdney +p369 +tp370 +a(g185 +V\u000a +tp371 +a(g7 +V;version 94.1 +p372 +tp373 +a(g185 +V\u000a +tp374 +a(g7 +V;date April 29, 1993 +p375 +tp376 +a(g185 +V\u000a +tp377 +a(g7 +V;strategy Bombs every fourth instruction. +p378 +tp379 +a(g185 +V\u000a +p380 +tp381 +a(g21 +VORG +p382 +tp383 +a(g185 +V +p384 +tp385 +a(g18 +Vstart +p386 +tp387 +a(g185 +V +p388 +tp389 +a(g7 +V; Indicates the instruction with +p390 +tp391 +a(g185 +V\u000a +p392 +tp393 +a(g7 +V; the label "start" should be the +p394 +tp395 +a(g185 +V\u000a +p396 +tp397 +a(g7 +V; first to execute. +p398 +tp399 +a(g185 +V\u000a +tp400 +a(g18 +Vstep +p401 +tp402 +a(g185 +V +p403 +tp404 +a(g21 +VEQU +p405 +tp406 +a(g185 +V +p407 +tp408 +a(g314 +V4 +tp409 +a(g185 +V +p410 +tp411 +a(g7 +V; Replaces all occurrences of "step" +p412 +tp413 +a(g185 +V\u000a +p414 +tp415 +a(g7 +V; with the character "4". +p416 +tp417 +a(g185 +V\u000a +tp418 +a(g18 +Vtarget +p419 +tp420 +a(g185 +V +p421 +tp422 +a(g21 +VDAT +p423 +tp424 +a(g198 +V. +tp425 +a(g95 +VF +tp426 +a(g185 +V +p427 +tp428 +a(g339 +V# +tp429 +a(g314 +V0 +tp430 +a(g198 +V, +tp431 +a(g185 +V +p432 +tp433 +a(g339 +V# +tp434 +a(g314 +V0 +tp435 +a(g185 +V +p436 +tp437 +a(g7 +V; Pointer to target instruction. +p438 +tp439 +a(g185 +V\u000a +tp440 +a(g18 +Vstart +p441 +tp442 +a(g185 +V +p443 +tp444 +a(g21 +VADD +p445 +tp446 +a(g198 +V. +tp447 +a(g95 +VAB +p448 +tp449 +a(g185 +V +p450 +tp451 +a(g339 +V# +tp452 +a(g18 +Vstep +p453 +tp454 +a(g198 +V, +tp455 +a(g185 +V +p456 +tp457 +a(g18 +Vtarget +p458 +tp459 +a(g185 +V +p460 +tp461 +a(g7 +V; Increments pointer by step. +p462 +tp463 +a(g185 +V\u000a +p464 +tp465 +a(g21 +VMOV +p466 +tp467 +a(g198 +V. +tp468 +a(g95 +VAB +p469 +tp470 +a(g185 +V +p471 +tp472 +a(g339 +V# +tp473 +a(g314 +V0 +tp474 +a(g198 +V, +tp475 +a(g185 +V +p476 +tp477 +a(g339 +V@ +tp478 +a(g18 +Vtarget +p479 +tp480 +a(g185 +V +p481 +tp482 +a(g7 +V; Bombs target instruction. +p483 +tp484 +a(g185 +V\u000a +p485 +tp486 +a(g21 +VJMP +p487 +tp488 +a(g198 +V. +tp489 +a(g95 +VA +tp490 +a(g185 +V +p491 +tp492 +a(g18 +Vstart +p493 +tp494 +a(g185 +V +p495 +tp496 +a(g7 +V; Same as JMP.A -2. Loops back to +p497 +tp498 +a(g185 +V\u000a +p499 +tp500 +a(g7 +V; the instruction labelled "start". +p501 +tp502 +a(g185 +V\u000a +p503 +tp504 +a(g21 +VEND +p505 +tp506 +a(g185 +V\u000a +tp507 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/erl_session b/tests/examplefiles/output/erl_session new file mode 100644 index 0000000..8cb7e9d --- /dev/null +++ b/tests/examplefiles/output/erl_session @@ -0,0 +1,1575 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +tp367 +a(g174 +V1> +p368 +tp369 +a(g6 +V +tp370 +a(g126 +Vio +p371 +tp372 +a(g216 +V: +tp373 +a(g45 +Vformat +p374 +tp375 +a(g216 +V( +tp376 +a(g240 +V" +tp377 +a(g240 +VHello +p378 +tp379 +a(g266 +V\u005cn +p380 +tp381 +a(g240 +V" +tp382 +a(g216 +V) +tp383 +a(g216 +V. +tp384 +a(g6 +V\u000a +tp385 +a(g190 +VHello\u000a +p386 +tp387 +a(g190 +Vok\u000a +p388 +tp389 +a(g6 +V +tp390 +a(g174 +V2> +p391 +tp392 +a(g6 +V +tp393 +a(g138 +Vfun +p394 +tp395 +a(g216 +V( +tp396 +a(g100 +VX +tp397 +a(g216 +V) +tp398 +a(g6 +V +tp399 +a(g357 +V- +tp400 +a(g357 +V> +tp401 +a(g6 +V +tp402 +a(g100 +VX +tp403 +a(g6 +V +tp404 +a(g357 +V+ +tp405 +a(g6 +V +tp406 +a(g332 +V1 +tp407 +a(g6 +V +tp408 +a(g138 +Vend +p409 +tp410 +a(g216 +V. +tp411 +a(g6 +V\u000a +tp412 +a(g190 +V#Fun\u000a +p413 +tp414 +a(g6 +V +tp415 +a(g174 +V3> +p416 +tp417 +a(g6 +V +tp418 +a(g332 +V123 +p419 +tp420 +a(g6 +V +tp421 +a(g357 +V+ +tp422 +a(g6 +V +tp423 +a(g332 +V234 +p424 +tp425 +a(g216 +V. +tp426 +a(g6 +V\u000a +tp427 +a(g190 +V357\u000a +p428 +tp429 +a(g6 +V +tp430 +a(g174 +V4> +p431 +tp432 +a(g6 +V +tp433 +a(g100 +VX +tp434 +a(g6 +V +tp435 +a(g357 +V= +tp436 +a(g6 +V +tp437 +a(g100 +VY +tp438 +a(g216 +V. +tp439 +a(g6 +V\u000a +tp440 +a(g182 +V* 1: variable 'Y' is unbound\u000a +p441 +tp442 +a(g6 +V +tp443 +a(g174 +V5> +p444 +tp445 +a(g6 +V \u000a +p446 +tp447 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/escape_semicolon.clj b/tests/examplefiles/output/escape_semicolon.clj new file mode 100644 index 0000000..a34f6b0 --- /dev/null +++ b/tests/examplefiles/output/escape_semicolon.clj @@ -0,0 +1,1445 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Punctuation' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Indicator' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbatRp16 +sg11 +g12 +sg15 +g2 +(g3 +g4 +(ttRp17 +(dp18 +S'Comment' +p19 +g2 +(g3 +g4 +(g19 +ttRp20 +(dp21 +g15 +g17 +sS'Preproc' +p22 +g2 +(g3 +g4 +(g19 +g22 +ttRp23 +(dp24 +g8 +g9 +((ltRp25 +sg15 +g20 +sbsS'Single' +p26 +g2 +(g3 +g4 +(g19 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g20 +sbsS'Multiline' +p30 +g2 +(g3 +g4 +(g19 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g20 +sbsg8 +g9 +((lp34 +g2 +(g3 +g4 +(g19 +S'Special' +p35 +ttRp36 +(dp37 +g8 +g9 +((ltRp38 +sg15 +g20 +sbag23 +ag27 +ag31 +atRp39 +sg35 +g36 +sbsS'Name' +p40 +g2 +(g3 +g4 +(g40 +ttRp41 +(dp42 +S'Function' +p43 +g2 +(g3 +g4 +(g40 +g43 +ttRp44 +(dp45 +g8 +g9 +((ltRp46 +sg15 +g41 +sbsS'Exception' +p47 +g2 +(g3 +g4 +(g40 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g41 +sbsS'Tag' +p51 +g2 +(g3 +g4 +(g40 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g41 +sbsS'Constant' +p55 +g2 +(g3 +g4 +(g40 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g41 +sbsg15 +g17 +sS'Pseudo' +p59 +g2 +(g3 +g4 +(g40 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g41 +sbsS'Attribute' +p63 +g2 +(g3 +g4 +(g40 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g41 +sbsS'Label' +p67 +g2 +(g3 +g4 +(g40 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g41 +sbsS'Blubb' +p71 +g2 +(g3 +g4 +(g40 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g41 +sbsS'Entity' +p75 +g2 +(g3 +g4 +(g40 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g41 +sbsS'Builtin' +p79 +g2 +(g3 +g4 +(g40 +g79 +ttRp80 +(dp81 +g8 +g9 +((lp82 +g2 +(g3 +g4 +(g40 +g79 +g59 +ttRp83 +(dp84 +g8 +g9 +((ltRp85 +sg15 +g80 +sbatRp86 +sg59 +g83 +sg15 +g41 +sbsS'Other' +p87 +g2 +(g3 +g4 +(g40 +g87 +ttRp88 +(dp89 +g8 +g9 +((ltRp90 +sg15 +g41 +sbsS'Identifier' +p91 +g2 +(g3 +g4 +(g40 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g41 +sbsS'Variable' +p95 +g2 +(g3 +g4 +(g40 +g95 +ttRp96 +(dp97 +g15 +g41 +sS'Global' +p98 +g2 +(g3 +g4 +(g40 +g95 +g98 +ttRp99 +(dp100 +g8 +g9 +((ltRp101 +sg15 +g96 +sbsS'Instance' +p102 +g2 +(g3 +g4 +(g40 +g95 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g96 +sbsS'Anonymous' +p106 +g2 +(g3 +g4 +(g40 +g95 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g96 +sbsg8 +g9 +((lp110 +g107 +ag103 +ag99 +ag2 +(g3 +g4 +(g40 +g95 +S'Class' +p111 +ttRp112 +(dp113 +g8 +g9 +((ltRp114 +sg15 +g96 +sbatRp115 +sg111 +g112 +sbsg8 +g9 +((lp116 +g2 +(g3 +g4 +(g40 +S'Decorator' +p117 +ttRp118 +(dp119 +g8 +g9 +((ltRp120 +sg15 +g41 +sbag64 +ag56 +ag60 +ag2 +(g3 +g4 +(g40 +S'Namespace' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g41 +sbag92 +ag80 +ag96 +ag88 +ag72 +ag76 +ag44 +ag2 +(g3 +g4 +(g40 +S'Property' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g41 +sbag68 +ag52 +ag48 +ag2 +(g3 +g4 +(g40 +g111 +ttRp129 +(dp130 +g8 +g9 +((ltRp131 +sg15 +g41 +sbatRp132 +sg125 +g126 +sg111 +g129 +sg117 +g118 +sg121 +g122 +sbsS'Keyword' +p133 +g2 +(g3 +g4 +(g133 +ttRp134 +(dp135 +S'Pervasive' +p136 +g2 +(g3 +g4 +(g133 +g136 +ttRp137 +(dp138 +g8 +g9 +((ltRp139 +sg15 +g134 +sbsg55 +g2 +(g3 +g4 +(g133 +g55 +ttRp140 +(dp141 +g8 +g9 +((ltRp142 +sg15 +g134 +sbsg15 +g17 +sg121 +g2 +(g3 +g4 +(g133 +g121 +ttRp143 +(dp144 +g8 +g9 +((ltRp145 +sg15 +g134 +sbsg59 +g2 +(g3 +g4 +(g133 +g59 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g134 +sbsS'Reserved' +p149 +g2 +(g3 +g4 +(g133 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g134 +sbsS'Declaration' +p153 +g2 +(g3 +g4 +(g133 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g134 +sbsg95 +g2 +(g3 +g4 +(g133 +g95 +ttRp157 +(dp158 +g8 +g9 +((ltRp159 +sg15 +g134 +sbsg8 +g9 +((lp160 +g140 +ag150 +ag2 +(g3 +g4 +(g133 +S'Type' +p161 +ttRp162 +(dp163 +g8 +g9 +((ltRp164 +sg15 +g134 +sbag137 +ag154 +ag157 +ag143 +ag146 +atRp165 +sg161 +g162 +sbsS'Generic' +p166 +g2 +(g3 +g4 +(g166 +ttRp167 +(dp168 +S'Prompt' +p169 +g2 +(g3 +g4 +(g166 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g167 +sbsg15 +g17 +sS'Deleted' +p173 +g2 +(g3 +g4 +(g166 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g167 +sbsS'Traceback' +p177 +g2 +(g3 +g4 +(g166 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g167 +sbsS'Emph' +p181 +g2 +(g3 +g4 +(g166 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g167 +sbsS'Output' +p185 +g2 +(g3 +g4 +(g166 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g167 +sbsS'Subheading' +p189 +g2 +(g3 +g4 +(g166 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g167 +sbsS'Error' +p193 +g2 +(g3 +g4 +(g166 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g167 +sbsg8 +g9 +((lp197 +g186 +ag182 +ag194 +ag190 +ag178 +ag174 +ag2 +(g3 +g4 +(g166 +S'Heading' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Inserted' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Strong' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g167 +sbag170 +atRp210 +sg206 +g207 +sg202 +g203 +sg198 +g199 +sbsS'Text' +p211 +g2 +(g3 +g4 +(g211 +ttRp212 +(dp213 +g8 +g9 +((lp214 +g2 +(g3 +g4 +(g211 +S'Symbol' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g212 +sbag2 +(g3 +g4 +(g211 +S'Whitespace' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g212 +sbatRp223 +sg215 +g216 +sg219 +g220 +sg15 +g17 +sbsg5 +g6 +sS'Token' +p224 +g17 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg215 +g2 +(g3 +g4 +(g226 +g239 +g215 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg26 +g2 +(g3 +g4 +(g226 +g239 +g26 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg87 +g2 +(g3 +g4 +(g226 +g239 +g87 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g17 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg87 +g2 +(g3 +g4 +(g226 +g87 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg87 +g2 +(g3 +g4 +(g87 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g17 +sbsg193 +g2 +(g3 +g4 +(g193 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g17 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g17 +sbsg8 +g9 +((lp365 +g20 +ag353 +ag167 +ag212 +ag41 +ag6 +ag134 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV( +tp367 +a(g80 +V= +p368 +tp369 +a(g96 +Vc +tp370 +a(g212 +V +tp371 +a(g6 +V( +tp372 +a(g80 +Vint +p373 +tp374 +a(g282 +V\u005c; +p375 +tp376 +a(g6 +V) +tp377 +a(g6 +V) +tp378 +a(g212 +V +tp379 +a(g6 +V( +tp380 +a(g44 +Vdo +p381 +tp382 +a(g212 +V +tp383 +a(g6 +V( +tp384 +a(g357 +V. +tp385 +a(g96 +VreadLine +p386 +tp387 +a(g212 +V +tp388 +a(g96 +Vs +tp389 +a(g6 +V) +tp390 +a(g212 +V +tp391 +a(g96 +V:line-start +p392 +tp393 +a(g6 +V) +tp394 +a(g212 +V\u000a +tp395 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/evil_regex.js b/tests/examplefiles/output/evil_regex.js new file mode 100644 index 0000000..59b32fa --- /dev/null +++ b/tests/examplefiles/output/evil_regex.js @@ -0,0 +1,3097 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +tp367 +a(g243 +V/regexp/ +p368 +tp369 +a(g216 +V. +tp370 +a(g92 +Vtest +p371 +tp372 +a(g216 +V( +tp373 +a(g6 +V +tp374 +a(g92 +Vfoo +p375 +tp376 +a(g216 +V) +tp377 +a(g6 +V +tp378 +a(g357 +V|| +p379 +tp380 +a(g6 +V +tp381 +a(g6 +V +tp382 +a(g92 +Vx +tp383 +a(g6 +V +tp384 +a(g357 +V= +tp385 +a(g6 +V +tp386 +a(g6 +V +tp387 +a(g216 +V[ +tp388 +a(g243 +V/regexp/ +p389 +tp390 +a(g216 +V, +tp391 +a(g243 +V/regexp/ +p392 +tp393 +a(g216 +V, +tp394 +a(g6 +V +tp395 +a(g243 +V/regexp/ +p396 +tp397 +a(g216 +V, +tp398 +a(g6 +V +tp399 +a(g31 +V// comment\u000a +p400 +tp401 +a(g31 +V// comment\u000a +p402 +tp403 +a(g243 +V/regexp/ +p404 +tp405 +a(g216 +V] +tp406 +a(g216 +V; +tp407 +a(g6 +V\u000a +tp408 +a(g6 +V +tp409 +a(g138 +Vif +p410 +tp411 +a(g6 +V +tp412 +a(g6 +V +tp413 +a(g216 +V( +tp414 +a(g243 +V/regexp/ +p415 +tp416 +a(g216 +V. +tp417 +a(g92 +Vtest +p418 +tp419 +a(g216 +V( +tp420 +a(g6 +V +tp421 +a(g92 +Vstring +p422 +tp423 +a(g216 +V) +tp424 +a(g216 +V) +tp425 +a(g6 +V\u000a +tp426 +a(g216 +V{ +tp427 +a(g243 +V/regexp/ +p428 +tp429 +a(g216 +V. +tp430 +a(g92 +Vtest +p431 +tp432 +a(g216 +V( +tp433 +a(g6 +V +tp434 +a(g92 +Vstring +p435 +tp436 +a(g216 +V) +tp437 +a(g216 +V; +tp438 +a(g6 +V +tp439 +a(g216 +V} +tp440 +a(g216 +V; +tp441 +a(g6 +V\u000a +tp442 +a(g6 +V +tp443 +a(g92 +Vx +tp444 +a(g6 +V +tp445 +a(g357 +V= +tp446 +a(g243 +V/regexp/ +p447 +tp448 +a(g216 +V; +tp449 +a(g6 +V\u000a +tp450 +a(g6 +V +tp451 +a(g92 +Vx +tp452 +a(g6 +V +tp453 +a(g357 +V= +tp454 +a(g6 +V +tp455 +a(g243 +V/regexp/ +p456 +tp457 +a(g216 +V; +tp458 +a(g6 +V\u000a +tp459 +a(g6 +V +tp460 +a(g138 +Vif +p461 +tp462 +a(g6 +V +tp463 +a(g6 +V +tp464 +a(g216 +V( +tp465 +a(g6 +V +tp466 +a(g332 +V0 +tp467 +a(g357 +V< +tp468 +a(g243 +V/regexp/ +p469 +tp470 +a(g216 +V. +tp471 +a(g92 +Vexec +p472 +tp473 +a(g216 +V( +tp474 +a(g6 +V +tp475 +a(g92 +Vstring +p476 +tp477 +a(g216 +V) +tp478 +a(g6 +V +tp479 +a(g357 +V|| +p480 +tp481 +a(g6 +V +tp482 +a(g6 +V +tp483 +a(g332 +V1 +tp484 +a(g357 +V> +tp485 +a(g243 +V/regexp/ +p486 +tp487 +a(g216 +V. +tp488 +a(g92 +Vexec +p489 +tp490 +a(g216 +V( +tp491 +a(g6 +V +tp492 +a(g92 +Vstring +p493 +tp494 +a(g216 +V) +tp495 +a(g216 +V) +tp496 +a(g6 +V\u000a +tp497 +a(g92 +Vx +tp498 +a(g6 +V +tp499 +a(g357 +V= +tp500 +a(g6 +V +tp501 +a(g6 +V +tp502 +a(g216 +V{ +tp503 +a(g6 +V +tp504 +a(g6 +V +tp505 +a(g92 +Vu +tp506 +a(g357 +V: +tp507 +a(g243 +V/regexp/ +p508 +tp509 +a(g216 +V, +tp510 +a(g6 +V +tp511 +a(g6 +V +tp512 +a(g92 +Vv +tp513 +a(g357 +V: +tp514 +a(g6 +V +tp515 +a(g243 +V/regexp/ +p516 +tp517 +a(g6 +V +tp518 +a(g216 +V} +tp519 +a(g216 +V; +tp520 +a(g6 +V\u000a +tp521 +a(g6 +V +tp522 +a(g92 +Vfoo +p523 +tp524 +a(g216 +V( +tp525 +a(g6 +V +tp526 +a(g216 +V) +tp527 +a(g216 +V; +tp528 +a(g243 +V/regexp/ +p529 +tp530 +a(g216 +V. +tp531 +a(g92 +Vtest +p532 +tp533 +a(g216 +V( +tp534 +a(g6 +V +tp535 +a(g92 +Vstring +p536 +tp537 +a(g216 +V) +tp538 +a(g216 +V; +tp539 +a(g6 +V +tp540 +a(g243 +V/regexp/ +p541 +tp542 +a(g216 +V. +tp543 +a(g92 +Vtest +p544 +tp545 +a(g216 +V( +tp546 +a(g6 +V +tp547 +a(g92 +Vstring +p548 +tp549 +a(g216 +V) +tp550 +a(g216 +V; +tp551 +a(g6 +V\u000a +tp552 +a(g6 +V +tp553 +a(g138 +Vif +p554 +tp555 +a(g6 +V +tp556 +a(g6 +V +tp557 +a(g216 +V( +tp558 +a(g6 +V +tp559 +a(g357 +V! +tp560 +a(g243 +V/regexp/ +p561 +tp562 +a(g216 +V) +tp563 +a(g6 +V +tp564 +a(g92 +Vfoobar +p565 +tp566 +a(g216 +V( +tp567 +a(g6 +V +tp568 +a(g216 +V) +tp569 +a(g216 +V; +tp570 +a(g6 +V\u000a +tp571 +a(g6 +V +tp572 +a(g92 +Vx +tp573 +a(g6 +V +tp574 +a(g357 +V= +tp575 +a(g6 +V +tp576 +a(g6 +V +tp577 +a(g92 +Vu +tp578 +a(g6 +V +tp579 +a(g357 +V% +tp580 +a(g243 +V/regexp/ +p581 +tp582 +a(g216 +V. +tp583 +a(g92 +Vexec +p584 +tp585 +a(g216 +V( +tp586 +a(g6 +V +tp587 +a(g92 +Vstring +p588 +tp589 +a(g216 +V) +tp590 +a(g6 +V +tp591 +a(g357 +V* +tp592 +a(g243 +V/regexp/ +p593 +tp594 +a(g216 +V. +tp595 +a(g92 +Vexec +p596 +tp597 +a(g216 +V( +tp598 +a(g6 +V +tp599 +a(g92 +Vstring +p600 +tp601 +a(g216 +V) +tp602 +a(g6 +V +tp603 +a(g357 +V/ +tp604 +a(g6 +V +tp605 +a(g243 +V/regexp/ +p606 +tp607 +a(g216 +V. +tp608 +a(g92 +Vexec +p609 +tp610 +a(g216 +V( +tp611 +a(g6 +V +tp612 +a(g92 +Vstring +p613 +tp614 +a(g216 +V) +tp615 +a(g216 +V; +tp616 +a(g6 +V\u000a +tp617 +a(g6 +V +tp618 +a(g92 +Vx +tp619 +a(g6 +V +tp620 +a(g357 +V= +tp621 +a(g6 +V +tp622 +a(g6 +V +tp623 +a(g92 +Vu +tp624 +a(g357 +V? +tp625 +a(g243 +V/regexp/ +p626 +tp627 +a(g216 +V. +tp628 +a(g92 +Vexec +p629 +tp630 +a(g216 +V( +tp631 +a(g6 +V +tp632 +a(g92 +Vstring +p633 +tp634 +a(g216 +V) +tp635 +a(g6 +V +tp636 +a(g357 +V: +tp637 +a(g6 +V +tp638 +a(g6 +V +tp639 +a(g92 +Vv +tp640 +a(g6 +V +tp641 +a(g357 +V+ +tp642 +a(g243 +V/regexp/ +p643 +tp644 +a(g216 +V. +tp645 +a(g92 +Vexec +p646 +tp647 +a(g216 +V( +tp648 +a(g6 +V +tp649 +a(g92 +Vstring +p650 +tp651 +a(g216 +V) +tp652 +a(g6 +V +tp653 +a(g357 +V- +tp654 +a(g243 +V/regexp/ +p655 +tp656 +a(g216 +V. +tp657 +a(g92 +Vexec +p658 +tp659 +a(g216 +V( +tp660 +a(g6 +V +tp661 +a(g92 +Vstring +p662 +tp663 +a(g216 +V) +tp664 +a(g216 +V; +tp665 +a(g6 +V\u000a +tp666 +a(g6 +V +tp667 +a(g92 +Va +tp668 +a(g6 +V +tp669 +a(g357 +V= +tp670 +a(g6 +V +tp671 +a(g6 +V +tp672 +a(g92 +Vu +tp673 +a(g357 +V^ +tp674 +a(g243 +V/regexp/ +p675 +tp676 +a(g216 +V. +tp677 +a(g92 +Vexec +p678 +tp679 +a(g216 +V( +tp680 +a(g6 +V +tp681 +a(g92 +Vstring +p682 +tp683 +a(g216 +V) +tp684 +a(g6 +V +tp685 +a(g357 +V& +tp686 +a(g243 +V/regexp/ +p687 +tp688 +a(g216 +V. +tp689 +a(g92 +Vexec +p690 +tp691 +a(g216 +V( +tp692 +a(g6 +V +tp693 +a(g92 +Vstring +p694 +tp695 +a(g216 +V) +tp696 +a(g6 +V +tp697 +a(g357 +V| +tp698 +a(g243 +V/regexp/ +p699 +tp700 +a(g216 +V. +tp701 +a(g92 +Vexec +p702 +tp703 +a(g216 +V( +tp704 +a(g6 +V +tp705 +a(g92 +Vstring +p706 +tp707 +a(g216 +V) +tp708 +a(g6 +V +tp709 +a(g357 +V+ +tp710 +a(g6 +V +tp711 +a(g357 +V~ +tp712 +a(g243 +V/regexp/ +p713 +tp714 +a(g216 +V. +tp715 +a(g92 +Vexec +p716 +tp717 +a(g216 +V( +tp718 +a(g6 +V +tp719 +a(g92 +Vstring +p720 +tp721 +a(g216 +V) +tp722 +a(g216 +V; +tp723 +a(g6 +V\u000a +tp724 +a(g6 +V +tp725 +a(g92 +Vx +tp726 +a(g6 +V +tp727 +a(g357 +V= +tp728 +a(g6 +V +tp729 +a(g243 +V/regexp/ +p730 +tp731 +a(g6 +V +tp732 +a(g35 +V/* a comment */ +p733 +tp734 +a(g6 +V +tp735 +a(g216 +V; +tp736 +a(g6 +V\u000a +tp737 +a(g6 +V +tp738 +a(g92 +Vx +tp739 +a(g6 +V +tp740 +a(g357 +V= +tp741 +a(g6 +V +tp742 +a(g243 +V/[reg/exp]/ +p743 +tp744 +a(g216 +V; +tp745 +a(g6 +V\u000a +tp746 +a(g6 +V +tp747 +a(g92 +Vx +tp748 +a(g6 +V +tp749 +a(g357 +V= +tp750 +a(g6 +V +tp751 +a(g6 +V +tp752 +a(g332 +V4 +tp753 +a(g357 +V/ +tp754 +a(g6 +V +tp755 +a(g332 +V2 +tp756 +a(g357 +V/ +tp757 +a(g6 +V +tp758 +a(g92 +Vi +tp759 +a(g216 +V; +tp760 +a(g6 +V\u000a +tp761 +a(g6 +V +tp762 +a(g92 +Vx +tp763 +a(g6 +V +tp764 +a(g357 +V= +tp765 +a(g6 +V +tp766 +a(g6 +V +tp767 +a(g216 +V( +tp768 +a(g6 +V +tp769 +a(g92 +Va +tp770 +a(g6 +V +tp771 +a(g357 +V== +p772 +tp773 +a(g6 +V +tp774 +a(g6 +V +tp775 +a(g92 +Vb +tp776 +a(g216 +V) +tp777 +a(g6 +V +tp778 +a(g357 +V? +tp779 +a(g35 +V/* this is a comment */ +p780 +tp781 +a(g6 +V +tp782 +a(g6 +V +tp783 +a(g92 +Vc +tp784 +a(g6 +V +tp785 +a(g357 +V: +tp786 +a(g6 +V +tp787 +a(g6 +V +tp788 +a(g92 +Vd +tp789 +a(g216 +V; +tp790 +a(g6 +V\u000a +tp791 +a(g31 +V/// a comment //\u000a +p792 +tp793 +a(g6 +V +tp794 +a(g92 +Va +tp795 +a(g6 +V +tp796 +a(g357 +V= +tp797 +a(g6 +V +tp798 +a(g243 +V/regex/ +p799 +tp800 +a(g357 +V/ +tp801 +a(g6 +V +tp802 +a(g332 +V2 +tp803 +a(g357 +V/ +tp804 +a(g6 +V +tp805 +a(g332 +V1 +tp806 +a(g216 +V; +tp807 +a(g6 +V +tp808 +a(g31 +V//syntactically correct, returns NaN\u000a +p809 +tp810 +a(g6 +V\u000a\u000a\u000a\u000a +p811 +tp812 +a(g35 +V/* original examples */ +p813 +tp814 +a(g6 +V\u000a\u000a +p815 +tp816 +a(g31 +V// regex\u000a +p817 +tp818 +a(g6 +V\u000a +tp819 +a(g6 +V +tp820 +a(g92 +Vblah +p821 +tp822 +a(g216 +V( +tp823 +a(g243 +V/abc/ +p824 +tp825 +a(g216 +V) +tp826 +a(g216 +V; +tp827 +a(g6 +V\u000a +tp828 +a(g6 +V +tp829 +a(g92 +Vx +tp830 +a(g6 +V +tp831 +a(g357 +V= +tp832 +a(g6 +V +tp833 +a(g243 +V/abc/ +p834 +tp835 +a(g216 +V; +tp836 +a(g6 +V\u000a +tp837 +a(g6 +V +tp838 +a(g92 +Vx +tp839 +a(g6 +V +tp840 +a(g357 +V= +tp841 +a(g6 +V +tp842 +a(g243 +V/abc/ +p843 +tp844 +a(g216 +V. +tp845 +a(g92 +Vmatch +p846 +tp847 +a(g216 +V; +tp848 +a(g6 +V\u000a\u000a +p849 +tp850 +a(g31 +V// math\u000a +p851 +tp852 +a(g6 +V\u000a +tp853 +a(g6 +V +tp854 +a(g92 +Vblah +p855 +tp856 +a(g216 +V( +tp857 +a(g6 +V +tp858 +a(g332 +V1 +tp859 +a(g357 +V/ +tp860 +a(g6 +V +tp861 +a(g332 +V2 +tp862 +a(g216 +V) +tp863 +a(g216 +V; +tp864 +a(g6 +V +tp865 +a(g31 +V//comment\u000a +p866 +tp867 +a(g6 +V +tp868 +a(g92 +Vx +tp869 +a(g6 +V +tp870 +a(g357 +V= +tp871 +a(g6 +V +tp872 +a(g6 +V +tp873 +a(g332 +V1 +tp874 +a(g6 +V +tp875 +a(g357 +V/ +tp876 +a(g6 +V +tp877 +a(g6 +V +tp878 +a(g332 +V2 +tp879 +a(g6 +V +tp880 +a(g357 +V/ +tp881 +a(g6 +V +tp882 +a(g6 +V +tp883 +a(g332 +V3 +tp884 +a(g216 +V; +tp885 +a(g6 +V\u000a +tp886 +a(g6 +V +tp887 +a(g92 +Vx +tp888 +a(g6 +V +tp889 +a(g357 +V= +tp890 +a(g6 +V +tp891 +a(g6 +V +tp892 +a(g332 +V1 +tp893 +a(g357 +V/ +tp894 +a(g6 +V +tp895 +a(g332 +V1 +tp896 +a(g357 +V/ +tp897 +a(g6 +V +tp898 +a(g216 +V. +tp899 +a(g332 +V1 +tp900 +a(g216 +V; +tp901 +a(g6 +V\u000a\u000a +p902 +tp903 +a(g31 +V// broken\u000a +p904 +tp905 +a(g6 +V\u000a +tp906 +a(g6 +V +tp907 +a(g92 +Vx +tp908 +a(g357 +V= +tp909 +a(g243 +V/1/ +p910 +tp911 +a(g216 +V; +tp912 +a(g6 +V\u000a +tp913 +a(g6 +V +tp914 +a(g92 +Vx +tp915 +a(g357 +V= +tp916 +a(g6 +V +tp917 +a(g332 +V1 +tp918 +a(g357 +V/ +tp919 +a(g6 +V +tp920 +a(g92 +Va +tp921 +a(g357 +V/ +tp922 +a(g6 +V +tp923 +a(g92 +Vg +tp924 +a(g216 +V; +tp925 +a(g6 +V\u000a +tp926 +a(g6 +V +tp927 +a(g92 +Vx +tp928 +a(g357 +V= +tp929 +a(g6 +V +tp930 +a(g92 +Va +tp931 +a(g357 +V/ +tp932 +a(g6 +V +tp933 +a(g92 +Va +tp934 +a(g357 +V/ +tp935 +a(g6 +V +tp936 +a(g92 +Vg +tp937 +a(g216 +V; +tp938 +a(g6 +V\u000a\u000a +p939 +tp940 +a(g31 +V// real-world\u000a +p941 +tp942 +a(g6 +V\u000a +tp943 +a(g6 +V +tp944 +a(g158 +Vvar +p945 +tp946 +a(g6 +V +tp947 +a(g6 +V +tp948 +a(g92 +Vx +tp949 +a(g6 +V +tp950 +a(g357 +V= +tp951 +a(g6 +V +tp952 +a(g6 +V +tp953 +a(g332 +V1 +tp954 +a(g357 +V/ +tp955 +a(g6 +V +tp956 +a(g216 +V( +tp957 +a(g6 +V +tp958 +a(g332 +V1 +tp959 +a(g357 +V+ +tp960 +a(g6 +V +tp961 +a(g84 +VMath +p962 +tp963 +a(g216 +V. +tp964 +a(g92 +Vsqrt +p965 +tp966 +a(g216 +V( +tp967 +a(g6 +V +tp968 +a(g92 +Vsum +p969 +tp970 +a(g216 +V) +tp971 +a(g216 +V) +tp972 +a(g216 +V; +tp973 +a(g6 +V +tp974 +a(g31 +V// convert to number between 1-0\u000a +p975 +tp976 +a(g6 +V +tp977 +a(g138 +Vreturn +p978 +tp979 +a(g6 +V +tp980 +a(g6 +V +tp981 +a(g84 +VMath +p982 +tp983 +a(g216 +V. +tp984 +a(g92 +Vround +p985 +tp986 +a(g216 +V( +tp987 +a(g6 +V +tp988 +a(g216 +V( +tp989 +a(g6 +V +tp990 +a(g92 +Vnum +p991 +tp992 +a(g6 +V +tp993 +a(g357 +V/ +tp994 +a(g6 +V +tp995 +a(g6 +V +tp996 +a(g92 +Vden +p997 +tp998 +a(g216 +V) +tp999 +a(g6 +V +tp1000 +a(g357 +V* +tp1001 +a(g6 +V +tp1002 +a(g6 +V +tp1003 +a(g332 +V100 +p1004 +tp1005 +a(g216 +V) +tp1006 +a(g357 +V/ +tp1007 +a(g6 +V +tp1008 +a(g332 +V100 +p1009 +tp1010 +a(g216 +V; +tp1011 +a(g6 +V\u000a +tp1012 +a(g6 +V +tp1013 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.c b/tests/examplefiles/output/example.c new file mode 100644 index 0000000..94da485 --- /dev/null +++ b/tests/examplefiles/output/example.c @@ -0,0 +1,45816 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Preproc' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +g32 +g2 +(g3 +g4 +(g110 +g32 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp116 +(dp117 +g9 +g10 +((ltRp118 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g111 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g110 +g122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g111 +sbsg9 +g10 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g110 +S'Type' +p134 +ttRp135 +(dp136 +g9 +g10 +((ltRp137 +sg12 +g111 +sbag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g9 +g10 +((ltRp145 +sg12 +g140 +sbsg12 +g15 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g140 +sbsg9 +g10 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g9 +g10 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g9 +g10 +((ltRp191 +sg12 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg12 +g15 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g9 +g10 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g9 +g10 +((ltRp204 +sg12 +g198 +sbatRp205 +sg201 +g202 +sg12 +g15 +sbsS'Token' +p206 +g15 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g9 +g10 +((ltRp214 +sg12 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g209 +sbsg12 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g222 +sbsg12 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g222 +sbsS'Single' +p267 +g2 +(g3 +g4 +(g208 +g221 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g222 +sbsg64 +g2 +(g3 +g4 +(g208 +g221 +g64 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g222 +sbsS'Doc' +p274 +g2 +(g3 +g4 +(g208 +g221 +g274 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g222 +sbsg9 +g10 +((lp278 +g271 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p279 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g222 +sbag241 +ag264 +ag256 +ag275 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag268 +ag252 +ag248 +atRp283 +sg279 +g280 +sbsg12 +g15 +sg207 +g209 +sS'Scalar' +p284 +g2 +(g3 +g4 +(g208 +g284 +ttRp285 +(dp286 +g9 +g10 +((lp287 +g2 +(g3 +g4 +(g208 +g284 +S'Plain' +p288 +ttRp289 +(dp290 +g9 +g10 +((ltRp291 +sg12 +g285 +sbatRp292 +sg12 +g219 +sg288 +g289 +sbsg64 +g2 +(g3 +g4 +(g208 +g64 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g219 +sbsS'Date' +p296 +g2 +(g3 +g4 +(g208 +g296 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g219 +sbsg9 +g10 +((lp300 +g297 +ag222 +ag293 +ag209 +ag285 +atRp301 +sbsS'Decimal' +p302 +g2 +(g3 +g4 +(g208 +g207 +g302 +ttRp303 +(dp304 +g9 +g10 +((ltRp305 +sg12 +g209 +sbsS'Float' +p306 +g2 +(g3 +g4 +(g208 +g207 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g209 +sbsS'Hex' +p310 +g2 +(g3 +g4 +(g208 +g207 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g209 +sbsS'Integer' +p314 +g2 +(g3 +g4 +(g208 +g207 +g314 +ttRp315 +(dp316 +g9 +g10 +((lp317 +g2 +(g3 +g4 +(g208 +g207 +g314 +S'Long' +p318 +ttRp319 +(dp320 +g9 +g10 +((ltRp321 +sg12 +g315 +sbatRp322 +sg318 +g319 +sg12 +g209 +sbsS'Octal' +p323 +g2 +(g3 +g4 +(g208 +g207 +g323 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g209 +sbsg9 +g10 +((lp327 +g212 +ag216 +ag324 +ag303 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p328 +ttRp329 +(dp330 +g9 +g10 +((ltRp331 +sg12 +g209 +sbag315 +ag307 +ag311 +atRp332 +sg328 +g329 +sbsg208 +g219 +sg64 +g2 +(g3 +g4 +(g64 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g15 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsS'Operator' +p339 +g2 +(g3 +g4 +(g339 +ttRp340 +(dp341 +g9 +g10 +((lp342 +g2 +(g3 +g4 +(g339 +S'Word' +p343 +ttRp344 +(dp345 +g9 +g10 +((ltRp346 +sg12 +g340 +sbatRp347 +sg343 +g344 +sg12 +g15 +sbsg9 +g10 +((lp348 +g13 +ag336 +ag140 +ag185 +ag18 +ag198 +ag111 +ag219 +ag340 +ag333 +atRp349 +sg221 +g222 +sbsg6 +g7 +sg267 +g2 +(g3 +g4 +(g5 +g267 +ttRp350 +(dp351 +g9 +g10 +((ltRp352 +sg12 +g13 +sbsS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg9 +g10 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag7 +ag350 +ag354 +atRp362 +sg358 +g359 +sbsbV# +tp363 +a(g7 +Vinclude +p364 +tp365 +a(g7 +V\u000a +tp366 +a(g7 +V# +tp367 +a(g7 +Vinclude +p368 +tp369 +a(g7 +V\u000a +tp370 +a(g7 +V# +tp371 +a(g7 +Vinclude +p372 +tp373 +a(g7 +V\u000a +tp374 +a(g7 +V# +tp375 +a(g7 +Vinclude "codegen.h" +p376 +tp377 +a(g7 +V\u000a +tp378 +a(g7 +V# +tp379 +a(g7 +Vinclude "symboltable.h" +p380 +tp381 +a(g7 +V\u000a +tp382 +a(g7 +V# +tp383 +a(g7 +Vinclude "stringbuffer.h" +p384 +tp385 +a(g7 +V\u000a +tp386 +a(g185 +V\u000a +tp387 +a(g185 +V +tp388 +a(g111 +Vextern +p389 +tp390 +a(g185 +V +tp391 +a(g135 +Vvoid +p392 +tp393 +a(g185 +V +tp394 +a(g18 +Vyyerror +p395 +tp396 +a(g198 +V( +tp397 +a(g135 +Vchar +p398 +tp399 +a(g340 +V* +tp400 +a(g185 +V +tp401 +a(g18 +Vmsg +p402 +tp403 +a(g198 +V) +tp404 +a(g198 +V; +tp405 +a(g185 +V\u000a +tp406 +a(g185 +V\u000a +tp407 +a(g185 +V +tp408 +a(g111 +Vstatic +p409 +tp410 +a(g185 +V +tp411 +a(g18 +VstringBuffer +p412 +tp413 +a(g340 +V* +tp414 +a(g185 +V +tp415 +a(g18 +VstaticVariableBuffer +p416 +tp417 +a(g198 +V; +tp418 +a(g185 +V\u000a +tp419 +a(g185 +V +tp420 +a(g111 +Vstatic +p421 +tp422 +a(g185 +V +tp423 +a(g18 +VstringBuffer +p424 +tp425 +a(g340 +V* +tp426 +a(g185 +V +tp427 +a(g18 +VclassInitBuffer +p428 +tp429 +a(g198 +V; +tp430 +a(g185 +V\u000a +tp431 +a(g185 +V +tp432 +a(g111 +Vstatic +p433 +tp434 +a(g185 +V +tp435 +a(g18 +VstringBuffer +p436 +tp437 +a(g340 +V* +tp438 +a(g185 +V +tp439 +a(g18 +VcurrentMethodBuffer +p440 +tp441 +a(g198 +V; +tp442 +a(g185 +V\u000a +tp443 +a(g185 +V +tp444 +a(g111 +Vstatic +p445 +tp446 +a(g185 +V +tp447 +a(g18 +VstringBuffer +p448 +tp449 +a(g340 +V* +tp450 +a(g185 +V +tp451 +a(g18 +VfinishedMethodsBuffer +p452 +tp453 +a(g198 +V; +tp454 +a(g185 +V\u000a +tp455 +a(g185 +V +tp456 +a(g111 +Vstatic +p457 +tp458 +a(g185 +V +tp459 +a(g18 +VstringBuffer +p460 +tp461 +a(g340 +V* +tp462 +a(g185 +V +tp463 +a(g18 +VmainBuffer +p464 +tp465 +a(g198 +V; +tp466 +a(g185 +V\u000a +tp467 +a(g185 +V\u000a +tp468 +a(g185 +V +tp469 +a(g111 +Vstatic +p470 +tp471 +a(g185 +V +tp472 +a(g135 +Vint +p473 +tp474 +a(g185 +V +tp475 +a(g18 +VcurrentMethodBufferIndex +p476 +tp477 +a(g198 +V; +tp478 +a(g185 +V\u000a +tp479 +a(g185 +V +tp480 +a(g111 +Vstatic +p481 +tp482 +a(g185 +V +tp483 +a(g135 +Vint +p484 +tp485 +a(g185 +V +tp486 +a(g18 +VcurrentMethodStackSize +p487 +tp488 +a(g198 +V; +tp489 +a(g185 +V\u000a +tp490 +a(g185 +V +tp491 +a(g111 +Vstatic +p492 +tp493 +a(g185 +V +tp494 +a(g135 +Vint +p495 +tp496 +a(g185 +V +tp497 +a(g18 +VcurrentMethodStackSizeMax +p498 +tp499 +a(g198 +V; +tp500 +a(g185 +V\u000a +tp501 +a(g185 +V +tp502 +a(g111 +Vstatic +p503 +tp504 +a(g185 +V +tp505 +a(g135 +Vint +p506 +tp507 +a(g185 +V +tp508 +a(g18 +VcurrentMethodNumberOfLocals +p509 +tp510 +a(g198 +V; +tp511 +a(g185 +V\u000a +tp512 +a(g185 +V\u000a +tp513 +a(g185 +V +tp514 +a(g111 +Vstatic +p515 +tp516 +a(g185 +V +tp517 +a(g135 +Vint +p518 +tp519 +a(g185 +V +tp520 +a(g18 +VclassInitBufferIndex +p521 +tp522 +a(g198 +V; +tp523 +a(g185 +V\u000a +tp524 +a(g185 +V +tp525 +a(g111 +Vstatic +p526 +tp527 +a(g185 +V +tp528 +a(g135 +Vint +p529 +tp530 +a(g185 +V +tp531 +a(g18 +VclassInitStackSize +p532 +tp533 +a(g198 +V; +tp534 +a(g185 +V\u000a +tp535 +a(g185 +V +tp536 +a(g111 +Vstatic +p537 +tp538 +a(g185 +V +tp539 +a(g135 +Vint +p540 +tp541 +a(g185 +V +tp542 +a(g18 +VclassInitStackSizeMax +p543 +tp544 +a(g198 +V; +tp545 +a(g185 +V\u000a +tp546 +a(g185 +V\u000a +tp547 +a(g185 +V +tp548 +a(g111 +Vstatic +p549 +tp550 +a(g185 +V +tp551 +a(g135 +Vint +p552 +tp553 +a(g185 +V +tp554 +a(g18 +VlabelCounter +p555 +tp556 +a(g185 +V +tp557 +a(g340 +V= +tp558 +a(g185 +V +tp559 +a(g315 +V0 +tp560 +a(g198 +V; +tp561 +a(g185 +V\u000a +tp562 +a(g185 +V +tp563 +a(g111 +Vstatic +p564 +tp565 +a(g185 +V +tp566 +a(g135 +Vint +p567 +tp568 +a(g185 +V +tp569 +a(g18 +Vglobal +p570 +tp571 +a(g185 +V +p572 +tp573 +a(g340 +V= +tp574 +a(g185 +V +tp575 +a(g315 +V1 +tp576 +a(g198 +V; +tp577 +a(g185 +V\u000a +tp578 +a(g185 +V\u000a +tp579 +a(g185 +V +tp580 +a(g135 +Vchar +p581 +tp582 +a(g185 +V +tp583 +a(g18 +VtempString +p584 +tp585 +a(g198 +V[ +tp586 +a(g18 +VMAX_LENGTH_OF_COMMAND +p587 +tp588 +a(g198 +V] +tp589 +a(g198 +V; +tp590 +a(g185 +V\u000a +tp591 +a(g185 +V\u000a +tp592 +a(g185 +V +tp593 +a(g111 +Vextern +p594 +tp595 +a(g185 +V +tp596 +a(g135 +Vchar +p597 +tp598 +a(g340 +V* +tp599 +a(g185 +V +tp600 +a(g18 +VclassName +p601 +tp602 +a(g198 +V; +tp603 +a(g185 +V +p604 +tp605 +a(g354 +V/* from minako-syntax.y */ +p606 +tp607 +a(g185 +V\u000a +tp608 +a(g185 +V\u000a +tp609 +a(g354 +V/* forward declarations */ +p610 +tp611 +a(g185 +V\u000a +tp612 +a(g185 +V +tp613 +a(g111 +Vstatic +p614 +tp615 +a(g185 +V +tp616 +a(g135 +Vvoid +p617 +tp618 +a(g185 +V +tp619 +a(g18 +VincreaseStackby +p620 +tp621 +a(g198 +V( +tp622 +a(g135 +Vint +p623 +tp624 +a(g185 +V +tp625 +a(g18 +Vstackdiff +p626 +tp627 +a(g198 +V) +tp628 +a(g198 +V; +tp629 +a(g185 +V\u000a +tp630 +a(g185 +V +tp631 +a(g135 +Vchar +p632 +tp633 +a(g185 +V +tp634 +a(g18 +VconvertType +p635 +tp636 +a(g198 +V( +tp637 +a(g135 +Vint +p638 +tp639 +a(g185 +V +tp640 +a(g18 +Vtype +p641 +tp642 +a(g198 +V) +tp643 +a(g198 +V; +tp644 +a(g185 +V\u000a +tp645 +a(g185 +V\u000a +tp646 +a(g185 +V +tp647 +a(g135 +Vvoid +p648 +tp649 +a(g185 +V +tp650 +a(g21 +VcodegenInit +p651 +tp652 +a(g185 +V +tp653 +a(g198 +V( +tp654 +a(g198 +V) +tp655 +a(g185 +V +tp656 +a(g185 +V +tp657 +a(g198 +V{ +tp658 +a(g185 +V\u000a +tp659 +a(g185 +V +tp660 +a(g18 +VstaticVariableBuffer +p661 +tp662 +a(g185 +V +p663 +tp664 +a(g340 +V= +tp665 +a(g185 +V +tp666 +a(g18 +VnewStringBuffer +p667 +tp668 +a(g198 +V( +tp669 +a(g198 +V) +tp670 +a(g198 +V; +tp671 +a(g185 +V\u000a +tp672 +a(g185 +V +tp673 +a(g18 +VclassInitBuffer +p674 +tp675 +a(g185 +V +p676 +tp677 +a(g340 +V= +tp678 +a(g185 +V +tp679 +a(g18 +VnewStringBuffer +p680 +tp681 +a(g198 +V( +tp682 +a(g198 +V) +tp683 +a(g198 +V; +tp684 +a(g185 +V\u000a +tp685 +a(g185 +V +tp686 +a(g18 +VcurrentMethodBuffer +p687 +tp688 +a(g185 +V +p689 +tp690 +a(g340 +V= +tp691 +a(g185 +V +tp692 +a(g315 +V0 +tp693 +a(g198 +V; +tp694 +a(g185 +V\u000a +tp695 +a(g185 +V +tp696 +a(g18 +VfinishedMethodsBuffer +p697 +tp698 +a(g185 +V +tp699 +a(g340 +V= +tp700 +a(g185 +V +tp701 +a(g18 +VnewStringBuffer +p702 +tp703 +a(g198 +V( +tp704 +a(g198 +V) +tp705 +a(g198 +V; +tp706 +a(g185 +V\u000a +tp707 +a(g185 +V +tp708 +a(g18 +VmainBuffer +p709 +tp710 +a(g185 +V +p711 +tp712 +a(g340 +V= +tp713 +a(g185 +V +tp714 +a(g18 +VnewStringBuffer +p715 +tp716 +a(g198 +V( +tp717 +a(g198 +V) +tp718 +a(g198 +V; +tp719 +a(g185 +V\u000a +tp720 +a(g185 +V\u000a +tp721 +a(g185 +V +tp722 +a(g18 +VstringBufferAppend +p723 +tp724 +a(g198 +V( +tp725 +a(g18 +VmainBuffer +p726 +tp727 +a(g198 +V, +tp728 +a(g185 +V +tp729 +a(g222 +V" +tp730 +a(g222 +V; ------- Header -------------------------------------------- +p731 +tp732 +a(g222 +V" +tp733 +a(g198 +V) +tp734 +a(g198 +V; +tp735 +a(g185 +V \u000a +p736 +tp737 +a(g18 +Vsprintf +p738 +tp739 +a(g198 +V( +tp740 +a(g18 +VtempString +p741 +tp742 +a(g198 +V, +tp743 +a(g185 +V +tp744 +a(g222 +V" +tp745 +a(g222 +V.class public synchronized %s +p746 +tp747 +a(g222 +V" +tp748 +a(g198 +V, +tp749 +a(g185 +V +tp750 +a(g18 +VclassName +p751 +tp752 +a(g198 +V) +tp753 +a(g198 +V; +tp754 +a(g185 +V\u000a +tp755 +a(g185 +V +tp756 +a(g18 +VstringBufferAppend +p757 +tp758 +a(g198 +V( +tp759 +a(g18 +VmainBuffer +p760 +tp761 +a(g198 +V, +tp762 +a(g185 +V +tp763 +a(g18 +VtempString +p764 +tp765 +a(g198 +V) +tp766 +a(g198 +V; +tp767 +a(g185 +V\u000a +tp768 +a(g185 +V +tp769 +a(g18 +VstringBufferAppend +p770 +tp771 +a(g198 +V( +tp772 +a(g18 +VmainBuffer +p773 +tp774 +a(g198 +V, +tp775 +a(g185 +V +tp776 +a(g222 +V" +tp777 +a(g222 +V.super java/lang/Object +p778 +tp779 +a(g222 +V" +tp780 +a(g198 +V) +tp781 +a(g198 +V; +tp782 +a(g185 +V\u000a +tp783 +a(g185 +V +tp784 +a(g18 +VstringBufferAppend +p785 +tp786 +a(g198 +V( +tp787 +a(g18 +VmainBuffer +p788 +tp789 +a(g198 +V, +tp790 +a(g185 +V +tp791 +a(g222 +V" +tp792 +a(g222 +V; ----------------------------------------------------------- +p793 +tp794 +a(g222 +V" +tp795 +a(g198 +V) +tp796 +a(g198 +V; +tp797 +a(g185 +V\u000a +tp798 +a(g185 +V +tp799 +a(g18 +VstringBufferAppend +p800 +tp801 +a(g198 +V( +tp802 +a(g18 +VmainBuffer +p803 +tp804 +a(g198 +V, +tp805 +a(g185 +V +tp806 +a(g222 +V" +tp807 +a(g222 +V" +tp808 +a(g198 +V) +tp809 +a(g198 +V; +tp810 +a(g185 +V\u000a +tp811 +a(g185 +V \u000a +p812 +tp813 +a(g18 +VstringBufferAppend +p814 +tp815 +a(g198 +V( +tp816 +a(g18 +VfinishedMethodsBuffer +p817 +tp818 +a(g198 +V, +tp819 +a(g185 +V +tp820 +a(g222 +V" +tp821 +a(g222 +V; ------- Constructor --------------------------------------- +p822 +tp823 +a(g222 +V" +tp824 +a(g198 +V) +tp825 +a(g198 +V; +tp826 +a(g185 +V\u000a +tp827 +a(g185 +V +tp828 +a(g18 +VstringBufferAppend +p829 +tp830 +a(g198 +V( +tp831 +a(g18 +VfinishedMethodsBuffer +p832 +tp833 +a(g198 +V, +tp834 +a(g185 +V +tp835 +a(g222 +V" +tp836 +a(g222 +V.method public ()V +p837 +tp838 +a(g222 +V" +tp839 +a(g198 +V) +tp840 +a(g198 +V; +tp841 +a(g185 +V\u000a +tp842 +a(g185 +V +tp843 +a(g18 +VstringBufferAppend +p844 +tp845 +a(g198 +V( +tp846 +a(g18 +VfinishedMethodsBuffer +p847 +tp848 +a(g198 +V, +tp849 +a(g185 +V +tp850 +a(g222 +V" +tp851 +a(g248 +V\u005ct +p852 +tp853 +a(g222 +V.limit stack 1 +p854 +tp855 +a(g222 +V" +tp856 +a(g198 +V) +tp857 +a(g198 +V; +tp858 +a(g185 +V\u000a +tp859 +a(g185 +V +tp860 +a(g18 +VstringBufferAppend +p861 +tp862 +a(g198 +V( +tp863 +a(g18 +VfinishedMethodsBuffer +p864 +tp865 +a(g198 +V, +tp866 +a(g185 +V +tp867 +a(g222 +V" +tp868 +a(g248 +V\u005ct +p869 +tp870 +a(g222 +V.limit locals 1 +p871 +tp872 +a(g222 +V" +tp873 +a(g198 +V) +tp874 +a(g198 +V; +tp875 +a(g185 +V\u000a +tp876 +a(g185 +V +tp877 +a(g18 +VstringBufferAppend +p878 +tp879 +a(g198 +V( +tp880 +a(g18 +VfinishedMethodsBuffer +p881 +tp882 +a(g198 +V, +tp883 +a(g185 +V +tp884 +a(g222 +V" +tp885 +a(g248 +V\u005ct +p886 +tp887 +a(g222 +Vaload_0 +p888 +tp889 +a(g222 +V" +tp890 +a(g198 +V) +tp891 +a(g198 +V; +tp892 +a(g185 +V\u000a +tp893 +a(g185 +V +tp894 +a(g18 +VstringBufferAppend +p895 +tp896 +a(g198 +V( +tp897 +a(g18 +VfinishedMethodsBuffer +p898 +tp899 +a(g198 +V, +tp900 +a(g185 +V +tp901 +a(g222 +V" +tp902 +a(g248 +V\u005ct +p903 +tp904 +a(g222 +Vinvokenonvirtual java/lang/Object/()V +p905 +tp906 +a(g222 +V" +tp907 +a(g198 +V) +tp908 +a(g198 +V; +tp909 +a(g185 +V\u000a +tp910 +a(g185 +V +tp911 +a(g18 +VstringBufferAppend +p912 +tp913 +a(g198 +V( +tp914 +a(g18 +VfinishedMethodsBuffer +p915 +tp916 +a(g198 +V, +tp917 +a(g185 +V +tp918 +a(g222 +V" +tp919 +a(g248 +V\u005ct +p920 +tp921 +a(g222 +Vreturn +p922 +tp923 +a(g222 +V" +tp924 +a(g198 +V) +tp925 +a(g198 +V; +tp926 +a(g185 +V\u000a +tp927 +a(g185 +V +tp928 +a(g18 +VstringBufferAppend +p929 +tp930 +a(g198 +V( +tp931 +a(g18 +VfinishedMethodsBuffer +p932 +tp933 +a(g198 +V, +tp934 +a(g185 +V +tp935 +a(g222 +V" +tp936 +a(g222 +V.end method +p937 +tp938 +a(g222 +V" +tp939 +a(g198 +V) +tp940 +a(g198 +V; +tp941 +a(g185 +V\u000a +tp942 +a(g185 +V +tp943 +a(g18 +VstringBufferAppend +p944 +tp945 +a(g198 +V( +tp946 +a(g18 +VfinishedMethodsBuffer +p947 +tp948 +a(g198 +V, +tp949 +a(g185 +V +tp950 +a(g222 +V" +tp951 +a(g222 +V; ----------------------------------------------------------- +p952 +tp953 +a(g222 +V" +tp954 +a(g198 +V) +tp955 +a(g198 +V; +tp956 +a(g185 +V\u000a +tp957 +a(g185 +V +tp958 +a(g18 +VstringBufferAppend +p959 +tp960 +a(g198 +V( +tp961 +a(g18 +VfinishedMethodsBuffer +p962 +tp963 +a(g198 +V, +tp964 +a(g185 +V +tp965 +a(g222 +V" +tp966 +a(g222 +V" +tp967 +a(g198 +V) +tp968 +a(g198 +V; +tp969 +a(g185 +V\u000a +tp970 +a(g185 +V\u000a +tp971 +a(g185 +V +tp972 +a(g18 +VstringBufferAppend +p973 +tp974 +a(g198 +V( +tp975 +a(g18 +VstaticVariableBuffer +p976 +tp977 +a(g198 +V, +tp978 +a(g185 +V +tp979 +a(g222 +V" +tp980 +a(g222 +V; ------- Class Variables ----------------------------------- +p981 +tp982 +a(g222 +V" +tp983 +a(g198 +V) +tp984 +a(g198 +V; +tp985 +a(g185 +V\u000a +tp986 +a(g185 +V\u000a +tp987 +a(g185 +V +tp988 +a(g18 +VstringBufferAppend +p989 +tp990 +a(g198 +V( +tp991 +a(g18 +VclassInitBuffer +p992 +tp993 +a(g198 +V, +tp994 +a(g185 +V +tp995 +a(g222 +V" +tp996 +a(g222 +V; ------- Class Initializer --------------------------------- +p997 +tp998 +a(g222 +V" +tp999 +a(g198 +V) +tp1000 +a(g198 +V; +tp1001 +a(g185 +V\u000a +tp1002 +a(g185 +V +tp1003 +a(g18 +VstringBufferAppend +p1004 +tp1005 +a(g198 +V( +tp1006 +a(g18 +VclassInitBuffer +p1007 +tp1008 +a(g198 +V, +tp1009 +a(g185 +V +tp1010 +a(g222 +V" +tp1011 +a(g222 +V.method static ()V +p1012 +tp1013 +a(g222 +V" +tp1014 +a(g198 +V) +tp1015 +a(g198 +V; +tp1016 +a(g185 +V\u000a +tp1017 +a(g185 +V +tp1018 +a(g18 +VclassInitBufferIndex +p1019 +tp1020 +a(g185 +V +tp1021 +a(g340 +V= +tp1022 +a(g185 +V +tp1023 +a(g18 +VclassInitBuffer +p1024 +tp1025 +a(g340 +V- +tp1026 +a(g340 +V> +tp1027 +a(g18 +VnumberOfNextElement +p1028 +tp1029 +a(g198 +V; +tp1030 +a(g185 +V\u000a +tp1031 +a(g185 +V +tp1032 +a(g18 +VstringBufferAppend +p1033 +tp1034 +a(g198 +V( +tp1035 +a(g18 +VclassInitBuffer +p1036 +tp1037 +a(g198 +V, +tp1038 +a(g185 +V +tp1039 +a(g222 +V" +tp1040 +a(g248 +V\u005ct +p1041 +tp1042 +a(g222 +V.limit locals 0 +p1043 +tp1044 +a(g222 +V" +tp1045 +a(g198 +V) +tp1046 +a(g198 +V; +tp1047 +a(g185 +V\u000a +tp1048 +a(g185 +V\u000a +tp1049 +a(g198 +V} +tp1050 +a(g185 +V\u000a +tp1051 +a(g185 +V\u000a +tp1052 +a(g185 +V +tp1053 +a(g135 +Vvoid +p1054 +tp1055 +a(g185 +V +tp1056 +a(g21 +VcodegenAppendCommand +p1057 +tp1058 +a(g185 +V +tp1059 +a(g198 +V( +tp1060 +a(g135 +Vchar +p1061 +tp1062 +a(g340 +V* +tp1063 +a(g185 +V +tp1064 +a(g18 +Vcmd +p1065 +tp1066 +a(g198 +V, +tp1067 +a(g185 +V +tp1068 +a(g135 +Vint +p1069 +tp1070 +a(g185 +V +tp1071 +a(g18 +Vstackdiff +p1072 +tp1073 +a(g198 +V) +tp1074 +a(g185 +V +tp1075 +a(g185 +V +tp1076 +a(g198 +V{ +tp1077 +a(g185 +V\u000a +tp1078 +a(g185 +V +tp1079 +a(g135 +Vchar +p1080 +tp1081 +a(g185 +V +tp1082 +a(g18 +VtempString +p1083 +tp1084 +a(g198 +V[ +tp1085 +a(g18 +VMAX_LENGTH_OF_COMMAND +p1086 +tp1087 +a(g198 +V] +tp1088 +a(g198 +V; +tp1089 +a(g185 +V\u000a +tp1090 +a(g185 +V +tp1091 +a(g18 +Vsprintf +p1092 +tp1093 +a(g198 +V( +tp1094 +a(g18 +VtempString +p1095 +tp1096 +a(g198 +V, +tp1097 +a(g185 +V +tp1098 +a(g222 +V" +tp1099 +a(g248 +V\u005ct +p1100 +tp1101 +a(g222 +V%s +p1102 +tp1103 +a(g222 +V" +tp1104 +a(g198 +V, +tp1105 +a(g185 +V +tp1106 +a(g18 +Vcmd +p1107 +tp1108 +a(g198 +V) +tp1109 +a(g198 +V; +tp1110 +a(g185 +V\u000a +tp1111 +a(g185 +V +tp1112 +a(g111 +Vif +p1113 +tp1114 +a(g185 +V +tp1115 +a(g198 +V( +tp1116 +a(g18 +Vglobal +p1117 +tp1118 +a(g198 +V) +tp1119 +a(g185 +V +tp1120 +a(g18 +VstringBufferAppend +p1121 +tp1122 +a(g198 +V( +tp1123 +a(g18 +VclassInitBuffer +p1124 +tp1125 +a(g198 +V, +tp1126 +a(g185 +V +tp1127 +a(g18 +VtempString +p1128 +tp1129 +a(g198 +V) +tp1130 +a(g198 +V; +tp1131 +a(g185 +V\u000a +tp1132 +a(g185 +V +tp1133 +a(g111 +Velse +p1134 +tp1135 +a(g185 +V +tp1136 +a(g18 +VstringBufferAppend +p1137 +tp1138 +a(g198 +V( +tp1139 +a(g18 +VcurrentMethodBuffer +p1140 +tp1141 +a(g198 +V, +tp1142 +a(g185 +V +tp1143 +a(g18 +VtempString +p1144 +tp1145 +a(g198 +V) +tp1146 +a(g198 +V; +tp1147 +a(g185 +V\u000a +tp1148 +a(g185 +V +tp1149 +a(g18 +VincreaseStackby +p1150 +tp1151 +a(g198 +V( +tp1152 +a(g18 +Vstackdiff +p1153 +tp1154 +a(g198 +V) +tp1155 +a(g198 +V; +tp1156 +a(g185 +V\u000a +tp1157 +a(g198 +V} +tp1158 +a(g185 +V\u000a +tp1159 +a(g185 +V\u000a +tp1160 +a(g185 +V +tp1161 +a(g135 +Vvoid +p1162 +tp1163 +a(g185 +V +tp1164 +a(g21 +VcodegenInsertCommand +p1165 +tp1166 +a(g185 +V +tp1167 +a(g198 +V( +tp1168 +a(g135 +Vint +p1169 +tp1170 +a(g185 +V +tp1171 +a(g18 +Vaddress +p1172 +tp1173 +a(g198 +V, +tp1174 +a(g185 +V +tp1175 +a(g135 +Vchar +p1176 +tp1177 +a(g340 +V* +tp1178 +a(g185 +V +tp1179 +a(g18 +Vcmd +p1180 +tp1181 +a(g198 +V, +tp1182 +a(g185 +V +tp1183 +a(g135 +Vint +p1184 +tp1185 +a(g185 +V +tp1186 +a(g18 +Vstackdiff +p1187 +tp1188 +a(g198 +V) +tp1189 +a(g185 +V +tp1190 +a(g185 +V +tp1191 +a(g198 +V{ +tp1192 +a(g185 +V\u000a +tp1193 +a(g185 +V +tp1194 +a(g135 +Vchar +p1195 +tp1196 +a(g185 +V +tp1197 +a(g18 +VtempString +p1198 +tp1199 +a(g198 +V[ +tp1200 +a(g18 +VMAX_LENGTH_OF_COMMAND +p1201 +tp1202 +a(g198 +V] +tp1203 +a(g198 +V; +tp1204 +a(g185 +V\u000a +tp1205 +a(g185 +V +tp1206 +a(g18 +Vsprintf +p1207 +tp1208 +a(g198 +V( +tp1209 +a(g18 +VtempString +p1210 +tp1211 +a(g198 +V, +tp1212 +a(g185 +V +tp1213 +a(g222 +V" +tp1214 +a(g248 +V\u005ct +p1215 +tp1216 +a(g222 +V%s +p1217 +tp1218 +a(g222 +V" +tp1219 +a(g198 +V, +tp1220 +a(g185 +V +tp1221 +a(g18 +Vcmd +p1222 +tp1223 +a(g198 +V) +tp1224 +a(g198 +V; +tp1225 +a(g185 +V\u000a +tp1226 +a(g185 +V +tp1227 +a(g111 +Vif +p1228 +tp1229 +a(g185 +V +tp1230 +a(g198 +V( +tp1231 +a(g18 +Vglobal +p1232 +tp1233 +a(g198 +V) +tp1234 +a(g185 +V +tp1235 +a(g18 +VstringBufferInsert +p1236 +tp1237 +a(g198 +V( +tp1238 +a(g18 +VclassInitBuffer +p1239 +tp1240 +a(g198 +V, +tp1241 +a(g185 +V +tp1242 +a(g18 +Vaddress +p1243 +tp1244 +a(g198 +V, +tp1245 +a(g185 +V +tp1246 +a(g18 +VtempString +p1247 +tp1248 +a(g198 +V) +tp1249 +a(g198 +V; +tp1250 +a(g185 +V\u000a +tp1251 +a(g185 +V +tp1252 +a(g111 +Velse +p1253 +tp1254 +a(g185 +V +tp1255 +a(g18 +VstringBufferInsert +p1256 +tp1257 +a(g198 +V( +tp1258 +a(g18 +VcurrentMethodBuffer +p1259 +tp1260 +a(g198 +V, +tp1261 +a(g185 +V +tp1262 +a(g18 +Vaddress +p1263 +tp1264 +a(g198 +V, +tp1265 +a(g185 +V +tp1266 +a(g18 +VtempString +p1267 +tp1268 +a(g198 +V) +tp1269 +a(g198 +V; +tp1270 +a(g185 +V\u000a +tp1271 +a(g185 +V +tp1272 +a(g18 +VincreaseStackby +p1273 +tp1274 +a(g198 +V( +tp1275 +a(g18 +Vstackdiff +p1276 +tp1277 +a(g198 +V) +tp1278 +a(g198 +V; +tp1279 +a(g185 +V\u000a +tp1280 +a(g198 +V} +tp1281 +a(g185 +V\u000a +tp1282 +a(g185 +V\u000a +tp1283 +a(g185 +V +tp1284 +a(g135 +Vvoid +p1285 +tp1286 +a(g185 +V +tp1287 +a(g21 +VcodegenAppendLabel +p1288 +tp1289 +a(g185 +V +tp1290 +a(g198 +V( +tp1291 +a(g135 +Vint +p1292 +tp1293 +a(g185 +V +tp1294 +a(g18 +Vlabel +p1295 +tp1296 +a(g198 +V) +tp1297 +a(g185 +V +tp1298 +a(g185 +V +tp1299 +a(g198 +V{ +tp1300 +a(g185 +V\u000a +tp1301 +a(g185 +V +tp1302 +a(g135 +Vchar +p1303 +tp1304 +a(g185 +V +tp1305 +a(g18 +VtempString +p1306 +tp1307 +a(g198 +V[ +tp1308 +a(g18 +VMAX_LENGTH_OF_COMMAND +p1309 +tp1310 +a(g198 +V] +tp1311 +a(g198 +V; +tp1312 +a(g185 +V\u000a +tp1313 +a(g185 +V +tp1314 +a(g18 +Vsprintf +p1315 +tp1316 +a(g198 +V( +tp1317 +a(g18 +VtempString +p1318 +tp1319 +a(g198 +V, +tp1320 +a(g185 +V +tp1321 +a(g222 +V" +tp1322 +a(g222 +VLabel%d: +p1323 +tp1324 +a(g222 +V" +tp1325 +a(g198 +V, +tp1326 +a(g185 +V +tp1327 +a(g18 +Vlabel +p1328 +tp1329 +a(g198 +V) +tp1330 +a(g198 +V; +tp1331 +a(g185 +V\u000a +tp1332 +a(g185 +V +tp1333 +a(g111 +Vif +p1334 +tp1335 +a(g185 +V +tp1336 +a(g198 +V( +tp1337 +a(g18 +Vglobal +p1338 +tp1339 +a(g198 +V) +tp1340 +a(g185 +V +tp1341 +a(g18 +VstringBufferAppend +p1342 +tp1343 +a(g198 +V( +tp1344 +a(g18 +VclassInitBuffer +p1345 +tp1346 +a(g198 +V, +tp1347 +a(g185 +V +tp1348 +a(g18 +VtempString +p1349 +tp1350 +a(g198 +V) +tp1351 +a(g198 +V; +tp1352 +a(g185 +V\u000a +tp1353 +a(g185 +V +tp1354 +a(g111 +Velse +p1355 +tp1356 +a(g185 +V +tp1357 +a(g18 +VstringBufferAppend +p1358 +tp1359 +a(g198 +V( +tp1360 +a(g18 +VcurrentMethodBuffer +p1361 +tp1362 +a(g198 +V, +tp1363 +a(g185 +V +tp1364 +a(g18 +VtempString +p1365 +tp1366 +a(g198 +V) +tp1367 +a(g198 +V; +tp1368 +a(g185 +V\u000a +tp1369 +a(g198 +V} +tp1370 +a(g185 +V\u000a +tp1371 +a(g185 +V\u000a +tp1372 +a(g185 +V +tp1373 +a(g135 +Vvoid +p1374 +tp1375 +a(g185 +V +tp1376 +a(g21 +VcodegenAddVariable +p1377 +tp1378 +a(g185 +V +tp1379 +a(g198 +V( +tp1380 +a(g135 +Vchar +p1381 +tp1382 +a(g340 +V* +tp1383 +a(g185 +V +tp1384 +a(g18 +Vname +p1385 +tp1386 +a(g198 +V, +tp1387 +a(g185 +V +tp1388 +a(g135 +Vint +p1389 +tp1390 +a(g185 +V +tp1391 +a(g18 +Vtype +p1392 +tp1393 +a(g198 +V) +tp1394 +a(g185 +V +tp1395 +a(g185 +V +tp1396 +a(g198 +V{ +tp1397 +a(g185 +V\u000a +tp1398 +a(g185 +V +tp1399 +a(g354 +V/*fprintf(stderr, "add variable %s(%d) global=%d ", name, convertType(type), global);*/ +p1400 +tp1401 +a(g185 +V\u000a +tp1402 +a(g185 +V +tp1403 +a(g111 +Vif +p1404 +tp1405 +a(g185 +V +tp1406 +a(g198 +V( +tp1407 +a(g18 +Vglobal +p1408 +tp1409 +a(g198 +V) +tp1410 +a(g185 +V +tp1411 +a(g198 +V{ +tp1412 +a(g185 +V\u000a +tp1413 +a(g185 +V +p1414 +tp1415 +a(g111 +Vif +p1416 +tp1417 +a(g185 +V +tp1418 +a(g198 +V( +tp1419 +a(g18 +Vtype +p1420 +tp1421 +a(g185 +V +tp1422 +a(g340 +V= +tp1423 +a(g340 +V= +tp1424 +a(g185 +V +tp1425 +a(g18 +VTYPE_INT +p1426 +tp1427 +a(g198 +V) +tp1428 +a(g185 +V +tp1429 +a(g18 +Vsprintf +p1430 +tp1431 +a(g198 +V( +tp1432 +a(g18 +VtempString +p1433 +tp1434 +a(g198 +V, +tp1435 +a(g185 +V +tp1436 +a(g222 +V" +tp1437 +a(g222 +V.field static %s %c +p1438 +tp1439 +a(g222 +V" +tp1440 +a(g198 +V, +tp1441 +a(g185 +V +tp1442 +a(g18 +Vname +p1443 +tp1444 +a(g198 +V, +tp1445 +a(g185 +V +tp1446 +a(g264 +V'I' +p1447 +tp1448 +a(g198 +V) +tp1449 +a(g198 +V; +tp1450 +a(g185 +V\u000a +tp1451 +a(g185 +V +p1452 +tp1453 +a(g111 +Velse +p1454 +tp1455 +a(g185 +V +tp1456 +a(g111 +Vif +p1457 +tp1458 +a(g185 +V +tp1459 +a(g198 +V( +tp1460 +a(g18 +Vtype +p1461 +tp1462 +a(g185 +V +tp1463 +a(g340 +V= +tp1464 +a(g340 +V= +tp1465 +a(g185 +V +tp1466 +a(g18 +VTYPE_FLOAT +p1467 +tp1468 +a(g198 +V) +tp1469 +a(g185 +V +tp1470 +a(g18 +Vsprintf +p1471 +tp1472 +a(g198 +V( +tp1473 +a(g18 +VtempString +p1474 +tp1475 +a(g198 +V, +tp1476 +a(g185 +V +tp1477 +a(g222 +V" +tp1478 +a(g222 +V.field static %s %c +p1479 +tp1480 +a(g222 +V" +tp1481 +a(g198 +V, +tp1482 +a(g185 +V +tp1483 +a(g18 +Vname +p1484 +tp1485 +a(g198 +V, +tp1486 +a(g185 +V +tp1487 +a(g264 +V'F' +p1488 +tp1489 +a(g198 +V) +tp1490 +a(g198 +V; +tp1491 +a(g185 +V\u000a +tp1492 +a(g185 +V +p1493 +tp1494 +a(g111 +Velse +p1495 +tp1496 +a(g185 +V +tp1497 +a(g111 +Vif +p1498 +tp1499 +a(g185 +V +tp1500 +a(g198 +V( +tp1501 +a(g18 +Vtype +p1502 +tp1503 +a(g185 +V +tp1504 +a(g340 +V= +tp1505 +a(g340 +V= +tp1506 +a(g185 +V +tp1507 +a(g18 +VTYPE_BOOLEAN +p1508 +tp1509 +a(g198 +V) +tp1510 +a(g185 +V +tp1511 +a(g18 +Vsprintf +p1512 +tp1513 +a(g198 +V( +tp1514 +a(g18 +VtempString +p1515 +tp1516 +a(g198 +V, +tp1517 +a(g185 +V +tp1518 +a(g222 +V" +tp1519 +a(g222 +V.field static %s %c +p1520 +tp1521 +a(g222 +V" +tp1522 +a(g198 +V, +tp1523 +a(g185 +V +tp1524 +a(g18 +Vname +p1525 +tp1526 +a(g198 +V, +tp1527 +a(g185 +V +tp1528 +a(g264 +V'Z' +p1529 +tp1530 +a(g198 +V) +tp1531 +a(g198 +V; +tp1532 +a(g185 +V\u000a +tp1533 +a(g185 +V +p1534 +tp1535 +a(g111 +Velse +p1536 +tp1537 +a(g185 +V +tp1538 +a(g18 +Vyyerror +p1539 +tp1540 +a(g198 +V( +tp1541 +a(g222 +V" +tp1542 +a(g222 +Vcompiler-intern error in codegenAddGlobalVariable(). +p1543 +tp1544 +a(g248 +V\u005cn +p1545 +tp1546 +a(g222 +V" +tp1547 +a(g198 +V) +tp1548 +a(g198 +V; +tp1549 +a(g185 +V\u000a +tp1550 +a(g185 +V +p1551 +tp1552 +a(g18 +VstringBufferAppend +p1553 +tp1554 +a(g198 +V( +tp1555 +a(g18 +VstaticVariableBuffer +p1556 +tp1557 +a(g198 +V, +tp1558 +a(g185 +V +tp1559 +a(g18 +VtempString +p1560 +tp1561 +a(g198 +V) +tp1562 +a(g198 +V; +tp1563 +a(g185 +V\u000a +tp1564 +a(g185 +V +tp1565 +a(g198 +V} +tp1566 +a(g185 +V\u000a +tp1567 +a(g185 +V +tp1568 +a(g111 +Velse +p1569 +tp1570 +a(g185 +V +tp1571 +a(g198 +V{ +tp1572 +a(g185 +V\u000a +tp1573 +a(g185 +V +p1574 +tp1575 +a(g18 +VcurrentMethodNumberOfLocals +p1576 +tp1577 +a(g340 +V+ +tp1578 +a(g340 +V+ +tp1579 +a(g198 +V; +tp1580 +a(g185 +V\u000a +tp1581 +a(g185 +V +tp1582 +a(g198 +V} +tp1583 +a(g185 +V\u000a +tp1584 +a(g198 +V} +tp1585 +a(g185 +V\u000a +tp1586 +a(g185 +V\u000a +tp1587 +a(g185 +V +tp1588 +a(g135 +Vint +p1589 +tp1590 +a(g185 +V +tp1591 +a(g21 +VcodegenGetNextLabel +p1592 +tp1593 +a(g185 +V +tp1594 +a(g198 +V( +tp1595 +a(g198 +V) +tp1596 +a(g185 +V +tp1597 +a(g185 +V +tp1598 +a(g198 +V{ +tp1599 +a(g185 +V\u000a +tp1600 +a(g185 +V +tp1601 +a(g111 +Vreturn +p1602 +tp1603 +a(g185 +V +tp1604 +a(g18 +VlabelCounter +p1605 +tp1606 +a(g340 +V+ +tp1607 +a(g340 +V+ +tp1608 +a(g198 +V; +tp1609 +a(g185 +V\u000a +tp1610 +a(g198 +V} +tp1611 +a(g185 +V\u000a +tp1612 +a(g185 +V\u000a +tp1613 +a(g185 +V +tp1614 +a(g135 +Vint +p1615 +tp1616 +a(g185 +V +tp1617 +a(g21 +VcodegenGetCurrentAddress +p1618 +tp1619 +a(g185 +V +tp1620 +a(g198 +V( +tp1621 +a(g198 +V) +tp1622 +a(g185 +V +tp1623 +a(g185 +V +tp1624 +a(g198 +V{ +tp1625 +a(g185 +V\u000a +tp1626 +a(g185 +V +tp1627 +a(g111 +Vif +p1628 +tp1629 +a(g185 +V +tp1630 +a(g198 +V( +tp1631 +a(g18 +Vglobal +p1632 +tp1633 +a(g198 +V) +tp1634 +a(g185 +V +tp1635 +a(g111 +Vreturn +p1636 +tp1637 +a(g185 +V +tp1638 +a(g18 +VclassInitBuffer +p1639 +tp1640 +a(g340 +V- +tp1641 +a(g340 +V> +tp1642 +a(g18 +VnumberOfNextElement +p1643 +tp1644 +a(g198 +V; +tp1645 +a(g185 +V\u000a +tp1646 +a(g185 +V +tp1647 +a(g111 +Velse +p1648 +tp1649 +a(g185 +V +tp1650 +a(g111 +Vreturn +p1651 +tp1652 +a(g185 +V +tp1653 +a(g18 +VcurrentMethodBuffer +p1654 +tp1655 +a(g340 +V- +tp1656 +a(g340 +V> +tp1657 +a(g18 +VnumberOfNextElement +p1658 +tp1659 +a(g198 +V; +tp1660 +a(g185 +V\u000a +tp1661 +a(g198 +V} +tp1662 +a(g185 +V\u000a +tp1663 +a(g185 +V\u000a +tp1664 +a(g185 +V +tp1665 +a(g135 +Vvoid +p1666 +tp1667 +a(g185 +V +tp1668 +a(g21 +VcodegenEnterFunction +p1669 +tp1670 +a(g185 +V +tp1671 +a(g198 +V( +tp1672 +a(g18 +VsymtabEntry +p1673 +tp1674 +a(g340 +V* +tp1675 +a(g185 +V +tp1676 +a(g18 +Ventry +p1677 +tp1678 +a(g198 +V) +tp1679 +a(g185 +V +tp1680 +a(g185 +V +tp1681 +a(g198 +V{ +tp1682 +a(g185 +V\u000a +tp1683 +a(g185 +V +tp1684 +a(g18 +VcurrentMethodBuffer +p1685 +tp1686 +a(g185 +V +tp1687 +a(g340 +V= +tp1688 +a(g185 +V +tp1689 +a(g18 +VnewStringBuffer +p1690 +tp1691 +a(g198 +V( +tp1692 +a(g198 +V) +tp1693 +a(g198 +V; +tp1694 +a(g185 +V\u000a +tp1695 +a(g185 +V +tp1696 +a(g18 +VcurrentMethodStackSize +p1697 +tp1698 +a(g185 +V +tp1699 +a(g340 +V= +tp1700 +a(g185 +V +tp1701 +a(g315 +V0 +tp1702 +a(g198 +V; +tp1703 +a(g185 +V\u000a +tp1704 +a(g185 +V +tp1705 +a(g18 +VcurrentMethodStackSizeMax +p1706 +tp1707 +a(g185 +V +tp1708 +a(g340 +V= +tp1709 +a(g185 +V +tp1710 +a(g315 +V0 +tp1711 +a(g198 +V; +tp1712 +a(g185 +V\u000a +tp1713 +a(g185 +V +tp1714 +a(g18 +VlabelCounter +p1715 +tp1716 +a(g185 +V +tp1717 +a(g340 +V= +tp1718 +a(g185 +V +tp1719 +a(g315 +V1 +tp1720 +a(g198 +V; +tp1721 +a(g185 +V\u000a +tp1722 +a(g185 +V +tp1723 +a(g18 +Vglobal +p1724 +tp1725 +a(g185 +V +tp1726 +a(g340 +V= +tp1727 +a(g185 +V +tp1728 +a(g315 +V0 +tp1729 +a(g198 +V; +tp1730 +a(g185 +V\u000a +tp1731 +a(g185 +V \u000a +p1732 +tp1733 +a(g111 +Vif +p1734 +tp1735 +a(g185 +V +tp1736 +a(g198 +V( +tp1737 +a(g18 +Vstrcmp +p1738 +tp1739 +a(g198 +V( +tp1740 +a(g18 +Ventry +p1741 +tp1742 +a(g340 +V- +tp1743 +a(g340 +V> +tp1744 +a(g18 +Vname +p1745 +tp1746 +a(g198 +V, +tp1747 +a(g185 +V +tp1748 +a(g222 +V" +tp1749 +a(g222 +Vmain +p1750 +tp1751 +a(g222 +V" +tp1752 +a(g198 +V) +tp1753 +a(g185 +V +tp1754 +a(g340 +V= +tp1755 +a(g340 +V= +tp1756 +a(g185 +V +tp1757 +a(g315 +V0 +tp1758 +a(g198 +V) +tp1759 +a(g185 +V +tp1760 +a(g198 +V{ +tp1761 +a(g185 +V\u000a +tp1762 +a(g185 +V +p1763 +tp1764 +a(g111 +Vif +p1765 +tp1766 +a(g185 +V +tp1767 +a(g198 +V( +tp1768 +a(g18 +Ventry +p1769 +tp1770 +a(g340 +V- +tp1771 +a(g340 +V> +tp1772 +a(g18 +Vidtype +p1773 +tp1774 +a(g185 +V +tp1775 +a(g340 +V! +tp1776 +a(g340 +V= +tp1777 +a(g185 +V +tp1778 +a(g18 +VTYPE_VOID +p1779 +tp1780 +a(g198 +V) +tp1781 +a(g185 +V +tp1782 +a(g18 +Vyyerror +p1783 +tp1784 +a(g198 +V( +tp1785 +a(g222 +V" +tp1786 +a(g222 +Vmain has to be void. +p1787 +tp1788 +a(g248 +V\u005cn +p1789 +tp1790 +a(g222 +V" +tp1791 +a(g198 +V) +tp1792 +a(g198 +V; +tp1793 +a(g185 +V\u000a +tp1794 +a(g185 +V +p1795 +tp1796 +a(g18 +VcurrentMethodNumberOfLocals +p1797 +tp1798 +a(g185 +V +tp1799 +a(g340 +V= +tp1800 +a(g185 +V +tp1801 +a(g315 +V1 +tp1802 +a(g198 +V; +tp1803 +a(g185 +V\u000a +tp1804 +a(g185 +V +p1805 +tp1806 +a(g18 +VsymtabInsert +p1807 +tp1808 +a(g198 +V( +tp1809 +a(g18 +Vstrdup +p1810 +tp1811 +a(g198 +V( +tp1812 +a(g222 +V" +tp1813 +a(g222 +V#main-param# +p1814 +tp1815 +a(g222 +V" +tp1816 +a(g198 +V) +tp1817 +a(g198 +V, +tp1818 +a(g185 +V +tp1819 +a(g18 +VTYPE_VOID +p1820 +tp1821 +a(g198 +V, +tp1822 +a(g185 +V +tp1823 +a(g18 +VCLASS_FUNC +p1824 +tp1825 +a(g198 +V) +tp1826 +a(g198 +V; +tp1827 +a(g185 +V\u000a +tp1828 +a(g185 +V +p1829 +tp1830 +a(g18 +VstringBufferAppend +p1831 +tp1832 +a(g198 +V( +tp1833 +a(g18 +VcurrentMethodBuffer +p1834 +tp1835 +a(g198 +V, +tp1836 +a(g185 +V +tp1837 +a(g222 +V" +tp1838 +a(g222 +V; ------- Methode ---- void main() -------------------------- +p1839 +tp1840 +a(g222 +V" +tp1841 +a(g198 +V) +tp1842 +a(g198 +V; +tp1843 +a(g185 +V\u000a +tp1844 +a(g185 +V +p1845 +tp1846 +a(g18 +VstringBufferAppend +p1847 +tp1848 +a(g198 +V( +tp1849 +a(g18 +VcurrentMethodBuffer +p1850 +tp1851 +a(g198 +V, +tp1852 +a(g185 +V +tp1853 +a(g222 +V" +tp1854 +a(g222 +V.method public static main([Ljava/lang/String;)V +p1855 +tp1856 +a(g222 +V" +tp1857 +a(g198 +V) +tp1858 +a(g198 +V; +tp1859 +a(g185 +V\u000a +tp1860 +a(g185 +V +tp1861 +a(g198 +V} +tp1862 +a(g185 +V\u000a +tp1863 +a(g185 +V +tp1864 +a(g111 +Velse +p1865 +tp1866 +a(g185 +V +tp1867 +a(g198 +V{ +tp1868 +a(g185 +V\u000a +tp1869 +a(g185 +V +p1870 +tp1871 +a(g135 +Vint +p1872 +tp1873 +a(g185 +V +tp1874 +a(g18 +Vi +tp1875 +a(g198 +V; +tp1876 +a(g185 +V\u000a +tp1877 +a(g185 +V +p1878 +tp1879 +a(g18 +VcurrentMethodNumberOfLocals +p1880 +tp1881 +a(g185 +V +tp1882 +a(g340 +V= +tp1883 +a(g185 +V +tp1884 +a(g18 +Ventry +p1885 +tp1886 +a(g340 +V- +tp1887 +a(g340 +V> +tp1888 +a(g18 +VparamIndex +p1889 +tp1890 +a(g198 +V; +tp1891 +a(g185 +V\u000a +tp1892 +a(g185 +V +p1893 +tp1894 +a(g18 +VstringBufferAppend +p1895 +tp1896 +a(g198 +V( +tp1897 +a(g18 +VcurrentMethodBuffer +p1898 +tp1899 +a(g198 +V, +tp1900 +a(g185 +V +tp1901 +a(g222 +V" +tp1902 +a(g222 +V; ------- Methode ------------------------------------------- +p1903 +tp1904 +a(g222 +V" +tp1905 +a(g198 +V) +tp1906 +a(g198 +V; +tp1907 +a(g185 +V\u000a +tp1908 +a(g185 +V +p1909 +tp1910 +a(g18 +Vsprintf +p1911 +tp1912 +a(g198 +V( +tp1913 +a(g18 +VtempString +p1914 +tp1915 +a(g198 +V, +tp1916 +a(g185 +V +tp1917 +a(g222 +V" +tp1918 +a(g222 +V.method public static %s( +p1919 +tp1920 +a(g222 +V" +tp1921 +a(g198 +V, +tp1922 +a(g185 +V +tp1923 +a(g18 +Ventry +p1924 +tp1925 +a(g340 +V- +tp1926 +a(g340 +V> +tp1927 +a(g18 +Vname +p1928 +tp1929 +a(g198 +V) +tp1930 +a(g198 +V; +tp1931 +a(g185 +V\u000a +tp1932 +a(g185 +V +p1933 +tp1934 +a(g111 +Vfor +p1935 +tp1936 +a(g185 +V +tp1937 +a(g198 +V( +tp1938 +a(g18 +Vi +tp1939 +a(g340 +V= +tp1940 +a(g18 +Ventry +p1941 +tp1942 +a(g340 +V- +tp1943 +a(g340 +V> +tp1944 +a(g18 +VparamIndex +p1945 +tp1946 +a(g340 +V- +tp1947 +a(g315 +V1 +tp1948 +a(g198 +V; +tp1949 +a(g185 +V +tp1950 +a(g18 +Vi +tp1951 +a(g340 +V> +tp1952 +a(g340 +V= +tp1953 +a(g315 +V0 +tp1954 +a(g198 +V; +tp1955 +a(g185 +V +tp1956 +a(g18 +Vi +tp1957 +a(g340 +V- +tp1958 +a(g340 +V- +tp1959 +a(g198 +V) +tp1960 +a(g185 +V +tp1961 +a(g198 +V{ +tp1962 +a(g185 +V\u000a +tp1963 +a(g185 +V +p1964 +tp1965 +a(g135 +Vint +p1966 +tp1967 +a(g185 +V +tp1968 +a(g18 +Vtype +p1969 +tp1970 +a(g185 +V +tp1971 +a(g340 +V= +tp1972 +a(g185 +V +tp1973 +a(g18 +Ventry +p1974 +tp1975 +a(g340 +V- +tp1976 +a(g340 +V> +tp1977 +a(g18 +Vparams +p1978 +tp1979 +a(g198 +V[ +tp1980 +a(g18 +Vi +tp1981 +a(g198 +V] +tp1982 +a(g340 +V- +tp1983 +a(g340 +V> +tp1984 +a(g18 +Vidtype +p1985 +tp1986 +a(g198 +V; +tp1987 +a(g185 +V\u000a +tp1988 +a(g185 +V +p1989 +tp1990 +a(g18 +VtempString +p1991 +tp1992 +a(g198 +V[ +tp1993 +a(g18 +Vstrlen +p1994 +tp1995 +a(g198 +V( +tp1996 +a(g18 +VtempString +p1997 +tp1998 +a(g198 +V) +tp1999 +a(g340 +V+ +tp2000 +a(g315 +V1 +tp2001 +a(g198 +V] +tp2002 +a(g185 +V +tp2003 +a(g340 +V= +tp2004 +a(g185 +V +tp2005 +a(g315 +V0 +tp2006 +a(g198 +V; +tp2007 +a(g185 +V\u000a +tp2008 +a(g185 +V +p2009 +tp2010 +a(g18 +VtempString +p2011 +tp2012 +a(g198 +V[ +tp2013 +a(g18 +Vstrlen +p2014 +tp2015 +a(g198 +V( +tp2016 +a(g18 +VtempString +p2017 +tp2018 +a(g198 +V) +tp2019 +a(g198 +V] +tp2020 +a(g185 +V +tp2021 +a(g340 +V= +tp2022 +a(g185 +V +tp2023 +a(g18 +VconvertType +p2024 +tp2025 +a(g198 +V( +tp2026 +a(g18 +Vtype +p2027 +tp2028 +a(g198 +V) +tp2029 +a(g198 +V; +tp2030 +a(g185 +V\u000a +tp2031 +a(g185 +V +p2032 +tp2033 +a(g198 +V} +tp2034 +a(g185 +V\u000a +tp2035 +a(g185 +V +p2036 +tp2037 +a(g18 +VtempString +p2038 +tp2039 +a(g198 +V[ +tp2040 +a(g18 +Vstrlen +p2041 +tp2042 +a(g198 +V( +tp2043 +a(g18 +VtempString +p2044 +tp2045 +a(g198 +V) +tp2046 +a(g340 +V+ +tp2047 +a(g315 +V2 +tp2048 +a(g198 +V] +tp2049 +a(g185 +V +tp2050 +a(g340 +V= +tp2051 +a(g185 +V +tp2052 +a(g315 +V0 +tp2053 +a(g198 +V; +tp2054 +a(g185 +V\u000a +tp2055 +a(g185 +V +p2056 +tp2057 +a(g18 +VtempString +p2058 +tp2059 +a(g198 +V[ +tp2060 +a(g18 +Vstrlen +p2061 +tp2062 +a(g198 +V( +tp2063 +a(g18 +VtempString +p2064 +tp2065 +a(g198 +V) +tp2066 +a(g340 +V+ +tp2067 +a(g315 +V1 +tp2068 +a(g198 +V] +tp2069 +a(g185 +V +tp2070 +a(g340 +V= +tp2071 +a(g185 +V +tp2072 +a(g18 +VconvertType +p2073 +tp2074 +a(g198 +V( +tp2075 +a(g18 +Ventry +p2076 +tp2077 +a(g340 +V- +tp2078 +a(g340 +V> +tp2079 +a(g18 +Vidtype +p2080 +tp2081 +a(g198 +V) +tp2082 +a(g198 +V; +tp2083 +a(g185 +V\u000a +tp2084 +a(g185 +V +p2085 +tp2086 +a(g18 +VtempString +p2087 +tp2088 +a(g198 +V[ +tp2089 +a(g18 +Vstrlen +p2090 +tp2091 +a(g198 +V( +tp2092 +a(g18 +VtempString +p2093 +tp2094 +a(g198 +V) +tp2095 +a(g198 +V] +tp2096 +a(g185 +V +p2097 +tp2098 +a(g340 +V= +tp2099 +a(g185 +V +tp2100 +a(g264 +V')' +p2101 +tp2102 +a(g198 +V; +tp2103 +a(g185 +V\u000a +tp2104 +a(g185 +V +p2105 +tp2106 +a(g18 +VstringBufferAppend +p2107 +tp2108 +a(g198 +V( +tp2109 +a(g18 +VcurrentMethodBuffer +p2110 +tp2111 +a(g198 +V, +tp2112 +a(g185 +V +tp2113 +a(g18 +VtempString +p2114 +tp2115 +a(g198 +V) +tp2116 +a(g198 +V; +tp2117 +a(g185 +V\u000a +tp2118 +a(g185 +V +tp2119 +a(g198 +V} +tp2120 +a(g185 +V\u000a +tp2121 +a(g185 +V +tp2122 +a(g18 +VcurrentMethodBufferIndex +p2123 +tp2124 +a(g185 +V +tp2125 +a(g340 +V= +tp2126 +a(g185 +V +tp2127 +a(g18 +VcurrentMethodBuffer +p2128 +tp2129 +a(g340 +V- +tp2130 +a(g340 +V> +tp2131 +a(g18 +VnumberOfNextElement +p2132 +tp2133 +a(g198 +V; +tp2134 +a(g185 +V\u000a +tp2135 +a(g198 +V} +tp2136 +a(g185 +V\u000a +tp2137 +a(g185 +V\u000a +tp2138 +a(g185 +V +tp2139 +a(g135 +Vvoid +p2140 +tp2141 +a(g185 +V +tp2142 +a(g21 +VcodegenLeaveFunction +p2143 +tp2144 +a(g185 +V +tp2145 +a(g198 +V( +tp2146 +a(g198 +V) +tp2147 +a(g185 +V +tp2148 +a(g185 +V +tp2149 +a(g198 +V{ +tp2150 +a(g185 +V\u000a +tp2151 +a(g185 +V +tp2152 +a(g18 +Vglobal +p2153 +tp2154 +a(g185 +V +tp2155 +a(g340 +V= +tp2156 +a(g185 +V +tp2157 +a(g315 +V1 +tp2158 +a(g198 +V; +tp2159 +a(g185 +V\u000a +tp2160 +a(g185 +V +tp2161 +a(g18 +Vsprintf +p2162 +tp2163 +a(g198 +V( +tp2164 +a(g18 +VtempString +p2165 +tp2166 +a(g198 +V, +tp2167 +a(g185 +V +tp2168 +a(g222 +V" +tp2169 +a(g248 +V\u005ct +p2170 +tp2171 +a(g222 +V.limit locals %d +p2172 +tp2173 +a(g222 +V" +tp2174 +a(g198 +V, +tp2175 +a(g185 +V +tp2176 +a(g18 +VcurrentMethodNumberOfLocals +p2177 +tp2178 +a(g198 +V) +tp2179 +a(g198 +V; +tp2180 +a(g185 +V\u000a +tp2181 +a(g185 +V +tp2182 +a(g18 +VstringBufferInsert +p2183 +tp2184 +a(g198 +V( +tp2185 +a(g18 +VcurrentMethodBuffer +p2186 +tp2187 +a(g198 +V, +tp2188 +a(g185 +V +tp2189 +a(g18 +VcurrentMethodBufferIndex +p2190 +tp2191 +a(g198 +V, +tp2192 +a(g185 +V +tp2193 +a(g18 +VtempString +p2194 +tp2195 +a(g198 +V) +tp2196 +a(g198 +V; +tp2197 +a(g185 +V\u000a +tp2198 +a(g185 +V +tp2199 +a(g18 +Vsprintf +p2200 +tp2201 +a(g198 +V( +tp2202 +a(g18 +VtempString +p2203 +tp2204 +a(g198 +V, +tp2205 +a(g185 +V +tp2206 +a(g222 +V" +tp2207 +a(g248 +V\u005ct +p2208 +tp2209 +a(g222 +V.limit stack %d +p2210 +tp2211 +a(g222 +V" +tp2212 +a(g198 +V, +tp2213 +a(g185 +V +tp2214 +a(g18 +VcurrentMethodStackSizeMax +p2215 +tp2216 +a(g198 +V) +tp2217 +a(g198 +V; +tp2218 +a(g185 +V\u000a +tp2219 +a(g185 +V +tp2220 +a(g18 +VstringBufferInsert +p2221 +tp2222 +a(g198 +V( +tp2223 +a(g18 +VcurrentMethodBuffer +p2224 +tp2225 +a(g198 +V, +tp2226 +a(g185 +V +tp2227 +a(g18 +VcurrentMethodBufferIndex +p2228 +tp2229 +a(g198 +V, +tp2230 +a(g185 +V +tp2231 +a(g18 +VtempString +p2232 +tp2233 +a(g198 +V) +tp2234 +a(g198 +V; +tp2235 +a(g185 +V\u000a +tp2236 +a(g185 +V +tp2237 +a(g18 +VstringBufferAppend +p2238 +tp2239 +a(g198 +V( +tp2240 +a(g18 +VcurrentMethodBuffer +p2241 +tp2242 +a(g198 +V, +tp2243 +a(g185 +V +tp2244 +a(g222 +V" +tp2245 +a(g248 +V\u005ct +p2246 +tp2247 +a(g222 +Vreturn +p2248 +tp2249 +a(g222 +V" +tp2250 +a(g198 +V) +tp2251 +a(g198 +V; +tp2252 +a(g185 +V\u000a +tp2253 +a(g185 +V +tp2254 +a(g18 +VstringBufferAppend +p2255 +tp2256 +a(g198 +V( +tp2257 +a(g18 +VcurrentMethodBuffer +p2258 +tp2259 +a(g198 +V, +tp2260 +a(g185 +V +tp2261 +a(g222 +V" +tp2262 +a(g222 +V.end method +p2263 +tp2264 +a(g222 +V" +tp2265 +a(g198 +V) +tp2266 +a(g198 +V; +tp2267 +a(g185 +V\u000a +tp2268 +a(g185 +V +tp2269 +a(g18 +VstringBufferAppend +p2270 +tp2271 +a(g198 +V( +tp2272 +a(g18 +VcurrentMethodBuffer +p2273 +tp2274 +a(g198 +V, +tp2275 +a(g185 +V +tp2276 +a(g222 +V" +tp2277 +a(g222 +V; ----------------------------------------------------------- +p2278 +tp2279 +a(g222 +V" +tp2280 +a(g198 +V) +tp2281 +a(g198 +V; +tp2282 +a(g185 +V\u000a +tp2283 +a(g185 +V +tp2284 +a(g18 +VstringBufferAppend +p2285 +tp2286 +a(g198 +V( +tp2287 +a(g18 +VcurrentMethodBuffer +p2288 +tp2289 +a(g198 +V, +tp2290 +a(g185 +V +tp2291 +a(g222 +V" +tp2292 +a(g222 +V" +tp2293 +a(g198 +V) +tp2294 +a(g198 +V; +tp2295 +a(g185 +V\u000a +tp2296 +a(g185 +V\u000a +tp2297 +a(g185 +V +tp2298 +a(g18 +VstringBufferConcatenate +p2299 +tp2300 +a(g198 +V( +tp2301 +a(g18 +VfinishedMethodsBuffer +p2302 +tp2303 +a(g198 +V, +tp2304 +a(g185 +V +tp2305 +a(g18 +VcurrentMethodBuffer +p2306 +tp2307 +a(g198 +V) +tp2308 +a(g198 +V; +tp2309 +a(g185 +V\u000a +tp2310 +a(g198 +V} +tp2311 +a(g185 +V\u000a +tp2312 +a(g185 +V\u000a +tp2313 +a(g185 +V\u000a +tp2314 +a(g185 +V\u000a +tp2315 +a(g185 +V +tp2316 +a(g135 +Vvoid +p2317 +tp2318 +a(g185 +V +tp2319 +a(g21 +VcodegenFinishCode +p2320 +tp2321 +a(g185 +V +tp2322 +a(g198 +V( +tp2323 +a(g198 +V) +tp2324 +a(g185 +V +tp2325 +a(g185 +V +tp2326 +a(g198 +V{ +tp2327 +a(g185 +V\u000a +tp2328 +a(g185 +V +tp2329 +a(g18 +VstringBufferAppend +p2330 +tp2331 +a(g198 +V( +tp2332 +a(g18 +VstaticVariableBuffer +p2333 +tp2334 +a(g198 +V, +tp2335 +a(g185 +V +tp2336 +a(g222 +V" +tp2337 +a(g222 +V; ----------------------------------------------------------- +p2338 +tp2339 +a(g222 +V" +tp2340 +a(g198 +V) +tp2341 +a(g198 +V; +tp2342 +a(g185 +V\u000a +tp2343 +a(g185 +V +tp2344 +a(g18 +VstringBufferAppend +p2345 +tp2346 +a(g198 +V( +tp2347 +a(g18 +VstaticVariableBuffer +p2348 +tp2349 +a(g198 +V, +tp2350 +a(g185 +V +tp2351 +a(g222 +V" +tp2352 +a(g222 +V" +tp2353 +a(g198 +V) +tp2354 +a(g198 +V; +tp2355 +a(g185 +V\u000a +tp2356 +a(g185 +V\u000a +tp2357 +a(g185 +V +tp2358 +a(g18 +Vsprintf +p2359 +tp2360 +a(g198 +V( +tp2361 +a(g18 +VtempString +p2362 +tp2363 +a(g198 +V, +tp2364 +a(g185 +V +tp2365 +a(g222 +V" +tp2366 +a(g248 +V\u005ct +p2367 +tp2368 +a(g222 +V.limit stack %d +p2369 +tp2370 +a(g222 +V" +tp2371 +a(g198 +V, +tp2372 +a(g185 +V +tp2373 +a(g18 +VclassInitStackSizeMax +p2374 +tp2375 +a(g198 +V) +tp2376 +a(g198 +V; +tp2377 +a(g185 +V\u000a +tp2378 +a(g185 +V +tp2379 +a(g18 +VstringBufferInsert +p2380 +tp2381 +a(g198 +V( +tp2382 +a(g18 +VclassInitBuffer +p2383 +tp2384 +a(g198 +V, +tp2385 +a(g185 +V +tp2386 +a(g18 +VclassInitBufferIndex +p2387 +tp2388 +a(g198 +V, +tp2389 +a(g185 +V +tp2390 +a(g18 +VtempString +p2391 +tp2392 +a(g198 +V) +tp2393 +a(g198 +V; +tp2394 +a(g185 +V\u000a +tp2395 +a(g185 +V +tp2396 +a(g18 +VstringBufferAppend +p2397 +tp2398 +a(g198 +V( +tp2399 +a(g18 +VclassInitBuffer +p2400 +tp2401 +a(g198 +V, +tp2402 +a(g185 +V +tp2403 +a(g222 +V" +tp2404 +a(g248 +V\u005ct +p2405 +tp2406 +a(g222 +Vreturn +p2407 +tp2408 +a(g222 +V" +tp2409 +a(g198 +V) +tp2410 +a(g198 +V; +tp2411 +a(g185 +V\u000a +tp2412 +a(g185 +V +tp2413 +a(g18 +VstringBufferAppend +p2414 +tp2415 +a(g198 +V( +tp2416 +a(g18 +VclassInitBuffer +p2417 +tp2418 +a(g198 +V, +tp2419 +a(g185 +V +tp2420 +a(g222 +V" +tp2421 +a(g222 +V.end method +p2422 +tp2423 +a(g222 +V" +tp2424 +a(g198 +V) +tp2425 +a(g198 +V; +tp2426 +a(g185 +V\u000a +tp2427 +a(g185 +V +tp2428 +a(g18 +VstringBufferAppend +p2429 +tp2430 +a(g198 +V( +tp2431 +a(g18 +VclassInitBuffer +p2432 +tp2433 +a(g198 +V, +tp2434 +a(g185 +V +tp2435 +a(g222 +V" +tp2436 +a(g222 +V; ----------------------------------------------------------- +p2437 +tp2438 +a(g222 +V" +tp2439 +a(g198 +V) +tp2440 +a(g198 +V; +tp2441 +a(g185 +V\u000a +tp2442 +a(g185 +V \u000a +p2443 +tp2444 +a(g18 +VstringBufferConcatenate +p2445 +tp2446 +a(g198 +V( +tp2447 +a(g18 +VmainBuffer +p2448 +tp2449 +a(g198 +V, +tp2450 +a(g185 +V +tp2451 +a(g18 +VstaticVariableBuffer +p2452 +tp2453 +a(g198 +V) +tp2454 +a(g198 +V; +tp2455 +a(g185 +V\u000a +tp2456 +a(g185 +V +tp2457 +a(g18 +VstringBufferConcatenate +p2458 +tp2459 +a(g198 +V( +tp2460 +a(g18 +VmainBuffer +p2461 +tp2462 +a(g198 +V, +tp2463 +a(g185 +V +tp2464 +a(g18 +VfinishedMethodsBuffer +p2465 +tp2466 +a(g198 +V) +tp2467 +a(g198 +V; +tp2468 +a(g185 +V\u000a +tp2469 +a(g185 +V +tp2470 +a(g18 +VstringBufferConcatenate +p2471 +tp2472 +a(g198 +V( +tp2473 +a(g18 +VmainBuffer +p2474 +tp2475 +a(g198 +V, +tp2476 +a(g185 +V +tp2477 +a(g18 +VclassInitBuffer +p2478 +tp2479 +a(g198 +V) +tp2480 +a(g198 +V; +tp2481 +a(g185 +V\u000a +tp2482 +a(g185 +V\u000a +tp2483 +a(g185 +V +tp2484 +a(g18 +VstringBufferPrint +p2485 +tp2486 +a(g198 +V( +tp2487 +a(g18 +VmainBuffer +p2488 +tp2489 +a(g198 +V) +tp2490 +a(g198 +V; +tp2491 +a(g185 +V\u000a +tp2492 +a(g198 +V} +tp2493 +a(g185 +V\u000a +tp2494 +a(g185 +V\u000a +tp2495 +a(g185 +V +tp2496 +a(g111 +Vstatic +p2497 +tp2498 +a(g185 +V +tp2499 +a(g135 +Vvoid +p2500 +tp2501 +a(g185 +V +tp2502 +a(g21 +VincreaseStackby +p2503 +tp2504 +a(g185 +V +tp2505 +a(g198 +V( +tp2506 +a(g135 +Vint +p2507 +tp2508 +a(g185 +V +tp2509 +a(g18 +Vstackdiff +p2510 +tp2511 +a(g198 +V) +tp2512 +a(g185 +V +tp2513 +a(g185 +V +tp2514 +a(g198 +V{ +tp2515 +a(g185 +V\u000a +tp2516 +a(g185 +V +tp2517 +a(g111 +Vif +p2518 +tp2519 +a(g185 +V +tp2520 +a(g198 +V( +tp2521 +a(g18 +Vglobal +p2522 +tp2523 +a(g198 +V) +tp2524 +a(g185 +V +tp2525 +a(g198 +V{ +tp2526 +a(g185 +V\u000a +tp2527 +a(g185 +V +p2528 +tp2529 +a(g18 +VclassInitStackSize +p2530 +tp2531 +a(g185 +V +tp2532 +a(g340 +V+ +tp2533 +a(g340 +V= +tp2534 +a(g185 +V +tp2535 +a(g18 +Vstackdiff +p2536 +tp2537 +a(g198 +V; +tp2538 +a(g185 +V\u000a +tp2539 +a(g185 +V +p2540 +tp2541 +a(g111 +Vif +p2542 +tp2543 +a(g185 +V +tp2544 +a(g198 +V( +tp2545 +a(g18 +VclassInitStackSize +p2546 +tp2547 +a(g185 +V +tp2548 +a(g340 +V> +tp2549 +a(g185 +V +tp2550 +a(g18 +VclassInitStackSizeMax +p2551 +tp2552 +a(g198 +V) +tp2553 +a(g185 +V +tp2554 +a(g18 +VclassInitStackSizeMax +p2555 +tp2556 +a(g185 +V +tp2557 +a(g340 +V= +tp2558 +a(g185 +V +tp2559 +a(g18 +VclassInitStackSize +p2560 +tp2561 +a(g198 +V; +tp2562 +a(g185 +V\u000a +tp2563 +a(g185 +V +tp2564 +a(g198 +V} +tp2565 +a(g185 +V\u000a +tp2566 +a(g185 +V +tp2567 +a(g111 +Velse +p2568 +tp2569 +a(g185 +V +tp2570 +a(g198 +V{ +tp2571 +a(g185 +V\u000a +tp2572 +a(g185 +V +p2573 +tp2574 +a(g18 +VcurrentMethodStackSize +p2575 +tp2576 +a(g185 +V +tp2577 +a(g340 +V+ +tp2578 +a(g340 +V= +tp2579 +a(g185 +V +tp2580 +a(g18 +Vstackdiff +p2581 +tp2582 +a(g198 +V; +tp2583 +a(g185 +V\u000a +tp2584 +a(g185 +V +p2585 +tp2586 +a(g111 +Vif +p2587 +tp2588 +a(g185 +V +tp2589 +a(g198 +V( +tp2590 +a(g18 +VcurrentMethodStackSize +p2591 +tp2592 +a(g185 +V +tp2593 +a(g340 +V> +tp2594 +a(g185 +V +tp2595 +a(g18 +VcurrentMethodStackSizeMax +p2596 +tp2597 +a(g198 +V) +tp2598 +a(g185 +V +tp2599 +a(g18 +VcurrentMethodStackSizeMax +p2600 +tp2601 +a(g185 +V +tp2602 +a(g340 +V= +tp2603 +a(g185 +V +tp2604 +a(g18 +VcurrentMethodStackSize +p2605 +tp2606 +a(g198 +V; +tp2607 +a(g185 +V\u000a +tp2608 +a(g185 +V +tp2609 +a(g198 +V} +tp2610 +a(g185 +V\u000a +tp2611 +a(g198 +V} +tp2612 +a(g185 +V\u000a +tp2613 +a(g185 +V\u000a +tp2614 +a(g185 +V +tp2615 +a(g135 +Vchar +p2616 +tp2617 +a(g185 +V +tp2618 +a(g21 +VconvertType +p2619 +tp2620 +a(g185 +V +tp2621 +a(g198 +V( +tp2622 +a(g135 +Vint +p2623 +tp2624 +a(g185 +V +tp2625 +a(g18 +Vtype +p2626 +tp2627 +a(g198 +V) +tp2628 +a(g185 +V +tp2629 +a(g185 +V +tp2630 +a(g198 +V{ +tp2631 +a(g185 +V\u000a +tp2632 +a(g185 +V +tp2633 +a(g111 +Vswitch +p2634 +tp2635 +a(g198 +V( +tp2636 +a(g18 +Vtype +p2637 +tp2638 +a(g198 +V) +tp2639 +a(g185 +V +tp2640 +a(g198 +V{ +tp2641 +a(g185 +V\u000a +tp2642 +a(g185 +V +p2643 +tp2644 +a(g111 +Vcase +p2645 +tp2646 +a(g185 +V +tp2647 +a(g185 +V +tp2648 +a(g18 +VTYPE_VOID +p2649 +tp2650 +a(g185 +V: +tp2651 +a(g185 +V +p2652 +tp2653 +a(g111 +Vreturn +p2654 +tp2655 +a(g185 +V +tp2656 +a(g264 +V'V' +p2657 +tp2658 +a(g198 +V; +tp2659 +a(g185 +V\u000a +tp2660 +a(g185 +V +p2661 +tp2662 +a(g111 +Vcase +p2663 +tp2664 +a(g185 +V +tp2665 +a(g185 +V +tp2666 +a(g18 +VTYPE_INT +p2667 +tp2668 +a(g185 +V: +tp2669 +a(g185 +V +p2670 +tp2671 +a(g111 +Vreturn +p2672 +tp2673 +a(g185 +V +tp2674 +a(g264 +V'I' +p2675 +tp2676 +a(g198 +V; +tp2677 +a(g185 +V\u000a +tp2678 +a(g185 +V +p2679 +tp2680 +a(g111 +Vcase +p2681 +tp2682 +a(g185 +V +tp2683 +a(g185 +V +tp2684 +a(g18 +VTYPE_FLOAT +p2685 +tp2686 +a(g185 +V: +tp2687 +a(g185 +V +p2688 +tp2689 +a(g111 +Vreturn +p2690 +tp2691 +a(g185 +V +tp2692 +a(g264 +V'F' +p2693 +tp2694 +a(g198 +V; +tp2695 +a(g185 +V\u000a +tp2696 +a(g185 +V +p2697 +tp2698 +a(g111 +Vcase +p2699 +tp2700 +a(g185 +V +tp2701 +a(g185 +V +tp2702 +a(g18 +VTYPE_BOOLEAN +p2703 +tp2704 +a(g185 +V: +tp2705 +a(g185 +V +tp2706 +a(g111 +Vreturn +p2707 +tp2708 +a(g185 +V +tp2709 +a(g264 +V'Z' +p2710 +tp2711 +a(g198 +V; +tp2712 +a(g185 +V\u000a +tp2713 +a(g185 +V +p2714 +tp2715 +a(g45 +Vdefault: +p2716 +tp2717 +a(g185 +V +tp2718 +a(g18 +Vyyerror +p2719 +tp2720 +a(g198 +V( +tp2721 +a(g222 +V" +tp2722 +a(g222 +Vcompiler-intern error in convertType(). +p2723 +tp2724 +a(g248 +V\u005cn +p2725 +tp2726 +a(g222 +V" +tp2727 +a(g198 +V) +tp2728 +a(g198 +V; +tp2729 +a(g185 +V\u000a +tp2730 +a(g185 +V +tp2731 +a(g198 +V} +tp2732 +a(g185 +V\u000a +tp2733 +a(g185 +V +tp2734 +a(g111 +Vreturn +p2735 +tp2736 +a(g185 +V +tp2737 +a(g315 +V0 +tp2738 +a(g198 +V; +tp2739 +a(g185 +V +tp2740 +a(g354 +V/* to avoid compiler-warning */ +p2741 +tp2742 +a(g185 +V\u000a +tp2743 +a(g198 +V} +tp2744 +a(g185 +V\u000a +tp2745 +a(g185 +V\u000a +tp2746 +a(g185 +V\u000a +tp2747 +a(g350 +V//#include \u000a +p2748 +tp2749 +a(g350 +V//#include \u000a +p2750 +tp2751 +a(g185 +V\u000a +tp2752 +a(g185 +V +tp2753 +a(g135 +Vint +p2754 +tp2755 +a(g185 +V +tp2756 +a(g21 +Vmain +p2757 +tp2758 +a(g185 +V +tp2759 +a(g198 +V( +tp2760 +a(g198 +V) +tp2761 +a(g185 +V +tp2762 +a(g185 +V +tp2763 +a(g198 +V{ +tp2764 +a(g185 +V\u000a +tp2765 +a(g185 +V +tp2766 +a(g135 +Vint +p2767 +tp2768 +a(g185 +V +tp2769 +a(g18 +Va +tp2770 +a(g185 +V +tp2771 +a(g340 +V= +tp2772 +a(g185 +V +tp2773 +a(g315 +V12 +p2774 +tp2775 +a(g198 +V, +tp2776 +a(g185 +V +tp2777 +a(g18 +Vb +tp2778 +a(g185 +V +tp2779 +a(g340 +V= +tp2780 +a(g185 +V +tp2781 +a(g315 +V44 +p2782 +tp2783 +a(g198 +V; +tp2784 +a(g185 +V\u000a +tp2785 +a(g185 +V +tp2786 +a(g111 +Vwhile +p2787 +tp2788 +a(g185 +V +tp2789 +a(g198 +V( +tp2790 +a(g18 +Va +tp2791 +a(g185 +V +tp2792 +a(g340 +V! +tp2793 +a(g340 +V= +tp2794 +a(g185 +V +tp2795 +a(g18 +Vb +tp2796 +a(g198 +V) +tp2797 +a(g185 +V +tp2798 +a(g198 +V{ +tp2799 +a(g185 +V\u000a +tp2800 +a(g185 +V +p2801 +tp2802 +a(g111 +Vif +p2803 +tp2804 +a(g185 +V +tp2805 +a(g198 +V( +tp2806 +a(g18 +Va +tp2807 +a(g185 +V +tp2808 +a(g340 +V> +tp2809 +a(g185 +V +tp2810 +a(g18 +Vb +tp2811 +a(g198 +V) +tp2812 +a(g185 +V\u000a +tp2813 +a(g185 +V +p2814 +tp2815 +a(g18 +Va +tp2816 +a(g185 +V +tp2817 +a(g340 +V- +tp2818 +a(g340 +V= +tp2819 +a(g185 +V +tp2820 +a(g18 +Vb +tp2821 +a(g198 +V; +tp2822 +a(g185 +V\u000a +tp2823 +a(g185 +V +p2824 +tp2825 +a(g111 +Velse +p2826 +tp2827 +a(g185 +V\u000a +tp2828 +a(g185 +V +p2829 +tp2830 +a(g18 +Vb +tp2831 +a(g185 +V +tp2832 +a(g340 +V- +tp2833 +a(g340 +V= +tp2834 +a(g185 +V +tp2835 +a(g18 +Va +tp2836 +a(g198 +V; +tp2837 +a(g185 +V\u000a +tp2838 +a(g185 +V +tp2839 +a(g198 +V} +tp2840 +a(g185 +V\u000a +tp2841 +a(g185 +V +tp2842 +a(g18 +Vprintf +p2843 +tp2844 +a(g198 +V( +tp2845 +a(g222 +V" +tp2846 +a(g222 +V%d +p2847 +tp2848 +a(g248 +V\u005cn +p2849 +tp2850 +a(g222 +V%d +p2851 +tp2852 +a(g222 +V" +tp2853 +a(g198 +V, +tp2854 +a(g185 +V +tp2855 +a(g18 +Va +tp2856 +a(g198 +V, +tp2857 +a(g185 +V +tp2858 +a(g315 +V0 +tp2859 +a(g18 +VX0 +p2860 +tp2861 +a(g198 +V) +tp2862 +a(g198 +V; +tp2863 +a(g185 +V\u005c\u000a +p2864 +tp2865 +a(g198 +V} +tp2866 +a(g185 +V\u000a +tp2867 +a(g185 +V\u000a +tp2868 +a(g185 +V\u000a +tp2869 +a(g354 +V/**********************************************************************\u000a\u000a array.c -\u000a\u000a $Author: murphy $\u000a $Date: 2005-11-05 04:33:55 +0100 (Sa, 05 Nov 2005) $\u000a created at: Fri Aug 6 09:46:12 JST 1993\u000a\u000a Copyright (C) 1993-2003 Yukihiro Matsumoto\u000a Copyright (C) 2000 Network Applied Communication Laboratory, Inc.\u000a Copyright (C) 2000 Information-technology Promotion Agency, Japan\u000a\u000a**********************************************************************/ +p2870 +tp2871 +a(g185 +V\u000a +tp2872 +a(g7 +V\u000a# +p2873 +tp2874 +a(g7 +Vinclude "ruby.h" +p2875 +tp2876 +a(g7 +V\u000a +tp2877 +a(g7 +V# +tp2878 +a(g7 +Vinclude "util.h" +p2879 +tp2880 +a(g7 +V\u000a +tp2881 +a(g7 +V# +tp2882 +a(g7 +Vinclude "st.h" +p2883 +tp2884 +a(g7 +V\u000a +tp2885 +a(g7 +V# +tp2886 +a(g7 +Vinclude "node.h" +p2887 +tp2888 +a(g7 +V\u000a +tp2889 +a(g185 +V\u000a +tp2890 +a(g185 +V +tp2891 +a(g18 +VVALUE +p2892 +tp2893 +a(g185 +V +tp2894 +a(g18 +Vrb_cArray +p2895 +tp2896 +a(g198 +V, +tp2897 +a(g185 +V +tp2898 +a(g18 +Vrb_cValues +p2899 +tp2900 +a(g198 +V; +tp2901 +a(g185 +V\u000a +tp2902 +a(g185 +V\u000a +tp2903 +a(g185 +V +tp2904 +a(g111 +Vstatic +p2905 +tp2906 +a(g185 +V +tp2907 +a(g18 +VID +p2908 +tp2909 +a(g185 +V +tp2910 +a(g18 +Vid_cmp +p2911 +tp2912 +a(g198 +V; +tp2913 +a(g185 +V\u000a +tp2914 +a(g7 +V\u000a# +p2915 +tp2916 +a(g7 +Vdefine ARY_DEFAULT_SIZE 16 +p2917 +tp2918 +a(g7 +V\u000a +tp2919 +a(g185 +V\u000a +tp2920 +a(g185 +V\u000a +tp2921 +a(g185 +V +tp2922 +a(g135 +Vvoid +p2923 +tp2924 +a(g185 +V\u000a +tp2925 +a(g18 +Vrb_mem_clear +p2926 +tp2927 +a(g198 +V( +tp2928 +a(g18 +Vmem +p2929 +tp2930 +a(g198 +V, +tp2931 +a(g185 +V +tp2932 +a(g18 +Vsize +p2933 +tp2934 +a(g198 +V) +tp2935 +a(g185 +V\u000a +tp2936 +a(g185 +V +p2937 +tp2938 +a(g111 +Vregister +p2939 +tp2940 +a(g185 +V +tp2941 +a(g18 +VVALUE +p2942 +tp2943 +a(g185 +V +tp2944 +a(g340 +V* +tp2945 +a(g18 +Vmem +p2946 +tp2947 +a(g198 +V; +tp2948 +a(g185 +V\u000a +tp2949 +a(g185 +V +p2950 +tp2951 +a(g185 +V +tp2952 +a(g111 +Vregister +p2953 +tp2954 +a(g185 +V +tp2955 +a(g135 +Vlong +p2956 +tp2957 +a(g185 +V +tp2958 +a(g18 +Vsize +p2959 +tp2960 +a(g198 +V; +tp2961 +a(g185 +V\u000a +tp2962 +a(g185 +V +tp2963 +a(g198 +V{ +tp2964 +a(g185 +V\u000a +tp2965 +a(g185 +V +p2966 +tp2967 +a(g111 +Vwhile +p2968 +tp2969 +a(g185 +V +tp2970 +a(g198 +V( +tp2971 +a(g18 +Vsize +p2972 +tp2973 +a(g340 +V- +tp2974 +a(g340 +V- +tp2975 +a(g198 +V) +tp2976 +a(g185 +V +tp2977 +a(g198 +V{ +tp2978 +a(g185 +V\u000a +tp2979 +a(g185 +V +tp2980 +a(g340 +V* +tp2981 +a(g18 +Vmem +p2982 +tp2983 +a(g340 +V+ +tp2984 +a(g340 +V+ +tp2985 +a(g185 +V +tp2986 +a(g340 +V= +tp2987 +a(g185 +V +tp2988 +a(g18 +VQnil +p2989 +tp2990 +a(g198 +V; +tp2991 +a(g185 +V\u000a +tp2992 +a(g185 +V +p2993 +tp2994 +a(g185 +V +tp2995 +a(g198 +V} +tp2996 +a(g185 +V\u000a +tp2997 +a(g198 +V} +tp2998 +a(g185 +V\u000a +tp2999 +a(g185 +V\u000a +tp3000 +a(g111 +Vstatic +p3001 +tp3002 +a(g185 +V +tp3003 +a(g123 +Vinline +p3004 +tp3005 +a(g185 +V +tp3006 +a(g135 +Vvoid +p3007 +tp3008 +a(g185 +V\u000a +tp3009 +a(g18 +Vmemfill +p3010 +tp3011 +a(g198 +V( +tp3012 +a(g18 +Vmem +p3013 +tp3014 +a(g198 +V, +tp3015 +a(g185 +V +tp3016 +a(g18 +Vsize +p3017 +tp3018 +a(g198 +V, +tp3019 +a(g185 +V +tp3020 +a(g18 +Vval +p3021 +tp3022 +a(g198 +V) +tp3023 +a(g185 +V\u000a +tp3024 +a(g185 +V +p3025 +tp3026 +a(g111 +Vregister +p3027 +tp3028 +a(g185 +V +tp3029 +a(g18 +VVALUE +p3030 +tp3031 +a(g185 +V +tp3032 +a(g340 +V* +tp3033 +a(g18 +Vmem +p3034 +tp3035 +a(g198 +V; +tp3036 +a(g185 +V\u000a +tp3037 +a(g185 +V +p3038 +tp3039 +a(g185 +V +tp3040 +a(g111 +Vregister +p3041 +tp3042 +a(g185 +V +tp3043 +a(g135 +Vlong +p3044 +tp3045 +a(g185 +V +tp3046 +a(g18 +Vsize +p3047 +tp3048 +a(g198 +V; +tp3049 +a(g185 +V\u000a +tp3050 +a(g185 +V +p3051 +tp3052 +a(g185 +V +tp3053 +a(g111 +Vregister +p3054 +tp3055 +a(g185 +V +tp3056 +a(g18 +VVALUE +p3057 +tp3058 +a(g185 +V +tp3059 +a(g18 +Vval +p3060 +tp3061 +a(g198 +V; +tp3062 +a(g185 +V\u000a +tp3063 +a(g185 +V +tp3064 +a(g198 +V{ +tp3065 +a(g185 +V\u000a +tp3066 +a(g185 +V +p3067 +tp3068 +a(g111 +Vwhile +p3069 +tp3070 +a(g185 +V +tp3071 +a(g198 +V( +tp3072 +a(g18 +Vsize +p3073 +tp3074 +a(g340 +V- +tp3075 +a(g340 +V- +tp3076 +a(g198 +V) +tp3077 +a(g185 +V +tp3078 +a(g198 +V{ +tp3079 +a(g185 +V\u000a +tp3080 +a(g185 +V +tp3081 +a(g340 +V* +tp3082 +a(g18 +Vmem +p3083 +tp3084 +a(g340 +V+ +tp3085 +a(g340 +V+ +tp3086 +a(g185 +V +tp3087 +a(g340 +V= +tp3088 +a(g185 +V +tp3089 +a(g18 +Vval +p3090 +tp3091 +a(g198 +V; +tp3092 +a(g185 +V\u000a +tp3093 +a(g185 +V +p3094 +tp3095 +a(g185 +V +tp3096 +a(g198 +V} +tp3097 +a(g185 +V\u000a +tp3098 +a(g198 +V} +tp3099 +a(g185 +V\u000a +tp3100 +a(g7 +V\u000a# +p3101 +tp3102 +a(g7 +Vdefine ARY_TMPLOCK FL_USER1 +p3103 +tp3104 +a(g7 +V\u000a +tp3105 +a(g185 +V\u000a +tp3106 +a(g111 +Vstatic +p3107 +tp3108 +a(g185 +V +tp3109 +a(g123 +Vinline +p3110 +tp3111 +a(g185 +V +tp3112 +a(g135 +Vvoid +p3113 +tp3114 +a(g185 +V\u000a +tp3115 +a(g18 +Vrb_ary_modify_check +p3116 +tp3117 +a(g198 +V( +tp3118 +a(g18 +Vary +p3119 +tp3120 +a(g198 +V) +tp3121 +a(g185 +V\u000a +tp3122 +a(g185 +V +p3123 +tp3124 +a(g18 +VVALUE +p3125 +tp3126 +a(g185 +V +tp3127 +a(g18 +Vary +p3128 +tp3129 +a(g198 +V; +tp3130 +a(g185 +V\u000a +tp3131 +a(g185 +V +tp3132 +a(g198 +V{ +tp3133 +a(g185 +V\u000a +tp3134 +a(g185 +V +p3135 +tp3136 +a(g111 +Vif +p3137 +tp3138 +a(g185 +V +tp3139 +a(g198 +V( +tp3140 +a(g18 +VOBJ_FROZEN +p3141 +tp3142 +a(g198 +V( +tp3143 +a(g18 +Vary +p3144 +tp3145 +a(g198 +V) +tp3146 +a(g198 +V) +tp3147 +a(g185 +V +tp3148 +a(g18 +Vrb_error_frozen +p3149 +tp3150 +a(g198 +V( +tp3151 +a(g222 +V" +tp3152 +a(g222 +Varray +p3153 +tp3154 +a(g222 +V" +tp3155 +a(g198 +V) +tp3156 +a(g198 +V; +tp3157 +a(g185 +V\u000a +tp3158 +a(g185 +V +p3159 +tp3160 +a(g185 +V +tp3161 +a(g111 +Vif +p3162 +tp3163 +a(g185 +V +tp3164 +a(g198 +V( +tp3165 +a(g18 +VFL_TEST +p3166 +tp3167 +a(g198 +V( +tp3168 +a(g18 +Vary +p3169 +tp3170 +a(g198 +V, +tp3171 +a(g185 +V +tp3172 +a(g18 +VARY_TMPLOCK +p3173 +tp3174 +a(g198 +V) +tp3175 +a(g198 +V) +tp3176 +a(g185 +V\u000a +tp3177 +a(g185 +V +tp3178 +a(g18 +Vrb_raise +p3179 +tp3180 +a(g198 +V( +tp3181 +a(g18 +Vrb_eRuntimeError +p3182 +tp3183 +a(g198 +V, +tp3184 +a(g185 +V +tp3185 +a(g222 +V" +tp3186 +a(g222 +Vcan't modify array during iteration +p3187 +tp3188 +a(g222 +V" +tp3189 +a(g198 +V) +tp3190 +a(g198 +V; +tp3191 +a(g185 +V\u000a +tp3192 +a(g185 +V +p3193 +tp3194 +a(g185 +V +tp3195 +a(g111 +Vif +p3196 +tp3197 +a(g185 +V +tp3198 +a(g198 +V( +tp3199 +a(g340 +V! +tp3200 +a(g18 +VOBJ_TAINTED +p3201 +tp3202 +a(g198 +V( +tp3203 +a(g18 +Vary +p3204 +tp3205 +a(g198 +V) +tp3206 +a(g185 +V +tp3207 +a(g340 +V& +tp3208 +a(g340 +V& +tp3209 +a(g185 +V +tp3210 +a(g18 +Vrb_safe_level +p3211 +tp3212 +a(g198 +V( +tp3213 +a(g198 +V) +tp3214 +a(g185 +V +tp3215 +a(g340 +V> +tp3216 +a(g340 +V= +tp3217 +a(g185 +V +tp3218 +a(g315 +V4 +tp3219 +a(g198 +V) +tp3220 +a(g185 +V\u000a +tp3221 +a(g185 +V +tp3222 +a(g18 +Vrb_raise +p3223 +tp3224 +a(g198 +V( +tp3225 +a(g18 +Vrb_eSecurityError +p3226 +tp3227 +a(g198 +V, +tp3228 +a(g185 +V +tp3229 +a(g222 +V" +tp3230 +a(g222 +VInsecure: can't modify array +p3231 +tp3232 +a(g222 +V" +tp3233 +a(g198 +V) +tp3234 +a(g198 +V; +tp3235 +a(g185 +V\u000a +tp3236 +a(g185 +V +tp3237 +a(g198 +V} +tp3238 +a(g185 +V\u000a +tp3239 +a(g185 +V\u000a +tp3240 +a(g111 +Vstatic +p3241 +tp3242 +a(g185 +V +tp3243 +a(g135 +Vvoid +p3244 +tp3245 +a(g185 +V\u000a +tp3246 +a(g18 +Vrb_ary_modify +p3247 +tp3248 +a(g198 +V( +tp3249 +a(g18 +Vary +p3250 +tp3251 +a(g198 +V) +tp3252 +a(g185 +V\u000a +tp3253 +a(g185 +V +p3254 +tp3255 +a(g18 +VVALUE +p3256 +tp3257 +a(g185 +V +tp3258 +a(g18 +Vary +p3259 +tp3260 +a(g198 +V; +tp3261 +a(g185 +V\u000a +tp3262 +a(g185 +V +tp3263 +a(g198 +V{ +tp3264 +a(g185 +V\u000a +tp3265 +a(g185 +V +p3266 +tp3267 +a(g18 +VVALUE +p3268 +tp3269 +a(g185 +V +tp3270 +a(g340 +V* +tp3271 +a(g18 +Vptr +p3272 +tp3273 +a(g198 +V; +tp3274 +a(g185 +V\u000a +tp3275 +a(g185 +V\u000a +tp3276 +a(g185 +V +p3277 +tp3278 +a(g185 +V +tp3279 +a(g18 +Vrb_ary_modify_check +p3280 +tp3281 +a(g198 +V( +tp3282 +a(g18 +Vary +p3283 +tp3284 +a(g198 +V) +tp3285 +a(g198 +V; +tp3286 +a(g185 +V\u000a +tp3287 +a(g185 +V +p3288 +tp3289 +a(g185 +V +tp3290 +a(g111 +Vif +p3291 +tp3292 +a(g185 +V +tp3293 +a(g198 +V( +tp3294 +a(g18 +VFL_TEST +p3295 +tp3296 +a(g198 +V( +tp3297 +a(g18 +Vary +p3298 +tp3299 +a(g198 +V, +tp3300 +a(g185 +V +tp3301 +a(g18 +VELTS_SHARED +p3302 +tp3303 +a(g198 +V) +tp3304 +a(g198 +V) +tp3305 +a(g185 +V +tp3306 +a(g198 +V{ +tp3307 +a(g185 +V\u000a +tp3308 +a(g185 +V +tp3309 +a(g18 +Vptr +p3310 +tp3311 +a(g185 +V +tp3312 +a(g340 +V= +tp3313 +a(g185 +V +tp3314 +a(g18 +VALLOC_N +p3315 +tp3316 +a(g198 +V( +tp3317 +a(g18 +VVALUE +p3318 +tp3319 +a(g198 +V, +tp3320 +a(g185 +V +tp3321 +a(g18 +VRARRAY +p3322 +tp3323 +a(g198 +V( +tp3324 +a(g18 +Vary +p3325 +tp3326 +a(g198 +V) +tp3327 +a(g340 +V- +tp3328 +a(g340 +V> +tp3329 +a(g18 +Vlen +p3330 +tp3331 +a(g198 +V) +tp3332 +a(g198 +V; +tp3333 +a(g185 +V\u000a +tp3334 +a(g185 +V +tp3335 +a(g185 +V +tp3336 +a(g18 +VFL_UNSET +p3337 +tp3338 +a(g198 +V( +tp3339 +a(g18 +Vary +p3340 +tp3341 +a(g198 +V, +tp3342 +a(g185 +V +tp3343 +a(g18 +VELTS_SHARED +p3344 +tp3345 +a(g198 +V) +tp3346 +a(g198 +V; +tp3347 +a(g185 +V\u000a +tp3348 +a(g185 +V +tp3349 +a(g185 +V +tp3350 +a(g18 +VRARRAY +p3351 +tp3352 +a(g198 +V( +tp3353 +a(g18 +Vary +p3354 +tp3355 +a(g198 +V) +tp3356 +a(g340 +V- +tp3357 +a(g340 +V> +tp3358 +a(g18 +Vaux +p3359 +tp3360 +a(g198 +V. +tp3361 +a(g18 +Vcapa +p3362 +tp3363 +a(g185 +V +tp3364 +a(g340 +V= +tp3365 +a(g185 +V +tp3366 +a(g18 +VRARRAY +p3367 +tp3368 +a(g198 +V( +tp3369 +a(g18 +Vary +p3370 +tp3371 +a(g198 +V) +tp3372 +a(g340 +V- +tp3373 +a(g340 +V> +tp3374 +a(g18 +Vlen +p3375 +tp3376 +a(g198 +V; +tp3377 +a(g185 +V\u000a +tp3378 +a(g185 +V +tp3379 +a(g185 +V +tp3380 +a(g18 +VMEMCPY +p3381 +tp3382 +a(g198 +V( +tp3383 +a(g18 +Vptr +p3384 +tp3385 +a(g198 +V, +tp3386 +a(g185 +V +tp3387 +a(g18 +VRARRAY +p3388 +tp3389 +a(g198 +V( +tp3390 +a(g18 +Vary +p3391 +tp3392 +a(g198 +V) +tp3393 +a(g340 +V- +tp3394 +a(g340 +V> +tp3395 +a(g18 +Vptr +p3396 +tp3397 +a(g198 +V, +tp3398 +a(g185 +V +tp3399 +a(g18 +VVALUE +p3400 +tp3401 +a(g198 +V, +tp3402 +a(g185 +V +tp3403 +a(g18 +VRARRAY +p3404 +tp3405 +a(g198 +V( +tp3406 +a(g18 +Vary +p3407 +tp3408 +a(g198 +V) +tp3409 +a(g340 +V- +tp3410 +a(g340 +V> +tp3411 +a(g18 +Vlen +p3412 +tp3413 +a(g198 +V) +tp3414 +a(g198 +V; +tp3415 +a(g185 +V\u000a +tp3416 +a(g185 +V +tp3417 +a(g185 +V +tp3418 +a(g18 +VRARRAY +p3419 +tp3420 +a(g198 +V( +tp3421 +a(g18 +Vary +p3422 +tp3423 +a(g198 +V) +tp3424 +a(g340 +V- +tp3425 +a(g340 +V> +tp3426 +a(g18 +Vptr +p3427 +tp3428 +a(g185 +V +tp3429 +a(g340 +V= +tp3430 +a(g185 +V +tp3431 +a(g18 +Vptr +p3432 +tp3433 +a(g198 +V; +tp3434 +a(g185 +V\u000a +tp3435 +a(g185 +V +p3436 +tp3437 +a(g185 +V +tp3438 +a(g198 +V} +tp3439 +a(g185 +V\u000a +tp3440 +a(g198 +V} +tp3441 +a(g185 +V\u000a +tp3442 +a(g185 +V\u000a +tp3443 +a(g18 +VVALUE +p3444 +tp3445 +a(g185 +V\u000a +tp3446 +a(g18 +Vrb_ary_freeze +p3447 +tp3448 +a(g198 +V( +tp3449 +a(g18 +Vary +p3450 +tp3451 +a(g198 +V) +tp3452 +a(g185 +V\u000a +tp3453 +a(g185 +V +p3454 +tp3455 +a(g18 +VVALUE +p3456 +tp3457 +a(g185 +V +tp3458 +a(g18 +Vary +p3459 +tp3460 +a(g198 +V; +tp3461 +a(g185 +V\u000a +tp3462 +a(g185 +V +tp3463 +a(g198 +V{ +tp3464 +a(g185 +V\u000a +tp3465 +a(g185 +V +p3466 +tp3467 +a(g111 +Vreturn +p3468 +tp3469 +a(g185 +V +tp3470 +a(g18 +Vrb_obj_freeze +p3471 +tp3472 +a(g198 +V( +tp3473 +a(g18 +Vary +p3474 +tp3475 +a(g198 +V) +tp3476 +a(g198 +V; +tp3477 +a(g185 +V\u000a +tp3478 +a(g185 +V +tp3479 +a(g198 +V} +tp3480 +a(g185 +V\u000a +tp3481 +a(g185 +V\u000a +tp3482 +a(g354 +V/*\u000a * call-seq:\u000a * array.frozen? -> true or false\u000a *\u000a * Return true if this array is frozen (or temporarily frozen\u000a * while being sorted).\u000a */ +p3483 +tp3484 +a(g185 +V\u000a +tp3485 +a(g185 +V\u000a +tp3486 +a(g111 +Vstatic +p3487 +tp3488 +a(g185 +V +tp3489 +a(g18 +VVALUE +p3490 +tp3491 +a(g185 +V\u000a +tp3492 +a(g18 +Vrb_ary_frozen_p +p3493 +tp3494 +a(g198 +V( +tp3495 +a(g18 +Vary +p3496 +tp3497 +a(g198 +V) +tp3498 +a(g185 +V\u000a +tp3499 +a(g185 +V +p3500 +tp3501 +a(g18 +VVALUE +p3502 +tp3503 +a(g185 +V +tp3504 +a(g18 +Vary +p3505 +tp3506 +a(g198 +V; +tp3507 +a(g185 +V\u000a +tp3508 +a(g185 +V +tp3509 +a(g198 +V{ +tp3510 +a(g185 +V\u000a +tp3511 +a(g185 +V +p3512 +tp3513 +a(g111 +Vif +p3514 +tp3515 +a(g185 +V +tp3516 +a(g198 +V( +tp3517 +a(g18 +VOBJ_FROZEN +p3518 +tp3519 +a(g198 +V( +tp3520 +a(g18 +Vary +p3521 +tp3522 +a(g198 +V) +tp3523 +a(g198 +V) +tp3524 +a(g185 +V +tp3525 +a(g111 +Vreturn +p3526 +tp3527 +a(g185 +V +tp3528 +a(g18 +VQtrue +p3529 +tp3530 +a(g198 +V; +tp3531 +a(g185 +V\u000a +tp3532 +a(g185 +V +p3533 +tp3534 +a(g185 +V +tp3535 +a(g111 +Vif +p3536 +tp3537 +a(g185 +V +tp3538 +a(g198 +V( +tp3539 +a(g18 +VFL_TEST +p3540 +tp3541 +a(g198 +V( +tp3542 +a(g18 +Vary +p3543 +tp3544 +a(g198 +V, +tp3545 +a(g185 +V +tp3546 +a(g18 +VARY_TMPLOCK +p3547 +tp3548 +a(g198 +V) +tp3549 +a(g198 +V) +tp3550 +a(g185 +V +tp3551 +a(g111 +Vreturn +p3552 +tp3553 +a(g185 +V +tp3554 +a(g18 +VQtrue +p3555 +tp3556 +a(g198 +V; +tp3557 +a(g185 +V\u000a +tp3558 +a(g185 +V +p3559 +tp3560 +a(g185 +V +tp3561 +a(g111 +Vreturn +p3562 +tp3563 +a(g185 +V +tp3564 +a(g18 +VQfalse +p3565 +tp3566 +a(g198 +V; +tp3567 +a(g185 +V\u000a +tp3568 +a(g185 +V +tp3569 +a(g198 +V} +tp3570 +a(g185 +V\u000a +tp3571 +a(g185 +V\u000a +tp3572 +a(g111 +Vstatic +p3573 +tp3574 +a(g185 +V +tp3575 +a(g18 +VVALUE +p3576 +tp3577 +a(g185 +V +tp3578 +a(g18 +Vary_alloc +p3579 +tp3580 +a(g198 +V( +tp3581 +a(g18 +VVALUE +p3582 +tp3583 +a(g198 +V) +tp3584 +a(g198 +V; +tp3585 +a(g185 +V\u000a +tp3586 +a(g185 +V +tp3587 +a(g111 +Vstatic +p3588 +tp3589 +a(g185 +V +tp3590 +a(g18 +VVALUE +p3591 +tp3592 +a(g185 +V\u000a +tp3593 +a(g18 +Vary_alloc +p3594 +tp3595 +a(g198 +V( +tp3596 +a(g18 +Vklass +p3597 +tp3598 +a(g198 +V) +tp3599 +a(g185 +V\u000a +tp3600 +a(g185 +V +p3601 +tp3602 +a(g18 +VVALUE +p3603 +tp3604 +a(g185 +V +tp3605 +a(g18 +Vklass +p3606 +tp3607 +a(g198 +V; +tp3608 +a(g185 +V\u000a +tp3609 +a(g185 +V +tp3610 +a(g198 +V{ +tp3611 +a(g185 +V\u000a +tp3612 +a(g185 +V +p3613 +tp3614 +a(g18 +VNEWOBJ +p3615 +tp3616 +a(g198 +V( +tp3617 +a(g18 +Vary +p3618 +tp3619 +a(g198 +V, +tp3620 +a(g185 +V +tp3621 +a(g111 +Vstruct +p3622 +tp3623 +a(g185 +V +tp3624 +a(g18 +VRArray +p3625 +tp3626 +a(g198 +V) +tp3627 +a(g198 +V; +tp3628 +a(g185 +V\u000a +tp3629 +a(g185 +V +p3630 +tp3631 +a(g185 +V +tp3632 +a(g18 +VOBJSETUP +p3633 +tp3634 +a(g198 +V( +tp3635 +a(g18 +Vary +p3636 +tp3637 +a(g198 +V, +tp3638 +a(g185 +V +tp3639 +a(g18 +Vklass +p3640 +tp3641 +a(g198 +V, +tp3642 +a(g185 +V +tp3643 +a(g18 +VT_ARRAY +p3644 +tp3645 +a(g198 +V) +tp3646 +a(g198 +V; +tp3647 +a(g185 +V\u000a +tp3648 +a(g185 +V\u000a +tp3649 +a(g185 +V +p3650 +tp3651 +a(g185 +V +tp3652 +a(g18 +Vary +p3653 +tp3654 +a(g340 +V- +tp3655 +a(g340 +V> +tp3656 +a(g18 +Vlen +p3657 +tp3658 +a(g185 +V +tp3659 +a(g340 +V= +tp3660 +a(g185 +V +tp3661 +a(g315 +V0 +tp3662 +a(g198 +V; +tp3663 +a(g185 +V\u000a +tp3664 +a(g185 +V +p3665 +tp3666 +a(g185 +V +tp3667 +a(g18 +Vary +p3668 +tp3669 +a(g340 +V- +tp3670 +a(g340 +V> +tp3671 +a(g18 +Vptr +p3672 +tp3673 +a(g185 +V +tp3674 +a(g340 +V= +tp3675 +a(g185 +V +tp3676 +a(g315 +V0 +tp3677 +a(g198 +V; +tp3678 +a(g185 +V\u000a +tp3679 +a(g185 +V +p3680 +tp3681 +a(g185 +V +tp3682 +a(g18 +Vary +p3683 +tp3684 +a(g340 +V- +tp3685 +a(g340 +V> +tp3686 +a(g18 +Vaux +p3687 +tp3688 +a(g198 +V. +tp3689 +a(g18 +Vcapa +p3690 +tp3691 +a(g185 +V +tp3692 +a(g340 +V= +tp3693 +a(g185 +V +tp3694 +a(g315 +V0 +tp3695 +a(g198 +V; +tp3696 +a(g185 +V\u000a +tp3697 +a(g185 +V\u000a +tp3698 +a(g185 +V +p3699 +tp3700 +a(g185 +V +tp3701 +a(g111 +Vreturn +p3702 +tp3703 +a(g185 +V +tp3704 +a(g198 +V( +tp3705 +a(g18 +VVALUE +p3706 +tp3707 +a(g198 +V) +tp3708 +a(g18 +Vary +p3709 +tp3710 +a(g198 +V; +tp3711 +a(g185 +V\u000a +tp3712 +a(g185 +V +tp3713 +a(g198 +V} +tp3714 +a(g185 +V\u000a +tp3715 +a(g185 +V\u000a +tp3716 +a(g111 +Vstatic +p3717 +tp3718 +a(g185 +V +tp3719 +a(g18 +VVALUE +p3720 +tp3721 +a(g185 +V\u000a +tp3722 +a(g18 +Vary_new +p3723 +tp3724 +a(g198 +V( +tp3725 +a(g18 +Vklass +p3726 +tp3727 +a(g198 +V, +tp3728 +a(g185 +V +tp3729 +a(g18 +Vlen +p3730 +tp3731 +a(g198 +V) +tp3732 +a(g185 +V\u000a +tp3733 +a(g185 +V +p3734 +tp3735 +a(g18 +VVALUE +p3736 +tp3737 +a(g185 +V +tp3738 +a(g18 +Vklass +p3739 +tp3740 +a(g198 +V; +tp3741 +a(g185 +V\u000a +tp3742 +a(g185 +V +p3743 +tp3744 +a(g185 +V +tp3745 +a(g135 +Vlong +p3746 +tp3747 +a(g185 +V +tp3748 +a(g18 +Vlen +p3749 +tp3750 +a(g198 +V; +tp3751 +a(g185 +V\u000a +tp3752 +a(g185 +V +tp3753 +a(g198 +V{ +tp3754 +a(g185 +V\u000a +tp3755 +a(g185 +V +p3756 +tp3757 +a(g18 +VVALUE +p3758 +tp3759 +a(g185 +V +tp3760 +a(g18 +Vary +p3761 +tp3762 +a(g198 +V; +tp3763 +a(g185 +V\u000a +tp3764 +a(g185 +V\u000a +tp3765 +a(g185 +V +p3766 +tp3767 +a(g185 +V +tp3768 +a(g111 +Vif +p3769 +tp3770 +a(g185 +V +tp3771 +a(g198 +V( +tp3772 +a(g18 +Vlen +p3773 +tp3774 +a(g185 +V +tp3775 +a(g340 +V< +tp3776 +a(g185 +V +tp3777 +a(g315 +V0 +tp3778 +a(g198 +V) +tp3779 +a(g185 +V +tp3780 +a(g198 +V{ +tp3781 +a(g185 +V\u000a +tp3782 +a(g185 +V +tp3783 +a(g18 +Vrb_raise +p3784 +tp3785 +a(g198 +V( +tp3786 +a(g18 +Vrb_eArgError +p3787 +tp3788 +a(g198 +V, +tp3789 +a(g185 +V +tp3790 +a(g222 +V" +tp3791 +a(g222 +Vnegative array size (or size too big) +p3792 +tp3793 +a(g222 +V" +tp3794 +a(g198 +V) +tp3795 +a(g198 +V; +tp3796 +a(g185 +V\u000a +tp3797 +a(g185 +V +p3798 +tp3799 +a(g185 +V +tp3800 +a(g198 +V} +tp3801 +a(g185 +V\u000a +tp3802 +a(g185 +V +p3803 +tp3804 +a(g111 +Vif +p3805 +tp3806 +a(g185 +V +tp3807 +a(g198 +V( +tp3808 +a(g18 +Vlen +p3809 +tp3810 +a(g185 +V +tp3811 +a(g340 +V> +tp3812 +a(g185 +V +tp3813 +a(g315 +V0 +tp3814 +a(g185 +V +tp3815 +a(g340 +V& +tp3816 +a(g340 +V& +tp3817 +a(g185 +V +tp3818 +a(g18 +Vlen +p3819 +tp3820 +a(g185 +V +tp3821 +a(g340 +V* +tp3822 +a(g185 +V +tp3823 +a(g111 +Vsizeof +p3824 +tp3825 +a(g198 +V( +tp3826 +a(g18 +VVALUE +p3827 +tp3828 +a(g198 +V) +tp3829 +a(g185 +V +tp3830 +a(g340 +V< +tp3831 +a(g340 +V= +tp3832 +a(g185 +V +tp3833 +a(g18 +Vlen +p3834 +tp3835 +a(g198 +V) +tp3836 +a(g185 +V +tp3837 +a(g198 +V{ +tp3838 +a(g185 +V\u000a +tp3839 +a(g185 +V +tp3840 +a(g18 +Vrb_raise +p3841 +tp3842 +a(g198 +V( +tp3843 +a(g18 +Vrb_eArgError +p3844 +tp3845 +a(g198 +V, +tp3846 +a(g185 +V +tp3847 +a(g222 +V" +tp3848 +a(g222 +Varray size too big +p3849 +tp3850 +a(g222 +V" +tp3851 +a(g198 +V) +tp3852 +a(g198 +V; +tp3853 +a(g185 +V\u000a +tp3854 +a(g185 +V +p3855 +tp3856 +a(g185 +V +tp3857 +a(g198 +V} +tp3858 +a(g185 +V\u000a +tp3859 +a(g185 +V +p3860 +tp3861 +a(g111 +Vif +p3862 +tp3863 +a(g185 +V +tp3864 +a(g198 +V( +tp3865 +a(g18 +Vlen +p3866 +tp3867 +a(g185 +V +tp3868 +a(g340 +V= +tp3869 +a(g340 +V= +tp3870 +a(g185 +V +tp3871 +a(g315 +V0 +tp3872 +a(g198 +V) +tp3873 +a(g185 +V +tp3874 +a(g18 +Vlen +p3875 +tp3876 +a(g340 +V+ +tp3877 +a(g340 +V+ +tp3878 +a(g198 +V; +tp3879 +a(g185 +V\u000a +tp3880 +a(g185 +V \u000a +p3881 +tp3882 +a(g185 +V +tp3883 +a(g18 +Vary +p3884 +tp3885 +a(g185 +V +tp3886 +a(g340 +V= +tp3887 +a(g185 +V +tp3888 +a(g18 +Vary_alloc +p3889 +tp3890 +a(g198 +V( +tp3891 +a(g18 +Vklass +p3892 +tp3893 +a(g198 +V) +tp3894 +a(g198 +V; +tp3895 +a(g185 +V\u000a +tp3896 +a(g185 +V +p3897 +tp3898 +a(g185 +V +tp3899 +a(g18 +VRARRAY +p3900 +tp3901 +a(g198 +V( +tp3902 +a(g18 +Vary +p3903 +tp3904 +a(g198 +V) +tp3905 +a(g340 +V- +tp3906 +a(g340 +V> +tp3907 +a(g18 +Vptr +p3908 +tp3909 +a(g185 +V +tp3910 +a(g340 +V= +tp3911 +a(g185 +V +tp3912 +a(g18 +VALLOC_N +p3913 +tp3914 +a(g198 +V( +tp3915 +a(g18 +VVALUE +p3916 +tp3917 +a(g198 +V, +tp3918 +a(g185 +V +tp3919 +a(g18 +Vlen +p3920 +tp3921 +a(g198 +V) +tp3922 +a(g198 +V; +tp3923 +a(g185 +V\u000a +tp3924 +a(g185 +V +p3925 +tp3926 +a(g185 +V +tp3927 +a(g18 +VRARRAY +p3928 +tp3929 +a(g198 +V( +tp3930 +a(g18 +Vary +p3931 +tp3932 +a(g198 +V) +tp3933 +a(g340 +V- +tp3934 +a(g340 +V> +tp3935 +a(g18 +Vaux +p3936 +tp3937 +a(g198 +V. +tp3938 +a(g18 +Vcapa +p3939 +tp3940 +a(g185 +V +tp3941 +a(g340 +V= +tp3942 +a(g185 +V +tp3943 +a(g18 +Vlen +p3944 +tp3945 +a(g198 +V; +tp3946 +a(g185 +V\u000a +tp3947 +a(g185 +V\u000a +tp3948 +a(g185 +V +p3949 +tp3950 +a(g185 +V +tp3951 +a(g111 +Vreturn +p3952 +tp3953 +a(g185 +V +tp3954 +a(g18 +Vary +p3955 +tp3956 +a(g198 +V; +tp3957 +a(g185 +V\u000a +tp3958 +a(g185 +V +tp3959 +a(g198 +V} +tp3960 +a(g185 +V\u000a +tp3961 +a(g185 +V\u000a +tp3962 +a(g18 +VVALUE +p3963 +tp3964 +a(g185 +V\u000a +tp3965 +a(g18 +Vrb_ary_new2 +p3966 +tp3967 +a(g198 +V( +tp3968 +a(g18 +Vlen +p3969 +tp3970 +a(g198 +V) +tp3971 +a(g185 +V\u000a +tp3972 +a(g185 +V +p3973 +tp3974 +a(g135 +Vlong +p3975 +tp3976 +a(g185 +V +tp3977 +a(g18 +Vlen +p3978 +tp3979 +a(g198 +V; +tp3980 +a(g185 +V\u000a +tp3981 +a(g185 +V +tp3982 +a(g198 +V{ +tp3983 +a(g185 +V\u000a +tp3984 +a(g185 +V +p3985 +tp3986 +a(g111 +Vreturn +p3987 +tp3988 +a(g185 +V +tp3989 +a(g18 +Vary_new +p3990 +tp3991 +a(g198 +V( +tp3992 +a(g18 +Vrb_cArray +p3993 +tp3994 +a(g198 +V, +tp3995 +a(g185 +V +tp3996 +a(g18 +Vlen +p3997 +tp3998 +a(g198 +V) +tp3999 +a(g198 +V; +tp4000 +a(g185 +V\u000a +tp4001 +a(g185 +V +tp4002 +a(g198 +V} +tp4003 +a(g185 +V\u000a +tp4004 +a(g185 +V\u000a +tp4005 +a(g185 +V\u000a +tp4006 +a(g18 +VVALUE +p4007 +tp4008 +a(g185 +V\u000a +tp4009 +a(g18 +Vrb_ary_new +p4010 +tp4011 +a(g198 +V( +tp4012 +a(g198 +V) +tp4013 +a(g185 +V\u000a +tp4014 +a(g198 +V{ +tp4015 +a(g185 +V\u000a +tp4016 +a(g185 +V +p4017 +tp4018 +a(g111 +Vreturn +p4019 +tp4020 +a(g185 +V +tp4021 +a(g18 +Vrb_ary_new2 +p4022 +tp4023 +a(g198 +V( +tp4024 +a(g18 +VARY_DEFAULT_SIZE +p4025 +tp4026 +a(g198 +V) +tp4027 +a(g198 +V; +tp4028 +a(g185 +V\u000a +tp4029 +a(g185 +V +tp4030 +a(g198 +V} +tp4031 +a(g185 +V\u000a +tp4032 +a(g7 +V\u000a# +p4033 +tp4034 +a(g7 +Vifdef HAVE_STDARG_PROTOTYPES +p4035 +tp4036 +a(g7 +V\u000a +tp4037 +a(g7 +V# +tp4038 +a(g7 +Vinclude +p4039 +tp4040 +a(g7 +V\u000a +tp4041 +a(g7 +V# +tp4042 +a(g7 +Vdefine va_init_list(a,b) va_start(a,b) +p4043 +tp4044 +a(g7 +V\u000a +tp4045 +a(g7 +V# +tp4046 +a(g7 +Velse +p4047 +tp4048 +a(g7 +V\u000a +tp4049 +a(g7 +V# +tp4050 +a(g7 +Vinclude +p4051 +tp4052 +a(g7 +V\u000a +tp4053 +a(g7 +V# +tp4054 +a(g7 +Vdefine va_init_list(a,b) va_start(a) +p4055 +tp4056 +a(g7 +V\u000a +tp4057 +a(g7 +V# +tp4058 +a(g7 +Vendif +p4059 +tp4060 +a(g7 +V\u000a +tp4061 +a(g185 +V\u000a +tp4062 +a(g18 +VVALUE +p4063 +tp4064 +a(g185 +V\u000a +tp4065 +a(g7 +V# +tp4066 +a(g7 +Vifdef HAVE_STDARG_PROTOTYPES +p4067 +tp4068 +a(g7 +V\u000a +tp4069 +a(g18 +Vrb_ary_new3 +p4070 +tp4071 +a(g198 +V( +tp4072 +a(g135 +Vlong +p4073 +tp4074 +a(g185 +V +tp4075 +a(g18 +Vn +tp4076 +a(g198 +V, +tp4077 +a(g185 +V +tp4078 +a(g198 +V. +tp4079 +a(g198 +V. +tp4080 +a(g198 +V. +tp4081 +a(g198 +V) +tp4082 +a(g185 +V\u000a +tp4083 +a(g7 +V# +tp4084 +a(g7 +Velse +p4085 +tp4086 +a(g7 +V\u000a +tp4087 +a(g18 +Vrb_ary_new3 +p4088 +tp4089 +a(g198 +V( +tp4090 +a(g18 +Vn +tp4091 +a(g198 +V, +tp4092 +a(g185 +V +tp4093 +a(g18 +Vva_alist +p4094 +tp4095 +a(g198 +V) +tp4096 +a(g185 +V\u000a +tp4097 +a(g185 +V +p4098 +tp4099 +a(g135 +Vlong +p4100 +tp4101 +a(g185 +V +tp4102 +a(g18 +Vn +tp4103 +a(g198 +V; +tp4104 +a(g185 +V\u000a +tp4105 +a(g185 +V +p4106 +tp4107 +a(g185 +V +tp4108 +a(g18 +Vva_dcl +p4109 +tp4110 +a(g185 +V\u000a +tp4111 +a(g7 +V# +tp4112 +a(g7 +Vendif +p4113 +tp4114 +a(g7 +V\u000a +tp4115 +a(g198 +V{ +tp4116 +a(g185 +V\u000a +tp4117 +a(g185 +V +p4118 +tp4119 +a(g135 +Vva_list +p4120 +tp4121 +a(g185 +V +tp4122 +a(g18 +Var +p4123 +tp4124 +a(g198 +V; +tp4125 +a(g185 +V\u000a +tp4126 +a(g185 +V +p4127 +tp4128 +a(g185 +V +tp4129 +a(g18 +VVALUE +p4130 +tp4131 +a(g185 +V +tp4132 +a(g18 +Vary +p4133 +tp4134 +a(g198 +V; +tp4135 +a(g185 +V\u000a +tp4136 +a(g185 +V +p4137 +tp4138 +a(g185 +V +tp4139 +a(g135 +Vlong +p4140 +tp4141 +a(g185 +V +tp4142 +a(g18 +Vi +tp4143 +a(g198 +V; +tp4144 +a(g185 +V\u000a +tp4145 +a(g185 +V\u000a +tp4146 +a(g185 +V +p4147 +tp4148 +a(g185 +V +tp4149 +a(g18 +Vary +p4150 +tp4151 +a(g185 +V +tp4152 +a(g340 +V= +tp4153 +a(g185 +V +tp4154 +a(g18 +Vrb_ary_new2 +p4155 +tp4156 +a(g198 +V( +tp4157 +a(g18 +Vn +tp4158 +a(g198 +V) +tp4159 +a(g198 +V; +tp4160 +a(g185 +V\u000a +tp4161 +a(g185 +V\u000a +tp4162 +a(g185 +V +p4163 +tp4164 +a(g185 +V +tp4165 +a(g18 +Vva_init_list +p4166 +tp4167 +a(g198 +V( +tp4168 +a(g18 +Var +p4169 +tp4170 +a(g198 +V, +tp4171 +a(g185 +V +tp4172 +a(g18 +Vn +tp4173 +a(g198 +V) +tp4174 +a(g198 +V; +tp4175 +a(g185 +V\u000a +tp4176 +a(g185 +V +p4177 +tp4178 +a(g185 +V +tp4179 +a(g111 +Vfor +p4180 +tp4181 +a(g185 +V +tp4182 +a(g198 +V( +tp4183 +a(g18 +Vi +tp4184 +a(g340 +V= +tp4185 +a(g315 +V0 +tp4186 +a(g198 +V; +tp4187 +a(g185 +V +tp4188 +a(g185 +V +tp4189 +a(g18 +Vi +tp4190 +a(g340 +V< +tp4191 +a(g18 +Vn +tp4192 +a(g198 +V; +tp4193 +a(g185 +V +tp4194 +a(g185 +V +tp4195 +a(g18 +Vi +tp4196 +a(g340 +V+ +tp4197 +a(g340 +V+ +tp4198 +a(g198 +V) +tp4199 +a(g185 +V +tp4200 +a(g198 +V{ +tp4201 +a(g185 +V\u000a +tp4202 +a(g185 +V +tp4203 +a(g18 +VRARRAY +p4204 +tp4205 +a(g198 +V( +tp4206 +a(g18 +Vary +p4207 +tp4208 +a(g198 +V) +tp4209 +a(g340 +V- +tp4210 +a(g340 +V> +tp4211 +a(g18 +Vptr +p4212 +tp4213 +a(g198 +V[ +tp4214 +a(g18 +Vi +tp4215 +a(g198 +V] +tp4216 +a(g185 +V +tp4217 +a(g340 +V= +tp4218 +a(g185 +V +tp4219 +a(g18 +Vva_arg +p4220 +tp4221 +a(g198 +V( +tp4222 +a(g18 +Var +p4223 +tp4224 +a(g198 +V, +tp4225 +a(g185 +V +tp4226 +a(g18 +VVALUE +p4227 +tp4228 +a(g198 +V) +tp4229 +a(g198 +V; +tp4230 +a(g185 +V\u000a +tp4231 +a(g185 +V +p4232 +tp4233 +a(g185 +V +tp4234 +a(g198 +V} +tp4235 +a(g185 +V\u000a +tp4236 +a(g185 +V +p4237 +tp4238 +a(g18 +Vva_end +p4239 +tp4240 +a(g198 +V( +tp4241 +a(g18 +Var +p4242 +tp4243 +a(g198 +V) +tp4244 +a(g198 +V; +tp4245 +a(g185 +V\u000a +tp4246 +a(g185 +V\u000a +tp4247 +a(g185 +V +p4248 +tp4249 +a(g185 +V +tp4250 +a(g18 +VRARRAY +p4251 +tp4252 +a(g198 +V( +tp4253 +a(g18 +Vary +p4254 +tp4255 +a(g198 +V) +tp4256 +a(g340 +V- +tp4257 +a(g340 +V> +tp4258 +a(g18 +Vlen +p4259 +tp4260 +a(g185 +V +tp4261 +a(g340 +V= +tp4262 +a(g185 +V +tp4263 +a(g18 +Vn +tp4264 +a(g198 +V; +tp4265 +a(g185 +V\u000a +tp4266 +a(g185 +V +p4267 +tp4268 +a(g185 +V +tp4269 +a(g111 +Vreturn +p4270 +tp4271 +a(g185 +V +tp4272 +a(g18 +Vary +p4273 +tp4274 +a(g198 +V; +tp4275 +a(g185 +V\u000a +tp4276 +a(g185 +V +tp4277 +a(g198 +V} +tp4278 +a(g185 +V\u000a +tp4279 +a(g185 +V\u000a +tp4280 +a(g18 +VVALUE +p4281 +tp4282 +a(g185 +V\u000a +tp4283 +a(g18 +Vrb_ary_new4 +p4284 +tp4285 +a(g198 +V( +tp4286 +a(g18 +Vn +tp4287 +a(g198 +V, +tp4288 +a(g185 +V +tp4289 +a(g18 +Velts +p4290 +tp4291 +a(g198 +V) +tp4292 +a(g185 +V\u000a +tp4293 +a(g185 +V +p4294 +tp4295 +a(g135 +Vlong +p4296 +tp4297 +a(g185 +V +tp4298 +a(g18 +Vn +tp4299 +a(g198 +V; +tp4300 +a(g185 +V\u000a +tp4301 +a(g185 +V +p4302 +tp4303 +a(g185 +V +tp4304 +a(g111 +Vconst +p4305 +tp4306 +a(g185 +V +tp4307 +a(g18 +VVALUE +p4308 +tp4309 +a(g185 +V +tp4310 +a(g340 +V* +tp4311 +a(g18 +Velts +p4312 +tp4313 +a(g198 +V; +tp4314 +a(g185 +V\u000a +tp4315 +a(g185 +V +tp4316 +a(g198 +V{ +tp4317 +a(g185 +V\u000a +tp4318 +a(g185 +V +p4319 +tp4320 +a(g18 +VVALUE +p4321 +tp4322 +a(g185 +V +tp4323 +a(g18 +Vary +p4324 +tp4325 +a(g198 +V; +tp4326 +a(g185 +V\u000a +tp4327 +a(g185 +V\u000a +tp4328 +a(g185 +V +p4329 +tp4330 +a(g185 +V +tp4331 +a(g18 +Vary +p4332 +tp4333 +a(g185 +V +tp4334 +a(g340 +V= +tp4335 +a(g185 +V +tp4336 +a(g18 +Vrb_ary_new2 +p4337 +tp4338 +a(g198 +V( +tp4339 +a(g18 +Vn +tp4340 +a(g198 +V) +tp4341 +a(g198 +V; +tp4342 +a(g185 +V\u000a +tp4343 +a(g185 +V +p4344 +tp4345 +a(g185 +V +tp4346 +a(g111 +Vif +p4347 +tp4348 +a(g185 +V +tp4349 +a(g198 +V( +tp4350 +a(g18 +Vn +tp4351 +a(g185 +V +tp4352 +a(g340 +V> +tp4353 +a(g185 +V +tp4354 +a(g315 +V0 +tp4355 +a(g185 +V +tp4356 +a(g340 +V& +tp4357 +a(g340 +V& +tp4358 +a(g185 +V +tp4359 +a(g18 +Velts +p4360 +tp4361 +a(g198 +V) +tp4362 +a(g185 +V +tp4363 +a(g198 +V{ +tp4364 +a(g185 +V\u000a +tp4365 +a(g185 +V +tp4366 +a(g18 +VMEMCPY +p4367 +tp4368 +a(g198 +V( +tp4369 +a(g18 +VRARRAY +p4370 +tp4371 +a(g198 +V( +tp4372 +a(g18 +Vary +p4373 +tp4374 +a(g198 +V) +tp4375 +a(g340 +V- +tp4376 +a(g340 +V> +tp4377 +a(g18 +Vptr +p4378 +tp4379 +a(g198 +V, +tp4380 +a(g185 +V +tp4381 +a(g18 +Velts +p4382 +tp4383 +a(g198 +V, +tp4384 +a(g185 +V +tp4385 +a(g18 +VVALUE +p4386 +tp4387 +a(g198 +V, +tp4388 +a(g185 +V +tp4389 +a(g18 +Vn +tp4390 +a(g198 +V) +tp4391 +a(g198 +V; +tp4392 +a(g185 +V\u000a +tp4393 +a(g185 +V +p4394 +tp4395 +a(g185 +V +tp4396 +a(g198 +V} +tp4397 +a(g185 +V\u000a +tp4398 +a(g185 +V +p4399 +tp4400 +a(g18 +VRARRAY +p4401 +tp4402 +a(g198 +V( +tp4403 +a(g18 +Vary +p4404 +tp4405 +a(g198 +V) +tp4406 +a(g340 +V- +tp4407 +a(g340 +V> +tp4408 +a(g18 +Vlen +p4409 +tp4410 +a(g185 +V +tp4411 +a(g340 +V= +tp4412 +a(g185 +V +tp4413 +a(g18 +Vn +tp4414 +a(g198 +V; +tp4415 +a(g185 +V\u000a +tp4416 +a(g185 +V\u000a +tp4417 +a(g185 +V +p4418 +tp4419 +a(g185 +V +tp4420 +a(g111 +Vreturn +p4421 +tp4422 +a(g185 +V +tp4423 +a(g18 +Vary +p4424 +tp4425 +a(g198 +V; +tp4426 +a(g185 +V\u000a +tp4427 +a(g185 +V +tp4428 +a(g198 +V} +tp4429 +a(g185 +V\u000a +tp4430 +a(g185 +V\u000a +tp4431 +a(g18 +VVALUE +p4432 +tp4433 +a(g185 +V\u000a +tp4434 +a(g7 +V# +tp4435 +a(g7 +Vifdef HAVE_STDARG_PROTOTYPES +p4436 +tp4437 +a(g7 +V\u000a +tp4438 +a(g18 +Vrb_values_new +p4439 +tp4440 +a(g198 +V( +tp4441 +a(g135 +Vlong +p4442 +tp4443 +a(g185 +V +tp4444 +a(g18 +Vn +tp4445 +a(g198 +V, +tp4446 +a(g185 +V +tp4447 +a(g198 +V. +tp4448 +a(g198 +V. +tp4449 +a(g198 +V. +tp4450 +a(g198 +V) +tp4451 +a(g185 +V\u000a +tp4452 +a(g7 +V# +tp4453 +a(g7 +Velse +p4454 +tp4455 +a(g7 +V\u000a +tp4456 +a(g18 +Vrb_values_new +p4457 +tp4458 +a(g198 +V( +tp4459 +a(g18 +Vn +tp4460 +a(g198 +V, +tp4461 +a(g185 +V +tp4462 +a(g18 +Vva_alist +p4463 +tp4464 +a(g198 +V) +tp4465 +a(g185 +V\u000a +tp4466 +a(g185 +V +p4467 +tp4468 +a(g135 +Vlong +p4469 +tp4470 +a(g185 +V +tp4471 +a(g18 +Vn +tp4472 +a(g198 +V; +tp4473 +a(g185 +V\u000a +tp4474 +a(g185 +V +p4475 +tp4476 +a(g185 +V +tp4477 +a(g18 +Vva_dcl +p4478 +tp4479 +a(g185 +V\u000a +tp4480 +a(g7 +V# +tp4481 +a(g7 +Vendif +p4482 +tp4483 +a(g7 +V\u000a +tp4484 +a(g198 +V{ +tp4485 +a(g185 +V\u000a +tp4486 +a(g185 +V +p4487 +tp4488 +a(g135 +Vva_list +p4489 +tp4490 +a(g185 +V +tp4491 +a(g18 +Var +p4492 +tp4493 +a(g198 +V; +tp4494 +a(g185 +V\u000a +tp4495 +a(g185 +V +p4496 +tp4497 +a(g185 +V +tp4498 +a(g18 +VVALUE +p4499 +tp4500 +a(g185 +V +tp4501 +a(g18 +Vval +p4502 +tp4503 +a(g198 +V; +tp4504 +a(g185 +V\u000a +tp4505 +a(g185 +V +p4506 +tp4507 +a(g185 +V +tp4508 +a(g135 +Vlong +p4509 +tp4510 +a(g185 +V +tp4511 +a(g18 +Vi +tp4512 +a(g198 +V; +tp4513 +a(g185 +V\u000a +tp4514 +a(g185 +V\u000a +tp4515 +a(g185 +V +p4516 +tp4517 +a(g185 +V +tp4518 +a(g18 +Vval +p4519 +tp4520 +a(g185 +V +tp4521 +a(g340 +V= +tp4522 +a(g185 +V +tp4523 +a(g18 +Vary_new +p4524 +tp4525 +a(g198 +V( +tp4526 +a(g18 +Vrb_cValues +p4527 +tp4528 +a(g198 +V, +tp4529 +a(g185 +V +tp4530 +a(g18 +Vn +tp4531 +a(g198 +V) +tp4532 +a(g198 +V; +tp4533 +a(g185 +V\u000a +tp4534 +a(g185 +V +p4535 +tp4536 +a(g185 +V +tp4537 +a(g18 +Vva_init_list +p4538 +tp4539 +a(g198 +V( +tp4540 +a(g18 +Var +p4541 +tp4542 +a(g198 +V, +tp4543 +a(g185 +V +tp4544 +a(g18 +Vn +tp4545 +a(g198 +V) +tp4546 +a(g198 +V; +tp4547 +a(g185 +V\u000a +tp4548 +a(g185 +V +p4549 +tp4550 +a(g185 +V +tp4551 +a(g111 +Vfor +p4552 +tp4553 +a(g185 +V +tp4554 +a(g198 +V( +tp4555 +a(g18 +Vi +tp4556 +a(g340 +V= +tp4557 +a(g315 +V0 +tp4558 +a(g198 +V; +tp4559 +a(g185 +V +tp4560 +a(g185 +V +tp4561 +a(g18 +Vi +tp4562 +a(g340 +V< +tp4563 +a(g18 +Vn +tp4564 +a(g198 +V; +tp4565 +a(g185 +V +tp4566 +a(g185 +V +tp4567 +a(g18 +Vi +tp4568 +a(g340 +V+ +tp4569 +a(g340 +V+ +tp4570 +a(g198 +V) +tp4571 +a(g185 +V +tp4572 +a(g198 +V{ +tp4573 +a(g185 +V\u000a +tp4574 +a(g185 +V +tp4575 +a(g18 +VRARRAY +p4576 +tp4577 +a(g198 +V( +tp4578 +a(g18 +Vval +p4579 +tp4580 +a(g198 +V) +tp4581 +a(g340 +V- +tp4582 +a(g340 +V> +tp4583 +a(g18 +Vptr +p4584 +tp4585 +a(g198 +V[ +tp4586 +a(g18 +Vi +tp4587 +a(g198 +V] +tp4588 +a(g185 +V +tp4589 +a(g340 +V= +tp4590 +a(g185 +V +tp4591 +a(g18 +Vva_arg +p4592 +tp4593 +a(g198 +V( +tp4594 +a(g18 +Var +p4595 +tp4596 +a(g198 +V, +tp4597 +a(g185 +V +tp4598 +a(g18 +VVALUE +p4599 +tp4600 +a(g198 +V) +tp4601 +a(g198 +V; +tp4602 +a(g185 +V\u000a +tp4603 +a(g185 +V +p4604 +tp4605 +a(g185 +V +tp4606 +a(g198 +V} +tp4607 +a(g185 +V\u000a +tp4608 +a(g185 +V +p4609 +tp4610 +a(g18 +Vva_end +p4611 +tp4612 +a(g198 +V( +tp4613 +a(g18 +Var +p4614 +tp4615 +a(g198 +V) +tp4616 +a(g198 +V; +tp4617 +a(g185 +V\u000a +tp4618 +a(g185 +V +p4619 +tp4620 +a(g185 +V +tp4621 +a(g18 +VRARRAY +p4622 +tp4623 +a(g198 +V( +tp4624 +a(g18 +Vval +p4625 +tp4626 +a(g198 +V) +tp4627 +a(g340 +V- +tp4628 +a(g340 +V> +tp4629 +a(g18 +Vlen +p4630 +tp4631 +a(g185 +V +tp4632 +a(g340 +V= +tp4633 +a(g185 +V +tp4634 +a(g18 +Vn +tp4635 +a(g198 +V; +tp4636 +a(g185 +V\u000a +tp4637 +a(g185 +V\u000a +tp4638 +a(g185 +V +p4639 +tp4640 +a(g185 +V +tp4641 +a(g111 +Vreturn +p4642 +tp4643 +a(g185 +V +tp4644 +a(g18 +Vval +p4645 +tp4646 +a(g198 +V; +tp4647 +a(g185 +V\u000a +tp4648 +a(g185 +V +tp4649 +a(g198 +V} +tp4650 +a(g185 +V\u000a +tp4651 +a(g185 +V\u000a +tp4652 +a(g18 +VVALUE +p4653 +tp4654 +a(g185 +V\u000a +tp4655 +a(g18 +Vrb_values_new2 +p4656 +tp4657 +a(g198 +V( +tp4658 +a(g18 +Vn +tp4659 +a(g198 +V, +tp4660 +a(g185 +V +tp4661 +a(g18 +Velts +p4662 +tp4663 +a(g198 +V) +tp4664 +a(g185 +V\u000a +tp4665 +a(g185 +V +p4666 +tp4667 +a(g135 +Vlong +p4668 +tp4669 +a(g185 +V +tp4670 +a(g18 +Vn +tp4671 +a(g198 +V; +tp4672 +a(g185 +V\u000a +tp4673 +a(g185 +V +p4674 +tp4675 +a(g185 +V +tp4676 +a(g111 +Vconst +p4677 +tp4678 +a(g185 +V +tp4679 +a(g18 +VVALUE +p4680 +tp4681 +a(g185 +V +tp4682 +a(g340 +V* +tp4683 +a(g18 +Velts +p4684 +tp4685 +a(g198 +V; +tp4686 +a(g185 +V\u000a +tp4687 +a(g185 +V +tp4688 +a(g198 +V{ +tp4689 +a(g185 +V\u000a +tp4690 +a(g185 +V +p4691 +tp4692 +a(g18 +VVALUE +p4693 +tp4694 +a(g185 +V +tp4695 +a(g18 +Vval +p4696 +tp4697 +a(g198 +V; +tp4698 +a(g185 +V\u000a +tp4699 +a(g185 +V\u000a +tp4700 +a(g185 +V +p4701 +tp4702 +a(g185 +V +tp4703 +a(g18 +Vval +p4704 +tp4705 +a(g185 +V +tp4706 +a(g340 +V= +tp4707 +a(g185 +V +tp4708 +a(g18 +Vary_new +p4709 +tp4710 +a(g198 +V( +tp4711 +a(g18 +Vrb_cValues +p4712 +tp4713 +a(g198 +V, +tp4714 +a(g185 +V +tp4715 +a(g18 +Vn +tp4716 +a(g198 +V) +tp4717 +a(g198 +V; +tp4718 +a(g185 +V\u000a +tp4719 +a(g185 +V +p4720 +tp4721 +a(g185 +V +tp4722 +a(g111 +Vif +p4723 +tp4724 +a(g185 +V +tp4725 +a(g198 +V( +tp4726 +a(g18 +Vn +tp4727 +a(g185 +V +tp4728 +a(g340 +V> +tp4729 +a(g185 +V +tp4730 +a(g315 +V0 +tp4731 +a(g185 +V +tp4732 +a(g340 +V& +tp4733 +a(g340 +V& +tp4734 +a(g185 +V +tp4735 +a(g18 +Velts +p4736 +tp4737 +a(g198 +V) +tp4738 +a(g185 +V +tp4739 +a(g198 +V{ +tp4740 +a(g185 +V\u000a +tp4741 +a(g185 +V +tp4742 +a(g18 +VRARRAY +p4743 +tp4744 +a(g198 +V( +tp4745 +a(g18 +Vval +p4746 +tp4747 +a(g198 +V) +tp4748 +a(g340 +V- +tp4749 +a(g340 +V> +tp4750 +a(g18 +Vlen +p4751 +tp4752 +a(g185 +V +tp4753 +a(g340 +V= +tp4754 +a(g185 +V +tp4755 +a(g18 +Vn +tp4756 +a(g198 +V; +tp4757 +a(g185 +V\u000a +tp4758 +a(g185 +V +tp4759 +a(g185 +V +tp4760 +a(g18 +VMEMCPY +p4761 +tp4762 +a(g198 +V( +tp4763 +a(g18 +VRARRAY +p4764 +tp4765 +a(g198 +V( +tp4766 +a(g18 +Vval +p4767 +tp4768 +a(g198 +V) +tp4769 +a(g340 +V- +tp4770 +a(g340 +V> +tp4771 +a(g18 +Vptr +p4772 +tp4773 +a(g198 +V, +tp4774 +a(g185 +V +tp4775 +a(g18 +Velts +p4776 +tp4777 +a(g198 +V, +tp4778 +a(g185 +V +tp4779 +a(g18 +VVALUE +p4780 +tp4781 +a(g198 +V, +tp4782 +a(g185 +V +tp4783 +a(g18 +Vn +tp4784 +a(g198 +V) +tp4785 +a(g198 +V; +tp4786 +a(g185 +V\u000a +tp4787 +a(g185 +V +p4788 +tp4789 +a(g185 +V +tp4790 +a(g198 +V} +tp4791 +a(g185 +V\u000a +tp4792 +a(g185 +V\u000a +tp4793 +a(g185 +V +p4794 +tp4795 +a(g111 +Vreturn +p4796 +tp4797 +a(g185 +V +tp4798 +a(g18 +Vval +p4799 +tp4800 +a(g198 +V; +tp4801 +a(g185 +V\u000a +tp4802 +a(g185 +V +tp4803 +a(g198 +V} +tp4804 +a(g185 +V\u000a +tp4805 +a(g185 +V\u000a +tp4806 +a(g111 +Vstatic +p4807 +tp4808 +a(g185 +V +tp4809 +a(g18 +VVALUE +p4810 +tp4811 +a(g185 +V\u000a +tp4812 +a(g18 +Vary_make_shared +p4813 +tp4814 +a(g198 +V( +tp4815 +a(g18 +Vary +p4816 +tp4817 +a(g198 +V) +tp4818 +a(g185 +V\u000a +tp4819 +a(g185 +V +p4820 +tp4821 +a(g18 +VVALUE +p4822 +tp4823 +a(g185 +V +tp4824 +a(g18 +Vary +p4825 +tp4826 +a(g198 +V; +tp4827 +a(g185 +V\u000a +tp4828 +a(g185 +V +tp4829 +a(g198 +V{ +tp4830 +a(g185 +V\u000a +tp4831 +a(g185 +V +p4832 +tp4833 +a(g111 +Vif +p4834 +tp4835 +a(g185 +V +tp4836 +a(g198 +V( +tp4837 +a(g340 +V! +tp4838 +a(g18 +VFL_TEST +p4839 +tp4840 +a(g198 +V( +tp4841 +a(g18 +Vary +p4842 +tp4843 +a(g198 +V, +tp4844 +a(g185 +V +tp4845 +a(g18 +VELTS_SHARED +p4846 +tp4847 +a(g198 +V) +tp4848 +a(g198 +V) +tp4849 +a(g185 +V +tp4850 +a(g198 +V{ +tp4851 +a(g185 +V\u000a +tp4852 +a(g185 +V +tp4853 +a(g18 +VNEWOBJ +p4854 +tp4855 +a(g198 +V( +tp4856 +a(g18 +Vshared +p4857 +tp4858 +a(g198 +V, +tp4859 +a(g185 +V +tp4860 +a(g111 +Vstruct +p4861 +tp4862 +a(g185 +V +tp4863 +a(g18 +VRArray +p4864 +tp4865 +a(g198 +V) +tp4866 +a(g198 +V; +tp4867 +a(g185 +V\u000a +tp4868 +a(g185 +V +tp4869 +a(g185 +V +tp4870 +a(g18 +VOBJSETUP +p4871 +tp4872 +a(g198 +V( +tp4873 +a(g18 +Vshared +p4874 +tp4875 +a(g198 +V, +tp4876 +a(g185 +V +tp4877 +a(g18 +Vrb_cArray +p4878 +tp4879 +a(g198 +V, +tp4880 +a(g185 +V +tp4881 +a(g18 +VT_ARRAY +p4882 +tp4883 +a(g198 +V) +tp4884 +a(g198 +V; +tp4885 +a(g185 +V\u000a +tp4886 +a(g185 +V\u000a +tp4887 +a(g185 +V +tp4888 +a(g185 +V +tp4889 +a(g18 +Vshared +p4890 +tp4891 +a(g340 +V- +tp4892 +a(g340 +V> +tp4893 +a(g18 +Vlen +p4894 +tp4895 +a(g185 +V +tp4896 +a(g340 +V= +tp4897 +a(g185 +V +tp4898 +a(g18 +VRARRAY +p4899 +tp4900 +a(g198 +V( +tp4901 +a(g18 +Vary +p4902 +tp4903 +a(g198 +V) +tp4904 +a(g340 +V- +tp4905 +a(g340 +V> +tp4906 +a(g18 +Vlen +p4907 +tp4908 +a(g198 +V; +tp4909 +a(g185 +V\u000a +tp4910 +a(g185 +V +tp4911 +a(g185 +V +tp4912 +a(g18 +Vshared +p4913 +tp4914 +a(g340 +V- +tp4915 +a(g340 +V> +tp4916 +a(g18 +Vptr +p4917 +tp4918 +a(g185 +V +tp4919 +a(g340 +V= +tp4920 +a(g185 +V +tp4921 +a(g18 +VRARRAY +p4922 +tp4923 +a(g198 +V( +tp4924 +a(g18 +Vary +p4925 +tp4926 +a(g198 +V) +tp4927 +a(g340 +V- +tp4928 +a(g340 +V> +tp4929 +a(g18 +Vptr +p4930 +tp4931 +a(g198 +V; +tp4932 +a(g185 +V\u000a +tp4933 +a(g185 +V +tp4934 +a(g185 +V +tp4935 +a(g18 +Vshared +p4936 +tp4937 +a(g340 +V- +tp4938 +a(g340 +V> +tp4939 +a(g18 +Vaux +p4940 +tp4941 +a(g198 +V. +tp4942 +a(g18 +Vcapa +p4943 +tp4944 +a(g185 +V +tp4945 +a(g340 +V= +tp4946 +a(g185 +V +tp4947 +a(g18 +VRARRAY +p4948 +tp4949 +a(g198 +V( +tp4950 +a(g18 +Vary +p4951 +tp4952 +a(g198 +V) +tp4953 +a(g340 +V- +tp4954 +a(g340 +V> +tp4955 +a(g18 +Vaux +p4956 +tp4957 +a(g198 +V. +tp4958 +a(g18 +Vcapa +p4959 +tp4960 +a(g198 +V; +tp4961 +a(g185 +V\u000a +tp4962 +a(g185 +V +tp4963 +a(g185 +V +tp4964 +a(g18 +VRARRAY +p4965 +tp4966 +a(g198 +V( +tp4967 +a(g18 +Vary +p4968 +tp4969 +a(g198 +V) +tp4970 +a(g340 +V- +tp4971 +a(g340 +V> +tp4972 +a(g18 +Vaux +p4973 +tp4974 +a(g198 +V. +tp4975 +a(g18 +Vshared +p4976 +tp4977 +a(g185 +V +tp4978 +a(g340 +V= +tp4979 +a(g185 +V +tp4980 +a(g198 +V( +tp4981 +a(g18 +VVALUE +p4982 +tp4983 +a(g198 +V) +tp4984 +a(g18 +Vshared +p4985 +tp4986 +a(g198 +V; +tp4987 +a(g185 +V\u000a +tp4988 +a(g185 +V +tp4989 +a(g185 +V +tp4990 +a(g18 +VFL_SET +p4991 +tp4992 +a(g198 +V( +tp4993 +a(g18 +Vary +p4994 +tp4995 +a(g198 +V, +tp4996 +a(g185 +V +tp4997 +a(g18 +VELTS_SHARED +p4998 +tp4999 +a(g198 +V) +tp5000 +a(g198 +V; +tp5001 +a(g185 +V\u000a +tp5002 +a(g185 +V +tp5003 +a(g185 +V +tp5004 +a(g18 +VOBJ_FREEZE +p5005 +tp5006 +a(g198 +V( +tp5007 +a(g18 +Vshared +p5008 +tp5009 +a(g198 +V) +tp5010 +a(g198 +V; +tp5011 +a(g185 +V\u000a +tp5012 +a(g185 +V +tp5013 +a(g185 +V +tp5014 +a(g111 +Vreturn +p5015 +tp5016 +a(g185 +V +tp5017 +a(g198 +V( +tp5018 +a(g18 +VVALUE +p5019 +tp5020 +a(g198 +V) +tp5021 +a(g18 +Vshared +p5022 +tp5023 +a(g198 +V; +tp5024 +a(g185 +V\u000a +tp5025 +a(g185 +V +p5026 +tp5027 +a(g185 +V +tp5028 +a(g198 +V} +tp5029 +a(g185 +V\u000a +tp5030 +a(g185 +V +p5031 +tp5032 +a(g111 +Velse +p5033 +tp5034 +a(g185 +V +tp5035 +a(g198 +V{ +tp5036 +a(g185 +V\u000a +tp5037 +a(g185 +V +tp5038 +a(g111 +Vreturn +p5039 +tp5040 +a(g185 +V +tp5041 +a(g18 +VRARRAY +p5042 +tp5043 +a(g198 +V( +tp5044 +a(g18 +Vary +p5045 +tp5046 +a(g198 +V) +tp5047 +a(g340 +V- +tp5048 +a(g340 +V> +tp5049 +a(g18 +Vaux +p5050 +tp5051 +a(g198 +V. +tp5052 +a(g18 +Vshared +p5053 +tp5054 +a(g198 +V; +tp5055 +a(g185 +V\u000a +tp5056 +a(g185 +V +p5057 +tp5058 +a(g185 +V +tp5059 +a(g198 +V} +tp5060 +a(g185 +V\u000a +tp5061 +a(g198 +V} +tp5062 +a(g185 +V\u000a +tp5063 +a(g185 +V\u000a +tp5064 +a(g111 +Vstatic +p5065 +tp5066 +a(g185 +V +tp5067 +a(g18 +VVALUE +p5068 +tp5069 +a(g185 +V\u000a +tp5070 +a(g18 +Vary_shared_array +p5071 +tp5072 +a(g198 +V( +tp5073 +a(g18 +Vklass +p5074 +tp5075 +a(g198 +V, +tp5076 +a(g185 +V +tp5077 +a(g18 +Vary +p5078 +tp5079 +a(g198 +V) +tp5080 +a(g185 +V\u000a +tp5081 +a(g185 +V +p5082 +tp5083 +a(g18 +VVALUE +p5084 +tp5085 +a(g185 +V +tp5086 +a(g18 +Vklass +p5087 +tp5088 +a(g198 +V, +tp5089 +a(g185 +V +tp5090 +a(g18 +Vary +p5091 +tp5092 +a(g198 +V; +tp5093 +a(g185 +V\u000a +tp5094 +a(g185 +V +tp5095 +a(g198 +V{ +tp5096 +a(g185 +V\u000a +tp5097 +a(g185 +V +p5098 +tp5099 +a(g18 +VVALUE +p5100 +tp5101 +a(g185 +V +tp5102 +a(g18 +Vval +p5103 +tp5104 +a(g185 +V +tp5105 +a(g340 +V= +tp5106 +a(g185 +V +tp5107 +a(g18 +Vary_alloc +p5108 +tp5109 +a(g198 +V( +tp5110 +a(g18 +Vklass +p5111 +tp5112 +a(g198 +V) +tp5113 +a(g198 +V; +tp5114 +a(g185 +V\u000a +tp5115 +a(g185 +V\u000a +tp5116 +a(g185 +V +p5117 +tp5118 +a(g185 +V +tp5119 +a(g18 +Vary_make_shared +p5120 +tp5121 +a(g198 +V( +tp5122 +a(g18 +Vary +p5123 +tp5124 +a(g198 +V) +tp5125 +a(g198 +V; +tp5126 +a(g185 +V\u000a +tp5127 +a(g185 +V +p5128 +tp5129 +a(g185 +V +tp5130 +a(g18 +VRARRAY +p5131 +tp5132 +a(g198 +V( +tp5133 +a(g18 +Vval +p5134 +tp5135 +a(g198 +V) +tp5136 +a(g340 +V- +tp5137 +a(g340 +V> +tp5138 +a(g18 +Vptr +p5139 +tp5140 +a(g185 +V +tp5141 +a(g340 +V= +tp5142 +a(g185 +V +tp5143 +a(g18 +VRARRAY +p5144 +tp5145 +a(g198 +V( +tp5146 +a(g18 +Vary +p5147 +tp5148 +a(g198 +V) +tp5149 +a(g340 +V- +tp5150 +a(g340 +V> +tp5151 +a(g18 +Vptr +p5152 +tp5153 +a(g198 +V; +tp5154 +a(g185 +V\u000a +tp5155 +a(g185 +V +p5156 +tp5157 +a(g185 +V +tp5158 +a(g18 +VRARRAY +p5159 +tp5160 +a(g198 +V( +tp5161 +a(g18 +Vval +p5162 +tp5163 +a(g198 +V) +tp5164 +a(g340 +V- +tp5165 +a(g340 +V> +tp5166 +a(g18 +Vlen +p5167 +tp5168 +a(g185 +V +tp5169 +a(g340 +V= +tp5170 +a(g185 +V +tp5171 +a(g18 +VRARRAY +p5172 +tp5173 +a(g198 +V( +tp5174 +a(g18 +Vary +p5175 +tp5176 +a(g198 +V) +tp5177 +a(g340 +V- +tp5178 +a(g340 +V> +tp5179 +a(g18 +Vlen +p5180 +tp5181 +a(g198 +V; +tp5182 +a(g185 +V\u000a +tp5183 +a(g185 +V +p5184 +tp5185 +a(g185 +V +tp5186 +a(g18 +VRARRAY +p5187 +tp5188 +a(g198 +V( +tp5189 +a(g18 +Vval +p5190 +tp5191 +a(g198 +V) +tp5192 +a(g340 +V- +tp5193 +a(g340 +V> +tp5194 +a(g18 +Vaux +p5195 +tp5196 +a(g198 +V. +tp5197 +a(g18 +Vshared +p5198 +tp5199 +a(g185 +V +tp5200 +a(g340 +V= +tp5201 +a(g185 +V +tp5202 +a(g18 +VRARRAY +p5203 +tp5204 +a(g198 +V( +tp5205 +a(g18 +Vary +p5206 +tp5207 +a(g198 +V) +tp5208 +a(g340 +V- +tp5209 +a(g340 +V> +tp5210 +a(g18 +Vaux +p5211 +tp5212 +a(g198 +V. +tp5213 +a(g18 +Vshared +p5214 +tp5215 +a(g198 +V; +tp5216 +a(g185 +V\u000a +tp5217 +a(g185 +V +p5218 +tp5219 +a(g185 +V +tp5220 +a(g18 +VFL_SET +p5221 +tp5222 +a(g198 +V( +tp5223 +a(g18 +Vval +p5224 +tp5225 +a(g198 +V, +tp5226 +a(g185 +V +tp5227 +a(g18 +VELTS_SHARED +p5228 +tp5229 +a(g198 +V) +tp5230 +a(g198 +V; +tp5231 +a(g185 +V\u000a +tp5232 +a(g185 +V +p5233 +tp5234 +a(g185 +V +tp5235 +a(g111 +Vreturn +p5236 +tp5237 +a(g185 +V +tp5238 +a(g18 +Vval +p5239 +tp5240 +a(g198 +V; +tp5241 +a(g185 +V\u000a +tp5242 +a(g185 +V +tp5243 +a(g198 +V} +tp5244 +a(g185 +V\u000a +tp5245 +a(g185 +V\u000a +tp5246 +a(g18 +VVALUE +p5247 +tp5248 +a(g185 +V\u000a +tp5249 +a(g18 +Vrb_values_from_ary +p5250 +tp5251 +a(g198 +V( +tp5252 +a(g18 +Vary +p5253 +tp5254 +a(g198 +V) +tp5255 +a(g185 +V\u000a +tp5256 +a(g185 +V +p5257 +tp5258 +a(g18 +VVALUE +p5259 +tp5260 +a(g185 +V +tp5261 +a(g18 +Vary +p5262 +tp5263 +a(g198 +V; +tp5264 +a(g185 +V\u000a +tp5265 +a(g185 +V +tp5266 +a(g198 +V{ +tp5267 +a(g185 +V\u000a +tp5268 +a(g185 +V +p5269 +tp5270 +a(g111 +Vreturn +p5271 +tp5272 +a(g185 +V +tp5273 +a(g18 +Vary_shared_array +p5274 +tp5275 +a(g198 +V( +tp5276 +a(g18 +Vrb_cValues +p5277 +tp5278 +a(g198 +V, +tp5279 +a(g185 +V +tp5280 +a(g18 +Vary +p5281 +tp5282 +a(g198 +V) +tp5283 +a(g198 +V; +tp5284 +a(g185 +V\u000a +tp5285 +a(g185 +V +tp5286 +a(g198 +V} +tp5287 +a(g185 +V\u000a +tp5288 +a(g185 +V\u000a +tp5289 +a(g18 +VVALUE +p5290 +tp5291 +a(g185 +V\u000a +tp5292 +a(g18 +Vrb_ary_from_values +p5293 +tp5294 +a(g198 +V( +tp5295 +a(g18 +Vval +p5296 +tp5297 +a(g198 +V) +tp5298 +a(g185 +V\u000a +tp5299 +a(g185 +V +p5300 +tp5301 +a(g18 +VVALUE +p5302 +tp5303 +a(g185 +V +tp5304 +a(g18 +Vval +p5305 +tp5306 +a(g198 +V; +tp5307 +a(g185 +V\u000a +tp5308 +a(g185 +V +tp5309 +a(g198 +V{ +tp5310 +a(g185 +V\u000a +tp5311 +a(g185 +V +p5312 +tp5313 +a(g111 +Vreturn +p5314 +tp5315 +a(g185 +V +tp5316 +a(g18 +Vary_shared_array +p5317 +tp5318 +a(g198 +V( +tp5319 +a(g18 +Vrb_cArray +p5320 +tp5321 +a(g198 +V, +tp5322 +a(g185 +V +tp5323 +a(g18 +Vval +p5324 +tp5325 +a(g198 +V) +tp5326 +a(g198 +V; +tp5327 +a(g185 +V\u000a +tp5328 +a(g185 +V +tp5329 +a(g198 +V} +tp5330 +a(g185 +V\u000a +tp5331 +a(g185 +V\u000a +tp5332 +a(g18 +VVALUE +p5333 +tp5334 +a(g185 +V\u000a +tp5335 +a(g18 +Vrb_assoc_new +p5336 +tp5337 +a(g198 +V( +tp5338 +a(g18 +Vcar +p5339 +tp5340 +a(g198 +V, +tp5341 +a(g185 +V +tp5342 +a(g18 +Vcdr +p5343 +tp5344 +a(g198 +V) +tp5345 +a(g185 +V\u000a +tp5346 +a(g185 +V +p5347 +tp5348 +a(g18 +VVALUE +p5349 +tp5350 +a(g185 +V +tp5351 +a(g18 +Vcar +p5352 +tp5353 +a(g198 +V, +tp5354 +a(g185 +V +tp5355 +a(g18 +Vcdr +p5356 +tp5357 +a(g198 +V; +tp5358 +a(g185 +V\u000a +tp5359 +a(g185 +V +tp5360 +a(g198 +V{ +tp5361 +a(g185 +V\u000a +tp5362 +a(g185 +V +p5363 +tp5364 +a(g111 +Vreturn +p5365 +tp5366 +a(g185 +V +tp5367 +a(g18 +Vrb_values_new +p5368 +tp5369 +a(g198 +V( +tp5370 +a(g315 +V2 +tp5371 +a(g198 +V, +tp5372 +a(g185 +V +tp5373 +a(g18 +Vcar +p5374 +tp5375 +a(g198 +V, +tp5376 +a(g185 +V +tp5377 +a(g18 +Vcdr +p5378 +tp5379 +a(g198 +V) +tp5380 +a(g198 +V; +tp5381 +a(g185 +V\u000a +tp5382 +a(g185 +V +tp5383 +a(g198 +V} +tp5384 +a(g185 +V\u000a +tp5385 +a(g185 +V\u000a +tp5386 +a(g111 +Vstatic +p5387 +tp5388 +a(g185 +V +tp5389 +a(g18 +VVALUE +p5390 +tp5391 +a(g185 +V\u000a +tp5392 +a(g18 +Vto_ary +p5393 +tp5394 +a(g198 +V( +tp5395 +a(g18 +Vary +p5396 +tp5397 +a(g198 +V) +tp5398 +a(g185 +V\u000a +tp5399 +a(g185 +V +p5400 +tp5401 +a(g18 +VVALUE +p5402 +tp5403 +a(g185 +V +tp5404 +a(g18 +Vary +p5405 +tp5406 +a(g198 +V; +tp5407 +a(g185 +V\u000a +tp5408 +a(g185 +V +tp5409 +a(g198 +V{ +tp5410 +a(g185 +V\u000a +tp5411 +a(g185 +V +p5412 +tp5413 +a(g111 +Vreturn +p5414 +tp5415 +a(g185 +V +tp5416 +a(g18 +Vrb_convert_type +p5417 +tp5418 +a(g198 +V( +tp5419 +a(g18 +Vary +p5420 +tp5421 +a(g198 +V, +tp5422 +a(g185 +V +tp5423 +a(g18 +VT_ARRAY +p5424 +tp5425 +a(g198 +V, +tp5426 +a(g185 +V +tp5427 +a(g222 +V" +tp5428 +a(g222 +VArray +p5429 +tp5430 +a(g222 +V" +tp5431 +a(g198 +V, +tp5432 +a(g185 +V +tp5433 +a(g222 +V" +tp5434 +a(g222 +Vto_ary +p5435 +tp5436 +a(g222 +V" +tp5437 +a(g198 +V) +tp5438 +a(g198 +V; +tp5439 +a(g185 +V\u000a +tp5440 +a(g185 +V +tp5441 +a(g198 +V} +tp5442 +a(g185 +V\u000a +tp5443 +a(g185 +V\u000a +tp5444 +a(g111 +Vstatic +p5445 +tp5446 +a(g185 +V +tp5447 +a(g18 +VVALUE +p5448 +tp5449 +a(g185 +V\u000a +tp5450 +a(g18 +Vto_a +p5451 +tp5452 +a(g198 +V( +tp5453 +a(g18 +Vary +p5454 +tp5455 +a(g198 +V) +tp5456 +a(g185 +V\u000a +tp5457 +a(g185 +V +p5458 +tp5459 +a(g18 +VVALUE +p5460 +tp5461 +a(g185 +V +tp5462 +a(g18 +Vary +p5463 +tp5464 +a(g198 +V; +tp5465 +a(g185 +V\u000a +tp5466 +a(g185 +V +tp5467 +a(g198 +V{ +tp5468 +a(g185 +V\u000a +tp5469 +a(g185 +V +p5470 +tp5471 +a(g111 +Vreturn +p5472 +tp5473 +a(g185 +V +tp5474 +a(g18 +Vrb_convert_type +p5475 +tp5476 +a(g198 +V( +tp5477 +a(g18 +Vary +p5478 +tp5479 +a(g198 +V, +tp5480 +a(g185 +V +tp5481 +a(g18 +VT_ARRAY +p5482 +tp5483 +a(g198 +V, +tp5484 +a(g185 +V +tp5485 +a(g222 +V" +tp5486 +a(g222 +VArray +p5487 +tp5488 +a(g222 +V" +tp5489 +a(g198 +V, +tp5490 +a(g185 +V +tp5491 +a(g222 +V" +tp5492 +a(g222 +Vto_a +p5493 +tp5494 +a(g222 +V" +tp5495 +a(g198 +V) +tp5496 +a(g198 +V; +tp5497 +a(g185 +V\u000a +tp5498 +a(g185 +V +tp5499 +a(g198 +V} +tp5500 +a(g185 +V\u000a +tp5501 +a(g185 +V\u000a +tp5502 +a(g18 +VVALUE +p5503 +tp5504 +a(g185 +V\u000a +tp5505 +a(g18 +Vrb_check_array_type +p5506 +tp5507 +a(g198 +V( +tp5508 +a(g18 +Vary +p5509 +tp5510 +a(g198 +V) +tp5511 +a(g185 +V\u000a +tp5512 +a(g185 +V +p5513 +tp5514 +a(g18 +VVALUE +p5515 +tp5516 +a(g185 +V +tp5517 +a(g18 +Vary +p5518 +tp5519 +a(g198 +V; +tp5520 +a(g185 +V\u000a +tp5521 +a(g185 +V +tp5522 +a(g198 +V{ +tp5523 +a(g185 +V\u000a +tp5524 +a(g185 +V +p5525 +tp5526 +a(g111 +Vreturn +p5527 +tp5528 +a(g185 +V +tp5529 +a(g18 +Vrb_check_convert_type +p5530 +tp5531 +a(g198 +V( +tp5532 +a(g18 +Vary +p5533 +tp5534 +a(g198 +V, +tp5535 +a(g185 +V +tp5536 +a(g18 +VT_ARRAY +p5537 +tp5538 +a(g198 +V, +tp5539 +a(g185 +V +tp5540 +a(g222 +V" +tp5541 +a(g222 +VArray +p5542 +tp5543 +a(g222 +V" +tp5544 +a(g198 +V, +tp5545 +a(g185 +V +tp5546 +a(g222 +V" +tp5547 +a(g222 +Vto_ary +p5548 +tp5549 +a(g222 +V" +tp5550 +a(g198 +V) +tp5551 +a(g198 +V; +tp5552 +a(g185 +V\u000a +tp5553 +a(g185 +V +tp5554 +a(g198 +V} +tp5555 +a(g185 +V\u000a +tp5556 +a(g185 +V\u000a +tp5557 +a(g111 +Vstatic +p5558 +tp5559 +a(g185 +V +tp5560 +a(g18 +VVALUE +p5561 +tp5562 +a(g185 +V +tp5563 +a(g18 +Vrb_ary_replace +p5564 +tp5565 +a(g185 +V +tp5566 +a(g18 +V_ +tp5567 +a(g198 +V( +tp5568 +a(g198 +V( +tp5569 +a(g18 +VVALUE +p5570 +tp5571 +a(g198 +V, +tp5572 +a(g185 +V +tp5573 +a(g18 +VVALUE +p5574 +tp5575 +a(g198 +V) +tp5576 +a(g198 +V) +tp5577 +a(g198 +V; +tp5578 +a(g185 +V\u000a +tp5579 +a(g185 +V\u000a +tp5580 +a(g354 +V/*\u000a * call-seq:\u000a * Array.new(size=0, obj=nil)\u000a * Array.new(array)\u000a * Array.new(size) {|index| block }\u000a *\u000a * Returns a new array. In the first form, the new array is\u000a * empty. In the second it is created with _size_ copies of _obj_\u000a * (that is, _size_ references to the same\u000a * _obj_). The third form creates a copy of the array\u000a * passed as a parameter (the array is generated by calling\u000a * to_ary on the parameter). In the last form, an array\u000a * of the given size is created. Each element in this array is\u000a * calculated by passing the element's index to the given block and\u000a * storing the return value.\u000a *\u000a * Array.new\u000a * Array.new(2)\u000a * Array.new(5, "A")\u000a * \u000a * # only one copy of the object is created\u000a * a = Array.new(2, Hash.new)\u000a * a[0]['cat'] = 'feline'\u000a * a\u000a * a[1]['cat'] = 'Felix'\u000a * a\u000a * \u000a * # here multiple copies are created\u000a * a = Array.new(2) { Hash.new }\u000a * a[0]['cat'] = 'feline'\u000a * a\u000a * \u000a * squares = Array.new(5) {|i| i*i}\u000a * squares\u000a * \u000a * copy = Array.new(squares)\u000a */ +p5581 +tp5582 +a(g185 +V\u000a +tp5583 +a(g185 +V\u000a +tp5584 +a(g185 +V +tp5585 +a(g111 +Vstatic +p5586 +tp5587 +a(g185 +V +tp5588 +a(g18 +VVALUE +p5589 +tp5590 +a(g185 +V\u000a +tp5591 +a(g18 +Vrb_ary_initialize +p5592 +tp5593 +a(g198 +V( +tp5594 +a(g18 +Vargc +p5595 +tp5596 +a(g198 +V, +tp5597 +a(g185 +V +tp5598 +a(g18 +Vargv +p5599 +tp5600 +a(g198 +V, +tp5601 +a(g185 +V +tp5602 +a(g18 +Vary +p5603 +tp5604 +a(g198 +V) +tp5605 +a(g185 +V\u000a +tp5606 +a(g185 +V +p5607 +tp5608 +a(g135 +Vint +p5609 +tp5610 +a(g185 +V +tp5611 +a(g18 +Vargc +p5612 +tp5613 +a(g198 +V; +tp5614 +a(g185 +V\u000a +tp5615 +a(g185 +V +p5616 +tp5617 +a(g185 +V +tp5618 +a(g18 +VVALUE +p5619 +tp5620 +a(g185 +V +tp5621 +a(g340 +V* +tp5622 +a(g18 +Vargv +p5623 +tp5624 +a(g198 +V; +tp5625 +a(g185 +V\u000a +tp5626 +a(g185 +V +p5627 +tp5628 +a(g185 +V +tp5629 +a(g18 +VVALUE +p5630 +tp5631 +a(g185 +V +tp5632 +a(g18 +Vary +p5633 +tp5634 +a(g198 +V; +tp5635 +a(g185 +V\u000a +tp5636 +a(g185 +V +tp5637 +a(g198 +V{ +tp5638 +a(g185 +V\u000a +tp5639 +a(g185 +V +p5640 +tp5641 +a(g135 +Vlong +p5642 +tp5643 +a(g185 +V +tp5644 +a(g18 +Vlen +p5645 +tp5646 +a(g198 +V; +tp5647 +a(g185 +V\u000a +tp5648 +a(g185 +V +p5649 +tp5650 +a(g185 +V +tp5651 +a(g18 +VVALUE +p5652 +tp5653 +a(g185 +V +tp5654 +a(g18 +Vsize +p5655 +tp5656 +a(g198 +V, +tp5657 +a(g185 +V +tp5658 +a(g18 +Vval +p5659 +tp5660 +a(g198 +V; +tp5661 +a(g185 +V\u000a +tp5662 +a(g185 +V\u000a +tp5663 +a(g185 +V +p5664 +tp5665 +a(g185 +V +tp5666 +a(g111 +Vif +p5667 +tp5668 +a(g185 +V +tp5669 +a(g198 +V( +tp5670 +a(g18 +Vrb_scan_args +p5671 +tp5672 +a(g198 +V( +tp5673 +a(g18 +Vargc +p5674 +tp5675 +a(g198 +V, +tp5676 +a(g185 +V +tp5677 +a(g18 +Vargv +p5678 +tp5679 +a(g198 +V, +tp5680 +a(g185 +V +tp5681 +a(g222 +V" +tp5682 +a(g222 +V02 +p5683 +tp5684 +a(g222 +V" +tp5685 +a(g198 +V, +tp5686 +a(g185 +V +tp5687 +a(g340 +V& +tp5688 +a(g18 +Vsize +p5689 +tp5690 +a(g198 +V, +tp5691 +a(g185 +V +tp5692 +a(g340 +V& +tp5693 +a(g18 +Vval +p5694 +tp5695 +a(g198 +V) +tp5696 +a(g185 +V +tp5697 +a(g340 +V= +tp5698 +a(g340 +V= +tp5699 +a(g185 +V +tp5700 +a(g315 +V0 +tp5701 +a(g198 +V) +tp5702 +a(g185 +V +tp5703 +a(g198 +V{ +tp5704 +a(g185 +V\u000a +tp5705 +a(g185 +V +tp5706 +a(g18 +VRARRAY +p5707 +tp5708 +a(g198 +V( +tp5709 +a(g18 +Vary +p5710 +tp5711 +a(g198 +V) +tp5712 +a(g340 +V- +tp5713 +a(g340 +V> +tp5714 +a(g18 +Vlen +p5715 +tp5716 +a(g185 +V +tp5717 +a(g340 +V= +tp5718 +a(g185 +V +tp5719 +a(g315 +V0 +tp5720 +a(g198 +V; +tp5721 +a(g185 +V\u000a +tp5722 +a(g185 +V +tp5723 +a(g185 +V +tp5724 +a(g111 +Vif +p5725 +tp5726 +a(g185 +V +tp5727 +a(g198 +V( +tp5728 +a(g18 +Vrb_block_given_p +p5729 +tp5730 +a(g198 +V( +tp5731 +a(g198 +V) +tp5732 +a(g198 +V) +tp5733 +a(g185 +V +tp5734 +a(g198 +V{ +tp5735 +a(g185 +V\u000a +tp5736 +a(g185 +V +p5737 +tp5738 +a(g18 +Vrb_warning +p5739 +tp5740 +a(g198 +V( +tp5741 +a(g222 +V" +tp5742 +a(g222 +Vgiven block not used +p5743 +tp5744 +a(g222 +V" +tp5745 +a(g198 +V) +tp5746 +a(g198 +V; +tp5747 +a(g185 +V\u000a +tp5748 +a(g185 +V +tp5749 +a(g185 +V +tp5750 +a(g198 +V} +tp5751 +a(g185 +V\u000a +tp5752 +a(g185 +V +tp5753 +a(g111 +Vreturn +p5754 +tp5755 +a(g185 +V +tp5756 +a(g18 +Vary +p5757 +tp5758 +a(g198 +V; +tp5759 +a(g185 +V\u000a +tp5760 +a(g185 +V +p5761 +tp5762 +a(g185 +V +tp5763 +a(g198 +V} +tp5764 +a(g185 +V\u000a +tp5765 +a(g185 +V\u000a +tp5766 +a(g185 +V +p5767 +tp5768 +a(g111 +Vif +p5769 +tp5770 +a(g185 +V +tp5771 +a(g198 +V( +tp5772 +a(g18 +Vargc +p5773 +tp5774 +a(g185 +V +tp5775 +a(g340 +V= +tp5776 +a(g340 +V= +tp5777 +a(g185 +V +tp5778 +a(g315 +V1 +tp5779 +a(g185 +V +tp5780 +a(g340 +V& +tp5781 +a(g340 +V& +tp5782 +a(g185 +V +tp5783 +a(g340 +V! +tp5784 +a(g18 +VFIXNUM_P +p5785 +tp5786 +a(g198 +V( +tp5787 +a(g18 +Vsize +p5788 +tp5789 +a(g198 +V) +tp5790 +a(g198 +V) +tp5791 +a(g185 +V +tp5792 +a(g198 +V{ +tp5793 +a(g185 +V\u000a +tp5794 +a(g185 +V +tp5795 +a(g18 +Vval +p5796 +tp5797 +a(g185 +V +tp5798 +a(g340 +V= +tp5799 +a(g185 +V +tp5800 +a(g18 +Vrb_check_array_type +p5801 +tp5802 +a(g198 +V( +tp5803 +a(g18 +Vsize +p5804 +tp5805 +a(g198 +V) +tp5806 +a(g198 +V; +tp5807 +a(g185 +V\u000a +tp5808 +a(g185 +V +tp5809 +a(g185 +V +tp5810 +a(g111 +Vif +p5811 +tp5812 +a(g185 +V +tp5813 +a(g198 +V( +tp5814 +a(g340 +V! +tp5815 +a(g18 +VNIL_P +p5816 +tp5817 +a(g198 +V( +tp5818 +a(g18 +Vval +p5819 +tp5820 +a(g198 +V) +tp5821 +a(g198 +V) +tp5822 +a(g185 +V +tp5823 +a(g198 +V{ +tp5824 +a(g185 +V\u000a +tp5825 +a(g185 +V +p5826 +tp5827 +a(g18 +Vrb_ary_replace +p5828 +tp5829 +a(g198 +V( +tp5830 +a(g18 +Vary +p5831 +tp5832 +a(g198 +V, +tp5833 +a(g185 +V +tp5834 +a(g18 +Vval +p5835 +tp5836 +a(g198 +V) +tp5837 +a(g198 +V; +tp5838 +a(g185 +V\u000a +tp5839 +a(g185 +V +p5840 +tp5841 +a(g185 +V +tp5842 +a(g111 +Vreturn +p5843 +tp5844 +a(g185 +V +tp5845 +a(g18 +Vary +p5846 +tp5847 +a(g198 +V; +tp5848 +a(g185 +V\u000a +tp5849 +a(g185 +V +tp5850 +a(g185 +V +tp5851 +a(g198 +V} +tp5852 +a(g185 +V\u000a +tp5853 +a(g185 +V +p5854 +tp5855 +a(g198 +V} +tp5856 +a(g185 +V\u000a +tp5857 +a(g185 +V\u000a +tp5858 +a(g185 +V +p5859 +tp5860 +a(g18 +Vlen +p5861 +tp5862 +a(g185 +V +tp5863 +a(g340 +V= +tp5864 +a(g185 +V +tp5865 +a(g18 +VNUM2LONG +p5866 +tp5867 +a(g198 +V( +tp5868 +a(g18 +Vsize +p5869 +tp5870 +a(g198 +V) +tp5871 +a(g198 +V; +tp5872 +a(g185 +V\u000a +tp5873 +a(g185 +V +p5874 +tp5875 +a(g185 +V +tp5876 +a(g111 +Vif +p5877 +tp5878 +a(g185 +V +tp5879 +a(g198 +V( +tp5880 +a(g18 +Vlen +p5881 +tp5882 +a(g185 +V +tp5883 +a(g340 +V< +tp5884 +a(g185 +V +tp5885 +a(g315 +V0 +tp5886 +a(g198 +V) +tp5887 +a(g185 +V +tp5888 +a(g198 +V{ +tp5889 +a(g185 +V\u000a +tp5890 +a(g185 +V +tp5891 +a(g18 +Vrb_raise +p5892 +tp5893 +a(g198 +V( +tp5894 +a(g18 +Vrb_eArgError +p5895 +tp5896 +a(g198 +V, +tp5897 +a(g185 +V +tp5898 +a(g222 +V" +tp5899 +a(g222 +Vnegative array size +p5900 +tp5901 +a(g222 +V" +tp5902 +a(g198 +V) +tp5903 +a(g198 +V; +tp5904 +a(g185 +V\u000a +tp5905 +a(g185 +V +p5906 +tp5907 +a(g185 +V +tp5908 +a(g198 +V} +tp5909 +a(g185 +V\u000a +tp5910 +a(g185 +V +p5911 +tp5912 +a(g111 +Vif +p5913 +tp5914 +a(g185 +V +tp5915 +a(g198 +V( +tp5916 +a(g18 +Vlen +p5917 +tp5918 +a(g185 +V +tp5919 +a(g340 +V> +tp5920 +a(g185 +V +tp5921 +a(g315 +V0 +tp5922 +a(g185 +V +tp5923 +a(g340 +V& +tp5924 +a(g340 +V& +tp5925 +a(g185 +V +tp5926 +a(g18 +Vlen +p5927 +tp5928 +a(g185 +V +tp5929 +a(g340 +V* +tp5930 +a(g185 +V +tp5931 +a(g198 +V( +tp5932 +a(g135 +Vlong +p5933 +tp5934 +a(g198 +V) +tp5935 +a(g111 +Vsizeof +p5936 +tp5937 +a(g198 +V( +tp5938 +a(g18 +VVALUE +p5939 +tp5940 +a(g198 +V) +tp5941 +a(g185 +V +tp5942 +a(g340 +V< +tp5943 +a(g340 +V= +tp5944 +a(g185 +V +tp5945 +a(g18 +Vlen +p5946 +tp5947 +a(g198 +V) +tp5948 +a(g185 +V +tp5949 +a(g198 +V{ +tp5950 +a(g185 +V\u000a +tp5951 +a(g185 +V +tp5952 +a(g18 +Vrb_raise +p5953 +tp5954 +a(g198 +V( +tp5955 +a(g18 +Vrb_eArgError +p5956 +tp5957 +a(g198 +V, +tp5958 +a(g185 +V +tp5959 +a(g222 +V" +tp5960 +a(g222 +Varray size too big +p5961 +tp5962 +a(g222 +V" +tp5963 +a(g198 +V) +tp5964 +a(g198 +V; +tp5965 +a(g185 +V\u000a +tp5966 +a(g185 +V +p5967 +tp5968 +a(g185 +V +tp5969 +a(g198 +V} +tp5970 +a(g185 +V\u000a +tp5971 +a(g185 +V +p5972 +tp5973 +a(g18 +Vrb_ary_modify +p5974 +tp5975 +a(g198 +V( +tp5976 +a(g18 +Vary +p5977 +tp5978 +a(g198 +V) +tp5979 +a(g198 +V; +tp5980 +a(g185 +V\u000a +tp5981 +a(g185 +V +p5982 +tp5983 +a(g185 +V +tp5984 +a(g111 +Vif +p5985 +tp5986 +a(g185 +V +tp5987 +a(g198 +V( +tp5988 +a(g18 +Vlen +p5989 +tp5990 +a(g185 +V +tp5991 +a(g340 +V> +tp5992 +a(g185 +V +tp5993 +a(g18 +VRARRAY +p5994 +tp5995 +a(g198 +V( +tp5996 +a(g18 +Vary +p5997 +tp5998 +a(g198 +V) +tp5999 +a(g340 +V- +tp6000 +a(g340 +V> +tp6001 +a(g18 +Vaux +p6002 +tp6003 +a(g198 +V. +tp6004 +a(g18 +Vcapa +p6005 +tp6006 +a(g198 +V) +tp6007 +a(g185 +V +tp6008 +a(g198 +V{ +tp6009 +a(g185 +V\u000a +tp6010 +a(g185 +V +tp6011 +a(g18 +VREALLOC_N +p6012 +tp6013 +a(g198 +V( +tp6014 +a(g18 +VRARRAY +p6015 +tp6016 +a(g198 +V( +tp6017 +a(g18 +Vary +p6018 +tp6019 +a(g198 +V) +tp6020 +a(g340 +V- +tp6021 +a(g340 +V> +tp6022 +a(g18 +Vptr +p6023 +tp6024 +a(g198 +V, +tp6025 +a(g185 +V +tp6026 +a(g18 +VVALUE +p6027 +tp6028 +a(g198 +V, +tp6029 +a(g185 +V +tp6030 +a(g18 +Vlen +p6031 +tp6032 +a(g198 +V) +tp6033 +a(g198 +V; +tp6034 +a(g185 +V\u000a +tp6035 +a(g185 +V +tp6036 +a(g185 +V +tp6037 +a(g18 +VRARRAY +p6038 +tp6039 +a(g198 +V( +tp6040 +a(g18 +Vary +p6041 +tp6042 +a(g198 +V) +tp6043 +a(g340 +V- +tp6044 +a(g340 +V> +tp6045 +a(g18 +Vaux +p6046 +tp6047 +a(g198 +V. +tp6048 +a(g18 +Vcapa +p6049 +tp6050 +a(g185 +V +tp6051 +a(g340 +V= +tp6052 +a(g185 +V +tp6053 +a(g18 +Vlen +p6054 +tp6055 +a(g198 +V; +tp6056 +a(g185 +V\u000a +tp6057 +a(g185 +V +p6058 +tp6059 +a(g185 +V +tp6060 +a(g198 +V} +tp6061 +a(g185 +V\u000a +tp6062 +a(g185 +V +p6063 +tp6064 +a(g111 +Vif +p6065 +tp6066 +a(g185 +V +tp6067 +a(g198 +V( +tp6068 +a(g18 +Vrb_block_given_p +p6069 +tp6070 +a(g198 +V( +tp6071 +a(g198 +V) +tp6072 +a(g198 +V) +tp6073 +a(g185 +V +tp6074 +a(g198 +V{ +tp6075 +a(g185 +V\u000a +tp6076 +a(g185 +V +tp6077 +a(g135 +Vlong +p6078 +tp6079 +a(g185 +V +tp6080 +a(g18 +Vi +tp6081 +a(g198 +V; +tp6082 +a(g185 +V\u000a +tp6083 +a(g185 +V\u000a +tp6084 +a(g185 +V +tp6085 +a(g185 +V +tp6086 +a(g111 +Vif +p6087 +tp6088 +a(g185 +V +tp6089 +a(g198 +V( +tp6090 +a(g18 +Vargc +p6091 +tp6092 +a(g185 +V +tp6093 +a(g340 +V= +tp6094 +a(g340 +V= +tp6095 +a(g185 +V +tp6096 +a(g315 +V2 +tp6097 +a(g198 +V) +tp6098 +a(g185 +V +tp6099 +a(g198 +V{ +tp6100 +a(g185 +V\u000a +tp6101 +a(g185 +V +p6102 +tp6103 +a(g18 +Vrb_warn +p6104 +tp6105 +a(g198 +V( +tp6106 +a(g222 +V" +tp6107 +a(g222 +Vblock supersedes default value argument +p6108 +tp6109 +a(g222 +V" +tp6110 +a(g198 +V) +tp6111 +a(g198 +V; +tp6112 +a(g185 +V\u000a +tp6113 +a(g185 +V +tp6114 +a(g185 +V +tp6115 +a(g198 +V} +tp6116 +a(g185 +V\u000a +tp6117 +a(g185 +V +tp6118 +a(g111 +Vfor +p6119 +tp6120 +a(g185 +V +tp6121 +a(g198 +V( +tp6122 +a(g18 +Vi +tp6123 +a(g340 +V= +tp6124 +a(g315 +V0 +tp6125 +a(g198 +V; +tp6126 +a(g185 +V +tp6127 +a(g185 +V +tp6128 +a(g18 +Vi +tp6129 +a(g340 +V< +tp6130 +a(g18 +Vlen +p6131 +tp6132 +a(g198 +V; +tp6133 +a(g185 +V +tp6134 +a(g185 +V +tp6135 +a(g18 +Vi +tp6136 +a(g340 +V+ +tp6137 +a(g340 +V+ +tp6138 +a(g198 +V) +tp6139 +a(g185 +V +tp6140 +a(g198 +V{ +tp6141 +a(g185 +V\u000a +tp6142 +a(g185 +V +p6143 +tp6144 +a(g18 +Vrb_ary_store +p6145 +tp6146 +a(g198 +V( +tp6147 +a(g18 +Vary +p6148 +tp6149 +a(g198 +V, +tp6150 +a(g185 +V +tp6151 +a(g18 +Vi +tp6152 +a(g198 +V, +tp6153 +a(g185 +V +tp6154 +a(g18 +Vrb_yield +p6155 +tp6156 +a(g198 +V( +tp6157 +a(g18 +VLONG2NUM +p6158 +tp6159 +a(g198 +V( +tp6160 +a(g18 +Vi +tp6161 +a(g198 +V) +tp6162 +a(g198 +V) +tp6163 +a(g198 +V) +tp6164 +a(g198 +V; +tp6165 +a(g185 +V\u000a +tp6166 +a(g185 +V +p6167 +tp6168 +a(g185 +V +tp6169 +a(g18 +VRARRAY +p6170 +tp6171 +a(g198 +V( +tp6172 +a(g18 +Vary +p6173 +tp6174 +a(g198 +V) +tp6175 +a(g340 +V- +tp6176 +a(g340 +V> +tp6177 +a(g18 +Vlen +p6178 +tp6179 +a(g185 +V +tp6180 +a(g340 +V= +tp6181 +a(g185 +V +tp6182 +a(g18 +Vi +tp6183 +a(g185 +V +tp6184 +a(g340 +V+ +tp6185 +a(g185 +V +tp6186 +a(g315 +V1 +tp6187 +a(g198 +V; +tp6188 +a(g185 +V\u000a +tp6189 +a(g185 +V +tp6190 +a(g185 +V +tp6191 +a(g198 +V} +tp6192 +a(g185 +V\u000a +tp6193 +a(g185 +V +p6194 +tp6195 +a(g198 +V} +tp6196 +a(g185 +V\u000a +tp6197 +a(g185 +V +p6198 +tp6199 +a(g111 +Velse +p6200 +tp6201 +a(g185 +V +tp6202 +a(g198 +V{ +tp6203 +a(g185 +V\u000a +tp6204 +a(g185 +V +tp6205 +a(g18 +Vmemfill +p6206 +tp6207 +a(g198 +V( +tp6208 +a(g18 +VRARRAY +p6209 +tp6210 +a(g198 +V( +tp6211 +a(g18 +Vary +p6212 +tp6213 +a(g198 +V) +tp6214 +a(g340 +V- +tp6215 +a(g340 +V> +tp6216 +a(g18 +Vptr +p6217 +tp6218 +a(g198 +V, +tp6219 +a(g185 +V +tp6220 +a(g18 +Vlen +p6221 +tp6222 +a(g198 +V, +tp6223 +a(g185 +V +tp6224 +a(g18 +Vval +p6225 +tp6226 +a(g198 +V) +tp6227 +a(g198 +V; +tp6228 +a(g185 +V\u000a +tp6229 +a(g185 +V +tp6230 +a(g185 +V +tp6231 +a(g18 +VRARRAY +p6232 +tp6233 +a(g198 +V( +tp6234 +a(g18 +Vary +p6235 +tp6236 +a(g198 +V) +tp6237 +a(g340 +V- +tp6238 +a(g340 +V> +tp6239 +a(g18 +Vlen +p6240 +tp6241 +a(g185 +V +tp6242 +a(g340 +V= +tp6243 +a(g185 +V +tp6244 +a(g18 +Vlen +p6245 +tp6246 +a(g198 +V; +tp6247 +a(g185 +V\u000a +tp6248 +a(g185 +V +p6249 +tp6250 +a(g185 +V +tp6251 +a(g198 +V} +tp6252 +a(g185 +V\u000a +tp6253 +a(g185 +V\u000a +tp6254 +a(g185 +V +p6255 +tp6256 +a(g111 +Vreturn +p6257 +tp6258 +a(g185 +V +tp6259 +a(g18 +Vary +p6260 +tp6261 +a(g198 +V; +tp6262 +a(g185 +V\u000a +tp6263 +a(g185 +V +tp6264 +a(g198 +V} +tp6265 +a(g185 +V\u000a +tp6266 +a(g185 +V\u000a +tp6267 +a(g185 +V\u000a +tp6268 +a(g354 +V/* \u000a* Returns a new array populated with the given objects. \u000a*\u000a* Array.[]( 1, 'a', /^A/ )\u000a* Array[ 1, 'a', /^A/ ]\u000a* [ 1, 'a', /^A/ ]\u000a*/ +p6269 +tp6270 +a(g185 +V\u000a +tp6271 +a(g185 +V\u000a +tp6272 +a(g111 +Vstatic +p6273 +tp6274 +a(g185 +V +tp6275 +a(g18 +VVALUE +p6276 +tp6277 +a(g185 +V\u000a +tp6278 +a(g18 +Vrb_ary_s_create +p6279 +tp6280 +a(g198 +V( +tp6281 +a(g18 +Vargc +p6282 +tp6283 +a(g198 +V, +tp6284 +a(g185 +V +tp6285 +a(g18 +Vargv +p6286 +tp6287 +a(g198 +V, +tp6288 +a(g185 +V +tp6289 +a(g18 +Vklass +p6290 +tp6291 +a(g198 +V) +tp6292 +a(g185 +V\u000a +tp6293 +a(g185 +V +p6294 +tp6295 +a(g135 +Vint +p6296 +tp6297 +a(g185 +V +tp6298 +a(g18 +Vargc +p6299 +tp6300 +a(g198 +V; +tp6301 +a(g185 +V\u000a +tp6302 +a(g185 +V +p6303 +tp6304 +a(g185 +V +tp6305 +a(g18 +VVALUE +p6306 +tp6307 +a(g185 +V +tp6308 +a(g340 +V* +tp6309 +a(g18 +Vargv +p6310 +tp6311 +a(g198 +V; +tp6312 +a(g185 +V\u000a +tp6313 +a(g185 +V +p6314 +tp6315 +a(g185 +V +tp6316 +a(g18 +VVALUE +p6317 +tp6318 +a(g185 +V +tp6319 +a(g18 +Vklass +p6320 +tp6321 +a(g198 +V; +tp6322 +a(g185 +V\u000a +tp6323 +a(g185 +V +tp6324 +a(g198 +V{ +tp6325 +a(g185 +V\u000a +tp6326 +a(g185 +V +p6327 +tp6328 +a(g18 +VVALUE +p6329 +tp6330 +a(g185 +V +tp6331 +a(g18 +Vary +p6332 +tp6333 +a(g185 +V +tp6334 +a(g340 +V= +tp6335 +a(g185 +V +tp6336 +a(g18 +Vary_alloc +p6337 +tp6338 +a(g198 +V( +tp6339 +a(g18 +Vklass +p6340 +tp6341 +a(g198 +V) +tp6342 +a(g198 +V; +tp6343 +a(g185 +V\u000a +tp6344 +a(g185 +V\u000a +tp6345 +a(g185 +V +p6346 +tp6347 +a(g185 +V +tp6348 +a(g111 +Vif +p6349 +tp6350 +a(g185 +V +tp6351 +a(g198 +V( +tp6352 +a(g18 +Vargc +p6353 +tp6354 +a(g185 +V +tp6355 +a(g340 +V> +tp6356 +a(g185 +V +tp6357 +a(g315 +V0 +tp6358 +a(g198 +V) +tp6359 +a(g185 +V +tp6360 +a(g198 +V{ +tp6361 +a(g185 +V\u000a +tp6362 +a(g185 +V +tp6363 +a(g18 +VRARRAY +p6364 +tp6365 +a(g198 +V( +tp6366 +a(g18 +Vary +p6367 +tp6368 +a(g198 +V) +tp6369 +a(g340 +V- +tp6370 +a(g340 +V> +tp6371 +a(g18 +Vptr +p6372 +tp6373 +a(g185 +V +tp6374 +a(g340 +V= +tp6375 +a(g185 +V +tp6376 +a(g18 +VALLOC_N +p6377 +tp6378 +a(g198 +V( +tp6379 +a(g18 +VVALUE +p6380 +tp6381 +a(g198 +V, +tp6382 +a(g185 +V +tp6383 +a(g18 +Vargc +p6384 +tp6385 +a(g198 +V) +tp6386 +a(g198 +V; +tp6387 +a(g185 +V\u000a +tp6388 +a(g185 +V +tp6389 +a(g185 +V +tp6390 +a(g18 +VMEMCPY +p6391 +tp6392 +a(g198 +V( +tp6393 +a(g18 +VRARRAY +p6394 +tp6395 +a(g198 +V( +tp6396 +a(g18 +Vary +p6397 +tp6398 +a(g198 +V) +tp6399 +a(g340 +V- +tp6400 +a(g340 +V> +tp6401 +a(g18 +Vptr +p6402 +tp6403 +a(g198 +V, +tp6404 +a(g185 +V +tp6405 +a(g18 +Vargv +p6406 +tp6407 +a(g198 +V, +tp6408 +a(g185 +V +tp6409 +a(g18 +VVALUE +p6410 +tp6411 +a(g198 +V, +tp6412 +a(g185 +V +tp6413 +a(g18 +Vargc +p6414 +tp6415 +a(g198 +V) +tp6416 +a(g198 +V; +tp6417 +a(g185 +V\u000a +tp6418 +a(g185 +V +p6419 +tp6420 +a(g185 +V +tp6421 +a(g198 +V} +tp6422 +a(g185 +V\u000a +tp6423 +a(g185 +V +p6424 +tp6425 +a(g18 +VRARRAY +p6426 +tp6427 +a(g198 +V( +tp6428 +a(g18 +Vary +p6429 +tp6430 +a(g198 +V) +tp6431 +a(g340 +V- +tp6432 +a(g340 +V> +tp6433 +a(g18 +Vlen +p6434 +tp6435 +a(g185 +V +tp6436 +a(g340 +V= +tp6437 +a(g185 +V +tp6438 +a(g18 +VRARRAY +p6439 +tp6440 +a(g198 +V( +tp6441 +a(g18 +Vary +p6442 +tp6443 +a(g198 +V) +tp6444 +a(g340 +V- +tp6445 +a(g340 +V> +tp6446 +a(g18 +Vaux +p6447 +tp6448 +a(g198 +V. +tp6449 +a(g18 +Vcapa +p6450 +tp6451 +a(g185 +V +tp6452 +a(g340 +V= +tp6453 +a(g185 +V +tp6454 +a(g18 +Vargc +p6455 +tp6456 +a(g198 +V; +tp6457 +a(g185 +V\u000a +tp6458 +a(g185 +V\u000a +tp6459 +a(g185 +V +p6460 +tp6461 +a(g185 +V +tp6462 +a(g111 +Vreturn +p6463 +tp6464 +a(g185 +V +tp6465 +a(g18 +Vary +p6466 +tp6467 +a(g198 +V; +tp6468 +a(g185 +V\u000a +tp6469 +a(g185 +V +tp6470 +a(g198 +V} +tp6471 +a(g185 +V\u000a +tp6472 +a(g185 +V\u000a +tp6473 +a(g135 +Vvoid +p6474 +tp6475 +a(g185 +V\u000a +tp6476 +a(g18 +Vrb_ary_store +p6477 +tp6478 +a(g198 +V( +tp6479 +a(g18 +Vary +p6480 +tp6481 +a(g198 +V, +tp6482 +a(g185 +V +tp6483 +a(g18 +Vidx +p6484 +tp6485 +a(g198 +V, +tp6486 +a(g185 +V +tp6487 +a(g18 +Vval +p6488 +tp6489 +a(g198 +V) +tp6490 +a(g185 +V\u000a +tp6491 +a(g185 +V +p6492 +tp6493 +a(g18 +VVALUE +p6494 +tp6495 +a(g185 +V +tp6496 +a(g18 +Vary +p6497 +tp6498 +a(g198 +V; +tp6499 +a(g185 +V\u000a +tp6500 +a(g185 +V +p6501 +tp6502 +a(g185 +V +tp6503 +a(g135 +Vlong +p6504 +tp6505 +a(g185 +V +tp6506 +a(g18 +Vidx +p6507 +tp6508 +a(g198 +V; +tp6509 +a(g185 +V\u000a +tp6510 +a(g185 +V +p6511 +tp6512 +a(g185 +V +tp6513 +a(g18 +VVALUE +p6514 +tp6515 +a(g185 +V +tp6516 +a(g18 +Vval +p6517 +tp6518 +a(g198 +V; +tp6519 +a(g185 +V\u000a +tp6520 +a(g185 +V +tp6521 +a(g198 +V{ +tp6522 +a(g185 +V\u000a +tp6523 +a(g185 +V +p6524 +tp6525 +a(g111 +Vif +p6526 +tp6527 +a(g185 +V +tp6528 +a(g198 +V( +tp6529 +a(g18 +Vidx +p6530 +tp6531 +a(g185 +V +tp6532 +a(g340 +V< +tp6533 +a(g185 +V +tp6534 +a(g315 +V0 +tp6535 +a(g198 +V) +tp6536 +a(g185 +V +tp6537 +a(g198 +V{ +tp6538 +a(g185 +V\u000a +tp6539 +a(g185 +V +tp6540 +a(g18 +Vidx +p6541 +tp6542 +a(g185 +V +tp6543 +a(g340 +V+ +tp6544 +a(g340 +V= +tp6545 +a(g185 +V +tp6546 +a(g18 +VRARRAY +p6547 +tp6548 +a(g198 +V( +tp6549 +a(g18 +Vary +p6550 +tp6551 +a(g198 +V) +tp6552 +a(g340 +V- +tp6553 +a(g340 +V> +tp6554 +a(g18 +Vlen +p6555 +tp6556 +a(g198 +V; +tp6557 +a(g185 +V\u000a +tp6558 +a(g185 +V +tp6559 +a(g185 +V +tp6560 +a(g111 +Vif +p6561 +tp6562 +a(g185 +V +tp6563 +a(g198 +V( +tp6564 +a(g18 +Vidx +p6565 +tp6566 +a(g185 +V +tp6567 +a(g340 +V< +tp6568 +a(g185 +V +tp6569 +a(g315 +V0 +tp6570 +a(g198 +V) +tp6571 +a(g185 +V +tp6572 +a(g198 +V{ +tp6573 +a(g185 +V\u000a +tp6574 +a(g185 +V +p6575 +tp6576 +a(g18 +Vrb_raise +p6577 +tp6578 +a(g198 +V( +tp6579 +a(g18 +Vrb_eIndexError +p6580 +tp6581 +a(g198 +V, +tp6582 +a(g185 +V +tp6583 +a(g222 +V" +tp6584 +a(g222 +Vindex %ld out of array +p6585 +tp6586 +a(g222 +V" +tp6587 +a(g198 +V, +tp6588 +a(g185 +V\u000a +tp6589 +a(g185 +V +p6590 +tp6591 +a(g18 +Vidx +p6592 +tp6593 +a(g185 +V +tp6594 +a(g340 +V- +tp6595 +a(g185 +V +tp6596 +a(g18 +VRARRAY +p6597 +tp6598 +a(g198 +V( +tp6599 +a(g18 +Vary +p6600 +tp6601 +a(g198 +V) +tp6602 +a(g340 +V- +tp6603 +a(g340 +V> +tp6604 +a(g18 +Vlen +p6605 +tp6606 +a(g198 +V) +tp6607 +a(g198 +V; +tp6608 +a(g185 +V\u000a +tp6609 +a(g185 +V +tp6610 +a(g185 +V +tp6611 +a(g198 +V} +tp6612 +a(g185 +V\u000a +tp6613 +a(g185 +V +p6614 +tp6615 +a(g198 +V} +tp6616 +a(g185 +V\u000a +tp6617 +a(g185 +V\u000a +tp6618 +a(g185 +V +p6619 +tp6620 +a(g18 +Vrb_ary_modify +p6621 +tp6622 +a(g198 +V( +tp6623 +a(g18 +Vary +p6624 +tp6625 +a(g198 +V) +tp6626 +a(g198 +V; +tp6627 +a(g185 +V\u000a +tp6628 +a(g185 +V +p6629 +tp6630 +a(g185 +V +tp6631 +a(g111 +Vif +p6632 +tp6633 +a(g185 +V +tp6634 +a(g198 +V( +tp6635 +a(g18 +Vidx +p6636 +tp6637 +a(g185 +V +tp6638 +a(g340 +V> +tp6639 +a(g340 +V= +tp6640 +a(g185 +V +tp6641 +a(g18 +VRARRAY +p6642 +tp6643 +a(g198 +V( +tp6644 +a(g18 +Vary +p6645 +tp6646 +a(g198 +V) +tp6647 +a(g340 +V- +tp6648 +a(g340 +V> +tp6649 +a(g18 +Vaux +p6650 +tp6651 +a(g198 +V. +tp6652 +a(g18 +Vcapa +p6653 +tp6654 +a(g198 +V) +tp6655 +a(g185 +V +tp6656 +a(g198 +V{ +tp6657 +a(g185 +V\u000a +tp6658 +a(g185 +V +tp6659 +a(g135 +Vlong +p6660 +tp6661 +a(g185 +V +tp6662 +a(g18 +Vnew_capa +p6663 +tp6664 +a(g185 +V +tp6665 +a(g340 +V= +tp6666 +a(g185 +V +tp6667 +a(g18 +VRARRAY +p6668 +tp6669 +a(g198 +V( +tp6670 +a(g18 +Vary +p6671 +tp6672 +a(g198 +V) +tp6673 +a(g340 +V- +tp6674 +a(g340 +V> +tp6675 +a(g18 +Vaux +p6676 +tp6677 +a(g198 +V. +tp6678 +a(g18 +Vcapa +p6679 +tp6680 +a(g185 +V +tp6681 +a(g340 +V/ +tp6682 +a(g185 +V +tp6683 +a(g315 +V2 +tp6684 +a(g198 +V; +tp6685 +a(g185 +V\u000a +tp6686 +a(g185 +V\u000a +tp6687 +a(g185 +V +tp6688 +a(g185 +V +tp6689 +a(g111 +Vif +p6690 +tp6691 +a(g185 +V +tp6692 +a(g198 +V( +tp6693 +a(g18 +Vnew_capa +p6694 +tp6695 +a(g185 +V +tp6696 +a(g340 +V< +tp6697 +a(g185 +V +tp6698 +a(g18 +VARY_DEFAULT_SIZE +p6699 +tp6700 +a(g198 +V) +tp6701 +a(g185 +V +tp6702 +a(g198 +V{ +tp6703 +a(g185 +V\u000a +tp6704 +a(g185 +V +p6705 +tp6706 +a(g18 +Vnew_capa +p6707 +tp6708 +a(g185 +V +tp6709 +a(g340 +V= +tp6710 +a(g185 +V +tp6711 +a(g18 +VARY_DEFAULT_SIZE +p6712 +tp6713 +a(g198 +V; +tp6714 +a(g185 +V\u000a +tp6715 +a(g185 +V +tp6716 +a(g185 +V +tp6717 +a(g198 +V} +tp6718 +a(g185 +V\u000a +tp6719 +a(g185 +V +tp6720 +a(g18 +Vnew_capa +p6721 +tp6722 +a(g185 +V +tp6723 +a(g340 +V+ +tp6724 +a(g340 +V= +tp6725 +a(g185 +V +tp6726 +a(g18 +Vidx +p6727 +tp6728 +a(g198 +V; +tp6729 +a(g185 +V\u000a +tp6730 +a(g185 +V +tp6731 +a(g185 +V +tp6732 +a(g111 +Vif +p6733 +tp6734 +a(g185 +V +tp6735 +a(g198 +V( +tp6736 +a(g18 +Vnew_capa +p6737 +tp6738 +a(g185 +V +tp6739 +a(g340 +V* +tp6740 +a(g185 +V +tp6741 +a(g198 +V( +tp6742 +a(g135 +Vlong +p6743 +tp6744 +a(g198 +V) +tp6745 +a(g111 +Vsizeof +p6746 +tp6747 +a(g198 +V( +tp6748 +a(g18 +VVALUE +p6749 +tp6750 +a(g198 +V) +tp6751 +a(g185 +V +tp6752 +a(g340 +V< +tp6753 +a(g340 +V= +tp6754 +a(g185 +V +tp6755 +a(g18 +Vnew_capa +p6756 +tp6757 +a(g198 +V) +tp6758 +a(g185 +V +tp6759 +a(g198 +V{ +tp6760 +a(g185 +V\u000a +tp6761 +a(g185 +V +p6762 +tp6763 +a(g18 +Vrb_raise +p6764 +tp6765 +a(g198 +V( +tp6766 +a(g18 +Vrb_eArgError +p6767 +tp6768 +a(g198 +V, +tp6769 +a(g185 +V +tp6770 +a(g222 +V" +tp6771 +a(g222 +Vindex too big +p6772 +tp6773 +a(g222 +V" +tp6774 +a(g198 +V) +tp6775 +a(g198 +V; +tp6776 +a(g185 +V\u000a +tp6777 +a(g185 +V +tp6778 +a(g185 +V +tp6779 +a(g198 +V} +tp6780 +a(g185 +V\u000a +tp6781 +a(g185 +V +tp6782 +a(g18 +VREALLOC_N +p6783 +tp6784 +a(g198 +V( +tp6785 +a(g18 +VRARRAY +p6786 +tp6787 +a(g198 +V( +tp6788 +a(g18 +Vary +p6789 +tp6790 +a(g198 +V) +tp6791 +a(g340 +V- +tp6792 +a(g340 +V> +tp6793 +a(g18 +Vptr +p6794 +tp6795 +a(g198 +V, +tp6796 +a(g185 +V +tp6797 +a(g18 +VVALUE +p6798 +tp6799 +a(g198 +V, +tp6800 +a(g185 +V +tp6801 +a(g18 +Vnew_capa +p6802 +tp6803 +a(g198 +V) +tp6804 +a(g198 +V; +tp6805 +a(g185 +V\u000a +tp6806 +a(g185 +V +tp6807 +a(g185 +V +tp6808 +a(g18 +VRARRAY +p6809 +tp6810 +a(g198 +V( +tp6811 +a(g18 +Vary +p6812 +tp6813 +a(g198 +V) +tp6814 +a(g340 +V- +tp6815 +a(g340 +V> +tp6816 +a(g18 +Vaux +p6817 +tp6818 +a(g198 +V. +tp6819 +a(g18 +Vcapa +p6820 +tp6821 +a(g185 +V +tp6822 +a(g340 +V= +tp6823 +a(g185 +V +tp6824 +a(g18 +Vnew_capa +p6825 +tp6826 +a(g198 +V; +tp6827 +a(g185 +V\u000a +tp6828 +a(g185 +V +p6829 +tp6830 +a(g185 +V +tp6831 +a(g198 +V} +tp6832 +a(g185 +V\u000a +tp6833 +a(g185 +V +p6834 +tp6835 +a(g111 +Vif +p6836 +tp6837 +a(g185 +V +tp6838 +a(g198 +V( +tp6839 +a(g18 +Vidx +p6840 +tp6841 +a(g185 +V +tp6842 +a(g340 +V> +tp6843 +a(g185 +V +tp6844 +a(g18 +VRARRAY +p6845 +tp6846 +a(g198 +V( +tp6847 +a(g18 +Vary +p6848 +tp6849 +a(g198 +V) +tp6850 +a(g340 +V- +tp6851 +a(g340 +V> +tp6852 +a(g18 +Vlen +p6853 +tp6854 +a(g198 +V) +tp6855 +a(g185 +V +tp6856 +a(g198 +V{ +tp6857 +a(g185 +V\u000a +tp6858 +a(g185 +V +tp6859 +a(g18 +Vrb_mem_clear +p6860 +tp6861 +a(g198 +V( +tp6862 +a(g18 +VRARRAY +p6863 +tp6864 +a(g198 +V( +tp6865 +a(g18 +Vary +p6866 +tp6867 +a(g198 +V) +tp6868 +a(g340 +V- +tp6869 +a(g340 +V> +tp6870 +a(g18 +Vptr +p6871 +tp6872 +a(g185 +V +tp6873 +a(g340 +V+ +tp6874 +a(g185 +V +tp6875 +a(g18 +VRARRAY +p6876 +tp6877 +a(g198 +V( +tp6878 +a(g18 +Vary +p6879 +tp6880 +a(g198 +V) +tp6881 +a(g340 +V- +tp6882 +a(g340 +V> +tp6883 +a(g18 +Vlen +p6884 +tp6885 +a(g198 +V, +tp6886 +a(g185 +V\u000a +tp6887 +a(g185 +V +p6888 +tp6889 +a(g18 +Vidx +p6890 +tp6891 +a(g340 +V- +tp6892 +a(g18 +VRARRAY +p6893 +tp6894 +a(g198 +V( +tp6895 +a(g18 +Vary +p6896 +tp6897 +a(g198 +V) +tp6898 +a(g340 +V- +tp6899 +a(g340 +V> +tp6900 +a(g18 +Vlen +p6901 +tp6902 +a(g185 +V +tp6903 +a(g340 +V+ +tp6904 +a(g185 +V +tp6905 +a(g315 +V1 +tp6906 +a(g198 +V) +tp6907 +a(g198 +V; +tp6908 +a(g185 +V\u000a +tp6909 +a(g185 +V +p6910 +tp6911 +a(g185 +V +tp6912 +a(g198 +V} +tp6913 +a(g185 +V\u000a +tp6914 +a(g185 +V\u000a +tp6915 +a(g185 +V +p6916 +tp6917 +a(g111 +Vif +p6918 +tp6919 +a(g185 +V +tp6920 +a(g198 +V( +tp6921 +a(g18 +Vidx +p6922 +tp6923 +a(g185 +V +tp6924 +a(g340 +V> +tp6925 +a(g340 +V= +tp6926 +a(g185 +V +tp6927 +a(g18 +VRARRAY +p6928 +tp6929 +a(g198 +V( +tp6930 +a(g18 +Vary +p6931 +tp6932 +a(g198 +V) +tp6933 +a(g340 +V- +tp6934 +a(g340 +V> +tp6935 +a(g18 +Vlen +p6936 +tp6937 +a(g198 +V) +tp6938 +a(g185 +V +tp6939 +a(g198 +V{ +tp6940 +a(g185 +V\u000a +tp6941 +a(g185 +V +tp6942 +a(g18 +VRARRAY +p6943 +tp6944 +a(g198 +V( +tp6945 +a(g18 +Vary +p6946 +tp6947 +a(g198 +V) +tp6948 +a(g340 +V- +tp6949 +a(g340 +V> +tp6950 +a(g18 +Vlen +p6951 +tp6952 +a(g185 +V +tp6953 +a(g340 +V= +tp6954 +a(g185 +V +tp6955 +a(g18 +Vidx +p6956 +tp6957 +a(g185 +V +tp6958 +a(g340 +V+ +tp6959 +a(g185 +V +tp6960 +a(g315 +V1 +tp6961 +a(g198 +V; +tp6962 +a(g185 +V\u000a +tp6963 +a(g185 +V +p6964 +tp6965 +a(g185 +V +tp6966 +a(g198 +V} +tp6967 +a(g185 +V\u000a +tp6968 +a(g185 +V +p6969 +tp6970 +a(g18 +VRARRAY +p6971 +tp6972 +a(g198 +V( +tp6973 +a(g18 +Vary +p6974 +tp6975 +a(g198 +V) +tp6976 +a(g340 +V- +tp6977 +a(g340 +V> +tp6978 +a(g18 +Vptr +p6979 +tp6980 +a(g198 +V[ +tp6981 +a(g18 +Vidx +p6982 +tp6983 +a(g198 +V] +tp6984 +a(g185 +V +tp6985 +a(g340 +V= +tp6986 +a(g185 +V +tp6987 +a(g18 +Vval +p6988 +tp6989 +a(g198 +V; +tp6990 +a(g185 +V\u000a +tp6991 +a(g185 +V +tp6992 +a(g198 +V} +tp6993 +a(g185 +V\u000a +tp6994 +a(g185 +V\u000a +tp6995 +a(g111 +Vstatic +p6996 +tp6997 +a(g185 +V +tp6998 +a(g18 +VVALUE +p6999 +tp7000 +a(g185 +V\u000a +tp7001 +a(g18 +Vary_shared_first +p7002 +tp7003 +a(g198 +V( +tp7004 +a(g18 +Vargc +p7005 +tp7006 +a(g198 +V, +tp7007 +a(g185 +V +tp7008 +a(g18 +Vargv +p7009 +tp7010 +a(g198 +V, +tp7011 +a(g185 +V +tp7012 +a(g18 +Vary +p7013 +tp7014 +a(g198 +V) +tp7015 +a(g185 +V\u000a +tp7016 +a(g185 +V +p7017 +tp7018 +a(g135 +Vint +p7019 +tp7020 +a(g185 +V +tp7021 +a(g18 +Vargc +p7022 +tp7023 +a(g198 +V; +tp7024 +a(g185 +V\u000a +tp7025 +a(g185 +V +p7026 +tp7027 +a(g185 +V +tp7028 +a(g18 +VVALUE +p7029 +tp7030 +a(g185 +V +tp7031 +a(g340 +V* +tp7032 +a(g18 +Vargv +p7033 +tp7034 +a(g198 +V; +tp7035 +a(g185 +V\u000a +tp7036 +a(g185 +V +p7037 +tp7038 +a(g185 +V +tp7039 +a(g18 +VVALUE +p7040 +tp7041 +a(g185 +V +tp7042 +a(g18 +Vary +p7043 +tp7044 +a(g198 +V; +tp7045 +a(g185 +V\u000a +tp7046 +a(g185 +V +tp7047 +a(g198 +V{ +tp7048 +a(g185 +V\u000a +tp7049 +a(g185 +V +p7050 +tp7051 +a(g18 +VVALUE +p7052 +tp7053 +a(g185 +V +tp7054 +a(g18 +Vnv +p7055 +tp7056 +a(g198 +V, +tp7057 +a(g185 +V +tp7058 +a(g18 +Vresult +p7059 +tp7060 +a(g198 +V; +tp7061 +a(g185 +V\u000a +tp7062 +a(g185 +V +p7063 +tp7064 +a(g185 +V +tp7065 +a(g135 +Vlong +p7066 +tp7067 +a(g185 +V +tp7068 +a(g18 +Vn +tp7069 +a(g198 +V; +tp7070 +a(g185 +V\u000a +tp7071 +a(g185 +V\u000a +tp7072 +a(g185 +V +p7073 +tp7074 +a(g185 +V +tp7075 +a(g18 +Vrb_scan_args +p7076 +tp7077 +a(g198 +V( +tp7078 +a(g18 +Vargc +p7079 +tp7080 +a(g198 +V, +tp7081 +a(g185 +V +tp7082 +a(g18 +Vargv +p7083 +tp7084 +a(g198 +V, +tp7085 +a(g185 +V +tp7086 +a(g222 +V" +tp7087 +a(g222 +V1 +tp7088 +a(g222 +V" +tp7089 +a(g198 +V, +tp7090 +a(g185 +V +tp7091 +a(g340 +V& +tp7092 +a(g18 +Vnv +p7093 +tp7094 +a(g198 +V) +tp7095 +a(g198 +V; +tp7096 +a(g185 +V\u000a +tp7097 +a(g185 +V +p7098 +tp7099 +a(g185 +V +tp7100 +a(g18 +Vn +tp7101 +a(g185 +V +tp7102 +a(g340 +V= +tp7103 +a(g185 +V +tp7104 +a(g18 +VNUM2LONG +p7105 +tp7106 +a(g198 +V( +tp7107 +a(g18 +Vnv +p7108 +tp7109 +a(g198 +V) +tp7110 +a(g198 +V; +tp7111 +a(g185 +V\u000a +tp7112 +a(g185 +V +p7113 +tp7114 +a(g185 +V +tp7115 +a(g111 +Vif +p7116 +tp7117 +a(g185 +V +tp7118 +a(g198 +V( +tp7119 +a(g18 +Vn +tp7120 +a(g185 +V +tp7121 +a(g340 +V> +tp7122 +a(g185 +V +tp7123 +a(g18 +VRARRAY +p7124 +tp7125 +a(g198 +V( +tp7126 +a(g18 +Vary +p7127 +tp7128 +a(g198 +V) +tp7129 +a(g340 +V- +tp7130 +a(g340 +V> +tp7131 +a(g18 +Vlen +p7132 +tp7133 +a(g198 +V) +tp7134 +a(g185 +V +tp7135 +a(g198 +V{ +tp7136 +a(g185 +V\u000a +tp7137 +a(g185 +V +tp7138 +a(g18 +Vn +tp7139 +a(g185 +V +tp7140 +a(g340 +V= +tp7141 +a(g185 +V +tp7142 +a(g18 +VRARRAY +p7143 +tp7144 +a(g198 +V( +tp7145 +a(g18 +Vary +p7146 +tp7147 +a(g198 +V) +tp7148 +a(g340 +V- +tp7149 +a(g340 +V> +tp7150 +a(g18 +Vlen +p7151 +tp7152 +a(g198 +V; +tp7153 +a(g185 +V\u000a +tp7154 +a(g185 +V +p7155 +tp7156 +a(g185 +V +tp7157 +a(g198 +V} +tp7158 +a(g185 +V\u000a +tp7159 +a(g185 +V +p7160 +tp7161 +a(g111 +Velse +p7162 +tp7163 +a(g185 +V +tp7164 +a(g111 +Vif +p7165 +tp7166 +a(g185 +V +tp7167 +a(g198 +V( +tp7168 +a(g18 +Vn +tp7169 +a(g185 +V +tp7170 +a(g340 +V< +tp7171 +a(g185 +V +tp7172 +a(g315 +V0 +tp7173 +a(g198 +V) +tp7174 +a(g185 +V +tp7175 +a(g198 +V{ +tp7176 +a(g185 +V\u000a +tp7177 +a(g185 +V +tp7178 +a(g18 +Vrb_raise +p7179 +tp7180 +a(g198 +V( +tp7181 +a(g18 +Vrb_eArgError +p7182 +tp7183 +a(g198 +V, +tp7184 +a(g185 +V +tp7185 +a(g222 +V" +tp7186 +a(g222 +Vnegative array size +p7187 +tp7188 +a(g222 +V" +tp7189 +a(g198 +V) +tp7190 +a(g198 +V; +tp7191 +a(g185 +V\u000a +tp7192 +a(g185 +V +p7193 +tp7194 +a(g185 +V +tp7195 +a(g198 +V} +tp7196 +a(g185 +V\u000a +tp7197 +a(g185 +V +p7198 +tp7199 +a(g18 +Vresult +p7200 +tp7201 +a(g185 +V +tp7202 +a(g340 +V= +tp7203 +a(g185 +V +tp7204 +a(g18 +Vary_shared_array +p7205 +tp7206 +a(g198 +V( +tp7207 +a(g18 +Vrb_cArray +p7208 +tp7209 +a(g198 +V, +tp7210 +a(g185 +V +tp7211 +a(g18 +Vary +p7212 +tp7213 +a(g198 +V) +tp7214 +a(g198 +V; +tp7215 +a(g185 +V\u000a +tp7216 +a(g185 +V +p7217 +tp7218 +a(g185 +V +tp7219 +a(g18 +VRARRAY +p7220 +tp7221 +a(g198 +V( +tp7222 +a(g18 +Vresult +p7223 +tp7224 +a(g198 +V) +tp7225 +a(g340 +V- +tp7226 +a(g340 +V> +tp7227 +a(g18 +Vlen +p7228 +tp7229 +a(g185 +V +tp7230 +a(g340 +V= +tp7231 +a(g185 +V +tp7232 +a(g18 +Vn +tp7233 +a(g198 +V; +tp7234 +a(g185 +V\u000a +tp7235 +a(g185 +V +p7236 +tp7237 +a(g185 +V +tp7238 +a(g111 +Vreturn +p7239 +tp7240 +a(g185 +V +tp7241 +a(g18 +Vresult +p7242 +tp7243 +a(g198 +V; +tp7244 +a(g185 +V\u000a +tp7245 +a(g185 +V +tp7246 +a(g198 +V} +tp7247 +a(g185 +V\u000a +tp7248 +a(g185 +V\u000a +tp7249 +a(g111 +Vstatic +p7250 +tp7251 +a(g185 +V +tp7252 +a(g18 +VVALUE +p7253 +tp7254 +a(g185 +V\u000a +tp7255 +a(g18 +Vary_shared_last +p7256 +tp7257 +a(g198 +V( +tp7258 +a(g18 +Vargc +p7259 +tp7260 +a(g198 +V, +tp7261 +a(g185 +V +tp7262 +a(g18 +Vargv +p7263 +tp7264 +a(g198 +V, +tp7265 +a(g185 +V +tp7266 +a(g18 +Vary +p7267 +tp7268 +a(g198 +V) +tp7269 +a(g185 +V\u000a +tp7270 +a(g185 +V +p7271 +tp7272 +a(g135 +Vint +p7273 +tp7274 +a(g185 +V +tp7275 +a(g18 +Vargc +p7276 +tp7277 +a(g198 +V; +tp7278 +a(g185 +V\u000a +tp7279 +a(g185 +V +p7280 +tp7281 +a(g185 +V +tp7282 +a(g18 +VVALUE +p7283 +tp7284 +a(g185 +V +tp7285 +a(g340 +V* +tp7286 +a(g18 +Vargv +p7287 +tp7288 +a(g198 +V; +tp7289 +a(g185 +V\u000a +tp7290 +a(g185 +V +p7291 +tp7292 +a(g185 +V +tp7293 +a(g18 +VVALUE +p7294 +tp7295 +a(g185 +V +tp7296 +a(g18 +Vary +p7297 +tp7298 +a(g198 +V; +tp7299 +a(g185 +V\u000a +tp7300 +a(g185 +V +tp7301 +a(g198 +V{ +tp7302 +a(g185 +V\u000a +tp7303 +a(g185 +V +p7304 +tp7305 +a(g18 +VVALUE +p7306 +tp7307 +a(g185 +V +tp7308 +a(g18 +Vresult +p7309 +tp7310 +a(g185 +V +tp7311 +a(g340 +V= +tp7312 +a(g185 +V +tp7313 +a(g18 +Vary_shared_first +p7314 +tp7315 +a(g198 +V( +tp7316 +a(g18 +Vargc +p7317 +tp7318 +a(g198 +V, +tp7319 +a(g185 +V +tp7320 +a(g18 +Vargv +p7321 +tp7322 +a(g198 +V, +tp7323 +a(g185 +V +tp7324 +a(g18 +Vary +p7325 +tp7326 +a(g198 +V) +tp7327 +a(g198 +V; +tp7328 +a(g185 +V\u000a +tp7329 +a(g185 +V\u000a +tp7330 +a(g185 +V +p7331 +tp7332 +a(g185 +V +tp7333 +a(g18 +VRARRAY +p7334 +tp7335 +a(g198 +V( +tp7336 +a(g18 +Vresult +p7337 +tp7338 +a(g198 +V) +tp7339 +a(g340 +V- +tp7340 +a(g340 +V> +tp7341 +a(g18 +Vptr +p7342 +tp7343 +a(g185 +V +tp7344 +a(g340 +V+ +tp7345 +a(g340 +V= +tp7346 +a(g185 +V +tp7347 +a(g18 +VRARRAY +p7348 +tp7349 +a(g198 +V( +tp7350 +a(g18 +Vary +p7351 +tp7352 +a(g198 +V) +tp7353 +a(g340 +V- +tp7354 +a(g340 +V> +tp7355 +a(g18 +Vlen +p7356 +tp7357 +a(g185 +V +tp7358 +a(g340 +V- +tp7359 +a(g185 +V +tp7360 +a(g18 +VRARRAY +p7361 +tp7362 +a(g198 +V( +tp7363 +a(g18 +Vresult +p7364 +tp7365 +a(g198 +V) +tp7366 +a(g340 +V- +tp7367 +a(g340 +V> +tp7368 +a(g18 +Vlen +p7369 +tp7370 +a(g198 +V; +tp7371 +a(g185 +V\u000a +tp7372 +a(g185 +V +p7373 +tp7374 +a(g185 +V +tp7375 +a(g111 +Vreturn +p7376 +tp7377 +a(g185 +V +tp7378 +a(g18 +Vresult +p7379 +tp7380 +a(g198 +V; +tp7381 +a(g185 +V\u000a +tp7382 +a(g185 +V +tp7383 +a(g198 +V} +tp7384 +a(g185 +V\u000a +tp7385 +a(g185 +V\u000a +tp7386 +a(g354 +V/*\u000a * call-seq:\u000a * array << obj -> array\u000a * \u000a * Append---Pushes the given object on to the end of this array. This\u000a * expression returns the array itself, so several appends\u000a * may be chained together.\u000a *\u000a * [ 1, 2 ] << "c" << "d" << [ 3, 4 ]\u000a * #=> [ 1, 2, "c", "d", [ 3, 4 ] ]\u000a *\u000a */ +p7387 +tp7388 +a(g185 +V\u000a +tp7389 +a(g185 +V\u000a +tp7390 +a(g18 +VVALUE +p7391 +tp7392 +a(g185 +V\u000a +tp7393 +a(g18 +Vrb_ary_push +p7394 +tp7395 +a(g198 +V( +tp7396 +a(g18 +Vary +p7397 +tp7398 +a(g198 +V, +tp7399 +a(g185 +V +tp7400 +a(g18 +Vitem +p7401 +tp7402 +a(g198 +V) +tp7403 +a(g185 +V\u000a +tp7404 +a(g185 +V +p7405 +tp7406 +a(g18 +VVALUE +p7407 +tp7408 +a(g185 +V +tp7409 +a(g18 +Vary +p7410 +tp7411 +a(g198 +V; +tp7412 +a(g185 +V\u000a +tp7413 +a(g185 +V +p7414 +tp7415 +a(g185 +V +tp7416 +a(g18 +VVALUE +p7417 +tp7418 +a(g185 +V +tp7419 +a(g18 +Vitem +p7420 +tp7421 +a(g198 +V; +tp7422 +a(g185 +V\u000a +tp7423 +a(g185 +V +tp7424 +a(g198 +V{ +tp7425 +a(g185 +V\u000a +tp7426 +a(g185 +V +p7427 +tp7428 +a(g18 +Vrb_ary_store +p7429 +tp7430 +a(g198 +V( +tp7431 +a(g18 +Vary +p7432 +tp7433 +a(g198 +V, +tp7434 +a(g185 +V +tp7435 +a(g18 +VRARRAY +p7436 +tp7437 +a(g198 +V( +tp7438 +a(g18 +Vary +p7439 +tp7440 +a(g198 +V) +tp7441 +a(g340 +V- +tp7442 +a(g340 +V> +tp7443 +a(g18 +Vlen +p7444 +tp7445 +a(g198 +V, +tp7446 +a(g185 +V +tp7447 +a(g18 +Vitem +p7448 +tp7449 +a(g198 +V) +tp7450 +a(g198 +V; +tp7451 +a(g185 +V\u000a +tp7452 +a(g185 +V +p7453 +tp7454 +a(g185 +V +tp7455 +a(g111 +Vreturn +p7456 +tp7457 +a(g185 +V +tp7458 +a(g18 +Vary +p7459 +tp7460 +a(g198 +V; +tp7461 +a(g185 +V\u000a +tp7462 +a(g185 +V +tp7463 +a(g198 +V} +tp7464 +a(g185 +V\u000a +tp7465 +a(g185 +V\u000a +tp7466 +a(g354 +V/* \u000a * call-seq:\u000a * array.push(obj, ... ) -> array\u000a * \u000a * Append---Pushes the given object(s) on to the end of this array. This\u000a * expression returns the array itself, so several appends\u000a * may be chained together.\u000a *\u000a * a = [ "a", "b", "c" ]\u000a * a.push("d", "e", "f") \u000a * #=> ["a", "b", "c", "d", "e", "f"]\u000a */ +p7467 +tp7468 +a(g185 +V\u000a +tp7469 +a(g185 +V\u000a +tp7470 +a(g111 +Vstatic +p7471 +tp7472 +a(g185 +V +tp7473 +a(g18 +VVALUE +p7474 +tp7475 +a(g185 +V\u000a +tp7476 +a(g18 +Vrb_ary_push_m +p7477 +tp7478 +a(g198 +V( +tp7479 +a(g18 +Vargc +p7480 +tp7481 +a(g198 +V, +tp7482 +a(g185 +V +tp7483 +a(g18 +Vargv +p7484 +tp7485 +a(g198 +V, +tp7486 +a(g185 +V +tp7487 +a(g18 +Vary +p7488 +tp7489 +a(g198 +V) +tp7490 +a(g185 +V\u000a +tp7491 +a(g185 +V +p7492 +tp7493 +a(g135 +Vint +p7494 +tp7495 +a(g185 +V +tp7496 +a(g18 +Vargc +p7497 +tp7498 +a(g198 +V; +tp7499 +a(g185 +V\u000a +tp7500 +a(g185 +V +p7501 +tp7502 +a(g185 +V +tp7503 +a(g18 +VVALUE +p7504 +tp7505 +a(g185 +V +tp7506 +a(g340 +V* +tp7507 +a(g18 +Vargv +p7508 +tp7509 +a(g198 +V; +tp7510 +a(g185 +V\u000a +tp7511 +a(g185 +V +p7512 +tp7513 +a(g185 +V +tp7514 +a(g18 +VVALUE +p7515 +tp7516 +a(g185 +V +tp7517 +a(g18 +Vary +p7518 +tp7519 +a(g198 +V; +tp7520 +a(g185 +V\u000a +tp7521 +a(g185 +V +tp7522 +a(g198 +V{ +tp7523 +a(g185 +V\u000a +tp7524 +a(g185 +V +p7525 +tp7526 +a(g111 +Vwhile +p7527 +tp7528 +a(g185 +V +tp7529 +a(g198 +V( +tp7530 +a(g18 +Vargc +p7531 +tp7532 +a(g340 +V- +tp7533 +a(g340 +V- +tp7534 +a(g198 +V) +tp7535 +a(g185 +V +tp7536 +a(g198 +V{ +tp7537 +a(g185 +V\u000a +tp7538 +a(g185 +V +tp7539 +a(g18 +Vrb_ary_push +p7540 +tp7541 +a(g198 +V( +tp7542 +a(g18 +Vary +p7543 +tp7544 +a(g198 +V, +tp7545 +a(g185 +V +tp7546 +a(g340 +V* +tp7547 +a(g18 +Vargv +p7548 +tp7549 +a(g340 +V+ +tp7550 +a(g340 +V+ +tp7551 +a(g198 +V) +tp7552 +a(g198 +V; +tp7553 +a(g185 +V\u000a +tp7554 +a(g185 +V +p7555 +tp7556 +a(g185 +V +tp7557 +a(g198 +V} +tp7558 +a(g185 +V\u000a +tp7559 +a(g185 +V +p7560 +tp7561 +a(g111 +Vreturn +p7562 +tp7563 +a(g185 +V +tp7564 +a(g18 +Vary +p7565 +tp7566 +a(g198 +V; +tp7567 +a(g185 +V\u000a +tp7568 +a(g185 +V +tp7569 +a(g198 +V} +tp7570 +a(g185 +V\u000a +tp7571 +a(g185 +V\u000a +tp7572 +a(g18 +VVALUE +p7573 +tp7574 +a(g185 +V\u000a +tp7575 +a(g18 +Vrb_ary_pop +p7576 +tp7577 +a(g198 +V( +tp7578 +a(g18 +Vary +p7579 +tp7580 +a(g198 +V) +tp7581 +a(g185 +V\u000a +tp7582 +a(g185 +V +p7583 +tp7584 +a(g18 +VVALUE +p7585 +tp7586 +a(g185 +V +tp7587 +a(g18 +Vary +p7588 +tp7589 +a(g198 +V; +tp7590 +a(g185 +V\u000a +tp7591 +a(g185 +V +tp7592 +a(g198 +V{ +tp7593 +a(g185 +V\u000a +tp7594 +a(g185 +V +p7595 +tp7596 +a(g18 +Vrb_ary_modify_check +p7597 +tp7598 +a(g198 +V( +tp7599 +a(g18 +Vary +p7600 +tp7601 +a(g198 +V) +tp7602 +a(g198 +V; +tp7603 +a(g185 +V\u000a +tp7604 +a(g185 +V +p7605 +tp7606 +a(g185 +V +tp7607 +a(g111 +Vif +p7608 +tp7609 +a(g185 +V +tp7610 +a(g198 +V( +tp7611 +a(g18 +VRARRAY +p7612 +tp7613 +a(g198 +V( +tp7614 +a(g18 +Vary +p7615 +tp7616 +a(g198 +V) +tp7617 +a(g340 +V- +tp7618 +a(g340 +V> +tp7619 +a(g18 +Vlen +p7620 +tp7621 +a(g185 +V +tp7622 +a(g340 +V= +tp7623 +a(g340 +V= +tp7624 +a(g185 +V +tp7625 +a(g315 +V0 +tp7626 +a(g198 +V) +tp7627 +a(g185 +V +tp7628 +a(g111 +Vreturn +p7629 +tp7630 +a(g185 +V +tp7631 +a(g18 +VQnil +p7632 +tp7633 +a(g198 +V; +tp7634 +a(g185 +V\u000a +tp7635 +a(g185 +V +p7636 +tp7637 +a(g185 +V +tp7638 +a(g111 +Vif +p7639 +tp7640 +a(g185 +V +tp7641 +a(g198 +V( +tp7642 +a(g340 +V! +tp7643 +a(g18 +VFL_TEST +p7644 +tp7645 +a(g198 +V( +tp7646 +a(g18 +Vary +p7647 +tp7648 +a(g198 +V, +tp7649 +a(g185 +V +tp7650 +a(g18 +VELTS_SHARED +p7651 +tp7652 +a(g198 +V) +tp7653 +a(g185 +V +tp7654 +a(g340 +V& +tp7655 +a(g340 +V& +tp7656 +a(g185 +V\u000a +tp7657 +a(g185 +V +p7658 +tp7659 +a(g18 +VRARRAY +p7660 +tp7661 +a(g198 +V( +tp7662 +a(g18 +Vary +p7663 +tp7664 +a(g198 +V) +tp7665 +a(g340 +V- +tp7666 +a(g340 +V> +tp7667 +a(g18 +Vlen +p7668 +tp7669 +a(g185 +V +tp7670 +a(g340 +V* +tp7671 +a(g185 +V +tp7672 +a(g315 +V2 +tp7673 +a(g185 +V +tp7674 +a(g340 +V< +tp7675 +a(g185 +V +tp7676 +a(g18 +VRARRAY +p7677 +tp7678 +a(g198 +V( +tp7679 +a(g18 +Vary +p7680 +tp7681 +a(g198 +V) +tp7682 +a(g340 +V- +tp7683 +a(g340 +V> +tp7684 +a(g18 +Vaux +p7685 +tp7686 +a(g198 +V. +tp7687 +a(g18 +Vcapa +p7688 +tp7689 +a(g185 +V +tp7690 +a(g340 +V& +tp7691 +a(g340 +V& +tp7692 +a(g185 +V\u000a +tp7693 +a(g185 +V +p7694 +tp7695 +a(g18 +VRARRAY +p7696 +tp7697 +a(g198 +V( +tp7698 +a(g18 +Vary +p7699 +tp7700 +a(g198 +V) +tp7701 +a(g340 +V- +tp7702 +a(g340 +V> +tp7703 +a(g18 +Vaux +p7704 +tp7705 +a(g198 +V. +tp7706 +a(g18 +Vcapa +p7707 +tp7708 +a(g185 +V +tp7709 +a(g340 +V> +tp7710 +a(g185 +V +tp7711 +a(g18 +VARY_DEFAULT_SIZE +p7712 +tp7713 +a(g198 +V) +tp7714 +a(g185 +V +tp7715 +a(g198 +V{ +tp7716 +a(g185 +V\u000a +tp7717 +a(g185 +V +tp7718 +a(g18 +VRARRAY +p7719 +tp7720 +a(g198 +V( +tp7721 +a(g18 +Vary +p7722 +tp7723 +a(g198 +V) +tp7724 +a(g340 +V- +tp7725 +a(g340 +V> +tp7726 +a(g18 +Vaux +p7727 +tp7728 +a(g198 +V. +tp7729 +a(g18 +Vcapa +p7730 +tp7731 +a(g185 +V +tp7732 +a(g340 +V= +tp7733 +a(g185 +V +tp7734 +a(g18 +VRARRAY +p7735 +tp7736 +a(g198 +V( +tp7737 +a(g18 +Vary +p7738 +tp7739 +a(g198 +V) +tp7740 +a(g340 +V- +tp7741 +a(g340 +V> +tp7742 +a(g18 +Vlen +p7743 +tp7744 +a(g185 +V +tp7745 +a(g340 +V* +tp7746 +a(g185 +V +tp7747 +a(g315 +V2 +tp7748 +a(g198 +V; +tp7749 +a(g185 +V\u000a +tp7750 +a(g185 +V +tp7751 +a(g185 +V +tp7752 +a(g18 +VREALLOC_N +p7753 +tp7754 +a(g198 +V( +tp7755 +a(g18 +VRARRAY +p7756 +tp7757 +a(g198 +V( +tp7758 +a(g18 +Vary +p7759 +tp7760 +a(g198 +V) +tp7761 +a(g340 +V- +tp7762 +a(g340 +V> +tp7763 +a(g18 +Vptr +p7764 +tp7765 +a(g198 +V, +tp7766 +a(g185 +V +tp7767 +a(g18 +VVALUE +p7768 +tp7769 +a(g198 +V, +tp7770 +a(g185 +V +tp7771 +a(g18 +VRARRAY +p7772 +tp7773 +a(g198 +V( +tp7774 +a(g18 +Vary +p7775 +tp7776 +a(g198 +V) +tp7777 +a(g340 +V- +tp7778 +a(g340 +V> +tp7779 +a(g18 +Vaux +p7780 +tp7781 +a(g198 +V. +tp7782 +a(g18 +Vcapa +p7783 +tp7784 +a(g198 +V) +tp7785 +a(g198 +V; +tp7786 +a(g185 +V\u000a +tp7787 +a(g185 +V +p7788 +tp7789 +a(g185 +V +tp7790 +a(g198 +V} +tp7791 +a(g185 +V\u000a +tp7792 +a(g185 +V +p7793 +tp7794 +a(g111 +Vreturn +p7795 +tp7796 +a(g185 +V +tp7797 +a(g18 +VRARRAY +p7798 +tp7799 +a(g198 +V( +tp7800 +a(g18 +Vary +p7801 +tp7802 +a(g198 +V) +tp7803 +a(g340 +V- +tp7804 +a(g340 +V> +tp7805 +a(g18 +Vptr +p7806 +tp7807 +a(g198 +V[ +tp7808 +a(g340 +V- +tp7809 +a(g340 +V- +tp7810 +a(g18 +VRARRAY +p7811 +tp7812 +a(g198 +V( +tp7813 +a(g18 +Vary +p7814 +tp7815 +a(g198 +V) +tp7816 +a(g340 +V- +tp7817 +a(g340 +V> +tp7818 +a(g18 +Vlen +p7819 +tp7820 +a(g198 +V] +tp7821 +a(g198 +V; +tp7822 +a(g185 +V\u000a +tp7823 +a(g185 +V +tp7824 +a(g198 +V} +tp7825 +a(g185 +V\u000a +tp7826 +a(g185 +V\u000a +tp7827 +a(g354 +V/*\u000a * call-seq:\u000a * array.pop -> obj or nil\u000a * \u000a * Removes the last element from self and returns it, or\u000a * nil if the array is empty.\u000a * \u000a * a = [ "a", "b", "c", "d" ]\u000a * a.pop #=> "d"\u000a * a.pop(2) #=> ["b", "c"]\u000a * a #=> ["a"]\u000a */ +p7828 +tp7829 +a(g185 +V\u000a +tp7830 +a(g185 +V\u000a +tp7831 +a(g111 +Vstatic +p7832 +tp7833 +a(g185 +V +tp7834 +a(g18 +VVALUE +p7835 +tp7836 +a(g185 +V\u000a +tp7837 +a(g18 +Vrb_ary_pop_m +p7838 +tp7839 +a(g198 +V( +tp7840 +a(g18 +Vargc +p7841 +tp7842 +a(g198 +V, +tp7843 +a(g185 +V +tp7844 +a(g18 +Vargv +p7845 +tp7846 +a(g198 +V, +tp7847 +a(g185 +V +tp7848 +a(g18 +Vary +p7849 +tp7850 +a(g198 +V) +tp7851 +a(g185 +V\u000a +tp7852 +a(g185 +V +p7853 +tp7854 +a(g135 +Vint +p7855 +tp7856 +a(g185 +V +tp7857 +a(g18 +Vargc +p7858 +tp7859 +a(g198 +V; +tp7860 +a(g185 +V\u000a +tp7861 +a(g185 +V +p7862 +tp7863 +a(g185 +V +tp7864 +a(g18 +VVALUE +p7865 +tp7866 +a(g185 +V +tp7867 +a(g340 +V* +tp7868 +a(g18 +Vargv +p7869 +tp7870 +a(g198 +V; +tp7871 +a(g185 +V\u000a +tp7872 +a(g185 +V +p7873 +tp7874 +a(g185 +V +tp7875 +a(g18 +VVALUE +p7876 +tp7877 +a(g185 +V +tp7878 +a(g18 +Vary +p7879 +tp7880 +a(g198 +V; +tp7881 +a(g185 +V\u000a +tp7882 +a(g185 +V +tp7883 +a(g198 +V{ +tp7884 +a(g185 +V\u000a +tp7885 +a(g185 +V +p7886 +tp7887 +a(g18 +VVALUE +p7888 +tp7889 +a(g185 +V +tp7890 +a(g18 +Vresult +p7891 +tp7892 +a(g198 +V; +tp7893 +a(g185 +V\u000a +tp7894 +a(g185 +V\u000a +tp7895 +a(g185 +V +p7896 +tp7897 +a(g185 +V +tp7898 +a(g111 +Vif +p7899 +tp7900 +a(g185 +V +tp7901 +a(g198 +V( +tp7902 +a(g18 +Vargc +p7903 +tp7904 +a(g185 +V +tp7905 +a(g340 +V= +tp7906 +a(g340 +V= +tp7907 +a(g185 +V +tp7908 +a(g315 +V0 +tp7909 +a(g198 +V) +tp7910 +a(g185 +V +tp7911 +a(g198 +V{ +tp7912 +a(g185 +V\u000a +tp7913 +a(g185 +V +tp7914 +a(g111 +Vreturn +p7915 +tp7916 +a(g185 +V +tp7917 +a(g18 +Vrb_ary_pop +p7918 +tp7919 +a(g198 +V( +tp7920 +a(g18 +Vary +p7921 +tp7922 +a(g198 +V) +tp7923 +a(g198 +V; +tp7924 +a(g185 +V\u000a +tp7925 +a(g185 +V +p7926 +tp7927 +a(g185 +V +tp7928 +a(g198 +V} +tp7929 +a(g185 +V\u000a +tp7930 +a(g185 +V\u000a +tp7931 +a(g185 +V +p7932 +tp7933 +a(g18 +Vrb_ary_modify_check +p7934 +tp7935 +a(g198 +V( +tp7936 +a(g18 +Vary +p7937 +tp7938 +a(g198 +V) +tp7939 +a(g198 +V; +tp7940 +a(g185 +V\u000a +tp7941 +a(g185 +V\u000a +tp7942 +a(g185 +V +p7943 +tp7944 +a(g185 +V +tp7945 +a(g18 +Vresult +p7946 +tp7947 +a(g185 +V +tp7948 +a(g340 +V= +tp7949 +a(g185 +V +tp7950 +a(g18 +Vary_shared_last +p7951 +tp7952 +a(g198 +V( +tp7953 +a(g18 +Vargc +p7954 +tp7955 +a(g198 +V, +tp7956 +a(g185 +V +tp7957 +a(g18 +Vargv +p7958 +tp7959 +a(g198 +V, +tp7960 +a(g185 +V +tp7961 +a(g18 +Vary +p7962 +tp7963 +a(g198 +V) +tp7964 +a(g198 +V; +tp7965 +a(g185 +V\u000a +tp7966 +a(g185 +V +p7967 +tp7968 +a(g185 +V +tp7969 +a(g18 +VRARRAY +p7970 +tp7971 +a(g198 +V( +tp7972 +a(g18 +Vary +p7973 +tp7974 +a(g198 +V) +tp7975 +a(g340 +V- +tp7976 +a(g340 +V> +tp7977 +a(g18 +Vlen +p7978 +tp7979 +a(g185 +V +tp7980 +a(g340 +V- +tp7981 +a(g340 +V= +tp7982 +a(g185 +V +tp7983 +a(g18 +VRARRAY +p7984 +tp7985 +a(g198 +V( +tp7986 +a(g18 +Vresult +p7987 +tp7988 +a(g198 +V) +tp7989 +a(g340 +V- +tp7990 +a(g340 +V> +tp7991 +a(g18 +Vlen +p7992 +tp7993 +a(g198 +V; +tp7994 +a(g185 +V\u000a +tp7995 +a(g185 +V +p7996 +tp7997 +a(g185 +V +tp7998 +a(g111 +Vreturn +p7999 +tp8000 +a(g185 +V +tp8001 +a(g18 +Vresult +p8002 +tp8003 +a(g198 +V; +tp8004 +a(g185 +V\u000a +tp8005 +a(g185 +V +tp8006 +a(g198 +V} +tp8007 +a(g185 +V\u000a +tp8008 +a(g185 +V\u000a +tp8009 +a(g18 +VVALUE +p8010 +tp8011 +a(g185 +V\u000a +tp8012 +a(g18 +Vrb_ary_shift +p8013 +tp8014 +a(g198 +V( +tp8015 +a(g18 +Vary +p8016 +tp8017 +a(g198 +V) +tp8018 +a(g185 +V\u000a +tp8019 +a(g185 +V +p8020 +tp8021 +a(g18 +VVALUE +p8022 +tp8023 +a(g185 +V +tp8024 +a(g18 +Vary +p8025 +tp8026 +a(g198 +V; +tp8027 +a(g185 +V\u000a +tp8028 +a(g185 +V +tp8029 +a(g198 +V{ +tp8030 +a(g185 +V\u000a +tp8031 +a(g185 +V +p8032 +tp8033 +a(g18 +VVALUE +p8034 +tp8035 +a(g185 +V +tp8036 +a(g18 +Vtop +p8037 +tp8038 +a(g198 +V; +tp8039 +a(g185 +V\u000a +tp8040 +a(g185 +V\u000a +tp8041 +a(g185 +V +p8042 +tp8043 +a(g185 +V +tp8044 +a(g18 +Vrb_ary_modify_check +p8045 +tp8046 +a(g198 +V( +tp8047 +a(g18 +Vary +p8048 +tp8049 +a(g198 +V) +tp8050 +a(g198 +V; +tp8051 +a(g185 +V\u000a +tp8052 +a(g185 +V +p8053 +tp8054 +a(g185 +V +tp8055 +a(g111 +Vif +p8056 +tp8057 +a(g185 +V +tp8058 +a(g198 +V( +tp8059 +a(g18 +VRARRAY +p8060 +tp8061 +a(g198 +V( +tp8062 +a(g18 +Vary +p8063 +tp8064 +a(g198 +V) +tp8065 +a(g340 +V- +tp8066 +a(g340 +V> +tp8067 +a(g18 +Vlen +p8068 +tp8069 +a(g185 +V +tp8070 +a(g340 +V= +tp8071 +a(g340 +V= +tp8072 +a(g185 +V +tp8073 +a(g315 +V0 +tp8074 +a(g198 +V) +tp8075 +a(g185 +V +tp8076 +a(g111 +Vreturn +p8077 +tp8078 +a(g185 +V +tp8079 +a(g18 +VQnil +p8080 +tp8081 +a(g198 +V; +tp8082 +a(g185 +V\u000a +tp8083 +a(g185 +V +p8084 +tp8085 +a(g185 +V +tp8086 +a(g18 +Vtop +p8087 +tp8088 +a(g185 +V +tp8089 +a(g340 +V= +tp8090 +a(g185 +V +tp8091 +a(g18 +VRARRAY +p8092 +tp8093 +a(g198 +V( +tp8094 +a(g18 +Vary +p8095 +tp8096 +a(g198 +V) +tp8097 +a(g340 +V- +tp8098 +a(g340 +V> +tp8099 +a(g18 +Vptr +p8100 +tp8101 +a(g198 +V[ +tp8102 +a(g315 +V0 +tp8103 +a(g198 +V] +tp8104 +a(g198 +V; +tp8105 +a(g185 +V\u000a +tp8106 +a(g185 +V +p8107 +tp8108 +a(g185 +V +tp8109 +a(g18 +Vary_make_shared +p8110 +tp8111 +a(g198 +V( +tp8112 +a(g18 +Vary +p8113 +tp8114 +a(g198 +V) +tp8115 +a(g198 +V; +tp8116 +a(g185 +V\u000a +tp8117 +a(g185 +V +p8118 +tp8119 +a(g185 +V +tp8120 +a(g18 +VRARRAY +p8121 +tp8122 +a(g198 +V( +tp8123 +a(g18 +Vary +p8124 +tp8125 +a(g198 +V) +tp8126 +a(g340 +V- +tp8127 +a(g340 +V> +tp8128 +a(g18 +Vptr +p8129 +tp8130 +a(g340 +V+ +tp8131 +a(g340 +V+ +tp8132 +a(g198 +V; +tp8133 +a(g185 +V +p8134 +tp8135 +a(g354 +V/* shift ptr */ +p8136 +tp8137 +a(g185 +V\u000a +tp8138 +a(g185 +V +p8139 +tp8140 +a(g185 +V +tp8141 +a(g18 +VRARRAY +p8142 +tp8143 +a(g198 +V( +tp8144 +a(g18 +Vary +p8145 +tp8146 +a(g198 +V) +tp8147 +a(g340 +V- +tp8148 +a(g340 +V> +tp8149 +a(g18 +Vlen +p8150 +tp8151 +a(g340 +V- +tp8152 +a(g340 +V- +tp8153 +a(g198 +V; +tp8154 +a(g185 +V\u000a +tp8155 +a(g185 +V\u000a +tp8156 +a(g185 +V +p8157 +tp8158 +a(g185 +V +tp8159 +a(g111 +Vreturn +p8160 +tp8161 +a(g185 +V +tp8162 +a(g18 +Vtop +p8163 +tp8164 +a(g198 +V; +tp8165 +a(g185 +V\u000a +tp8166 +a(g185 +V +tp8167 +a(g198 +V} +tp8168 +a(g185 +V\u000a +tp8169 +a(g185 +V\u000a +tp8170 +a(g354 +V/*\u000a * call-seq:\u000a * array.shift -> obj or nil\u000a * \u000a * Returns the first element of self and removes it (shifting all\u000a * other elements down by one). Returns nil if the array\u000a * is empty.\u000a * \u000a * args = [ "-m", "-q", "filename" ]\u000a * args.shift #=> "-m"\u000a * args #=> ["-q", "filename"]\u000a *\u000a * args = [ "-m", "-q", "filename" ]\u000a * args.shift(2) #=> ["-m", "-q"]\u000a * args #=> ["filename"]\u000a */ +p8171 +tp8172 +a(g185 +V\u000a +tp8173 +a(g185 +V\u000a +tp8174 +a(g111 +Vstatic +p8175 +tp8176 +a(g185 +V +tp8177 +a(g18 +VVALUE +p8178 +tp8179 +a(g185 +V\u000a +tp8180 +a(g18 +Vrb_ary_shift_m +p8181 +tp8182 +a(g198 +V( +tp8183 +a(g18 +Vargc +p8184 +tp8185 +a(g198 +V, +tp8186 +a(g185 +V +tp8187 +a(g18 +Vargv +p8188 +tp8189 +a(g198 +V, +tp8190 +a(g185 +V +tp8191 +a(g18 +Vary +p8192 +tp8193 +a(g198 +V) +tp8194 +a(g185 +V\u000a +tp8195 +a(g185 +V +p8196 +tp8197 +a(g135 +Vint +p8198 +tp8199 +a(g185 +V +tp8200 +a(g18 +Vargc +p8201 +tp8202 +a(g198 +V; +tp8203 +a(g185 +V\u000a +tp8204 +a(g185 +V +p8205 +tp8206 +a(g185 +V +tp8207 +a(g18 +VVALUE +p8208 +tp8209 +a(g185 +V +tp8210 +a(g340 +V* +tp8211 +a(g18 +Vargv +p8212 +tp8213 +a(g198 +V; +tp8214 +a(g185 +V\u000a +tp8215 +a(g185 +V +p8216 +tp8217 +a(g185 +V +tp8218 +a(g18 +VVALUE +p8219 +tp8220 +a(g185 +V +tp8221 +a(g18 +Vary +p8222 +tp8223 +a(g198 +V; +tp8224 +a(g185 +V\u000a +tp8225 +a(g185 +V +tp8226 +a(g198 +V{ +tp8227 +a(g185 +V\u000a +tp8228 +a(g185 +V +p8229 +tp8230 +a(g18 +VVALUE +p8231 +tp8232 +a(g185 +V +tp8233 +a(g18 +Vresult +p8234 +tp8235 +a(g198 +V; +tp8236 +a(g185 +V\u000a +tp8237 +a(g185 +V +p8238 +tp8239 +a(g185 +V +tp8240 +a(g135 +Vlong +p8241 +tp8242 +a(g185 +V +tp8243 +a(g18 +Vn +tp8244 +a(g198 +V; +tp8245 +a(g185 +V\u000a +tp8246 +a(g185 +V\u000a +tp8247 +a(g185 +V +p8248 +tp8249 +a(g185 +V +tp8250 +a(g111 +Vif +p8251 +tp8252 +a(g185 +V +tp8253 +a(g198 +V( +tp8254 +a(g18 +Vargc +p8255 +tp8256 +a(g185 +V +tp8257 +a(g340 +V= +tp8258 +a(g340 +V= +tp8259 +a(g185 +V +tp8260 +a(g315 +V0 +tp8261 +a(g198 +V) +tp8262 +a(g185 +V +tp8263 +a(g198 +V{ +tp8264 +a(g185 +V\u000a +tp8265 +a(g185 +V +tp8266 +a(g111 +Vreturn +p8267 +tp8268 +a(g185 +V +tp8269 +a(g18 +Vrb_ary_shift +p8270 +tp8271 +a(g198 +V( +tp8272 +a(g18 +Vary +p8273 +tp8274 +a(g198 +V) +tp8275 +a(g198 +V; +tp8276 +a(g185 +V\u000a +tp8277 +a(g185 +V +p8278 +tp8279 +a(g185 +V +tp8280 +a(g198 +V} +tp8281 +a(g185 +V\u000a +tp8282 +a(g185 +V\u000a +tp8283 +a(g185 +V +p8284 +tp8285 +a(g18 +Vrb_ary_modify_check +p8286 +tp8287 +a(g198 +V( +tp8288 +a(g18 +Vary +p8289 +tp8290 +a(g198 +V) +tp8291 +a(g198 +V; +tp8292 +a(g185 +V\u000a +tp8293 +a(g185 +V\u000a +tp8294 +a(g185 +V +p8295 +tp8296 +a(g185 +V +tp8297 +a(g18 +Vresult +p8298 +tp8299 +a(g185 +V +tp8300 +a(g340 +V= +tp8301 +a(g185 +V +tp8302 +a(g18 +Vary_shared_first +p8303 +tp8304 +a(g198 +V( +tp8305 +a(g18 +Vargc +p8306 +tp8307 +a(g198 +V, +tp8308 +a(g185 +V +tp8309 +a(g18 +Vargv +p8310 +tp8311 +a(g198 +V, +tp8312 +a(g185 +V +tp8313 +a(g18 +Vary +p8314 +tp8315 +a(g198 +V) +tp8316 +a(g198 +V; +tp8317 +a(g185 +V\u000a +tp8318 +a(g185 +V +p8319 +tp8320 +a(g185 +V +tp8321 +a(g18 +Vn +tp8322 +a(g185 +V +tp8323 +a(g340 +V= +tp8324 +a(g185 +V +tp8325 +a(g18 +VRARRAY +p8326 +tp8327 +a(g198 +V( +tp8328 +a(g18 +Vresult +p8329 +tp8330 +a(g198 +V) +tp8331 +a(g340 +V- +tp8332 +a(g340 +V> +tp8333 +a(g18 +Vlen +p8334 +tp8335 +a(g198 +V; +tp8336 +a(g185 +V\u000a +tp8337 +a(g185 +V +p8338 +tp8339 +a(g185 +V +tp8340 +a(g18 +VRARRAY +p8341 +tp8342 +a(g198 +V( +tp8343 +a(g18 +Vary +p8344 +tp8345 +a(g198 +V) +tp8346 +a(g340 +V- +tp8347 +a(g340 +V> +tp8348 +a(g18 +Vptr +p8349 +tp8350 +a(g185 +V +tp8351 +a(g340 +V+ +tp8352 +a(g340 +V= +tp8353 +a(g185 +V +tp8354 +a(g18 +Vn +tp8355 +a(g198 +V; +tp8356 +a(g185 +V\u000a +tp8357 +a(g185 +V +p8358 +tp8359 +a(g185 +V +tp8360 +a(g18 +VRARRAY +p8361 +tp8362 +a(g198 +V( +tp8363 +a(g18 +Vary +p8364 +tp8365 +a(g198 +V) +tp8366 +a(g340 +V- +tp8367 +a(g340 +V> +tp8368 +a(g18 +Vlen +p8369 +tp8370 +a(g185 +V +tp8371 +a(g340 +V- +tp8372 +a(g340 +V= +tp8373 +a(g185 +V +tp8374 +a(g18 +Vn +tp8375 +a(g198 +V; +tp8376 +a(g185 +V\u000a +tp8377 +a(g185 +V\u000a +tp8378 +a(g185 +V +p8379 +tp8380 +a(g185 +V +tp8381 +a(g111 +Vreturn +p8382 +tp8383 +a(g185 +V +tp8384 +a(g18 +Vresult +p8385 +tp8386 +a(g198 +V; +tp8387 +a(g185 +V\u000a +tp8388 +a(g185 +V +tp8389 +a(g198 +V} +tp8390 +a(g185 +V\u000a +tp8391 +a(g185 +V\u000a +tp8392 +a(g18 +VVALUE +p8393 +tp8394 +a(g185 +V\u000a +tp8395 +a(g18 +Vrb_ary_unshift +p8396 +tp8397 +a(g198 +V( +tp8398 +a(g18 +Vary +p8399 +tp8400 +a(g198 +V, +tp8401 +a(g185 +V +tp8402 +a(g18 +Vitem +p8403 +tp8404 +a(g198 +V) +tp8405 +a(g185 +V\u000a +tp8406 +a(g185 +V +p8407 +tp8408 +a(g18 +VVALUE +p8409 +tp8410 +a(g185 +V +tp8411 +a(g18 +Vary +p8412 +tp8413 +a(g198 +V, +tp8414 +a(g185 +V +tp8415 +a(g18 +Vitem +p8416 +tp8417 +a(g198 +V; +tp8418 +a(g185 +V\u000a +tp8419 +a(g185 +V +tp8420 +a(g198 +V{ +tp8421 +a(g185 +V\u000a +tp8422 +a(g185 +V +p8423 +tp8424 +a(g18 +Vrb_ary_modify +p8425 +tp8426 +a(g198 +V( +tp8427 +a(g18 +Vary +p8428 +tp8429 +a(g198 +V) +tp8430 +a(g198 +V; +tp8431 +a(g185 +V\u000a +tp8432 +a(g185 +V +p8433 +tp8434 +a(g185 +V +tp8435 +a(g111 +Vif +p8436 +tp8437 +a(g185 +V +tp8438 +a(g198 +V( +tp8439 +a(g18 +VRARRAY +p8440 +tp8441 +a(g198 +V( +tp8442 +a(g18 +Vary +p8443 +tp8444 +a(g198 +V) +tp8445 +a(g340 +V- +tp8446 +a(g340 +V> +tp8447 +a(g18 +Vlen +p8448 +tp8449 +a(g185 +V +tp8450 +a(g340 +V= +tp8451 +a(g340 +V= +tp8452 +a(g185 +V +tp8453 +a(g18 +VRARRAY +p8454 +tp8455 +a(g198 +V( +tp8456 +a(g18 +Vary +p8457 +tp8458 +a(g198 +V) +tp8459 +a(g340 +V- +tp8460 +a(g340 +V> +tp8461 +a(g18 +Vaux +p8462 +tp8463 +a(g198 +V. +tp8464 +a(g18 +Vcapa +p8465 +tp8466 +a(g198 +V) +tp8467 +a(g185 +V +tp8468 +a(g198 +V{ +tp8469 +a(g185 +V\u000a +tp8470 +a(g185 +V +tp8471 +a(g135 +Vlong +p8472 +tp8473 +a(g185 +V +tp8474 +a(g18 +Vcapa_inc +p8475 +tp8476 +a(g185 +V +tp8477 +a(g340 +V= +tp8478 +a(g185 +V +tp8479 +a(g18 +VRARRAY +p8480 +tp8481 +a(g198 +V( +tp8482 +a(g18 +Vary +p8483 +tp8484 +a(g198 +V) +tp8485 +a(g340 +V- +tp8486 +a(g340 +V> +tp8487 +a(g18 +Vaux +p8488 +tp8489 +a(g198 +V. +tp8490 +a(g18 +Vcapa +p8491 +tp8492 +a(g185 +V +tp8493 +a(g340 +V/ +tp8494 +a(g185 +V +tp8495 +a(g315 +V2 +tp8496 +a(g198 +V; +tp8497 +a(g185 +V\u000a +tp8498 +a(g185 +V +tp8499 +a(g185 +V +tp8500 +a(g111 +Vif +p8501 +tp8502 +a(g185 +V +tp8503 +a(g198 +V( +tp8504 +a(g18 +Vcapa_inc +p8505 +tp8506 +a(g185 +V +tp8507 +a(g340 +V< +tp8508 +a(g185 +V +tp8509 +a(g18 +VARY_DEFAULT_SIZE +p8510 +tp8511 +a(g198 +V) +tp8512 +a(g185 +V +tp8513 +a(g198 +V{ +tp8514 +a(g185 +V\u000a +tp8515 +a(g185 +V +p8516 +tp8517 +a(g18 +Vcapa_inc +p8518 +tp8519 +a(g185 +V +tp8520 +a(g340 +V= +tp8521 +a(g185 +V +tp8522 +a(g18 +VARY_DEFAULT_SIZE +p8523 +tp8524 +a(g198 +V; +tp8525 +a(g185 +V\u000a +tp8526 +a(g185 +V +tp8527 +a(g185 +V +tp8528 +a(g198 +V} +tp8529 +a(g185 +V\u000a +tp8530 +a(g185 +V +tp8531 +a(g18 +VRARRAY +p8532 +tp8533 +a(g198 +V( +tp8534 +a(g18 +Vary +p8535 +tp8536 +a(g198 +V) +tp8537 +a(g340 +V- +tp8538 +a(g340 +V> +tp8539 +a(g18 +Vaux +p8540 +tp8541 +a(g198 +V. +tp8542 +a(g18 +Vcapa +p8543 +tp8544 +a(g185 +V +tp8545 +a(g340 +V+ +tp8546 +a(g340 +V= +tp8547 +a(g185 +V +tp8548 +a(g18 +Vcapa_inc +p8549 +tp8550 +a(g198 +V; +tp8551 +a(g185 +V\u000a +tp8552 +a(g185 +V +tp8553 +a(g185 +V +tp8554 +a(g18 +VREALLOC_N +p8555 +tp8556 +a(g198 +V( +tp8557 +a(g18 +VRARRAY +p8558 +tp8559 +a(g198 +V( +tp8560 +a(g18 +Vary +p8561 +tp8562 +a(g198 +V) +tp8563 +a(g340 +V- +tp8564 +a(g340 +V> +tp8565 +a(g18 +Vptr +p8566 +tp8567 +a(g198 +V, +tp8568 +a(g185 +V +tp8569 +a(g18 +VVALUE +p8570 +tp8571 +a(g198 +V, +tp8572 +a(g185 +V +tp8573 +a(g18 +VRARRAY +p8574 +tp8575 +a(g198 +V( +tp8576 +a(g18 +Vary +p8577 +tp8578 +a(g198 +V) +tp8579 +a(g340 +V- +tp8580 +a(g340 +V> +tp8581 +a(g18 +Vaux +p8582 +tp8583 +a(g198 +V. +tp8584 +a(g18 +Vcapa +p8585 +tp8586 +a(g198 +V) +tp8587 +a(g198 +V; +tp8588 +a(g185 +V\u000a +tp8589 +a(g185 +V +p8590 +tp8591 +a(g185 +V +tp8592 +a(g198 +V} +tp8593 +a(g185 +V\u000a +tp8594 +a(g185 +V\u000a +tp8595 +a(g185 +V +p8596 +tp8597 +a(g354 +V/* sliding items */ +p8598 +tp8599 +a(g185 +V\u000a +tp8600 +a(g185 +V +p8601 +tp8602 +a(g18 +VMEMMOVE +p8603 +tp8604 +a(g198 +V( +tp8605 +a(g18 +VRARRAY +p8606 +tp8607 +a(g198 +V( +tp8608 +a(g18 +Vary +p8609 +tp8610 +a(g198 +V) +tp8611 +a(g340 +V- +tp8612 +a(g340 +V> +tp8613 +a(g18 +Vptr +p8614 +tp8615 +a(g185 +V +tp8616 +a(g340 +V+ +tp8617 +a(g185 +V +tp8618 +a(g315 +V1 +tp8619 +a(g198 +V, +tp8620 +a(g185 +V +tp8621 +a(g18 +VRARRAY +p8622 +tp8623 +a(g198 +V( +tp8624 +a(g18 +Vary +p8625 +tp8626 +a(g198 +V) +tp8627 +a(g340 +V- +tp8628 +a(g340 +V> +tp8629 +a(g18 +Vptr +p8630 +tp8631 +a(g198 +V, +tp8632 +a(g185 +V +tp8633 +a(g18 +VVALUE +p8634 +tp8635 +a(g198 +V, +tp8636 +a(g185 +V +tp8637 +a(g18 +VRARRAY +p8638 +tp8639 +a(g198 +V( +tp8640 +a(g18 +Vary +p8641 +tp8642 +a(g198 +V) +tp8643 +a(g340 +V- +tp8644 +a(g340 +V> +tp8645 +a(g18 +Vlen +p8646 +tp8647 +a(g198 +V) +tp8648 +a(g198 +V; +tp8649 +a(g185 +V\u000a +tp8650 +a(g185 +V\u000a +tp8651 +a(g185 +V +p8652 +tp8653 +a(g185 +V +tp8654 +a(g18 +VRARRAY +p8655 +tp8656 +a(g198 +V( +tp8657 +a(g18 +Vary +p8658 +tp8659 +a(g198 +V) +tp8660 +a(g340 +V- +tp8661 +a(g340 +V> +tp8662 +a(g18 +Vlen +p8663 +tp8664 +a(g340 +V+ +tp8665 +a(g340 +V+ +tp8666 +a(g198 +V; +tp8667 +a(g185 +V\u000a +tp8668 +a(g185 +V +p8669 +tp8670 +a(g185 +V +tp8671 +a(g18 +VRARRAY +p8672 +tp8673 +a(g198 +V( +tp8674 +a(g18 +Vary +p8675 +tp8676 +a(g198 +V) +tp8677 +a(g340 +V- +tp8678 +a(g340 +V> +tp8679 +a(g18 +Vptr +p8680 +tp8681 +a(g198 +V[ +tp8682 +a(g315 +V0 +tp8683 +a(g198 +V] +tp8684 +a(g185 +V +tp8685 +a(g340 +V= +tp8686 +a(g185 +V +tp8687 +a(g18 +Vitem +p8688 +tp8689 +a(g198 +V; +tp8690 +a(g185 +V\u000a +tp8691 +a(g185 +V\u000a +tp8692 +a(g185 +V +p8693 +tp8694 +a(g185 +V +tp8695 +a(g111 +Vreturn +p8696 +tp8697 +a(g185 +V +tp8698 +a(g18 +Vary +p8699 +tp8700 +a(g198 +V; +tp8701 +a(g185 +V\u000a +tp8702 +a(g185 +V +tp8703 +a(g198 +V} +tp8704 +a(g185 +V\u000a +tp8705 +a(g185 +V\u000a +tp8706 +a(g354 +V/*\u000a * call-seq:\u000a * array.unshift(obj, ...) -> array\u000a * \u000a * Prepends objects to the front of array.\u000a * other elements up one.\u000a * \u000a * a = [ "b", "c", "d" ]\u000a * a.unshift("a") #=> ["a", "b", "c", "d"]\u000a * a.unshift(1, 2) #=> [ 1, 2, "a", "b", "c", "d"]\u000a */ +p8707 +tp8708 +a(g185 +V\u000a +tp8709 +a(g185 +V\u000a +tp8710 +a(g111 +Vstatic +p8711 +tp8712 +a(g185 +V +tp8713 +a(g18 +VVALUE +p8714 +tp8715 +a(g185 +V\u000a +tp8716 +a(g18 +Vrb_ary_unshift_m +p8717 +tp8718 +a(g198 +V( +tp8719 +a(g18 +Vargc +p8720 +tp8721 +a(g198 +V, +tp8722 +a(g185 +V +tp8723 +a(g18 +Vargv +p8724 +tp8725 +a(g198 +V, +tp8726 +a(g185 +V +tp8727 +a(g18 +Vary +p8728 +tp8729 +a(g198 +V) +tp8730 +a(g185 +V\u000a +tp8731 +a(g185 +V +p8732 +tp8733 +a(g135 +Vint +p8734 +tp8735 +a(g185 +V +tp8736 +a(g18 +Vargc +p8737 +tp8738 +a(g198 +V; +tp8739 +a(g185 +V\u000a +tp8740 +a(g185 +V +p8741 +tp8742 +a(g185 +V +tp8743 +a(g18 +VVALUE +p8744 +tp8745 +a(g185 +V +tp8746 +a(g340 +V* +tp8747 +a(g18 +Vargv +p8748 +tp8749 +a(g198 +V; +tp8750 +a(g185 +V\u000a +tp8751 +a(g185 +V +p8752 +tp8753 +a(g185 +V +tp8754 +a(g18 +VVALUE +p8755 +tp8756 +a(g185 +V +tp8757 +a(g18 +Vary +p8758 +tp8759 +a(g198 +V; +tp8760 +a(g185 +V\u000a +tp8761 +a(g185 +V +tp8762 +a(g198 +V{ +tp8763 +a(g185 +V\u000a +tp8764 +a(g185 +V +p8765 +tp8766 +a(g135 +Vlong +p8767 +tp8768 +a(g185 +V +tp8769 +a(g18 +Vlen +p8770 +tp8771 +a(g185 +V +tp8772 +a(g340 +V= +tp8773 +a(g185 +V +tp8774 +a(g18 +VRARRAY +p8775 +tp8776 +a(g198 +V( +tp8777 +a(g18 +Vary +p8778 +tp8779 +a(g198 +V) +tp8780 +a(g340 +V- +tp8781 +a(g340 +V> +tp8782 +a(g18 +Vlen +p8783 +tp8784 +a(g198 +V; +tp8785 +a(g185 +V\u000a +tp8786 +a(g185 +V\u000a +tp8787 +a(g185 +V +p8788 +tp8789 +a(g185 +V +tp8790 +a(g111 +Vif +p8791 +tp8792 +a(g185 +V +tp8793 +a(g198 +V( +tp8794 +a(g18 +Vargc +p8795 +tp8796 +a(g185 +V +tp8797 +a(g340 +V= +tp8798 +a(g340 +V= +tp8799 +a(g185 +V +tp8800 +a(g315 +V0 +tp8801 +a(g198 +V) +tp8802 +a(g185 +V +tp8803 +a(g111 +Vreturn +p8804 +tp8805 +a(g185 +V +tp8806 +a(g18 +Vary +p8807 +tp8808 +a(g198 +V; +tp8809 +a(g185 +V\u000a +tp8810 +a(g185 +V\u000a +tp8811 +a(g185 +V +p8812 +tp8813 +a(g354 +V/* make rooms by setting the last item */ +p8814 +tp8815 +a(g185 +V\u000a +tp8816 +a(g185 +V +p8817 +tp8818 +a(g185 +V +tp8819 +a(g18 +Vrb_ary_store +p8820 +tp8821 +a(g198 +V( +tp8822 +a(g18 +Vary +p8823 +tp8824 +a(g198 +V, +tp8825 +a(g185 +V +tp8826 +a(g18 +Vlen +p8827 +tp8828 +a(g185 +V +tp8829 +a(g340 +V+ +tp8830 +a(g185 +V +tp8831 +a(g18 +Vargc +p8832 +tp8833 +a(g185 +V +tp8834 +a(g340 +V- +tp8835 +a(g185 +V +tp8836 +a(g315 +V1 +tp8837 +a(g198 +V, +tp8838 +a(g185 +V +tp8839 +a(g18 +VQnil +p8840 +tp8841 +a(g198 +V) +tp8842 +a(g198 +V; +tp8843 +a(g185 +V\u000a +tp8844 +a(g185 +V\u000a +tp8845 +a(g185 +V +p8846 +tp8847 +a(g354 +V/* sliding items */ +p8848 +tp8849 +a(g185 +V\u000a +tp8850 +a(g185 +V +p8851 +tp8852 +a(g185 +V +tp8853 +a(g18 +VMEMMOVE +p8854 +tp8855 +a(g198 +V( +tp8856 +a(g18 +VRARRAY +p8857 +tp8858 +a(g198 +V( +tp8859 +a(g18 +Vary +p8860 +tp8861 +a(g198 +V) +tp8862 +a(g340 +V- +tp8863 +a(g340 +V> +tp8864 +a(g18 +Vptr +p8865 +tp8866 +a(g185 +V +tp8867 +a(g340 +V+ +tp8868 +a(g185 +V +tp8869 +a(g18 +Vargc +p8870 +tp8871 +a(g198 +V, +tp8872 +a(g185 +V +tp8873 +a(g18 +VRARRAY +p8874 +tp8875 +a(g198 +V( +tp8876 +a(g18 +Vary +p8877 +tp8878 +a(g198 +V) +tp8879 +a(g340 +V- +tp8880 +a(g340 +V> +tp8881 +a(g18 +Vptr +p8882 +tp8883 +a(g198 +V, +tp8884 +a(g185 +V +tp8885 +a(g18 +VVALUE +p8886 +tp8887 +a(g198 +V, +tp8888 +a(g185 +V +tp8889 +a(g18 +Vlen +p8890 +tp8891 +a(g198 +V) +tp8892 +a(g198 +V; +tp8893 +a(g185 +V\u000a +tp8894 +a(g185 +V +p8895 +tp8896 +a(g185 +V +tp8897 +a(g18 +VMEMCPY +p8898 +tp8899 +a(g198 +V( +tp8900 +a(g18 +VRARRAY +p8901 +tp8902 +a(g198 +V( +tp8903 +a(g18 +Vary +p8904 +tp8905 +a(g198 +V) +tp8906 +a(g340 +V- +tp8907 +a(g340 +V> +tp8908 +a(g18 +Vptr +p8909 +tp8910 +a(g198 +V, +tp8911 +a(g185 +V +tp8912 +a(g18 +Vargv +p8913 +tp8914 +a(g198 +V, +tp8915 +a(g185 +V +tp8916 +a(g18 +VVALUE +p8917 +tp8918 +a(g198 +V, +tp8919 +a(g185 +V +tp8920 +a(g18 +Vargc +p8921 +tp8922 +a(g198 +V) +tp8923 +a(g198 +V; +tp8924 +a(g185 +V\u000a +tp8925 +a(g185 +V \u000a +p8926 +tp8927 +a(g185 +V +tp8928 +a(g111 +Vreturn +p8929 +tp8930 +a(g185 +V +tp8931 +a(g18 +Vary +p8932 +tp8933 +a(g198 +V; +tp8934 +a(g185 +V\u000a +tp8935 +a(g185 +V +tp8936 +a(g198 +V} +tp8937 +a(g185 +V\u000a +tp8938 +a(g185 +V\u000a +tp8939 +a(g354 +V/* faster version - use this if you don't need to treat negative offset */ +p8940 +tp8941 +a(g185 +V\u000a +tp8942 +a(g111 +Vstatic +p8943 +tp8944 +a(g185 +V +tp8945 +a(g123 +Vinline +p8946 +tp8947 +a(g185 +V +tp8948 +a(g18 +VVALUE +p8949 +tp8950 +a(g185 +V\u000a +tp8951 +a(g18 +Vrb_ary_elt +p8952 +tp8953 +a(g198 +V( +tp8954 +a(g18 +Vary +p8955 +tp8956 +a(g198 +V, +tp8957 +a(g185 +V +tp8958 +a(g18 +Voffset +p8959 +tp8960 +a(g198 +V) +tp8961 +a(g185 +V\u000a +tp8962 +a(g185 +V +p8963 +tp8964 +a(g18 +VVALUE +p8965 +tp8966 +a(g185 +V +tp8967 +a(g18 +Vary +p8968 +tp8969 +a(g198 +V; +tp8970 +a(g185 +V\u000a +tp8971 +a(g185 +V +p8972 +tp8973 +a(g185 +V +tp8974 +a(g135 +Vlong +p8975 +tp8976 +a(g185 +V +tp8977 +a(g18 +Voffset +p8978 +tp8979 +a(g198 +V; +tp8980 +a(g185 +V\u000a +tp8981 +a(g185 +V +tp8982 +a(g198 +V{ +tp8983 +a(g185 +V\u000a +tp8984 +a(g185 +V +p8985 +tp8986 +a(g111 +Vif +p8987 +tp8988 +a(g185 +V +tp8989 +a(g198 +V( +tp8990 +a(g18 +VRARRAY +p8991 +tp8992 +a(g198 +V( +tp8993 +a(g18 +Vary +p8994 +tp8995 +a(g198 +V) +tp8996 +a(g340 +V- +tp8997 +a(g340 +V> +tp8998 +a(g18 +Vlen +p8999 +tp9000 +a(g185 +V +tp9001 +a(g340 +V= +tp9002 +a(g340 +V= +tp9003 +a(g185 +V +tp9004 +a(g315 +V0 +tp9005 +a(g198 +V) +tp9006 +a(g185 +V +tp9007 +a(g111 +Vreturn +p9008 +tp9009 +a(g185 +V +tp9010 +a(g18 +VQnil +p9011 +tp9012 +a(g198 +V; +tp9013 +a(g185 +V\u000a +tp9014 +a(g185 +V +p9015 +tp9016 +a(g185 +V +tp9017 +a(g111 +Vif +p9018 +tp9019 +a(g185 +V +tp9020 +a(g198 +V( +tp9021 +a(g18 +Voffset +p9022 +tp9023 +a(g185 +V +tp9024 +a(g340 +V< +tp9025 +a(g185 +V +tp9026 +a(g315 +V0 +tp9027 +a(g185 +V +tp9028 +a(g340 +V| +tp9029 +a(g340 +V| +tp9030 +a(g185 +V +tp9031 +a(g18 +VRARRAY +p9032 +tp9033 +a(g198 +V( +tp9034 +a(g18 +Vary +p9035 +tp9036 +a(g198 +V) +tp9037 +a(g340 +V- +tp9038 +a(g340 +V> +tp9039 +a(g18 +Vlen +p9040 +tp9041 +a(g185 +V +tp9042 +a(g340 +V< +tp9043 +a(g340 +V= +tp9044 +a(g185 +V +tp9045 +a(g18 +Voffset +p9046 +tp9047 +a(g198 +V) +tp9048 +a(g185 +V +tp9049 +a(g198 +V{ +tp9050 +a(g185 +V\u000a +tp9051 +a(g185 +V +tp9052 +a(g111 +Vreturn +p9053 +tp9054 +a(g185 +V +tp9055 +a(g18 +VQnil +p9056 +tp9057 +a(g198 +V; +tp9058 +a(g185 +V\u000a +tp9059 +a(g185 +V +p9060 +tp9061 +a(g185 +V +tp9062 +a(g198 +V} +tp9063 +a(g185 +V\u000a +tp9064 +a(g185 +V +p9065 +tp9066 +a(g111 +Vreturn +p9067 +tp9068 +a(g185 +V +tp9069 +a(g18 +VRARRAY +p9070 +tp9071 +a(g198 +V( +tp9072 +a(g18 +Vary +p9073 +tp9074 +a(g198 +V) +tp9075 +a(g340 +V- +tp9076 +a(g340 +V> +tp9077 +a(g18 +Vptr +p9078 +tp9079 +a(g198 +V[ +tp9080 +a(g18 +Voffset +p9081 +tp9082 +a(g198 +V] +tp9083 +a(g198 +V; +tp9084 +a(g185 +V\u000a +tp9085 +a(g185 +V +tp9086 +a(g198 +V} +tp9087 +a(g185 +V\u000a +tp9088 +a(g185 +V\u000a +tp9089 +a(g18 +VVALUE +p9090 +tp9091 +a(g185 +V\u000a +tp9092 +a(g18 +Vrb_ary_entry +p9093 +tp9094 +a(g198 +V( +tp9095 +a(g18 +Vary +p9096 +tp9097 +a(g198 +V, +tp9098 +a(g185 +V +tp9099 +a(g18 +Voffset +p9100 +tp9101 +a(g198 +V) +tp9102 +a(g185 +V\u000a +tp9103 +a(g185 +V +p9104 +tp9105 +a(g18 +VVALUE +p9106 +tp9107 +a(g185 +V +tp9108 +a(g18 +Vary +p9109 +tp9110 +a(g198 +V; +tp9111 +a(g185 +V\u000a +tp9112 +a(g185 +V +p9113 +tp9114 +a(g185 +V +tp9115 +a(g135 +Vlong +p9116 +tp9117 +a(g185 +V +tp9118 +a(g18 +Voffset +p9119 +tp9120 +a(g198 +V; +tp9121 +a(g185 +V\u000a +tp9122 +a(g185 +V +tp9123 +a(g198 +V{ +tp9124 +a(g185 +V\u000a +tp9125 +a(g185 +V +p9126 +tp9127 +a(g111 +Vif +p9128 +tp9129 +a(g185 +V +tp9130 +a(g198 +V( +tp9131 +a(g18 +Voffset +p9132 +tp9133 +a(g185 +V +tp9134 +a(g340 +V< +tp9135 +a(g185 +V +tp9136 +a(g315 +V0 +tp9137 +a(g198 +V) +tp9138 +a(g185 +V +tp9139 +a(g198 +V{ +tp9140 +a(g185 +V\u000a +tp9141 +a(g185 +V +tp9142 +a(g18 +Voffset +p9143 +tp9144 +a(g185 +V +tp9145 +a(g340 +V+ +tp9146 +a(g340 +V= +tp9147 +a(g185 +V +tp9148 +a(g18 +VRARRAY +p9149 +tp9150 +a(g198 +V( +tp9151 +a(g18 +Vary +p9152 +tp9153 +a(g198 +V) +tp9154 +a(g340 +V- +tp9155 +a(g340 +V> +tp9156 +a(g18 +Vlen +p9157 +tp9158 +a(g198 +V; +tp9159 +a(g185 +V\u000a +tp9160 +a(g185 +V +p9161 +tp9162 +a(g185 +V +tp9163 +a(g198 +V} +tp9164 +a(g185 +V\u000a +tp9165 +a(g185 +V +p9166 +tp9167 +a(g111 +Vreturn +p9168 +tp9169 +a(g185 +V +tp9170 +a(g18 +Vrb_ary_elt +p9171 +tp9172 +a(g198 +V( +tp9173 +a(g18 +Vary +p9174 +tp9175 +a(g198 +V, +tp9176 +a(g185 +V +tp9177 +a(g18 +Voffset +p9178 +tp9179 +a(g198 +V) +tp9180 +a(g198 +V; +tp9181 +a(g185 +V\u000a +tp9182 +a(g185 +V +tp9183 +a(g198 +V} +tp9184 +a(g185 +V\u000a +tp9185 +a(g185 +V\u000a +tp9186 +a(g111 +Vstatic +p9187 +tp9188 +a(g185 +V +tp9189 +a(g18 +VVALUE +p9190 +tp9191 +a(g185 +V\u000a +tp9192 +a(g18 +Vrb_ary_subseq +p9193 +tp9194 +a(g198 +V( +tp9195 +a(g18 +Vary +p9196 +tp9197 +a(g198 +V, +tp9198 +a(g185 +V +tp9199 +a(g18 +Vbeg +p9200 +tp9201 +a(g198 +V, +tp9202 +a(g185 +V +tp9203 +a(g18 +Vlen +p9204 +tp9205 +a(g198 +V) +tp9206 +a(g185 +V\u000a +tp9207 +a(g185 +V +p9208 +tp9209 +a(g18 +VVALUE +p9210 +tp9211 +a(g185 +V +tp9212 +a(g18 +Vary +p9213 +tp9214 +a(g198 +V; +tp9215 +a(g185 +V\u000a +tp9216 +a(g185 +V +p9217 +tp9218 +a(g185 +V +tp9219 +a(g135 +Vlong +p9220 +tp9221 +a(g185 +V +tp9222 +a(g18 +Vbeg +p9223 +tp9224 +a(g198 +V, +tp9225 +a(g185 +V +tp9226 +a(g18 +Vlen +p9227 +tp9228 +a(g198 +V; +tp9229 +a(g185 +V\u000a +tp9230 +a(g185 +V +tp9231 +a(g198 +V{ +tp9232 +a(g185 +V\u000a +tp9233 +a(g185 +V +p9234 +tp9235 +a(g18 +VVALUE +p9236 +tp9237 +a(g185 +V +tp9238 +a(g18 +Vklass +p9239 +tp9240 +a(g198 +V, +tp9241 +a(g185 +V +tp9242 +a(g18 +Vary2 +p9243 +tp9244 +a(g198 +V, +tp9245 +a(g185 +V +tp9246 +a(g18 +Vshared +p9247 +tp9248 +a(g198 +V; +tp9249 +a(g185 +V\u000a +tp9250 +a(g185 +V +p9251 +tp9252 +a(g185 +V +tp9253 +a(g18 +VVALUE +p9254 +tp9255 +a(g185 +V +tp9256 +a(g340 +V* +tp9257 +a(g18 +Vptr +p9258 +tp9259 +a(g198 +V; +tp9260 +a(g185 +V\u000a +tp9261 +a(g185 +V\u000a +tp9262 +a(g185 +V +p9263 +tp9264 +a(g185 +V +tp9265 +a(g111 +Vif +p9266 +tp9267 +a(g185 +V +tp9268 +a(g198 +V( +tp9269 +a(g18 +Vbeg +p9270 +tp9271 +a(g185 +V +tp9272 +a(g340 +V> +tp9273 +a(g185 +V +tp9274 +a(g18 +VRARRAY +p9275 +tp9276 +a(g198 +V( +tp9277 +a(g18 +Vary +p9278 +tp9279 +a(g198 +V) +tp9280 +a(g340 +V- +tp9281 +a(g340 +V> +tp9282 +a(g18 +Vlen +p9283 +tp9284 +a(g198 +V) +tp9285 +a(g185 +V +tp9286 +a(g111 +Vreturn +p9287 +tp9288 +a(g185 +V +tp9289 +a(g18 +VQnil +p9290 +tp9291 +a(g198 +V; +tp9292 +a(g185 +V\u000a +tp9293 +a(g185 +V +p9294 +tp9295 +a(g185 +V +tp9296 +a(g111 +Vif +p9297 +tp9298 +a(g185 +V +tp9299 +a(g198 +V( +tp9300 +a(g18 +Vbeg +p9301 +tp9302 +a(g185 +V +tp9303 +a(g340 +V< +tp9304 +a(g185 +V +tp9305 +a(g315 +V0 +tp9306 +a(g185 +V +tp9307 +a(g340 +V| +tp9308 +a(g340 +V| +tp9309 +a(g185 +V +tp9310 +a(g18 +Vlen +p9311 +tp9312 +a(g185 +V +tp9313 +a(g340 +V< +tp9314 +a(g185 +V +tp9315 +a(g315 +V0 +tp9316 +a(g198 +V) +tp9317 +a(g185 +V +tp9318 +a(g111 +Vreturn +p9319 +tp9320 +a(g185 +V +tp9321 +a(g18 +VQnil +p9322 +tp9323 +a(g198 +V; +tp9324 +a(g185 +V\u000a +tp9325 +a(g185 +V\u000a +tp9326 +a(g185 +V +p9327 +tp9328 +a(g185 +V +tp9329 +a(g111 +Vif +p9330 +tp9331 +a(g185 +V +tp9332 +a(g198 +V( +tp9333 +a(g18 +Vbeg +p9334 +tp9335 +a(g185 +V +tp9336 +a(g340 +V+ +tp9337 +a(g185 +V +tp9338 +a(g18 +Vlen +p9339 +tp9340 +a(g185 +V +tp9341 +a(g340 +V> +tp9342 +a(g185 +V +tp9343 +a(g18 +VRARRAY +p9344 +tp9345 +a(g198 +V( +tp9346 +a(g18 +Vary +p9347 +tp9348 +a(g198 +V) +tp9349 +a(g340 +V- +tp9350 +a(g340 +V> +tp9351 +a(g18 +Vlen +p9352 +tp9353 +a(g198 +V) +tp9354 +a(g185 +V +tp9355 +a(g198 +V{ +tp9356 +a(g185 +V\u000a +tp9357 +a(g185 +V +tp9358 +a(g18 +Vlen +p9359 +tp9360 +a(g185 +V +tp9361 +a(g340 +V= +tp9362 +a(g185 +V +tp9363 +a(g18 +VRARRAY +p9364 +tp9365 +a(g198 +V( +tp9366 +a(g18 +Vary +p9367 +tp9368 +a(g198 +V) +tp9369 +a(g340 +V- +tp9370 +a(g340 +V> +tp9371 +a(g18 +Vlen +p9372 +tp9373 +a(g185 +V +tp9374 +a(g340 +V- +tp9375 +a(g185 +V +tp9376 +a(g18 +Vbeg +p9377 +tp9378 +a(g198 +V; +tp9379 +a(g185 +V\u000a +tp9380 +a(g185 +V +tp9381 +a(g185 +V +tp9382 +a(g111 +Vif +p9383 +tp9384 +a(g185 +V +tp9385 +a(g198 +V( +tp9386 +a(g18 +Vlen +p9387 +tp9388 +a(g185 +V +tp9389 +a(g340 +V< +tp9390 +a(g185 +V +tp9391 +a(g315 +V0 +tp9392 +a(g198 +V) +tp9393 +a(g185 +V\u000a +tp9394 +a(g185 +V +p9395 +tp9396 +a(g18 +Vlen +p9397 +tp9398 +a(g185 +V +tp9399 +a(g340 +V= +tp9400 +a(g185 +V +tp9401 +a(g315 +V0 +tp9402 +a(g198 +V; +tp9403 +a(g185 +V\u000a +tp9404 +a(g185 +V +p9405 +tp9406 +a(g185 +V +tp9407 +a(g198 +V} +tp9408 +a(g185 +V\u000a +tp9409 +a(g185 +V +p9410 +tp9411 +a(g18 +Vklass +p9412 +tp9413 +a(g185 +V +tp9414 +a(g340 +V= +tp9415 +a(g185 +V +tp9416 +a(g18 +Vrb_obj_class +p9417 +tp9418 +a(g198 +V( +tp9419 +a(g18 +Vary +p9420 +tp9421 +a(g198 +V) +tp9422 +a(g198 +V; +tp9423 +a(g185 +V\u000a +tp9424 +a(g185 +V +p9425 +tp9426 +a(g185 +V +tp9427 +a(g111 +Vif +p9428 +tp9429 +a(g185 +V +tp9430 +a(g198 +V( +tp9431 +a(g18 +Vlen +p9432 +tp9433 +a(g185 +V +tp9434 +a(g340 +V= +tp9435 +a(g340 +V= +tp9436 +a(g185 +V +tp9437 +a(g315 +V0 +tp9438 +a(g198 +V) +tp9439 +a(g185 +V +tp9440 +a(g111 +Vreturn +p9441 +tp9442 +a(g185 +V +tp9443 +a(g18 +Vary_new +p9444 +tp9445 +a(g198 +V( +tp9446 +a(g18 +Vklass +p9447 +tp9448 +a(g198 +V, +tp9449 +a(g185 +V +tp9450 +a(g315 +V0 +tp9451 +a(g198 +V) +tp9452 +a(g198 +V; +tp9453 +a(g185 +V\u000a +tp9454 +a(g185 +V\u000a +tp9455 +a(g185 +V +p9456 +tp9457 +a(g185 +V +tp9458 +a(g18 +Vshared +p9459 +tp9460 +a(g185 +V +tp9461 +a(g340 +V= +tp9462 +a(g185 +V +tp9463 +a(g18 +Vary_make_shared +p9464 +tp9465 +a(g198 +V( +tp9466 +a(g18 +Vary +p9467 +tp9468 +a(g198 +V) +tp9469 +a(g198 +V; +tp9470 +a(g185 +V\u000a +tp9471 +a(g185 +V +p9472 +tp9473 +a(g185 +V +tp9474 +a(g18 +Vptr +p9475 +tp9476 +a(g185 +V +tp9477 +a(g340 +V= +tp9478 +a(g185 +V +tp9479 +a(g18 +VRARRAY +p9480 +tp9481 +a(g198 +V( +tp9482 +a(g18 +Vary +p9483 +tp9484 +a(g198 +V) +tp9485 +a(g340 +V- +tp9486 +a(g340 +V> +tp9487 +a(g18 +Vptr +p9488 +tp9489 +a(g198 +V; +tp9490 +a(g185 +V\u000a +tp9491 +a(g185 +V +p9492 +tp9493 +a(g185 +V +tp9494 +a(g18 +Vary2 +p9495 +tp9496 +a(g185 +V +tp9497 +a(g340 +V= +tp9498 +a(g185 +V +tp9499 +a(g18 +Vary_alloc +p9500 +tp9501 +a(g198 +V( +tp9502 +a(g18 +Vklass +p9503 +tp9504 +a(g198 +V) +tp9505 +a(g198 +V; +tp9506 +a(g185 +V\u000a +tp9507 +a(g185 +V +p9508 +tp9509 +a(g185 +V +tp9510 +a(g18 +VRARRAY +p9511 +tp9512 +a(g198 +V( +tp9513 +a(g18 +Vary2 +p9514 +tp9515 +a(g198 +V) +tp9516 +a(g340 +V- +tp9517 +a(g340 +V> +tp9518 +a(g18 +Vptr +p9519 +tp9520 +a(g185 +V +tp9521 +a(g340 +V= +tp9522 +a(g185 +V +tp9523 +a(g18 +Vptr +p9524 +tp9525 +a(g185 +V +tp9526 +a(g340 +V+ +tp9527 +a(g185 +V +tp9528 +a(g18 +Vbeg +p9529 +tp9530 +a(g198 +V; +tp9531 +a(g185 +V\u000a +tp9532 +a(g185 +V +p9533 +tp9534 +a(g185 +V +tp9535 +a(g18 +VRARRAY +p9536 +tp9537 +a(g198 +V( +tp9538 +a(g18 +Vary2 +p9539 +tp9540 +a(g198 +V) +tp9541 +a(g340 +V- +tp9542 +a(g340 +V> +tp9543 +a(g18 +Vlen +p9544 +tp9545 +a(g185 +V +tp9546 +a(g340 +V= +tp9547 +a(g185 +V +tp9548 +a(g18 +Vlen +p9549 +tp9550 +a(g198 +V; +tp9551 +a(g185 +V\u000a +tp9552 +a(g185 +V +p9553 +tp9554 +a(g185 +V +tp9555 +a(g18 +VRARRAY +p9556 +tp9557 +a(g198 +V( +tp9558 +a(g18 +Vary2 +p9559 +tp9560 +a(g198 +V) +tp9561 +a(g340 +V- +tp9562 +a(g340 +V> +tp9563 +a(g18 +Vaux +p9564 +tp9565 +a(g198 +V. +tp9566 +a(g18 +Vshared +p9567 +tp9568 +a(g185 +V +tp9569 +a(g340 +V= +tp9570 +a(g185 +V +tp9571 +a(g18 +Vshared +p9572 +tp9573 +a(g198 +V; +tp9574 +a(g185 +V\u000a +tp9575 +a(g185 +V +p9576 +tp9577 +a(g185 +V +tp9578 +a(g18 +VFL_SET +p9579 +tp9580 +a(g198 +V( +tp9581 +a(g18 +Vary2 +p9582 +tp9583 +a(g198 +V, +tp9584 +a(g185 +V +tp9585 +a(g18 +VELTS_SHARED +p9586 +tp9587 +a(g198 +V) +tp9588 +a(g198 +V; +tp9589 +a(g185 +V\u000a +tp9590 +a(g185 +V\u000a +tp9591 +a(g185 +V +p9592 +tp9593 +a(g185 +V +tp9594 +a(g111 +Vreturn +p9595 +tp9596 +a(g185 +V +tp9597 +a(g18 +Vary2 +p9598 +tp9599 +a(g198 +V; +tp9600 +a(g185 +V\u000a +tp9601 +a(g185 +V +tp9602 +a(g198 +V} +tp9603 +a(g185 +V\u000a +tp9604 +a(g185 +V\u000a +tp9605 +a(g354 +V/* \u000a * call-seq:\u000a * array[index] -> obj or nil\u000a * array[start, length] -> an_array or nil\u000a * array[range] -> an_array or nil\u000a * array.slice(index) -> obj or nil\u000a * array.slice(start, length) -> an_array or nil\u000a * array.slice(range) -> an_array or nil\u000a *\u000a * Element Reference---Returns the element at _index_,\u000a * or returns a subarray starting at _start_ and\u000a * continuing for _length_ elements, or returns a subarray\u000a * specified by _range_.\u000a * Negative indices count backward from the end of the\u000a * array (-1 is the last element). Returns nil if the index\u000a * (or starting index) are out of range.\u000a *\u000a * a = [ "a", "b", "c", "d", "e" ]\u000a * a[2] + a[0] + a[1] #=> "cab"\u000a * a[6] #=> nil\u000a * a[1, 2] #=> [ "b", "c" ]\u000a * a[1..3] #=> [ "b", "c", "d" ]\u000a * a[4..7] #=> [ "e" ]\u000a * a[6..10] #=> nil\u000a * a[-3, 3] #=> [ "c", "d", "e" ]\u000a * # special cases\u000a * a[5] #=> nil\u000a * a[5, 1] #=> []\u000a * a[5..10] #=> []\u000a *\u000a */ +p9606 +tp9607 +a(g185 +V\u000a +tp9608 +a(g185 +V\u000a +tp9609 +a(g18 +VVALUE +p9610 +tp9611 +a(g185 +V\u000a +tp9612 +a(g18 +Vrb_ary_aref +p9613 +tp9614 +a(g198 +V( +tp9615 +a(g18 +Vargc +p9616 +tp9617 +a(g198 +V, +tp9618 +a(g185 +V +tp9619 +a(g18 +Vargv +p9620 +tp9621 +a(g198 +V, +tp9622 +a(g185 +V +tp9623 +a(g18 +Vary +p9624 +tp9625 +a(g198 +V) +tp9626 +a(g185 +V\u000a +tp9627 +a(g185 +V +p9628 +tp9629 +a(g135 +Vint +p9630 +tp9631 +a(g185 +V +tp9632 +a(g18 +Vargc +p9633 +tp9634 +a(g198 +V; +tp9635 +a(g185 +V\u000a +tp9636 +a(g185 +V +p9637 +tp9638 +a(g185 +V +tp9639 +a(g18 +VVALUE +p9640 +tp9641 +a(g185 +V +tp9642 +a(g340 +V* +tp9643 +a(g18 +Vargv +p9644 +tp9645 +a(g198 +V; +tp9646 +a(g185 +V\u000a +tp9647 +a(g185 +V +p9648 +tp9649 +a(g185 +V +tp9650 +a(g18 +VVALUE +p9651 +tp9652 +a(g185 +V +tp9653 +a(g18 +Vary +p9654 +tp9655 +a(g198 +V; +tp9656 +a(g185 +V\u000a +tp9657 +a(g185 +V +tp9658 +a(g198 +V{ +tp9659 +a(g185 +V\u000a +tp9660 +a(g185 +V +p9661 +tp9662 +a(g18 +VVALUE +p9663 +tp9664 +a(g185 +V +tp9665 +a(g18 +Varg +p9666 +tp9667 +a(g198 +V; +tp9668 +a(g185 +V\u000a +tp9669 +a(g185 +V +p9670 +tp9671 +a(g185 +V +tp9672 +a(g135 +Vlong +p9673 +tp9674 +a(g185 +V +tp9675 +a(g18 +Vbeg +p9676 +tp9677 +a(g198 +V, +tp9678 +a(g185 +V +tp9679 +a(g18 +Vlen +p9680 +tp9681 +a(g198 +V; +tp9682 +a(g185 +V\u000a +tp9683 +a(g185 +V\u000a +tp9684 +a(g185 +V +p9685 +tp9686 +a(g185 +V +tp9687 +a(g111 +Vif +p9688 +tp9689 +a(g185 +V +tp9690 +a(g198 +V( +tp9691 +a(g18 +Vargc +p9692 +tp9693 +a(g185 +V +tp9694 +a(g340 +V= +tp9695 +a(g340 +V= +tp9696 +a(g185 +V +tp9697 +a(g315 +V2 +tp9698 +a(g198 +V) +tp9699 +a(g185 +V +tp9700 +a(g198 +V{ +tp9701 +a(g185 +V\u000a +tp9702 +a(g185 +V +tp9703 +a(g18 +Vbeg +p9704 +tp9705 +a(g185 +V +tp9706 +a(g340 +V= +tp9707 +a(g185 +V +tp9708 +a(g18 +VNUM2LONG +p9709 +tp9710 +a(g198 +V( +tp9711 +a(g18 +Vargv +p9712 +tp9713 +a(g198 +V[ +tp9714 +a(g315 +V0 +tp9715 +a(g198 +V] +tp9716 +a(g198 +V) +tp9717 +a(g198 +V; +tp9718 +a(g185 +V\u000a +tp9719 +a(g185 +V +tp9720 +a(g185 +V +tp9721 +a(g18 +Vlen +p9722 +tp9723 +a(g185 +V +tp9724 +a(g340 +V= +tp9725 +a(g185 +V +tp9726 +a(g18 +VNUM2LONG +p9727 +tp9728 +a(g198 +V( +tp9729 +a(g18 +Vargv +p9730 +tp9731 +a(g198 +V[ +tp9732 +a(g315 +V1 +tp9733 +a(g198 +V] +tp9734 +a(g198 +V) +tp9735 +a(g198 +V; +tp9736 +a(g185 +V\u000a +tp9737 +a(g185 +V +tp9738 +a(g185 +V +tp9739 +a(g111 +Vif +p9740 +tp9741 +a(g185 +V +tp9742 +a(g198 +V( +tp9743 +a(g18 +Vbeg +p9744 +tp9745 +a(g185 +V +tp9746 +a(g340 +V< +tp9747 +a(g185 +V +tp9748 +a(g315 +V0 +tp9749 +a(g198 +V) +tp9750 +a(g185 +V +tp9751 +a(g198 +V{ +tp9752 +a(g185 +V\u000a +tp9753 +a(g185 +V +p9754 +tp9755 +a(g18 +Vbeg +p9756 +tp9757 +a(g185 +V +tp9758 +a(g340 +V+ +tp9759 +a(g340 +V= +tp9760 +a(g185 +V +tp9761 +a(g18 +VRARRAY +p9762 +tp9763 +a(g198 +V( +tp9764 +a(g18 +Vary +p9765 +tp9766 +a(g198 +V) +tp9767 +a(g340 +V- +tp9768 +a(g340 +V> +tp9769 +a(g18 +Vlen +p9770 +tp9771 +a(g198 +V; +tp9772 +a(g185 +V\u000a +tp9773 +a(g185 +V +tp9774 +a(g185 +V +tp9775 +a(g198 +V} +tp9776 +a(g185 +V\u000a +tp9777 +a(g185 +V +tp9778 +a(g111 +Vreturn +p9779 +tp9780 +a(g185 +V +tp9781 +a(g18 +Vrb_ary_subseq +p9782 +tp9783 +a(g198 +V( +tp9784 +a(g18 +Vary +p9785 +tp9786 +a(g198 +V, +tp9787 +a(g185 +V +tp9788 +a(g18 +Vbeg +p9789 +tp9790 +a(g198 +V, +tp9791 +a(g185 +V +tp9792 +a(g18 +Vlen +p9793 +tp9794 +a(g198 +V) +tp9795 +a(g198 +V; +tp9796 +a(g185 +V\u000a +tp9797 +a(g185 +V +p9798 +tp9799 +a(g185 +V +tp9800 +a(g198 +V} +tp9801 +a(g185 +V\u000a +tp9802 +a(g185 +V +p9803 +tp9804 +a(g111 +Vif +p9805 +tp9806 +a(g185 +V +tp9807 +a(g198 +V( +tp9808 +a(g18 +Vargc +p9809 +tp9810 +a(g185 +V +tp9811 +a(g340 +V! +tp9812 +a(g340 +V= +tp9813 +a(g185 +V +tp9814 +a(g315 +V1 +tp9815 +a(g198 +V) +tp9816 +a(g185 +V +tp9817 +a(g198 +V{ +tp9818 +a(g185 +V\u000a +tp9819 +a(g185 +V +tp9820 +a(g18 +Vrb_scan_args +p9821 +tp9822 +a(g198 +V( +tp9823 +a(g18 +Vargc +p9824 +tp9825 +a(g198 +V, +tp9826 +a(g185 +V +tp9827 +a(g18 +Vargv +p9828 +tp9829 +a(g198 +V, +tp9830 +a(g185 +V +tp9831 +a(g222 +V" +tp9832 +a(g222 +V11 +p9833 +tp9834 +a(g222 +V" +tp9835 +a(g198 +V, +tp9836 +a(g185 +V +tp9837 +a(g315 +V0 +tp9838 +a(g198 +V, +tp9839 +a(g185 +V +tp9840 +a(g315 +V0 +tp9841 +a(g198 +V) +tp9842 +a(g198 +V; +tp9843 +a(g185 +V\u000a +tp9844 +a(g185 +V +p9845 +tp9846 +a(g185 +V +tp9847 +a(g198 +V} +tp9848 +a(g185 +V\u000a +tp9849 +a(g185 +V +p9850 +tp9851 +a(g18 +Varg +p9852 +tp9853 +a(g185 +V +tp9854 +a(g340 +V= +tp9855 +a(g185 +V +tp9856 +a(g18 +Vargv +p9857 +tp9858 +a(g198 +V[ +tp9859 +a(g315 +V0 +tp9860 +a(g198 +V] +tp9861 +a(g198 +V; +tp9862 +a(g185 +V\u000a +tp9863 +a(g185 +V +p9864 +tp9865 +a(g354 +V/* special case - speeding up */ +p9866 +tp9867 +a(g185 +V\u000a +tp9868 +a(g185 +V +p9869 +tp9870 +a(g185 +V +tp9871 +a(g111 +Vif +p9872 +tp9873 +a(g185 +V +tp9874 +a(g198 +V( +tp9875 +a(g18 +VFIXNUM_P +p9876 +tp9877 +a(g198 +V( +tp9878 +a(g18 +Varg +p9879 +tp9880 +a(g198 +V) +tp9881 +a(g198 +V) +tp9882 +a(g185 +V +tp9883 +a(g198 +V{ +tp9884 +a(g185 +V\u000a +tp9885 +a(g185 +V +tp9886 +a(g111 +Vreturn +p9887 +tp9888 +a(g185 +V +tp9889 +a(g18 +Vrb_ary_entry +p9890 +tp9891 +a(g198 +V( +tp9892 +a(g18 +Vary +p9893 +tp9894 +a(g198 +V, +tp9895 +a(g185 +V +tp9896 +a(g18 +VFIX2LONG +p9897 +tp9898 +a(g198 +V( +tp9899 +a(g18 +Varg +p9900 +tp9901 +a(g198 +V) +tp9902 +a(g198 +V) +tp9903 +a(g198 +V; +tp9904 +a(g185 +V\u000a +tp9905 +a(g185 +V +p9906 +tp9907 +a(g185 +V +tp9908 +a(g198 +V} +tp9909 +a(g185 +V\u000a +tp9910 +a(g185 +V +p9911 +tp9912 +a(g354 +V/* check if idx is Range */ +p9913 +tp9914 +a(g185 +V\u000a +tp9915 +a(g185 +V +p9916 +tp9917 +a(g111 +Vswitch +p9918 +tp9919 +a(g185 +V +tp9920 +a(g198 +V( +tp9921 +a(g18 +Vrb_range_beg_len +p9922 +tp9923 +a(g198 +V( +tp9924 +a(g18 +Varg +p9925 +tp9926 +a(g198 +V, +tp9927 +a(g185 +V +tp9928 +a(g340 +V& +tp9929 +a(g18 +Vbeg +p9930 +tp9931 +a(g198 +V, +tp9932 +a(g185 +V +tp9933 +a(g340 +V& +tp9934 +a(g18 +Vlen +p9935 +tp9936 +a(g198 +V, +tp9937 +a(g185 +V +tp9938 +a(g18 +VRARRAY +p9939 +tp9940 +a(g198 +V( +tp9941 +a(g18 +Vary +p9942 +tp9943 +a(g198 +V) +tp9944 +a(g340 +V- +tp9945 +a(g340 +V> +tp9946 +a(g18 +Vlen +p9947 +tp9948 +a(g198 +V, +tp9949 +a(g185 +V +tp9950 +a(g315 +V0 +tp9951 +a(g198 +V) +tp9952 +a(g198 +V) +tp9953 +a(g185 +V +tp9954 +a(g198 +V{ +tp9955 +a(g185 +V\u000a +tp9956 +a(g185 +V +p9957 +tp9958 +a(g111 +Vcase +p9959 +tp9960 +a(g185 +V +tp9961 +a(g185 +V +tp9962 +a(g18 +VQfalse +p9963 +tp9964 +a(g185 +V: +tp9965 +a(g185 +V\u000a +tp9966 +a(g185 +V +tp9967 +a(g111 +Vbreak +p9968 +tp9969 +a(g198 +V; +tp9970 +a(g185 +V\u000a +tp9971 +a(g185 +V +p9972 +tp9973 +a(g185 +V +tp9974 +a(g111 +Vcase +p9975 +tp9976 +a(g185 +V +tp9977 +a(g185 +V +tp9978 +a(g18 +VQnil +p9979 +tp9980 +a(g185 +V: +tp9981 +a(g185 +V\u000a +tp9982 +a(g185 +V +tp9983 +a(g111 +Vreturn +p9984 +tp9985 +a(g185 +V +tp9986 +a(g18 +VQnil +p9987 +tp9988 +a(g198 +V; +tp9989 +a(g185 +V\u000a +tp9990 +a(g185 +V +p9991 +tp9992 +a(g45 +Vdefault: +p9993 +tp9994 +a(g185 +V\u000a +tp9995 +a(g185 +V +tp9996 +a(g185 +V +tp9997 +a(g111 +Vreturn +p9998 +tp9999 +a(g185 +V +tp10000 +a(g18 +Vrb_ary_subseq +p10001 +tp10002 +a(g198 +V( +tp10003 +a(g18 +Vary +p10004 +tp10005 +a(g198 +V, +tp10006 +a(g185 +V +tp10007 +a(g18 +Vbeg +p10008 +tp10009 +a(g198 +V, +tp10010 +a(g185 +V +tp10011 +a(g18 +Vlen +p10012 +tp10013 +a(g198 +V) +tp10014 +a(g198 +V; +tp10015 +a(g185 +V\u000a +tp10016 +a(g185 +V +p10017 +tp10018 +a(g185 +V +tp10019 +a(g198 +V} +tp10020 +a(g185 +V\u000a +tp10021 +a(g185 +V +p10022 +tp10023 +a(g111 +Vreturn +p10024 +tp10025 +a(g185 +V +tp10026 +a(g18 +Vrb_ary_entry +p10027 +tp10028 +a(g198 +V( +tp10029 +a(g18 +Vary +p10030 +tp10031 +a(g198 +V, +tp10032 +a(g185 +V +tp10033 +a(g18 +VNUM2LONG +p10034 +tp10035 +a(g198 +V( +tp10036 +a(g18 +Varg +p10037 +tp10038 +a(g198 +V) +tp10039 +a(g198 +V) +tp10040 +a(g198 +V; +tp10041 +a(g185 +V\u000a +tp10042 +a(g185 +V +tp10043 +a(g198 +V} +tp10044 +a(g185 +V\u000a +tp10045 +a(g185 +V\u000a +tp10046 +a(g354 +V/* \u000a * call-seq:\u000a * array.at(index) -> obj or nil\u000a *\u000a * Returns the element at _index_. A\u000a * negative index counts from the end of _self_. Returns +nil+\u000a * if the index is out of range. See also Array#[].\u000a * (Array#at is slightly faster than Array#[],\u000a * as it does not accept ranges and so on.)\u000a *\u000a * a = [ "a", "b", "c", "d", "e" ]\u000a * a.at(0) #=> "a"\u000a * a.at(-1) #=> "e"\u000a */ +p10047 +tp10048 +a(g185 +V\u000a +tp10049 +a(g185 +V\u000a +tp10050 +a(g111 +Vstatic +p10051 +tp10052 +a(g185 +V +tp10053 +a(g18 +VVALUE +p10054 +tp10055 +a(g185 +V\u000a +tp10056 +a(g18 +Vrb_ary_at +p10057 +tp10058 +a(g198 +V( +tp10059 +a(g18 +Vary +p10060 +tp10061 +a(g198 +V, +tp10062 +a(g185 +V +tp10063 +a(g18 +Vpos +p10064 +tp10065 +a(g198 +V) +tp10066 +a(g185 +V\u000a +tp10067 +a(g185 +V +p10068 +tp10069 +a(g18 +VVALUE +p10070 +tp10071 +a(g185 +V +tp10072 +a(g18 +Vary +p10073 +tp10074 +a(g198 +V, +tp10075 +a(g185 +V +tp10076 +a(g18 +Vpos +p10077 +tp10078 +a(g198 +V; +tp10079 +a(g185 +V\u000a +tp10080 +a(g185 +V +tp10081 +a(g198 +V{ +tp10082 +a(g185 +V\u000a +tp10083 +a(g185 +V +p10084 +tp10085 +a(g111 +Vreturn +p10086 +tp10087 +a(g185 +V +tp10088 +a(g18 +Vrb_ary_entry +p10089 +tp10090 +a(g198 +V( +tp10091 +a(g18 +Vary +p10092 +tp10093 +a(g198 +V, +tp10094 +a(g185 +V +tp10095 +a(g18 +VNUM2LONG +p10096 +tp10097 +a(g198 +V( +tp10098 +a(g18 +Vpos +p10099 +tp10100 +a(g198 +V) +tp10101 +a(g198 +V) +tp10102 +a(g198 +V; +tp10103 +a(g185 +V\u000a +tp10104 +a(g185 +V +tp10105 +a(g198 +V} +tp10106 +a(g185 +V\u000a +tp10107 +a(g185 +V\u000a +tp10108 +a(g354 +V/*\u000a * call-seq:\u000a * array.first -> obj or nil\u000a * array.first(n) -> an_array\u000a * \u000a * Returns the first element of the array. If the array is empty,\u000a * returns nil.\u000a * \u000a * a = [ "q", "r", "s", "t" ]\u000a * a.first #=> "q"\u000a * a.first(2) #=> ["q", "r"]\u000a */ +p10109 +tp10110 +a(g185 +V\u000a +tp10111 +a(g185 +V\u000a +tp10112 +a(g111 +Vstatic +p10113 +tp10114 +a(g185 +V +tp10115 +a(g18 +VVALUE +p10116 +tp10117 +a(g185 +V\u000a +tp10118 +a(g18 +Vrb_ary_first +p10119 +tp10120 +a(g198 +V( +tp10121 +a(g18 +Vargc +p10122 +tp10123 +a(g198 +V, +tp10124 +a(g185 +V +tp10125 +a(g18 +Vargv +p10126 +tp10127 +a(g198 +V, +tp10128 +a(g185 +V +tp10129 +a(g18 +Vary +p10130 +tp10131 +a(g198 +V) +tp10132 +a(g185 +V\u000a +tp10133 +a(g185 +V +p10134 +tp10135 +a(g135 +Vint +p10136 +tp10137 +a(g185 +V +tp10138 +a(g18 +Vargc +p10139 +tp10140 +a(g198 +V; +tp10141 +a(g185 +V\u000a +tp10142 +a(g185 +V +p10143 +tp10144 +a(g185 +V +tp10145 +a(g18 +VVALUE +p10146 +tp10147 +a(g185 +V +tp10148 +a(g340 +V* +tp10149 +a(g18 +Vargv +p10150 +tp10151 +a(g198 +V; +tp10152 +a(g185 +V\u000a +tp10153 +a(g185 +V +p10154 +tp10155 +a(g185 +V +tp10156 +a(g18 +VVALUE +p10157 +tp10158 +a(g185 +V +tp10159 +a(g18 +Vary +p10160 +tp10161 +a(g198 +V; +tp10162 +a(g185 +V\u000a +tp10163 +a(g185 +V +tp10164 +a(g198 +V{ +tp10165 +a(g185 +V\u000a +tp10166 +a(g185 +V +p10167 +tp10168 +a(g111 +Vif +p10169 +tp10170 +a(g185 +V +tp10171 +a(g198 +V( +tp10172 +a(g18 +Vargc +p10173 +tp10174 +a(g185 +V +tp10175 +a(g340 +V= +tp10176 +a(g340 +V= +tp10177 +a(g185 +V +tp10178 +a(g315 +V0 +tp10179 +a(g198 +V) +tp10180 +a(g185 +V +tp10181 +a(g198 +V{ +tp10182 +a(g185 +V\u000a +tp10183 +a(g185 +V +tp10184 +a(g111 +Vif +p10185 +tp10186 +a(g185 +V +tp10187 +a(g198 +V( +tp10188 +a(g18 +VRARRAY +p10189 +tp10190 +a(g198 +V( +tp10191 +a(g18 +Vary +p10192 +tp10193 +a(g198 +V) +tp10194 +a(g340 +V- +tp10195 +a(g340 +V> +tp10196 +a(g18 +Vlen +p10197 +tp10198 +a(g185 +V +tp10199 +a(g340 +V= +tp10200 +a(g340 +V= +tp10201 +a(g185 +V +tp10202 +a(g315 +V0 +tp10203 +a(g198 +V) +tp10204 +a(g185 +V +tp10205 +a(g111 +Vreturn +p10206 +tp10207 +a(g185 +V +tp10208 +a(g18 +VQnil +p10209 +tp10210 +a(g198 +V; +tp10211 +a(g185 +V\u000a +tp10212 +a(g185 +V +tp10213 +a(g185 +V +tp10214 +a(g111 +Vreturn +p10215 +tp10216 +a(g185 +V +tp10217 +a(g18 +VRARRAY +p10218 +tp10219 +a(g198 +V( +tp10220 +a(g18 +Vary +p10221 +tp10222 +a(g198 +V) +tp10223 +a(g340 +V- +tp10224 +a(g340 +V> +tp10225 +a(g18 +Vptr +p10226 +tp10227 +a(g198 +V[ +tp10228 +a(g315 +V0 +tp10229 +a(g198 +V] +tp10230 +a(g198 +V; +tp10231 +a(g185 +V\u000a +tp10232 +a(g185 +V +p10233 +tp10234 +a(g185 +V +tp10235 +a(g198 +V} +tp10236 +a(g185 +V\u000a +tp10237 +a(g185 +V +p10238 +tp10239 +a(g111 +Velse +p10240 +tp10241 +a(g185 +V +tp10242 +a(g198 +V{ +tp10243 +a(g185 +V\u000a +tp10244 +a(g185 +V +tp10245 +a(g111 +Vreturn +p10246 +tp10247 +a(g185 +V +tp10248 +a(g18 +Vary_shared_first +p10249 +tp10250 +a(g198 +V( +tp10251 +a(g18 +Vargc +p10252 +tp10253 +a(g198 +V, +tp10254 +a(g185 +V +tp10255 +a(g18 +Vargv +p10256 +tp10257 +a(g198 +V, +tp10258 +a(g185 +V +tp10259 +a(g18 +Vary +p10260 +tp10261 +a(g198 +V) +tp10262 +a(g198 +V; +tp10263 +a(g185 +V\u000a +tp10264 +a(g185 +V +p10265 +tp10266 +a(g185 +V +tp10267 +a(g198 +V} +tp10268 +a(g185 +V\u000a +tp10269 +a(g198 +V} +tp10270 +a(g185 +V\u000a +tp10271 +a(g185 +V\u000a +tp10272 +a(g354 +V/*\u000a * call-seq:\u000a * array.last -> obj or nil\u000a * array.last(n) -> an_array\u000a * \u000a * Returns the last element(s) of self. If the array is empty,\u000a * the first form returns nil.\u000a * \u000a * a = [ "w", "x", "y", "z" ]\u000a * a.last #=> "z"\u000a * a.last(2) #=> ["y", "z"]\u000a */ +p10273 +tp10274 +a(g185 +V\u000a +tp10275 +a(g185 +V\u000a +tp10276 +a(g111 +Vstatic +p10277 +tp10278 +a(g185 +V +tp10279 +a(g18 +VVALUE +p10280 +tp10281 +a(g185 +V\u000a +tp10282 +a(g18 +Vrb_ary_last +p10283 +tp10284 +a(g198 +V( +tp10285 +a(g18 +Vargc +p10286 +tp10287 +a(g198 +V, +tp10288 +a(g185 +V +tp10289 +a(g18 +Vargv +p10290 +tp10291 +a(g198 +V, +tp10292 +a(g185 +V +tp10293 +a(g18 +Vary +p10294 +tp10295 +a(g198 +V) +tp10296 +a(g185 +V\u000a +tp10297 +a(g185 +V +p10298 +tp10299 +a(g135 +Vint +p10300 +tp10301 +a(g185 +V +tp10302 +a(g18 +Vargc +p10303 +tp10304 +a(g198 +V; +tp10305 +a(g185 +V\u000a +tp10306 +a(g185 +V +p10307 +tp10308 +a(g185 +V +tp10309 +a(g18 +VVALUE +p10310 +tp10311 +a(g185 +V +tp10312 +a(g340 +V* +tp10313 +a(g18 +Vargv +p10314 +tp10315 +a(g198 +V; +tp10316 +a(g185 +V\u000a +tp10317 +a(g185 +V +p10318 +tp10319 +a(g185 +V +tp10320 +a(g18 +VVALUE +p10321 +tp10322 +a(g185 +V +tp10323 +a(g18 +Vary +p10324 +tp10325 +a(g198 +V; +tp10326 +a(g185 +V\u000a +tp10327 +a(g185 +V +tp10328 +a(g198 +V{ +tp10329 +a(g185 +V\u000a +tp10330 +a(g185 +V +p10331 +tp10332 +a(g111 +Vif +p10333 +tp10334 +a(g185 +V +tp10335 +a(g198 +V( +tp10336 +a(g18 +Vargc +p10337 +tp10338 +a(g185 +V +tp10339 +a(g340 +V= +tp10340 +a(g340 +V= +tp10341 +a(g185 +V +tp10342 +a(g315 +V0 +tp10343 +a(g198 +V) +tp10344 +a(g185 +V +tp10345 +a(g198 +V{ +tp10346 +a(g185 +V\u000a +tp10347 +a(g185 +V +tp10348 +a(g111 +Vif +p10349 +tp10350 +a(g185 +V +tp10351 +a(g198 +V( +tp10352 +a(g18 +VRARRAY +p10353 +tp10354 +a(g198 +V( +tp10355 +a(g18 +Vary +p10356 +tp10357 +a(g198 +V) +tp10358 +a(g340 +V- +tp10359 +a(g340 +V> +tp10360 +a(g18 +Vlen +p10361 +tp10362 +a(g185 +V +tp10363 +a(g340 +V= +tp10364 +a(g340 +V= +tp10365 +a(g185 +V +tp10366 +a(g315 +V0 +tp10367 +a(g198 +V) +tp10368 +a(g185 +V +tp10369 +a(g111 +Vreturn +p10370 +tp10371 +a(g185 +V +tp10372 +a(g18 +VQnil +p10373 +tp10374 +a(g198 +V; +tp10375 +a(g185 +V\u000a +tp10376 +a(g185 +V +tp10377 +a(g185 +V +tp10378 +a(g111 +Vreturn +p10379 +tp10380 +a(g185 +V +tp10381 +a(g18 +VRARRAY +p10382 +tp10383 +a(g198 +V( +tp10384 +a(g18 +Vary +p10385 +tp10386 +a(g198 +V) +tp10387 +a(g340 +V- +tp10388 +a(g340 +V> +tp10389 +a(g18 +Vptr +p10390 +tp10391 +a(g198 +V[ +tp10392 +a(g18 +VRARRAY +p10393 +tp10394 +a(g198 +V( +tp10395 +a(g18 +Vary +p10396 +tp10397 +a(g198 +V) +tp10398 +a(g340 +V- +tp10399 +a(g340 +V> +tp10400 +a(g18 +Vlen +p10401 +tp10402 +a(g340 +V- +tp10403 +a(g315 +V1 +tp10404 +a(g198 +V] +tp10405 +a(g198 +V; +tp10406 +a(g185 +V\u000a +tp10407 +a(g185 +V +p10408 +tp10409 +a(g185 +V +tp10410 +a(g198 +V} +tp10411 +a(g185 +V\u000a +tp10412 +a(g185 +V +p10413 +tp10414 +a(g111 +Velse +p10415 +tp10416 +a(g185 +V +tp10417 +a(g198 +V{ +tp10418 +a(g185 +V\u000a +tp10419 +a(g185 +V +tp10420 +a(g111 +Vreturn +p10421 +tp10422 +a(g185 +V +tp10423 +a(g18 +Vary_shared_last +p10424 +tp10425 +a(g198 +V( +tp10426 +a(g18 +Vargc +p10427 +tp10428 +a(g198 +V, +tp10429 +a(g185 +V +tp10430 +a(g18 +Vargv +p10431 +tp10432 +a(g198 +V, +tp10433 +a(g185 +V +tp10434 +a(g18 +Vary +p10435 +tp10436 +a(g198 +V) +tp10437 +a(g198 +V; +tp10438 +a(g185 +V\u000a +tp10439 +a(g185 +V +p10440 +tp10441 +a(g185 +V +tp10442 +a(g198 +V} +tp10443 +a(g185 +V\u000a +tp10444 +a(g198 +V} +tp10445 +a(g185 +V\u000a +tp10446 +a(g185 +V\u000a +tp10447 +a(g354 +V/*\u000a * call-seq:\u000a * array.fetch(index) -> obj\u000a * array.fetch(index, default ) -> obj\u000a * array.fetch(index) {|index| block } -> obj\u000a * \u000a * Tries to return the element at position index. If the index\u000a * lies outside the array, the first form throws an\u000a * IndexError exception, the second form returns\u000a * default, and the third form returns the value of invoking\u000a * the block, passing in the index. Negative values of index\u000a * count from the end of the array.\u000a * \u000a * a = [ 11, 22, 33, 44 ]\u000a * a.fetch(1) #=> 22\u000a * a.fetch(-1) #=> 44\u000a * a.fetch(4, 'cat') #=> "cat"\u000a * a.fetch(4) { |i| i*i } #=> 16\u000a */ +p10448 +tp10449 +a(g185 +V\u000a +tp10450 +a(g185 +V\u000a +tp10451 +a(g111 +Vstatic +p10452 +tp10453 +a(g185 +V +tp10454 +a(g18 +VVALUE +p10455 +tp10456 +a(g185 +V\u000a +tp10457 +a(g18 +Vrb_ary_fetch +p10458 +tp10459 +a(g198 +V( +tp10460 +a(g18 +Vargc +p10461 +tp10462 +a(g198 +V, +tp10463 +a(g185 +V +tp10464 +a(g18 +Vargv +p10465 +tp10466 +a(g198 +V, +tp10467 +a(g185 +V +tp10468 +a(g18 +Vary +p10469 +tp10470 +a(g198 +V) +tp10471 +a(g185 +V\u000a +tp10472 +a(g185 +V +p10473 +tp10474 +a(g135 +Vint +p10475 +tp10476 +a(g185 +V +tp10477 +a(g18 +Vargc +p10478 +tp10479 +a(g198 +V; +tp10480 +a(g185 +V\u000a +tp10481 +a(g185 +V +p10482 +tp10483 +a(g185 +V +tp10484 +a(g18 +VVALUE +p10485 +tp10486 +a(g185 +V +tp10487 +a(g340 +V* +tp10488 +a(g18 +Vargv +p10489 +tp10490 +a(g198 +V; +tp10491 +a(g185 +V\u000a +tp10492 +a(g185 +V +p10493 +tp10494 +a(g185 +V +tp10495 +a(g18 +VVALUE +p10496 +tp10497 +a(g185 +V +tp10498 +a(g18 +Vary +p10499 +tp10500 +a(g198 +V; +tp10501 +a(g185 +V\u000a +tp10502 +a(g185 +V +tp10503 +a(g198 +V{ +tp10504 +a(g185 +V\u000a +tp10505 +a(g185 +V +p10506 +tp10507 +a(g18 +VVALUE +p10508 +tp10509 +a(g185 +V +tp10510 +a(g18 +Vpos +p10511 +tp10512 +a(g198 +V, +tp10513 +a(g185 +V +tp10514 +a(g18 +Vifnone +p10515 +tp10516 +a(g198 +V; +tp10517 +a(g185 +V\u000a +tp10518 +a(g185 +V +p10519 +tp10520 +a(g185 +V +tp10521 +a(g135 +Vlong +p10522 +tp10523 +a(g185 +V +tp10524 +a(g18 +Vblock_given +p10525 +tp10526 +a(g198 +V; +tp10527 +a(g185 +V\u000a +tp10528 +a(g185 +V +p10529 +tp10530 +a(g185 +V +tp10531 +a(g135 +Vlong +p10532 +tp10533 +a(g185 +V +tp10534 +a(g18 +Vidx +p10535 +tp10536 +a(g198 +V; +tp10537 +a(g185 +V\u000a +tp10538 +a(g185 +V\u000a +tp10539 +a(g185 +V +p10540 +tp10541 +a(g185 +V +tp10542 +a(g18 +Vrb_scan_args +p10543 +tp10544 +a(g198 +V( +tp10545 +a(g18 +Vargc +p10546 +tp10547 +a(g198 +V, +tp10548 +a(g185 +V +tp10549 +a(g18 +Vargv +p10550 +tp10551 +a(g198 +V, +tp10552 +a(g185 +V +tp10553 +a(g222 +V" +tp10554 +a(g222 +V11 +p10555 +tp10556 +a(g222 +V" +tp10557 +a(g198 +V, +tp10558 +a(g185 +V +tp10559 +a(g340 +V& +tp10560 +a(g18 +Vpos +p10561 +tp10562 +a(g198 +V, +tp10563 +a(g185 +V +tp10564 +a(g340 +V& +tp10565 +a(g18 +Vifnone +p10566 +tp10567 +a(g198 +V) +tp10568 +a(g198 +V; +tp10569 +a(g185 +V\u000a +tp10570 +a(g185 +V +p10571 +tp10572 +a(g185 +V +tp10573 +a(g18 +Vblock_given +p10574 +tp10575 +a(g185 +V +tp10576 +a(g340 +V= +tp10577 +a(g185 +V +tp10578 +a(g18 +Vrb_block_given_p +p10579 +tp10580 +a(g198 +V( +tp10581 +a(g198 +V) +tp10582 +a(g198 +V; +tp10583 +a(g185 +V\u000a +tp10584 +a(g185 +V +p10585 +tp10586 +a(g185 +V +tp10587 +a(g111 +Vif +p10588 +tp10589 +a(g185 +V +tp10590 +a(g198 +V( +tp10591 +a(g18 +Vblock_given +p10592 +tp10593 +a(g185 +V +tp10594 +a(g340 +V& +tp10595 +a(g340 +V& +tp10596 +a(g185 +V +tp10597 +a(g18 +Vargc +p10598 +tp10599 +a(g185 +V +tp10600 +a(g340 +V= +tp10601 +a(g340 +V= +tp10602 +a(g185 +V +tp10603 +a(g315 +V2 +tp10604 +a(g198 +V) +tp10605 +a(g185 +V +tp10606 +a(g198 +V{ +tp10607 +a(g185 +V\u000a +tp10608 +a(g185 +V +tp10609 +a(g18 +Vrb_warn +p10610 +tp10611 +a(g198 +V( +tp10612 +a(g222 +V" +tp10613 +a(g222 +Vblock supersedes default value argument +p10614 +tp10615 +a(g222 +V" +tp10616 +a(g198 +V) +tp10617 +a(g198 +V; +tp10618 +a(g185 +V\u000a +tp10619 +a(g185 +V +p10620 +tp10621 +a(g185 +V +tp10622 +a(g198 +V} +tp10623 +a(g185 +V\u000a +tp10624 +a(g185 +V +p10625 +tp10626 +a(g18 +Vidx +p10627 +tp10628 +a(g185 +V +tp10629 +a(g340 +V= +tp10630 +a(g185 +V +tp10631 +a(g18 +VNUM2LONG +p10632 +tp10633 +a(g198 +V( +tp10634 +a(g18 +Vpos +p10635 +tp10636 +a(g198 +V) +tp10637 +a(g198 +V; +tp10638 +a(g185 +V\u000a +tp10639 +a(g185 +V\u000a +tp10640 +a(g185 +V +p10641 +tp10642 +a(g185 +V +tp10643 +a(g111 +Vif +p10644 +tp10645 +a(g185 +V +tp10646 +a(g198 +V( +tp10647 +a(g18 +Vidx +p10648 +tp10649 +a(g185 +V +tp10650 +a(g340 +V< +tp10651 +a(g185 +V +tp10652 +a(g315 +V0 +tp10653 +a(g198 +V) +tp10654 +a(g185 +V +tp10655 +a(g198 +V{ +tp10656 +a(g185 +V\u000a +tp10657 +a(g185 +V +tp10658 +a(g18 +Vidx +p10659 +tp10660 +a(g185 +V +tp10661 +a(g340 +V+ +tp10662 +a(g340 +V= +tp10663 +a(g185 +V +p10664 +tp10665 +a(g18 +VRARRAY +p10666 +tp10667 +a(g198 +V( +tp10668 +a(g18 +Vary +p10669 +tp10670 +a(g198 +V) +tp10671 +a(g340 +V- +tp10672 +a(g340 +V> +tp10673 +a(g18 +Vlen +p10674 +tp10675 +a(g198 +V; +tp10676 +a(g185 +V\u000a +tp10677 +a(g185 +V +p10678 +tp10679 +a(g185 +V +tp10680 +a(g198 +V} +tp10681 +a(g185 +V\u000a +tp10682 +a(g185 +V +p10683 +tp10684 +a(g111 +Vif +p10685 +tp10686 +a(g185 +V +tp10687 +a(g198 +V( +tp10688 +a(g18 +Vidx +p10689 +tp10690 +a(g185 +V +tp10691 +a(g340 +V< +tp10692 +a(g185 +V +tp10693 +a(g315 +V0 +tp10694 +a(g185 +V +tp10695 +a(g340 +V| +tp10696 +a(g340 +V| +tp10697 +a(g185 +V +tp10698 +a(g18 +VRARRAY +p10699 +tp10700 +a(g198 +V( +tp10701 +a(g18 +Vary +p10702 +tp10703 +a(g198 +V) +tp10704 +a(g340 +V- +tp10705 +a(g340 +V> +tp10706 +a(g18 +Vlen +p10707 +tp10708 +a(g185 +V +tp10709 +a(g340 +V< +tp10710 +a(g340 +V= +tp10711 +a(g185 +V +tp10712 +a(g18 +Vidx +p10713 +tp10714 +a(g198 +V) +tp10715 +a(g185 +V +tp10716 +a(g198 +V{ +tp10717 +a(g185 +V\u000a +tp10718 +a(g185 +V +tp10719 +a(g111 +Vif +p10720 +tp10721 +a(g185 +V +tp10722 +a(g198 +V( +tp10723 +a(g18 +Vblock_given +p10724 +tp10725 +a(g198 +V) +tp10726 +a(g185 +V +tp10727 +a(g111 +Vreturn +p10728 +tp10729 +a(g185 +V +tp10730 +a(g18 +Vrb_yield +p10731 +tp10732 +a(g198 +V( +tp10733 +a(g18 +Vpos +p10734 +tp10735 +a(g198 +V) +tp10736 +a(g198 +V; +tp10737 +a(g185 +V\u000a +tp10738 +a(g185 +V +tp10739 +a(g185 +V +tp10740 +a(g111 +Vif +p10741 +tp10742 +a(g185 +V +tp10743 +a(g198 +V( +tp10744 +a(g18 +Vargc +p10745 +tp10746 +a(g185 +V +tp10747 +a(g340 +V= +tp10748 +a(g340 +V= +tp10749 +a(g185 +V +tp10750 +a(g315 +V1 +tp10751 +a(g198 +V) +tp10752 +a(g185 +V +tp10753 +a(g198 +V{ +tp10754 +a(g185 +V\u000a +tp10755 +a(g185 +V +p10756 +tp10757 +a(g18 +Vrb_raise +p10758 +tp10759 +a(g198 +V( +tp10760 +a(g18 +Vrb_eIndexError +p10761 +tp10762 +a(g198 +V, +tp10763 +a(g185 +V +tp10764 +a(g222 +V" +tp10765 +a(g222 +Vindex %ld out of array +p10766 +tp10767 +a(g222 +V" +tp10768 +a(g198 +V, +tp10769 +a(g185 +V +tp10770 +a(g18 +Vidx +p10771 +tp10772 +a(g198 +V) +tp10773 +a(g198 +V; +tp10774 +a(g185 +V\u000a +tp10775 +a(g185 +V +tp10776 +a(g185 +V +tp10777 +a(g198 +V} +tp10778 +a(g185 +V\u000a +tp10779 +a(g185 +V +tp10780 +a(g111 +Vreturn +p10781 +tp10782 +a(g185 +V +tp10783 +a(g18 +Vifnone +p10784 +tp10785 +a(g198 +V; +tp10786 +a(g185 +V\u000a +tp10787 +a(g185 +V +p10788 +tp10789 +a(g185 +V +tp10790 +a(g198 +V} +tp10791 +a(g185 +V\u000a +tp10792 +a(g185 +V +p10793 +tp10794 +a(g111 +Vreturn +p10795 +tp10796 +a(g185 +V +tp10797 +a(g18 +VRARRAY +p10798 +tp10799 +a(g198 +V( +tp10800 +a(g18 +Vary +p10801 +tp10802 +a(g198 +V) +tp10803 +a(g340 +V- +tp10804 +a(g340 +V> +tp10805 +a(g18 +Vptr +p10806 +tp10807 +a(g198 +V[ +tp10808 +a(g18 +Vidx +p10809 +tp10810 +a(g198 +V] +tp10811 +a(g198 +V; +tp10812 +a(g185 +V\u000a +tp10813 +a(g185 +V +tp10814 +a(g198 +V} +tp10815 +a(g185 +V\u000a +tp10816 +a(g185 +V\u000a +tp10817 +a(g354 +V/*\u000a * call-seq:\u000a * array.index(obj) -> int or nil\u000a * array.index {|item| block} -> int or nil\u000a * \u000a * Returns the index of the first object in self such that is\u000a * == to obj. If a block is given instead of an\u000a * argument, returns first object for which block is true.\u000a * Returns nil if no match is found.\u000a * \u000a * a = [ "a", "b", "c" ]\u000a * a.index("b") #=> 1\u000a * a.index("z") #=> nil\u000a * a.index{|x|x=="b"} #=> 1\u000a */ +p10818 +tp10819 +a(g185 +V\u000a +tp10820 +a(g185 +V\u000a +tp10821 +a(g111 +Vstatic +p10822 +tp10823 +a(g185 +V +tp10824 +a(g18 +VVALUE +p10825 +tp10826 +a(g185 +V\u000a +tp10827 +a(g18 +Vrb_ary_index +p10828 +tp10829 +a(g198 +V( +tp10830 +a(g18 +Vargc +p10831 +tp10832 +a(g198 +V, +tp10833 +a(g185 +V +tp10834 +a(g18 +Vargv +p10835 +tp10836 +a(g198 +V, +tp10837 +a(g185 +V +tp10838 +a(g18 +Vary +p10839 +tp10840 +a(g198 +V) +tp10841 +a(g185 +V\u000a +tp10842 +a(g185 +V +p10843 +tp10844 +a(g135 +Vint +p10845 +tp10846 +a(g185 +V +tp10847 +a(g18 +Vargc +p10848 +tp10849 +a(g198 +V; +tp10850 +a(g185 +V\u000a +tp10851 +a(g185 +V +p10852 +tp10853 +a(g185 +V +tp10854 +a(g18 +VVALUE +p10855 +tp10856 +a(g185 +V +tp10857 +a(g340 +V* +tp10858 +a(g18 +Vargv +p10859 +tp10860 +a(g198 +V; +tp10861 +a(g185 +V\u000a +tp10862 +a(g185 +V +p10863 +tp10864 +a(g185 +V +tp10865 +a(g18 +VVALUE +p10866 +tp10867 +a(g185 +V +tp10868 +a(g18 +Vary +p10869 +tp10870 +a(g198 +V; +tp10871 +a(g185 +V\u000a +tp10872 +a(g185 +V +tp10873 +a(g198 +V{ +tp10874 +a(g185 +V\u000a +tp10875 +a(g185 +V +p10876 +tp10877 +a(g18 +VVALUE +p10878 +tp10879 +a(g185 +V +tp10880 +a(g18 +Vval +p10881 +tp10882 +a(g198 +V; +tp10883 +a(g185 +V\u000a +tp10884 +a(g185 +V +p10885 +tp10886 +a(g185 +V +tp10887 +a(g135 +Vlong +p10888 +tp10889 +a(g185 +V +tp10890 +a(g18 +Vi +tp10891 +a(g198 +V; +tp10892 +a(g185 +V\u000a +tp10893 +a(g185 +V\u000a +tp10894 +a(g185 +V +p10895 +tp10896 +a(g185 +V +tp10897 +a(g111 +Vif +p10898 +tp10899 +a(g185 +V +tp10900 +a(g198 +V( +tp10901 +a(g18 +Vrb_scan_args +p10902 +tp10903 +a(g198 +V( +tp10904 +a(g18 +Vargc +p10905 +tp10906 +a(g198 +V, +tp10907 +a(g185 +V +tp10908 +a(g18 +Vargv +p10909 +tp10910 +a(g198 +V, +tp10911 +a(g185 +V +tp10912 +a(g222 +V" +tp10913 +a(g222 +V01 +p10914 +tp10915 +a(g222 +V" +tp10916 +a(g198 +V, +tp10917 +a(g185 +V +tp10918 +a(g340 +V& +tp10919 +a(g18 +Vval +p10920 +tp10921 +a(g198 +V) +tp10922 +a(g185 +V +tp10923 +a(g340 +V= +tp10924 +a(g340 +V= +tp10925 +a(g185 +V +tp10926 +a(g315 +V0 +tp10927 +a(g198 +V) +tp10928 +a(g185 +V +tp10929 +a(g198 +V{ +tp10930 +a(g185 +V\u000a +tp10931 +a(g185 +V +tp10932 +a(g111 +Vfor +p10933 +tp10934 +a(g185 +V +tp10935 +a(g198 +V( +tp10936 +a(g18 +Vi +tp10937 +a(g340 +V= +tp10938 +a(g315 +V0 +tp10939 +a(g198 +V; +tp10940 +a(g185 +V +tp10941 +a(g185 +V +tp10942 +a(g18 +Vi +tp10943 +a(g340 +V< +tp10944 +a(g18 +VRARRAY +p10945 +tp10946 +a(g198 +V( +tp10947 +a(g18 +Vary +p10948 +tp10949 +a(g198 +V) +tp10950 +a(g340 +V- +tp10951 +a(g340 +V> +tp10952 +a(g18 +Vlen +p10953 +tp10954 +a(g198 +V; +tp10955 +a(g185 +V +tp10956 +a(g185 +V +tp10957 +a(g18 +Vi +tp10958 +a(g340 +V+ +tp10959 +a(g340 +V+ +tp10960 +a(g198 +V) +tp10961 +a(g185 +V +tp10962 +a(g198 +V{ +tp10963 +a(g185 +V\u000a +tp10964 +a(g185 +V +p10965 +tp10966 +a(g111 +Vif +p10967 +tp10968 +a(g185 +V +tp10969 +a(g198 +V( +tp10970 +a(g18 +VRTEST +p10971 +tp10972 +a(g198 +V( +tp10973 +a(g18 +Vrb_yield +p10974 +tp10975 +a(g198 +V( +tp10976 +a(g18 +VRARRAY +p10977 +tp10978 +a(g198 +V( +tp10979 +a(g18 +Vary +p10980 +tp10981 +a(g198 +V) +tp10982 +a(g340 +V- +tp10983 +a(g340 +V> +tp10984 +a(g18 +Vptr +p10985 +tp10986 +a(g198 +V[ +tp10987 +a(g18 +Vi +tp10988 +a(g198 +V] +tp10989 +a(g198 +V) +tp10990 +a(g198 +V) +tp10991 +a(g198 +V) +tp10992 +a(g185 +V +tp10993 +a(g198 +V{ +tp10994 +a(g185 +V\u000a +tp10995 +a(g185 +V +p10996 +tp10997 +a(g111 +Vreturn +p10998 +tp10999 +a(g185 +V +tp11000 +a(g18 +VLONG2NUM +p11001 +tp11002 +a(g198 +V( +tp11003 +a(g18 +Vi +tp11004 +a(g198 +V) +tp11005 +a(g198 +V; +tp11006 +a(g185 +V\u000a +tp11007 +a(g185 +V +p11008 +tp11009 +a(g185 +V +tp11010 +a(g198 +V} +tp11011 +a(g185 +V\u000a +tp11012 +a(g185 +V +tp11013 +a(g198 +V} +tp11014 +a(g185 +V\u000a +tp11015 +a(g185 +V +p11016 +tp11017 +a(g198 +V} +tp11018 +a(g185 +V\u000a +tp11019 +a(g185 +V +p11020 +tp11021 +a(g111 +Velse +p11022 +tp11023 +a(g185 +V +tp11024 +a(g198 +V{ +tp11025 +a(g185 +V\u000a +tp11026 +a(g185 +V +tp11027 +a(g111 +Vfor +p11028 +tp11029 +a(g185 +V +tp11030 +a(g198 +V( +tp11031 +a(g18 +Vi +tp11032 +a(g340 +V= +tp11033 +a(g315 +V0 +tp11034 +a(g198 +V; +tp11035 +a(g185 +V +tp11036 +a(g185 +V +tp11037 +a(g18 +Vi +tp11038 +a(g340 +V< +tp11039 +a(g18 +VRARRAY +p11040 +tp11041 +a(g198 +V( +tp11042 +a(g18 +Vary +p11043 +tp11044 +a(g198 +V) +tp11045 +a(g340 +V- +tp11046 +a(g340 +V> +tp11047 +a(g18 +Vlen +p11048 +tp11049 +a(g198 +V; +tp11050 +a(g185 +V +tp11051 +a(g185 +V +tp11052 +a(g18 +Vi +tp11053 +a(g340 +V+ +tp11054 +a(g340 +V+ +tp11055 +a(g198 +V) +tp11056 +a(g185 +V +tp11057 +a(g198 +V{ +tp11058 +a(g185 +V\u000a +tp11059 +a(g185 +V +p11060 +tp11061 +a(g111 +Vif +p11062 +tp11063 +a(g185 +V +tp11064 +a(g198 +V( +tp11065 +a(g18 +Vrb_equal +p11066 +tp11067 +a(g198 +V( +tp11068 +a(g18 +VRARRAY +p11069 +tp11070 +a(g198 +V( +tp11071 +a(g18 +Vary +p11072 +tp11073 +a(g198 +V) +tp11074 +a(g340 +V- +tp11075 +a(g340 +V> +tp11076 +a(g18 +Vptr +p11077 +tp11078 +a(g198 +V[ +tp11079 +a(g18 +Vi +tp11080 +a(g198 +V] +tp11081 +a(g198 +V, +tp11082 +a(g185 +V +tp11083 +a(g18 +Vval +p11084 +tp11085 +a(g198 +V) +tp11086 +a(g198 +V) +tp11087 +a(g185 +V\u000a +tp11088 +a(g185 +V +p11089 +tp11090 +a(g111 +Vreturn +p11091 +tp11092 +a(g185 +V +tp11093 +a(g18 +VLONG2NUM +p11094 +tp11095 +a(g198 +V( +tp11096 +a(g18 +Vi +tp11097 +a(g198 +V) +tp11098 +a(g198 +V; +tp11099 +a(g185 +V\u000a +tp11100 +a(g185 +V +tp11101 +a(g185 +V +tp11102 +a(g198 +V} +tp11103 +a(g185 +V\u000a +tp11104 +a(g185 +V +p11105 +tp11106 +a(g198 +V} +tp11107 +a(g185 +V\u000a +tp11108 +a(g185 +V +p11109 +tp11110 +a(g111 +Vreturn +p11111 +tp11112 +a(g185 +V +tp11113 +a(g18 +VQnil +p11114 +tp11115 +a(g198 +V; +tp11116 +a(g185 +V\u000a +tp11117 +a(g185 +V +tp11118 +a(g198 +V} +tp11119 +a(g185 +V\u000a +tp11120 +a(g185 +V\u000a +tp11121 +a(g354 +V/*\u000a * call-seq:\u000a * array.rindex(obj) -> int or nil\u000a * \u000a * Returns the index of the last object in array\u000a * == to obj. If a block is given instead of an\u000a * argument, returns first object for which block is\u000a * true. Returns nil if no match is found.\u000a * \u000a * a = [ "a", "b", "b", "b", "c" ]\u000a * a.rindex("b") #=> 3\u000a * a.rindex("z") #=> nil\u000a * a.rindex{|x|x=="b"} #=> 3\u000a */ +p11122 +tp11123 +a(g185 +V\u000a +tp11124 +a(g185 +V\u000a +tp11125 +a(g111 +Vstatic +p11126 +tp11127 +a(g185 +V +tp11128 +a(g18 +VVALUE +p11129 +tp11130 +a(g185 +V\u000a +tp11131 +a(g18 +Vrb_ary_rindex +p11132 +tp11133 +a(g198 +V( +tp11134 +a(g18 +Vargc +p11135 +tp11136 +a(g198 +V, +tp11137 +a(g185 +V +tp11138 +a(g18 +Vargv +p11139 +tp11140 +a(g198 +V, +tp11141 +a(g185 +V +tp11142 +a(g18 +Vary +p11143 +tp11144 +a(g198 +V) +tp11145 +a(g185 +V\u000a +tp11146 +a(g185 +V +p11147 +tp11148 +a(g135 +Vint +p11149 +tp11150 +a(g185 +V +tp11151 +a(g18 +Vargc +p11152 +tp11153 +a(g198 +V; +tp11154 +a(g185 +V\u000a +tp11155 +a(g185 +V +p11156 +tp11157 +a(g185 +V +tp11158 +a(g18 +VVALUE +p11159 +tp11160 +a(g185 +V +tp11161 +a(g340 +V* +tp11162 +a(g18 +Vargv +p11163 +tp11164 +a(g198 +V; +tp11165 +a(g185 +V\u000a +tp11166 +a(g185 +V +p11167 +tp11168 +a(g185 +V +tp11169 +a(g18 +VVALUE +p11170 +tp11171 +a(g185 +V +tp11172 +a(g18 +Vary +p11173 +tp11174 +a(g198 +V; +tp11175 +a(g185 +V\u000a +tp11176 +a(g185 +V +tp11177 +a(g198 +V{ +tp11178 +a(g185 +V\u000a +tp11179 +a(g185 +V +p11180 +tp11181 +a(g18 +VVALUE +p11182 +tp11183 +a(g185 +V +tp11184 +a(g18 +Vval +p11185 +tp11186 +a(g198 +V; +tp11187 +a(g185 +V\u000a +tp11188 +a(g185 +V +p11189 +tp11190 +a(g185 +V +tp11191 +a(g135 +Vlong +p11192 +tp11193 +a(g185 +V +tp11194 +a(g18 +Vi +tp11195 +a(g185 +V +tp11196 +a(g340 +V= +tp11197 +a(g185 +V +tp11198 +a(g18 +VRARRAY +p11199 +tp11200 +a(g198 +V( +tp11201 +a(g18 +Vary +p11202 +tp11203 +a(g198 +V) +tp11204 +a(g340 +V- +tp11205 +a(g340 +V> +tp11206 +a(g18 +Vlen +p11207 +tp11208 +a(g198 +V; +tp11209 +a(g185 +V\u000a +tp11210 +a(g185 +V\u000a +tp11211 +a(g185 +V +p11212 +tp11213 +a(g185 +V +tp11214 +a(g111 +Vif +p11215 +tp11216 +a(g185 +V +tp11217 +a(g198 +V( +tp11218 +a(g18 +Vrb_scan_args +p11219 +tp11220 +a(g198 +V( +tp11221 +a(g18 +Vargc +p11222 +tp11223 +a(g198 +V, +tp11224 +a(g185 +V +tp11225 +a(g18 +Vargv +p11226 +tp11227 +a(g198 +V, +tp11228 +a(g185 +V +tp11229 +a(g222 +V" +tp11230 +a(g222 +V01 +p11231 +tp11232 +a(g222 +V" +tp11233 +a(g198 +V, +tp11234 +a(g185 +V +tp11235 +a(g340 +V& +tp11236 +a(g18 +Vval +p11237 +tp11238 +a(g198 +V) +tp11239 +a(g185 +V +tp11240 +a(g340 +V= +tp11241 +a(g340 +V= +tp11242 +a(g185 +V +tp11243 +a(g315 +V0 +tp11244 +a(g198 +V) +tp11245 +a(g185 +V +tp11246 +a(g198 +V{ +tp11247 +a(g185 +V\u000a +tp11248 +a(g185 +V +tp11249 +a(g111 +Vwhile +p11250 +tp11251 +a(g185 +V +tp11252 +a(g198 +V( +tp11253 +a(g18 +Vi +tp11254 +a(g340 +V- +tp11255 +a(g340 +V- +tp11256 +a(g198 +V) +tp11257 +a(g185 +V +tp11258 +a(g198 +V{ +tp11259 +a(g185 +V\u000a +tp11260 +a(g185 +V +p11261 +tp11262 +a(g111 +Vif +p11263 +tp11264 +a(g185 +V +tp11265 +a(g198 +V( +tp11266 +a(g18 +VRTEST +p11267 +tp11268 +a(g198 +V( +tp11269 +a(g18 +Vrb_yield +p11270 +tp11271 +a(g198 +V( +tp11272 +a(g18 +VRARRAY +p11273 +tp11274 +a(g198 +V( +tp11275 +a(g18 +Vary +p11276 +tp11277 +a(g198 +V) +tp11278 +a(g340 +V- +tp11279 +a(g340 +V> +tp11280 +a(g18 +Vptr +p11281 +tp11282 +a(g198 +V[ +tp11283 +a(g18 +Vi +tp11284 +a(g198 +V] +tp11285 +a(g198 +V) +tp11286 +a(g198 +V) +tp11287 +a(g198 +V) +tp11288 +a(g185 +V\u000a +tp11289 +a(g185 +V +p11290 +tp11291 +a(g111 +Vreturn +p11292 +tp11293 +a(g185 +V +tp11294 +a(g18 +VLONG2NUM +p11295 +tp11296 +a(g198 +V( +tp11297 +a(g18 +Vi +tp11298 +a(g198 +V) +tp11299 +a(g198 +V; +tp11300 +a(g185 +V\u000a +tp11301 +a(g185 +V +p11302 +tp11303 +a(g185 +V +tp11304 +a(g111 +Vif +p11305 +tp11306 +a(g185 +V +tp11307 +a(g198 +V( +tp11308 +a(g18 +Vi +tp11309 +a(g185 +V +tp11310 +a(g340 +V> +tp11311 +a(g185 +V +tp11312 +a(g18 +VRARRAY +p11313 +tp11314 +a(g198 +V( +tp11315 +a(g18 +Vary +p11316 +tp11317 +a(g198 +V) +tp11318 +a(g340 +V- +tp11319 +a(g340 +V> +tp11320 +a(g18 +Vlen +p11321 +tp11322 +a(g198 +V) +tp11323 +a(g185 +V +tp11324 +a(g198 +V{ +tp11325 +a(g185 +V\u000a +tp11326 +a(g185 +V +p11327 +tp11328 +a(g18 +Vi +tp11329 +a(g185 +V +tp11330 +a(g340 +V= +tp11331 +a(g185 +V +tp11332 +a(g18 +VRARRAY +p11333 +tp11334 +a(g198 +V( +tp11335 +a(g18 +Vary +p11336 +tp11337 +a(g198 +V) +tp11338 +a(g340 +V- +tp11339 +a(g340 +V> +tp11340 +a(g18 +Vlen +p11341 +tp11342 +a(g198 +V; +tp11343 +a(g185 +V\u000a +tp11344 +a(g185 +V +p11345 +tp11346 +a(g185 +V +tp11347 +a(g198 +V} +tp11348 +a(g185 +V\u000a +tp11349 +a(g185 +V +tp11350 +a(g198 +V} +tp11351 +a(g185 +V\u000a +tp11352 +a(g185 +V +p11353 +tp11354 +a(g198 +V} +tp11355 +a(g185 +V\u000a +tp11356 +a(g185 +V +p11357 +tp11358 +a(g111 +Velse +p11359 +tp11360 +a(g185 +V +tp11361 +a(g198 +V{ +tp11362 +a(g185 +V\u000a +tp11363 +a(g185 +V +tp11364 +a(g111 +Vwhile +p11365 +tp11366 +a(g185 +V +tp11367 +a(g198 +V( +tp11368 +a(g18 +Vi +tp11369 +a(g340 +V- +tp11370 +a(g340 +V- +tp11371 +a(g198 +V) +tp11372 +a(g185 +V +tp11373 +a(g198 +V{ +tp11374 +a(g185 +V\u000a +tp11375 +a(g185 +V +p11376 +tp11377 +a(g111 +Vif +p11378 +tp11379 +a(g185 +V +tp11380 +a(g198 +V( +tp11381 +a(g18 +Vrb_equal +p11382 +tp11383 +a(g198 +V( +tp11384 +a(g18 +VRARRAY +p11385 +tp11386 +a(g198 +V( +tp11387 +a(g18 +Vary +p11388 +tp11389 +a(g198 +V) +tp11390 +a(g340 +V- +tp11391 +a(g340 +V> +tp11392 +a(g18 +Vptr +p11393 +tp11394 +a(g198 +V[ +tp11395 +a(g18 +Vi +tp11396 +a(g198 +V] +tp11397 +a(g198 +V, +tp11398 +a(g185 +V +tp11399 +a(g18 +Vval +p11400 +tp11401 +a(g198 +V) +tp11402 +a(g198 +V) +tp11403 +a(g185 +V\u000a +tp11404 +a(g185 +V +p11405 +tp11406 +a(g111 +Vreturn +p11407 +tp11408 +a(g185 +V +tp11409 +a(g18 +VLONG2NUM +p11410 +tp11411 +a(g198 +V( +tp11412 +a(g18 +Vi +tp11413 +a(g198 +V) +tp11414 +a(g198 +V; +tp11415 +a(g185 +V\u000a +tp11416 +a(g185 +V +p11417 +tp11418 +a(g185 +V +tp11419 +a(g111 +Vif +p11420 +tp11421 +a(g185 +V +tp11422 +a(g198 +V( +tp11423 +a(g18 +Vi +tp11424 +a(g185 +V +tp11425 +a(g340 +V> +tp11426 +a(g185 +V +tp11427 +a(g18 +VRARRAY +p11428 +tp11429 +a(g198 +V( +tp11430 +a(g18 +Vary +p11431 +tp11432 +a(g198 +V) +tp11433 +a(g340 +V- +tp11434 +a(g340 +V> +tp11435 +a(g18 +Vlen +p11436 +tp11437 +a(g198 +V) +tp11438 +a(g185 +V +tp11439 +a(g198 +V{ +tp11440 +a(g185 +V\u000a +tp11441 +a(g185 +V +p11442 +tp11443 +a(g18 +Vi +tp11444 +a(g185 +V +tp11445 +a(g340 +V= +tp11446 +a(g185 +V +tp11447 +a(g18 +VRARRAY +p11448 +tp11449 +a(g198 +V( +tp11450 +a(g18 +Vary +p11451 +tp11452 +a(g198 +V) +tp11453 +a(g340 +V- +tp11454 +a(g340 +V> +tp11455 +a(g18 +Vlen +p11456 +tp11457 +a(g198 +V; +tp11458 +a(g185 +V\u000a +tp11459 +a(g185 +V +p11460 +tp11461 +a(g185 +V +tp11462 +a(g198 +V} +tp11463 +a(g185 +V\u000a +tp11464 +a(g185 +V +tp11465 +a(g198 +V} +tp11466 +a(g185 +V\u000a +tp11467 +a(g185 +V +p11468 +tp11469 +a(g198 +V} +tp11470 +a(g185 +V\u000a +tp11471 +a(g185 +V +p11472 +tp11473 +a(g111 +Vreturn +p11474 +tp11475 +a(g185 +V +tp11476 +a(g18 +VQnil +p11477 +tp11478 +a(g198 +V; +tp11479 +a(g185 +V\u000a +tp11480 +a(g185 +V +tp11481 +a(g198 +V} +tp11482 +a(g185 +V\u000a +tp11483 +a(g185 +V\u000a +tp11484 +a(g18 +VVALUE +p11485 +tp11486 +a(g185 +V\u000a +tp11487 +a(g18 +Vrb_ary_to_ary +p11488 +tp11489 +a(g198 +V( +tp11490 +a(g18 +Vobj +p11491 +tp11492 +a(g198 +V) +tp11493 +a(g185 +V\u000a +tp11494 +a(g185 +V +p11495 +tp11496 +a(g18 +VVALUE +p11497 +tp11498 +a(g185 +V +tp11499 +a(g18 +Vobj +p11500 +tp11501 +a(g198 +V; +tp11502 +a(g185 +V\u000a +tp11503 +a(g185 +V +tp11504 +a(g198 +V{ +tp11505 +a(g185 +V\u000a +tp11506 +a(g185 +V +p11507 +tp11508 +a(g111 +Vif +p11509 +tp11510 +a(g185 +V +tp11511 +a(g198 +V( +tp11512 +a(g18 +VTYPE +p11513 +tp11514 +a(g198 +V( +tp11515 +a(g18 +Vobj +p11516 +tp11517 +a(g198 +V) +tp11518 +a(g185 +V +tp11519 +a(g340 +V= +tp11520 +a(g340 +V= +tp11521 +a(g185 +V +tp11522 +a(g18 +VT_ARRAY +p11523 +tp11524 +a(g198 +V) +tp11525 +a(g185 +V +tp11526 +a(g198 +V{ +tp11527 +a(g185 +V\u000a +tp11528 +a(g185 +V +tp11529 +a(g111 +Vreturn +p11530 +tp11531 +a(g185 +V +tp11532 +a(g18 +Vobj +p11533 +tp11534 +a(g198 +V; +tp11535 +a(g185 +V\u000a +tp11536 +a(g185 +V +p11537 +tp11538 +a(g185 +V +tp11539 +a(g198 +V} +tp11540 +a(g185 +V\u000a +tp11541 +a(g185 +V +p11542 +tp11543 +a(g111 +Vif +p11544 +tp11545 +a(g185 +V +tp11546 +a(g198 +V( +tp11547 +a(g18 +Vrb_respond_to +p11548 +tp11549 +a(g198 +V( +tp11550 +a(g18 +Vobj +p11551 +tp11552 +a(g198 +V, +tp11553 +a(g185 +V +tp11554 +a(g18 +Vrb_intern +p11555 +tp11556 +a(g198 +V( +tp11557 +a(g222 +V" +tp11558 +a(g222 +Vto_ary +p11559 +tp11560 +a(g222 +V" +tp11561 +a(g198 +V) +tp11562 +a(g198 +V) +tp11563 +a(g198 +V) +tp11564 +a(g185 +V +tp11565 +a(g198 +V{ +tp11566 +a(g185 +V\u000a +tp11567 +a(g185 +V +tp11568 +a(g111 +Vreturn +p11569 +tp11570 +a(g185 +V +tp11571 +a(g18 +Vto_ary +p11572 +tp11573 +a(g198 +V( +tp11574 +a(g18 +Vobj +p11575 +tp11576 +a(g198 +V) +tp11577 +a(g198 +V; +tp11578 +a(g185 +V\u000a +tp11579 +a(g185 +V +p11580 +tp11581 +a(g185 +V +tp11582 +a(g198 +V} +tp11583 +a(g185 +V\u000a +tp11584 +a(g185 +V +p11585 +tp11586 +a(g111 +Vreturn +p11587 +tp11588 +a(g185 +V +tp11589 +a(g18 +Vrb_ary_new3 +p11590 +tp11591 +a(g198 +V( +tp11592 +a(g315 +V1 +tp11593 +a(g198 +V, +tp11594 +a(g185 +V +tp11595 +a(g18 +Vobj +p11596 +tp11597 +a(g198 +V) +tp11598 +a(g198 +V; +tp11599 +a(g185 +V\u000a +tp11600 +a(g185 +V +tp11601 +a(g198 +V} +tp11602 +a(g185 +V\u000a +tp11603 +a(g185 +V\u000a +tp11604 +a(g111 +Vstatic +p11605 +tp11606 +a(g185 +V +tp11607 +a(g135 +Vvoid +p11608 +tp11609 +a(g185 +V\u000a +tp11610 +a(g18 +Vrb_ary_splice +p11611 +tp11612 +a(g198 +V( +tp11613 +a(g18 +Vary +p11614 +tp11615 +a(g198 +V, +tp11616 +a(g185 +V +tp11617 +a(g18 +Vbeg +p11618 +tp11619 +a(g198 +V, +tp11620 +a(g185 +V +tp11621 +a(g18 +Vlen +p11622 +tp11623 +a(g198 +V, +tp11624 +a(g185 +V +tp11625 +a(g18 +Vrpl +p11626 +tp11627 +a(g198 +V) +tp11628 +a(g185 +V\u000a +tp11629 +a(g185 +V +p11630 +tp11631 +a(g18 +VVALUE +p11632 +tp11633 +a(g185 +V +tp11634 +a(g18 +Vary +p11635 +tp11636 +a(g198 +V; +tp11637 +a(g185 +V\u000a +tp11638 +a(g185 +V +p11639 +tp11640 +a(g185 +V +tp11641 +a(g135 +Vlong +p11642 +tp11643 +a(g185 +V +tp11644 +a(g18 +Vbeg +p11645 +tp11646 +a(g198 +V, +tp11647 +a(g185 +V +tp11648 +a(g18 +Vlen +p11649 +tp11650 +a(g198 +V; +tp11651 +a(g185 +V\u000a +tp11652 +a(g185 +V +p11653 +tp11654 +a(g185 +V +tp11655 +a(g18 +VVALUE +p11656 +tp11657 +a(g185 +V +tp11658 +a(g18 +Vrpl +p11659 +tp11660 +a(g198 +V; +tp11661 +a(g185 +V\u000a +tp11662 +a(g185 +V +tp11663 +a(g198 +V{ +tp11664 +a(g185 +V\u000a +tp11665 +a(g185 +V +p11666 +tp11667 +a(g135 +Vlong +p11668 +tp11669 +a(g185 +V +tp11670 +a(g18 +Vrlen +p11671 +tp11672 +a(g198 +V; +tp11673 +a(g185 +V\u000a +tp11674 +a(g185 +V\u000a +tp11675 +a(g185 +V +p11676 +tp11677 +a(g185 +V +tp11678 +a(g111 +Vif +p11679 +tp11680 +a(g185 +V +tp11681 +a(g198 +V( +tp11682 +a(g18 +Vlen +p11683 +tp11684 +a(g185 +V +tp11685 +a(g340 +V< +tp11686 +a(g185 +V +tp11687 +a(g315 +V0 +tp11688 +a(g198 +V) +tp11689 +a(g185 +V +tp11690 +a(g18 +Vrb_raise +p11691 +tp11692 +a(g198 +V( +tp11693 +a(g18 +Vrb_eIndexError +p11694 +tp11695 +a(g198 +V, +tp11696 +a(g185 +V +tp11697 +a(g222 +V" +tp11698 +a(g222 +Vnegative length (%ld) +p11699 +tp11700 +a(g222 +V" +tp11701 +a(g198 +V, +tp11702 +a(g185 +V +tp11703 +a(g18 +Vlen +p11704 +tp11705 +a(g198 +V) +tp11706 +a(g198 +V; +tp11707 +a(g185 +V\u000a +tp11708 +a(g185 +V +p11709 +tp11710 +a(g185 +V +tp11711 +a(g111 +Vif +p11712 +tp11713 +a(g185 +V +tp11714 +a(g198 +V( +tp11715 +a(g18 +Vbeg +p11716 +tp11717 +a(g185 +V +tp11718 +a(g340 +V< +tp11719 +a(g185 +V +tp11720 +a(g315 +V0 +tp11721 +a(g198 +V) +tp11722 +a(g185 +V +tp11723 +a(g198 +V{ +tp11724 +a(g185 +V\u000a +tp11725 +a(g185 +V +tp11726 +a(g18 +Vbeg +p11727 +tp11728 +a(g185 +V +tp11729 +a(g340 +V+ +tp11730 +a(g340 +V= +tp11731 +a(g185 +V +tp11732 +a(g18 +VRARRAY +p11733 +tp11734 +a(g198 +V( +tp11735 +a(g18 +Vary +p11736 +tp11737 +a(g198 +V) +tp11738 +a(g340 +V- +tp11739 +a(g340 +V> +tp11740 +a(g18 +Vlen +p11741 +tp11742 +a(g198 +V; +tp11743 +a(g185 +V\u000a +tp11744 +a(g185 +V +tp11745 +a(g185 +V +tp11746 +a(g111 +Vif +p11747 +tp11748 +a(g185 +V +tp11749 +a(g198 +V( +tp11750 +a(g18 +Vbeg +p11751 +tp11752 +a(g185 +V +tp11753 +a(g340 +V< +tp11754 +a(g185 +V +tp11755 +a(g315 +V0 +tp11756 +a(g198 +V) +tp11757 +a(g185 +V +tp11758 +a(g198 +V{ +tp11759 +a(g185 +V\u000a +tp11760 +a(g185 +V +p11761 +tp11762 +a(g18 +Vbeg +p11763 +tp11764 +a(g185 +V +tp11765 +a(g340 +V- +tp11766 +a(g340 +V= +tp11767 +a(g185 +V +tp11768 +a(g18 +VRARRAY +p11769 +tp11770 +a(g198 +V( +tp11771 +a(g18 +Vary +p11772 +tp11773 +a(g198 +V) +tp11774 +a(g340 +V- +tp11775 +a(g340 +V> +tp11776 +a(g18 +Vlen +p11777 +tp11778 +a(g198 +V; +tp11779 +a(g185 +V\u000a +tp11780 +a(g185 +V +p11781 +tp11782 +a(g185 +V +tp11783 +a(g18 +Vrb_raise +p11784 +tp11785 +a(g198 +V( +tp11786 +a(g18 +Vrb_eIndexError +p11787 +tp11788 +a(g198 +V, +tp11789 +a(g185 +V +tp11790 +a(g222 +V" +tp11791 +a(g222 +Vindex %ld out of array +p11792 +tp11793 +a(g222 +V" +tp11794 +a(g198 +V, +tp11795 +a(g185 +V +tp11796 +a(g18 +Vbeg +p11797 +tp11798 +a(g198 +V) +tp11799 +a(g198 +V; +tp11800 +a(g185 +V\u000a +tp11801 +a(g185 +V +tp11802 +a(g185 +V +tp11803 +a(g198 +V} +tp11804 +a(g185 +V\u000a +tp11805 +a(g185 +V +p11806 +tp11807 +a(g198 +V} +tp11808 +a(g185 +V\u000a +tp11809 +a(g185 +V +p11810 +tp11811 +a(g111 +Vif +p11812 +tp11813 +a(g185 +V +tp11814 +a(g198 +V( +tp11815 +a(g18 +Vbeg +p11816 +tp11817 +a(g185 +V +tp11818 +a(g340 +V+ +tp11819 +a(g185 +V +tp11820 +a(g18 +Vlen +p11821 +tp11822 +a(g185 +V +tp11823 +a(g340 +V> +tp11824 +a(g185 +V +tp11825 +a(g18 +VRARRAY +p11826 +tp11827 +a(g198 +V( +tp11828 +a(g18 +Vary +p11829 +tp11830 +a(g198 +V) +tp11831 +a(g340 +V- +tp11832 +a(g340 +V> +tp11833 +a(g18 +Vlen +p11834 +tp11835 +a(g198 +V) +tp11836 +a(g185 +V +tp11837 +a(g198 +V{ +tp11838 +a(g185 +V\u000a +tp11839 +a(g185 +V +tp11840 +a(g18 +Vlen +p11841 +tp11842 +a(g185 +V +tp11843 +a(g340 +V= +tp11844 +a(g185 +V +tp11845 +a(g18 +VRARRAY +p11846 +tp11847 +a(g198 +V( +tp11848 +a(g18 +Vary +p11849 +tp11850 +a(g198 +V) +tp11851 +a(g340 +V- +tp11852 +a(g340 +V> +tp11853 +a(g18 +Vlen +p11854 +tp11855 +a(g185 +V +tp11856 +a(g340 +V- +tp11857 +a(g185 +V +tp11858 +a(g18 +Vbeg +p11859 +tp11860 +a(g198 +V; +tp11861 +a(g185 +V\u000a +tp11862 +a(g185 +V +p11863 +tp11864 +a(g185 +V +tp11865 +a(g198 +V} +tp11866 +a(g185 +V\u000a +tp11867 +a(g185 +V\u000a +tp11868 +a(g185 +V +p11869 +tp11870 +a(g111 +Vif +p11871 +tp11872 +a(g185 +V +tp11873 +a(g198 +V( +tp11874 +a(g18 +Vrpl +p11875 +tp11876 +a(g185 +V +tp11877 +a(g340 +V= +tp11878 +a(g340 +V= +tp11879 +a(g185 +V +tp11880 +a(g18 +VQundef +p11881 +tp11882 +a(g198 +V) +tp11883 +a(g185 +V +tp11884 +a(g198 +V{ +tp11885 +a(g185 +V\u000a +tp11886 +a(g185 +V +tp11887 +a(g18 +Vrlen +p11888 +tp11889 +a(g185 +V +tp11890 +a(g340 +V= +tp11891 +a(g185 +V +tp11892 +a(g315 +V0 +tp11893 +a(g198 +V; +tp11894 +a(g185 +V\u000a +tp11895 +a(g185 +V +p11896 +tp11897 +a(g185 +V +tp11898 +a(g198 +V} +tp11899 +a(g185 +V\u000a +tp11900 +a(g185 +V +p11901 +tp11902 +a(g111 +Velse +p11903 +tp11904 +a(g185 +V +tp11905 +a(g198 +V{ +tp11906 +a(g185 +V\u000a +tp11907 +a(g185 +V +tp11908 +a(g18 +Vrpl +p11909 +tp11910 +a(g185 +V +tp11911 +a(g340 +V= +tp11912 +a(g185 +V +tp11913 +a(g18 +Vrb_ary_to_ary +p11914 +tp11915 +a(g198 +V( +tp11916 +a(g18 +Vrpl +p11917 +tp11918 +a(g198 +V) +tp11919 +a(g198 +V; +tp11920 +a(g185 +V\u000a +tp11921 +a(g185 +V +tp11922 +a(g185 +V +tp11923 +a(g18 +Vrlen +p11924 +tp11925 +a(g185 +V +tp11926 +a(g340 +V= +tp11927 +a(g185 +V +tp11928 +a(g18 +VRARRAY +p11929 +tp11930 +a(g198 +V( +tp11931 +a(g18 +Vrpl +p11932 +tp11933 +a(g198 +V) +tp11934 +a(g340 +V- +tp11935 +a(g340 +V> +tp11936 +a(g18 +Vlen +p11937 +tp11938 +a(g198 +V; +tp11939 +a(g185 +V\u000a +tp11940 +a(g185 +V +p11941 +tp11942 +a(g185 +V +tp11943 +a(g198 +V} +tp11944 +a(g185 +V\u000a +tp11945 +a(g185 +V +p11946 +tp11947 +a(g18 +Vrb_ary_modify +p11948 +tp11949 +a(g198 +V( +tp11950 +a(g18 +Vary +p11951 +tp11952 +a(g198 +V) +tp11953 +a(g198 +V; +tp11954 +a(g185 +V\u000a +tp11955 +a(g185 +V\u000a +tp11956 +a(g185 +V +p11957 +tp11958 +a(g185 +V +tp11959 +a(g111 +Vif +p11960 +tp11961 +a(g185 +V +tp11962 +a(g198 +V( +tp11963 +a(g18 +Vbeg +p11964 +tp11965 +a(g185 +V +tp11966 +a(g340 +V> +tp11967 +a(g340 +V= +tp11968 +a(g185 +V +tp11969 +a(g18 +VRARRAY +p11970 +tp11971 +a(g198 +V( +tp11972 +a(g18 +Vary +p11973 +tp11974 +a(g198 +V) +tp11975 +a(g340 +V- +tp11976 +a(g340 +V> +tp11977 +a(g18 +Vlen +p11978 +tp11979 +a(g198 +V) +tp11980 +a(g185 +V +tp11981 +a(g198 +V{ +tp11982 +a(g185 +V\u000a +tp11983 +a(g185 +V +tp11984 +a(g18 +Vlen +p11985 +tp11986 +a(g185 +V +tp11987 +a(g340 +V= +tp11988 +a(g185 +V +tp11989 +a(g18 +Vbeg +p11990 +tp11991 +a(g185 +V +tp11992 +a(g340 +V+ +tp11993 +a(g185 +V +tp11994 +a(g18 +Vrlen +p11995 +tp11996 +a(g198 +V; +tp11997 +a(g185 +V\u000a +tp11998 +a(g185 +V +tp11999 +a(g185 +V +tp12000 +a(g111 +Vif +p12001 +tp12002 +a(g185 +V +tp12003 +a(g198 +V( +tp12004 +a(g18 +Vlen +p12005 +tp12006 +a(g185 +V +tp12007 +a(g340 +V> +tp12008 +a(g340 +V= +tp12009 +a(g185 +V +tp12010 +a(g18 +VRARRAY +p12011 +tp12012 +a(g198 +V( +tp12013 +a(g18 +Vary +p12014 +tp12015 +a(g198 +V) +tp12016 +a(g340 +V- +tp12017 +a(g340 +V> +tp12018 +a(g18 +Vaux +p12019 +tp12020 +a(g198 +V. +tp12021 +a(g18 +Vcapa +p12022 +tp12023 +a(g198 +V) +tp12024 +a(g185 +V +tp12025 +a(g198 +V{ +tp12026 +a(g185 +V\u000a +tp12027 +a(g185 +V +p12028 +tp12029 +a(g18 +VREALLOC_N +p12030 +tp12031 +a(g198 +V( +tp12032 +a(g18 +VRARRAY +p12033 +tp12034 +a(g198 +V( +tp12035 +a(g18 +Vary +p12036 +tp12037 +a(g198 +V) +tp12038 +a(g340 +V- +tp12039 +a(g340 +V> +tp12040 +a(g18 +Vptr +p12041 +tp12042 +a(g198 +V, +tp12043 +a(g185 +V +tp12044 +a(g18 +VVALUE +p12045 +tp12046 +a(g198 +V, +tp12047 +a(g185 +V +tp12048 +a(g18 +Vlen +p12049 +tp12050 +a(g198 +V) +tp12051 +a(g198 +V; +tp12052 +a(g185 +V\u000a +tp12053 +a(g185 +V +p12054 +tp12055 +a(g185 +V +tp12056 +a(g18 +VRARRAY +p12057 +tp12058 +a(g198 +V( +tp12059 +a(g18 +Vary +p12060 +tp12061 +a(g198 +V) +tp12062 +a(g340 +V- +tp12063 +a(g340 +V> +tp12064 +a(g18 +Vaux +p12065 +tp12066 +a(g198 +V. +tp12067 +a(g18 +Vcapa +p12068 +tp12069 +a(g185 +V +tp12070 +a(g340 +V= +tp12071 +a(g185 +V +tp12072 +a(g18 +Vlen +p12073 +tp12074 +a(g198 +V; +tp12075 +a(g185 +V\u000a +tp12076 +a(g185 +V +tp12077 +a(g185 +V +tp12078 +a(g198 +V} +tp12079 +a(g185 +V\u000a +tp12080 +a(g185 +V +tp12081 +a(g18 +Vrb_mem_clear +p12082 +tp12083 +a(g198 +V( +tp12084 +a(g18 +VRARRAY +p12085 +tp12086 +a(g198 +V( +tp12087 +a(g18 +Vary +p12088 +tp12089 +a(g198 +V) +tp12090 +a(g340 +V- +tp12091 +a(g340 +V> +tp12092 +a(g18 +Vptr +p12093 +tp12094 +a(g185 +V +tp12095 +a(g340 +V+ +tp12096 +a(g185 +V +tp12097 +a(g18 +VRARRAY +p12098 +tp12099 +a(g198 +V( +tp12100 +a(g18 +Vary +p12101 +tp12102 +a(g198 +V) +tp12103 +a(g340 +V- +tp12104 +a(g340 +V> +tp12105 +a(g18 +Vlen +p12106 +tp12107 +a(g198 +V, +tp12108 +a(g185 +V +tp12109 +a(g18 +Vbeg +p12110 +tp12111 +a(g185 +V +tp12112 +a(g340 +V- +tp12113 +a(g185 +V +tp12114 +a(g18 +VRARRAY +p12115 +tp12116 +a(g198 +V( +tp12117 +a(g18 +Vary +p12118 +tp12119 +a(g198 +V) +tp12120 +a(g340 +V- +tp12121 +a(g340 +V> +tp12122 +a(g18 +Vlen +p12123 +tp12124 +a(g198 +V) +tp12125 +a(g198 +V; +tp12126 +a(g185 +V\u000a +tp12127 +a(g185 +V +tp12128 +a(g185 +V +tp12129 +a(g111 +Vif +p12130 +tp12131 +a(g185 +V +tp12132 +a(g198 +V( +tp12133 +a(g18 +Vrlen +p12134 +tp12135 +a(g185 +V +tp12136 +a(g340 +V> +tp12137 +a(g185 +V +tp12138 +a(g315 +V0 +tp12139 +a(g198 +V) +tp12140 +a(g185 +V +tp12141 +a(g198 +V{ +tp12142 +a(g185 +V\u000a +tp12143 +a(g185 +V +p12144 +tp12145 +a(g18 +VMEMCPY +p12146 +tp12147 +a(g198 +V( +tp12148 +a(g18 +VRARRAY +p12149 +tp12150 +a(g198 +V( +tp12151 +a(g18 +Vary +p12152 +tp12153 +a(g198 +V) +tp12154 +a(g340 +V- +tp12155 +a(g340 +V> +tp12156 +a(g18 +Vptr +p12157 +tp12158 +a(g185 +V +tp12159 +a(g340 +V+ +tp12160 +a(g185 +V +tp12161 +a(g18 +Vbeg +p12162 +tp12163 +a(g198 +V, +tp12164 +a(g185 +V +tp12165 +a(g18 +VRARRAY +p12166 +tp12167 +a(g198 +V( +tp12168 +a(g18 +Vrpl +p12169 +tp12170 +a(g198 +V) +tp12171 +a(g340 +V- +tp12172 +a(g340 +V> +tp12173 +a(g18 +Vptr +p12174 +tp12175 +a(g198 +V, +tp12176 +a(g185 +V +tp12177 +a(g18 +VVALUE +p12178 +tp12179 +a(g198 +V, +tp12180 +a(g185 +V +tp12181 +a(g18 +Vrlen +p12182 +tp12183 +a(g198 +V) +tp12184 +a(g198 +V; +tp12185 +a(g185 +V\u000a +tp12186 +a(g185 +V +tp12187 +a(g185 +V +tp12188 +a(g198 +V} +tp12189 +a(g185 +V\u000a +tp12190 +a(g185 +V +tp12191 +a(g18 +VRARRAY +p12192 +tp12193 +a(g198 +V( +tp12194 +a(g18 +Vary +p12195 +tp12196 +a(g198 +V) +tp12197 +a(g340 +V- +tp12198 +a(g340 +V> +tp12199 +a(g18 +Vlen +p12200 +tp12201 +a(g185 +V +tp12202 +a(g340 +V= +tp12203 +a(g185 +V +tp12204 +a(g18 +Vlen +p12205 +tp12206 +a(g198 +V; +tp12207 +a(g185 +V\u000a +tp12208 +a(g185 +V +p12209 +tp12210 +a(g185 +V +tp12211 +a(g198 +V} +tp12212 +a(g185 +V\u000a +tp12213 +a(g185 +V +p12214 +tp12215 +a(g111 +Velse +p12216 +tp12217 +a(g185 +V +tp12218 +a(g198 +V{ +tp12219 +a(g185 +V\u000a +tp12220 +a(g185 +V +tp12221 +a(g135 +Vlong +p12222 +tp12223 +a(g185 +V +tp12224 +a(g18 +Valen +p12225 +tp12226 +a(g198 +V; +tp12227 +a(g185 +V\u000a +tp12228 +a(g185 +V\u000a +tp12229 +a(g185 +V +tp12230 +a(g185 +V +tp12231 +a(g111 +Vif +p12232 +tp12233 +a(g185 +V +tp12234 +a(g198 +V( +tp12235 +a(g18 +Vbeg +p12236 +tp12237 +a(g185 +V +tp12238 +a(g340 +V+ +tp12239 +a(g185 +V +tp12240 +a(g18 +Vlen +p12241 +tp12242 +a(g185 +V +tp12243 +a(g340 +V> +tp12244 +a(g185 +V +tp12245 +a(g18 +VRARRAY +p12246 +tp12247 +a(g198 +V( +tp12248 +a(g18 +Vary +p12249 +tp12250 +a(g198 +V) +tp12251 +a(g340 +V- +tp12252 +a(g340 +V> +tp12253 +a(g18 +Vlen +p12254 +tp12255 +a(g198 +V) +tp12256 +a(g185 +V +tp12257 +a(g198 +V{ +tp12258 +a(g185 +V\u000a +tp12259 +a(g185 +V +p12260 +tp12261 +a(g18 +Vlen +p12262 +tp12263 +a(g185 +V +tp12264 +a(g340 +V= +tp12265 +a(g185 +V +tp12266 +a(g18 +VRARRAY +p12267 +tp12268 +a(g198 +V( +tp12269 +a(g18 +Vary +p12270 +tp12271 +a(g198 +V) +tp12272 +a(g340 +V- +tp12273 +a(g340 +V> +tp12274 +a(g18 +Vlen +p12275 +tp12276 +a(g185 +V +tp12277 +a(g340 +V- +tp12278 +a(g185 +V +tp12279 +a(g18 +Vbeg +p12280 +tp12281 +a(g198 +V; +tp12282 +a(g185 +V\u000a +tp12283 +a(g185 +V +tp12284 +a(g185 +V +tp12285 +a(g198 +V} +tp12286 +a(g185 +V\u000a +tp12287 +a(g185 +V\u000a +tp12288 +a(g185 +V +tp12289 +a(g18 +Valen +p12290 +tp12291 +a(g185 +V +tp12292 +a(g340 +V= +tp12293 +a(g185 +V +tp12294 +a(g18 +VRARRAY +p12295 +tp12296 +a(g198 +V( +tp12297 +a(g18 +Vary +p12298 +tp12299 +a(g198 +V) +tp12300 +a(g340 +V- +tp12301 +a(g340 +V> +tp12302 +a(g18 +Vlen +p12303 +tp12304 +a(g185 +V +tp12305 +a(g340 +V+ +tp12306 +a(g185 +V +tp12307 +a(g18 +Vrlen +p12308 +tp12309 +a(g185 +V +tp12310 +a(g340 +V- +tp12311 +a(g185 +V +tp12312 +a(g18 +Vlen +p12313 +tp12314 +a(g198 +V; +tp12315 +a(g185 +V\u000a +tp12316 +a(g185 +V +tp12317 +a(g185 +V +tp12318 +a(g111 +Vif +p12319 +tp12320 +a(g185 +V +tp12321 +a(g198 +V( +tp12322 +a(g18 +Valen +p12323 +tp12324 +a(g185 +V +tp12325 +a(g340 +V> +tp12326 +a(g340 +V= +tp12327 +a(g185 +V +tp12328 +a(g18 +VRARRAY +p12329 +tp12330 +a(g198 +V( +tp12331 +a(g18 +Vary +p12332 +tp12333 +a(g198 +V) +tp12334 +a(g340 +V- +tp12335 +a(g340 +V> +tp12336 +a(g18 +Vaux +p12337 +tp12338 +a(g198 +V. +tp12339 +a(g18 +Vcapa +p12340 +tp12341 +a(g198 +V) +tp12342 +a(g185 +V +tp12343 +a(g198 +V{ +tp12344 +a(g185 +V\u000a +tp12345 +a(g185 +V +p12346 +tp12347 +a(g18 +VREALLOC_N +p12348 +tp12349 +a(g198 +V( +tp12350 +a(g18 +VRARRAY +p12351 +tp12352 +a(g198 +V( +tp12353 +a(g18 +Vary +p12354 +tp12355 +a(g198 +V) +tp12356 +a(g340 +V- +tp12357 +a(g340 +V> +tp12358 +a(g18 +Vptr +p12359 +tp12360 +a(g198 +V, +tp12361 +a(g185 +V +tp12362 +a(g18 +VVALUE +p12363 +tp12364 +a(g198 +V, +tp12365 +a(g185 +V +tp12366 +a(g18 +Valen +p12367 +tp12368 +a(g198 +V) +tp12369 +a(g198 +V; +tp12370 +a(g185 +V\u000a +tp12371 +a(g185 +V +p12372 +tp12373 +a(g185 +V +tp12374 +a(g18 +VRARRAY +p12375 +tp12376 +a(g198 +V( +tp12377 +a(g18 +Vary +p12378 +tp12379 +a(g198 +V) +tp12380 +a(g340 +V- +tp12381 +a(g340 +V> +tp12382 +a(g18 +Vaux +p12383 +tp12384 +a(g198 +V. +tp12385 +a(g18 +Vcapa +p12386 +tp12387 +a(g185 +V +tp12388 +a(g340 +V= +tp12389 +a(g185 +V +tp12390 +a(g18 +Valen +p12391 +tp12392 +a(g198 +V; +tp12393 +a(g185 +V\u000a +tp12394 +a(g185 +V +tp12395 +a(g185 +V +tp12396 +a(g198 +V} +tp12397 +a(g185 +V\u000a +tp12398 +a(g185 +V\u000a +tp12399 +a(g185 +V +tp12400 +a(g111 +Vif +p12401 +tp12402 +a(g185 +V +tp12403 +a(g198 +V( +tp12404 +a(g18 +Vlen +p12405 +tp12406 +a(g185 +V +tp12407 +a(g340 +V! +tp12408 +a(g340 +V= +tp12409 +a(g185 +V +tp12410 +a(g18 +Vrlen +p12411 +tp12412 +a(g198 +V) +tp12413 +a(g185 +V +tp12414 +a(g198 +V{ +tp12415 +a(g185 +V\u000a +tp12416 +a(g185 +V +p12417 +tp12418 +a(g18 +VMEMMOVE +p12419 +tp12420 +a(g198 +V( +tp12421 +a(g18 +VRARRAY +p12422 +tp12423 +a(g198 +V( +tp12424 +a(g18 +Vary +p12425 +tp12426 +a(g198 +V) +tp12427 +a(g340 +V- +tp12428 +a(g340 +V> +tp12429 +a(g18 +Vptr +p12430 +tp12431 +a(g185 +V +tp12432 +a(g340 +V+ +tp12433 +a(g185 +V +tp12434 +a(g18 +Vbeg +p12435 +tp12436 +a(g185 +V +tp12437 +a(g340 +V+ +tp12438 +a(g185 +V +tp12439 +a(g18 +Vrlen +p12440 +tp12441 +a(g198 +V, +tp12442 +a(g185 +V +tp12443 +a(g18 +VRARRAY +p12444 +tp12445 +a(g198 +V( +tp12446 +a(g18 +Vary +p12447 +tp12448 +a(g198 +V) +tp12449 +a(g340 +V- +tp12450 +a(g340 +V> +tp12451 +a(g18 +Vptr +p12452 +tp12453 +a(g185 +V +tp12454 +a(g340 +V+ +tp12455 +a(g185 +V +tp12456 +a(g18 +Vbeg +p12457 +tp12458 +a(g185 +V +tp12459 +a(g340 +V+ +tp12460 +a(g185 +V +tp12461 +a(g18 +Vlen +p12462 +tp12463 +a(g198 +V, +tp12464 +a(g185 +V\u000a +tp12465 +a(g185 +V +p12466 +tp12467 +a(g18 +VVALUE +p12468 +tp12469 +a(g198 +V, +tp12470 +a(g185 +V +tp12471 +a(g18 +VRARRAY +p12472 +tp12473 +a(g198 +V( +tp12474 +a(g18 +Vary +p12475 +tp12476 +a(g198 +V) +tp12477 +a(g340 +V- +tp12478 +a(g340 +V> +tp12479 +a(g18 +Vlen +p12480 +tp12481 +a(g185 +V +tp12482 +a(g340 +V- +tp12483 +a(g185 +V +tp12484 +a(g198 +V( +tp12485 +a(g18 +Vbeg +p12486 +tp12487 +a(g185 +V +tp12488 +a(g340 +V+ +tp12489 +a(g185 +V +tp12490 +a(g18 +Vlen +p12491 +tp12492 +a(g198 +V) +tp12493 +a(g198 +V) +tp12494 +a(g198 +V; +tp12495 +a(g185 +V\u000a +tp12496 +a(g185 +V +p12497 +tp12498 +a(g185 +V +tp12499 +a(g18 +VRARRAY +p12500 +tp12501 +a(g198 +V( +tp12502 +a(g18 +Vary +p12503 +tp12504 +a(g198 +V) +tp12505 +a(g340 +V- +tp12506 +a(g340 +V> +tp12507 +a(g18 +Vlen +p12508 +tp12509 +a(g185 +V +tp12510 +a(g340 +V= +tp12511 +a(g185 +V +tp12512 +a(g18 +Valen +p12513 +tp12514 +a(g198 +V; +tp12515 +a(g185 +V\u000a +tp12516 +a(g185 +V +tp12517 +a(g185 +V +tp12518 +a(g198 +V} +tp12519 +a(g185 +V\u000a +tp12520 +a(g185 +V +tp12521 +a(g111 +Vif +p12522 +tp12523 +a(g185 +V +tp12524 +a(g198 +V( +tp12525 +a(g18 +Vrlen +p12526 +tp12527 +a(g185 +V +tp12528 +a(g340 +V> +tp12529 +a(g185 +V +tp12530 +a(g315 +V0 +tp12531 +a(g198 +V) +tp12532 +a(g185 +V +tp12533 +a(g198 +V{ +tp12534 +a(g185 +V\u000a +tp12535 +a(g185 +V +p12536 +tp12537 +a(g18 +VMEMMOVE +p12538 +tp12539 +a(g198 +V( +tp12540 +a(g18 +VRARRAY +p12541 +tp12542 +a(g198 +V( +tp12543 +a(g18 +Vary +p12544 +tp12545 +a(g198 +V) +tp12546 +a(g340 +V- +tp12547 +a(g340 +V> +tp12548 +a(g18 +Vptr +p12549 +tp12550 +a(g185 +V +tp12551 +a(g340 +V+ +tp12552 +a(g185 +V +tp12553 +a(g18 +Vbeg +p12554 +tp12555 +a(g198 +V, +tp12556 +a(g185 +V +tp12557 +a(g18 +VRARRAY +p12558 +tp12559 +a(g198 +V( +tp12560 +a(g18 +Vrpl +p12561 +tp12562 +a(g198 +V) +tp12563 +a(g340 +V- +tp12564 +a(g340 +V> +tp12565 +a(g18 +Vptr +p12566 +tp12567 +a(g198 +V, +tp12568 +a(g185 +V +tp12569 +a(g18 +VVALUE +p12570 +tp12571 +a(g198 +V, +tp12572 +a(g185 +V +tp12573 +a(g18 +Vrlen +p12574 +tp12575 +a(g198 +V) +tp12576 +a(g198 +V; +tp12577 +a(g185 +V\u000a +tp12578 +a(g185 +V +tp12579 +a(g185 +V +tp12580 +a(g198 +V} +tp12581 +a(g185 +V\u000a +tp12582 +a(g185 +V +p12583 +tp12584 +a(g198 +V} +tp12585 +a(g185 +V\u000a +tp12586 +a(g198 +V} +tp12587 +a(g185 +V\u000a +tp12588 +a(g185 +V\u000a +tp12589 +a(g354 +V/* \u000a * call-seq:\u000a * array[index] = obj -> obj\u000a * array[start, length] = obj or an_array or nil -> obj or an_array or nil\u000a * array[range] = obj or an_array or nil -> obj or an_array or nil\u000a *\u000a * Element Assignment---Sets the element at _index_,\u000a * or replaces a subarray starting at _start_ and\u000a * continuing for _length_ elements, or replaces a subarray\u000a * specified by _range_. If indices are greater than\u000a * the current capacity of the array, the array grows\u000a * automatically. A negative indices will count backward\u000a * from the end of the array. Inserts elements if _length_ is\u000a * zero. An +IndexError+ is raised if a negative index points\u000a * past the beginning of the array. See also\u000a * Array#push, and Array#unshift.\u000a * \u000a * a = Array.new\u000a * a[4] = "4"; #=> [nil, nil, nil, nil, "4"]\u000a * a[0, 3] = [ 'a', 'b', 'c' ] #=> ["a", "b", "c", nil, "4"]\u000a * a[1..2] = [ 1, 2 ] #=> ["a", 1, 2, nil, "4"]\u000a * a[0, 2] = "?" #=> ["?", 2, nil, "4"]\u000a * a[0..2] = "A" #=> ["A", "4"]\u000a * a[-1] = "Z" #=> ["A", "Z"]\u000a * a[1..-1] = nil #=> ["A", nil]\u000a * a[1..-1] = [] #=> ["A"]\u000a */ +p12590 +tp12591 +a(g185 +V\u000a +tp12592 +a(g185 +V\u000a +tp12593 +a(g111 +Vstatic +p12594 +tp12595 +a(g185 +V +tp12596 +a(g18 +VVALUE +p12597 +tp12598 +a(g185 +V\u000a +tp12599 +a(g18 +Vrb_ary_aset +p12600 +tp12601 +a(g198 +V( +tp12602 +a(g18 +Vargc +p12603 +tp12604 +a(g198 +V, +tp12605 +a(g185 +V +tp12606 +a(g18 +Vargv +p12607 +tp12608 +a(g198 +V, +tp12609 +a(g185 +V +tp12610 +a(g18 +Vary +p12611 +tp12612 +a(g198 +V) +tp12613 +a(g185 +V\u000a +tp12614 +a(g185 +V +p12615 +tp12616 +a(g135 +Vint +p12617 +tp12618 +a(g185 +V +tp12619 +a(g18 +Vargc +p12620 +tp12621 +a(g198 +V; +tp12622 +a(g185 +V\u000a +tp12623 +a(g185 +V +p12624 +tp12625 +a(g185 +V +tp12626 +a(g18 +VVALUE +p12627 +tp12628 +a(g185 +V +tp12629 +a(g340 +V* +tp12630 +a(g18 +Vargv +p12631 +tp12632 +a(g198 +V; +tp12633 +a(g185 +V\u000a +tp12634 +a(g185 +V +p12635 +tp12636 +a(g185 +V +tp12637 +a(g18 +VVALUE +p12638 +tp12639 +a(g185 +V +tp12640 +a(g18 +Vary +p12641 +tp12642 +a(g198 +V; +tp12643 +a(g185 +V\u000a +tp12644 +a(g185 +V +tp12645 +a(g198 +V{ +tp12646 +a(g185 +V\u000a +tp12647 +a(g185 +V +p12648 +tp12649 +a(g135 +Vlong +p12650 +tp12651 +a(g185 +V +tp12652 +a(g18 +Voffset +p12653 +tp12654 +a(g198 +V, +tp12655 +a(g185 +V +tp12656 +a(g18 +Vbeg +p12657 +tp12658 +a(g198 +V, +tp12659 +a(g185 +V +tp12660 +a(g18 +Vlen +p12661 +tp12662 +a(g198 +V; +tp12663 +a(g185 +V\u000a +tp12664 +a(g185 +V\u000a +tp12665 +a(g185 +V +p12666 +tp12667 +a(g185 +V +tp12668 +a(g111 +Vif +p12669 +tp12670 +a(g185 +V +tp12671 +a(g198 +V( +tp12672 +a(g18 +Vargc +p12673 +tp12674 +a(g185 +V +tp12675 +a(g340 +V= +tp12676 +a(g340 +V= +tp12677 +a(g185 +V +tp12678 +a(g315 +V3 +tp12679 +a(g198 +V) +tp12680 +a(g185 +V +tp12681 +a(g198 +V{ +tp12682 +a(g185 +V\u000a +tp12683 +a(g185 +V +tp12684 +a(g18 +Vrb_ary_splice +p12685 +tp12686 +a(g198 +V( +tp12687 +a(g18 +Vary +p12688 +tp12689 +a(g198 +V, +tp12690 +a(g185 +V +tp12691 +a(g18 +VNUM2LONG +p12692 +tp12693 +a(g198 +V( +tp12694 +a(g18 +Vargv +p12695 +tp12696 +a(g198 +V[ +tp12697 +a(g315 +V0 +tp12698 +a(g198 +V] +tp12699 +a(g198 +V) +tp12700 +a(g198 +V, +tp12701 +a(g185 +V +tp12702 +a(g18 +VNUM2LONG +p12703 +tp12704 +a(g198 +V( +tp12705 +a(g18 +Vargv +p12706 +tp12707 +a(g198 +V[ +tp12708 +a(g315 +V1 +tp12709 +a(g198 +V] +tp12710 +a(g198 +V) +tp12711 +a(g198 +V, +tp12712 +a(g185 +V +tp12713 +a(g18 +Vargv +p12714 +tp12715 +a(g198 +V[ +tp12716 +a(g315 +V2 +tp12717 +a(g198 +V] +tp12718 +a(g198 +V) +tp12719 +a(g198 +V; +tp12720 +a(g185 +V\u000a +tp12721 +a(g185 +V +tp12722 +a(g185 +V +tp12723 +a(g111 +Vreturn +p12724 +tp12725 +a(g185 +V +tp12726 +a(g18 +Vargv +p12727 +tp12728 +a(g198 +V[ +tp12729 +a(g315 +V2 +tp12730 +a(g198 +V] +tp12731 +a(g198 +V; +tp12732 +a(g185 +V\u000a +tp12733 +a(g185 +V +p12734 +tp12735 +a(g185 +V +tp12736 +a(g198 +V} +tp12737 +a(g185 +V\u000a +tp12738 +a(g185 +V +p12739 +tp12740 +a(g111 +Vif +p12741 +tp12742 +a(g185 +V +tp12743 +a(g198 +V( +tp12744 +a(g18 +Vargc +p12745 +tp12746 +a(g185 +V +tp12747 +a(g340 +V! +tp12748 +a(g340 +V= +tp12749 +a(g185 +V +tp12750 +a(g315 +V2 +tp12751 +a(g198 +V) +tp12752 +a(g185 +V +tp12753 +a(g198 +V{ +tp12754 +a(g185 +V\u000a +tp12755 +a(g185 +V +tp12756 +a(g18 +Vrb_raise +p12757 +tp12758 +a(g198 +V( +tp12759 +a(g18 +Vrb_eArgError +p12760 +tp12761 +a(g198 +V, +tp12762 +a(g185 +V +tp12763 +a(g222 +V" +tp12764 +a(g222 +Vwrong number of arguments (%d for 2) +p12765 +tp12766 +a(g222 +V" +tp12767 +a(g198 +V, +tp12768 +a(g185 +V +tp12769 +a(g18 +Vargc +p12770 +tp12771 +a(g198 +V) +tp12772 +a(g198 +V; +tp12773 +a(g185 +V\u000a +tp12774 +a(g185 +V +p12775 +tp12776 +a(g185 +V +tp12777 +a(g198 +V} +tp12778 +a(g185 +V\u000a +tp12779 +a(g185 +V +p12780 +tp12781 +a(g111 +Vif +p12782 +tp12783 +a(g185 +V +tp12784 +a(g198 +V( +tp12785 +a(g18 +VFIXNUM_P +p12786 +tp12787 +a(g198 +V( +tp12788 +a(g18 +Vargv +p12789 +tp12790 +a(g198 +V[ +tp12791 +a(g315 +V0 +tp12792 +a(g198 +V] +tp12793 +a(g198 +V) +tp12794 +a(g198 +V) +tp12795 +a(g185 +V +tp12796 +a(g198 +V{ +tp12797 +a(g185 +V\u000a +tp12798 +a(g185 +V +tp12799 +a(g18 +Voffset +p12800 +tp12801 +a(g185 +V +tp12802 +a(g340 +V= +tp12803 +a(g185 +V +tp12804 +a(g18 +VFIX2LONG +p12805 +tp12806 +a(g198 +V( +tp12807 +a(g18 +Vargv +p12808 +tp12809 +a(g198 +V[ +tp12810 +a(g315 +V0 +tp12811 +a(g198 +V] +tp12812 +a(g198 +V) +tp12813 +a(g198 +V; +tp12814 +a(g185 +V\u000a +tp12815 +a(g185 +V +tp12816 +a(g185 +V +tp12817 +a(g111 +Vgoto +p12818 +tp12819 +a(g185 +V +tp12820 +a(g18 +Vfixnum +p12821 +tp12822 +a(g198 +V; +tp12823 +a(g185 +V\u000a +tp12824 +a(g185 +V +p12825 +tp12826 +a(g185 +V +tp12827 +a(g198 +V} +tp12828 +a(g185 +V\u000a +tp12829 +a(g185 +V +p12830 +tp12831 +a(g111 +Vif +p12832 +tp12833 +a(g185 +V +tp12834 +a(g198 +V( +tp12835 +a(g18 +Vrb_range_beg_len +p12836 +tp12837 +a(g198 +V( +tp12838 +a(g18 +Vargv +p12839 +tp12840 +a(g198 +V[ +tp12841 +a(g315 +V0 +tp12842 +a(g198 +V] +tp12843 +a(g198 +V, +tp12844 +a(g185 +V +tp12845 +a(g340 +V& +tp12846 +a(g18 +Vbeg +p12847 +tp12848 +a(g198 +V, +tp12849 +a(g185 +V +tp12850 +a(g340 +V& +tp12851 +a(g18 +Vlen +p12852 +tp12853 +a(g198 +V, +tp12854 +a(g185 +V +tp12855 +a(g18 +VRARRAY +p12856 +tp12857 +a(g198 +V( +tp12858 +a(g18 +Vary +p12859 +tp12860 +a(g198 +V) +tp12861 +a(g340 +V- +tp12862 +a(g340 +V> +tp12863 +a(g18 +Vlen +p12864 +tp12865 +a(g198 +V, +tp12866 +a(g185 +V +tp12867 +a(g315 +V1 +tp12868 +a(g198 +V) +tp12869 +a(g198 +V) +tp12870 +a(g185 +V +tp12871 +a(g198 +V{ +tp12872 +a(g185 +V\u000a +tp12873 +a(g185 +V +tp12874 +a(g354 +V/* check if idx is Range */ +p12875 +tp12876 +a(g185 +V\u000a +tp12877 +a(g185 +V +tp12878 +a(g18 +Vrb_ary_splice +p12879 +tp12880 +a(g198 +V( +tp12881 +a(g18 +Vary +p12882 +tp12883 +a(g198 +V, +tp12884 +a(g185 +V +tp12885 +a(g18 +Vbeg +p12886 +tp12887 +a(g198 +V, +tp12888 +a(g185 +V +tp12889 +a(g18 +Vlen +p12890 +tp12891 +a(g198 +V, +tp12892 +a(g185 +V +tp12893 +a(g18 +Vargv +p12894 +tp12895 +a(g198 +V[ +tp12896 +a(g315 +V1 +tp12897 +a(g198 +V] +tp12898 +a(g198 +V) +tp12899 +a(g198 +V; +tp12900 +a(g185 +V\u000a +tp12901 +a(g185 +V +tp12902 +a(g185 +V +tp12903 +a(g111 +Vreturn +p12904 +tp12905 +a(g185 +V +tp12906 +a(g18 +Vargv +p12907 +tp12908 +a(g198 +V[ +tp12909 +a(g315 +V1 +tp12910 +a(g198 +V] +tp12911 +a(g198 +V; +tp12912 +a(g185 +V\u000a +tp12913 +a(g185 +V +p12914 +tp12915 +a(g185 +V +tp12916 +a(g198 +V} +tp12917 +a(g185 +V\u000a +tp12918 +a(g185 +V\u000a +tp12919 +a(g185 +V +p12920 +tp12921 +a(g18 +Voffset +p12922 +tp12923 +a(g185 +V +tp12924 +a(g340 +V= +tp12925 +a(g185 +V +tp12926 +a(g18 +VNUM2LONG +p12927 +tp12928 +a(g198 +V( +tp12929 +a(g18 +Vargv +p12930 +tp12931 +a(g198 +V[ +tp12932 +a(g315 +V0 +tp12933 +a(g198 +V] +tp12934 +a(g198 +V) +tp12935 +a(g198 +V; +tp12936 +a(g185 +V\u000a +tp12937 +a(g45 +Vfixnum: +p12938 +tp12939 +a(g185 +V\u000a +tp12940 +a(g185 +V +p12941 +tp12942 +a(g185 +V +tp12943 +a(g18 +Vrb_ary_store +p12944 +tp12945 +a(g198 +V( +tp12946 +a(g18 +Vary +p12947 +tp12948 +a(g198 +V, +tp12949 +a(g185 +V +tp12950 +a(g18 +Voffset +p12951 +tp12952 +a(g198 +V, +tp12953 +a(g185 +V +tp12954 +a(g18 +Vargv +p12955 +tp12956 +a(g198 +V[ +tp12957 +a(g315 +V1 +tp12958 +a(g198 +V] +tp12959 +a(g198 +V) +tp12960 +a(g198 +V; +tp12961 +a(g185 +V\u000a +tp12962 +a(g185 +V +p12963 +tp12964 +a(g185 +V +tp12965 +a(g111 +Vreturn +p12966 +tp12967 +a(g185 +V +tp12968 +a(g18 +Vargv +p12969 +tp12970 +a(g198 +V[ +tp12971 +a(g315 +V1 +tp12972 +a(g198 +V] +tp12973 +a(g198 +V; +tp12974 +a(g185 +V\u000a +tp12975 +a(g185 +V +tp12976 +a(g198 +V} +tp12977 +a(g185 +V\u000a +tp12978 +a(g185 +V\u000a +tp12979 +a(g354 +V/*\u000a * call-seq:\u000a * array.insert(index, obj...) -> array\u000a * \u000a * Inserts the given values before the element with the given index\u000a * (which may be negative).\u000a * \u000a * a = %w{ a b c d }\u000a * a.insert(2, 99) #=> ["a", "b", 99, "c", "d"]\u000a * a.insert(-2, 1, 2, 3) #=> ["a", "b", 99, "c", 1, 2, 3, "d"]\u000a */ +p12980 +tp12981 +a(g185 +V\u000a +tp12982 +a(g185 +V\u000a +tp12983 +a(g111 +Vstatic +p12984 +tp12985 +a(g185 +V +tp12986 +a(g18 +VVALUE +p12987 +tp12988 +a(g185 +V\u000a +tp12989 +a(g18 +Vrb_ary_insert +p12990 +tp12991 +a(g198 +V( +tp12992 +a(g18 +Vargc +p12993 +tp12994 +a(g198 +V, +tp12995 +a(g185 +V +tp12996 +a(g18 +Vargv +p12997 +tp12998 +a(g198 +V, +tp12999 +a(g185 +V +tp13000 +a(g18 +Vary +p13001 +tp13002 +a(g198 +V) +tp13003 +a(g185 +V\u000a +tp13004 +a(g185 +V +p13005 +tp13006 +a(g135 +Vint +p13007 +tp13008 +a(g185 +V +tp13009 +a(g18 +Vargc +p13010 +tp13011 +a(g198 +V; +tp13012 +a(g185 +V\u000a +tp13013 +a(g185 +V +p13014 +tp13015 +a(g185 +V +tp13016 +a(g18 +VVALUE +p13017 +tp13018 +a(g185 +V +tp13019 +a(g340 +V* +tp13020 +a(g18 +Vargv +p13021 +tp13022 +a(g198 +V; +tp13023 +a(g185 +V\u000a +tp13024 +a(g185 +V +p13025 +tp13026 +a(g185 +V +tp13027 +a(g18 +VVALUE +p13028 +tp13029 +a(g185 +V +tp13030 +a(g18 +Vary +p13031 +tp13032 +a(g198 +V; +tp13033 +a(g185 +V\u000a +tp13034 +a(g185 +V +tp13035 +a(g198 +V{ +tp13036 +a(g185 +V\u000a +tp13037 +a(g185 +V +p13038 +tp13039 +a(g135 +Vlong +p13040 +tp13041 +a(g185 +V +tp13042 +a(g18 +Vpos +p13043 +tp13044 +a(g198 +V; +tp13045 +a(g185 +V\u000a +tp13046 +a(g185 +V\u000a +tp13047 +a(g185 +V +p13048 +tp13049 +a(g185 +V +tp13050 +a(g111 +Vif +p13051 +tp13052 +a(g185 +V +tp13053 +a(g198 +V( +tp13054 +a(g18 +Vargc +p13055 +tp13056 +a(g185 +V +tp13057 +a(g340 +V< +tp13058 +a(g185 +V +tp13059 +a(g315 +V1 +tp13060 +a(g198 +V) +tp13061 +a(g185 +V +tp13062 +a(g198 +V{ +tp13063 +a(g185 +V\u000a +tp13064 +a(g185 +V +tp13065 +a(g18 +Vrb_raise +p13066 +tp13067 +a(g198 +V( +tp13068 +a(g18 +Vrb_eArgError +p13069 +tp13070 +a(g198 +V, +tp13071 +a(g185 +V +tp13072 +a(g222 +V" +tp13073 +a(g222 +Vwrong number of arguments (at least 1) +p13074 +tp13075 +a(g222 +V" +tp13076 +a(g198 +V) +tp13077 +a(g198 +V; +tp13078 +a(g185 +V\u000a +tp13079 +a(g185 +V +p13080 +tp13081 +a(g185 +V +tp13082 +a(g198 +V} +tp13083 +a(g185 +V\u000a +tp13084 +a(g185 +V +p13085 +tp13086 +a(g18 +Vpos +p13087 +tp13088 +a(g185 +V +tp13089 +a(g340 +V= +tp13090 +a(g185 +V +tp13091 +a(g18 +VNUM2LONG +p13092 +tp13093 +a(g198 +V( +tp13094 +a(g18 +Vargv +p13095 +tp13096 +a(g198 +V[ +tp13097 +a(g315 +V0 +tp13098 +a(g198 +V] +tp13099 +a(g198 +V) +tp13100 +a(g198 +V; +tp13101 +a(g185 +V\u000a +tp13102 +a(g185 +V +p13103 +tp13104 +a(g185 +V +tp13105 +a(g111 +Vif +p13106 +tp13107 +a(g185 +V +tp13108 +a(g198 +V( +tp13109 +a(g18 +Vpos +p13110 +tp13111 +a(g185 +V +tp13112 +a(g340 +V= +tp13113 +a(g340 +V= +tp13114 +a(g185 +V +tp13115 +a(g340 +V- +tp13116 +a(g315 +V1 +tp13117 +a(g198 +V) +tp13118 +a(g185 +V +tp13119 +a(g198 +V{ +tp13120 +a(g185 +V\u000a +tp13121 +a(g185 +V +tp13122 +a(g18 +Vpos +p13123 +tp13124 +a(g185 +V +tp13125 +a(g340 +V= +tp13126 +a(g185 +V +tp13127 +a(g18 +VRARRAY +p13128 +tp13129 +a(g198 +V( +tp13130 +a(g18 +Vary +p13131 +tp13132 +a(g198 +V) +tp13133 +a(g340 +V- +tp13134 +a(g340 +V> +tp13135 +a(g18 +Vlen +p13136 +tp13137 +a(g198 +V; +tp13138 +a(g185 +V\u000a +tp13139 +a(g185 +V +p13140 +tp13141 +a(g185 +V +tp13142 +a(g198 +V} +tp13143 +a(g185 +V\u000a +tp13144 +a(g185 +V +p13145 +tp13146 +a(g111 +Velse +p13147 +tp13148 +a(g185 +V +tp13149 +a(g111 +Vif +p13150 +tp13151 +a(g185 +V +tp13152 +a(g198 +V( +tp13153 +a(g18 +Vpos +p13154 +tp13155 +a(g185 +V +tp13156 +a(g340 +V< +tp13157 +a(g185 +V +tp13158 +a(g315 +V0 +tp13159 +a(g198 +V) +tp13160 +a(g185 +V +tp13161 +a(g198 +V{ +tp13162 +a(g185 +V\u000a +tp13163 +a(g185 +V +tp13164 +a(g18 +Vpos +p13165 +tp13166 +a(g340 +V+ +tp13167 +a(g340 +V+ +tp13168 +a(g198 +V; +tp13169 +a(g185 +V\u000a +tp13170 +a(g185 +V +p13171 +tp13172 +a(g185 +V +tp13173 +a(g198 +V} +tp13174 +a(g185 +V\u000a +tp13175 +a(g185 +V\u000a +tp13176 +a(g185 +V +p13177 +tp13178 +a(g111 +Vif +p13179 +tp13180 +a(g185 +V +tp13181 +a(g198 +V( +tp13182 +a(g18 +Vargc +p13183 +tp13184 +a(g185 +V +tp13185 +a(g340 +V= +tp13186 +a(g340 +V= +tp13187 +a(g185 +V +tp13188 +a(g315 +V1 +tp13189 +a(g198 +V) +tp13190 +a(g185 +V +tp13191 +a(g111 +Vreturn +p13192 +tp13193 +a(g185 +V +tp13194 +a(g18 +Vary +p13195 +tp13196 +a(g198 +V; +tp13197 +a(g185 +V\u000a +tp13198 +a(g185 +V +p13199 +tp13200 +a(g185 +V +tp13201 +a(g18 +Vrb_ary_splice +p13202 +tp13203 +a(g198 +V( +tp13204 +a(g18 +Vary +p13205 +tp13206 +a(g198 +V, +tp13207 +a(g185 +V +tp13208 +a(g18 +Vpos +p13209 +tp13210 +a(g198 +V, +tp13211 +a(g185 +V +tp13212 +a(g315 +V0 +tp13213 +a(g198 +V, +tp13214 +a(g185 +V +tp13215 +a(g18 +Vrb_ary_new4 +p13216 +tp13217 +a(g198 +V( +tp13218 +a(g18 +Vargc +p13219 +tp13220 +a(g185 +V +tp13221 +a(g340 +V- +tp13222 +a(g185 +V +tp13223 +a(g315 +V1 +tp13224 +a(g198 +V, +tp13225 +a(g185 +V +tp13226 +a(g18 +Vargv +p13227 +tp13228 +a(g185 +V +tp13229 +a(g340 +V+ +tp13230 +a(g185 +V +tp13231 +a(g315 +V1 +tp13232 +a(g198 +V) +tp13233 +a(g198 +V) +tp13234 +a(g198 +V; +tp13235 +a(g185 +V\u000a +tp13236 +a(g185 +V +p13237 +tp13238 +a(g185 +V +tp13239 +a(g111 +Vreturn +p13240 +tp13241 +a(g185 +V +tp13242 +a(g18 +Vary +p13243 +tp13244 +a(g198 +V; +tp13245 +a(g185 +V\u000a +tp13246 +a(g185 +V +tp13247 +a(g198 +V} +tp13248 +a(g185 +V\u000a +tp13249 +a(g185 +V\u000a +tp13250 +a(g354 +V/*\u000a * call-seq:\u000a * array.each {|item| block } -> array\u000a * \u000a * Calls block once for each element in self, passing that\u000a * element as a parameter.\u000a * \u000a * a = [ "a", "b", "c" ]\u000a * a.each {|x| print x, " -- " }\u000a * \u000a * produces:\u000a * \u000a * a -- b -- c --\u000a */ +p13251 +tp13252 +a(g185 +V\u000a +tp13253 +a(g185 +V\u000a +tp13254 +a(g18 +VVALUE +p13255 +tp13256 +a(g185 +V\u000a +tp13257 +a(g18 +Vrb_ary_each +p13258 +tp13259 +a(g198 +V( +tp13260 +a(g18 +Vary +p13261 +tp13262 +a(g198 +V) +tp13263 +a(g185 +V\u000a +tp13264 +a(g185 +V +p13265 +tp13266 +a(g18 +VVALUE +p13267 +tp13268 +a(g185 +V +tp13269 +a(g18 +Vary +p13270 +tp13271 +a(g198 +V; +tp13272 +a(g185 +V\u000a +tp13273 +a(g185 +V +tp13274 +a(g198 +V{ +tp13275 +a(g185 +V\u000a +tp13276 +a(g185 +V +p13277 +tp13278 +a(g135 +Vlong +p13279 +tp13280 +a(g185 +V +tp13281 +a(g18 +Vi +tp13282 +a(g198 +V; +tp13283 +a(g185 +V\u000a +tp13284 +a(g185 +V\u000a +tp13285 +a(g185 +V +p13286 +tp13287 +a(g185 +V +tp13288 +a(g111 +Vfor +p13289 +tp13290 +a(g185 +V +tp13291 +a(g198 +V( +tp13292 +a(g18 +Vi +tp13293 +a(g340 +V= +tp13294 +a(g315 +V0 +tp13295 +a(g198 +V; +tp13296 +a(g185 +V +tp13297 +a(g185 +V +tp13298 +a(g18 +Vi +tp13299 +a(g340 +V< +tp13300 +a(g18 +VRARRAY +p13301 +tp13302 +a(g198 +V( +tp13303 +a(g18 +Vary +p13304 +tp13305 +a(g198 +V) +tp13306 +a(g340 +V- +tp13307 +a(g340 +V> +tp13308 +a(g18 +Vlen +p13309 +tp13310 +a(g198 +V; +tp13311 +a(g185 +V +tp13312 +a(g185 +V +tp13313 +a(g18 +Vi +tp13314 +a(g340 +V+ +tp13315 +a(g340 +V+ +tp13316 +a(g198 +V) +tp13317 +a(g185 +V +tp13318 +a(g198 +V{ +tp13319 +a(g185 +V\u000a +tp13320 +a(g185 +V +tp13321 +a(g18 +Vrb_yield +p13322 +tp13323 +a(g198 +V( +tp13324 +a(g18 +VRARRAY +p13325 +tp13326 +a(g198 +V( +tp13327 +a(g18 +Vary +p13328 +tp13329 +a(g198 +V) +tp13330 +a(g340 +V- +tp13331 +a(g340 +V> +tp13332 +a(g18 +Vptr +p13333 +tp13334 +a(g198 +V[ +tp13335 +a(g18 +Vi +tp13336 +a(g198 +V] +tp13337 +a(g198 +V) +tp13338 +a(g198 +V; +tp13339 +a(g185 +V\u000a +tp13340 +a(g185 +V +p13341 +tp13342 +a(g185 +V +tp13343 +a(g198 +V} +tp13344 +a(g185 +V\u000a +tp13345 +a(g185 +V +p13346 +tp13347 +a(g111 +Vreturn +p13348 +tp13349 +a(g185 +V +tp13350 +a(g18 +Vary +p13351 +tp13352 +a(g198 +V; +tp13353 +a(g185 +V\u000a +tp13354 +a(g185 +V +tp13355 +a(g198 +V} +tp13356 +a(g185 +V\u000a +tp13357 +a(g185 +V\u000a +tp13358 +a(g354 +V/*\u000a * call-seq:\u000a * array.each_index {|index| block } -> array\u000a * \u000a * Same as Array#each, but passes the index of the element\u000a * instead of the element itself.\u000a * \u000a * a = [ "a", "b", "c" ]\u000a * a.each_index {|x| print x, " -- " }\u000a * \u000a * produces:\u000a * \u000a * 0 -- 1 -- 2 --\u000a */ +p13359 +tp13360 +a(g185 +V\u000a +tp13361 +a(g185 +V\u000a +tp13362 +a(g111 +Vstatic +p13363 +tp13364 +a(g185 +V +tp13365 +a(g18 +VVALUE +p13366 +tp13367 +a(g185 +V\u000a +tp13368 +a(g18 +Vrb_ary_each_index +p13369 +tp13370 +a(g198 +V( +tp13371 +a(g18 +Vary +p13372 +tp13373 +a(g198 +V) +tp13374 +a(g185 +V\u000a +tp13375 +a(g185 +V +p13376 +tp13377 +a(g18 +VVALUE +p13378 +tp13379 +a(g185 +V +tp13380 +a(g18 +Vary +p13381 +tp13382 +a(g198 +V; +tp13383 +a(g185 +V\u000a +tp13384 +a(g185 +V +tp13385 +a(g198 +V{ +tp13386 +a(g185 +V\u000a +tp13387 +a(g185 +V +p13388 +tp13389 +a(g135 +Vlong +p13390 +tp13391 +a(g185 +V +tp13392 +a(g18 +Vi +tp13393 +a(g198 +V; +tp13394 +a(g185 +V\u000a +tp13395 +a(g185 +V\u000a +tp13396 +a(g185 +V +p13397 +tp13398 +a(g185 +V +tp13399 +a(g111 +Vfor +p13400 +tp13401 +a(g185 +V +tp13402 +a(g198 +V( +tp13403 +a(g18 +Vi +tp13404 +a(g340 +V= +tp13405 +a(g315 +V0 +tp13406 +a(g198 +V; +tp13407 +a(g185 +V +tp13408 +a(g185 +V +tp13409 +a(g18 +Vi +tp13410 +a(g340 +V< +tp13411 +a(g18 +VRARRAY +p13412 +tp13413 +a(g198 +V( +tp13414 +a(g18 +Vary +p13415 +tp13416 +a(g198 +V) +tp13417 +a(g340 +V- +tp13418 +a(g340 +V> +tp13419 +a(g18 +Vlen +p13420 +tp13421 +a(g198 +V; +tp13422 +a(g185 +V +tp13423 +a(g185 +V +tp13424 +a(g18 +Vi +tp13425 +a(g340 +V+ +tp13426 +a(g340 +V+ +tp13427 +a(g198 +V) +tp13428 +a(g185 +V +tp13429 +a(g198 +V{ +tp13430 +a(g185 +V\u000a +tp13431 +a(g185 +V +tp13432 +a(g18 +Vrb_yield +p13433 +tp13434 +a(g198 +V( +tp13435 +a(g18 +VLONG2NUM +p13436 +tp13437 +a(g198 +V( +tp13438 +a(g18 +Vi +tp13439 +a(g198 +V) +tp13440 +a(g198 +V) +tp13441 +a(g198 +V; +tp13442 +a(g185 +V\u000a +tp13443 +a(g185 +V +p13444 +tp13445 +a(g185 +V +tp13446 +a(g198 +V} +tp13447 +a(g185 +V\u000a +tp13448 +a(g185 +V +p13449 +tp13450 +a(g111 +Vreturn +p13451 +tp13452 +a(g185 +V +tp13453 +a(g18 +Vary +p13454 +tp13455 +a(g198 +V; +tp13456 +a(g185 +V\u000a +tp13457 +a(g185 +V +tp13458 +a(g198 +V} +tp13459 +a(g185 +V\u000a +tp13460 +a(g185 +V\u000a +tp13461 +a(g354 +V/*\u000a * call-seq:\u000a * array.reverse_each {|item| block } \u000a * \u000a * Same as Array#each, but traverses self in reverse\u000a * order.\u000a * \u000a * a = [ "a", "b", "c" ]\u000a * a.reverse_each {|x| print x, " " }\u000a * \u000a * produces:\u000a * \u000a * c b a\u000a */ +p13462 +tp13463 +a(g185 +V\u000a +tp13464 +a(g185 +V\u000a +tp13465 +a(g111 +Vstatic +p13466 +tp13467 +a(g185 +V +tp13468 +a(g18 +VVALUE +p13469 +tp13470 +a(g185 +V\u000a +tp13471 +a(g18 +Vrb_ary_reverse_each +p13472 +tp13473 +a(g198 +V( +tp13474 +a(g18 +Vary +p13475 +tp13476 +a(g198 +V) +tp13477 +a(g185 +V\u000a +tp13478 +a(g185 +V +p13479 +tp13480 +a(g18 +VVALUE +p13481 +tp13482 +a(g185 +V +tp13483 +a(g18 +Vary +p13484 +tp13485 +a(g198 +V; +tp13486 +a(g185 +V\u000a +tp13487 +a(g185 +V +tp13488 +a(g198 +V{ +tp13489 +a(g185 +V\u000a +tp13490 +a(g185 +V +p13491 +tp13492 +a(g135 +Vlong +p13493 +tp13494 +a(g185 +V +tp13495 +a(g18 +Vlen +p13496 +tp13497 +a(g185 +V +tp13498 +a(g340 +V= +tp13499 +a(g185 +V +tp13500 +a(g18 +VRARRAY +p13501 +tp13502 +a(g198 +V( +tp13503 +a(g18 +Vary +p13504 +tp13505 +a(g198 +V) +tp13506 +a(g340 +V- +tp13507 +a(g340 +V> +tp13508 +a(g18 +Vlen +p13509 +tp13510 +a(g198 +V; +tp13511 +a(g185 +V\u000a +tp13512 +a(g185 +V\u000a +tp13513 +a(g185 +V +p13514 +tp13515 +a(g185 +V +tp13516 +a(g111 +Vwhile +p13517 +tp13518 +a(g185 +V +tp13519 +a(g198 +V( +tp13520 +a(g18 +Vlen +p13521 +tp13522 +a(g340 +V- +tp13523 +a(g340 +V- +tp13524 +a(g198 +V) +tp13525 +a(g185 +V +tp13526 +a(g198 +V{ +tp13527 +a(g185 +V\u000a +tp13528 +a(g185 +V +tp13529 +a(g18 +Vrb_yield +p13530 +tp13531 +a(g198 +V( +tp13532 +a(g18 +VRARRAY +p13533 +tp13534 +a(g198 +V( +tp13535 +a(g18 +Vary +p13536 +tp13537 +a(g198 +V) +tp13538 +a(g340 +V- +tp13539 +a(g340 +V> +tp13540 +a(g18 +Vptr +p13541 +tp13542 +a(g198 +V[ +tp13543 +a(g18 +Vlen +p13544 +tp13545 +a(g198 +V] +tp13546 +a(g198 +V) +tp13547 +a(g198 +V; +tp13548 +a(g185 +V\u000a +tp13549 +a(g185 +V +tp13550 +a(g185 +V +tp13551 +a(g111 +Vif +p13552 +tp13553 +a(g185 +V +tp13554 +a(g198 +V( +tp13555 +a(g18 +VRARRAY +p13556 +tp13557 +a(g198 +V( +tp13558 +a(g18 +Vary +p13559 +tp13560 +a(g198 +V) +tp13561 +a(g340 +V- +tp13562 +a(g340 +V> +tp13563 +a(g18 +Vlen +p13564 +tp13565 +a(g185 +V +tp13566 +a(g340 +V< +tp13567 +a(g185 +V +tp13568 +a(g18 +Vlen +p13569 +tp13570 +a(g198 +V) +tp13571 +a(g185 +V +tp13572 +a(g198 +V{ +tp13573 +a(g185 +V\u000a +tp13574 +a(g185 +V +p13575 +tp13576 +a(g18 +Vlen +p13577 +tp13578 +a(g185 +V +tp13579 +a(g340 +V= +tp13580 +a(g185 +V +tp13581 +a(g18 +VRARRAY +p13582 +tp13583 +a(g198 +V( +tp13584 +a(g18 +Vary +p13585 +tp13586 +a(g198 +V) +tp13587 +a(g340 +V- +tp13588 +a(g340 +V> +tp13589 +a(g18 +Vlen +p13590 +tp13591 +a(g198 +V; +tp13592 +a(g185 +V\u000a +tp13593 +a(g185 +V +tp13594 +a(g185 +V +tp13595 +a(g198 +V} +tp13596 +a(g185 +V\u000a +tp13597 +a(g185 +V +p13598 +tp13599 +a(g198 +V} +tp13600 +a(g185 +V\u000a +tp13601 +a(g185 +V +p13602 +tp13603 +a(g111 +Vreturn +p13604 +tp13605 +a(g185 +V +tp13606 +a(g18 +Vary +p13607 +tp13608 +a(g198 +V; +tp13609 +a(g185 +V\u000a +tp13610 +a(g185 +V +tp13611 +a(g198 +V} +tp13612 +a(g185 +V\u000a +tp13613 +a(g185 +V\u000a +tp13614 +a(g354 +V/*\u000a * call-seq:\u000a * array.length -> int\u000a * \u000a * Returns the number of elements in self. May be zero.\u000a * \u000a * [ 1, 2, 3, 4, 5 ].length #=> 5\u000a */ +p13615 +tp13616 +a(g185 +V\u000a +tp13617 +a(g185 +V\u000a +tp13618 +a(g111 +Vstatic +p13619 +tp13620 +a(g185 +V +tp13621 +a(g18 +VVALUE +p13622 +tp13623 +a(g185 +V\u000a +tp13624 +a(g18 +Vrb_ary_length +p13625 +tp13626 +a(g198 +V( +tp13627 +a(g18 +Vary +p13628 +tp13629 +a(g198 +V) +tp13630 +a(g185 +V\u000a +tp13631 +a(g185 +V +p13632 +tp13633 +a(g18 +VVALUE +p13634 +tp13635 +a(g185 +V +tp13636 +a(g18 +Vary +p13637 +tp13638 +a(g198 +V; +tp13639 +a(g185 +V\u000a +tp13640 +a(g185 +V +tp13641 +a(g198 +V{ +tp13642 +a(g185 +V\u000a +tp13643 +a(g185 +V +p13644 +tp13645 +a(g111 +Vreturn +p13646 +tp13647 +a(g185 +V +tp13648 +a(g18 +VLONG2NUM +p13649 +tp13650 +a(g198 +V( +tp13651 +a(g18 +VRARRAY +p13652 +tp13653 +a(g198 +V( +tp13654 +a(g18 +Vary +p13655 +tp13656 +a(g198 +V) +tp13657 +a(g340 +V- +tp13658 +a(g340 +V> +tp13659 +a(g18 +Vlen +p13660 +tp13661 +a(g198 +V) +tp13662 +a(g198 +V; +tp13663 +a(g185 +V\u000a +tp13664 +a(g185 +V +tp13665 +a(g198 +V} +tp13666 +a(g185 +V\u000a +tp13667 +a(g185 +V\u000a +tp13668 +a(g354 +V/*\u000a * call-seq:\u000a * array.empty? -> true or false\u000a * \u000a * Returns true if self array contains no elements.\u000a * \u000a * [].empty? #=> true\u000a */ +p13669 +tp13670 +a(g185 +V\u000a +tp13671 +a(g185 +V\u000a +tp13672 +a(g111 +Vstatic +p13673 +tp13674 +a(g185 +V +tp13675 +a(g18 +VVALUE +p13676 +tp13677 +a(g185 +V\u000a +tp13678 +a(g18 +Vrb_ary_empty_p +p13679 +tp13680 +a(g198 +V( +tp13681 +a(g18 +Vary +p13682 +tp13683 +a(g198 +V) +tp13684 +a(g185 +V\u000a +tp13685 +a(g185 +V +p13686 +tp13687 +a(g18 +VVALUE +p13688 +tp13689 +a(g185 +V +tp13690 +a(g18 +Vary +p13691 +tp13692 +a(g198 +V; +tp13693 +a(g185 +V\u000a +tp13694 +a(g185 +V +tp13695 +a(g198 +V{ +tp13696 +a(g185 +V\u000a +tp13697 +a(g185 +V +p13698 +tp13699 +a(g111 +Vif +p13700 +tp13701 +a(g185 +V +tp13702 +a(g198 +V( +tp13703 +a(g18 +VRARRAY +p13704 +tp13705 +a(g198 +V( +tp13706 +a(g18 +Vary +p13707 +tp13708 +a(g198 +V) +tp13709 +a(g340 +V- +tp13710 +a(g340 +V> +tp13711 +a(g18 +Vlen +p13712 +tp13713 +a(g185 +V +tp13714 +a(g340 +V= +tp13715 +a(g340 +V= +tp13716 +a(g185 +V +tp13717 +a(g315 +V0 +tp13718 +a(g198 +V) +tp13719 +a(g185 +V\u000a +tp13720 +a(g185 +V +tp13721 +a(g111 +Vreturn +p13722 +tp13723 +a(g185 +V +tp13724 +a(g18 +VQtrue +p13725 +tp13726 +a(g198 +V; +tp13727 +a(g185 +V\u000a +tp13728 +a(g185 +V +p13729 +tp13730 +a(g185 +V +tp13731 +a(g111 +Vreturn +p13732 +tp13733 +a(g185 +V +tp13734 +a(g18 +VQfalse +p13735 +tp13736 +a(g198 +V; +tp13737 +a(g185 +V\u000a +tp13738 +a(g185 +V +tp13739 +a(g198 +V} +tp13740 +a(g185 +V\u000a +tp13741 +a(g185 +V\u000a +tp13742 +a(g18 +VVALUE +p13743 +tp13744 +a(g185 +V\u000a +tp13745 +a(g18 +Vrb_ary_dup +p13746 +tp13747 +a(g198 +V( +tp13748 +a(g18 +Vary +p13749 +tp13750 +a(g198 +V) +tp13751 +a(g185 +V\u000a +tp13752 +a(g185 +V +p13753 +tp13754 +a(g18 +VVALUE +p13755 +tp13756 +a(g185 +V +tp13757 +a(g18 +Vary +p13758 +tp13759 +a(g198 +V; +tp13760 +a(g185 +V\u000a +tp13761 +a(g185 +V +tp13762 +a(g198 +V{ +tp13763 +a(g185 +V\u000a +tp13764 +a(g185 +V +p13765 +tp13766 +a(g18 +VVALUE +p13767 +tp13768 +a(g185 +V +tp13769 +a(g18 +Vdup +p13770 +tp13771 +a(g185 +V +tp13772 +a(g340 +V= +tp13773 +a(g185 +V +tp13774 +a(g18 +Vrb_ary_new2 +p13775 +tp13776 +a(g198 +V( +tp13777 +a(g18 +VRARRAY +p13778 +tp13779 +a(g198 +V( +tp13780 +a(g18 +Vary +p13781 +tp13782 +a(g198 +V) +tp13783 +a(g340 +V- +tp13784 +a(g340 +V> +tp13785 +a(g18 +Vlen +p13786 +tp13787 +a(g198 +V) +tp13788 +a(g198 +V; +tp13789 +a(g185 +V\u000a +tp13790 +a(g185 +V\u000a +tp13791 +a(g185 +V +p13792 +tp13793 +a(g185 +V +tp13794 +a(g18 +VDUPSETUP +p13795 +tp13796 +a(g198 +V( +tp13797 +a(g18 +Vdup +p13798 +tp13799 +a(g198 +V, +tp13800 +a(g185 +V +tp13801 +a(g18 +Vary +p13802 +tp13803 +a(g198 +V) +tp13804 +a(g198 +V; +tp13805 +a(g185 +V\u000a +tp13806 +a(g185 +V +p13807 +tp13808 +a(g185 +V +tp13809 +a(g18 +VMEMCPY +p13810 +tp13811 +a(g198 +V( +tp13812 +a(g18 +VRARRAY +p13813 +tp13814 +a(g198 +V( +tp13815 +a(g18 +Vdup +p13816 +tp13817 +a(g198 +V) +tp13818 +a(g340 +V- +tp13819 +a(g340 +V> +tp13820 +a(g18 +Vptr +p13821 +tp13822 +a(g198 +V, +tp13823 +a(g185 +V +tp13824 +a(g18 +VRARRAY +p13825 +tp13826 +a(g198 +V( +tp13827 +a(g18 +Vary +p13828 +tp13829 +a(g198 +V) +tp13830 +a(g340 +V- +tp13831 +a(g340 +V> +tp13832 +a(g18 +Vptr +p13833 +tp13834 +a(g198 +V, +tp13835 +a(g185 +V +tp13836 +a(g18 +VVALUE +p13837 +tp13838 +a(g198 +V, +tp13839 +a(g185 +V +tp13840 +a(g18 +VRARRAY +p13841 +tp13842 +a(g198 +V( +tp13843 +a(g18 +Vary +p13844 +tp13845 +a(g198 +V) +tp13846 +a(g340 +V- +tp13847 +a(g340 +V> +tp13848 +a(g18 +Vlen +p13849 +tp13850 +a(g198 +V) +tp13851 +a(g198 +V; +tp13852 +a(g185 +V\u000a +tp13853 +a(g185 +V +p13854 +tp13855 +a(g185 +V +tp13856 +a(g18 +VRARRAY +p13857 +tp13858 +a(g198 +V( +tp13859 +a(g18 +Vdup +p13860 +tp13861 +a(g198 +V) +tp13862 +a(g340 +V- +tp13863 +a(g340 +V> +tp13864 +a(g18 +Vlen +p13865 +tp13866 +a(g185 +V +tp13867 +a(g340 +V= +tp13868 +a(g185 +V +tp13869 +a(g18 +VRARRAY +p13870 +tp13871 +a(g198 +V( +tp13872 +a(g18 +Vary +p13873 +tp13874 +a(g198 +V) +tp13875 +a(g340 +V- +tp13876 +a(g340 +V> +tp13877 +a(g18 +Vlen +p13878 +tp13879 +a(g198 +V; +tp13880 +a(g185 +V\u000a +tp13881 +a(g185 +V +p13882 +tp13883 +a(g185 +V +tp13884 +a(g111 +Vreturn +p13885 +tp13886 +a(g185 +V +tp13887 +a(g18 +Vdup +p13888 +tp13889 +a(g198 +V; +tp13890 +a(g185 +V\u000a +tp13891 +a(g185 +V +tp13892 +a(g198 +V} +tp13893 +a(g185 +V\u000a +tp13894 +a(g185 +V\u000a +tp13895 +a(g111 +Vextern +p13896 +tp13897 +a(g185 +V +tp13898 +a(g18 +VVALUE +p13899 +tp13900 +a(g185 +V +tp13901 +a(g18 +Vrb_output_fs +p13902 +tp13903 +a(g198 +V; +tp13904 +a(g185 +V\u000a +tp13905 +a(g185 +V\u000a +tp13906 +a(g185 +V +tp13907 +a(g111 +Vstatic +p13908 +tp13909 +a(g185 +V +tp13910 +a(g18 +VVALUE +p13911 +tp13912 +a(g185 +V\u000a +tp13913 +a(g18 +Vrecursive_join +p13914 +tp13915 +a(g198 +V( +tp13916 +a(g18 +Vary +p13917 +tp13918 +a(g198 +V, +tp13919 +a(g185 +V +tp13920 +a(g18 +Varg +p13921 +tp13922 +a(g198 +V, +tp13923 +a(g185 +V +tp13924 +a(g18 +Vrecur +p13925 +tp13926 +a(g198 +V) +tp13927 +a(g185 +V\u000a +tp13928 +a(g185 +V +p13929 +tp13930 +a(g18 +VVALUE +p13931 +tp13932 +a(g185 +V +tp13933 +a(g18 +Vary +p13934 +tp13935 +a(g198 +V; +tp13936 +a(g185 +V\u000a +tp13937 +a(g185 +V +p13938 +tp13939 +a(g185 +V +tp13940 +a(g18 +VVALUE +p13941 +tp13942 +a(g185 +V +tp13943 +a(g340 +V* +tp13944 +a(g18 +Varg +p13945 +tp13946 +a(g198 +V; +tp13947 +a(g185 +V\u000a +tp13948 +a(g185 +V +p13949 +tp13950 +a(g185 +V +tp13951 +a(g135 +Vint +p13952 +tp13953 +a(g185 +V +tp13954 +a(g18 +Vrecur +p13955 +tp13956 +a(g198 +V; +tp13957 +a(g185 +V\u000a +tp13958 +a(g185 +V +tp13959 +a(g198 +V{ +tp13960 +a(g185 +V\u000a +tp13961 +a(g185 +V +p13962 +tp13963 +a(g111 +Vif +p13964 +tp13965 +a(g185 +V +tp13966 +a(g198 +V( +tp13967 +a(g18 +Vrecur +p13968 +tp13969 +a(g198 +V) +tp13970 +a(g185 +V +tp13971 +a(g198 +V{ +tp13972 +a(g185 +V\u000a +tp13973 +a(g185 +V +tp13974 +a(g111 +Vreturn +p13975 +tp13976 +a(g185 +V +tp13977 +a(g18 +Vrb_str_new2 +p13978 +tp13979 +a(g198 +V( +tp13980 +a(g222 +V" +tp13981 +a(g222 +V[...] +p13982 +tp13983 +a(g222 +V" +tp13984 +a(g198 +V) +tp13985 +a(g198 +V; +tp13986 +a(g185 +V\u000a +tp13987 +a(g185 +V +p13988 +tp13989 +a(g185 +V +tp13990 +a(g198 +V} +tp13991 +a(g185 +V\u000a +tp13992 +a(g185 +V +p13993 +tp13994 +a(g111 +Vreturn +p13995 +tp13996 +a(g185 +V +tp13997 +a(g18 +Vrb_ary_join +p13998 +tp13999 +a(g198 +V( +tp14000 +a(g18 +Varg +p14001 +tp14002 +a(g198 +V[ +tp14003 +a(g315 +V0 +tp14004 +a(g198 +V] +tp14005 +a(g198 +V, +tp14006 +a(g185 +V +tp14007 +a(g18 +Varg +p14008 +tp14009 +a(g198 +V[ +tp14010 +a(g315 +V1 +tp14011 +a(g198 +V] +tp14012 +a(g198 +V) +tp14013 +a(g198 +V; +tp14014 +a(g185 +V\u000a +tp14015 +a(g185 +V +tp14016 +a(g198 +V} +tp14017 +a(g185 +V\u000a +tp14018 +a(g185 +V\u000a +tp14019 +a(g18 +VVALUE +p14020 +tp14021 +a(g185 +V\u000a +tp14022 +a(g18 +Vrb_ary_join +p14023 +tp14024 +a(g198 +V( +tp14025 +a(g18 +Vary +p14026 +tp14027 +a(g198 +V, +tp14028 +a(g185 +V +tp14029 +a(g18 +Vsep +p14030 +tp14031 +a(g198 +V) +tp14032 +a(g185 +V\u000a +tp14033 +a(g185 +V +p14034 +tp14035 +a(g18 +VVALUE +p14036 +tp14037 +a(g185 +V +tp14038 +a(g18 +Vary +p14039 +tp14040 +a(g198 +V, +tp14041 +a(g185 +V +tp14042 +a(g18 +Vsep +p14043 +tp14044 +a(g198 +V; +tp14045 +a(g185 +V\u000a +tp14046 +a(g185 +V +tp14047 +a(g198 +V{ +tp14048 +a(g185 +V\u000a +tp14049 +a(g185 +V +p14050 +tp14051 +a(g135 +Vlong +p14052 +tp14053 +a(g185 +V +tp14054 +a(g18 +Vlen +p14055 +tp14056 +a(g185 +V +tp14057 +a(g340 +V= +tp14058 +a(g185 +V +tp14059 +a(g315 +V1 +tp14060 +a(g198 +V, +tp14061 +a(g185 +V +tp14062 +a(g18 +Vi +tp14063 +a(g198 +V; +tp14064 +a(g185 +V\u000a +tp14065 +a(g185 +V +p14066 +tp14067 +a(g185 +V +tp14068 +a(g135 +Vint +p14069 +tp14070 +a(g185 +V +tp14071 +a(g18 +Vtaint +p14072 +tp14073 +a(g185 +V +tp14074 +a(g340 +V= +tp14075 +a(g185 +V +tp14076 +a(g18 +VQfalse +p14077 +tp14078 +a(g198 +V; +tp14079 +a(g185 +V\u000a +tp14080 +a(g185 +V +p14081 +tp14082 +a(g185 +V +tp14083 +a(g18 +VVALUE +p14084 +tp14085 +a(g185 +V +tp14086 +a(g18 +Vresult +p14087 +tp14088 +a(g198 +V, +tp14089 +a(g185 +V +tp14090 +a(g18 +Vtmp +p14091 +tp14092 +a(g198 +V; +tp14093 +a(g185 +V\u000a +tp14094 +a(g185 +V\u000a +tp14095 +a(g185 +V +p14096 +tp14097 +a(g185 +V +tp14098 +a(g111 +Vif +p14099 +tp14100 +a(g185 +V +tp14101 +a(g198 +V( +tp14102 +a(g18 +VRARRAY +p14103 +tp14104 +a(g198 +V( +tp14105 +a(g18 +Vary +p14106 +tp14107 +a(g198 +V) +tp14108 +a(g340 +V- +tp14109 +a(g340 +V> +tp14110 +a(g18 +Vlen +p14111 +tp14112 +a(g185 +V +tp14113 +a(g340 +V= +tp14114 +a(g340 +V= +tp14115 +a(g185 +V +tp14116 +a(g315 +V0 +tp14117 +a(g198 +V) +tp14118 +a(g185 +V +tp14119 +a(g111 +Vreturn +p14120 +tp14121 +a(g185 +V +tp14122 +a(g18 +Vrb_str_new +p14123 +tp14124 +a(g198 +V( +tp14125 +a(g315 +V0 +tp14126 +a(g198 +V, +tp14127 +a(g185 +V +tp14128 +a(g315 +V0 +tp14129 +a(g198 +V) +tp14130 +a(g198 +V; +tp14131 +a(g185 +V\u000a +tp14132 +a(g185 +V +p14133 +tp14134 +a(g185 +V +tp14135 +a(g111 +Vif +p14136 +tp14137 +a(g185 +V +tp14138 +a(g198 +V( +tp14139 +a(g18 +VOBJ_TAINTED +p14140 +tp14141 +a(g198 +V( +tp14142 +a(g18 +Vary +p14143 +tp14144 +a(g198 +V) +tp14145 +a(g185 +V +tp14146 +a(g340 +V| +tp14147 +a(g340 +V| +tp14148 +a(g185 +V +tp14149 +a(g18 +VOBJ_TAINTED +p14150 +tp14151 +a(g198 +V( +tp14152 +a(g18 +Vsep +p14153 +tp14154 +a(g198 +V) +tp14155 +a(g198 +V) +tp14156 +a(g185 +V +tp14157 +a(g18 +Vtaint +p14158 +tp14159 +a(g185 +V +tp14160 +a(g340 +V= +tp14161 +a(g185 +V +tp14162 +a(g18 +VQtrue +p14163 +tp14164 +a(g198 +V; +tp14165 +a(g185 +V\u000a +tp14166 +a(g185 +V\u000a +tp14167 +a(g185 +V +p14168 +tp14169 +a(g185 +V +tp14170 +a(g111 +Vfor +p14171 +tp14172 +a(g185 +V +tp14173 +a(g198 +V( +tp14174 +a(g18 +Vi +tp14175 +a(g340 +V= +tp14176 +a(g315 +V0 +tp14177 +a(g198 +V; +tp14178 +a(g185 +V +tp14179 +a(g185 +V +tp14180 +a(g18 +Vi +tp14181 +a(g340 +V< +tp14182 +a(g18 +VRARRAY +p14183 +tp14184 +a(g198 +V( +tp14185 +a(g18 +Vary +p14186 +tp14187 +a(g198 +V) +tp14188 +a(g340 +V- +tp14189 +a(g340 +V> +tp14190 +a(g18 +Vlen +p14191 +tp14192 +a(g198 +V; +tp14193 +a(g185 +V +tp14194 +a(g185 +V +tp14195 +a(g18 +Vi +tp14196 +a(g340 +V+ +tp14197 +a(g340 +V+ +tp14198 +a(g198 +V) +tp14199 +a(g185 +V +tp14200 +a(g198 +V{ +tp14201 +a(g185 +V\u000a +tp14202 +a(g185 +V +tp14203 +a(g18 +Vtmp +p14204 +tp14205 +a(g185 +V +tp14206 +a(g340 +V= +tp14207 +a(g185 +V +tp14208 +a(g18 +Vrb_check_string_type +p14209 +tp14210 +a(g198 +V( +tp14211 +a(g18 +VRARRAY +p14212 +tp14213 +a(g198 +V( +tp14214 +a(g18 +Vary +p14215 +tp14216 +a(g198 +V) +tp14217 +a(g340 +V- +tp14218 +a(g340 +V> +tp14219 +a(g18 +Vptr +p14220 +tp14221 +a(g198 +V[ +tp14222 +a(g18 +Vi +tp14223 +a(g198 +V] +tp14224 +a(g198 +V) +tp14225 +a(g198 +V; +tp14226 +a(g185 +V\u000a +tp14227 +a(g185 +V +tp14228 +a(g185 +V +tp14229 +a(g18 +Vlen +p14230 +tp14231 +a(g185 +V +tp14232 +a(g340 +V+ +tp14233 +a(g340 +V= +tp14234 +a(g185 +V +tp14235 +a(g18 +VNIL_P +p14236 +tp14237 +a(g198 +V( +tp14238 +a(g18 +Vtmp +p14239 +tp14240 +a(g198 +V) +tp14241 +a(g185 +V +tp14242 +a(g340 +V? +tp14243 +a(g185 +V +tp14244 +a(g315 +V10 +p14245 +tp14246 +a(g185 +V +tp14247 +a(g340 +V: +tp14248 +a(g185 +V +tp14249 +a(g18 +VRSTRING +p14250 +tp14251 +a(g198 +V( +tp14252 +a(g18 +Vtmp +p14253 +tp14254 +a(g198 +V) +tp14255 +a(g340 +V- +tp14256 +a(g340 +V> +tp14257 +a(g18 +Vlen +p14258 +tp14259 +a(g198 +V; +tp14260 +a(g185 +V\u000a +tp14261 +a(g185 +V +p14262 +tp14263 +a(g185 +V +tp14264 +a(g198 +V} +tp14265 +a(g185 +V\u000a +tp14266 +a(g185 +V +p14267 +tp14268 +a(g111 +Vif +p14269 +tp14270 +a(g185 +V +tp14271 +a(g198 +V( +tp14272 +a(g340 +V! +tp14273 +a(g18 +VNIL_P +p14274 +tp14275 +a(g198 +V( +tp14276 +a(g18 +Vsep +p14277 +tp14278 +a(g198 +V) +tp14279 +a(g198 +V) +tp14280 +a(g185 +V +tp14281 +a(g198 +V{ +tp14282 +a(g185 +V\u000a +tp14283 +a(g185 +V +tp14284 +a(g18 +VStringValue +p14285 +tp14286 +a(g198 +V( +tp14287 +a(g18 +Vsep +p14288 +tp14289 +a(g198 +V) +tp14290 +a(g198 +V; +tp14291 +a(g185 +V\u000a +tp14292 +a(g185 +V +tp14293 +a(g185 +V +tp14294 +a(g18 +Vlen +p14295 +tp14296 +a(g185 +V +tp14297 +a(g340 +V+ +tp14298 +a(g340 +V= +tp14299 +a(g185 +V +tp14300 +a(g18 +VRSTRING +p14301 +tp14302 +a(g198 +V( +tp14303 +a(g18 +Vsep +p14304 +tp14305 +a(g198 +V) +tp14306 +a(g340 +V- +tp14307 +a(g340 +V> +tp14308 +a(g18 +Vlen +p14309 +tp14310 +a(g185 +V +tp14311 +a(g340 +V* +tp14312 +a(g185 +V +tp14313 +a(g198 +V( +tp14314 +a(g18 +VRARRAY +p14315 +tp14316 +a(g198 +V( +tp14317 +a(g18 +Vary +p14318 +tp14319 +a(g198 +V) +tp14320 +a(g340 +V- +tp14321 +a(g340 +V> +tp14322 +a(g18 +Vlen +p14323 +tp14324 +a(g185 +V +tp14325 +a(g340 +V- +tp14326 +a(g185 +V +tp14327 +a(g315 +V1 +tp14328 +a(g198 +V) +tp14329 +a(g198 +V; +tp14330 +a(g185 +V\u000a +tp14331 +a(g185 +V +p14332 +tp14333 +a(g185 +V +tp14334 +a(g198 +V} +tp14335 +a(g185 +V\u000a +tp14336 +a(g185 +V +p14337 +tp14338 +a(g18 +Vresult +p14339 +tp14340 +a(g185 +V +tp14341 +a(g340 +V= +tp14342 +a(g185 +V +tp14343 +a(g18 +Vrb_str_buf_new +p14344 +tp14345 +a(g198 +V( +tp14346 +a(g18 +Vlen +p14347 +tp14348 +a(g198 +V) +tp14349 +a(g198 +V; +tp14350 +a(g185 +V\u000a +tp14351 +a(g185 +V +p14352 +tp14353 +a(g185 +V +tp14354 +a(g111 +Vfor +p14355 +tp14356 +a(g185 +V +tp14357 +a(g198 +V( +tp14358 +a(g18 +Vi +tp14359 +a(g340 +V= +tp14360 +a(g315 +V0 +tp14361 +a(g198 +V; +tp14362 +a(g185 +V +tp14363 +a(g185 +V +tp14364 +a(g18 +Vi +tp14365 +a(g340 +V< +tp14366 +a(g18 +VRARRAY +p14367 +tp14368 +a(g198 +V( +tp14369 +a(g18 +Vary +p14370 +tp14371 +a(g198 +V) +tp14372 +a(g340 +V- +tp14373 +a(g340 +V> +tp14374 +a(g18 +Vlen +p14375 +tp14376 +a(g198 +V; +tp14377 +a(g185 +V +tp14378 +a(g185 +V +tp14379 +a(g18 +Vi +tp14380 +a(g340 +V+ +tp14381 +a(g340 +V+ +tp14382 +a(g198 +V) +tp14383 +a(g185 +V +tp14384 +a(g198 +V{ +tp14385 +a(g185 +V\u000a +tp14386 +a(g185 +V +tp14387 +a(g18 +Vtmp +p14388 +tp14389 +a(g185 +V +tp14390 +a(g340 +V= +tp14391 +a(g185 +V +tp14392 +a(g18 +VRARRAY +p14393 +tp14394 +a(g198 +V( +tp14395 +a(g18 +Vary +p14396 +tp14397 +a(g198 +V) +tp14398 +a(g340 +V- +tp14399 +a(g340 +V> +tp14400 +a(g18 +Vptr +p14401 +tp14402 +a(g198 +V[ +tp14403 +a(g18 +Vi +tp14404 +a(g198 +V] +tp14405 +a(g198 +V; +tp14406 +a(g185 +V\u000a +tp14407 +a(g185 +V +tp14408 +a(g185 +V +tp14409 +a(g111 +Vswitch +p14410 +tp14411 +a(g185 +V +tp14412 +a(g198 +V( +tp14413 +a(g18 +VTYPE +p14414 +tp14415 +a(g198 +V( +tp14416 +a(g18 +Vtmp +p14417 +tp14418 +a(g198 +V) +tp14419 +a(g198 +V) +tp14420 +a(g185 +V +tp14421 +a(g198 +V{ +tp14422 +a(g185 +V\u000a +tp14423 +a(g185 +V +p14424 +tp14425 +a(g111 +Vcase +p14426 +tp14427 +a(g185 +V +tp14428 +a(g185 +V +tp14429 +a(g18 +VT_STRING +p14430 +tp14431 +a(g185 +V: +tp14432 +a(g185 +V\u000a +tp14433 +a(g185 +V +p14434 +tp14435 +a(g111 +Vbreak +p14436 +tp14437 +a(g198 +V; +tp14438 +a(g185 +V\u000a +tp14439 +a(g185 +V +p14440 +tp14441 +a(g185 +V +tp14442 +a(g111 +Vcase +p14443 +tp14444 +a(g185 +V +tp14445 +a(g185 +V +tp14446 +a(g18 +VT_ARRAY +p14447 +tp14448 +a(g185 +V: +tp14449 +a(g185 +V\u000a +tp14450 +a(g185 +V +p14451 +tp14452 +a(g198 +V{ +tp14453 +a(g185 +V\u000a +tp14454 +a(g185 +V +p14455 +tp14456 +a(g18 +VVALUE +p14457 +tp14458 +a(g185 +V +tp14459 +a(g18 +Vargs +p14460 +tp14461 +a(g198 +V[ +tp14462 +a(g315 +V2 +tp14463 +a(g198 +V] +tp14464 +a(g198 +V; +tp14465 +a(g185 +V\u000a +tp14466 +a(g185 +V\u000a +tp14467 +a(g185 +V +p14468 +tp14469 +a(g185 +V +tp14470 +a(g18 +Vargs +p14471 +tp14472 +a(g198 +V[ +tp14473 +a(g315 +V0 +tp14474 +a(g198 +V] +tp14475 +a(g185 +V +tp14476 +a(g340 +V= +tp14477 +a(g185 +V +tp14478 +a(g18 +Vtmp +p14479 +tp14480 +a(g198 +V; +tp14481 +a(g185 +V\u000a +tp14482 +a(g185 +V +p14483 +tp14484 +a(g185 +V +tp14485 +a(g18 +Vargs +p14486 +tp14487 +a(g198 +V[ +tp14488 +a(g315 +V1 +tp14489 +a(g198 +V] +tp14490 +a(g185 +V +tp14491 +a(g340 +V= +tp14492 +a(g185 +V +tp14493 +a(g18 +Vsep +p14494 +tp14495 +a(g198 +V; +tp14496 +a(g185 +V\u000a +tp14497 +a(g185 +V +p14498 +tp14499 +a(g185 +V +tp14500 +a(g18 +Vtmp +p14501 +tp14502 +a(g185 +V +tp14503 +a(g340 +V= +tp14504 +a(g185 +V +tp14505 +a(g18 +Vrb_exec_recursive +p14506 +tp14507 +a(g198 +V( +tp14508 +a(g18 +Vrecursive_join +p14509 +tp14510 +a(g198 +V, +tp14511 +a(g185 +V +tp14512 +a(g18 +Vary +p14513 +tp14514 +a(g198 +V, +tp14515 +a(g185 +V +tp14516 +a(g198 +V( +tp14517 +a(g18 +VVALUE +p14518 +tp14519 +a(g198 +V) +tp14520 +a(g18 +Vargs +p14521 +tp14522 +a(g198 +V) +tp14523 +a(g198 +V; +tp14524 +a(g185 +V\u000a +tp14525 +a(g185 +V +p14526 +tp14527 +a(g185 +V +tp14528 +a(g198 +V} +tp14529 +a(g185 +V\u000a +tp14530 +a(g185 +V +p14531 +tp14532 +a(g111 +Vbreak +p14533 +tp14534 +a(g198 +V; +tp14535 +a(g185 +V\u000a +tp14536 +a(g185 +V +p14537 +tp14538 +a(g45 +Vdefault: +p14539 +tp14540 +a(g185 +V\u000a +tp14541 +a(g185 +V +p14542 +tp14543 +a(g185 +V +tp14544 +a(g18 +Vtmp +p14545 +tp14546 +a(g185 +V +tp14547 +a(g340 +V= +tp14548 +a(g185 +V +tp14549 +a(g18 +Vrb_obj_as_string +p14550 +tp14551 +a(g198 +V( +tp14552 +a(g18 +Vtmp +p14553 +tp14554 +a(g198 +V) +tp14555 +a(g198 +V; +tp14556 +a(g185 +V\u000a +tp14557 +a(g185 +V +tp14558 +a(g185 +V +tp14559 +a(g198 +V} +tp14560 +a(g185 +V\u000a +tp14561 +a(g185 +V +tp14562 +a(g111 +Vif +p14563 +tp14564 +a(g185 +V +tp14565 +a(g198 +V( +tp14566 +a(g18 +Vi +tp14567 +a(g185 +V +tp14568 +a(g340 +V> +tp14569 +a(g185 +V +tp14570 +a(g315 +V0 +tp14571 +a(g185 +V +tp14572 +a(g340 +V& +tp14573 +a(g340 +V& +tp14574 +a(g185 +V +tp14575 +a(g340 +V! +tp14576 +a(g18 +VNIL_P +p14577 +tp14578 +a(g198 +V( +tp14579 +a(g18 +Vsep +p14580 +tp14581 +a(g198 +V) +tp14582 +a(g198 +V) +tp14583 +a(g185 +V\u000a +tp14584 +a(g185 +V +p14585 +tp14586 +a(g18 +Vrb_str_buf_append +p14587 +tp14588 +a(g198 +V( +tp14589 +a(g18 +Vresult +p14590 +tp14591 +a(g198 +V, +tp14592 +a(g185 +V +tp14593 +a(g18 +Vsep +p14594 +tp14595 +a(g198 +V) +tp14596 +a(g198 +V; +tp14597 +a(g185 +V\u000a +tp14598 +a(g185 +V +tp14599 +a(g185 +V +tp14600 +a(g18 +Vrb_str_buf_append +p14601 +tp14602 +a(g198 +V( +tp14603 +a(g18 +Vresult +p14604 +tp14605 +a(g198 +V, +tp14606 +a(g185 +V +tp14607 +a(g18 +Vtmp +p14608 +tp14609 +a(g198 +V) +tp14610 +a(g198 +V; +tp14611 +a(g185 +V\u000a +tp14612 +a(g185 +V +tp14613 +a(g185 +V +tp14614 +a(g111 +Vif +p14615 +tp14616 +a(g185 +V +tp14617 +a(g198 +V( +tp14618 +a(g18 +VOBJ_TAINTED +p14619 +tp14620 +a(g198 +V( +tp14621 +a(g18 +Vtmp +p14622 +tp14623 +a(g198 +V) +tp14624 +a(g198 +V) +tp14625 +a(g185 +V +tp14626 +a(g18 +Vtaint +p14627 +tp14628 +a(g185 +V +tp14629 +a(g340 +V= +tp14630 +a(g185 +V +tp14631 +a(g18 +VQtrue +p14632 +tp14633 +a(g198 +V; +tp14634 +a(g185 +V\u000a +tp14635 +a(g185 +V +p14636 +tp14637 +a(g185 +V +tp14638 +a(g198 +V} +tp14639 +a(g185 +V\u000a +tp14640 +a(g185 +V\u000a +tp14641 +a(g185 +V +p14642 +tp14643 +a(g111 +Vif +p14644 +tp14645 +a(g185 +V +tp14646 +a(g198 +V( +tp14647 +a(g18 +Vtaint +p14648 +tp14649 +a(g198 +V) +tp14650 +a(g185 +V +tp14651 +a(g18 +VOBJ_TAINT +p14652 +tp14653 +a(g198 +V( +tp14654 +a(g18 +Vresult +p14655 +tp14656 +a(g198 +V) +tp14657 +a(g198 +V; +tp14658 +a(g185 +V\u000a +tp14659 +a(g185 +V +p14660 +tp14661 +a(g185 +V +tp14662 +a(g111 +Vreturn +p14663 +tp14664 +a(g185 +V +tp14665 +a(g18 +Vresult +p14666 +tp14667 +a(g198 +V; +tp14668 +a(g185 +V\u000a +tp14669 +a(g185 +V +tp14670 +a(g198 +V} +tp14671 +a(g185 +V\u000a +tp14672 +a(g185 +V\u000a +tp14673 +a(g354 +V/*\u000a * call-seq:\u000a * array.join(sep=$,) -> str\u000a * \u000a * Returns a string created by converting each element of the array to\u000a * a string, separated by sep.\u000a * \u000a * [ "a", "b", "c" ].join #=> "abc"\u000a * [ "a", "b", "c" ].join("-") #=> "a-b-c"\u000a */ +p14674 +tp14675 +a(g185 +V\u000a +tp14676 +a(g185 +V\u000a +tp14677 +a(g111 +Vstatic +p14678 +tp14679 +a(g185 +V +tp14680 +a(g18 +VVALUE +p14681 +tp14682 +a(g185 +V\u000a +tp14683 +a(g18 +Vrb_ary_join_m +p14684 +tp14685 +a(g198 +V( +tp14686 +a(g18 +Vargc +p14687 +tp14688 +a(g198 +V, +tp14689 +a(g185 +V +tp14690 +a(g18 +Vargv +p14691 +tp14692 +a(g198 +V, +tp14693 +a(g185 +V +tp14694 +a(g18 +Vary +p14695 +tp14696 +a(g198 +V) +tp14697 +a(g185 +V\u000a +tp14698 +a(g185 +V +p14699 +tp14700 +a(g135 +Vint +p14701 +tp14702 +a(g185 +V +tp14703 +a(g18 +Vargc +p14704 +tp14705 +a(g198 +V; +tp14706 +a(g185 +V\u000a +tp14707 +a(g185 +V +p14708 +tp14709 +a(g185 +V +tp14710 +a(g18 +VVALUE +p14711 +tp14712 +a(g185 +V +tp14713 +a(g340 +V* +tp14714 +a(g18 +Vargv +p14715 +tp14716 +a(g198 +V; +tp14717 +a(g185 +V\u000a +tp14718 +a(g185 +V +p14719 +tp14720 +a(g185 +V +tp14721 +a(g18 +VVALUE +p14722 +tp14723 +a(g185 +V +tp14724 +a(g18 +Vary +p14725 +tp14726 +a(g198 +V; +tp14727 +a(g185 +V\u000a +tp14728 +a(g185 +V +tp14729 +a(g198 +V{ +tp14730 +a(g185 +V\u000a +tp14731 +a(g185 +V +p14732 +tp14733 +a(g18 +VVALUE +p14734 +tp14735 +a(g185 +V +tp14736 +a(g18 +Vsep +p14737 +tp14738 +a(g198 +V; +tp14739 +a(g185 +V\u000a +tp14740 +a(g185 +V\u000a +tp14741 +a(g185 +V +p14742 +tp14743 +a(g185 +V +tp14744 +a(g18 +Vrb_scan_args +p14745 +tp14746 +a(g198 +V( +tp14747 +a(g18 +Vargc +p14748 +tp14749 +a(g198 +V, +tp14750 +a(g185 +V +tp14751 +a(g18 +Vargv +p14752 +tp14753 +a(g198 +V, +tp14754 +a(g185 +V +tp14755 +a(g222 +V" +tp14756 +a(g222 +V01 +p14757 +tp14758 +a(g222 +V" +tp14759 +a(g198 +V, +tp14760 +a(g185 +V +tp14761 +a(g340 +V& +tp14762 +a(g18 +Vsep +p14763 +tp14764 +a(g198 +V) +tp14765 +a(g198 +V; +tp14766 +a(g185 +V\u000a +tp14767 +a(g185 +V +p14768 +tp14769 +a(g185 +V +tp14770 +a(g111 +Vif +p14771 +tp14772 +a(g185 +V +tp14773 +a(g198 +V( +tp14774 +a(g18 +VNIL_P +p14775 +tp14776 +a(g198 +V( +tp14777 +a(g18 +Vsep +p14778 +tp14779 +a(g198 +V) +tp14780 +a(g198 +V) +tp14781 +a(g185 +V +tp14782 +a(g18 +Vsep +p14783 +tp14784 +a(g185 +V +tp14785 +a(g340 +V= +tp14786 +a(g185 +V +tp14787 +a(g18 +Vrb_output_fs +p14788 +tp14789 +a(g198 +V; +tp14790 +a(g185 +V\u000a +tp14791 +a(g185 +V \u000a +p14792 +tp14793 +a(g185 +V +tp14794 +a(g111 +Vreturn +p14795 +tp14796 +a(g185 +V +tp14797 +a(g18 +Vrb_ary_join +p14798 +tp14799 +a(g198 +V( +tp14800 +a(g18 +Vary +p14801 +tp14802 +a(g198 +V, +tp14803 +a(g185 +V +tp14804 +a(g18 +Vsep +p14805 +tp14806 +a(g198 +V) +tp14807 +a(g198 +V; +tp14808 +a(g185 +V\u000a +tp14809 +a(g185 +V +tp14810 +a(g198 +V} +tp14811 +a(g185 +V\u000a +tp14812 +a(g185 +V\u000a +tp14813 +a(g354 +V/*\u000a * call-seq:\u000a * array.to_s -> string\u000a * \u000a * Returns _self_.join.\u000a * \u000a * [ "a", "e", "i", "o" ].to_s #=> "aeio"\u000a *\u000a */ +p14814 +tp14815 +a(g185 +V\u000a +tp14816 +a(g185 +V\u000a +tp14817 +a(g18 +VVALUE +p14818 +tp14819 +a(g185 +V\u000a +tp14820 +a(g18 +Vrb_ary_to_s +p14821 +tp14822 +a(g198 +V( +tp14823 +a(g18 +Vary +p14824 +tp14825 +a(g198 +V) +tp14826 +a(g185 +V\u000a +tp14827 +a(g185 +V +p14828 +tp14829 +a(g18 +VVALUE +p14830 +tp14831 +a(g185 +V +tp14832 +a(g18 +Vary +p14833 +tp14834 +a(g198 +V; +tp14835 +a(g185 +V\u000a +tp14836 +a(g185 +V +tp14837 +a(g198 +V{ +tp14838 +a(g185 +V\u000a +tp14839 +a(g185 +V +p14840 +tp14841 +a(g111 +Vif +p14842 +tp14843 +a(g185 +V +tp14844 +a(g198 +V( +tp14845 +a(g18 +VRARRAY +p14846 +tp14847 +a(g198 +V( +tp14848 +a(g18 +Vary +p14849 +tp14850 +a(g198 +V) +tp14851 +a(g340 +V- +tp14852 +a(g340 +V> +tp14853 +a(g18 +Vlen +p14854 +tp14855 +a(g185 +V +tp14856 +a(g340 +V= +tp14857 +a(g340 +V= +tp14858 +a(g185 +V +tp14859 +a(g315 +V0 +tp14860 +a(g198 +V) +tp14861 +a(g185 +V +tp14862 +a(g111 +Vreturn +p14863 +tp14864 +a(g185 +V +tp14865 +a(g18 +Vrb_str_new +p14866 +tp14867 +a(g198 +V( +tp14868 +a(g315 +V0 +tp14869 +a(g198 +V, +tp14870 +a(g185 +V +tp14871 +a(g315 +V0 +tp14872 +a(g198 +V) +tp14873 +a(g198 +V; +tp14874 +a(g185 +V\u000a +tp14875 +a(g185 +V \u000a +p14876 +tp14877 +a(g185 +V +tp14878 +a(g111 +Vreturn +p14879 +tp14880 +a(g185 +V +tp14881 +a(g18 +Vrb_ary_join +p14882 +tp14883 +a(g198 +V( +tp14884 +a(g18 +Vary +p14885 +tp14886 +a(g198 +V, +tp14887 +a(g185 +V +tp14888 +a(g18 +Vrb_output_fs +p14889 +tp14890 +a(g198 +V) +tp14891 +a(g198 +V; +tp14892 +a(g185 +V\u000a +tp14893 +a(g185 +V +tp14894 +a(g198 +V} +tp14895 +a(g185 +V\u000a +tp14896 +a(g185 +V\u000a +tp14897 +a(g111 +Vstatic +p14898 +tp14899 +a(g185 +V +tp14900 +a(g18 +VVALUE +p14901 +tp14902 +a(g185 +V\u000a +tp14903 +a(g18 +Vinspect_ary +p14904 +tp14905 +a(g198 +V( +tp14906 +a(g18 +Vary +p14907 +tp14908 +a(g198 +V, +tp14909 +a(g185 +V +tp14910 +a(g18 +Vdummy +p14911 +tp14912 +a(g198 +V, +tp14913 +a(g185 +V +tp14914 +a(g18 +Vrecur +p14915 +tp14916 +a(g198 +V) +tp14917 +a(g185 +V\u000a +tp14918 +a(g185 +V +p14919 +tp14920 +a(g18 +VVALUE +p14921 +tp14922 +a(g185 +V +tp14923 +a(g18 +Vary +p14924 +tp14925 +a(g198 +V; +tp14926 +a(g185 +V\u000a +tp14927 +a(g185 +V +p14928 +tp14929 +a(g185 +V +tp14930 +a(g18 +VVALUE +p14931 +tp14932 +a(g185 +V +tp14933 +a(g18 +Vdummy +p14934 +tp14935 +a(g198 +V; +tp14936 +a(g185 +V\u000a +tp14937 +a(g185 +V +p14938 +tp14939 +a(g185 +V +tp14940 +a(g135 +Vint +p14941 +tp14942 +a(g185 +V +tp14943 +a(g18 +Vrecur +p14944 +tp14945 +a(g198 +V; +tp14946 +a(g185 +V\u000a +tp14947 +a(g185 +V +tp14948 +a(g198 +V{ +tp14949 +a(g185 +V\u000a +tp14950 +a(g185 +V +p14951 +tp14952 +a(g135 +Vint +p14953 +tp14954 +a(g185 +V +tp14955 +a(g18 +Vtainted +p14956 +tp14957 +a(g185 +V +tp14958 +a(g340 +V= +tp14959 +a(g185 +V +tp14960 +a(g18 +VOBJ_TAINTED +p14961 +tp14962 +a(g198 +V( +tp14963 +a(g18 +Vary +p14964 +tp14965 +a(g198 +V) +tp14966 +a(g198 +V; +tp14967 +a(g185 +V\u000a +tp14968 +a(g185 +V +p14969 +tp14970 +a(g185 +V +tp14971 +a(g135 +Vlong +p14972 +tp14973 +a(g185 +V +tp14974 +a(g18 +Vi +tp14975 +a(g198 +V; +tp14976 +a(g185 +V\u000a +tp14977 +a(g185 +V +p14978 +tp14979 +a(g185 +V +tp14980 +a(g18 +VVALUE +p14981 +tp14982 +a(g185 +V +tp14983 +a(g18 +Vs +tp14984 +a(g198 +V, +tp14985 +a(g185 +V +tp14986 +a(g18 +Vstr +p14987 +tp14988 +a(g198 +V; +tp14989 +a(g185 +V\u000a +tp14990 +a(g185 +V\u000a +tp14991 +a(g185 +V +p14992 +tp14993 +a(g185 +V +tp14994 +a(g111 +Vif +p14995 +tp14996 +a(g185 +V +tp14997 +a(g198 +V( +tp14998 +a(g18 +Vrecur +p14999 +tp15000 +a(g198 +V) +tp15001 +a(g185 +V +tp15002 +a(g111 +Vreturn +p15003 +tp15004 +a(g185 +V +tp15005 +a(g18 +Vrb_tainted_str_new2 +p15006 +tp15007 +a(g198 +V( +tp15008 +a(g222 +V" +tp15009 +a(g222 +V[...] +p15010 +tp15011 +a(g222 +V" +tp15012 +a(g198 +V) +tp15013 +a(g198 +V; +tp15014 +a(g185 +V\u000a +tp15015 +a(g185 +V +p15016 +tp15017 +a(g185 +V +tp15018 +a(g18 +Vstr +p15019 +tp15020 +a(g185 +V +tp15021 +a(g340 +V= +tp15022 +a(g185 +V +tp15023 +a(g18 +Vrb_str_buf_new2 +p15024 +tp15025 +a(g198 +V( +tp15026 +a(g222 +V" +tp15027 +a(g222 +V[ +tp15028 +a(g222 +V" +tp15029 +a(g198 +V) +tp15030 +a(g198 +V; +tp15031 +a(g185 +V\u000a +tp15032 +a(g185 +V +p15033 +tp15034 +a(g185 +V +tp15035 +a(g111 +Vfor +p15036 +tp15037 +a(g185 +V +tp15038 +a(g198 +V( +tp15039 +a(g18 +Vi +tp15040 +a(g340 +V= +tp15041 +a(g315 +V0 +tp15042 +a(g198 +V; +tp15043 +a(g185 +V +tp15044 +a(g185 +V +tp15045 +a(g18 +Vi +tp15046 +a(g340 +V< +tp15047 +a(g18 +VRARRAY +p15048 +tp15049 +a(g198 +V( +tp15050 +a(g18 +Vary +p15051 +tp15052 +a(g198 +V) +tp15053 +a(g340 +V- +tp15054 +a(g340 +V> +tp15055 +a(g18 +Vlen +p15056 +tp15057 +a(g198 +V; +tp15058 +a(g185 +V +tp15059 +a(g185 +V +tp15060 +a(g18 +Vi +tp15061 +a(g340 +V+ +tp15062 +a(g340 +V+ +tp15063 +a(g198 +V) +tp15064 +a(g185 +V +tp15065 +a(g198 +V{ +tp15066 +a(g185 +V\u000a +tp15067 +a(g185 +V +tp15068 +a(g18 +Vs +tp15069 +a(g185 +V +tp15070 +a(g340 +V= +tp15071 +a(g185 +V +tp15072 +a(g18 +Vrb_inspect +p15073 +tp15074 +a(g198 +V( +tp15075 +a(g18 +VRARRAY +p15076 +tp15077 +a(g198 +V( +tp15078 +a(g18 +Vary +p15079 +tp15080 +a(g198 +V) +tp15081 +a(g340 +V- +tp15082 +a(g340 +V> +tp15083 +a(g18 +Vptr +p15084 +tp15085 +a(g198 +V[ +tp15086 +a(g18 +Vi +tp15087 +a(g198 +V] +tp15088 +a(g198 +V) +tp15089 +a(g198 +V; +tp15090 +a(g185 +V\u000a +tp15091 +a(g185 +V +tp15092 +a(g185 +V +tp15093 +a(g111 +Vif +p15094 +tp15095 +a(g185 +V +tp15096 +a(g198 +V( +tp15097 +a(g18 +VOBJ_TAINTED +p15098 +tp15099 +a(g198 +V( +tp15100 +a(g18 +Vs +tp15101 +a(g198 +V) +tp15102 +a(g198 +V) +tp15103 +a(g185 +V +tp15104 +a(g18 +Vtainted +p15105 +tp15106 +a(g185 +V +tp15107 +a(g340 +V= +tp15108 +a(g185 +V +tp15109 +a(g18 +VQtrue +p15110 +tp15111 +a(g198 +V; +tp15112 +a(g185 +V\u000a +tp15113 +a(g185 +V +tp15114 +a(g185 +V +tp15115 +a(g111 +Vif +p15116 +tp15117 +a(g185 +V +tp15118 +a(g198 +V( +tp15119 +a(g18 +Vi +tp15120 +a(g185 +V +tp15121 +a(g340 +V> +tp15122 +a(g185 +V +tp15123 +a(g315 +V0 +tp15124 +a(g198 +V) +tp15125 +a(g185 +V +tp15126 +a(g18 +Vrb_str_buf_cat2 +p15127 +tp15128 +a(g198 +V( +tp15129 +a(g18 +Vstr +p15130 +tp15131 +a(g198 +V, +tp15132 +a(g185 +V +tp15133 +a(g222 +V" +tp15134 +a(g222 +V, +p15135 +tp15136 +a(g222 +V" +tp15137 +a(g198 +V) +tp15138 +a(g198 +V; +tp15139 +a(g185 +V\u000a +tp15140 +a(g185 +V +tp15141 +a(g185 +V +tp15142 +a(g18 +Vrb_str_buf_append +p15143 +tp15144 +a(g198 +V( +tp15145 +a(g18 +Vstr +p15146 +tp15147 +a(g198 +V, +tp15148 +a(g185 +V +tp15149 +a(g18 +Vs +tp15150 +a(g198 +V) +tp15151 +a(g198 +V; +tp15152 +a(g185 +V\u000a +tp15153 +a(g185 +V +p15154 +tp15155 +a(g185 +V +tp15156 +a(g198 +V} +tp15157 +a(g185 +V\u000a +tp15158 +a(g185 +V +p15159 +tp15160 +a(g18 +Vrb_str_buf_cat2 +p15161 +tp15162 +a(g198 +V( +tp15163 +a(g18 +Vstr +p15164 +tp15165 +a(g198 +V, +tp15166 +a(g185 +V +tp15167 +a(g222 +V" +tp15168 +a(g222 +V] +tp15169 +a(g222 +V" +tp15170 +a(g198 +V) +tp15171 +a(g198 +V; +tp15172 +a(g185 +V\u000a +tp15173 +a(g185 +V +p15174 +tp15175 +a(g185 +V +tp15176 +a(g111 +Vif +p15177 +tp15178 +a(g185 +V +tp15179 +a(g198 +V( +tp15180 +a(g18 +Vtainted +p15181 +tp15182 +a(g198 +V) +tp15183 +a(g185 +V +tp15184 +a(g18 +VOBJ_TAINT +p15185 +tp15186 +a(g198 +V( +tp15187 +a(g18 +Vstr +p15188 +tp15189 +a(g198 +V) +tp15190 +a(g198 +V; +tp15191 +a(g185 +V\u000a +tp15192 +a(g185 +V +p15193 +tp15194 +a(g185 +V +tp15195 +a(g111 +Vreturn +p15196 +tp15197 +a(g185 +V +tp15198 +a(g18 +Vstr +p15199 +tp15200 +a(g198 +V; +tp15201 +a(g185 +V\u000a +tp15202 +a(g185 +V +tp15203 +a(g198 +V} +tp15204 +a(g185 +V\u000a +tp15205 +a(g185 +V\u000a +tp15206 +a(g354 +V/*\u000a * call-seq:\u000a * array.inspect -> string\u000a *\u000a * Create a printable version of array.\u000a */ +p15207 +tp15208 +a(g185 +V\u000a +tp15209 +a(g185 +V\u000a +tp15210 +a(g111 +Vstatic +p15211 +tp15212 +a(g185 +V +tp15213 +a(g18 +VVALUE +p15214 +tp15215 +a(g185 +V\u000a +tp15216 +a(g18 +Vrb_ary_inspect +p15217 +tp15218 +a(g198 +V( +tp15219 +a(g18 +Vary +p15220 +tp15221 +a(g198 +V) +tp15222 +a(g185 +V\u000a +tp15223 +a(g185 +V +p15224 +tp15225 +a(g18 +VVALUE +p15226 +tp15227 +a(g185 +V +tp15228 +a(g18 +Vary +p15229 +tp15230 +a(g198 +V; +tp15231 +a(g185 +V\u000a +tp15232 +a(g185 +V +tp15233 +a(g198 +V{ +tp15234 +a(g185 +V\u000a +tp15235 +a(g185 +V +p15236 +tp15237 +a(g111 +Vif +p15238 +tp15239 +a(g185 +V +tp15240 +a(g198 +V( +tp15241 +a(g18 +VRARRAY +p15242 +tp15243 +a(g198 +V( +tp15244 +a(g18 +Vary +p15245 +tp15246 +a(g198 +V) +tp15247 +a(g340 +V- +tp15248 +a(g340 +V> +tp15249 +a(g18 +Vlen +p15250 +tp15251 +a(g185 +V +tp15252 +a(g340 +V= +tp15253 +a(g340 +V= +tp15254 +a(g185 +V +tp15255 +a(g315 +V0 +tp15256 +a(g198 +V) +tp15257 +a(g185 +V +tp15258 +a(g111 +Vreturn +p15259 +tp15260 +a(g185 +V +tp15261 +a(g18 +Vrb_str_new2 +p15262 +tp15263 +a(g198 +V( +tp15264 +a(g222 +V" +tp15265 +a(g222 +V[] +p15266 +tp15267 +a(g222 +V" +tp15268 +a(g198 +V) +tp15269 +a(g198 +V; +tp15270 +a(g185 +V\u000a +tp15271 +a(g185 +V +p15272 +tp15273 +a(g185 +V +tp15274 +a(g111 +Vreturn +p15275 +tp15276 +a(g185 +V +tp15277 +a(g18 +Vrb_exec_recursive +p15278 +tp15279 +a(g198 +V( +tp15280 +a(g18 +Vinspect_ary +p15281 +tp15282 +a(g198 +V, +tp15283 +a(g185 +V +tp15284 +a(g18 +Vary +p15285 +tp15286 +a(g198 +V, +tp15287 +a(g185 +V +tp15288 +a(g315 +V0 +tp15289 +a(g198 +V) +tp15290 +a(g198 +V; +tp15291 +a(g185 +V\u000a +tp15292 +a(g185 +V +tp15293 +a(g198 +V} +tp15294 +a(g185 +V\u000a +tp15295 +a(g185 +V\u000a +tp15296 +a(g354 +V/*\u000a * call-seq:\u000a * array.to_a -> array\u000a * \u000a * Returns _self_. If called on a subclass of Array, converts\u000a * the receiver to an Array object.\u000a */ +p15297 +tp15298 +a(g185 +V\u000a +tp15299 +a(g185 +V\u000a +tp15300 +a(g111 +Vstatic +p15301 +tp15302 +a(g185 +V +tp15303 +a(g18 +VVALUE +p15304 +tp15305 +a(g185 +V\u000a +tp15306 +a(g18 +Vrb_ary_to_a +p15307 +tp15308 +a(g198 +V( +tp15309 +a(g18 +Vary +p15310 +tp15311 +a(g198 +V) +tp15312 +a(g185 +V\u000a +tp15313 +a(g185 +V +p15314 +tp15315 +a(g18 +VVALUE +p15316 +tp15317 +a(g185 +V +tp15318 +a(g18 +Vary +p15319 +tp15320 +a(g198 +V; +tp15321 +a(g185 +V\u000a +tp15322 +a(g185 +V +tp15323 +a(g198 +V{ +tp15324 +a(g185 +V\u000a +tp15325 +a(g185 +V +p15326 +tp15327 +a(g111 +Vif +p15328 +tp15329 +a(g185 +V +tp15330 +a(g198 +V( +tp15331 +a(g18 +Vrb_obj_class +p15332 +tp15333 +a(g198 +V( +tp15334 +a(g18 +Vary +p15335 +tp15336 +a(g198 +V) +tp15337 +a(g185 +V +tp15338 +a(g340 +V! +tp15339 +a(g340 +V= +tp15340 +a(g185 +V +tp15341 +a(g18 +Vrb_cArray +p15342 +tp15343 +a(g198 +V) +tp15344 +a(g185 +V +tp15345 +a(g198 +V{ +tp15346 +a(g185 +V\u000a +tp15347 +a(g185 +V +tp15348 +a(g18 +VVALUE +p15349 +tp15350 +a(g185 +V +tp15351 +a(g18 +Vdup +p15352 +tp15353 +a(g185 +V +tp15354 +a(g340 +V= +tp15355 +a(g185 +V +tp15356 +a(g18 +Vrb_ary_new2 +p15357 +tp15358 +a(g198 +V( +tp15359 +a(g18 +VRARRAY +p15360 +tp15361 +a(g198 +V( +tp15362 +a(g18 +Vary +p15363 +tp15364 +a(g198 +V) +tp15365 +a(g340 +V- +tp15366 +a(g340 +V> +tp15367 +a(g18 +Vlen +p15368 +tp15369 +a(g198 +V) +tp15370 +a(g198 +V; +tp15371 +a(g185 +V\u000a +tp15372 +a(g185 +V +tp15373 +a(g185 +V +tp15374 +a(g18 +Vrb_ary_replace +p15375 +tp15376 +a(g198 +V( +tp15377 +a(g18 +Vdup +p15378 +tp15379 +a(g198 +V, +tp15380 +a(g185 +V +tp15381 +a(g18 +Vary +p15382 +tp15383 +a(g198 +V) +tp15384 +a(g198 +V; +tp15385 +a(g185 +V\u000a +tp15386 +a(g185 +V +tp15387 +a(g185 +V +tp15388 +a(g111 +Vreturn +p15389 +tp15390 +a(g185 +V +tp15391 +a(g18 +Vdup +p15392 +tp15393 +a(g198 +V; +tp15394 +a(g185 +V\u000a +tp15395 +a(g185 +V +p15396 +tp15397 +a(g185 +V +tp15398 +a(g198 +V} +tp15399 +a(g185 +V\u000a +tp15400 +a(g185 +V +p15401 +tp15402 +a(g111 +Vreturn +p15403 +tp15404 +a(g185 +V +tp15405 +a(g18 +Vary +p15406 +tp15407 +a(g198 +V; +tp15408 +a(g185 +V\u000a +tp15409 +a(g185 +V +tp15410 +a(g198 +V} +tp15411 +a(g185 +V\u000a +tp15412 +a(g185 +V\u000a +tp15413 +a(g354 +V/*\u000a * call-seq:\u000a * array.to_ary -> array\u000a * \u000a * Returns _self_.\u000a */ +p15414 +tp15415 +a(g185 +V\u000a +tp15416 +a(g185 +V\u000a +tp15417 +a(g111 +Vstatic +p15418 +tp15419 +a(g185 +V +tp15420 +a(g18 +VVALUE +p15421 +tp15422 +a(g185 +V\u000a +tp15423 +a(g18 +Vrb_ary_to_ary_m +p15424 +tp15425 +a(g198 +V( +tp15426 +a(g18 +Vary +p15427 +tp15428 +a(g198 +V) +tp15429 +a(g185 +V\u000a +tp15430 +a(g185 +V +p15431 +tp15432 +a(g18 +VVALUE +p15433 +tp15434 +a(g185 +V +tp15435 +a(g18 +Vary +p15436 +tp15437 +a(g198 +V; +tp15438 +a(g185 +V\u000a +tp15439 +a(g185 +V +tp15440 +a(g198 +V{ +tp15441 +a(g185 +V\u000a +tp15442 +a(g185 +V +p15443 +tp15444 +a(g111 +Vreturn +p15445 +tp15446 +a(g185 +V +tp15447 +a(g18 +Vary +p15448 +tp15449 +a(g198 +V; +tp15450 +a(g185 +V\u000a +tp15451 +a(g185 +V +tp15452 +a(g198 +V} +tp15453 +a(g185 +V\u000a +tp15454 +a(g185 +V\u000a +tp15455 +a(g18 +VVALUE +p15456 +tp15457 +a(g185 +V\u000a +tp15458 +a(g18 +Vrb_ary_reverse +p15459 +tp15460 +a(g198 +V( +tp15461 +a(g18 +Vary +p15462 +tp15463 +a(g198 +V) +tp15464 +a(g185 +V\u000a +tp15465 +a(g185 +V +p15466 +tp15467 +a(g18 +VVALUE +p15468 +tp15469 +a(g185 +V +tp15470 +a(g18 +Vary +p15471 +tp15472 +a(g198 +V; +tp15473 +a(g185 +V\u000a +tp15474 +a(g185 +V +tp15475 +a(g198 +V{ +tp15476 +a(g185 +V\u000a +tp15477 +a(g185 +V +p15478 +tp15479 +a(g18 +VVALUE +p15480 +tp15481 +a(g185 +V +tp15482 +a(g340 +V* +tp15483 +a(g18 +Vp1 +p15484 +tp15485 +a(g198 +V, +tp15486 +a(g185 +V +tp15487 +a(g340 +V* +tp15488 +a(g18 +Vp2 +p15489 +tp15490 +a(g198 +V; +tp15491 +a(g185 +V\u000a +tp15492 +a(g185 +V +p15493 +tp15494 +a(g185 +V +tp15495 +a(g18 +VVALUE +p15496 +tp15497 +a(g185 +V +tp15498 +a(g18 +Vtmp +p15499 +tp15500 +a(g198 +V; +tp15501 +a(g185 +V\u000a +tp15502 +a(g185 +V\u000a +tp15503 +a(g185 +V +p15504 +tp15505 +a(g185 +V +tp15506 +a(g18 +Vrb_ary_modify +p15507 +tp15508 +a(g198 +V( +tp15509 +a(g18 +Vary +p15510 +tp15511 +a(g198 +V) +tp15512 +a(g198 +V; +tp15513 +a(g185 +V\u000a +tp15514 +a(g185 +V +p15515 +tp15516 +a(g185 +V +tp15517 +a(g111 +Vif +p15518 +tp15519 +a(g185 +V +tp15520 +a(g198 +V( +tp15521 +a(g18 +VRARRAY +p15522 +tp15523 +a(g198 +V( +tp15524 +a(g18 +Vary +p15525 +tp15526 +a(g198 +V) +tp15527 +a(g340 +V- +tp15528 +a(g340 +V> +tp15529 +a(g18 +Vlen +p15530 +tp15531 +a(g185 +V +tp15532 +a(g340 +V> +tp15533 +a(g185 +V +tp15534 +a(g315 +V1 +tp15535 +a(g198 +V) +tp15536 +a(g185 +V +tp15537 +a(g198 +V{ +tp15538 +a(g185 +V\u000a +tp15539 +a(g185 +V +tp15540 +a(g18 +Vp1 +p15541 +tp15542 +a(g185 +V +tp15543 +a(g340 +V= +tp15544 +a(g185 +V +tp15545 +a(g18 +VRARRAY +p15546 +tp15547 +a(g198 +V( +tp15548 +a(g18 +Vary +p15549 +tp15550 +a(g198 +V) +tp15551 +a(g340 +V- +tp15552 +a(g340 +V> +tp15553 +a(g18 +Vptr +p15554 +tp15555 +a(g198 +V; +tp15556 +a(g185 +V\u000a +tp15557 +a(g185 +V +tp15558 +a(g185 +V +tp15559 +a(g18 +Vp2 +p15560 +tp15561 +a(g185 +V +tp15562 +a(g340 +V= +tp15563 +a(g185 +V +tp15564 +a(g18 +Vp1 +p15565 +tp15566 +a(g185 +V +tp15567 +a(g340 +V+ +tp15568 +a(g185 +V +tp15569 +a(g18 +VRARRAY +p15570 +tp15571 +a(g198 +V( +tp15572 +a(g18 +Vary +p15573 +tp15574 +a(g198 +V) +tp15575 +a(g340 +V- +tp15576 +a(g340 +V> +tp15577 +a(g18 +Vlen +p15578 +tp15579 +a(g185 +V +tp15580 +a(g340 +V- +tp15581 +a(g185 +V +tp15582 +a(g315 +V1 +tp15583 +a(g198 +V; +tp15584 +a(g185 +V +tp15585 +a(g354 +V/* points last item */ +p15586 +tp15587 +a(g185 +V\u000a +tp15588 +a(g185 +V\u000a +tp15589 +a(g185 +V +tp15590 +a(g185 +V +tp15591 +a(g111 +Vwhile +p15592 +tp15593 +a(g185 +V +tp15594 +a(g198 +V( +tp15595 +a(g18 +Vp1 +p15596 +tp15597 +a(g185 +V +tp15598 +a(g340 +V< +tp15599 +a(g185 +V +tp15600 +a(g18 +Vp2 +p15601 +tp15602 +a(g198 +V) +tp15603 +a(g185 +V +tp15604 +a(g198 +V{ +tp15605 +a(g185 +V\u000a +tp15606 +a(g185 +V +p15607 +tp15608 +a(g18 +Vtmp +p15609 +tp15610 +a(g185 +V +tp15611 +a(g340 +V= +tp15612 +a(g185 +V +tp15613 +a(g340 +V* +tp15614 +a(g18 +Vp1 +p15615 +tp15616 +a(g198 +V; +tp15617 +a(g185 +V\u000a +tp15618 +a(g185 +V +p15619 +tp15620 +a(g185 +V +tp15621 +a(g340 +V* +tp15622 +a(g18 +Vp1 +p15623 +tp15624 +a(g340 +V+ +tp15625 +a(g340 +V+ +tp15626 +a(g185 +V +tp15627 +a(g340 +V= +tp15628 +a(g185 +V +tp15629 +a(g340 +V* +tp15630 +a(g18 +Vp2 +p15631 +tp15632 +a(g198 +V; +tp15633 +a(g185 +V\u000a +tp15634 +a(g185 +V +p15635 +tp15636 +a(g185 +V +tp15637 +a(g340 +V* +tp15638 +a(g18 +Vp2 +p15639 +tp15640 +a(g340 +V- +tp15641 +a(g340 +V- +tp15642 +a(g185 +V +tp15643 +a(g340 +V= +tp15644 +a(g185 +V +tp15645 +a(g18 +Vtmp +p15646 +tp15647 +a(g198 +V; +tp15648 +a(g185 +V\u000a +tp15649 +a(g185 +V +tp15650 +a(g185 +V +tp15651 +a(g198 +V} +tp15652 +a(g185 +V\u000a +tp15653 +a(g185 +V +p15654 +tp15655 +a(g198 +V} +tp15656 +a(g185 +V\u000a +tp15657 +a(g185 +V +p15658 +tp15659 +a(g111 +Vreturn +p15660 +tp15661 +a(g185 +V +tp15662 +a(g18 +Vary +p15663 +tp15664 +a(g198 +V; +tp15665 +a(g185 +V\u000a +tp15666 +a(g185 +V +tp15667 +a(g198 +V} +tp15668 +a(g185 +V\u000a +tp15669 +a(g185 +V\u000a +tp15670 +a(g354 +V/*\u000a * call-seq:\u000a * array.reverse! -> array \u000a * \u000a * Reverses _self_ in place.\u000a * \u000a * a = [ "a", "b", "c" ]\u000a * a.reverse! #=> ["c", "b", "a"]\u000a * a #=> ["c", "b", "a"]\u000a */ +p15671 +tp15672 +a(g185 +V\u000a +tp15673 +a(g185 +V\u000a +tp15674 +a(g111 +Vstatic +p15675 +tp15676 +a(g185 +V +tp15677 +a(g18 +VVALUE +p15678 +tp15679 +a(g185 +V\u000a +tp15680 +a(g18 +Vrb_ary_reverse_bang +p15681 +tp15682 +a(g198 +V( +tp15683 +a(g18 +Vary +p15684 +tp15685 +a(g198 +V) +tp15686 +a(g185 +V\u000a +tp15687 +a(g185 +V +p15688 +tp15689 +a(g18 +VVALUE +p15690 +tp15691 +a(g185 +V +tp15692 +a(g18 +Vary +p15693 +tp15694 +a(g198 +V; +tp15695 +a(g185 +V\u000a +tp15696 +a(g185 +V +tp15697 +a(g198 +V{ +tp15698 +a(g185 +V\u000a +tp15699 +a(g185 +V +p15700 +tp15701 +a(g111 +Vreturn +p15702 +tp15703 +a(g185 +V +tp15704 +a(g18 +Vrb_ary_reverse +p15705 +tp15706 +a(g198 +V( +tp15707 +a(g18 +Vary +p15708 +tp15709 +a(g198 +V) +tp15710 +a(g198 +V; +tp15711 +a(g185 +V\u000a +tp15712 +a(g185 +V +tp15713 +a(g198 +V} +tp15714 +a(g185 +V\u000a +tp15715 +a(g185 +V\u000a +tp15716 +a(g354 +V/*\u000a * call-seq:\u000a * array.reverse -> an_array\u000a * \u000a * Returns a new array containing self's elements in reverse order.\u000a * \u000a * [ "a", "b", "c" ].reverse #=> ["c", "b", "a"]\u000a * [ 1 ].reverse #=> [1]\u000a */ +p15717 +tp15718 +a(g185 +V\u000a +tp15719 +a(g185 +V\u000a +tp15720 +a(g111 +Vstatic +p15721 +tp15722 +a(g185 +V +tp15723 +a(g18 +VVALUE +p15724 +tp15725 +a(g185 +V\u000a +tp15726 +a(g18 +Vrb_ary_reverse_m +p15727 +tp15728 +a(g198 +V( +tp15729 +a(g18 +Vary +p15730 +tp15731 +a(g198 +V) +tp15732 +a(g185 +V\u000a +tp15733 +a(g185 +V +p15734 +tp15735 +a(g18 +VVALUE +p15736 +tp15737 +a(g185 +V +tp15738 +a(g18 +Vary +p15739 +tp15740 +a(g198 +V; +tp15741 +a(g185 +V\u000a +tp15742 +a(g185 +V +tp15743 +a(g198 +V{ +tp15744 +a(g185 +V\u000a +tp15745 +a(g185 +V +p15746 +tp15747 +a(g111 +Vreturn +p15748 +tp15749 +a(g185 +V +tp15750 +a(g18 +Vrb_ary_reverse +p15751 +tp15752 +a(g198 +V( +tp15753 +a(g18 +Vrb_ary_dup +p15754 +tp15755 +a(g198 +V( +tp15756 +a(g18 +Vary +p15757 +tp15758 +a(g198 +V) +tp15759 +a(g198 +V) +tp15760 +a(g198 +V; +tp15761 +a(g185 +V\u000a +tp15762 +a(g185 +V +tp15763 +a(g198 +V} +tp15764 +a(g185 +V\u000a +tp15765 +a(g185 +V\u000a +tp15766 +a(g111 +Vstruct +p15767 +tp15768 +a(g185 +V +tp15769 +a(g18 +Vary_sort_data +p15770 +tp15771 +a(g185 +V +tp15772 +a(g198 +V{ +tp15773 +a(g185 +V\u000a +tp15774 +a(g185 +V +p15775 +tp15776 +a(g18 +VVALUE +p15777 +tp15778 +a(g185 +V +tp15779 +a(g18 +Vary +p15780 +tp15781 +a(g198 +V; +tp15782 +a(g185 +V\u000a +tp15783 +a(g185 +V +p15784 +tp15785 +a(g185 +V +tp15786 +a(g18 +VVALUE +p15787 +tp15788 +a(g185 +V +tp15789 +a(g340 +V* +tp15790 +a(g18 +Vptr +p15791 +tp15792 +a(g198 +V; +tp15793 +a(g185 +V\u000a +tp15794 +a(g185 +V +p15795 +tp15796 +a(g185 +V +tp15797 +a(g135 +Vlong +p15798 +tp15799 +a(g185 +V +tp15800 +a(g18 +Vlen +p15801 +tp15802 +a(g198 +V; +tp15803 +a(g185 +V\u000a +tp15804 +a(g185 +V +tp15805 +a(g198 +V} +tp15806 +a(g198 +V; +tp15807 +a(g185 +V\u000a +tp15808 +a(g185 +V\u000a +tp15809 +a(g185 +V +tp15810 +a(g111 +Vstatic +p15811 +tp15812 +a(g185 +V +tp15813 +a(g135 +Vvoid +p15814 +tp15815 +a(g185 +V\u000a +tp15816 +a(g18 +Vary_sort_check +p15817 +tp15818 +a(g198 +V( +tp15819 +a(g18 +Vdata +p15820 +tp15821 +a(g198 +V) +tp15822 +a(g185 +V\u000a +tp15823 +a(g185 +V +p15824 +tp15825 +a(g111 +Vstruct +p15826 +tp15827 +a(g185 +V +tp15828 +a(g18 +Vary_sort_data +p15829 +tp15830 +a(g185 +V +tp15831 +a(g340 +V* +tp15832 +a(g18 +Vdata +p15833 +tp15834 +a(g198 +V; +tp15835 +a(g185 +V\u000a +tp15836 +a(g185 +V +tp15837 +a(g198 +V{ +tp15838 +a(g185 +V\u000a +tp15839 +a(g185 +V +p15840 +tp15841 +a(g111 +Vif +p15842 +tp15843 +a(g185 +V +tp15844 +a(g198 +V( +tp15845 +a(g18 +VRARRAY +p15846 +tp15847 +a(g198 +V( +tp15848 +a(g18 +Vdata +p15849 +tp15850 +a(g340 +V- +tp15851 +a(g340 +V> +tp15852 +a(g18 +Vary +p15853 +tp15854 +a(g198 +V) +tp15855 +a(g340 +V- +tp15856 +a(g340 +V> +tp15857 +a(g18 +Vptr +p15858 +tp15859 +a(g185 +V +tp15860 +a(g340 +V! +tp15861 +a(g340 +V= +tp15862 +a(g185 +V +tp15863 +a(g18 +Vdata +p15864 +tp15865 +a(g340 +V- +tp15866 +a(g340 +V> +tp15867 +a(g18 +Vptr +p15868 +tp15869 +a(g185 +V +tp15870 +a(g340 +V| +tp15871 +a(g340 +V| +tp15872 +a(g185 +V +tp15873 +a(g18 +VRARRAY +p15874 +tp15875 +a(g198 +V( +tp15876 +a(g18 +Vdata +p15877 +tp15878 +a(g340 +V- +tp15879 +a(g340 +V> +tp15880 +a(g18 +Vary +p15881 +tp15882 +a(g198 +V) +tp15883 +a(g340 +V- +tp15884 +a(g340 +V> +tp15885 +a(g18 +Vlen +p15886 +tp15887 +a(g185 +V +tp15888 +a(g340 +V! +tp15889 +a(g340 +V= +tp15890 +a(g185 +V +tp15891 +a(g18 +Vdata +p15892 +tp15893 +a(g340 +V- +tp15894 +a(g340 +V> +tp15895 +a(g18 +Vlen +p15896 +tp15897 +a(g198 +V) +tp15898 +a(g185 +V +tp15899 +a(g198 +V{ +tp15900 +a(g185 +V\u000a +tp15901 +a(g185 +V +tp15902 +a(g18 +Vrb_raise +p15903 +tp15904 +a(g198 +V( +tp15905 +a(g18 +Vrb_eRuntimeError +p15906 +tp15907 +a(g198 +V, +tp15908 +a(g185 +V +tp15909 +a(g222 +V" +tp15910 +a(g222 +Varray modified during sort +p15911 +tp15912 +a(g222 +V" +tp15913 +a(g198 +V) +tp15914 +a(g198 +V; +tp15915 +a(g185 +V\u000a +tp15916 +a(g185 +V +p15917 +tp15918 +a(g185 +V +tp15919 +a(g198 +V} +tp15920 +a(g185 +V\u000a +tp15921 +a(g198 +V} +tp15922 +a(g185 +V\u000a +tp15923 +a(g185 +V\u000a +tp15924 +a(g111 +Vstatic +p15925 +tp15926 +a(g185 +V +tp15927 +a(g135 +Vint +p15928 +tp15929 +a(g185 +V\u000a +tp15930 +a(g18 +Vsort_1 +p15931 +tp15932 +a(g198 +V( +tp15933 +a(g18 +Va +tp15934 +a(g198 +V, +tp15935 +a(g185 +V +tp15936 +a(g18 +Vb +tp15937 +a(g198 +V, +tp15938 +a(g185 +V +tp15939 +a(g18 +Vdata +p15940 +tp15941 +a(g198 +V) +tp15942 +a(g185 +V\u000a +tp15943 +a(g185 +V +p15944 +tp15945 +a(g18 +VVALUE +p15946 +tp15947 +a(g185 +V +tp15948 +a(g340 +V* +tp15949 +a(g18 +Va +tp15950 +a(g198 +V, +tp15951 +a(g185 +V +tp15952 +a(g340 +V* +tp15953 +a(g18 +Vb +tp15954 +a(g198 +V; +tp15955 +a(g185 +V\u000a +tp15956 +a(g185 +V +p15957 +tp15958 +a(g185 +V +tp15959 +a(g111 +Vstruct +p15960 +tp15961 +a(g185 +V +tp15962 +a(g18 +Vary_sort_data +p15963 +tp15964 +a(g185 +V +tp15965 +a(g340 +V* +tp15966 +a(g18 +Vdata +p15967 +tp15968 +a(g198 +V; +tp15969 +a(g185 +V\u000a +tp15970 +a(g185 +V +tp15971 +a(g198 +V{ +tp15972 +a(g185 +V\u000a +tp15973 +a(g185 +V +p15974 +tp15975 +a(g18 +VVALUE +p15976 +tp15977 +a(g185 +V +tp15978 +a(g18 +Vretval +p15979 +tp15980 +a(g185 +V +tp15981 +a(g340 +V= +tp15982 +a(g185 +V +tp15983 +a(g18 +Vrb_yield_values +p15984 +tp15985 +a(g198 +V( +tp15986 +a(g315 +V2 +tp15987 +a(g198 +V, +tp15988 +a(g185 +V +tp15989 +a(g340 +V* +tp15990 +a(g18 +Va +tp15991 +a(g198 +V, +tp15992 +a(g185 +V +tp15993 +a(g340 +V* +tp15994 +a(g18 +Vb +tp15995 +a(g198 +V) +tp15996 +a(g198 +V; +tp15997 +a(g185 +V\u000a +tp15998 +a(g185 +V +p15999 +tp16000 +a(g185 +V +tp16001 +a(g135 +Vint +p16002 +tp16003 +a(g185 +V +tp16004 +a(g18 +Vn +tp16005 +a(g198 +V; +tp16006 +a(g185 +V\u000a +tp16007 +a(g185 +V\u000a +tp16008 +a(g185 +V +p16009 +tp16010 +a(g185 +V +tp16011 +a(g18 +Vn +tp16012 +a(g185 +V +tp16013 +a(g340 +V= +tp16014 +a(g185 +V +tp16015 +a(g18 +Vrb_cmpint +p16016 +tp16017 +a(g198 +V( +tp16018 +a(g18 +Vretval +p16019 +tp16020 +a(g198 +V, +tp16021 +a(g185 +V +tp16022 +a(g340 +V* +tp16023 +a(g18 +Va +tp16024 +a(g198 +V, +tp16025 +a(g185 +V +tp16026 +a(g340 +V* +tp16027 +a(g18 +Vb +tp16028 +a(g198 +V) +tp16029 +a(g198 +V; +tp16030 +a(g185 +V\u000a +tp16031 +a(g185 +V +p16032 +tp16033 +a(g185 +V +tp16034 +a(g18 +Vary_sort_check +p16035 +tp16036 +a(g198 +V( +tp16037 +a(g18 +Vdata +p16038 +tp16039 +a(g198 +V) +tp16040 +a(g198 +V; +tp16041 +a(g185 +V\u000a +tp16042 +a(g185 +V +p16043 +tp16044 +a(g185 +V +tp16045 +a(g111 +Vreturn +p16046 +tp16047 +a(g185 +V +tp16048 +a(g18 +Vn +tp16049 +a(g198 +V; +tp16050 +a(g185 +V\u000a +tp16051 +a(g185 +V +tp16052 +a(g198 +V} +tp16053 +a(g185 +V\u000a +tp16054 +a(g185 +V\u000a +tp16055 +a(g111 +Vstatic +p16056 +tp16057 +a(g185 +V +tp16058 +a(g135 +Vint +p16059 +tp16060 +a(g185 +V\u000a +tp16061 +a(g18 +Vsort_2 +p16062 +tp16063 +a(g198 +V( +tp16064 +a(g18 +Vap +p16065 +tp16066 +a(g198 +V, +tp16067 +a(g185 +V +tp16068 +a(g18 +Vbp +p16069 +tp16070 +a(g198 +V, +tp16071 +a(g185 +V +tp16072 +a(g18 +Vdata +p16073 +tp16074 +a(g198 +V) +tp16075 +a(g185 +V\u000a +tp16076 +a(g185 +V +p16077 +tp16078 +a(g18 +VVALUE +p16079 +tp16080 +a(g185 +V +tp16081 +a(g340 +V* +tp16082 +a(g18 +Vap +p16083 +tp16084 +a(g198 +V, +tp16085 +a(g185 +V +tp16086 +a(g340 +V* +tp16087 +a(g18 +Vbp +p16088 +tp16089 +a(g198 +V; +tp16090 +a(g185 +V\u000a +tp16091 +a(g185 +V +p16092 +tp16093 +a(g185 +V +tp16094 +a(g111 +Vstruct +p16095 +tp16096 +a(g185 +V +tp16097 +a(g18 +Vary_sort_data +p16098 +tp16099 +a(g185 +V +tp16100 +a(g340 +V* +tp16101 +a(g18 +Vdata +p16102 +tp16103 +a(g198 +V; +tp16104 +a(g185 +V\u000a +tp16105 +a(g185 +V +tp16106 +a(g198 +V{ +tp16107 +a(g185 +V\u000a +tp16108 +a(g185 +V +p16109 +tp16110 +a(g18 +VVALUE +p16111 +tp16112 +a(g185 +V +tp16113 +a(g18 +Vretval +p16114 +tp16115 +a(g198 +V; +tp16116 +a(g185 +V\u000a +tp16117 +a(g185 +V +p16118 +tp16119 +a(g185 +V +tp16120 +a(g18 +VVALUE +p16121 +tp16122 +a(g185 +V +tp16123 +a(g18 +Va +tp16124 +a(g185 +V +tp16125 +a(g340 +V= +tp16126 +a(g185 +V +tp16127 +a(g340 +V* +tp16128 +a(g18 +Vap +p16129 +tp16130 +a(g198 +V, +tp16131 +a(g185 +V +tp16132 +a(g18 +Vb +tp16133 +a(g185 +V +tp16134 +a(g340 +V= +tp16135 +a(g185 +V +tp16136 +a(g340 +V* +tp16137 +a(g18 +Vbp +p16138 +tp16139 +a(g198 +V; +tp16140 +a(g185 +V\u000a +tp16141 +a(g185 +V +p16142 +tp16143 +a(g185 +V +tp16144 +a(g135 +Vint +p16145 +tp16146 +a(g185 +V +tp16147 +a(g18 +Vn +tp16148 +a(g198 +V; +tp16149 +a(g185 +V\u000a +tp16150 +a(g185 +V\u000a +tp16151 +a(g185 +V +p16152 +tp16153 +a(g185 +V +tp16154 +a(g111 +Vif +p16155 +tp16156 +a(g185 +V +tp16157 +a(g198 +V( +tp16158 +a(g18 +VFIXNUM_P +p16159 +tp16160 +a(g198 +V( +tp16161 +a(g18 +Va +tp16162 +a(g198 +V) +tp16163 +a(g185 +V +tp16164 +a(g340 +V& +tp16165 +a(g340 +V& +tp16166 +a(g185 +V +tp16167 +a(g18 +VFIXNUM_P +p16168 +tp16169 +a(g198 +V( +tp16170 +a(g18 +Vb +tp16171 +a(g198 +V) +tp16172 +a(g198 +V) +tp16173 +a(g185 +V +tp16174 +a(g198 +V{ +tp16175 +a(g185 +V\u000a +tp16176 +a(g185 +V +tp16177 +a(g111 +Vif +p16178 +tp16179 +a(g185 +V +tp16180 +a(g198 +V( +tp16181 +a(g198 +V( +tp16182 +a(g135 +Vlong +p16183 +tp16184 +a(g198 +V) +tp16185 +a(g18 +Va +tp16186 +a(g185 +V +tp16187 +a(g340 +V> +tp16188 +a(g185 +V +tp16189 +a(g198 +V( +tp16190 +a(g135 +Vlong +p16191 +tp16192 +a(g198 +V) +tp16193 +a(g18 +Vb +tp16194 +a(g198 +V) +tp16195 +a(g185 +V +tp16196 +a(g111 +Vreturn +p16197 +tp16198 +a(g185 +V +tp16199 +a(g315 +V1 +tp16200 +a(g198 +V; +tp16201 +a(g185 +V\u000a +tp16202 +a(g185 +V +tp16203 +a(g185 +V +tp16204 +a(g111 +Vif +p16205 +tp16206 +a(g185 +V +tp16207 +a(g198 +V( +tp16208 +a(g198 +V( +tp16209 +a(g135 +Vlong +p16210 +tp16211 +a(g198 +V) +tp16212 +a(g18 +Va +tp16213 +a(g185 +V +tp16214 +a(g340 +V< +tp16215 +a(g185 +V +tp16216 +a(g198 +V( +tp16217 +a(g135 +Vlong +p16218 +tp16219 +a(g198 +V) +tp16220 +a(g18 +Vb +tp16221 +a(g198 +V) +tp16222 +a(g185 +V +tp16223 +a(g111 +Vreturn +p16224 +tp16225 +a(g185 +V +tp16226 +a(g340 +V- +tp16227 +a(g315 +V1 +tp16228 +a(g198 +V; +tp16229 +a(g185 +V\u000a +tp16230 +a(g185 +V +tp16231 +a(g185 +V +tp16232 +a(g111 +Vreturn +p16233 +tp16234 +a(g185 +V +tp16235 +a(g315 +V0 +tp16236 +a(g198 +V; +tp16237 +a(g185 +V\u000a +tp16238 +a(g185 +V +p16239 +tp16240 +a(g185 +V +tp16241 +a(g198 +V} +tp16242 +a(g185 +V\u000a +tp16243 +a(g185 +V +p16244 +tp16245 +a(g111 +Vif +p16246 +tp16247 +a(g185 +V +tp16248 +a(g198 +V( +tp16249 +a(g18 +VTYPE +p16250 +tp16251 +a(g198 +V( +tp16252 +a(g18 +Va +tp16253 +a(g198 +V) +tp16254 +a(g185 +V +tp16255 +a(g340 +V= +tp16256 +a(g340 +V= +tp16257 +a(g185 +V +tp16258 +a(g18 +VT_STRING +p16259 +tp16260 +a(g185 +V +tp16261 +a(g340 +V& +tp16262 +a(g340 +V& +tp16263 +a(g185 +V +tp16264 +a(g18 +VTYPE +p16265 +tp16266 +a(g198 +V( +tp16267 +a(g18 +Vb +tp16268 +a(g198 +V) +tp16269 +a(g185 +V +tp16270 +a(g340 +V= +tp16271 +a(g340 +V= +tp16272 +a(g185 +V +tp16273 +a(g18 +VT_STRING +p16274 +tp16275 +a(g198 +V) +tp16276 +a(g185 +V +tp16277 +a(g198 +V{ +tp16278 +a(g185 +V\u000a +tp16279 +a(g185 +V +tp16280 +a(g111 +Vreturn +p16281 +tp16282 +a(g185 +V +tp16283 +a(g18 +Vrb_str_cmp +p16284 +tp16285 +a(g198 +V( +tp16286 +a(g18 +Va +tp16287 +a(g198 +V, +tp16288 +a(g185 +V +tp16289 +a(g18 +Vb +tp16290 +a(g198 +V) +tp16291 +a(g198 +V; +tp16292 +a(g185 +V\u000a +tp16293 +a(g185 +V +p16294 +tp16295 +a(g185 +V +tp16296 +a(g198 +V} +tp16297 +a(g185 +V\u000a +tp16298 +a(g185 +V\u000a +tp16299 +a(g185 +V +p16300 +tp16301 +a(g18 +Vretval +p16302 +tp16303 +a(g185 +V +tp16304 +a(g340 +V= +tp16305 +a(g185 +V +tp16306 +a(g18 +Vrb_funcall +p16307 +tp16308 +a(g198 +V( +tp16309 +a(g18 +Va +tp16310 +a(g198 +V, +tp16311 +a(g185 +V +tp16312 +a(g18 +Vid_cmp +p16313 +tp16314 +a(g198 +V, +tp16315 +a(g185 +V +tp16316 +a(g315 +V1 +tp16317 +a(g198 +V, +tp16318 +a(g185 +V +tp16319 +a(g18 +Vb +tp16320 +a(g198 +V) +tp16321 +a(g198 +V; +tp16322 +a(g185 +V\u000a +tp16323 +a(g185 +V +p16324 +tp16325 +a(g185 +V +tp16326 +a(g18 +Vn +tp16327 +a(g185 +V +tp16328 +a(g340 +V= +tp16329 +a(g185 +V +tp16330 +a(g18 +Vrb_cmpint +p16331 +tp16332 +a(g198 +V( +tp16333 +a(g18 +Vretval +p16334 +tp16335 +a(g198 +V, +tp16336 +a(g185 +V +tp16337 +a(g18 +Va +tp16338 +a(g198 +V, +tp16339 +a(g185 +V +tp16340 +a(g18 +Vb +tp16341 +a(g198 +V) +tp16342 +a(g198 +V; +tp16343 +a(g185 +V\u000a +tp16344 +a(g185 +V +p16345 +tp16346 +a(g185 +V +tp16347 +a(g18 +Vary_sort_check +p16348 +tp16349 +a(g198 +V( +tp16350 +a(g18 +Vdata +p16351 +tp16352 +a(g198 +V) +tp16353 +a(g198 +V; +tp16354 +a(g185 +V\u000a +tp16355 +a(g185 +V\u000a +tp16356 +a(g185 +V +p16357 +tp16358 +a(g185 +V +tp16359 +a(g111 +Vreturn +p16360 +tp16361 +a(g185 +V +tp16362 +a(g18 +Vn +tp16363 +a(g198 +V; +tp16364 +a(g185 +V\u000a +tp16365 +a(g185 +V +tp16366 +a(g198 +V} +tp16367 +a(g185 +V\u000a +tp16368 +a(g185 +V\u000a +tp16369 +a(g111 +Vstatic +p16370 +tp16371 +a(g185 +V +tp16372 +a(g18 +VVALUE +p16373 +tp16374 +a(g185 +V\u000a +tp16375 +a(g18 +Vsort_internal +p16376 +tp16377 +a(g198 +V( +tp16378 +a(g18 +Vary +p16379 +tp16380 +a(g198 +V) +tp16381 +a(g185 +V\u000a +tp16382 +a(g185 +V +p16383 +tp16384 +a(g18 +VVALUE +p16385 +tp16386 +a(g185 +V +tp16387 +a(g18 +Vary +p16388 +tp16389 +a(g198 +V; +tp16390 +a(g185 +V\u000a +tp16391 +a(g185 +V +tp16392 +a(g198 +V{ +tp16393 +a(g185 +V\u000a +tp16394 +a(g185 +V +p16395 +tp16396 +a(g111 +Vstruct +p16397 +tp16398 +a(g185 +V +tp16399 +a(g18 +Vary_sort_data +p16400 +tp16401 +a(g185 +V +tp16402 +a(g18 +Vdata +p16403 +tp16404 +a(g198 +V; +tp16405 +a(g185 +V\u000a +tp16406 +a(g185 +V\u000a +tp16407 +a(g185 +V +p16408 +tp16409 +a(g185 +V +tp16410 +a(g18 +Vdata +p16411 +tp16412 +a(g198 +V. +tp16413 +a(g18 +Vary +p16414 +tp16415 +a(g185 +V +tp16416 +a(g340 +V= +tp16417 +a(g185 +V +tp16418 +a(g18 +Vary +p16419 +tp16420 +a(g198 +V; +tp16421 +a(g185 +V\u000a +tp16422 +a(g185 +V +p16423 +tp16424 +a(g185 +V +tp16425 +a(g18 +Vdata +p16426 +tp16427 +a(g198 +V. +tp16428 +a(g18 +Vptr +p16429 +tp16430 +a(g185 +V +tp16431 +a(g340 +V= +tp16432 +a(g185 +V +tp16433 +a(g18 +VRARRAY +p16434 +tp16435 +a(g198 +V( +tp16436 +a(g18 +Vary +p16437 +tp16438 +a(g198 +V) +tp16439 +a(g340 +V- +tp16440 +a(g340 +V> +tp16441 +a(g18 +Vptr +p16442 +tp16443 +a(g198 +V; +tp16444 +a(g185 +V +tp16445 +a(g185 +V +tp16446 +a(g18 +Vdata +p16447 +tp16448 +a(g198 +V. +tp16449 +a(g18 +Vlen +p16450 +tp16451 +a(g185 +V +tp16452 +a(g340 +V= +tp16453 +a(g185 +V +tp16454 +a(g18 +VRARRAY +p16455 +tp16456 +a(g198 +V( +tp16457 +a(g18 +Vary +p16458 +tp16459 +a(g198 +V) +tp16460 +a(g340 +V- +tp16461 +a(g340 +V> +tp16462 +a(g18 +Vlen +p16463 +tp16464 +a(g198 +V; +tp16465 +a(g185 +V\u000a +tp16466 +a(g185 +V +p16467 +tp16468 +a(g185 +V +tp16469 +a(g18 +Vqsort +p16470 +tp16471 +a(g198 +V( +tp16472 +a(g18 +VRARRAY +p16473 +tp16474 +a(g198 +V( +tp16475 +a(g18 +Vary +p16476 +tp16477 +a(g198 +V) +tp16478 +a(g340 +V- +tp16479 +a(g340 +V> +tp16480 +a(g18 +Vptr +p16481 +tp16482 +a(g198 +V, +tp16483 +a(g185 +V +tp16484 +a(g18 +VRARRAY +p16485 +tp16486 +a(g198 +V( +tp16487 +a(g18 +Vary +p16488 +tp16489 +a(g198 +V) +tp16490 +a(g340 +V- +tp16491 +a(g340 +V> +tp16492 +a(g18 +Vlen +p16493 +tp16494 +a(g198 +V, +tp16495 +a(g185 +V +tp16496 +a(g111 +Vsizeof +p16497 +tp16498 +a(g198 +V( +tp16499 +a(g18 +VVALUE +p16500 +tp16501 +a(g198 +V) +tp16502 +a(g198 +V, +tp16503 +a(g185 +V\u000a +tp16504 +a(g185 +V +p16505 +tp16506 +a(g18 +Vrb_block_given_p +p16507 +tp16508 +a(g198 +V( +tp16509 +a(g198 +V) +tp16510 +a(g340 +V? +tp16511 +a(g18 +Vsort_1 +p16512 +tp16513 +a(g340 +V: +tp16514 +a(g18 +Vsort_2 +p16515 +tp16516 +a(g198 +V, +tp16517 +a(g185 +V +tp16518 +a(g340 +V& +tp16519 +a(g18 +Vdata +p16520 +tp16521 +a(g198 +V) +tp16522 +a(g198 +V; +tp16523 +a(g185 +V\u000a +tp16524 +a(g185 +V +p16525 +tp16526 +a(g185 +V +tp16527 +a(g111 +Vreturn +p16528 +tp16529 +a(g185 +V +tp16530 +a(g18 +Vary +p16531 +tp16532 +a(g198 +V; +tp16533 +a(g185 +V\u000a +tp16534 +a(g185 +V +tp16535 +a(g198 +V} +tp16536 +a(g185 +V\u000a +tp16537 +a(g185 +V\u000a +tp16538 +a(g111 +Vstatic +p16539 +tp16540 +a(g185 +V +tp16541 +a(g18 +VVALUE +p16542 +tp16543 +a(g185 +V\u000a +tp16544 +a(g18 +Vsort_unlock +p16545 +tp16546 +a(g198 +V( +tp16547 +a(g18 +Vary +p16548 +tp16549 +a(g198 +V) +tp16550 +a(g185 +V\u000a +tp16551 +a(g185 +V +p16552 +tp16553 +a(g18 +VVALUE +p16554 +tp16555 +a(g185 +V +tp16556 +a(g18 +Vary +p16557 +tp16558 +a(g198 +V; +tp16559 +a(g185 +V\u000a +tp16560 +a(g185 +V +tp16561 +a(g198 +V{ +tp16562 +a(g185 +V\u000a +tp16563 +a(g185 +V +p16564 +tp16565 +a(g18 +VFL_UNSET +p16566 +tp16567 +a(g198 +V( +tp16568 +a(g18 +Vary +p16569 +tp16570 +a(g198 +V, +tp16571 +a(g185 +V +tp16572 +a(g18 +VARY_TMPLOCK +p16573 +tp16574 +a(g198 +V) +tp16575 +a(g198 +V; +tp16576 +a(g185 +V\u000a +tp16577 +a(g185 +V +p16578 +tp16579 +a(g185 +V +tp16580 +a(g111 +Vreturn +p16581 +tp16582 +a(g185 +V +tp16583 +a(g18 +Vary +p16584 +tp16585 +a(g198 +V; +tp16586 +a(g185 +V\u000a +tp16587 +a(g185 +V +tp16588 +a(g198 +V} +tp16589 +a(g185 +V\u000a +tp16590 +a(g185 +V\u000a +tp16591 +a(g354 +V/*\u000a * call-seq:\u000a * array.sort! -> array\u000a * array.sort! {| a,b | block } -> array \u000a * \u000a * Sorts _self_. Comparisons for\u000a * the sort will be done using the <=> operator or using\u000a * an optional code block. The block implements a comparison between\u000a * a and b, returning -1, 0, or +1. See also\u000a * Enumerable#sort_by.\u000a * \u000a * a = [ "d", "a", "e", "c", "b" ]\u000a * a.sort #=> ["a", "b", "c", "d", "e"]\u000a * a.sort {|x,y| y <=> x } #=> ["e", "d", "c", "b", "a"]\u000a */ +p16592 +tp16593 +a(g185 +V\u000a +tp16594 +a(g185 +V\u000a +tp16595 +a(g18 +VVALUE +p16596 +tp16597 +a(g185 +V\u000a +tp16598 +a(g18 +Vrb_ary_sort_bang +p16599 +tp16600 +a(g198 +V( +tp16601 +a(g18 +Vary +p16602 +tp16603 +a(g198 +V) +tp16604 +a(g185 +V\u000a +tp16605 +a(g185 +V +p16606 +tp16607 +a(g18 +VVALUE +p16608 +tp16609 +a(g185 +V +tp16610 +a(g18 +Vary +p16611 +tp16612 +a(g198 +V; +tp16613 +a(g185 +V\u000a +tp16614 +a(g185 +V +tp16615 +a(g198 +V{ +tp16616 +a(g185 +V\u000a +tp16617 +a(g185 +V +p16618 +tp16619 +a(g18 +Vrb_ary_modify +p16620 +tp16621 +a(g198 +V( +tp16622 +a(g18 +Vary +p16623 +tp16624 +a(g198 +V) +tp16625 +a(g198 +V; +tp16626 +a(g185 +V\u000a +tp16627 +a(g185 +V +p16628 +tp16629 +a(g185 +V +tp16630 +a(g111 +Vif +p16631 +tp16632 +a(g185 +V +tp16633 +a(g198 +V( +tp16634 +a(g18 +VRARRAY +p16635 +tp16636 +a(g198 +V( +tp16637 +a(g18 +Vary +p16638 +tp16639 +a(g198 +V) +tp16640 +a(g340 +V- +tp16641 +a(g340 +V> +tp16642 +a(g18 +Vlen +p16643 +tp16644 +a(g185 +V +tp16645 +a(g340 +V> +tp16646 +a(g185 +V +tp16647 +a(g315 +V1 +tp16648 +a(g198 +V) +tp16649 +a(g185 +V +tp16650 +a(g198 +V{ +tp16651 +a(g185 +V\u000a +tp16652 +a(g185 +V +tp16653 +a(g18 +VFL_SET +p16654 +tp16655 +a(g198 +V( +tp16656 +a(g18 +Vary +p16657 +tp16658 +a(g198 +V, +tp16659 +a(g185 +V +tp16660 +a(g18 +VARY_TMPLOCK +p16661 +tp16662 +a(g198 +V) +tp16663 +a(g198 +V; +tp16664 +a(g185 +V +tp16665 +a(g354 +V/* prohibit modification during sort */ +p16666 +tp16667 +a(g185 +V\u000a +tp16668 +a(g185 +V +tp16669 +a(g185 +V +tp16670 +a(g18 +Vrb_ensure +p16671 +tp16672 +a(g198 +V( +tp16673 +a(g18 +Vsort_internal +p16674 +tp16675 +a(g198 +V, +tp16676 +a(g185 +V +tp16677 +a(g18 +Vary +p16678 +tp16679 +a(g198 +V, +tp16680 +a(g185 +V +tp16681 +a(g18 +Vsort_unlock +p16682 +tp16683 +a(g198 +V, +tp16684 +a(g185 +V +tp16685 +a(g18 +Vary +p16686 +tp16687 +a(g198 +V) +tp16688 +a(g198 +V; +tp16689 +a(g185 +V\u000a +tp16690 +a(g185 +V +p16691 +tp16692 +a(g185 +V +tp16693 +a(g198 +V} +tp16694 +a(g185 +V\u000a +tp16695 +a(g185 +V +p16696 +tp16697 +a(g111 +Vreturn +p16698 +tp16699 +a(g185 +V +tp16700 +a(g18 +Vary +p16701 +tp16702 +a(g198 +V; +tp16703 +a(g185 +V\u000a +tp16704 +a(g185 +V +tp16705 +a(g198 +V} +tp16706 +a(g185 +V\u000a +tp16707 +a(g185 +V\u000a +tp16708 +a(g354 +V/*\u000a * call-seq:\u000a * array.sort -> an_array \u000a * array.sort {| a,b | block } -> an_array \u000a * \u000a * Returns a new array created by sorting self. Comparisons for\u000a * the sort will be done using the <=> operator or using\u000a * an optional code block. The block implements a comparison between\u000a * a and b, returning -1, 0, or +1. See also\u000a * Enumerable#sort_by.\u000a * \u000a * a = [ "d", "a", "e", "c", "b" ]\u000a * a.sort #=> ["a", "b", "c", "d", "e"]\u000a * a.sort {|x,y| y <=> x } #=> ["e", "d", "c", "b", "a"]\u000a */ +p16709 +tp16710 +a(g185 +V\u000a +tp16711 +a(g185 +V\u000a +tp16712 +a(g18 +VVALUE +p16713 +tp16714 +a(g185 +V\u000a +tp16715 +a(g18 +Vrb_ary_sort +p16716 +tp16717 +a(g198 +V( +tp16718 +a(g18 +Vary +p16719 +tp16720 +a(g198 +V) +tp16721 +a(g185 +V\u000a +tp16722 +a(g185 +V +p16723 +tp16724 +a(g18 +VVALUE +p16725 +tp16726 +a(g185 +V +tp16727 +a(g18 +Vary +p16728 +tp16729 +a(g198 +V; +tp16730 +a(g185 +V\u000a +tp16731 +a(g185 +V +tp16732 +a(g198 +V{ +tp16733 +a(g185 +V\u000a +tp16734 +a(g185 +V +p16735 +tp16736 +a(g18 +Vary +p16737 +tp16738 +a(g185 +V +tp16739 +a(g340 +V= +tp16740 +a(g185 +V +tp16741 +a(g18 +Vrb_ary_dup +p16742 +tp16743 +a(g198 +V( +tp16744 +a(g18 +Vary +p16745 +tp16746 +a(g198 +V) +tp16747 +a(g198 +V; +tp16748 +a(g185 +V\u000a +tp16749 +a(g185 +V +p16750 +tp16751 +a(g185 +V +tp16752 +a(g18 +Vrb_ary_sort_bang +p16753 +tp16754 +a(g198 +V( +tp16755 +a(g18 +Vary +p16756 +tp16757 +a(g198 +V) +tp16758 +a(g198 +V; +tp16759 +a(g185 +V\u000a +tp16760 +a(g185 +V +p16761 +tp16762 +a(g185 +V +tp16763 +a(g111 +Vreturn +p16764 +tp16765 +a(g185 +V +tp16766 +a(g18 +Vary +p16767 +tp16768 +a(g198 +V; +tp16769 +a(g185 +V\u000a +tp16770 +a(g185 +V +tp16771 +a(g198 +V} +tp16772 +a(g185 +V\u000a +tp16773 +a(g185 +V\u000a +tp16774 +a(g354 +V/*\u000a * call-seq:\u000a * array.collect {|item| block } -> an_array\u000a * array.map {|item| block } -> an_array\u000a * \u000a * Invokes block once for each element of self. Creates a \u000a * new array containing the values returned by the block.\u000a * See also Enumerable#collect.\u000a * \u000a * a = [ "a", "b", "c", "d" ]\u000a * a.collect {|x| x + "!" } #=> ["a!", "b!", "c!", "d!"]\u000a * a #=> ["a", "b", "c", "d"]\u000a */ +p16775 +tp16776 +a(g185 +V\u000a +tp16777 +a(g185 +V\u000a +tp16778 +a(g111 +Vstatic +p16779 +tp16780 +a(g185 +V +tp16781 +a(g18 +VVALUE +p16782 +tp16783 +a(g185 +V\u000a +tp16784 +a(g18 +Vrb_ary_collect +p16785 +tp16786 +a(g198 +V( +tp16787 +a(g18 +Vary +p16788 +tp16789 +a(g198 +V) +tp16790 +a(g185 +V\u000a +tp16791 +a(g185 +V +p16792 +tp16793 +a(g18 +VVALUE +p16794 +tp16795 +a(g185 +V +tp16796 +a(g18 +Vary +p16797 +tp16798 +a(g198 +V; +tp16799 +a(g185 +V\u000a +tp16800 +a(g185 +V +tp16801 +a(g198 +V{ +tp16802 +a(g185 +V\u000a +tp16803 +a(g185 +V +p16804 +tp16805 +a(g135 +Vlong +p16806 +tp16807 +a(g185 +V +tp16808 +a(g18 +Vi +tp16809 +a(g198 +V; +tp16810 +a(g185 +V\u000a +tp16811 +a(g185 +V +p16812 +tp16813 +a(g185 +V +tp16814 +a(g18 +VVALUE +p16815 +tp16816 +a(g185 +V +tp16817 +a(g18 +Vcollect +p16818 +tp16819 +a(g198 +V; +tp16820 +a(g185 +V\u000a +tp16821 +a(g185 +V\u000a +tp16822 +a(g185 +V +p16823 +tp16824 +a(g185 +V +tp16825 +a(g111 +Vif +p16826 +tp16827 +a(g185 +V +tp16828 +a(g198 +V( +tp16829 +a(g340 +V! +tp16830 +a(g18 +Vrb_block_given_p +p16831 +tp16832 +a(g198 +V( +tp16833 +a(g198 +V) +tp16834 +a(g198 +V) +tp16835 +a(g185 +V +tp16836 +a(g198 +V{ +tp16837 +a(g185 +V\u000a +tp16838 +a(g185 +V +tp16839 +a(g111 +Vreturn +p16840 +tp16841 +a(g185 +V +tp16842 +a(g18 +Vrb_ary_new4 +p16843 +tp16844 +a(g198 +V( +tp16845 +a(g18 +VRARRAY +p16846 +tp16847 +a(g198 +V( +tp16848 +a(g18 +Vary +p16849 +tp16850 +a(g198 +V) +tp16851 +a(g340 +V- +tp16852 +a(g340 +V> +tp16853 +a(g18 +Vlen +p16854 +tp16855 +a(g198 +V, +tp16856 +a(g185 +V +tp16857 +a(g18 +VRARRAY +p16858 +tp16859 +a(g198 +V( +tp16860 +a(g18 +Vary +p16861 +tp16862 +a(g198 +V) +tp16863 +a(g340 +V- +tp16864 +a(g340 +V> +tp16865 +a(g18 +Vptr +p16866 +tp16867 +a(g198 +V) +tp16868 +a(g198 +V; +tp16869 +a(g185 +V\u000a +tp16870 +a(g185 +V +p16871 +tp16872 +a(g185 +V +tp16873 +a(g198 +V} +tp16874 +a(g185 +V\u000a +tp16875 +a(g185 +V\u000a +tp16876 +a(g185 +V +p16877 +tp16878 +a(g18 +Vcollect +p16879 +tp16880 +a(g185 +V +tp16881 +a(g340 +V= +tp16882 +a(g185 +V +tp16883 +a(g18 +Vrb_ary_new2 +p16884 +tp16885 +a(g198 +V( +tp16886 +a(g18 +VRARRAY +p16887 +tp16888 +a(g198 +V( +tp16889 +a(g18 +Vary +p16890 +tp16891 +a(g198 +V) +tp16892 +a(g340 +V- +tp16893 +a(g340 +V> +tp16894 +a(g18 +Vlen +p16895 +tp16896 +a(g198 +V) +tp16897 +a(g198 +V; +tp16898 +a(g185 +V\u000a +tp16899 +a(g185 +V +p16900 +tp16901 +a(g185 +V +tp16902 +a(g111 +Vfor +p16903 +tp16904 +a(g185 +V +tp16905 +a(g198 +V( +tp16906 +a(g18 +Vi +tp16907 +a(g185 +V +tp16908 +a(g340 +V= +tp16909 +a(g185 +V +tp16910 +a(g315 +V0 +tp16911 +a(g198 +V; +tp16912 +a(g185 +V +tp16913 +a(g185 +V +tp16914 +a(g18 +Vi +tp16915 +a(g185 +V +tp16916 +a(g340 +V< +tp16917 +a(g185 +V +tp16918 +a(g18 +VRARRAY +p16919 +tp16920 +a(g198 +V( +tp16921 +a(g18 +Vary +p16922 +tp16923 +a(g198 +V) +tp16924 +a(g340 +V- +tp16925 +a(g340 +V> +tp16926 +a(g18 +Vlen +p16927 +tp16928 +a(g198 +V; +tp16929 +a(g185 +V +tp16930 +a(g185 +V +tp16931 +a(g18 +Vi +tp16932 +a(g340 +V+ +tp16933 +a(g340 +V+ +tp16934 +a(g198 +V) +tp16935 +a(g185 +V +tp16936 +a(g198 +V{ +tp16937 +a(g185 +V\u000a +tp16938 +a(g185 +V +tp16939 +a(g18 +Vrb_ary_push +p16940 +tp16941 +a(g198 +V( +tp16942 +a(g18 +Vcollect +p16943 +tp16944 +a(g198 +V, +tp16945 +a(g185 +V +tp16946 +a(g18 +Vrb_yield +p16947 +tp16948 +a(g198 +V( +tp16949 +a(g18 +VRARRAY +p16950 +tp16951 +a(g198 +V( +tp16952 +a(g18 +Vary +p16953 +tp16954 +a(g198 +V) +tp16955 +a(g340 +V- +tp16956 +a(g340 +V> +tp16957 +a(g18 +Vptr +p16958 +tp16959 +a(g198 +V[ +tp16960 +a(g18 +Vi +tp16961 +a(g198 +V] +tp16962 +a(g198 +V) +tp16963 +a(g198 +V) +tp16964 +a(g198 +V; +tp16965 +a(g185 +V\u000a +tp16966 +a(g185 +V +p16967 +tp16968 +a(g185 +V +tp16969 +a(g198 +V} +tp16970 +a(g185 +V\u000a +tp16971 +a(g185 +V +p16972 +tp16973 +a(g111 +Vreturn +p16974 +tp16975 +a(g185 +V +tp16976 +a(g18 +Vcollect +p16977 +tp16978 +a(g198 +V; +tp16979 +a(g185 +V\u000a +tp16980 +a(g185 +V +tp16981 +a(g198 +V} +tp16982 +a(g185 +V\u000a +tp16983 +a(g185 +V\u000a +tp16984 +a(g354 +V/* \u000a * call-seq:\u000a * array.collect! {|item| block } -> array\u000a * array.map! {|item| block } -> array\u000a *\u000a * Invokes the block once for each element of _self_, replacing the\u000a * element with the value returned by _block_.\u000a * See also Enumerable#collect.\u000a * \u000a * a = [ "a", "b", "c", "d" ]\u000a * a.collect! {|x| x + "!" }\u000a * a #=> [ "a!", "b!", "c!", "d!" ]\u000a */ +p16985 +tp16986 +a(g185 +V\u000a +tp16987 +a(g185 +V\u000a +tp16988 +a(g111 +Vstatic +p16989 +tp16990 +a(g185 +V +tp16991 +a(g18 +VVALUE +p16992 +tp16993 +a(g185 +V\u000a +tp16994 +a(g18 +Vrb_ary_collect_bang +p16995 +tp16996 +a(g198 +V( +tp16997 +a(g18 +Vary +p16998 +tp16999 +a(g198 +V) +tp17000 +a(g185 +V\u000a +tp17001 +a(g185 +V +p17002 +tp17003 +a(g18 +VVALUE +p17004 +tp17005 +a(g185 +V +tp17006 +a(g18 +Vary +p17007 +tp17008 +a(g198 +V; +tp17009 +a(g185 +V\u000a +tp17010 +a(g185 +V +tp17011 +a(g198 +V{ +tp17012 +a(g185 +V\u000a +tp17013 +a(g185 +V +p17014 +tp17015 +a(g135 +Vlong +p17016 +tp17017 +a(g185 +V +tp17018 +a(g18 +Vi +tp17019 +a(g198 +V; +tp17020 +a(g185 +V\u000a +tp17021 +a(g185 +V\u000a +tp17022 +a(g185 +V +p17023 +tp17024 +a(g185 +V +tp17025 +a(g18 +Vrb_ary_modify +p17026 +tp17027 +a(g198 +V( +tp17028 +a(g18 +Vary +p17029 +tp17030 +a(g198 +V) +tp17031 +a(g198 +V; +tp17032 +a(g185 +V\u000a +tp17033 +a(g185 +V +p17034 +tp17035 +a(g185 +V +tp17036 +a(g111 +Vfor +p17037 +tp17038 +a(g185 +V +tp17039 +a(g198 +V( +tp17040 +a(g18 +Vi +tp17041 +a(g185 +V +tp17042 +a(g340 +V= +tp17043 +a(g185 +V +tp17044 +a(g315 +V0 +tp17045 +a(g198 +V; +tp17046 +a(g185 +V +tp17047 +a(g185 +V +tp17048 +a(g18 +Vi +tp17049 +a(g185 +V +tp17050 +a(g340 +V< +tp17051 +a(g185 +V +tp17052 +a(g18 +VRARRAY +p17053 +tp17054 +a(g198 +V( +tp17055 +a(g18 +Vary +p17056 +tp17057 +a(g198 +V) +tp17058 +a(g340 +V- +tp17059 +a(g340 +V> +tp17060 +a(g18 +Vlen +p17061 +tp17062 +a(g198 +V; +tp17063 +a(g185 +V +tp17064 +a(g185 +V +tp17065 +a(g18 +Vi +tp17066 +a(g340 +V+ +tp17067 +a(g340 +V+ +tp17068 +a(g198 +V) +tp17069 +a(g185 +V +tp17070 +a(g198 +V{ +tp17071 +a(g185 +V\u000a +tp17072 +a(g185 +V +tp17073 +a(g18 +Vrb_ary_store +p17074 +tp17075 +a(g198 +V( +tp17076 +a(g18 +Vary +p17077 +tp17078 +a(g198 +V, +tp17079 +a(g185 +V +tp17080 +a(g18 +Vi +tp17081 +a(g198 +V, +tp17082 +a(g185 +V +tp17083 +a(g18 +Vrb_yield +p17084 +tp17085 +a(g198 +V( +tp17086 +a(g18 +VRARRAY +p17087 +tp17088 +a(g198 +V( +tp17089 +a(g18 +Vary +p17090 +tp17091 +a(g198 +V) +tp17092 +a(g340 +V- +tp17093 +a(g340 +V> +tp17094 +a(g18 +Vptr +p17095 +tp17096 +a(g198 +V[ +tp17097 +a(g18 +Vi +tp17098 +a(g198 +V] +tp17099 +a(g198 +V) +tp17100 +a(g198 +V) +tp17101 +a(g198 +V; +tp17102 +a(g185 +V\u000a +tp17103 +a(g185 +V +p17104 +tp17105 +a(g185 +V +tp17106 +a(g198 +V} +tp17107 +a(g185 +V\u000a +tp17108 +a(g185 +V +p17109 +tp17110 +a(g111 +Vreturn +p17111 +tp17112 +a(g185 +V +tp17113 +a(g18 +Vary +p17114 +tp17115 +a(g198 +V; +tp17116 +a(g185 +V\u000a +tp17117 +a(g185 +V +tp17118 +a(g198 +V} +tp17119 +a(g185 +V\u000a +tp17120 +a(g185 +V\u000a +tp17121 +a(g18 +VVALUE +p17122 +tp17123 +a(g185 +V\u000a +tp17124 +a(g18 +Vrb_get_values_at +p17125 +tp17126 +a(g198 +V( +tp17127 +a(g18 +Vobj +p17128 +tp17129 +a(g198 +V, +tp17130 +a(g185 +V +tp17131 +a(g18 +Volen +p17132 +tp17133 +a(g198 +V, +tp17134 +a(g185 +V +tp17135 +a(g18 +Vargc +p17136 +tp17137 +a(g198 +V, +tp17138 +a(g185 +V +tp17139 +a(g18 +Vargv +p17140 +tp17141 +a(g198 +V, +tp17142 +a(g185 +V +tp17143 +a(g18 +Vfunc +p17144 +tp17145 +a(g198 +V) +tp17146 +a(g185 +V\u000a +tp17147 +a(g185 +V +p17148 +tp17149 +a(g18 +VVALUE +p17150 +tp17151 +a(g185 +V +tp17152 +a(g18 +Vobj +p17153 +tp17154 +a(g198 +V; +tp17155 +a(g185 +V\u000a +tp17156 +a(g185 +V +p17157 +tp17158 +a(g185 +V +tp17159 +a(g135 +Vlong +p17160 +tp17161 +a(g185 +V +tp17162 +a(g18 +Volen +p17163 +tp17164 +a(g198 +V; +tp17165 +a(g185 +V\u000a +tp17166 +a(g185 +V +p17167 +tp17168 +a(g185 +V +tp17169 +a(g135 +Vint +p17170 +tp17171 +a(g185 +V +tp17172 +a(g18 +Vargc +p17173 +tp17174 +a(g198 +V; +tp17175 +a(g185 +V\u000a +tp17176 +a(g185 +V +p17177 +tp17178 +a(g185 +V +tp17179 +a(g18 +VVALUE +p17180 +tp17181 +a(g185 +V +tp17182 +a(g340 +V* +tp17183 +a(g18 +Vargv +p17184 +tp17185 +a(g198 +V; +tp17186 +a(g185 +V\u000a +tp17187 +a(g185 +V +p17188 +tp17189 +a(g185 +V +tp17190 +a(g18 +VVALUE +p17191 +tp17192 +a(g185 +V +tp17193 +a(g198 +V( +tp17194 +a(g340 +V* +tp17195 +a(g18 +Vfunc +p17196 +tp17197 +a(g198 +V) +tp17198 +a(g185 +V +tp17199 +a(g18 +V_ +tp17200 +a(g198 +V( +tp17201 +a(g198 +V( +tp17202 +a(g18 +VVALUE +p17203 +tp17204 +a(g198 +V, +tp17205 +a(g135 +Vlong +p17206 +tp17207 +a(g198 +V) +tp17208 +a(g198 +V) +tp17209 +a(g198 +V; +tp17210 +a(g185 +V\u000a +tp17211 +a(g185 +V +tp17212 +a(g198 +V{ +tp17213 +a(g185 +V\u000a +tp17214 +a(g185 +V +p17215 +tp17216 +a(g18 +VVALUE +p17217 +tp17218 +a(g185 +V +tp17219 +a(g18 +Vresult +p17220 +tp17221 +a(g185 +V +tp17222 +a(g340 +V= +tp17223 +a(g185 +V +tp17224 +a(g18 +Vrb_ary_new2 +p17225 +tp17226 +a(g198 +V( +tp17227 +a(g18 +Vargc +p17228 +tp17229 +a(g198 +V) +tp17230 +a(g198 +V; +tp17231 +a(g185 +V\u000a +tp17232 +a(g185 +V +p17233 +tp17234 +a(g185 +V +tp17235 +a(g135 +Vlong +p17236 +tp17237 +a(g185 +V +tp17238 +a(g18 +Vbeg +p17239 +tp17240 +a(g198 +V, +tp17241 +a(g185 +V +tp17242 +a(g18 +Vlen +p17243 +tp17244 +a(g198 +V, +tp17245 +a(g185 +V +tp17246 +a(g18 +Vi +tp17247 +a(g198 +V, +tp17248 +a(g185 +V +tp17249 +a(g18 +Vj +tp17250 +a(g198 +V; +tp17251 +a(g185 +V\u000a +tp17252 +a(g185 +V\u000a +tp17253 +a(g185 +V +p17254 +tp17255 +a(g185 +V +tp17256 +a(g111 +Vfor +p17257 +tp17258 +a(g185 +V +tp17259 +a(g198 +V( +tp17260 +a(g18 +Vi +tp17261 +a(g340 +V= +tp17262 +a(g315 +V0 +tp17263 +a(g198 +V; +tp17264 +a(g185 +V +tp17265 +a(g185 +V +tp17266 +a(g18 +Vi +tp17267 +a(g340 +V< +tp17268 +a(g18 +Vargc +p17269 +tp17270 +a(g198 +V; +tp17271 +a(g185 +V +tp17272 +a(g185 +V +tp17273 +a(g18 +Vi +tp17274 +a(g340 +V+ +tp17275 +a(g340 +V+ +tp17276 +a(g198 +V) +tp17277 +a(g185 +V +tp17278 +a(g198 +V{ +tp17279 +a(g185 +V\u000a +tp17280 +a(g185 +V +tp17281 +a(g111 +Vif +p17282 +tp17283 +a(g185 +V +tp17284 +a(g198 +V( +tp17285 +a(g18 +VFIXNUM_P +p17286 +tp17287 +a(g198 +V( +tp17288 +a(g18 +Vargv +p17289 +tp17290 +a(g198 +V[ +tp17291 +a(g18 +Vi +tp17292 +a(g198 +V] +tp17293 +a(g198 +V) +tp17294 +a(g198 +V) +tp17295 +a(g185 +V +tp17296 +a(g198 +V{ +tp17297 +a(g185 +V\u000a +tp17298 +a(g185 +V +p17299 +tp17300 +a(g18 +Vrb_ary_push +p17301 +tp17302 +a(g198 +V( +tp17303 +a(g18 +Vresult +p17304 +tp17305 +a(g198 +V, +tp17306 +a(g185 +V +tp17307 +a(g198 +V( +tp17308 +a(g340 +V* +tp17309 +a(g18 +Vfunc +p17310 +tp17311 +a(g198 +V) +tp17312 +a(g198 +V( +tp17313 +a(g18 +Vobj +p17314 +tp17315 +a(g198 +V, +tp17316 +a(g185 +V +tp17317 +a(g18 +VFIX2LONG +p17318 +tp17319 +a(g198 +V( +tp17320 +a(g18 +Vargv +p17321 +tp17322 +a(g198 +V[ +tp17323 +a(g18 +Vi +tp17324 +a(g198 +V] +tp17325 +a(g198 +V) +tp17326 +a(g198 +V) +tp17327 +a(g198 +V) +tp17328 +a(g198 +V; +tp17329 +a(g185 +V\u000a +tp17330 +a(g185 +V +p17331 +tp17332 +a(g185 +V +tp17333 +a(g111 +Vcontinue +p17334 +tp17335 +a(g198 +V; +tp17336 +a(g185 +V\u000a +tp17337 +a(g185 +V +tp17338 +a(g185 +V +tp17339 +a(g198 +V} +tp17340 +a(g185 +V\u000a +tp17341 +a(g185 +V +tp17342 +a(g354 +V/* check if idx is Range */ +p17343 +tp17344 +a(g185 +V\u000a +tp17345 +a(g185 +V +tp17346 +a(g111 +Vswitch +p17347 +tp17348 +a(g185 +V +tp17349 +a(g198 +V( +tp17350 +a(g18 +Vrb_range_beg_len +p17351 +tp17352 +a(g198 +V( +tp17353 +a(g18 +Vargv +p17354 +tp17355 +a(g198 +V[ +tp17356 +a(g18 +Vi +tp17357 +a(g198 +V] +tp17358 +a(g198 +V, +tp17359 +a(g185 +V +tp17360 +a(g340 +V& +tp17361 +a(g18 +Vbeg +p17362 +tp17363 +a(g198 +V, +tp17364 +a(g185 +V +tp17365 +a(g340 +V& +tp17366 +a(g18 +Vlen +p17367 +tp17368 +a(g198 +V, +tp17369 +a(g185 +V +tp17370 +a(g18 +Volen +p17371 +tp17372 +a(g198 +V, +tp17373 +a(g185 +V +tp17374 +a(g315 +V0 +tp17375 +a(g198 +V) +tp17376 +a(g198 +V) +tp17377 +a(g185 +V +tp17378 +a(g198 +V{ +tp17379 +a(g185 +V\u000a +tp17380 +a(g185 +V +p17381 +tp17382 +a(g111 +Vcase +p17383 +tp17384 +a(g185 +V +tp17385 +a(g185 +V +tp17386 +a(g18 +VQfalse +p17387 +tp17388 +a(g185 +V: +tp17389 +a(g185 +V\u000a +tp17390 +a(g185 +V +p17391 +tp17392 +a(g111 +Vbreak +p17393 +tp17394 +a(g198 +V; +tp17395 +a(g185 +V\u000a +tp17396 +a(g185 +V +p17397 +tp17398 +a(g185 +V +tp17399 +a(g111 +Vcase +p17400 +tp17401 +a(g185 +V +tp17402 +a(g185 +V +tp17403 +a(g18 +VQnil +p17404 +tp17405 +a(g185 +V: +tp17406 +a(g185 +V\u000a +tp17407 +a(g185 +V +p17408 +tp17409 +a(g111 +Vcontinue +p17410 +tp17411 +a(g198 +V; +tp17412 +a(g185 +V\u000a +tp17413 +a(g185 +V +p17414 +tp17415 +a(g45 +Vdefault: +p17416 +tp17417 +a(g185 +V\u000a +tp17418 +a(g185 +V +p17419 +tp17420 +a(g185 +V +tp17421 +a(g111 +Vfor +p17422 +tp17423 +a(g185 +V +tp17424 +a(g198 +V( +tp17425 +a(g18 +Vj +tp17426 +a(g340 +V= +tp17427 +a(g315 +V0 +tp17428 +a(g198 +V; +tp17429 +a(g185 +V +tp17430 +a(g185 +V +tp17431 +a(g18 +Vj +tp17432 +a(g340 +V< +tp17433 +a(g18 +Vlen +p17434 +tp17435 +a(g198 +V; +tp17436 +a(g185 +V +tp17437 +a(g185 +V +tp17438 +a(g18 +Vj +tp17439 +a(g340 +V+ +tp17440 +a(g340 +V+ +tp17441 +a(g198 +V) +tp17442 +a(g185 +V +tp17443 +a(g198 +V{ +tp17444 +a(g185 +V\u000a +tp17445 +a(g185 +V +p17446 +tp17447 +a(g18 +Vrb_ary_push +p17448 +tp17449 +a(g198 +V( +tp17450 +a(g18 +Vresult +p17451 +tp17452 +a(g198 +V, +tp17453 +a(g185 +V +tp17454 +a(g198 +V( +tp17455 +a(g340 +V* +tp17456 +a(g18 +Vfunc +p17457 +tp17458 +a(g198 +V) +tp17459 +a(g198 +V( +tp17460 +a(g18 +Vobj +p17461 +tp17462 +a(g198 +V, +tp17463 +a(g185 +V +tp17464 +a(g18 +Vj +tp17465 +a(g340 +V+ +tp17466 +a(g18 +Vbeg +p17467 +tp17468 +a(g198 +V) +tp17469 +a(g198 +V) +tp17470 +a(g198 +V; +tp17471 +a(g185 +V\u000a +tp17472 +a(g185 +V +p17473 +tp17474 +a(g185 +V +tp17475 +a(g198 +V} +tp17476 +a(g185 +V\u000a +tp17477 +a(g185 +V +p17478 +tp17479 +a(g111 +Vcontinue +p17480 +tp17481 +a(g198 +V; +tp17482 +a(g185 +V\u000a +tp17483 +a(g185 +V +tp17484 +a(g185 +V +tp17485 +a(g198 +V} +tp17486 +a(g185 +V\u000a +tp17487 +a(g185 +V +tp17488 +a(g18 +Vrb_ary_push +p17489 +tp17490 +a(g198 +V( +tp17491 +a(g18 +Vresult +p17492 +tp17493 +a(g198 +V, +tp17494 +a(g185 +V +tp17495 +a(g198 +V( +tp17496 +a(g340 +V* +tp17497 +a(g18 +Vfunc +p17498 +tp17499 +a(g198 +V) +tp17500 +a(g198 +V( +tp17501 +a(g18 +Vobj +p17502 +tp17503 +a(g198 +V, +tp17504 +a(g185 +V +tp17505 +a(g18 +VNUM2LONG +p17506 +tp17507 +a(g198 +V( +tp17508 +a(g18 +Vargv +p17509 +tp17510 +a(g198 +V[ +tp17511 +a(g18 +Vi +tp17512 +a(g198 +V] +tp17513 +a(g198 +V) +tp17514 +a(g198 +V) +tp17515 +a(g198 +V) +tp17516 +a(g198 +V; +tp17517 +a(g185 +V\u000a +tp17518 +a(g185 +V +p17519 +tp17520 +a(g185 +V +tp17521 +a(g198 +V} +tp17522 +a(g185 +V\u000a +tp17523 +a(g185 +V +p17524 +tp17525 +a(g111 +Vreturn +p17526 +tp17527 +a(g185 +V +tp17528 +a(g18 +Vresult +p17529 +tp17530 +a(g198 +V; +tp17531 +a(g185 +V\u000a +tp17532 +a(g185 +V +tp17533 +a(g198 +V} +tp17534 +a(g185 +V\u000a +tp17535 +a(g185 +V\u000a +tp17536 +a(g354 +V/* \u000a * call-seq:\u000a * array.values_at(selector,... ) -> an_array\u000a *\u000a * Returns an array containing the elements in\u000a * _self_ corresponding to the given selector(s). The selectors\u000a * may be either integer indices or ranges. \u000a * See also Array#select.\u000a * \u000a * a = %w{ a b c d e f }\u000a * a.values_at(1, 3, 5)\u000a * a.values_at(1, 3, 5, 7)\u000a * a.values_at(-1, -3, -5, -7)\u000a * a.values_at(1..3, 2...5)\u000a */ +p17537 +tp17538 +a(g185 +V\u000a +tp17539 +a(g185 +V\u000a +tp17540 +a(g111 +Vstatic +p17541 +tp17542 +a(g185 +V +tp17543 +a(g18 +VVALUE +p17544 +tp17545 +a(g185 +V\u000a +tp17546 +a(g18 +Vrb_ary_values_at +p17547 +tp17548 +a(g198 +V( +tp17549 +a(g18 +Vargc +p17550 +tp17551 +a(g198 +V, +tp17552 +a(g185 +V +tp17553 +a(g18 +Vargv +p17554 +tp17555 +a(g198 +V, +tp17556 +a(g185 +V +tp17557 +a(g18 +Vary +p17558 +tp17559 +a(g198 +V) +tp17560 +a(g185 +V\u000a +tp17561 +a(g185 +V +p17562 +tp17563 +a(g135 +Vint +p17564 +tp17565 +a(g185 +V +tp17566 +a(g18 +Vargc +p17567 +tp17568 +a(g198 +V; +tp17569 +a(g185 +V\u000a +tp17570 +a(g185 +V +p17571 +tp17572 +a(g185 +V +tp17573 +a(g18 +VVALUE +p17574 +tp17575 +a(g185 +V +tp17576 +a(g340 +V* +tp17577 +a(g18 +Vargv +p17578 +tp17579 +a(g198 +V; +tp17580 +a(g185 +V\u000a +tp17581 +a(g185 +V +p17582 +tp17583 +a(g185 +V +tp17584 +a(g18 +VVALUE +p17585 +tp17586 +a(g185 +V +tp17587 +a(g18 +Vary +p17588 +tp17589 +a(g198 +V; +tp17590 +a(g185 +V\u000a +tp17591 +a(g185 +V +tp17592 +a(g198 +V{ +tp17593 +a(g185 +V\u000a +tp17594 +a(g185 +V +p17595 +tp17596 +a(g111 +Vreturn +p17597 +tp17598 +a(g185 +V +tp17599 +a(g18 +Vrb_get_values_at +p17600 +tp17601 +a(g198 +V( +tp17602 +a(g18 +Vary +p17603 +tp17604 +a(g198 +V, +tp17605 +a(g185 +V +tp17606 +a(g18 +VRARRAY +p17607 +tp17608 +a(g198 +V( +tp17609 +a(g18 +Vary +p17610 +tp17611 +a(g198 +V) +tp17612 +a(g340 +V- +tp17613 +a(g340 +V> +tp17614 +a(g18 +Vlen +p17615 +tp17616 +a(g198 +V, +tp17617 +a(g185 +V +tp17618 +a(g18 +Vargc +p17619 +tp17620 +a(g198 +V, +tp17621 +a(g185 +V +tp17622 +a(g18 +Vargv +p17623 +tp17624 +a(g198 +V, +tp17625 +a(g185 +V +tp17626 +a(g18 +Vrb_ary_entry +p17627 +tp17628 +a(g198 +V) +tp17629 +a(g198 +V; +tp17630 +a(g185 +V\u000a +tp17631 +a(g185 +V +tp17632 +a(g198 +V} +tp17633 +a(g185 +V\u000a +tp17634 +a(g185 +V\u000a +tp17635 +a(g354 +V/*\u000a * call-seq:\u000a * array.select {|item| block } -> an_array\u000a * \u000a * Invokes the block passing in successive elements from array,\u000a * returning an array containing those elements for which the block\u000a * returns a true value (equivalent to Enumerable#select).\u000a * \u000a * a = %w{ a b c d e f }\u000a * a.select {|v| v =~ /[aeiou]/} #=> ["a", "e"]\u000a */ +p17636 +tp17637 +a(g185 +V\u000a +tp17638 +a(g185 +V\u000a +tp17639 +a(g111 +Vstatic +p17640 +tp17641 +a(g185 +V +tp17642 +a(g18 +VVALUE +p17643 +tp17644 +a(g185 +V\u000a +tp17645 +a(g18 +Vrb_ary_select +p17646 +tp17647 +a(g198 +V( +tp17648 +a(g18 +Vary +p17649 +tp17650 +a(g198 +V) +tp17651 +a(g185 +V\u000a +tp17652 +a(g185 +V +p17653 +tp17654 +a(g18 +VVALUE +p17655 +tp17656 +a(g185 +V +tp17657 +a(g18 +Vary +p17658 +tp17659 +a(g198 +V; +tp17660 +a(g185 +V\u000a +tp17661 +a(g185 +V +tp17662 +a(g198 +V{ +tp17663 +a(g185 +V\u000a +tp17664 +a(g185 +V +p17665 +tp17666 +a(g18 +VVALUE +p17667 +tp17668 +a(g185 +V +tp17669 +a(g18 +Vresult +p17670 +tp17671 +a(g198 +V; +tp17672 +a(g185 +V\u000a +tp17673 +a(g185 +V +p17674 +tp17675 +a(g185 +V +tp17676 +a(g135 +Vlong +p17677 +tp17678 +a(g185 +V +tp17679 +a(g18 +Vi +tp17680 +a(g198 +V; +tp17681 +a(g185 +V\u000a +tp17682 +a(g185 +V\u000a +tp17683 +a(g185 +V +p17684 +tp17685 +a(g185 +V +tp17686 +a(g18 +Vresult +p17687 +tp17688 +a(g185 +V +tp17689 +a(g340 +V= +tp17690 +a(g185 +V +tp17691 +a(g18 +Vrb_ary_new2 +p17692 +tp17693 +a(g198 +V( +tp17694 +a(g18 +VRARRAY +p17695 +tp17696 +a(g198 +V( +tp17697 +a(g18 +Vary +p17698 +tp17699 +a(g198 +V) +tp17700 +a(g340 +V- +tp17701 +a(g340 +V> +tp17702 +a(g18 +Vlen +p17703 +tp17704 +a(g198 +V) +tp17705 +a(g198 +V; +tp17706 +a(g185 +V\u000a +tp17707 +a(g185 +V +p17708 +tp17709 +a(g185 +V +tp17710 +a(g111 +Vfor +p17711 +tp17712 +a(g185 +V +tp17713 +a(g198 +V( +tp17714 +a(g18 +Vi +tp17715 +a(g185 +V +tp17716 +a(g340 +V= +tp17717 +a(g185 +V +tp17718 +a(g315 +V0 +tp17719 +a(g198 +V; +tp17720 +a(g185 +V +tp17721 +a(g185 +V +tp17722 +a(g18 +Vi +tp17723 +a(g185 +V +tp17724 +a(g340 +V< +tp17725 +a(g185 +V +tp17726 +a(g18 +VRARRAY +p17727 +tp17728 +a(g198 +V( +tp17729 +a(g18 +Vary +p17730 +tp17731 +a(g198 +V) +tp17732 +a(g340 +V- +tp17733 +a(g340 +V> +tp17734 +a(g18 +Vlen +p17735 +tp17736 +a(g198 +V; +tp17737 +a(g185 +V +tp17738 +a(g185 +V +tp17739 +a(g18 +Vi +tp17740 +a(g340 +V+ +tp17741 +a(g340 +V+ +tp17742 +a(g198 +V) +tp17743 +a(g185 +V +tp17744 +a(g198 +V{ +tp17745 +a(g185 +V\u000a +tp17746 +a(g185 +V +tp17747 +a(g111 +Vif +p17748 +tp17749 +a(g185 +V +tp17750 +a(g198 +V( +tp17751 +a(g18 +VRTEST +p17752 +tp17753 +a(g198 +V( +tp17754 +a(g18 +Vrb_yield +p17755 +tp17756 +a(g198 +V( +tp17757 +a(g18 +VRARRAY +p17758 +tp17759 +a(g198 +V( +tp17760 +a(g18 +Vary +p17761 +tp17762 +a(g198 +V) +tp17763 +a(g340 +V- +tp17764 +a(g340 +V> +tp17765 +a(g18 +Vptr +p17766 +tp17767 +a(g198 +V[ +tp17768 +a(g18 +Vi +tp17769 +a(g198 +V] +tp17770 +a(g198 +V) +tp17771 +a(g198 +V) +tp17772 +a(g198 +V) +tp17773 +a(g185 +V +tp17774 +a(g198 +V{ +tp17775 +a(g185 +V\u000a +tp17776 +a(g185 +V +p17777 +tp17778 +a(g18 +Vrb_ary_push +p17779 +tp17780 +a(g198 +V( +tp17781 +a(g18 +Vresult +p17782 +tp17783 +a(g198 +V, +tp17784 +a(g185 +V +tp17785 +a(g18 +Vrb_ary_elt +p17786 +tp17787 +a(g198 +V( +tp17788 +a(g18 +Vary +p17789 +tp17790 +a(g198 +V, +tp17791 +a(g185 +V +tp17792 +a(g18 +Vi +tp17793 +a(g198 +V) +tp17794 +a(g198 +V) +tp17795 +a(g198 +V; +tp17796 +a(g185 +V\u000a +tp17797 +a(g185 +V +tp17798 +a(g185 +V +tp17799 +a(g198 +V} +tp17800 +a(g185 +V\u000a +tp17801 +a(g185 +V +p17802 +tp17803 +a(g198 +V} +tp17804 +a(g185 +V\u000a +tp17805 +a(g185 +V +p17806 +tp17807 +a(g111 +Vreturn +p17808 +tp17809 +a(g185 +V +tp17810 +a(g18 +Vresult +p17811 +tp17812 +a(g198 +V; +tp17813 +a(g185 +V\u000a +tp17814 +a(g185 +V +tp17815 +a(g198 +V} +tp17816 +a(g185 +V\u000a +tp17817 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.cpp b/tests/examplefiles/output/example.cpp new file mode 100644 index 0000000..02994db --- /dev/null +++ b/tests/examplefiles/output/example.cpp @@ -0,0 +1,52539 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +g32 +g2 +(g3 +g4 +(g110 +g32 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp116 +(dp117 +g9 +g10 +((ltRp118 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g111 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g110 +g122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g111 +sbsg9 +g10 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g110 +S'Type' +p134 +ttRp135 +(dp136 +g9 +g10 +((ltRp137 +sg12 +g111 +sbag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g9 +g10 +((ltRp145 +sg12 +g140 +sbsg12 +g15 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g140 +sbsg9 +g10 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g9 +g10 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g9 +g10 +((ltRp191 +sg12 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg12 +g15 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g9 +g10 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g9 +g10 +((ltRp204 +sg12 +g198 +sbatRp205 +sg201 +g202 +sg12 +g15 +sbsS'Token' +p206 +g15 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g9 +g10 +((ltRp214 +sg12 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g209 +sbsg12 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g222 +sbsg12 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g222 +sbsS'Single' +p267 +g2 +(g3 +g4 +(g208 +g221 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g222 +sbsg64 +g2 +(g3 +g4 +(g208 +g221 +g64 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g222 +sbsS'Doc' +p274 +g2 +(g3 +g4 +(g208 +g221 +g274 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g222 +sbsg9 +g10 +((lp278 +g271 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p279 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g222 +sbag241 +ag264 +ag256 +ag275 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag268 +ag252 +ag248 +atRp283 +sg279 +g280 +sbsg12 +g15 +sg207 +g209 +sS'Scalar' +p284 +g2 +(g3 +g4 +(g208 +g284 +ttRp285 +(dp286 +g9 +g10 +((lp287 +g2 +(g3 +g4 +(g208 +g284 +S'Plain' +p288 +ttRp289 +(dp290 +g9 +g10 +((ltRp291 +sg12 +g285 +sbatRp292 +sg12 +g219 +sg288 +g289 +sbsg64 +g2 +(g3 +g4 +(g208 +g64 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g219 +sbsS'Date' +p296 +g2 +(g3 +g4 +(g208 +g296 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g219 +sbsg9 +g10 +((lp300 +g297 +ag222 +ag293 +ag209 +ag285 +atRp301 +sbsS'Decimal' +p302 +g2 +(g3 +g4 +(g208 +g207 +g302 +ttRp303 +(dp304 +g9 +g10 +((ltRp305 +sg12 +g209 +sbsS'Float' +p306 +g2 +(g3 +g4 +(g208 +g207 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g209 +sbsS'Hex' +p310 +g2 +(g3 +g4 +(g208 +g207 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g209 +sbsS'Integer' +p314 +g2 +(g3 +g4 +(g208 +g207 +g314 +ttRp315 +(dp316 +g9 +g10 +((lp317 +g2 +(g3 +g4 +(g208 +g207 +g314 +S'Long' +p318 +ttRp319 +(dp320 +g9 +g10 +((ltRp321 +sg12 +g315 +sbatRp322 +sg318 +g319 +sg12 +g209 +sbsS'Octal' +p323 +g2 +(g3 +g4 +(g208 +g207 +g323 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g209 +sbsg9 +g10 +((lp327 +g212 +ag216 +ag324 +ag303 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p328 +ttRp329 +(dp330 +g9 +g10 +((ltRp331 +sg12 +g209 +sbag315 +ag307 +ag311 +atRp332 +sg328 +g329 +sbsg208 +g219 +sg64 +g2 +(g3 +g4 +(g64 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g15 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsS'Operator' +p339 +g2 +(g3 +g4 +(g339 +ttRp340 +(dp341 +g9 +g10 +((lp342 +g2 +(g3 +g4 +(g339 +S'Word' +p343 +ttRp344 +(dp345 +g9 +g10 +((ltRp346 +sg12 +g340 +sbatRp347 +sg343 +g344 +sg12 +g15 +sbsg9 +g10 +((lp348 +g13 +ag336 +ag140 +ag185 +ag18 +ag198 +ag111 +ag219 +ag340 +ag333 +atRp349 +sg221 +g222 +sbsS'Preproc' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbsg267 +g2 +(g3 +g4 +(g5 +g267 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag351 +ag354 +ag7 +atRp362 +sg358 +g359 +sbsbV/***************************************************************************\u000a ansigenerator.cpp - description\u000a -------------------\u000a begin : Jul 5 2004\u000a copyright : (C) 2004 by André Simon\u000a email : andre.simon1@gmx.de\u000a ***************************************************************************/ +p363 +tp364 +a(g185 +V\u000a +tp365 +a(g185 +V\u000a +tp366 +a(g7 +V/***************************************************************************\u000a * *\u000a * This program is free software; you can redistribute it and/or modify *\u000a * it under the terms of the GNU General Public License as published by *\u000a * the Free Software Foundation; either version 2 of the License, or *\u000a * (at your option) any later version. *\u000a * *\u000a ***************************************************************************/ +p367 +tp368 +a(g185 +V\u000a +tp369 +a(g351 +V\u000a# +p370 +tp371 +a(g351 +Vinclude "ansigenerator.h" +p372 +tp373 +a(g351 +V\u000a +tp374 +a(g185 +V\u000a +tp375 +a(g111 +Vusing +p376 +tp377 +a(g185 +V +tp378 +a(g111 +Vnamespace +p379 +tp380 +a(g185 +V +tp381 +a(g18 +Vstd +p382 +tp383 +a(g198 +V; +tp384 +a(g185 +V\u000a +tp385 +a(g185 +V\u000a +tp386 +a(g111 +Vnamespace +p387 +tp388 +a(g185 +V +tp389 +a(g18 +Vhighlight +p390 +tp391 +a(g185 +V +tp392 +a(g198 +V{ +tp393 +a(g185 +V\u000a +tp394 +a(g185 +V\u000a +tp395 +a(g185 +V\u000a +tp396 +a(g18 +Vstring +p397 +tp398 +a(g185 +V +p399 +tp400 +a(g18 +VAnsiGenerator +p401 +tp402 +a(g340 +V: +tp403 +a(g340 +V: +tp404 +a(g18 +VgetOpenTag +p405 +tp406 +a(g198 +V( +tp407 +a(g111 +Vconst +p408 +tp409 +a(g185 +V +tp410 +a(g18 +Vstring +p411 +tp412 +a(g340 +V& +tp413 +a(g18 +Vfont +p414 +tp415 +a(g198 +V, +tp416 +a(g185 +V\u000a +tp417 +a(g185 +V +p418 +tp419 +a(g111 +Vconst +p420 +tp421 +a(g185 +V +tp422 +a(g18 +Vstring +p423 +tp424 +a(g340 +V& +tp425 +a(g18 +VfgCol +p426 +tp427 +a(g198 +V, +tp428 +a(g185 +V +tp429 +a(g111 +Vconst +p430 +tp431 +a(g185 +V +tp432 +a(g18 +Vstring +p433 +tp434 +a(g340 +V& +tp435 +a(g18 +VbgCol +p436 +tp437 +a(g198 +V) +tp438 +a(g185 +V +tp439 +a(g198 +V{ +tp440 +a(g185 +V\u000a +tp441 +a(g185 +V +p442 +tp443 +a(g18 +Vostringstream +p444 +tp445 +a(g185 +V +tp446 +a(g18 +Vs +tp447 +a(g198 +V; +tp448 +a(g185 +V\u000a +tp449 +a(g185 +V +p450 +tp451 +a(g18 +Vs +tp452 +a(g185 +V +p453 +tp454 +a(g340 +V< +tp455 +a(g340 +V< +tp456 +a(g185 +V +tp457 +a(g222 +V" +tp458 +a(g248 +V\u005c033 +p459 +tp460 +a(g222 +V[ +tp461 +a(g222 +V" +tp462 +a(g340 +V< +tp463 +a(g340 +V< +tp464 +a(g18 +Vfont +p465 +tp466 +a(g198 +V; +tp467 +a(g185 +V\u000a +tp468 +a(g185 +V +p469 +tp470 +a(g111 +Vif +p471 +tp472 +a(g185 +V +tp473 +a(g198 +V( +tp474 +a(g340 +V! +tp475 +a(g18 +VfgCol +p476 +tp477 +a(g198 +V. +tp478 +a(g18 +Vempty +p479 +tp480 +a(g198 +V( +tp481 +a(g198 +V) +tp482 +a(g198 +V) +tp483 +a(g185 +V\u000a +tp484 +a(g185 +V +p485 +tp486 +a(g18 +Vs +tp487 +a(g340 +V< +tp488 +a(g340 +V< +tp489 +a(g222 +V" +tp490 +a(g222 +V; +tp491 +a(g222 +V" +tp492 +a(g340 +V< +tp493 +a(g340 +V< +tp494 +a(g18 +VfgCol +p495 +tp496 +a(g198 +V; +tp497 +a(g185 +V\u000a +tp498 +a(g185 +V +p499 +tp500 +a(g111 +Vif +p501 +tp502 +a(g185 +V +tp503 +a(g198 +V( +tp504 +a(g340 +V! +tp505 +a(g18 +VbgCol +p506 +tp507 +a(g198 +V. +tp508 +a(g18 +Vempty +p509 +tp510 +a(g198 +V( +tp511 +a(g198 +V) +tp512 +a(g198 +V) +tp513 +a(g185 +V\u000a +tp514 +a(g185 +V +p515 +tp516 +a(g18 +Vs +tp517 +a(g340 +V< +tp518 +a(g340 +V< +tp519 +a(g222 +V" +tp520 +a(g222 +V; +tp521 +a(g222 +V" +tp522 +a(g340 +V< +tp523 +a(g340 +V< +tp524 +a(g18 +VbgCol +p525 +tp526 +a(g198 +V; +tp527 +a(g185 +V\u000a +tp528 +a(g185 +V +p529 +tp530 +a(g18 +Vs +tp531 +a(g185 +V +tp532 +a(g340 +V< +tp533 +a(g340 +V< +tp534 +a(g185 +V +tp535 +a(g222 +V" +tp536 +a(g222 +Vm +tp537 +a(g222 +V" +tp538 +a(g198 +V; +tp539 +a(g185 +V\u000a +tp540 +a(g185 +V +p541 +tp542 +a(g111 +Vreturn +p543 +tp544 +a(g185 +V +p545 +tp546 +a(g18 +Vs +tp547 +a(g198 +V. +tp548 +a(g18 +Vstr +p549 +tp550 +a(g198 +V( +tp551 +a(g198 +V) +tp552 +a(g198 +V; +tp553 +a(g185 +V\u000a +tp554 +a(g198 +V} +tp555 +a(g185 +V\u000a +tp556 +a(g185 +V\u000a +tp557 +a(g185 +V\u000a +tp558 +a(g18 +VAnsiGenerator +p559 +tp560 +a(g340 +V: +tp561 +a(g340 +V: +tp562 +a(g18 +VAnsiGenerator +p563 +tp564 +a(g198 +V( +tp565 +a(g111 +Vconst +p566 +tp567 +a(g185 +V +tp568 +a(g18 +Vstring +p569 +tp570 +a(g185 +V +tp571 +a(g340 +V& +tp572 +a(g18 +VcolourTheme +p573 +tp574 +a(g198 +V) +tp575 +a(g185 +V\u000a +tp576 +a(g185 +V +p577 +tp578 +a(g340 +V: +tp579 +a(g185 +V +tp580 +a(g18 +VCodeGenerator +p581 +tp582 +a(g198 +V( +tp583 +a(g18 +VcolourTheme +p584 +tp585 +a(g198 +V) +tp586 +a(g185 +V +tp587 +a(g198 +V{ +tp588 +a(g185 +V\u000a +tp589 +a(g185 +V +p590 +tp591 +a(g18 +VstyleTagOpen +p592 +tp593 +a(g198 +V. +tp594 +a(g18 +Vpush_back +p595 +tp596 +a(g198 +V( +tp597 +a(g222 +V" +tp598 +a(g222 +V" +tp599 +a(g198 +V) +tp600 +a(g198 +V; +tp601 +a(g185 +V\u000a +tp602 +a(g185 +V +p603 +tp604 +a(g18 +VstyleTagOpen +p605 +tp606 +a(g198 +V. +tp607 +a(g18 +Vpush_back +p608 +tp609 +a(g198 +V( +tp610 +a(g18 +VgetOpenTag +p611 +tp612 +a(g198 +V( +tp613 +a(g222 +V" +tp614 +a(g222 +V00 +p615 +tp616 +a(g222 +V" +tp617 +a(g198 +V, +tp618 +a(g185 +V +tp619 +a(g222 +V" +tp620 +a(g222 +V31 +p621 +tp622 +a(g222 +V" +tp623 +a(g198 +V) +tp624 +a(g198 +V) +tp625 +a(g198 +V; +tp626 +a(g185 +V +tp627 +a(g354 +V//str\u000a +p628 +tp629 +a(g185 +V +p630 +tp631 +a(g18 +VstyleTagOpen +p632 +tp633 +a(g198 +V. +tp634 +a(g18 +Vpush_back +p635 +tp636 +a(g198 +V( +tp637 +a(g18 +VgetOpenTag +p638 +tp639 +a(g198 +V( +tp640 +a(g222 +V" +tp641 +a(g222 +V00 +p642 +tp643 +a(g222 +V" +tp644 +a(g198 +V, +tp645 +a(g185 +V +tp646 +a(g222 +V" +tp647 +a(g222 +V34 +p648 +tp649 +a(g222 +V" +tp650 +a(g198 +V) +tp651 +a(g198 +V) +tp652 +a(g198 +V; +tp653 +a(g354 +V//number\u000a +p654 +tp655 +a(g185 +V +p656 +tp657 +a(g18 +VstyleTagOpen +p658 +tp659 +a(g198 +V. +tp660 +a(g18 +Vpush_back +p661 +tp662 +a(g198 +V( +tp663 +a(g18 +VgetOpenTag +p664 +tp665 +a(g198 +V( +tp666 +a(g222 +V" +tp667 +a(g222 +V00 +p668 +tp669 +a(g222 +V" +tp670 +a(g198 +V, +tp671 +a(g185 +V +tp672 +a(g222 +V" +tp673 +a(g222 +V34 +p674 +tp675 +a(g222 +V" +tp676 +a(g198 +V) +tp677 +a(g198 +V) +tp678 +a(g198 +V; +tp679 +a(g354 +V//sl comment\u000a +p680 +tp681 +a(g185 +V +p682 +tp683 +a(g18 +VstyleTagOpen +p684 +tp685 +a(g198 +V. +tp686 +a(g18 +Vpush_back +p687 +tp688 +a(g198 +V( +tp689 +a(g18 +VgetOpenTag +p690 +tp691 +a(g198 +V( +tp692 +a(g222 +V" +tp693 +a(g222 +V00 +p694 +tp695 +a(g222 +V" +tp696 +a(g198 +V, +tp697 +a(g185 +V +tp698 +a(g222 +V" +tp699 +a(g222 +V34 +p700 +tp701 +a(g222 +V" +tp702 +a(g198 +V) +tp703 +a(g198 +V) +tp704 +a(g198 +V; +tp705 +a(g354 +V//ml comment\u000a +p706 +tp707 +a(g185 +V +p708 +tp709 +a(g18 +VstyleTagOpen +p710 +tp711 +a(g198 +V. +tp712 +a(g18 +Vpush_back +p713 +tp714 +a(g198 +V( +tp715 +a(g18 +VgetOpenTag +p716 +tp717 +a(g198 +V( +tp718 +a(g222 +V" +tp719 +a(g222 +V00 +p720 +tp721 +a(g222 +V" +tp722 +a(g198 +V, +tp723 +a(g185 +V +tp724 +a(g222 +V" +tp725 +a(g222 +V35 +p726 +tp727 +a(g222 +V" +tp728 +a(g198 +V) +tp729 +a(g198 +V) +tp730 +a(g198 +V; +tp731 +a(g354 +V//escapeChar\u000a +p732 +tp733 +a(g185 +V +p734 +tp735 +a(g18 +VstyleTagOpen +p736 +tp737 +a(g198 +V. +tp738 +a(g18 +Vpush_back +p739 +tp740 +a(g198 +V( +tp741 +a(g18 +VgetOpenTag +p742 +tp743 +a(g198 +V( +tp744 +a(g222 +V" +tp745 +a(g222 +V00 +p746 +tp747 +a(g222 +V" +tp748 +a(g198 +V, +tp749 +a(g185 +V +tp750 +a(g222 +V" +tp751 +a(g222 +V35 +p752 +tp753 +a(g222 +V" +tp754 +a(g198 +V) +tp755 +a(g198 +V) +tp756 +a(g198 +V; +tp757 +a(g354 +V//directive\u000a +p758 +tp759 +a(g185 +V +p760 +tp761 +a(g18 +VstyleTagOpen +p762 +tp763 +a(g198 +V. +tp764 +a(g18 +Vpush_back +p765 +tp766 +a(g198 +V( +tp767 +a(g18 +VgetOpenTag +p768 +tp769 +a(g198 +V( +tp770 +a(g222 +V" +tp771 +a(g222 +V01 +p772 +tp773 +a(g222 +V" +tp774 +a(g198 +V, +tp775 +a(g185 +V +tp776 +a(g222 +V" +tp777 +a(g222 +V31 +p778 +tp779 +a(g222 +V" +tp780 +a(g198 +V) +tp781 +a(g198 +V) +tp782 +a(g198 +V; +tp783 +a(g354 +V//directive string\u000a +p784 +tp785 +a(g185 +V +p786 +tp787 +a(g18 +VstyleTagOpen +p788 +tp789 +a(g198 +V. +tp790 +a(g18 +Vpush_back +p791 +tp792 +a(g198 +V( +tp793 +a(g18 +VgetOpenTag +p794 +tp795 +a(g198 +V( +tp796 +a(g222 +V" +tp797 +a(g222 +V00 +p798 +tp799 +a(g222 +V" +tp800 +a(g198 +V, +tp801 +a(g185 +V +tp802 +a(g222 +V" +tp803 +a(g222 +V30 +p804 +tp805 +a(g222 +V" +tp806 +a(g198 +V) +tp807 +a(g198 +V) +tp808 +a(g198 +V; +tp809 +a(g354 +V//linenum\u000a +p810 +tp811 +a(g185 +V +p812 +tp813 +a(g18 +VstyleTagOpen +p814 +tp815 +a(g198 +V. +tp816 +a(g18 +Vpush_back +p817 +tp818 +a(g198 +V( +tp819 +a(g18 +VgetOpenTag +p820 +tp821 +a(g198 +V( +tp822 +a(g222 +V" +tp823 +a(g222 +V01 +p824 +tp825 +a(g222 +V" +tp826 +a(g198 +V, +tp827 +a(g185 +V +tp828 +a(g222 +V" +tp829 +a(g222 +V00 +p830 +tp831 +a(g222 +V" +tp832 +a(g198 +V) +tp833 +a(g198 +V) +tp834 +a(g198 +V; +tp835 +a(g354 +V//symbol\u000a +p836 +tp837 +a(g185 +V\u000a +tp838 +a(g185 +V +p839 +tp840 +a(g18 +VstyleTagClose +p841 +tp842 +a(g198 +V. +tp843 +a(g18 +Vpush_back +p844 +tp845 +a(g198 +V( +tp846 +a(g222 +V" +tp847 +a(g222 +V" +tp848 +a(g198 +V) +tp849 +a(g198 +V; +tp850 +a(g185 +V\u000a +tp851 +a(g185 +V +p852 +tp853 +a(g111 +Vfor +p854 +tp855 +a(g185 +V +tp856 +a(g198 +V( +tp857 +a(g135 +Vint +p858 +tp859 +a(g185 +V +tp860 +a(g18 +Vi +tp861 +a(g340 +V= +tp862 +a(g315 +V1 +tp863 +a(g198 +V; +tp864 +a(g18 +Vi +tp865 +a(g340 +V< +tp866 +a(g18 +VNUMBER_BUILTIN_STYLES +p867 +tp868 +a(g198 +V; +tp869 +a(g185 +V +tp870 +a(g18 +Vi +tp871 +a(g340 +V+ +tp872 +a(g340 +V+ +tp873 +a(g198 +V) +tp874 +a(g185 +V +tp875 +a(g198 +V{ +tp876 +a(g185 +V\u000a +tp877 +a(g185 +V +p878 +tp879 +a(g18 +VstyleTagClose +p880 +tp881 +a(g198 +V. +tp882 +a(g18 +Vpush_back +p883 +tp884 +a(g198 +V( +tp885 +a(g222 +V" +tp886 +a(g248 +V\u005c033 +p887 +tp888 +a(g222 +V[m +p889 +tp890 +a(g222 +V" +tp891 +a(g198 +V) +tp892 +a(g198 +V; +tp893 +a(g185 +V\u000a +tp894 +a(g185 +V +p895 +tp896 +a(g198 +V} +tp897 +a(g185 +V\u000a +tp898 +a(g185 +V +p899 +tp900 +a(g18 +VnewLineTag +p901 +tp902 +a(g185 +V +tp903 +a(g340 +V= +tp904 +a(g185 +V +tp905 +a(g222 +V" +tp906 +a(g248 +V\u005cn +p907 +tp908 +a(g222 +V" +tp909 +a(g198 +V; +tp910 +a(g185 +V\u000a +tp911 +a(g185 +V +p912 +tp913 +a(g18 +Vspacer +p914 +tp915 +a(g185 +V +tp916 +a(g340 +V= +tp917 +a(g185 +V +tp918 +a(g222 +V" +tp919 +a(g222 +V +tp920 +a(g222 +V" +tp921 +a(g198 +V; +tp922 +a(g185 +V\u000a +tp923 +a(g198 +V} +tp924 +a(g185 +V\u000a +tp925 +a(g185 +V\u000a +tp926 +a(g18 +VAnsiGenerator +p927 +tp928 +a(g340 +V: +tp929 +a(g340 +V: +tp930 +a(g18 +VAnsiGenerator +p931 +tp932 +a(g198 +V( +tp933 +a(g198 +V) +tp934 +a(g185 +V +tp935 +a(g198 +V{ +tp936 +a(g198 +V} +tp937 +a(g185 +V\u000a +tp938 +a(g18 +VAnsiGenerator +p939 +tp940 +a(g340 +V: +tp941 +a(g340 +V: +tp942 +a(g340 +V~ +tp943 +a(g18 +VAnsiGenerator +p944 +tp945 +a(g198 +V( +tp946 +a(g198 +V) +tp947 +a(g185 +V +tp948 +a(g198 +V{ +tp949 +a(g198 +V} +tp950 +a(g185 +V\u000a +tp951 +a(g185 +V\u000a +tp952 +a(g18 +Vstring +p953 +tp954 +a(g185 +V +tp955 +a(g18 +VAnsiGenerator +p956 +tp957 +a(g340 +V: +tp958 +a(g340 +V: +tp959 +a(g18 +VgetHeader +p960 +tp961 +a(g198 +V( +tp962 +a(g111 +Vconst +p963 +tp964 +a(g185 +V +tp965 +a(g18 +Vstring +p966 +tp967 +a(g185 +V +tp968 +a(g340 +V& +tp969 +a(g185 +V +tp970 +a(g18 +Vtitle +p971 +tp972 +a(g198 +V) +tp973 +a(g185 +V +tp974 +a(g198 +V{ +tp975 +a(g185 +V\u000a +tp976 +a(g185 +V +p977 +tp978 +a(g111 +Vreturn +p979 +tp980 +a(g185 +V +tp981 +a(g18 +Vstring +p982 +tp983 +a(g198 +V( +tp984 +a(g198 +V) +tp985 +a(g198 +V; +tp986 +a(g185 +V\u000a +tp987 +a(g198 +V} +tp988 +a(g185 +V\u000a +tp989 +a(g185 +V\u000a +tp990 +a(g135 +Vvoid +p991 +tp992 +a(g185 +V +tp993 +a(g18 +VAnsiGenerator +p994 +tp995 +a(g340 +V: +tp996 +a(g340 +V: +tp997 +a(g18 +VprintBody +p998 +tp999 +a(g198 +V( +tp1000 +a(g198 +V) +tp1001 +a(g185 +V +tp1002 +a(g198 +V{ +tp1003 +a(g185 +V\u000a +tp1004 +a(g185 +V +p1005 +tp1006 +a(g18 +VprocessRootState +p1007 +tp1008 +a(g198 +V( +tp1009 +a(g198 +V) +tp1010 +a(g198 +V; +tp1011 +a(g185 +V\u000a +tp1012 +a(g198 +V} +tp1013 +a(g185 +V\u000a +tp1014 +a(g185 +V\u000a +tp1015 +a(g18 +Vstring +p1016 +tp1017 +a(g185 +V +tp1018 +a(g18 +VAnsiGenerator +p1019 +tp1020 +a(g340 +V: +tp1021 +a(g340 +V: +tp1022 +a(g18 +VgetFooter +p1023 +tp1024 +a(g198 +V( +tp1025 +a(g198 +V) +tp1026 +a(g185 +V +tp1027 +a(g198 +V{ +tp1028 +a(g185 +V\u000a +tp1029 +a(g185 +V +p1030 +tp1031 +a(g111 +Vreturn +p1032 +tp1033 +a(g185 +V +tp1034 +a(g18 +Vstring +p1035 +tp1036 +a(g198 +V( +tp1037 +a(g198 +V) +tp1038 +a(g198 +V; +tp1039 +a(g185 +V\u000a +tp1040 +a(g198 +V} +tp1041 +a(g185 +V\u000a +tp1042 +a(g185 +V\u000a +tp1043 +a(g18 +Vstring +p1044 +tp1045 +a(g185 +V +tp1046 +a(g18 +VAnsiGenerator +p1047 +tp1048 +a(g340 +V: +tp1049 +a(g340 +V: +tp1050 +a(g18 +VmaskCharacter +p1051 +tp1052 +a(g198 +V( +tp1053 +a(g135 +Vunsigned +p1054 +tp1055 +a(g185 +V +tp1056 +a(g135 +Vchar +p1057 +tp1058 +a(g185 +V +tp1059 +a(g18 +Vc +tp1060 +a(g198 +V) +tp1061 +a(g185 +V +tp1062 +a(g198 +V{ +tp1063 +a(g185 +V\u000a +tp1064 +a(g185 +V +p1065 +tp1066 +a(g18 +Vstring +p1067 +tp1068 +a(g185 +V +tp1069 +a(g18 +Vm +tp1070 +a(g198 +V; +tp1071 +a(g185 +V\u000a +tp1072 +a(g185 +V +p1073 +tp1074 +a(g18 +Vm +tp1075 +a(g340 +V+ +tp1076 +a(g340 +V= +tp1077 +a(g18 +Vc +tp1078 +a(g198 +V; +tp1079 +a(g185 +V\u000a +tp1080 +a(g185 +V +p1081 +tp1082 +a(g111 +Vreturn +p1083 +tp1084 +a(g185 +V +tp1085 +a(g18 +Vm +tp1086 +a(g198 +V; +tp1087 +a(g185 +V\u000a +tp1088 +a(g198 +V} +tp1089 +a(g185 +V\u000a +tp1090 +a(g185 +V\u000a +tp1091 +a(g18 +Vstring +p1092 +tp1093 +a(g185 +V +tp1094 +a(g18 +VAnsiGenerator +p1095 +tp1096 +a(g340 +V: +tp1097 +a(g340 +V: +tp1098 +a(g18 +VgetMatchingOpenTag +p1099 +tp1100 +a(g198 +V( +tp1101 +a(g135 +Vunsigned +p1102 +tp1103 +a(g185 +V +tp1104 +a(g135 +Vint +p1105 +tp1106 +a(g185 +V +tp1107 +a(g18 +VstyleID +p1108 +tp1109 +a(g198 +V) +tp1110 +a(g185 +V +tp1111 +a(g198 +V{ +tp1112 +a(g185 +V\u000a +tp1113 +a(g185 +V +p1114 +tp1115 +a(g111 +Vreturn +p1116 +tp1117 +a(g185 +V +tp1118 +a(g198 +V( +tp1119 +a(g18 +VstyleID +p1120 +tp1121 +a(g198 +V) +tp1122 +a(g340 +V? +tp1123 +a(g18 +VgetOpenTag +p1124 +tp1125 +a(g198 +V( +tp1126 +a(g222 +V" +tp1127 +a(g222 +V01 +p1128 +tp1129 +a(g222 +V" +tp1130 +a(g198 +V, +tp1131 +a(g185 +V +tp1132 +a(g222 +V" +tp1133 +a(g222 +V32 +p1134 +tp1135 +a(g222 +V" +tp1136 +a(g198 +V, +tp1137 +a(g185 +V +tp1138 +a(g222 +V" +tp1139 +a(g222 +V" +tp1140 +a(g198 +V) +tp1141 +a(g340 +V: +tp1142 +a(g18 +VgetOpenTag +p1143 +tp1144 +a(g198 +V( +tp1145 +a(g222 +V" +tp1146 +a(g222 +V00 +p1147 +tp1148 +a(g222 +V" +tp1149 +a(g198 +V, +tp1150 +a(g185 +V +tp1151 +a(g222 +V" +tp1152 +a(g222 +V33 +p1153 +tp1154 +a(g222 +V" +tp1155 +a(g198 +V) +tp1156 +a(g198 +V; +tp1157 +a(g185 +V\u000a +tp1158 +a(g198 +V} +tp1159 +a(g185 +V\u000a +tp1160 +a(g185 +V\u000a +tp1161 +a(g18 +Vstring +p1162 +tp1163 +a(g185 +V +tp1164 +a(g18 +VAnsiGenerator +p1165 +tp1166 +a(g340 +V: +tp1167 +a(g340 +V: +tp1168 +a(g18 +VgetMatchingCloseTag +p1169 +tp1170 +a(g198 +V( +tp1171 +a(g135 +Vunsigned +p1172 +tp1173 +a(g185 +V +tp1174 +a(g135 +Vint +p1175 +tp1176 +a(g185 +V +tp1177 +a(g18 +VstyleID +p1178 +tp1179 +a(g198 +V) +tp1180 +a(g185 +V +tp1181 +a(g198 +V{ +tp1182 +a(g185 +V\u000a +tp1183 +a(g185 +V +p1184 +tp1185 +a(g111 +Vreturn +p1186 +tp1187 +a(g185 +V +tp1188 +a(g222 +V" +tp1189 +a(g248 +V\u005c033 +p1190 +tp1191 +a(g222 +V[m +p1192 +tp1193 +a(g222 +V" +tp1194 +a(g198 +V; +tp1195 +a(g185 +V\u000a +tp1196 +a(g198 +V} +tp1197 +a(g185 +V\u000a +tp1198 +a(g185 +V\u000a +tp1199 +a(g198 +V} +tp1200 +a(g185 +V\u000a +tp1201 +a(g7 +V/***************************************************************************\u000a ansicode.h - description\u000a -------------------\u000a begin : Jul 5 2004\u000a copyright : (C) 2004 by Andre Simon\u000a email : andre.simon1@gmx.de\u000a ***************************************************************************/ +p1202 +tp1203 +a(g185 +V\u000a +tp1204 +a(g185 +V\u000a +tp1205 +a(g7 +V/***************************************************************************\u000a * *\u000a * This program is free software; you can redistribute it and/or modify *\u000a * it under the terms of the GNU General Public License as published by *\u000a * the Free Software Foundation; either version 2 of the License, or *\u000a * (at your option) any later version. *\u000a * *\u000a ***************************************************************************/ +p1206 +tp1207 +a(g185 +V\u000a +tp1208 +a(g351 +V\u000a# +p1209 +tp1210 +a(g351 +Vifndef ANSIGENERATOR_H +p1211 +tp1212 +a(g351 +V\u000a +tp1213 +a(g351 +V# +tp1214 +a(g351 +Vdefine ANSIGENERATOR_H +p1215 +tp1216 +a(g351 +V\u000a +tp1217 +a(g351 +V\u000a# +p1218 +tp1219 +a(g351 +Vinclude +p1220 +tp1221 +a(g351 +V\u000a +tp1222 +a(g351 +V# +tp1223 +a(g351 +Vinclude +p1224 +tp1225 +a(g351 +V\u000a +tp1226 +a(g351 +V# +tp1227 +a(g351 +Vinclude +p1228 +tp1229 +a(g351 +V\u000a +tp1230 +a(g351 +V# +tp1231 +a(g351 +Vinclude +p1232 +tp1233 +a(g351 +V\u000a +tp1234 +a(g351 +V\u000a# +p1235 +tp1236 +a(g351 +Vinclude "codegenerator.h" +p1237 +tp1238 +a(g351 +V\u000a +tp1239 +a(g351 +V# +tp1240 +a(g351 +Vinclude "charcodes.h" +p1241 +tp1242 +a(g351 +V\u000a +tp1243 +a(g351 +V# +tp1244 +a(g351 +Vinclude "version.h" +p1245 +tp1246 +a(g351 +V\u000a +tp1247 +a(g185 +V\u000a +tp1248 +a(g111 +Vnamespace +p1249 +tp1250 +a(g185 +V +tp1251 +a(g18 +Vhighlight +p1252 +tp1253 +a(g185 +V +tp1254 +a(g198 +V{ +tp1255 +a(g185 +V\u000a +tp1256 +a(g185 +V\u000a +tp1257 +a(g7 +V/**\u000a \u005cbrief This class generates ANSI escape sequences.\u000a\u000a It contains information about the resulting document structure (document\u000a header and footer), the colour system, white space handling and text\u000a formatting attributes.\u000a\u000a* @author Andre Simon\u000a*/ +p1258 +tp1259 +a(g185 +V\u000a +tp1260 +a(g185 +V\u000a +tp1261 +a(g111 +Vclass +p1262 +tp1263 +a(g185 +V +tp1264 +a(g106 +VAnsiGenerator +p1265 +tp1266 +a(g185 +V +tp1267 +a(g340 +V: +tp1268 +a(g185 +V +tp1269 +a(g111 +Vpublic +p1270 +tp1271 +a(g185 +V +tp1272 +a(g18 +Vhighlight +p1273 +tp1274 +a(g340 +V: +tp1275 +a(g340 +V: +tp1276 +a(g18 +VCodeGenerator +p1277 +tp1278 +a(g185 +V\u000a +tp1279 +a(g185 +V +p1280 +tp1281 +a(g198 +V{ +tp1282 +a(g185 +V\u000a +tp1283 +a(g185 +V +p1284 +tp1285 +a(g111 +Vpublic +p1286 +tp1287 +a(g340 +V: +tp1288 +a(g185 +V\u000a +tp1289 +a(g185 +V\u000a +tp1290 +a(g185 +V +p1291 +tp1292 +a(g7 +V/** Constructor\u000a \u005cparam colourTheme Name of Colour theme to use\u000a */ +p1293 +tp1294 +a(g185 +V\u000a +tp1295 +a(g185 +V +p1296 +tp1297 +a(g18 +VAnsiGenerator +p1298 +tp1299 +a(g198 +V( +tp1300 +a(g185 +V +tp1301 +a(g111 +Vconst +p1302 +tp1303 +a(g185 +V +tp1304 +a(g18 +Vstring +p1305 +tp1306 +a(g185 +V +tp1307 +a(g340 +V& +tp1308 +a(g18 +VcolourTheme +p1309 +tp1310 +a(g198 +V) +tp1311 +a(g198 +V; +tp1312 +a(g185 +V\u000a +tp1313 +a(g185 +V +p1314 +tp1315 +a(g18 +VAnsiGenerator +p1316 +tp1317 +a(g198 +V( +tp1318 +a(g198 +V) +tp1319 +a(g198 +V; +tp1320 +a(g185 +V\u000a +tp1321 +a(g185 +V +p1322 +tp1323 +a(g340 +V~ +tp1324 +a(g18 +VAnsiGenerator +p1325 +tp1326 +a(g198 +V( +tp1327 +a(g198 +V) +tp1328 +a(g198 +V; +tp1329 +a(g185 +V\u000a +tp1330 +a(g185 +V\u000a +tp1331 +a(g185 +V +p1332 +tp1333 +a(g7 +V/** prints document header\u000a \u005cparam title Title of the document\u000a */ +p1334 +tp1335 +a(g185 +V\u000a +tp1336 +a(g185 +V +p1337 +tp1338 +a(g18 +Vstring +p1339 +tp1340 +a(g185 +V +tp1341 +a(g18 +VgetHeader +p1342 +tp1343 +a(g198 +V( +tp1344 +a(g111 +Vconst +p1345 +tp1346 +a(g185 +V +tp1347 +a(g18 +Vstring +p1348 +tp1349 +a(g185 +V +tp1350 +a(g340 +V& +tp1351 +a(g185 +V +tp1352 +a(g18 +Vtitle +p1353 +tp1354 +a(g198 +V) +tp1355 +a(g198 +V; +tp1356 +a(g185 +V\u000a +tp1357 +a(g185 +V\u000a +tp1358 +a(g185 +V +p1359 +tp1360 +a(g7 +V/** Prints document footer*/ +p1361 +tp1362 +a(g185 +V\u000a +tp1363 +a(g185 +V +p1364 +tp1365 +a(g18 +Vstring +p1366 +tp1367 +a(g185 +V +tp1368 +a(g18 +VgetFooter +p1369 +tp1370 +a(g198 +V( +tp1371 +a(g198 +V) +tp1372 +a(g198 +V; +tp1373 +a(g185 +V\u000a +tp1374 +a(g185 +V\u000a +tp1375 +a(g185 +V +p1376 +tp1377 +a(g7 +V/** Prints document body*/ +p1378 +tp1379 +a(g185 +V\u000a +tp1380 +a(g185 +V +p1381 +tp1382 +a(g135 +Vvoid +p1383 +tp1384 +a(g185 +V +tp1385 +a(g18 +VprintBody +p1386 +tp1387 +a(g198 +V( +tp1388 +a(g198 +V) +tp1389 +a(g198 +V; +tp1390 +a(g185 +V\u000a +tp1391 +a(g185 +V\u000a +tp1392 +a(g185 +V +p1393 +tp1394 +a(g111 +Vprivate +p1395 +tp1396 +a(g340 +V: +tp1397 +a(g185 +V\u000a +tp1398 +a(g185 +V\u000a +tp1399 +a(g185 +V +p1400 +tp1401 +a(g7 +V/** \u005creturn escaped character*/ +p1402 +tp1403 +a(g185 +V\u000a +tp1404 +a(g185 +V +p1405 +tp1406 +a(g111 +Vvirtual +p1407 +tp1408 +a(g185 +V +tp1409 +a(g18 +Vstring +p1410 +tp1411 +a(g185 +V +tp1412 +a(g18 +VmaskCharacter +p1413 +tp1414 +a(g198 +V( +tp1415 +a(g135 +Vunsigned +p1416 +tp1417 +a(g185 +V +tp1418 +a(g135 +Vchar +p1419 +tp1420 +a(g185 +V +tp1421 +a(g198 +V) +tp1422 +a(g198 +V; +tp1423 +a(g185 +V\u000a +tp1424 +a(g185 +V\u000a +tp1425 +a(g185 +V\u000a +tp1426 +a(g185 +V +p1427 +tp1428 +a(g7 +V/** gibt ANSI-"Tags" zurueck (Farbindex+bold+kursiv)*/ +p1429 +tp1430 +a(g185 +V\u000a +tp1431 +a(g185 +V +p1432 +tp1433 +a(g18 +Vstring +p1434 +tp1435 +a(g185 +V +tp1436 +a(g18 +VgetOpenTag +p1437 +tp1438 +a(g198 +V( +tp1439 +a(g111 +Vconst +p1440 +tp1441 +a(g185 +V +tp1442 +a(g18 +Vstring +p1443 +tp1444 +a(g340 +V& +tp1445 +a(g18 +Vfont +p1446 +tp1447 +a(g198 +V, +tp1448 +a(g185 +V\u000a +tp1449 +a(g185 +V +p1450 +tp1451 +a(g111 +Vconst +p1452 +tp1453 +a(g185 +V +tp1454 +a(g18 +Vstring +p1455 +tp1456 +a(g340 +V& +tp1457 +a(g18 +VfgCol +p1458 +tp1459 +a(g198 +V, +tp1460 +a(g185 +V +tp1461 +a(g111 +Vconst +p1462 +tp1463 +a(g185 +V +tp1464 +a(g18 +Vstring +p1465 +tp1466 +a(g340 +V& +tp1467 +a(g18 +VbgCol +p1468 +tp1469 +a(g340 +V= +tp1470 +a(g222 +V" +tp1471 +a(g222 +V" +tp1472 +a(g198 +V) +tp1473 +a(g198 +V; +tp1474 +a(g185 +V\u000a +tp1475 +a(g185 +V\u000a +tp1476 +a(g185 +V\u000a +tp1477 +a(g185 +V\u000a +tp1478 +a(g185 +V +p1479 +tp1480 +a(g18 +Vstring +p1481 +tp1482 +a(g185 +V +tp1483 +a(g18 +VgetMatchingOpenTag +p1484 +tp1485 +a(g198 +V( +tp1486 +a(g135 +Vunsigned +p1487 +tp1488 +a(g185 +V +tp1489 +a(g135 +Vint +p1490 +tp1491 +a(g185 +V +tp1492 +a(g18 +VstyleID +p1493 +tp1494 +a(g198 +V) +tp1495 +a(g198 +V; +tp1496 +a(g185 +V\u000a +tp1497 +a(g185 +V +p1498 +tp1499 +a(g18 +Vstring +p1500 +tp1501 +a(g185 +V +tp1502 +a(g18 +VgetMatchingCloseTag +p1503 +tp1504 +a(g198 +V( +tp1505 +a(g135 +Vunsigned +p1506 +tp1507 +a(g185 +V +tp1508 +a(g135 +Vint +p1509 +tp1510 +a(g185 +V +tp1511 +a(g18 +VstyleID +p1512 +tp1513 +a(g198 +V) +tp1514 +a(g198 +V; +tp1515 +a(g185 +V\u000a +tp1516 +a(g185 +V +p1517 +tp1518 +a(g198 +V} +tp1519 +a(g198 +V; +tp1520 +a(g185 +V\u000a +tp1521 +a(g185 +V\u000a +tp1522 +a(g198 +V} +tp1523 +a(g185 +V\u000a +tp1524 +a(g351 +V# +tp1525 +a(g351 +Vendif +p1526 +tp1527 +a(g351 +V\u000a +tp1528 +a(g7 +V/*\u000a * Copyright (c) 1998,1999,2000,2001,2002 Tal Davidson. All rights reserved.\u000a *\u000a * ASBeautifier.cpp\u000a * by Tal Davidson (davidsont@bigfoot.com)\u000a * This file is a part of "Artistic Style" - an indentater and reformatter\u000a * of C, C, C# and Java source files.\u000a *\u000a * The "Artistic Style" project, including all files needed to compile it,\u000a * is free software; you can redistribute it and/or use it and/or modify it\u000a * under the terms of the GNU General Public License as published \u000a * by the Free Software Foundation; either version 2 of the License, \u000a * or (at your option) any later version.\u000a *\u000a * This program is distributed in the hope that it will be useful,\u000a * but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.\u000a *\u000a * You should have received a copy of the GNU General Public\u000a * License along with this program.\u000a *\u000a * Patches:\u000a * 18 March 1999 - Brian Rampel -\u000a * Fixed inverse insertion of spaces vs. tabs when in -t mode.\u000a * 08 may 2004 \u000a * applied ASBeautifier.cpp.BITFIELD.patch.bz2\u000a */ +p1529 +tp1530 +a(g185 +V\u000a +tp1531 +a(g351 +V\u000a# +p1532 +tp1533 +a(g351 +Vinclude "compiler_defines.h" +p1534 +tp1535 +a(g351 +V\u000a +tp1536 +a(g351 +V# +tp1537 +a(g351 +Vinclude "ASBeautifier.h" +p1538 +tp1539 +a(g351 +V\u000a +tp1540 +a(g351 +V\u000a# +p1541 +tp1542 +a(g351 +Vinclude +p1543 +tp1544 +a(g351 +V\u000a +tp1545 +a(g351 +V# +tp1546 +a(g351 +Vinclude +p1547 +tp1548 +a(g351 +V\u000a +tp1549 +a(g351 +V# +tp1550 +a(g351 +Vinclude +p1551 +tp1552 +a(g351 +V\u000a +tp1553 +a(g351 +V# +tp1554 +a(g351 +Vinclude +p1555 +tp1556 +a(g351 +V\u000a +tp1557 +a(g351 +V# +tp1558 +a(g351 +Vinclude +p1559 +tp1560 +a(g351 +V\u000a +tp1561 +a(g351 +V\u000a\u000a# +p1562 +tp1563 +a(g351 +Vdefine INIT_CONTAINER(container, value) {if ( (container) != NULL ) delete (container); (container) = (value); } +p1564 +tp1565 +a(g351 +V\u000a +tp1566 +a(g351 +V# +tp1567 +a(g351 +Vdefine DELETE_CONTAINER(container) {if ( (container) != NULL ) delete (container) ; } +p1568 +tp1569 +a(g351 +V\u000a +tp1570 +a(g351 +V\u000a# +p1571 +tp1572 +a(g351 +Vifdef USES_NAMESPACE +p1573 +tp1574 +a(g351 +V\u000a +tp1575 +a(g111 +Vusing +p1576 +tp1577 +a(g185 +V +tp1578 +a(g111 +Vnamespace +p1579 +tp1580 +a(g185 +V +tp1581 +a(g18 +Vstd +p1582 +tp1583 +a(g198 +V; +tp1584 +a(g185 +V\u000a +tp1585 +a(g351 +V# +tp1586 +a(g351 +Vendif +p1587 +tp1588 +a(g351 +V\u000a +tp1589 +a(g351 +V\u000a\u000a\u000a\u000a# +p1590 +tp1591 +a(g351 +Vifdef USES_NAMESPACE +p1592 +tp1593 +a(g351 +V\u000a +tp1594 +a(g111 +Vnamespace +p1595 +tp1596 +a(g185 +V +tp1597 +a(g18 +Vastyle +p1598 +tp1599 +a(g185 +V\u000a +tp1600 +a(g185 +V +p1601 +tp1602 +a(g198 +V{ +tp1603 +a(g185 +V\u000a +tp1604 +a(g351 +V# +tp1605 +a(g351 +Vendif +p1606 +tp1607 +a(g351 +V\u000a +tp1608 +a(g185 +V\u000a +tp1609 +a(g185 +V +p1610 +tp1611 +a(g135 +Vbool +p1612 +tp1613 +a(g185 +V +tp1614 +a(g18 +VASBeautifier +p1615 +tp1616 +a(g340 +V: +tp1617 +a(g340 +V: +tp1618 +a(g18 +VcalledInitStatic +p1619 +tp1620 +a(g185 +V +tp1621 +a(g340 +V= +tp1622 +a(g185 +V +tp1623 +a(g113 +Vfalse +p1624 +tp1625 +a(g198 +V; +tp1626 +a(g185 +V\u000a +tp1627 +a(g185 +V\u000a +tp1628 +a(g185 +V +p1629 +tp1630 +a(g18 +Vvector +p1631 +tp1632 +a(g340 +V< +tp1633 +a(g111 +Vconst +p1634 +tp1635 +a(g185 +V +tp1636 +a(g18 +Vstring +p1637 +tp1638 +a(g340 +V* +tp1639 +a(g340 +V> +tp1640 +a(g185 +V +tp1641 +a(g18 +VASBeautifier +p1642 +tp1643 +a(g340 +V: +tp1644 +a(g340 +V: +tp1645 +a(g18 +Vheaders +p1646 +tp1647 +a(g198 +V; +tp1648 +a(g185 +V\u000a +tp1649 +a(g185 +V +p1650 +tp1651 +a(g18 +Vvector +p1652 +tp1653 +a(g340 +V< +tp1654 +a(g111 +Vconst +p1655 +tp1656 +a(g185 +V +tp1657 +a(g18 +Vstring +p1658 +tp1659 +a(g340 +V* +tp1660 +a(g340 +V> +tp1661 +a(g185 +V +tp1662 +a(g18 +VASBeautifier +p1663 +tp1664 +a(g340 +V: +tp1665 +a(g340 +V: +tp1666 +a(g18 +VnonParenHeaders +p1667 +tp1668 +a(g198 +V; +tp1669 +a(g185 +V\u000a +tp1670 +a(g185 +V +p1671 +tp1672 +a(g18 +Vvector +p1673 +tp1674 +a(g340 +V< +tp1675 +a(g111 +Vconst +p1676 +tp1677 +a(g185 +V +tp1678 +a(g18 +Vstring +p1679 +tp1680 +a(g340 +V* +tp1681 +a(g340 +V> +tp1682 +a(g185 +V +tp1683 +a(g18 +VASBeautifier +p1684 +tp1685 +a(g340 +V: +tp1686 +a(g340 +V: +tp1687 +a(g18 +VpreBlockStatements +p1688 +tp1689 +a(g198 +V; +tp1690 +a(g185 +V\u000a +tp1691 +a(g185 +V +p1692 +tp1693 +a(g18 +Vvector +p1694 +tp1695 +a(g340 +V< +tp1696 +a(g111 +Vconst +p1697 +tp1698 +a(g185 +V +tp1699 +a(g18 +Vstring +p1700 +tp1701 +a(g340 +V* +tp1702 +a(g340 +V> +tp1703 +a(g185 +V +tp1704 +a(g18 +VASBeautifier +p1705 +tp1706 +a(g340 +V: +tp1707 +a(g340 +V: +tp1708 +a(g18 +VassignmentOperators +p1709 +tp1710 +a(g198 +V; +tp1711 +a(g185 +V\u000a +tp1712 +a(g185 +V +p1713 +tp1714 +a(g18 +Vvector +p1715 +tp1716 +a(g340 +V< +tp1717 +a(g111 +Vconst +p1718 +tp1719 +a(g185 +V +tp1720 +a(g18 +Vstring +p1721 +tp1722 +a(g340 +V* +tp1723 +a(g340 +V> +tp1724 +a(g185 +V +tp1725 +a(g18 +VASBeautifier +p1726 +tp1727 +a(g340 +V: +tp1728 +a(g340 +V: +tp1729 +a(g18 +VnonAssignmentOperators +p1730 +tp1731 +a(g198 +V; +tp1732 +a(g185 +V\u000a +tp1733 +a(g185 +V\u000a +tp1734 +a(g185 +V +p1735 +tp1736 +a(g7 +V/*\u000a * initialize the static vars\u000a */ +p1737 +tp1738 +a(g185 +V\u000a +tp1739 +a(g185 +V +p1740 +tp1741 +a(g135 +Vvoid +p1742 +tp1743 +a(g185 +V +tp1744 +a(g18 +VASBeautifier +p1745 +tp1746 +a(g340 +V: +tp1747 +a(g340 +V: +tp1748 +a(g18 +VinitStatic +p1749 +tp1750 +a(g198 +V( +tp1751 +a(g198 +V) +tp1752 +a(g185 +V\u000a +tp1753 +a(g185 +V +p1754 +tp1755 +a(g198 +V{ +tp1756 +a(g185 +V\u000a +tp1757 +a(g185 +V +p1758 +tp1759 +a(g111 +Vif +p1760 +tp1761 +a(g185 +V +tp1762 +a(g198 +V( +tp1763 +a(g18 +VcalledInitStatic +p1764 +tp1765 +a(g198 +V) +tp1766 +a(g185 +V\u000a +tp1767 +a(g185 +V +p1768 +tp1769 +a(g111 +Vreturn +p1770 +tp1771 +a(g198 +V; +tp1772 +a(g185 +V\u000a +tp1773 +a(g185 +V\u000a +tp1774 +a(g185 +V +p1775 +tp1776 +a(g18 +VcalledInitStatic +p1777 +tp1778 +a(g185 +V +tp1779 +a(g340 +V= +tp1780 +a(g185 +V +tp1781 +a(g113 +Vtrue +p1782 +tp1783 +a(g198 +V; +tp1784 +a(g185 +V\u000a +tp1785 +a(g185 +V\u000a +tp1786 +a(g185 +V +p1787 +tp1788 +a(g18 +Vheaders +p1789 +tp1790 +a(g198 +V. +tp1791 +a(g18 +Vpush_back +p1792 +tp1793 +a(g198 +V( +tp1794 +a(g340 +V& +tp1795 +a(g18 +VAS_IF +p1796 +tp1797 +a(g198 +V) +tp1798 +a(g198 +V; +tp1799 +a(g185 +V\u000a +tp1800 +a(g185 +V +p1801 +tp1802 +a(g18 +Vheaders +p1803 +tp1804 +a(g198 +V. +tp1805 +a(g18 +Vpush_back +p1806 +tp1807 +a(g198 +V( +tp1808 +a(g340 +V& +tp1809 +a(g18 +VAS_ELSE +p1810 +tp1811 +a(g198 +V) +tp1812 +a(g198 +V; +tp1813 +a(g185 +V\u000a +tp1814 +a(g185 +V +p1815 +tp1816 +a(g18 +Vheaders +p1817 +tp1818 +a(g198 +V. +tp1819 +a(g18 +Vpush_back +p1820 +tp1821 +a(g198 +V( +tp1822 +a(g340 +V& +tp1823 +a(g18 +VAS_FOR +p1824 +tp1825 +a(g198 +V) +tp1826 +a(g198 +V; +tp1827 +a(g185 +V\u000a +tp1828 +a(g185 +V +p1829 +tp1830 +a(g18 +Vheaders +p1831 +tp1832 +a(g198 +V. +tp1833 +a(g18 +Vpush_back +p1834 +tp1835 +a(g198 +V( +tp1836 +a(g340 +V& +tp1837 +a(g18 +VAS_WHILE +p1838 +tp1839 +a(g198 +V) +tp1840 +a(g198 +V; +tp1841 +a(g185 +V\u000a +tp1842 +a(g185 +V +p1843 +tp1844 +a(g18 +Vheaders +p1845 +tp1846 +a(g198 +V. +tp1847 +a(g18 +Vpush_back +p1848 +tp1849 +a(g198 +V( +tp1850 +a(g340 +V& +tp1851 +a(g18 +VAS_DO +p1852 +tp1853 +a(g198 +V) +tp1854 +a(g198 +V; +tp1855 +a(g185 +V\u000a +tp1856 +a(g185 +V +p1857 +tp1858 +a(g18 +Vheaders +p1859 +tp1860 +a(g198 +V. +tp1861 +a(g18 +Vpush_back +p1862 +tp1863 +a(g198 +V( +tp1864 +a(g340 +V& +tp1865 +a(g18 +VAS_TRY +p1866 +tp1867 +a(g198 +V) +tp1868 +a(g198 +V; +tp1869 +a(g185 +V\u000a +tp1870 +a(g185 +V +p1871 +tp1872 +a(g18 +Vheaders +p1873 +tp1874 +a(g198 +V. +tp1875 +a(g18 +Vpush_back +p1876 +tp1877 +a(g198 +V( +tp1878 +a(g340 +V& +tp1879 +a(g18 +VAS_CATCH +p1880 +tp1881 +a(g198 +V) +tp1882 +a(g198 +V; +tp1883 +a(g185 +V\u000a +tp1884 +a(g185 +V +p1885 +tp1886 +a(g18 +Vheaders +p1887 +tp1888 +a(g198 +V. +tp1889 +a(g18 +Vpush_back +p1890 +tp1891 +a(g198 +V( +tp1892 +a(g340 +V& +tp1893 +a(g18 +VAS_FINALLY +p1894 +tp1895 +a(g198 +V) +tp1896 +a(g198 +V; +tp1897 +a(g185 +V\u000a +tp1898 +a(g185 +V +p1899 +tp1900 +a(g18 +Vheaders +p1901 +tp1902 +a(g198 +V. +tp1903 +a(g18 +Vpush_back +p1904 +tp1905 +a(g198 +V( +tp1906 +a(g340 +V& +tp1907 +a(g18 +VAS_SYNCHRONIZED +p1908 +tp1909 +a(g198 +V) +tp1910 +a(g198 +V; +tp1911 +a(g185 +V\u000a +tp1912 +a(g185 +V +p1913 +tp1914 +a(g18 +Vheaders +p1915 +tp1916 +a(g198 +V. +tp1917 +a(g18 +Vpush_back +p1918 +tp1919 +a(g198 +V( +tp1920 +a(g340 +V& +tp1921 +a(g18 +VAS_SWITCH +p1922 +tp1923 +a(g198 +V) +tp1924 +a(g198 +V; +tp1925 +a(g185 +V\u000a +tp1926 +a(g185 +V +p1927 +tp1928 +a(g18 +Vheaders +p1929 +tp1930 +a(g198 +V. +tp1931 +a(g18 +Vpush_back +p1932 +tp1933 +a(g198 +V( +tp1934 +a(g340 +V& +tp1935 +a(g18 +VAS_CASE +p1936 +tp1937 +a(g198 +V) +tp1938 +a(g198 +V; +tp1939 +a(g185 +V\u000a +tp1940 +a(g185 +V +p1941 +tp1942 +a(g18 +Vheaders +p1943 +tp1944 +a(g198 +V. +tp1945 +a(g18 +Vpush_back +p1946 +tp1947 +a(g198 +V( +tp1948 +a(g340 +V& +tp1949 +a(g18 +VAS_DEFAULT +p1950 +tp1951 +a(g198 +V) +tp1952 +a(g198 +V; +tp1953 +a(g185 +V\u000a +tp1954 +a(g185 +V +p1955 +tp1956 +a(g18 +Vheaders +p1957 +tp1958 +a(g198 +V. +tp1959 +a(g18 +Vpush_back +p1960 +tp1961 +a(g198 +V( +tp1962 +a(g340 +V& +tp1963 +a(g18 +VAS_FOREACH +p1964 +tp1965 +a(g198 +V) +tp1966 +a(g198 +V; +tp1967 +a(g185 +V\u000a +tp1968 +a(g185 +V +p1969 +tp1970 +a(g18 +Vheaders +p1971 +tp1972 +a(g198 +V. +tp1973 +a(g18 +Vpush_back +p1974 +tp1975 +a(g198 +V( +tp1976 +a(g340 +V& +tp1977 +a(g18 +VAS_LOCK +p1978 +tp1979 +a(g198 +V) +tp1980 +a(g198 +V; +tp1981 +a(g185 +V\u000a +tp1982 +a(g185 +V +p1983 +tp1984 +a(g18 +Vheaders +p1985 +tp1986 +a(g198 +V. +tp1987 +a(g18 +Vpush_back +p1988 +tp1989 +a(g198 +V( +tp1990 +a(g340 +V& +tp1991 +a(g18 +VAS_UNSAFE +p1992 +tp1993 +a(g198 +V) +tp1994 +a(g198 +V; +tp1995 +a(g185 +V\u000a +tp1996 +a(g185 +V +p1997 +tp1998 +a(g18 +Vheaders +p1999 +tp2000 +a(g198 +V. +tp2001 +a(g18 +Vpush_back +p2002 +tp2003 +a(g198 +V( +tp2004 +a(g340 +V& +tp2005 +a(g18 +VAS_FIXED +p2006 +tp2007 +a(g198 +V) +tp2008 +a(g198 +V; +tp2009 +a(g185 +V\u000a +tp2010 +a(g185 +V +p2011 +tp2012 +a(g18 +Vheaders +p2013 +tp2014 +a(g198 +V. +tp2015 +a(g18 +Vpush_back +p2016 +tp2017 +a(g198 +V( +tp2018 +a(g340 +V& +tp2019 +a(g18 +VAS_GET +p2020 +tp2021 +a(g198 +V) +tp2022 +a(g198 +V; +tp2023 +a(g185 +V\u000a +tp2024 +a(g185 +V +p2025 +tp2026 +a(g18 +Vheaders +p2027 +tp2028 +a(g198 +V. +tp2029 +a(g18 +Vpush_back +p2030 +tp2031 +a(g198 +V( +tp2032 +a(g340 +V& +tp2033 +a(g18 +VAS_SET +p2034 +tp2035 +a(g198 +V) +tp2036 +a(g198 +V; +tp2037 +a(g185 +V\u000a +tp2038 +a(g185 +V +p2039 +tp2040 +a(g18 +Vheaders +p2041 +tp2042 +a(g198 +V. +tp2043 +a(g18 +Vpush_back +p2044 +tp2045 +a(g198 +V( +tp2046 +a(g340 +V& +tp2047 +a(g18 +VAS_ADD +p2048 +tp2049 +a(g198 +V) +tp2050 +a(g198 +V; +tp2051 +a(g185 +V\u000a +tp2052 +a(g185 +V +p2053 +tp2054 +a(g18 +Vheaders +p2055 +tp2056 +a(g198 +V. +tp2057 +a(g18 +Vpush_back +p2058 +tp2059 +a(g198 +V( +tp2060 +a(g340 +V& +tp2061 +a(g18 +VAS_REMOVE +p2062 +tp2063 +a(g198 +V) +tp2064 +a(g198 +V; +tp2065 +a(g185 +V\u000a +tp2066 +a(g185 +V +p2067 +tp2068 +a(g354 +V//headers.push_back(&AS_PUBLIC);\u000a +p2069 +tp2070 +a(g185 +V +p2071 +tp2072 +a(g354 +V//headers.push_back(&AS_PRIVATE);\u000a +p2073 +tp2074 +a(g185 +V +p2075 +tp2076 +a(g354 +V//headers.push_back(&AS_PROTECTED);\u000a +p2077 +tp2078 +a(g185 +V\u000a +tp2079 +a(g185 +V +p2080 +tp2081 +a(g354 +V//headers.push_back(&AS_OPERATOR);\u000a +p2082 +tp2083 +a(g185 +V +p2084 +tp2085 +a(g18 +Vheaders +p2086 +tp2087 +a(g198 +V. +tp2088 +a(g18 +Vpush_back +p2089 +tp2090 +a(g198 +V( +tp2091 +a(g340 +V& +tp2092 +a(g18 +VAS_TEMPLATE +p2093 +tp2094 +a(g198 +V) +tp2095 +a(g198 +V; +tp2096 +a(g185 +V\u000a +tp2097 +a(g185 +V +p2098 +tp2099 +a(g18 +Vheaders +p2100 +tp2101 +a(g198 +V. +tp2102 +a(g18 +Vpush_back +p2103 +tp2104 +a(g198 +V( +tp2105 +a(g340 +V& +tp2106 +a(g18 +VAS_CONST +p2107 +tp2108 +a(g198 +V) +tp2109 +a(g198 +V; +tp2110 +a(g185 +V\u000a +tp2111 +a(g185 +V +p2112 +tp2113 +a(g7 +V/**/ +p2114 +tp2115 +a(g185 +V\u000a +tp2116 +a(g185 +V +p2117 +tp2118 +a(g18 +Vheaders +p2119 +tp2120 +a(g198 +V. +tp2121 +a(g18 +Vpush_back +p2122 +tp2123 +a(g198 +V( +tp2124 +a(g340 +V& +tp2125 +a(g18 +VAS_STATIC +p2126 +tp2127 +a(g198 +V) +tp2128 +a(g198 +V; +tp2129 +a(g185 +V\u000a +tp2130 +a(g185 +V +p2131 +tp2132 +a(g18 +Vheaders +p2133 +tp2134 +a(g198 +V. +tp2135 +a(g18 +Vpush_back +p2136 +tp2137 +a(g198 +V( +tp2138 +a(g340 +V& +tp2139 +a(g18 +VAS_EXTERN +p2140 +tp2141 +a(g198 +V) +tp2142 +a(g198 +V; +tp2143 +a(g185 +V\u000a +tp2144 +a(g185 +V\u000a +tp2145 +a(g185 +V +p2146 +tp2147 +a(g18 +VnonParenHeaders +p2148 +tp2149 +a(g198 +V. +tp2150 +a(g18 +Vpush_back +p2151 +tp2152 +a(g198 +V( +tp2153 +a(g340 +V& +tp2154 +a(g18 +VAS_ELSE +p2155 +tp2156 +a(g198 +V) +tp2157 +a(g198 +V; +tp2158 +a(g185 +V\u000a +tp2159 +a(g185 +V +p2160 +tp2161 +a(g18 +VnonParenHeaders +p2162 +tp2163 +a(g198 +V. +tp2164 +a(g18 +Vpush_back +p2165 +tp2166 +a(g198 +V( +tp2167 +a(g340 +V& +tp2168 +a(g18 +VAS_DO +p2169 +tp2170 +a(g198 +V) +tp2171 +a(g198 +V; +tp2172 +a(g185 +V\u000a +tp2173 +a(g185 +V +p2174 +tp2175 +a(g18 +VnonParenHeaders +p2176 +tp2177 +a(g198 +V. +tp2178 +a(g18 +Vpush_back +p2179 +tp2180 +a(g198 +V( +tp2181 +a(g340 +V& +tp2182 +a(g18 +VAS_TRY +p2183 +tp2184 +a(g198 +V) +tp2185 +a(g198 +V; +tp2186 +a(g185 +V\u000a +tp2187 +a(g185 +V +p2188 +tp2189 +a(g18 +VnonParenHeaders +p2190 +tp2191 +a(g198 +V. +tp2192 +a(g18 +Vpush_back +p2193 +tp2194 +a(g198 +V( +tp2195 +a(g340 +V& +tp2196 +a(g18 +VAS_FINALLY +p2197 +tp2198 +a(g198 +V) +tp2199 +a(g198 +V; +tp2200 +a(g185 +V\u000a +tp2201 +a(g185 +V +p2202 +tp2203 +a(g18 +VnonParenHeaders +p2204 +tp2205 +a(g198 +V. +tp2206 +a(g18 +Vpush_back +p2207 +tp2208 +a(g198 +V( +tp2209 +a(g340 +V& +tp2210 +a(g18 +VAS_STATIC +p2211 +tp2212 +a(g198 +V) +tp2213 +a(g198 +V; +tp2214 +a(g185 +V\u000a +tp2215 +a(g185 +V +p2216 +tp2217 +a(g18 +VnonParenHeaders +p2218 +tp2219 +a(g198 +V. +tp2220 +a(g18 +Vpush_back +p2221 +tp2222 +a(g198 +V( +tp2223 +a(g340 +V& +tp2224 +a(g18 +VAS_CONST +p2225 +tp2226 +a(g198 +V) +tp2227 +a(g198 +V; +tp2228 +a(g185 +V\u000a +tp2229 +a(g185 +V +p2230 +tp2231 +a(g18 +VnonParenHeaders +p2232 +tp2233 +a(g198 +V. +tp2234 +a(g18 +Vpush_back +p2235 +tp2236 +a(g198 +V( +tp2237 +a(g340 +V& +tp2238 +a(g18 +VAS_EXTERN +p2239 +tp2240 +a(g198 +V) +tp2241 +a(g198 +V; +tp2242 +a(g185 +V\u000a +tp2243 +a(g185 +V +p2244 +tp2245 +a(g18 +VnonParenHeaders +p2246 +tp2247 +a(g198 +V. +tp2248 +a(g18 +Vpush_back +p2249 +tp2250 +a(g198 +V( +tp2251 +a(g340 +V& +tp2252 +a(g18 +VAS_CASE +p2253 +tp2254 +a(g198 +V) +tp2255 +a(g198 +V; +tp2256 +a(g185 +V\u000a +tp2257 +a(g185 +V +p2258 +tp2259 +a(g18 +VnonParenHeaders +p2260 +tp2261 +a(g198 +V. +tp2262 +a(g18 +Vpush_back +p2263 +tp2264 +a(g198 +V( +tp2265 +a(g340 +V& +tp2266 +a(g18 +VAS_DEFAULT +p2267 +tp2268 +a(g198 +V) +tp2269 +a(g198 +V; +tp2270 +a(g185 +V\u000a +tp2271 +a(g185 +V +p2272 +tp2273 +a(g18 +VnonParenHeaders +p2274 +tp2275 +a(g198 +V. +tp2276 +a(g18 +Vpush_back +p2277 +tp2278 +a(g198 +V( +tp2279 +a(g340 +V& +tp2280 +a(g18 +VAS_UNSAFE +p2281 +tp2282 +a(g198 +V) +tp2283 +a(g198 +V; +tp2284 +a(g185 +V\u000a +tp2285 +a(g185 +V +p2286 +tp2287 +a(g18 +VnonParenHeaders +p2288 +tp2289 +a(g198 +V. +tp2290 +a(g18 +Vpush_back +p2291 +tp2292 +a(g198 +V( +tp2293 +a(g340 +V& +tp2294 +a(g18 +VAS_GET +p2295 +tp2296 +a(g198 +V) +tp2297 +a(g198 +V; +tp2298 +a(g185 +V\u000a +tp2299 +a(g185 +V +p2300 +tp2301 +a(g18 +VnonParenHeaders +p2302 +tp2303 +a(g198 +V. +tp2304 +a(g18 +Vpush_back +p2305 +tp2306 +a(g198 +V( +tp2307 +a(g340 +V& +tp2308 +a(g18 +VAS_SET +p2309 +tp2310 +a(g198 +V) +tp2311 +a(g198 +V; +tp2312 +a(g185 +V\u000a +tp2313 +a(g185 +V +p2314 +tp2315 +a(g18 +VnonParenHeaders +p2316 +tp2317 +a(g198 +V. +tp2318 +a(g18 +Vpush_back +p2319 +tp2320 +a(g198 +V( +tp2321 +a(g340 +V& +tp2322 +a(g18 +VAS_ADD +p2323 +tp2324 +a(g198 +V) +tp2325 +a(g198 +V; +tp2326 +a(g185 +V\u000a +tp2327 +a(g185 +V +p2328 +tp2329 +a(g18 +VnonParenHeaders +p2330 +tp2331 +a(g198 +V. +tp2332 +a(g18 +Vpush_back +p2333 +tp2334 +a(g198 +V( +tp2335 +a(g340 +V& +tp2336 +a(g18 +VAS_REMOVE +p2337 +tp2338 +a(g198 +V) +tp2339 +a(g198 +V; +tp2340 +a(g185 +V\u000a +tp2341 +a(g185 +V\u000a +tp2342 +a(g185 +V\u000a +tp2343 +a(g185 +V\u000a +tp2344 +a(g185 +V +p2345 +tp2346 +a(g18 +VnonParenHeaders +p2347 +tp2348 +a(g198 +V. +tp2349 +a(g18 +Vpush_back +p2350 +tp2351 +a(g198 +V( +tp2352 +a(g340 +V& +tp2353 +a(g18 +VAS_PUBLIC +p2354 +tp2355 +a(g198 +V) +tp2356 +a(g198 +V; +tp2357 +a(g185 +V\u000a +tp2358 +a(g185 +V +p2359 +tp2360 +a(g18 +VnonParenHeaders +p2361 +tp2362 +a(g198 +V. +tp2363 +a(g18 +Vpush_back +p2364 +tp2365 +a(g198 +V( +tp2366 +a(g340 +V& +tp2367 +a(g18 +VAS_PRIVATE +p2368 +tp2369 +a(g198 +V) +tp2370 +a(g198 +V; +tp2371 +a(g185 +V\u000a +tp2372 +a(g185 +V +p2373 +tp2374 +a(g18 +VnonParenHeaders +p2375 +tp2376 +a(g198 +V. +tp2377 +a(g18 +Vpush_back +p2378 +tp2379 +a(g198 +V( +tp2380 +a(g340 +V& +tp2381 +a(g18 +VAS_PROTECTED +p2382 +tp2383 +a(g198 +V) +tp2384 +a(g198 +V; +tp2385 +a(g185 +V\u000a +tp2386 +a(g185 +V +p2387 +tp2388 +a(g18 +VnonParenHeaders +p2389 +tp2390 +a(g198 +V. +tp2391 +a(g18 +Vpush_back +p2392 +tp2393 +a(g198 +V( +tp2394 +a(g340 +V& +tp2395 +a(g18 +VAS_TEMPLATE +p2396 +tp2397 +a(g198 +V) +tp2398 +a(g198 +V; +tp2399 +a(g185 +V\u000a +tp2400 +a(g185 +V +p2401 +tp2402 +a(g18 +VnonParenHeaders +p2403 +tp2404 +a(g198 +V. +tp2405 +a(g18 +Vpush_back +p2406 +tp2407 +a(g198 +V( +tp2408 +a(g340 +V& +tp2409 +a(g18 +VAS_CONST +p2410 +tp2411 +a(g198 +V) +tp2412 +a(g198 +V; +tp2413 +a(g185 +V\u000a +tp2414 +a(g185 +V +p2415 +tp2416 +a(g354 +V/// nonParenHeaders.push_back(&AS_ASM);\u000a +p2417 +tp2418 +a(g185 +V\u000a +tp2419 +a(g185 +V +p2420 +tp2421 +a(g18 +VpreBlockStatements +p2422 +tp2423 +a(g198 +V. +tp2424 +a(g18 +Vpush_back +p2425 +tp2426 +a(g198 +V( +tp2427 +a(g340 +V& +tp2428 +a(g18 +VAS_CLASS +p2429 +tp2430 +a(g198 +V) +tp2431 +a(g198 +V; +tp2432 +a(g185 +V\u000a +tp2433 +a(g185 +V +p2434 +tp2435 +a(g18 +VpreBlockStatements +p2436 +tp2437 +a(g198 +V. +tp2438 +a(g18 +Vpush_back +p2439 +tp2440 +a(g198 +V( +tp2441 +a(g340 +V& +tp2442 +a(g18 +VAS_STRUCT +p2443 +tp2444 +a(g198 +V) +tp2445 +a(g198 +V; +tp2446 +a(g185 +V\u000a +tp2447 +a(g185 +V +p2448 +tp2449 +a(g18 +VpreBlockStatements +p2450 +tp2451 +a(g198 +V. +tp2452 +a(g18 +Vpush_back +p2453 +tp2454 +a(g198 +V( +tp2455 +a(g340 +V& +tp2456 +a(g18 +VAS_UNION +p2457 +tp2458 +a(g198 +V) +tp2459 +a(g198 +V; +tp2460 +a(g185 +V\u000a +tp2461 +a(g185 +V +p2462 +tp2463 +a(g18 +VpreBlockStatements +p2464 +tp2465 +a(g198 +V. +tp2466 +a(g18 +Vpush_back +p2467 +tp2468 +a(g198 +V( +tp2469 +a(g340 +V& +tp2470 +a(g18 +VAS_INTERFACE +p2471 +tp2472 +a(g198 +V) +tp2473 +a(g198 +V; +tp2474 +a(g185 +V\u000a +tp2475 +a(g185 +V +p2476 +tp2477 +a(g18 +VpreBlockStatements +p2478 +tp2479 +a(g198 +V. +tp2480 +a(g18 +Vpush_back +p2481 +tp2482 +a(g198 +V( +tp2483 +a(g340 +V& +tp2484 +a(g18 +VAS_NAMESPACE +p2485 +tp2486 +a(g198 +V) +tp2487 +a(g198 +V; +tp2488 +a(g185 +V\u000a +tp2489 +a(g185 +V +p2490 +tp2491 +a(g18 +VpreBlockStatements +p2492 +tp2493 +a(g198 +V. +tp2494 +a(g18 +Vpush_back +p2495 +tp2496 +a(g198 +V( +tp2497 +a(g340 +V& +tp2498 +a(g18 +VAS_THROWS +p2499 +tp2500 +a(g198 +V) +tp2501 +a(g198 +V; +tp2502 +a(g185 +V\u000a +tp2503 +a(g185 +V +p2504 +tp2505 +a(g18 +VpreBlockStatements +p2506 +tp2507 +a(g198 +V. +tp2508 +a(g18 +Vpush_back +p2509 +tp2510 +a(g198 +V( +tp2511 +a(g340 +V& +tp2512 +a(g18 +VAS_EXTERN +p2513 +tp2514 +a(g198 +V) +tp2515 +a(g198 +V; +tp2516 +a(g185 +V\u000a +tp2517 +a(g185 +V\u000a +tp2518 +a(g185 +V +p2519 +tp2520 +a(g18 +VassignmentOperators +p2521 +tp2522 +a(g198 +V. +tp2523 +a(g18 +Vpush_back +p2524 +tp2525 +a(g198 +V( +tp2526 +a(g340 +V& +tp2527 +a(g18 +VAS_ASSIGN +p2528 +tp2529 +a(g198 +V) +tp2530 +a(g198 +V; +tp2531 +a(g185 +V\u000a +tp2532 +a(g185 +V +p2533 +tp2534 +a(g18 +VassignmentOperators +p2535 +tp2536 +a(g198 +V. +tp2537 +a(g18 +Vpush_back +p2538 +tp2539 +a(g198 +V( +tp2540 +a(g340 +V& +tp2541 +a(g18 +VAS_PLUS_ASSIGN +p2542 +tp2543 +a(g198 +V) +tp2544 +a(g198 +V; +tp2545 +a(g185 +V\u000a +tp2546 +a(g185 +V +p2547 +tp2548 +a(g18 +VassignmentOperators +p2549 +tp2550 +a(g198 +V. +tp2551 +a(g18 +Vpush_back +p2552 +tp2553 +a(g198 +V( +tp2554 +a(g340 +V& +tp2555 +a(g18 +VAS_MINUS_ASSIGN +p2556 +tp2557 +a(g198 +V) +tp2558 +a(g198 +V; +tp2559 +a(g185 +V\u000a +tp2560 +a(g185 +V +p2561 +tp2562 +a(g18 +VassignmentOperators +p2563 +tp2564 +a(g198 +V. +tp2565 +a(g18 +Vpush_back +p2566 +tp2567 +a(g198 +V( +tp2568 +a(g340 +V& +tp2569 +a(g18 +VAS_MULT_ASSIGN +p2570 +tp2571 +a(g198 +V) +tp2572 +a(g198 +V; +tp2573 +a(g185 +V\u000a +tp2574 +a(g185 +V +p2575 +tp2576 +a(g18 +VassignmentOperators +p2577 +tp2578 +a(g198 +V. +tp2579 +a(g18 +Vpush_back +p2580 +tp2581 +a(g198 +V( +tp2582 +a(g340 +V& +tp2583 +a(g18 +VAS_DIV_ASSIGN +p2584 +tp2585 +a(g198 +V) +tp2586 +a(g198 +V; +tp2587 +a(g185 +V\u000a +tp2588 +a(g185 +V +p2589 +tp2590 +a(g18 +VassignmentOperators +p2591 +tp2592 +a(g198 +V. +tp2593 +a(g18 +Vpush_back +p2594 +tp2595 +a(g198 +V( +tp2596 +a(g340 +V& +tp2597 +a(g18 +VAS_MOD_ASSIGN +p2598 +tp2599 +a(g198 +V) +tp2600 +a(g198 +V; +tp2601 +a(g185 +V\u000a +tp2602 +a(g185 +V +p2603 +tp2604 +a(g18 +VassignmentOperators +p2605 +tp2606 +a(g198 +V. +tp2607 +a(g18 +Vpush_back +p2608 +tp2609 +a(g198 +V( +tp2610 +a(g340 +V& +tp2611 +a(g18 +VAS_OR_ASSIGN +p2612 +tp2613 +a(g198 +V) +tp2614 +a(g198 +V; +tp2615 +a(g185 +V\u000a +tp2616 +a(g185 +V +p2617 +tp2618 +a(g18 +VassignmentOperators +p2619 +tp2620 +a(g198 +V. +tp2621 +a(g18 +Vpush_back +p2622 +tp2623 +a(g198 +V( +tp2624 +a(g340 +V& +tp2625 +a(g18 +VAS_AND_ASSIGN +p2626 +tp2627 +a(g198 +V) +tp2628 +a(g198 +V; +tp2629 +a(g185 +V\u000a +tp2630 +a(g185 +V +p2631 +tp2632 +a(g18 +VassignmentOperators +p2633 +tp2634 +a(g198 +V. +tp2635 +a(g18 +Vpush_back +p2636 +tp2637 +a(g198 +V( +tp2638 +a(g340 +V& +tp2639 +a(g18 +VAS_XOR_ASSIGN +p2640 +tp2641 +a(g198 +V) +tp2642 +a(g198 +V; +tp2643 +a(g185 +V\u000a +tp2644 +a(g185 +V +p2645 +tp2646 +a(g18 +VassignmentOperators +p2647 +tp2648 +a(g198 +V. +tp2649 +a(g18 +Vpush_back +p2650 +tp2651 +a(g198 +V( +tp2652 +a(g340 +V& +tp2653 +a(g18 +VAS_GR_GR_GR_ASSIGN +p2654 +tp2655 +a(g198 +V) +tp2656 +a(g198 +V; +tp2657 +a(g185 +V\u000a +tp2658 +a(g185 +V +p2659 +tp2660 +a(g18 +VassignmentOperators +p2661 +tp2662 +a(g198 +V. +tp2663 +a(g18 +Vpush_back +p2664 +tp2665 +a(g198 +V( +tp2666 +a(g340 +V& +tp2667 +a(g18 +VAS_GR_GR_ASSIGN +p2668 +tp2669 +a(g198 +V) +tp2670 +a(g198 +V; +tp2671 +a(g185 +V\u000a +tp2672 +a(g185 +V +p2673 +tp2674 +a(g18 +VassignmentOperators +p2675 +tp2676 +a(g198 +V. +tp2677 +a(g18 +Vpush_back +p2678 +tp2679 +a(g198 +V( +tp2680 +a(g340 +V& +tp2681 +a(g18 +VAS_LS_LS_LS_ASSIGN +p2682 +tp2683 +a(g198 +V) +tp2684 +a(g198 +V; +tp2685 +a(g185 +V\u000a +tp2686 +a(g185 +V +p2687 +tp2688 +a(g18 +VassignmentOperators +p2689 +tp2690 +a(g198 +V. +tp2691 +a(g18 +Vpush_back +p2692 +tp2693 +a(g198 +V( +tp2694 +a(g340 +V& +tp2695 +a(g18 +VAS_LS_LS_ASSIGN +p2696 +tp2697 +a(g198 +V) +tp2698 +a(g198 +V; +tp2699 +a(g185 +V\u000a +tp2700 +a(g185 +V\u000a +tp2701 +a(g185 +V +p2702 +tp2703 +a(g18 +VassignmentOperators +p2704 +tp2705 +a(g198 +V. +tp2706 +a(g18 +Vpush_back +p2707 +tp2708 +a(g198 +V( +tp2709 +a(g340 +V& +tp2710 +a(g18 +VAS_RETURN +p2711 +tp2712 +a(g198 +V) +tp2713 +a(g198 +V; +tp2714 +a(g185 +V\u000a +tp2715 +a(g185 +V\u000a +tp2716 +a(g185 +V +p2717 +tp2718 +a(g18 +VnonAssignmentOperators +p2719 +tp2720 +a(g198 +V. +tp2721 +a(g18 +Vpush_back +p2722 +tp2723 +a(g198 +V( +tp2724 +a(g340 +V& +tp2725 +a(g18 +VAS_EQUAL +p2726 +tp2727 +a(g198 +V) +tp2728 +a(g198 +V; +tp2729 +a(g185 +V\u000a +tp2730 +a(g185 +V +p2731 +tp2732 +a(g18 +VnonAssignmentOperators +p2733 +tp2734 +a(g198 +V. +tp2735 +a(g18 +Vpush_back +p2736 +tp2737 +a(g198 +V( +tp2738 +a(g340 +V& +tp2739 +a(g18 +VAS_PLUS_PLUS +p2740 +tp2741 +a(g198 +V) +tp2742 +a(g198 +V; +tp2743 +a(g185 +V\u000a +tp2744 +a(g185 +V +p2745 +tp2746 +a(g18 +VnonAssignmentOperators +p2747 +tp2748 +a(g198 +V. +tp2749 +a(g18 +Vpush_back +p2750 +tp2751 +a(g198 +V( +tp2752 +a(g340 +V& +tp2753 +a(g18 +VAS_MINUS_MINUS +p2754 +tp2755 +a(g198 +V) +tp2756 +a(g198 +V; +tp2757 +a(g185 +V\u000a +tp2758 +a(g185 +V +p2759 +tp2760 +a(g18 +VnonAssignmentOperators +p2761 +tp2762 +a(g198 +V. +tp2763 +a(g18 +Vpush_back +p2764 +tp2765 +a(g198 +V( +tp2766 +a(g340 +V& +tp2767 +a(g18 +VAS_NOT_EQUAL +p2768 +tp2769 +a(g198 +V) +tp2770 +a(g198 +V; +tp2771 +a(g185 +V\u000a +tp2772 +a(g185 +V +p2773 +tp2774 +a(g18 +VnonAssignmentOperators +p2775 +tp2776 +a(g198 +V. +tp2777 +a(g18 +Vpush_back +p2778 +tp2779 +a(g198 +V( +tp2780 +a(g340 +V& +tp2781 +a(g18 +VAS_GR_EQUAL +p2782 +tp2783 +a(g198 +V) +tp2784 +a(g198 +V; +tp2785 +a(g185 +V\u000a +tp2786 +a(g185 +V +p2787 +tp2788 +a(g18 +VnonAssignmentOperators +p2789 +tp2790 +a(g198 +V. +tp2791 +a(g18 +Vpush_back +p2792 +tp2793 +a(g198 +V( +tp2794 +a(g340 +V& +tp2795 +a(g18 +VAS_GR_GR_GR +p2796 +tp2797 +a(g198 +V) +tp2798 +a(g198 +V; +tp2799 +a(g185 +V\u000a +tp2800 +a(g185 +V +p2801 +tp2802 +a(g18 +VnonAssignmentOperators +p2803 +tp2804 +a(g198 +V. +tp2805 +a(g18 +Vpush_back +p2806 +tp2807 +a(g198 +V( +tp2808 +a(g340 +V& +tp2809 +a(g18 +VAS_GR_GR +p2810 +tp2811 +a(g198 +V) +tp2812 +a(g198 +V; +tp2813 +a(g185 +V\u000a +tp2814 +a(g185 +V +p2815 +tp2816 +a(g18 +VnonAssignmentOperators +p2817 +tp2818 +a(g198 +V. +tp2819 +a(g18 +Vpush_back +p2820 +tp2821 +a(g198 +V( +tp2822 +a(g340 +V& +tp2823 +a(g18 +VAS_LS_EQUAL +p2824 +tp2825 +a(g198 +V) +tp2826 +a(g198 +V; +tp2827 +a(g185 +V\u000a +tp2828 +a(g185 +V +p2829 +tp2830 +a(g18 +VnonAssignmentOperators +p2831 +tp2832 +a(g198 +V. +tp2833 +a(g18 +Vpush_back +p2834 +tp2835 +a(g198 +V( +tp2836 +a(g340 +V& +tp2837 +a(g18 +VAS_LS_LS_LS +p2838 +tp2839 +a(g198 +V) +tp2840 +a(g198 +V; +tp2841 +a(g185 +V\u000a +tp2842 +a(g185 +V +p2843 +tp2844 +a(g18 +VnonAssignmentOperators +p2845 +tp2846 +a(g198 +V. +tp2847 +a(g18 +Vpush_back +p2848 +tp2849 +a(g198 +V( +tp2850 +a(g340 +V& +tp2851 +a(g18 +VAS_LS_LS +p2852 +tp2853 +a(g198 +V) +tp2854 +a(g198 +V; +tp2855 +a(g185 +V\u000a +tp2856 +a(g185 +V +p2857 +tp2858 +a(g18 +VnonAssignmentOperators +p2859 +tp2860 +a(g198 +V. +tp2861 +a(g18 +Vpush_back +p2862 +tp2863 +a(g198 +V( +tp2864 +a(g340 +V& +tp2865 +a(g18 +VAS_ARROW +p2866 +tp2867 +a(g198 +V) +tp2868 +a(g198 +V; +tp2869 +a(g185 +V\u000a +tp2870 +a(g185 +V +p2871 +tp2872 +a(g18 +VnonAssignmentOperators +p2873 +tp2874 +a(g198 +V. +tp2875 +a(g18 +Vpush_back +p2876 +tp2877 +a(g198 +V( +tp2878 +a(g340 +V& +tp2879 +a(g18 +VAS_AND +p2880 +tp2881 +a(g198 +V) +tp2882 +a(g198 +V; +tp2883 +a(g185 +V\u000a +tp2884 +a(g185 +V +p2885 +tp2886 +a(g18 +VnonAssignmentOperators +p2887 +tp2888 +a(g198 +V. +tp2889 +a(g18 +Vpush_back +p2890 +tp2891 +a(g198 +V( +tp2892 +a(g340 +V& +tp2893 +a(g18 +VAS_OR +p2894 +tp2895 +a(g198 +V) +tp2896 +a(g198 +V; +tp2897 +a(g185 +V\u000a +tp2898 +a(g185 +V +p2899 +tp2900 +a(g198 +V} +tp2901 +a(g185 +V\u000a +tp2902 +a(g185 +V\u000a +tp2903 +a(g185 +V +p2904 +tp2905 +a(g7 +V/**\u000a * ASBeautifier's constructor\u000a */ +p2906 +tp2907 +a(g185 +V\u000a +tp2908 +a(g185 +V +p2909 +tp2910 +a(g18 +VASBeautifier +p2911 +tp2912 +a(g340 +V: +tp2913 +a(g340 +V: +tp2914 +a(g18 +VASBeautifier +p2915 +tp2916 +a(g198 +V( +tp2917 +a(g198 +V) +tp2918 +a(g185 +V\u000a +tp2919 +a(g185 +V +p2920 +tp2921 +a(g198 +V{ +tp2922 +a(g185 +V\u000a +tp2923 +a(g185 +V +p2924 +tp2925 +a(g18 +VinitStatic +p2926 +tp2927 +a(g198 +V( +tp2928 +a(g198 +V) +tp2929 +a(g198 +V; +tp2930 +a(g185 +V\u000a +tp2931 +a(g185 +V\u000a +tp2932 +a(g185 +V +p2933 +tp2934 +a(g18 +VwaitingBeautifierStack +p2935 +tp2936 +a(g185 +V +tp2937 +a(g340 +V= +tp2938 +a(g185 +V +tp2939 +a(g57 +VNULL +p2940 +tp2941 +a(g198 +V; +tp2942 +a(g185 +V\u000a +tp2943 +a(g185 +V +p2944 +tp2945 +a(g18 +VactiveBeautifierStack +p2946 +tp2947 +a(g185 +V +tp2948 +a(g340 +V= +tp2949 +a(g185 +V +tp2950 +a(g57 +VNULL +p2951 +tp2952 +a(g198 +V; +tp2953 +a(g185 +V\u000a +tp2954 +a(g185 +V +p2955 +tp2956 +a(g18 +VwaitingBeautifierStackLengthStack +p2957 +tp2958 +a(g185 +V +tp2959 +a(g340 +V= +tp2960 +a(g185 +V +tp2961 +a(g57 +VNULL +p2962 +tp2963 +a(g198 +V; +tp2964 +a(g185 +V\u000a +tp2965 +a(g185 +V +p2966 +tp2967 +a(g18 +VactiveBeautifierStackLengthStack +p2968 +tp2969 +a(g185 +V +tp2970 +a(g340 +V= +tp2971 +a(g185 +V +tp2972 +a(g57 +VNULL +p2973 +tp2974 +a(g198 +V; +tp2975 +a(g185 +V\u000a +tp2976 +a(g185 +V\u000a +tp2977 +a(g185 +V +p2978 +tp2979 +a(g18 +VheaderStack +p2980 +tp2981 +a(g185 +V +p2982 +tp2983 +a(g340 +V= +tp2984 +a(g185 +V +tp2985 +a(g57 +VNULL +p2986 +tp2987 +a(g198 +V; +tp2988 +a(g185 +V\u000a +tp2989 +a(g185 +V +p2990 +tp2991 +a(g18 +VtempStacks +p2992 +tp2993 +a(g185 +V +tp2994 +a(g340 +V= +tp2995 +a(g185 +V +tp2996 +a(g57 +VNULL +p2997 +tp2998 +a(g198 +V; +tp2999 +a(g185 +V\u000a +tp3000 +a(g185 +V +p3001 +tp3002 +a(g18 +VblockParenDepthStack +p3003 +tp3004 +a(g185 +V +tp3005 +a(g340 +V= +tp3006 +a(g185 +V +tp3007 +a(g57 +VNULL +p3008 +tp3009 +a(g198 +V; +tp3010 +a(g185 +V\u000a +tp3011 +a(g185 +V +p3012 +tp3013 +a(g18 +VblockStatementStack +p3014 +tp3015 +a(g185 +V +tp3016 +a(g340 +V= +tp3017 +a(g185 +V +tp3018 +a(g57 +VNULL +p3019 +tp3020 +a(g198 +V; +tp3021 +a(g185 +V\u000a +tp3022 +a(g185 +V +p3023 +tp3024 +a(g18 +VparenStatementStack +p3025 +tp3026 +a(g185 +V +tp3027 +a(g340 +V= +tp3028 +a(g185 +V +tp3029 +a(g57 +VNULL +p3030 +tp3031 +a(g198 +V; +tp3032 +a(g185 +V\u000a +tp3033 +a(g185 +V +p3034 +tp3035 +a(g18 +VbracketBlockStateStack +p3036 +tp3037 +a(g185 +V +tp3038 +a(g340 +V= +tp3039 +a(g185 +V +tp3040 +a(g57 +VNULL +p3041 +tp3042 +a(g198 +V; +tp3043 +a(g185 +V\u000a +tp3044 +a(g185 +V +p3045 +tp3046 +a(g18 +VinStatementIndentStack +p3047 +tp3048 +a(g185 +V +tp3049 +a(g340 +V= +tp3050 +a(g185 +V +tp3051 +a(g57 +VNULL +p3052 +tp3053 +a(g198 +V; +tp3054 +a(g185 +V\u000a +tp3055 +a(g185 +V +p3056 +tp3057 +a(g18 +VinStatementIndentStackSizeStack +p3058 +tp3059 +a(g185 +V +tp3060 +a(g340 +V= +tp3061 +a(g185 +V +tp3062 +a(g57 +VNULL +p3063 +tp3064 +a(g198 +V; +tp3065 +a(g185 +V\u000a +tp3066 +a(g185 +V +p3067 +tp3068 +a(g18 +VparenIndentStack +p3069 +tp3070 +a(g185 +V +tp3071 +a(g340 +V= +tp3072 +a(g185 +V +tp3073 +a(g57 +VNULL +p3074 +tp3075 +a(g198 +V; +tp3076 +a(g185 +V\u000a +tp3077 +a(g185 +V +p3078 +tp3079 +a(g18 +VsourceIterator +p3080 +tp3081 +a(g185 +V +tp3082 +a(g340 +V= +tp3083 +a(g185 +V +tp3084 +a(g57 +VNULL +p3085 +tp3086 +a(g198 +V; +tp3087 +a(g185 +V\u000a +tp3088 +a(g185 +V\u000a +tp3089 +a(g185 +V +p3090 +tp3091 +a(g18 +VisMinimalConditinalIndentSet +p3092 +tp3093 +a(g185 +V +tp3094 +a(g340 +V= +tp3095 +a(g185 +V +tp3096 +a(g113 +Vfalse +p3097 +tp3098 +a(g198 +V; +tp3099 +a(g185 +V\u000a +tp3100 +a(g185 +V +p3101 +tp3102 +a(g18 +VshouldForceTabIndentation +p3103 +tp3104 +a(g185 +V +tp3105 +a(g340 +V= +tp3106 +a(g185 +V +tp3107 +a(g113 +Vfalse +p3108 +tp3109 +a(g198 +V; +tp3110 +a(g185 +V\u000a +tp3111 +a(g185 +V\u000a +tp3112 +a(g185 +V +p3113 +tp3114 +a(g18 +VsetSpaceIndentation +p3115 +tp3116 +a(g198 +V( +tp3117 +a(g315 +V4 +tp3118 +a(g198 +V) +tp3119 +a(g198 +V; +tp3120 +a(g185 +V\u000a +tp3121 +a(g185 +V +p3122 +tp3123 +a(g18 +VsetMaxInStatementIndentLength +p3124 +tp3125 +a(g198 +V( +tp3126 +a(g315 +V40 +p3127 +tp3128 +a(g198 +V) +tp3129 +a(g198 +V; +tp3130 +a(g185 +V\u000a +tp3131 +a(g185 +V +p3132 +tp3133 +a(g18 +VsetClassIndent +p3134 +tp3135 +a(g198 +V( +tp3136 +a(g113 +Vfalse +p3137 +tp3138 +a(g198 +V) +tp3139 +a(g198 +V; +tp3140 +a(g185 +V\u000a +tp3141 +a(g185 +V +p3142 +tp3143 +a(g18 +VsetSwitchIndent +p3144 +tp3145 +a(g198 +V( +tp3146 +a(g113 +Vfalse +p3147 +tp3148 +a(g198 +V) +tp3149 +a(g198 +V; +tp3150 +a(g185 +V\u000a +tp3151 +a(g185 +V +p3152 +tp3153 +a(g18 +VsetCaseIndent +p3154 +tp3155 +a(g198 +V( +tp3156 +a(g113 +Vfalse +p3157 +tp3158 +a(g198 +V) +tp3159 +a(g198 +V; +tp3160 +a(g185 +V\u000a +tp3161 +a(g185 +V +p3162 +tp3163 +a(g18 +VsetBlockIndent +p3164 +tp3165 +a(g198 +V( +tp3166 +a(g113 +Vfalse +p3167 +tp3168 +a(g198 +V) +tp3169 +a(g198 +V; +tp3170 +a(g185 +V\u000a +tp3171 +a(g185 +V +p3172 +tp3173 +a(g18 +VsetBracketIndent +p3174 +tp3175 +a(g198 +V( +tp3176 +a(g113 +Vfalse +p3177 +tp3178 +a(g198 +V) +tp3179 +a(g198 +V; +tp3180 +a(g185 +V\u000a +tp3181 +a(g185 +V +p3182 +tp3183 +a(g18 +VsetNamespaceIndent +p3184 +tp3185 +a(g198 +V( +tp3186 +a(g113 +Vfalse +p3187 +tp3188 +a(g198 +V) +tp3189 +a(g198 +V; +tp3190 +a(g185 +V\u000a +tp3191 +a(g185 +V +p3192 +tp3193 +a(g18 +VsetLabelIndent +p3194 +tp3195 +a(g198 +V( +tp3196 +a(g113 +Vfalse +p3197 +tp3198 +a(g198 +V) +tp3199 +a(g198 +V; +tp3200 +a(g185 +V\u000a +tp3201 +a(g185 +V +p3202 +tp3203 +a(g18 +VsetEmptyLineFill +p3204 +tp3205 +a(g198 +V( +tp3206 +a(g113 +Vfalse +p3207 +tp3208 +a(g198 +V) +tp3209 +a(g198 +V; +tp3210 +a(g185 +V\u000a +tp3211 +a(g185 +V +p3212 +tp3213 +a(g18 +VsetCStyle +p3214 +tp3215 +a(g198 +V( +tp3216 +a(g198 +V) +tp3217 +a(g198 +V; +tp3218 +a(g185 +V\u000a +tp3219 +a(g185 +V +p3220 +tp3221 +a(g18 +VsetPreprocessorIndent +p3222 +tp3223 +a(g198 +V( +tp3224 +a(g113 +Vfalse +p3225 +tp3226 +a(g198 +V) +tp3227 +a(g198 +V; +tp3228 +a(g185 +V\u000a +tp3229 +a(g185 +V +p3230 +tp3231 +a(g198 +V} +tp3232 +a(g185 +V\u000a +tp3233 +a(g185 +V\u000a +tp3234 +a(g185 +V +p3235 +tp3236 +a(g18 +VASBeautifier +p3237 +tp3238 +a(g340 +V: +tp3239 +a(g340 +V: +tp3240 +a(g18 +VASBeautifier +p3241 +tp3242 +a(g198 +V( +tp3243 +a(g111 +Vconst +p3244 +tp3245 +a(g185 +V +tp3246 +a(g18 +VASBeautifier +p3247 +tp3248 +a(g185 +V +tp3249 +a(g340 +V& +tp3250 +a(g18 +Vother +p3251 +tp3252 +a(g198 +V) +tp3253 +a(g185 +V\u000a +tp3254 +a(g185 +V +p3255 +tp3256 +a(g198 +V{ +tp3257 +a(g185 +V\u000a +tp3258 +a(g185 +V +p3259 +tp3260 +a(g18 +VwaitingBeautifierStack +p3261 +tp3262 +a(g185 +V +tp3263 +a(g340 +V= +tp3264 +a(g185 +V +tp3265 +a(g57 +VNULL +p3266 +tp3267 +a(g198 +V; +tp3268 +a(g185 +V\u000a +tp3269 +a(g185 +V +p3270 +tp3271 +a(g18 +VactiveBeautifierStack +p3272 +tp3273 +a(g185 +V +tp3274 +a(g340 +V= +tp3275 +a(g185 +V +tp3276 +a(g57 +VNULL +p3277 +tp3278 +a(g198 +V; +tp3279 +a(g185 +V\u000a +tp3280 +a(g185 +V +p3281 +tp3282 +a(g18 +VwaitingBeautifierStackLengthStack +p3283 +tp3284 +a(g185 +V +tp3285 +a(g340 +V= +tp3286 +a(g185 +V +tp3287 +a(g57 +VNULL +p3288 +tp3289 +a(g198 +V; +tp3290 +a(g185 +V\u000a +tp3291 +a(g185 +V +p3292 +tp3293 +a(g18 +VactiveBeautifierStackLengthStack +p3294 +tp3295 +a(g185 +V +tp3296 +a(g340 +V= +tp3297 +a(g185 +V +tp3298 +a(g57 +VNULL +p3299 +tp3300 +a(g198 +V; +tp3301 +a(g185 +V\u000a +tp3302 +a(g185 +V\u000a +tp3303 +a(g185 +V +p3304 +tp3305 +a(g18 +VheaderStack +p3306 +tp3307 +a(g185 +V +p3308 +tp3309 +a(g340 +V= +tp3310 +a(g185 +V +tp3311 +a(g111 +Vnew +p3312 +tp3313 +a(g185 +V +tp3314 +a(g18 +Vvector +p3315 +tp3316 +a(g340 +V< +tp3317 +a(g111 +Vconst +p3318 +tp3319 +a(g185 +V +tp3320 +a(g18 +Vstring +p3321 +tp3322 +a(g340 +V* +tp3323 +a(g340 +V> +tp3324 +a(g198 +V; +tp3325 +a(g185 +V\u000a +tp3326 +a(g185 +V +p3327 +tp3328 +a(g340 +V* +tp3329 +a(g18 +VheaderStack +p3330 +tp3331 +a(g185 +V +tp3332 +a(g340 +V= +tp3333 +a(g185 +V +tp3334 +a(g340 +V* +tp3335 +a(g18 +Vother +p3336 +tp3337 +a(g198 +V. +tp3338 +a(g18 +VheaderStack +p3339 +tp3340 +a(g198 +V; +tp3341 +a(g185 +V\u000a +tp3342 +a(g185 +V\u000a +tp3343 +a(g185 +V +p3344 +tp3345 +a(g18 +VtempStacks +p3346 +tp3347 +a(g185 +V +tp3348 +a(g340 +V= +tp3349 +a(g185 +V +tp3350 +a(g111 +Vnew +p3351 +tp3352 +a(g185 +V +tp3353 +a(g18 +Vvector +p3354 +tp3355 +a(g340 +V< +tp3356 +a(g185 +V +tp3357 +a(g18 +Vvector +p3358 +tp3359 +a(g340 +V< +tp3360 +a(g111 +Vconst +p3361 +tp3362 +a(g185 +V +tp3363 +a(g18 +Vstring +p3364 +tp3365 +a(g340 +V* +tp3366 +a(g340 +V> +tp3367 +a(g340 +V* +tp3368 +a(g185 +V +tp3369 +a(g340 +V> +tp3370 +a(g198 +V; +tp3371 +a(g185 +V\u000a +tp3372 +a(g185 +V +p3373 +tp3374 +a(g18 +Vvector +p3375 +tp3376 +a(g340 +V< +tp3377 +a(g185 +V +tp3378 +a(g18 +Vvector +p3379 +tp3380 +a(g340 +V< +tp3381 +a(g111 +Vconst +p3382 +tp3383 +a(g185 +V +tp3384 +a(g18 +Vstring +p3385 +tp3386 +a(g340 +V* +tp3387 +a(g340 +V> +tp3388 +a(g340 +V* +tp3389 +a(g185 +V +tp3390 +a(g340 +V> +tp3391 +a(g340 +V: +tp3392 +a(g340 +V: +tp3393 +a(g18 +Viterator +p3394 +tp3395 +a(g185 +V +tp3396 +a(g18 +Viter +p3397 +tp3398 +a(g198 +V; +tp3399 +a(g185 +V\u000a +tp3400 +a(g185 +V +p3401 +tp3402 +a(g111 +Vfor +p3403 +tp3404 +a(g185 +V +tp3405 +a(g198 +V( +tp3406 +a(g18 +Viter +p3407 +tp3408 +a(g185 +V +tp3409 +a(g340 +V= +tp3410 +a(g185 +V +tp3411 +a(g18 +Vother +p3412 +tp3413 +a(g198 +V. +tp3414 +a(g18 +VtempStacks +p3415 +tp3416 +a(g340 +V- +tp3417 +a(g340 +V> +tp3418 +a(g18 +Vbegin +p3419 +tp3420 +a(g198 +V( +tp3421 +a(g198 +V) +tp3422 +a(g198 +V; +tp3423 +a(g185 +V\u000a +tp3424 +a(g185 +V +p3425 +tp3426 +a(g18 +Viter +p3427 +tp3428 +a(g185 +V +tp3429 +a(g340 +V! +tp3430 +a(g340 +V= +tp3431 +a(g185 +V +tp3432 +a(g18 +Vother +p3433 +tp3434 +a(g198 +V. +tp3435 +a(g18 +VtempStacks +p3436 +tp3437 +a(g340 +V- +tp3438 +a(g340 +V> +tp3439 +a(g18 +Vend +p3440 +tp3441 +a(g198 +V( +tp3442 +a(g198 +V) +tp3443 +a(g198 +V; +tp3444 +a(g185 +V\u000a +tp3445 +a(g185 +V +p3446 +tp3447 +a(g340 +V+ +tp3448 +a(g340 +V+ +tp3449 +a(g18 +Viter +p3450 +tp3451 +a(g198 +V) +tp3452 +a(g185 +V\u000a +tp3453 +a(g185 +V +p3454 +tp3455 +a(g198 +V{ +tp3456 +a(g185 +V\u000a +tp3457 +a(g185 +V +p3458 +tp3459 +a(g18 +Vvector +p3460 +tp3461 +a(g340 +V< +tp3462 +a(g111 +Vconst +p3463 +tp3464 +a(g185 +V +tp3465 +a(g18 +Vstring +p3466 +tp3467 +a(g340 +V* +tp3468 +a(g340 +V> +tp3469 +a(g185 +V +tp3470 +a(g340 +V* +tp3471 +a(g18 +VnewVec +p3472 +tp3473 +a(g185 +V +tp3474 +a(g340 +V= +tp3475 +a(g185 +V +tp3476 +a(g111 +Vnew +p3477 +tp3478 +a(g185 +V +tp3479 +a(g18 +Vvector +p3480 +tp3481 +a(g340 +V< +tp3482 +a(g111 +Vconst +p3483 +tp3484 +a(g185 +V +tp3485 +a(g18 +Vstring +p3486 +tp3487 +a(g340 +V* +tp3488 +a(g340 +V> +tp3489 +a(g198 +V; +tp3490 +a(g185 +V\u000a +tp3491 +a(g185 +V +p3492 +tp3493 +a(g340 +V* +tp3494 +a(g18 +VnewVec +p3495 +tp3496 +a(g185 +V +tp3497 +a(g340 +V= +tp3498 +a(g185 +V +tp3499 +a(g340 +V* +tp3500 +a(g340 +V* +tp3501 +a(g18 +Viter +p3502 +tp3503 +a(g198 +V; +tp3504 +a(g185 +V\u000a +tp3505 +a(g185 +V +p3506 +tp3507 +a(g18 +VtempStacks +p3508 +tp3509 +a(g340 +V- +tp3510 +a(g340 +V> +tp3511 +a(g18 +Vpush_back +p3512 +tp3513 +a(g198 +V( +tp3514 +a(g18 +VnewVec +p3515 +tp3516 +a(g198 +V) +tp3517 +a(g198 +V; +tp3518 +a(g185 +V\u000a +tp3519 +a(g185 +V +p3520 +tp3521 +a(g198 +V} +tp3522 +a(g185 +V\u000a +tp3523 +a(g185 +V +p3524 +tp3525 +a(g18 +VblockParenDepthStack +p3526 +tp3527 +a(g185 +V +tp3528 +a(g340 +V= +tp3529 +a(g185 +V +tp3530 +a(g111 +Vnew +p3531 +tp3532 +a(g185 +V +tp3533 +a(g18 +Vvector +p3534 +tp3535 +a(g340 +V< +tp3536 +a(g135 +Vint +p3537 +tp3538 +a(g340 +V> +tp3539 +a(g198 +V; +tp3540 +a(g185 +V\u000a +tp3541 +a(g185 +V +p3542 +tp3543 +a(g340 +V* +tp3544 +a(g18 +VblockParenDepthStack +p3545 +tp3546 +a(g185 +V +tp3547 +a(g340 +V= +tp3548 +a(g185 +V +tp3549 +a(g340 +V* +tp3550 +a(g18 +Vother +p3551 +tp3552 +a(g198 +V. +tp3553 +a(g18 +VblockParenDepthStack +p3554 +tp3555 +a(g198 +V; +tp3556 +a(g185 +V\u000a +tp3557 +a(g185 +V\u000a +tp3558 +a(g185 +V +p3559 +tp3560 +a(g18 +VblockStatementStack +p3561 +tp3562 +a(g185 +V +tp3563 +a(g340 +V= +tp3564 +a(g185 +V +tp3565 +a(g111 +Vnew +p3566 +tp3567 +a(g185 +V +tp3568 +a(g18 +Vvector +p3569 +tp3570 +a(g340 +V< +tp3571 +a(g135 +Vbool +p3572 +tp3573 +a(g340 +V> +tp3574 +a(g198 +V; +tp3575 +a(g185 +V\u000a +tp3576 +a(g185 +V +p3577 +tp3578 +a(g340 +V* +tp3579 +a(g18 +VblockStatementStack +p3580 +tp3581 +a(g185 +V +tp3582 +a(g340 +V= +tp3583 +a(g185 +V +tp3584 +a(g340 +V* +tp3585 +a(g18 +Vother +p3586 +tp3587 +a(g198 +V. +tp3588 +a(g18 +VblockStatementStack +p3589 +tp3590 +a(g198 +V; +tp3591 +a(g185 +V\u000a +tp3592 +a(g185 +V\u000a +tp3593 +a(g185 +V +p3594 +tp3595 +a(g18 +VparenStatementStack +p3596 +tp3597 +a(g185 +V +tp3598 +a(g340 +V= +tp3599 +a(g185 +V +p3600 +tp3601 +a(g111 +Vnew +p3602 +tp3603 +a(g185 +V +tp3604 +a(g18 +Vvector +p3605 +tp3606 +a(g340 +V< +tp3607 +a(g135 +Vbool +p3608 +tp3609 +a(g340 +V> +tp3610 +a(g198 +V; +tp3611 +a(g185 +V\u000a +tp3612 +a(g185 +V +p3613 +tp3614 +a(g340 +V* +tp3615 +a(g18 +VparenStatementStack +p3616 +tp3617 +a(g185 +V +tp3618 +a(g340 +V= +tp3619 +a(g185 +V +tp3620 +a(g340 +V* +tp3621 +a(g18 +Vother +p3622 +tp3623 +a(g198 +V. +tp3624 +a(g18 +VparenStatementStack +p3625 +tp3626 +a(g198 +V; +tp3627 +a(g185 +V\u000a +tp3628 +a(g185 +V\u000a +tp3629 +a(g185 +V +p3630 +tp3631 +a(g18 +VbracketBlockStateStack +p3632 +tp3633 +a(g185 +V +tp3634 +a(g340 +V= +tp3635 +a(g185 +V +tp3636 +a(g111 +Vnew +p3637 +tp3638 +a(g185 +V +tp3639 +a(g18 +Vvector +p3640 +tp3641 +a(g340 +V< +tp3642 +a(g135 +Vbool +p3643 +tp3644 +a(g340 +V> +tp3645 +a(g198 +V; +tp3646 +a(g185 +V\u000a +tp3647 +a(g185 +V +p3648 +tp3649 +a(g340 +V* +tp3650 +a(g18 +VbracketBlockStateStack +p3651 +tp3652 +a(g185 +V +tp3653 +a(g340 +V= +tp3654 +a(g185 +V +tp3655 +a(g340 +V* +tp3656 +a(g18 +Vother +p3657 +tp3658 +a(g198 +V. +tp3659 +a(g18 +VbracketBlockStateStack +p3660 +tp3661 +a(g198 +V; +tp3662 +a(g185 +V\u000a +tp3663 +a(g185 +V\u000a +tp3664 +a(g185 +V +p3665 +tp3666 +a(g18 +VinStatementIndentStack +p3667 +tp3668 +a(g185 +V +tp3669 +a(g340 +V= +tp3670 +a(g185 +V +tp3671 +a(g111 +Vnew +p3672 +tp3673 +a(g185 +V +tp3674 +a(g18 +Vvector +p3675 +tp3676 +a(g340 +V< +tp3677 +a(g135 +Vint +p3678 +tp3679 +a(g340 +V> +tp3680 +a(g198 +V; +tp3681 +a(g185 +V\u000a +tp3682 +a(g185 +V +p3683 +tp3684 +a(g340 +V* +tp3685 +a(g18 +VinStatementIndentStack +p3686 +tp3687 +a(g185 +V +tp3688 +a(g340 +V= +tp3689 +a(g185 +V +tp3690 +a(g340 +V* +tp3691 +a(g18 +Vother +p3692 +tp3693 +a(g198 +V. +tp3694 +a(g18 +VinStatementIndentStack +p3695 +tp3696 +a(g198 +V; +tp3697 +a(g185 +V\u000a +tp3698 +a(g185 +V\u000a +tp3699 +a(g185 +V +p3700 +tp3701 +a(g18 +VinStatementIndentStackSizeStack +p3702 +tp3703 +a(g185 +V +tp3704 +a(g340 +V= +tp3705 +a(g185 +V +tp3706 +a(g111 +Vnew +p3707 +tp3708 +a(g185 +V +tp3709 +a(g18 +Vvector +p3710 +tp3711 +a(g340 +V< +tp3712 +a(g135 +Vint +p3713 +tp3714 +a(g340 +V> +tp3715 +a(g198 +V; +tp3716 +a(g185 +V\u000a +tp3717 +a(g185 +V +p3718 +tp3719 +a(g340 +V* +tp3720 +a(g18 +VinStatementIndentStackSizeStack +p3721 +tp3722 +a(g185 +V +tp3723 +a(g340 +V= +tp3724 +a(g185 +V +tp3725 +a(g340 +V* +tp3726 +a(g18 +Vother +p3727 +tp3728 +a(g198 +V. +tp3729 +a(g18 +VinStatementIndentStackSizeStack +p3730 +tp3731 +a(g198 +V; +tp3732 +a(g185 +V\u000a +tp3733 +a(g185 +V\u000a +tp3734 +a(g185 +V +p3735 +tp3736 +a(g18 +VparenIndentStack +p3737 +tp3738 +a(g185 +V +tp3739 +a(g340 +V= +tp3740 +a(g185 +V +tp3741 +a(g111 +Vnew +p3742 +tp3743 +a(g185 +V +tp3744 +a(g18 +Vvector +p3745 +tp3746 +a(g340 +V< +tp3747 +a(g135 +Vint +p3748 +tp3749 +a(g340 +V> +tp3750 +a(g198 +V; +tp3751 +a(g185 +V\u000a +tp3752 +a(g185 +V +p3753 +tp3754 +a(g340 +V* +tp3755 +a(g18 +VparenIndentStack +p3756 +tp3757 +a(g185 +V +tp3758 +a(g340 +V= +tp3759 +a(g185 +V +tp3760 +a(g340 +V* +tp3761 +a(g18 +Vother +p3762 +tp3763 +a(g198 +V. +tp3764 +a(g18 +VparenIndentStack +p3765 +tp3766 +a(g198 +V; +tp3767 +a(g185 +V\u000a +tp3768 +a(g185 +V\u000a +tp3769 +a(g185 +V +p3770 +tp3771 +a(g18 +VsourceIterator +p3772 +tp3773 +a(g185 +V +tp3774 +a(g340 +V= +tp3775 +a(g185 +V +tp3776 +a(g18 +Vother +p3777 +tp3778 +a(g198 +V. +tp3779 +a(g18 +VsourceIterator +p3780 +tp3781 +a(g198 +V; +tp3782 +a(g185 +V\u000a +tp3783 +a(g185 +V\u000a +tp3784 +a(g185 +V +p3785 +tp3786 +a(g18 +VindentString +p3787 +tp3788 +a(g185 +V +tp3789 +a(g340 +V= +tp3790 +a(g185 +V +tp3791 +a(g18 +Vother +p3792 +tp3793 +a(g198 +V. +tp3794 +a(g18 +VindentString +p3795 +tp3796 +a(g198 +V; +tp3797 +a(g185 +V\u000a +tp3798 +a(g185 +V +p3799 +tp3800 +a(g18 +VcurrentHeader +p3801 +tp3802 +a(g185 +V +tp3803 +a(g340 +V= +tp3804 +a(g185 +V +tp3805 +a(g18 +Vother +p3806 +tp3807 +a(g198 +V. +tp3808 +a(g18 +VcurrentHeader +p3809 +tp3810 +a(g198 +V; +tp3811 +a(g185 +V\u000a +tp3812 +a(g185 +V +p3813 +tp3814 +a(g18 +VpreviousLastLineHeader +p3815 +tp3816 +a(g185 +V +tp3817 +a(g340 +V= +tp3818 +a(g185 +V +tp3819 +a(g18 +Vother +p3820 +tp3821 +a(g198 +V. +tp3822 +a(g18 +VpreviousLastLineHeader +p3823 +tp3824 +a(g198 +V; +tp3825 +a(g185 +V\u000a +tp3826 +a(g185 +V +p3827 +tp3828 +a(g18 +VimmediatelyPreviousAssignmentOp +p3829 +tp3830 +a(g185 +V +tp3831 +a(g340 +V= +tp3832 +a(g185 +V +tp3833 +a(g18 +Vother +p3834 +tp3835 +a(g198 +V. +tp3836 +a(g18 +VimmediatelyPreviousAssignmentOp +p3837 +tp3838 +a(g198 +V; +tp3839 +a(g185 +V\u000a +tp3840 +a(g185 +V +p3841 +tp3842 +a(g18 +VisInQuote +p3843 +tp3844 +a(g185 +V +tp3845 +a(g340 +V= +tp3846 +a(g185 +V +tp3847 +a(g18 +Vother +p3848 +tp3849 +a(g198 +V. +tp3850 +a(g18 +VisInQuote +p3851 +tp3852 +a(g198 +V; +tp3853 +a(g185 +V\u000a +tp3854 +a(g185 +V +p3855 +tp3856 +a(g18 +VisInComment +p3857 +tp3858 +a(g185 +V +tp3859 +a(g340 +V= +tp3860 +a(g185 +V +tp3861 +a(g18 +Vother +p3862 +tp3863 +a(g198 +V. +tp3864 +a(g18 +VisInComment +p3865 +tp3866 +a(g198 +V; +tp3867 +a(g185 +V\u000a +tp3868 +a(g185 +V +p3869 +tp3870 +a(g18 +VisInCase +p3871 +tp3872 +a(g185 +V +tp3873 +a(g340 +V= +tp3874 +a(g185 +V +tp3875 +a(g18 +Vother +p3876 +tp3877 +a(g198 +V. +tp3878 +a(g18 +VisInCase +p3879 +tp3880 +a(g198 +V; +tp3881 +a(g185 +V\u000a +tp3882 +a(g185 +V +p3883 +tp3884 +a(g18 +VisInQuestion +p3885 +tp3886 +a(g185 +V +tp3887 +a(g340 +V= +tp3888 +a(g185 +V +tp3889 +a(g18 +Vother +p3890 +tp3891 +a(g198 +V. +tp3892 +a(g18 +VisInQuestion +p3893 +tp3894 +a(g198 +V; +tp3895 +a(g185 +V\u000a +tp3896 +a(g185 +V +p3897 +tp3898 +a(g18 +VisInStatement +p3899 +tp3900 +a(g185 +V +tp3901 +a(g340 +V= +tp3902 +a(g18 +Vother +p3903 +tp3904 +a(g198 +V. +tp3905 +a(g185 +V +tp3906 +a(g18 +VisInStatement +p3907 +tp3908 +a(g198 +V; +tp3909 +a(g185 +V\u000a +tp3910 +a(g185 +V +p3911 +tp3912 +a(g18 +VisInHeader +p3913 +tp3914 +a(g185 +V +tp3915 +a(g340 +V= +tp3916 +a(g185 +V +tp3917 +a(g18 +Vother +p3918 +tp3919 +a(g198 +V. +tp3920 +a(g18 +VisInHeader +p3921 +tp3922 +a(g198 +V; +tp3923 +a(g185 +V\u000a +tp3924 +a(g185 +V +p3925 +tp3926 +a(g18 +VisCStyle +p3927 +tp3928 +a(g185 +V +tp3929 +a(g340 +V= +tp3930 +a(g185 +V +tp3931 +a(g18 +Vother +p3932 +tp3933 +a(g198 +V. +tp3934 +a(g18 +VisCStyle +p3935 +tp3936 +a(g198 +V; +tp3937 +a(g185 +V\u000a +tp3938 +a(g185 +V +p3939 +tp3940 +a(g18 +VisInOperator +p3941 +tp3942 +a(g185 +V +tp3943 +a(g340 +V= +tp3944 +a(g185 +V +tp3945 +a(g18 +Vother +p3946 +tp3947 +a(g198 +V. +tp3948 +a(g18 +VisInOperator +p3949 +tp3950 +a(g198 +V; +tp3951 +a(g185 +V\u000a +tp3952 +a(g185 +V +p3953 +tp3954 +a(g18 +VisInTemplate +p3955 +tp3956 +a(g185 +V +tp3957 +a(g340 +V= +tp3958 +a(g185 +V +tp3959 +a(g18 +Vother +p3960 +tp3961 +a(g198 +V. +tp3962 +a(g18 +VisInTemplate +p3963 +tp3964 +a(g198 +V; +tp3965 +a(g185 +V\u000a +tp3966 +a(g185 +V +p3967 +tp3968 +a(g18 +VisInConst +p3969 +tp3970 +a(g185 +V +tp3971 +a(g340 +V= +tp3972 +a(g185 +V +tp3973 +a(g18 +Vother +p3974 +tp3975 +a(g198 +V. +tp3976 +a(g18 +VisInConst +p3977 +tp3978 +a(g198 +V; +tp3979 +a(g185 +V\u000a +tp3980 +a(g185 +V +p3981 +tp3982 +a(g18 +VclassIndent +p3983 +tp3984 +a(g185 +V +tp3985 +a(g340 +V= +tp3986 +a(g185 +V +tp3987 +a(g18 +Vother +p3988 +tp3989 +a(g198 +V. +tp3990 +a(g18 +VclassIndent +p3991 +tp3992 +a(g198 +V; +tp3993 +a(g185 +V\u000a +tp3994 +a(g185 +V +p3995 +tp3996 +a(g18 +VisInClassHeader +p3997 +tp3998 +a(g185 +V +tp3999 +a(g340 +V= +tp4000 +a(g185 +V +tp4001 +a(g18 +Vother +p4002 +tp4003 +a(g198 +V. +tp4004 +a(g18 +VisInClassHeader +p4005 +tp4006 +a(g198 +V; +tp4007 +a(g185 +V\u000a +tp4008 +a(g185 +V +p4009 +tp4010 +a(g18 +VisInClassHeaderTab +p4011 +tp4012 +a(g185 +V +tp4013 +a(g340 +V= +tp4014 +a(g185 +V +tp4015 +a(g18 +Vother +p4016 +tp4017 +a(g198 +V. +tp4018 +a(g18 +VisInClassHeaderTab +p4019 +tp4020 +a(g198 +V; +tp4021 +a(g185 +V\u000a +tp4022 +a(g185 +V +p4023 +tp4024 +a(g18 +VswitchIndent +p4025 +tp4026 +a(g185 +V +tp4027 +a(g340 +V= +tp4028 +a(g185 +V +tp4029 +a(g18 +Vother +p4030 +tp4031 +a(g198 +V. +tp4032 +a(g18 +VswitchIndent +p4033 +tp4034 +a(g198 +V; +tp4035 +a(g185 +V\u000a +tp4036 +a(g185 +V +p4037 +tp4038 +a(g18 +VcaseIndent +p4039 +tp4040 +a(g185 +V +tp4041 +a(g340 +V= +tp4042 +a(g185 +V +tp4043 +a(g18 +Vother +p4044 +tp4045 +a(g198 +V. +tp4046 +a(g18 +VcaseIndent +p4047 +tp4048 +a(g198 +V; +tp4049 +a(g185 +V\u000a +tp4050 +a(g185 +V +p4051 +tp4052 +a(g18 +VnamespaceIndent +p4053 +tp4054 +a(g185 +V +tp4055 +a(g340 +V= +tp4056 +a(g185 +V +tp4057 +a(g18 +Vother +p4058 +tp4059 +a(g198 +V. +tp4060 +a(g18 +VnamespaceIndent +p4061 +tp4062 +a(g198 +V; +tp4063 +a(g185 +V\u000a +tp4064 +a(g185 +V +p4065 +tp4066 +a(g18 +VbracketIndent +p4067 +tp4068 +a(g185 +V +tp4069 +a(g340 +V= +tp4070 +a(g185 +V +tp4071 +a(g18 +Vother +p4072 +tp4073 +a(g198 +V. +tp4074 +a(g18 +VbracketIndent +p4075 +tp4076 +a(g198 +V; +tp4077 +a(g185 +V\u000a +tp4078 +a(g185 +V +p4079 +tp4080 +a(g18 +VblockIndent +p4081 +tp4082 +a(g185 +V +tp4083 +a(g340 +V= +tp4084 +a(g185 +V +tp4085 +a(g18 +Vother +p4086 +tp4087 +a(g198 +V. +tp4088 +a(g18 +VblockIndent +p4089 +tp4090 +a(g198 +V; +tp4091 +a(g185 +V\u000a +tp4092 +a(g185 +V +p4093 +tp4094 +a(g18 +VlabelIndent +p4095 +tp4096 +a(g185 +V +tp4097 +a(g340 +V= +tp4098 +a(g185 +V +tp4099 +a(g18 +Vother +p4100 +tp4101 +a(g198 +V. +tp4102 +a(g18 +VlabelIndent +p4103 +tp4104 +a(g198 +V; +tp4105 +a(g185 +V\u000a +tp4106 +a(g185 +V +p4107 +tp4108 +a(g18 +VpreprocessorIndent +p4109 +tp4110 +a(g185 +V +tp4111 +a(g340 +V= +tp4112 +a(g185 +V +tp4113 +a(g18 +Vother +p4114 +tp4115 +a(g198 +V. +tp4116 +a(g18 +VpreprocessorIndent +p4117 +tp4118 +a(g198 +V; +tp4119 +a(g185 +V\u000a +tp4120 +a(g185 +V +p4121 +tp4122 +a(g18 +VparenDepth +p4123 +tp4124 +a(g185 +V +tp4125 +a(g340 +V= +tp4126 +a(g185 +V +tp4127 +a(g18 +Vother +p4128 +tp4129 +a(g198 +V. +tp4130 +a(g18 +VparenDepth +p4131 +tp4132 +a(g198 +V; +tp4133 +a(g185 +V\u000a +tp4134 +a(g185 +V +p4135 +tp4136 +a(g18 +VindentLength +p4137 +tp4138 +a(g185 +V +tp4139 +a(g340 +V= +tp4140 +a(g185 +V +tp4141 +a(g18 +Vother +p4142 +tp4143 +a(g198 +V. +tp4144 +a(g18 +VindentLength +p4145 +tp4146 +a(g198 +V; +tp4147 +a(g185 +V\u000a +tp4148 +a(g185 +V +p4149 +tp4150 +a(g18 +VblockTabCount +p4151 +tp4152 +a(g185 +V +tp4153 +a(g340 +V= +tp4154 +a(g185 +V +tp4155 +a(g18 +Vother +p4156 +tp4157 +a(g198 +V. +tp4158 +a(g18 +VblockTabCount +p4159 +tp4160 +a(g198 +V; +tp4161 +a(g185 +V\u000a +tp4162 +a(g185 +V +p4163 +tp4164 +a(g18 +VleadingWhiteSpaces +p4165 +tp4166 +a(g185 +V +tp4167 +a(g340 +V= +tp4168 +a(g185 +V +tp4169 +a(g18 +Vother +p4170 +tp4171 +a(g198 +V. +tp4172 +a(g18 +VleadingWhiteSpaces +p4173 +tp4174 +a(g198 +V; +tp4175 +a(g185 +V\u000a +tp4176 +a(g185 +V +p4177 +tp4178 +a(g18 +VmaxInStatementIndent +p4179 +tp4180 +a(g185 +V +tp4181 +a(g340 +V= +tp4182 +a(g185 +V +tp4183 +a(g18 +Vother +p4184 +tp4185 +a(g198 +V. +tp4186 +a(g18 +VmaxInStatementIndent +p4187 +tp4188 +a(g198 +V; +tp4189 +a(g185 +V\u000a +tp4190 +a(g185 +V +p4191 +tp4192 +a(g18 +VtemplateDepth +p4193 +tp4194 +a(g185 +V +tp4195 +a(g340 +V= +tp4196 +a(g185 +V +tp4197 +a(g18 +Vother +p4198 +tp4199 +a(g198 +V. +tp4200 +a(g18 +VtemplateDepth +p4201 +tp4202 +a(g198 +V; +tp4203 +a(g185 +V\u000a +tp4204 +a(g185 +V +p4205 +tp4206 +a(g18 +VquoteChar +p4207 +tp4208 +a(g185 +V +tp4209 +a(g340 +V= +tp4210 +a(g185 +V +tp4211 +a(g18 +Vother +p4212 +tp4213 +a(g198 +V. +tp4214 +a(g18 +VquoteChar +p4215 +tp4216 +a(g198 +V; +tp4217 +a(g185 +V\u000a +tp4218 +a(g185 +V +p4219 +tp4220 +a(g18 +VprevNonSpaceCh +p4221 +tp4222 +a(g185 +V +tp4223 +a(g340 +V= +tp4224 +a(g185 +V +tp4225 +a(g18 +Vother +p4226 +tp4227 +a(g198 +V. +tp4228 +a(g18 +VprevNonSpaceCh +p4229 +tp4230 +a(g198 +V; +tp4231 +a(g185 +V\u000a +tp4232 +a(g185 +V +p4233 +tp4234 +a(g18 +VcurrentNonSpaceCh +p4235 +tp4236 +a(g185 +V +tp4237 +a(g340 +V= +tp4238 +a(g185 +V +tp4239 +a(g18 +Vother +p4240 +tp4241 +a(g198 +V. +tp4242 +a(g18 +VcurrentNonSpaceCh +p4243 +tp4244 +a(g198 +V; +tp4245 +a(g185 +V\u000a +tp4246 +a(g185 +V +p4247 +tp4248 +a(g18 +VcurrentNonLegalCh +p4249 +tp4250 +a(g185 +V +tp4251 +a(g340 +V= +tp4252 +a(g185 +V +tp4253 +a(g18 +Vother +p4254 +tp4255 +a(g198 +V. +tp4256 +a(g18 +VcurrentNonLegalCh +p4257 +tp4258 +a(g198 +V; +tp4259 +a(g185 +V\u000a +tp4260 +a(g185 +V +p4261 +tp4262 +a(g18 +VprevNonLegalCh +p4263 +tp4264 +a(g185 +V +tp4265 +a(g340 +V= +tp4266 +a(g185 +V +tp4267 +a(g18 +Vother +p4268 +tp4269 +a(g198 +V. +tp4270 +a(g18 +VprevNonLegalCh +p4271 +tp4272 +a(g198 +V; +tp4273 +a(g185 +V\u000a +tp4274 +a(g185 +V +p4275 +tp4276 +a(g18 +VisInConditional +p4277 +tp4278 +a(g185 +V +tp4279 +a(g340 +V= +tp4280 +a(g185 +V +tp4281 +a(g18 +Vother +p4282 +tp4283 +a(g198 +V. +tp4284 +a(g18 +VisInConditional +p4285 +tp4286 +a(g198 +V; +tp4287 +a(g185 +V\u000a +tp4288 +a(g185 +V +p4289 +tp4290 +a(g18 +VminConditionalIndent +p4291 +tp4292 +a(g185 +V +tp4293 +a(g340 +V= +tp4294 +a(g185 +V +tp4295 +a(g18 +Vother +p4296 +tp4297 +a(g198 +V. +tp4298 +a(g18 +VminConditionalIndent +p4299 +tp4300 +a(g198 +V; +tp4301 +a(g185 +V\u000a +tp4302 +a(g185 +V +p4303 +tp4304 +a(g18 +VprevFinalLineSpaceTabCount +p4305 +tp4306 +a(g185 +V +tp4307 +a(g340 +V= +tp4308 +a(g185 +V +tp4309 +a(g18 +Vother +p4310 +tp4311 +a(g198 +V. +tp4312 +a(g18 +VprevFinalLineSpaceTabCount +p4313 +tp4314 +a(g198 +V; +tp4315 +a(g185 +V\u000a +tp4316 +a(g185 +V +p4317 +tp4318 +a(g18 +VprevFinalLineTabCount +p4319 +tp4320 +a(g185 +V +tp4321 +a(g340 +V= +tp4322 +a(g185 +V +tp4323 +a(g18 +Vother +p4324 +tp4325 +a(g198 +V. +tp4326 +a(g18 +VprevFinalLineTabCount +p4327 +tp4328 +a(g198 +V; +tp4329 +a(g185 +V\u000a +tp4330 +a(g185 +V +p4331 +tp4332 +a(g18 +VemptyLineFill +p4333 +tp4334 +a(g185 +V +tp4335 +a(g340 +V= +tp4336 +a(g185 +V +tp4337 +a(g18 +Vother +p4338 +tp4339 +a(g198 +V. +tp4340 +a(g18 +VemptyLineFill +p4341 +tp4342 +a(g198 +V; +tp4343 +a(g185 +V\u000a +tp4344 +a(g185 +V +p4345 +tp4346 +a(g18 +VprobationHeader +p4347 +tp4348 +a(g185 +V +tp4349 +a(g340 +V= +tp4350 +a(g185 +V +tp4351 +a(g18 +Vother +p4352 +tp4353 +a(g198 +V. +tp4354 +a(g18 +VprobationHeader +p4355 +tp4356 +a(g198 +V; +tp4357 +a(g185 +V\u000a +tp4358 +a(g185 +V +p4359 +tp4360 +a(g18 +VisInDefine +p4361 +tp4362 +a(g185 +V +tp4363 +a(g340 +V= +tp4364 +a(g185 +V +tp4365 +a(g18 +Vother +p4366 +tp4367 +a(g198 +V. +tp4368 +a(g18 +VisInDefine +p4369 +tp4370 +a(g198 +V; +tp4371 +a(g185 +V\u000a +tp4372 +a(g185 +V +p4373 +tp4374 +a(g18 +VisInDefineDefinition +p4375 +tp4376 +a(g185 +V +tp4377 +a(g340 +V= +tp4378 +a(g185 +V +tp4379 +a(g18 +Vother +p4380 +tp4381 +a(g198 +V. +tp4382 +a(g18 +VisInDefineDefinition +p4383 +tp4384 +a(g198 +V; +tp4385 +a(g185 +V\u000a +tp4386 +a(g185 +V +p4387 +tp4388 +a(g18 +VbackslashEndsPrevLine +p4389 +tp4390 +a(g185 +V +tp4391 +a(g340 +V= +tp4392 +a(g185 +V +tp4393 +a(g18 +Vother +p4394 +tp4395 +a(g198 +V. +tp4396 +a(g18 +VbackslashEndsPrevLine +p4397 +tp4398 +a(g198 +V; +tp4399 +a(g185 +V\u000a +tp4400 +a(g185 +V +p4401 +tp4402 +a(g18 +VdefineTabCount +p4403 +tp4404 +a(g185 +V +tp4405 +a(g340 +V= +tp4406 +a(g185 +V +tp4407 +a(g18 +Vother +p4408 +tp4409 +a(g198 +V. +tp4410 +a(g18 +VdefineTabCount +p4411 +tp4412 +a(g198 +V; +tp4413 +a(g185 +V\u000a +tp4414 +a(g185 +V +p4415 +tp4416 +a(g198 +V} +tp4417 +a(g185 +V\u000a +tp4418 +a(g185 +V\u000a +tp4419 +a(g185 +V +p4420 +tp4421 +a(g7 +V/**\u000a * ASBeautifier's destructor\u000a */ +p4422 +tp4423 +a(g185 +V\u000a +tp4424 +a(g185 +V +p4425 +tp4426 +a(g18 +VASBeautifier +p4427 +tp4428 +a(g340 +V: +tp4429 +a(g340 +V: +tp4430 +a(g340 +V~ +tp4431 +a(g18 +VASBeautifier +p4432 +tp4433 +a(g198 +V( +tp4434 +a(g198 +V) +tp4435 +a(g185 +V\u000a +tp4436 +a(g185 +V +p4437 +tp4438 +a(g198 +V{ +tp4439 +a(g185 +V\u000a +tp4440 +a(g185 +V +p4441 +tp4442 +a(g18 +VDELETE_CONTAINER +p4443 +tp4444 +a(g198 +V( +tp4445 +a(g185 +V +tp4446 +a(g18 +VheaderStack +p4447 +tp4448 +a(g185 +V +tp4449 +a(g198 +V) +tp4450 +a(g198 +V; +tp4451 +a(g185 +V\u000a +tp4452 +a(g185 +V +p4453 +tp4454 +a(g18 +VDELETE_CONTAINER +p4455 +tp4456 +a(g198 +V( +tp4457 +a(g185 +V +tp4458 +a(g18 +VtempStacks +p4459 +tp4460 +a(g185 +V +tp4461 +a(g198 +V) +tp4462 +a(g198 +V; +tp4463 +a(g185 +V\u000a +tp4464 +a(g185 +V +p4465 +tp4466 +a(g18 +VDELETE_CONTAINER +p4467 +tp4468 +a(g198 +V( +tp4469 +a(g185 +V +tp4470 +a(g18 +VblockParenDepthStack +p4471 +tp4472 +a(g185 +V +tp4473 +a(g198 +V) +tp4474 +a(g198 +V; +tp4475 +a(g185 +V\u000a +tp4476 +a(g185 +V +p4477 +tp4478 +a(g18 +VDELETE_CONTAINER +p4479 +tp4480 +a(g198 +V( +tp4481 +a(g185 +V +tp4482 +a(g18 +VblockStatementStack +p4483 +tp4484 +a(g185 +V +tp4485 +a(g198 +V) +tp4486 +a(g198 +V; +tp4487 +a(g185 +V\u000a +tp4488 +a(g185 +V +p4489 +tp4490 +a(g18 +VDELETE_CONTAINER +p4491 +tp4492 +a(g198 +V( +tp4493 +a(g185 +V +tp4494 +a(g18 +VparenStatementStack +p4495 +tp4496 +a(g185 +V +tp4497 +a(g198 +V) +tp4498 +a(g198 +V; +tp4499 +a(g185 +V\u000a +tp4500 +a(g185 +V +p4501 +tp4502 +a(g18 +VDELETE_CONTAINER +p4503 +tp4504 +a(g198 +V( +tp4505 +a(g185 +V +tp4506 +a(g18 +VbracketBlockStateStack +p4507 +tp4508 +a(g185 +V +tp4509 +a(g198 +V) +tp4510 +a(g198 +V; +tp4511 +a(g185 +V\u000a +tp4512 +a(g185 +V +p4513 +tp4514 +a(g18 +VDELETE_CONTAINER +p4515 +tp4516 +a(g198 +V( +tp4517 +a(g185 +V +tp4518 +a(g18 +VinStatementIndentStack +p4519 +tp4520 +a(g185 +V +tp4521 +a(g198 +V) +tp4522 +a(g198 +V; +tp4523 +a(g185 +V\u000a +tp4524 +a(g185 +V +p4525 +tp4526 +a(g18 +VDELETE_CONTAINER +p4527 +tp4528 +a(g198 +V( +tp4529 +a(g185 +V +tp4530 +a(g18 +VinStatementIndentStackSizeStack +p4531 +tp4532 +a(g185 +V +tp4533 +a(g198 +V) +tp4534 +a(g198 +V; +tp4535 +a(g185 +V\u000a +tp4536 +a(g185 +V +p4537 +tp4538 +a(g18 +VDELETE_CONTAINER +p4539 +tp4540 +a(g198 +V( +tp4541 +a(g185 +V +tp4542 +a(g18 +VparenIndentStack +p4543 +tp4544 +a(g185 +V +tp4545 +a(g198 +V) +tp4546 +a(g198 +V; +tp4547 +a(g185 +V\u000a +tp4548 +a(g185 +V\u000a +tp4549 +a(g185 +V +p4550 +tp4551 +a(g354 +V// DELETE_CONTAINER( sourceIterator );\u000a +p4552 +tp4553 +a(g185 +V +p4554 +tp4555 +a(g198 +V} +tp4556 +a(g185 +V\u000a +tp4557 +a(g185 +V\u000a +tp4558 +a(g185 +V +p4559 +tp4560 +a(g7 +V/**\u000a * initialize the ASBeautifier.\u000a *\u000a * init() should be called every time a ABeautifier object is to start\u000a * beautifying a NEW source file.\u000a * init() recieves a pointer to a DYNAMICALLY CREATED ASSourceIterator object\u000a * that will be used to iterate through the source code. This object will be\u000a * deleted during the ASBeautifier's destruction, and thus should not be\u000a * deleted elsewhere.\u000a *\u000a * @param iter a pointer to the DYNAMICALLY CREATED ASSourceIterator object.\u000a */ +p4561 +tp4562 +a(g185 +V\u000a +tp4563 +a(g185 +V +p4564 +tp4565 +a(g135 +Vvoid +p4566 +tp4567 +a(g185 +V +tp4568 +a(g18 +VASBeautifier +p4569 +tp4570 +a(g340 +V: +tp4571 +a(g340 +V: +tp4572 +a(g18 +Vinit +p4573 +tp4574 +a(g198 +V( +tp4575 +a(g18 +VASSourceIterator +p4576 +tp4577 +a(g185 +V +tp4578 +a(g340 +V* +tp4579 +a(g18 +Viter +p4580 +tp4581 +a(g198 +V) +tp4582 +a(g185 +V\u000a +tp4583 +a(g185 +V\u000a +tp4584 +a(g185 +V +p4585 +tp4586 +a(g198 +V{ +tp4587 +a(g185 +V\u000a +tp4588 +a(g185 +V +p4589 +tp4590 +a(g18 +VsourceIterator +p4591 +tp4592 +a(g185 +V +tp4593 +a(g340 +V= +tp4594 +a(g185 +V +tp4595 +a(g18 +Viter +p4596 +tp4597 +a(g198 +V; +tp4598 +a(g185 +V\u000a +tp4599 +a(g185 +V +p4600 +tp4601 +a(g18 +Vinit +p4602 +tp4603 +a(g198 +V( +tp4604 +a(g198 +V) +tp4605 +a(g198 +V; +tp4606 +a(g185 +V\u000a +tp4607 +a(g185 +V +p4608 +tp4609 +a(g198 +V} +tp4610 +a(g185 +V\u000a +tp4611 +a(g185 +V\u000a +tp4612 +a(g185 +V +p4613 +tp4614 +a(g7 +V/**\u000a * initialize the ASBeautifier.\u000a */ +p4615 +tp4616 +a(g185 +V\u000a +tp4617 +a(g185 +V +p4618 +tp4619 +a(g135 +Vvoid +p4620 +tp4621 +a(g185 +V +tp4622 +a(g18 +VASBeautifier +p4623 +tp4624 +a(g340 +V: +tp4625 +a(g340 +V: +tp4626 +a(g18 +Vinit +p4627 +tp4628 +a(g198 +V( +tp4629 +a(g198 +V) +tp4630 +a(g185 +V\u000a +tp4631 +a(g185 +V +p4632 +tp4633 +a(g198 +V{ +tp4634 +a(g185 +V\u000a +tp4635 +a(g185 +V +p4636 +tp4637 +a(g18 +VINIT_CONTAINER +p4638 +tp4639 +a(g198 +V( +tp4640 +a(g185 +V +tp4641 +a(g18 +VwaitingBeautifierStack +p4642 +tp4643 +a(g198 +V, +tp4644 +a(g185 +V +p4645 +tp4646 +a(g111 +Vnew +p4647 +tp4648 +a(g185 +V +tp4649 +a(g18 +Vvector +p4650 +tp4651 +a(g340 +V< +tp4652 +a(g18 +VASBeautifier +p4653 +tp4654 +a(g340 +V* +tp4655 +a(g340 +V> +tp4656 +a(g185 +V +tp4657 +a(g198 +V) +tp4658 +a(g198 +V; +tp4659 +a(g185 +V\u000a +tp4660 +a(g185 +V +p4661 +tp4662 +a(g18 +VINIT_CONTAINER +p4663 +tp4664 +a(g198 +V( +tp4665 +a(g185 +V +tp4666 +a(g18 +VactiveBeautifierStack +p4667 +tp4668 +a(g198 +V, +tp4669 +a(g185 +V +p4670 +tp4671 +a(g111 +Vnew +p4672 +tp4673 +a(g185 +V +tp4674 +a(g18 +Vvector +p4675 +tp4676 +a(g340 +V< +tp4677 +a(g18 +VASBeautifier +p4678 +tp4679 +a(g340 +V* +tp4680 +a(g340 +V> +tp4681 +a(g185 +V +tp4682 +a(g198 +V) +tp4683 +a(g198 +V; +tp4684 +a(g185 +V\u000a +tp4685 +a(g185 +V\u000a +tp4686 +a(g185 +V +p4687 +tp4688 +a(g18 +VINIT_CONTAINER +p4689 +tp4690 +a(g198 +V( +tp4691 +a(g185 +V +tp4692 +a(g18 +VwaitingBeautifierStackLengthStack +p4693 +tp4694 +a(g198 +V, +tp4695 +a(g185 +V +tp4696 +a(g111 +Vnew +p4697 +tp4698 +a(g185 +V +tp4699 +a(g18 +Vvector +p4700 +tp4701 +a(g340 +V< +tp4702 +a(g135 +Vint +p4703 +tp4704 +a(g340 +V> +tp4705 +a(g185 +V +tp4706 +a(g198 +V) +tp4707 +a(g198 +V; +tp4708 +a(g185 +V\u000a +tp4709 +a(g185 +V +p4710 +tp4711 +a(g18 +VINIT_CONTAINER +p4712 +tp4713 +a(g198 +V( +tp4714 +a(g185 +V +tp4715 +a(g18 +VactiveBeautifierStackLengthStack +p4716 +tp4717 +a(g198 +V, +tp4718 +a(g185 +V +tp4719 +a(g111 +Vnew +p4720 +tp4721 +a(g185 +V +tp4722 +a(g18 +Vvector +p4723 +tp4724 +a(g340 +V< +tp4725 +a(g135 +Vint +p4726 +tp4727 +a(g340 +V> +tp4728 +a(g185 +V +tp4729 +a(g198 +V) +tp4730 +a(g198 +V; +tp4731 +a(g185 +V\u000a +tp4732 +a(g185 +V\u000a +tp4733 +a(g185 +V +p4734 +tp4735 +a(g18 +VINIT_CONTAINER +p4736 +tp4737 +a(g198 +V( +tp4738 +a(g185 +V +tp4739 +a(g18 +VheaderStack +p4740 +tp4741 +a(g198 +V, +tp4742 +a(g185 +V +p4743 +tp4744 +a(g111 +Vnew +p4745 +tp4746 +a(g185 +V +tp4747 +a(g18 +Vvector +p4748 +tp4749 +a(g340 +V< +tp4750 +a(g111 +Vconst +p4751 +tp4752 +a(g185 +V +tp4753 +a(g18 +Vstring +p4754 +tp4755 +a(g340 +V* +tp4756 +a(g340 +V> +tp4757 +a(g185 +V +tp4758 +a(g198 +V) +tp4759 +a(g198 +V; +tp4760 +a(g185 +V\u000a +tp4761 +a(g185 +V +p4762 +tp4763 +a(g18 +VINIT_CONTAINER +p4764 +tp4765 +a(g198 +V( +tp4766 +a(g185 +V +tp4767 +a(g18 +VtempStacks +p4768 +tp4769 +a(g198 +V, +tp4770 +a(g185 +V +tp4771 +a(g111 +Vnew +p4772 +tp4773 +a(g185 +V +tp4774 +a(g18 +Vvector +p4775 +tp4776 +a(g340 +V< +tp4777 +a(g185 +V +tp4778 +a(g18 +Vvector +p4779 +tp4780 +a(g340 +V< +tp4781 +a(g111 +Vconst +p4782 +tp4783 +a(g185 +V +tp4784 +a(g18 +Vstring +p4785 +tp4786 +a(g340 +V* +tp4787 +a(g340 +V> +tp4788 +a(g340 +V* +tp4789 +a(g185 +V +tp4790 +a(g340 +V> +tp4791 +a(g185 +V +tp4792 +a(g198 +V) +tp4793 +a(g198 +V; +tp4794 +a(g185 +V\u000a +tp4795 +a(g185 +V +p4796 +tp4797 +a(g18 +VtempStacks +p4798 +tp4799 +a(g340 +V- +tp4800 +a(g340 +V> +tp4801 +a(g18 +Vpush_back +p4802 +tp4803 +a(g198 +V( +tp4804 +a(g111 +Vnew +p4805 +tp4806 +a(g185 +V +tp4807 +a(g18 +Vvector +p4808 +tp4809 +a(g340 +V< +tp4810 +a(g111 +Vconst +p4811 +tp4812 +a(g185 +V +tp4813 +a(g18 +Vstring +p4814 +tp4815 +a(g340 +V* +tp4816 +a(g340 +V> +tp4817 +a(g198 +V) +tp4818 +a(g198 +V; +tp4819 +a(g185 +V\u000a +tp4820 +a(g185 +V\u000a +tp4821 +a(g185 +V +p4822 +tp4823 +a(g18 +VINIT_CONTAINER +p4824 +tp4825 +a(g198 +V( +tp4826 +a(g185 +V +tp4827 +a(g18 +VblockParenDepthStack +p4828 +tp4829 +a(g198 +V, +tp4830 +a(g185 +V +tp4831 +a(g111 +Vnew +p4832 +tp4833 +a(g185 +V +tp4834 +a(g18 +Vvector +p4835 +tp4836 +a(g340 +V< +tp4837 +a(g135 +Vint +p4838 +tp4839 +a(g340 +V> +tp4840 +a(g185 +V +tp4841 +a(g198 +V) +tp4842 +a(g198 +V; +tp4843 +a(g185 +V\u000a +tp4844 +a(g185 +V +p4845 +tp4846 +a(g18 +VINIT_CONTAINER +p4847 +tp4848 +a(g198 +V( +tp4849 +a(g185 +V +tp4850 +a(g18 +VblockStatementStack +p4851 +tp4852 +a(g198 +V, +tp4853 +a(g185 +V +tp4854 +a(g111 +Vnew +p4855 +tp4856 +a(g185 +V +tp4857 +a(g18 +Vvector +p4858 +tp4859 +a(g340 +V< +tp4860 +a(g135 +Vbool +p4861 +tp4862 +a(g340 +V> +tp4863 +a(g185 +V +tp4864 +a(g198 +V) +tp4865 +a(g198 +V; +tp4866 +a(g185 +V\u000a +tp4867 +a(g185 +V +p4868 +tp4869 +a(g18 +VINIT_CONTAINER +p4870 +tp4871 +a(g198 +V( +tp4872 +a(g185 +V +tp4873 +a(g18 +VparenStatementStack +p4874 +tp4875 +a(g198 +V, +tp4876 +a(g185 +V +tp4877 +a(g111 +Vnew +p4878 +tp4879 +a(g185 +V +tp4880 +a(g18 +Vvector +p4881 +tp4882 +a(g340 +V< +tp4883 +a(g135 +Vbool +p4884 +tp4885 +a(g340 +V> +tp4886 +a(g185 +V +tp4887 +a(g198 +V) +tp4888 +a(g198 +V; +tp4889 +a(g185 +V\u000a +tp4890 +a(g185 +V\u000a +tp4891 +a(g185 +V +p4892 +tp4893 +a(g18 +VINIT_CONTAINER +p4894 +tp4895 +a(g198 +V( +tp4896 +a(g185 +V +tp4897 +a(g18 +VbracketBlockStateStack +p4898 +tp4899 +a(g198 +V, +tp4900 +a(g185 +V +tp4901 +a(g111 +Vnew +p4902 +tp4903 +a(g185 +V +tp4904 +a(g18 +Vvector +p4905 +tp4906 +a(g340 +V< +tp4907 +a(g135 +Vbool +p4908 +tp4909 +a(g340 +V> +tp4910 +a(g185 +V +tp4911 +a(g198 +V) +tp4912 +a(g198 +V; +tp4913 +a(g185 +V\u000a +tp4914 +a(g185 +V +p4915 +tp4916 +a(g18 +VbracketBlockStateStack +p4917 +tp4918 +a(g340 +V- +tp4919 +a(g340 +V> +tp4920 +a(g18 +Vpush_back +p4921 +tp4922 +a(g198 +V( +tp4923 +a(g113 +Vtrue +p4924 +tp4925 +a(g198 +V) +tp4926 +a(g198 +V; +tp4927 +a(g185 +V\u000a +tp4928 +a(g185 +V\u000a +tp4929 +a(g185 +V +p4930 +tp4931 +a(g18 +VINIT_CONTAINER +p4932 +tp4933 +a(g198 +V( +tp4934 +a(g185 +V +tp4935 +a(g18 +VinStatementIndentStack +p4936 +tp4937 +a(g198 +V, +tp4938 +a(g185 +V +tp4939 +a(g111 +Vnew +p4940 +tp4941 +a(g185 +V +tp4942 +a(g18 +Vvector +p4943 +tp4944 +a(g340 +V< +tp4945 +a(g135 +Vint +p4946 +tp4947 +a(g340 +V> +tp4948 +a(g185 +V +tp4949 +a(g198 +V) +tp4950 +a(g198 +V; +tp4951 +a(g185 +V\u000a +tp4952 +a(g185 +V +p4953 +tp4954 +a(g18 +VINIT_CONTAINER +p4955 +tp4956 +a(g198 +V( +tp4957 +a(g185 +V +tp4958 +a(g18 +VinStatementIndentStackSizeStack +p4959 +tp4960 +a(g198 +V, +tp4961 +a(g185 +V +tp4962 +a(g111 +Vnew +p4963 +tp4964 +a(g185 +V +tp4965 +a(g18 +Vvector +p4966 +tp4967 +a(g340 +V< +tp4968 +a(g135 +Vint +p4969 +tp4970 +a(g340 +V> +tp4971 +a(g185 +V +tp4972 +a(g198 +V) +tp4973 +a(g198 +V; +tp4974 +a(g185 +V\u000a +tp4975 +a(g185 +V +p4976 +tp4977 +a(g18 +VinStatementIndentStackSizeStack +p4978 +tp4979 +a(g340 +V- +tp4980 +a(g340 +V> +tp4981 +a(g18 +Vpush_back +p4982 +tp4983 +a(g198 +V( +tp4984 +a(g315 +V0 +tp4985 +a(g198 +V) +tp4986 +a(g198 +V; +tp4987 +a(g185 +V\u000a +tp4988 +a(g185 +V +p4989 +tp4990 +a(g18 +VINIT_CONTAINER +p4991 +tp4992 +a(g198 +V( +tp4993 +a(g185 +V +tp4994 +a(g18 +VparenIndentStack +p4995 +tp4996 +a(g198 +V, +tp4997 +a(g185 +V +tp4998 +a(g111 +Vnew +p4999 +tp5000 +a(g185 +V +tp5001 +a(g18 +Vvector +p5002 +tp5003 +a(g340 +V< +tp5004 +a(g135 +Vint +p5005 +tp5006 +a(g340 +V> +tp5007 +a(g185 +V +tp5008 +a(g198 +V) +tp5009 +a(g198 +V; +tp5010 +a(g185 +V\u000a +tp5011 +a(g185 +V\u000a +tp5012 +a(g185 +V +p5013 +tp5014 +a(g18 +VimmediatelyPreviousAssignmentOp +p5015 +tp5016 +a(g185 +V +tp5017 +a(g340 +V= +tp5018 +a(g185 +V +tp5019 +a(g57 +VNULL +p5020 +tp5021 +a(g198 +V; +tp5022 +a(g185 +V\u000a +tp5023 +a(g185 +V +p5024 +tp5025 +a(g18 +VpreviousLastLineHeader +p5026 +tp5027 +a(g185 +V +tp5028 +a(g340 +V= +tp5029 +a(g185 +V +tp5030 +a(g57 +VNULL +p5031 +tp5032 +a(g198 +V; +tp5033 +a(g185 +V\u000a +tp5034 +a(g185 +V\u000a +tp5035 +a(g185 +V +p5036 +tp5037 +a(g18 +VisInQuote +p5038 +tp5039 +a(g185 +V +tp5040 +a(g340 +V= +tp5041 +a(g185 +V +tp5042 +a(g113 +Vfalse +p5043 +tp5044 +a(g198 +V; +tp5045 +a(g185 +V\u000a +tp5046 +a(g185 +V +p5047 +tp5048 +a(g18 +VisInComment +p5049 +tp5050 +a(g185 +V +tp5051 +a(g340 +V= +tp5052 +a(g185 +V +tp5053 +a(g113 +Vfalse +p5054 +tp5055 +a(g198 +V; +tp5056 +a(g185 +V\u000a +tp5057 +a(g185 +V +p5058 +tp5059 +a(g18 +VisInStatement +p5060 +tp5061 +a(g185 +V +tp5062 +a(g340 +V= +tp5063 +a(g185 +V +tp5064 +a(g113 +Vfalse +p5065 +tp5066 +a(g198 +V; +tp5067 +a(g185 +V\u000a +tp5068 +a(g185 +V +p5069 +tp5070 +a(g18 +VisInCase +p5071 +tp5072 +a(g185 +V +tp5073 +a(g340 +V= +tp5074 +a(g185 +V +tp5075 +a(g113 +Vfalse +p5076 +tp5077 +a(g198 +V; +tp5078 +a(g185 +V\u000a +tp5079 +a(g185 +V +p5080 +tp5081 +a(g18 +VisInQuestion +p5082 +tp5083 +a(g185 +V +tp5084 +a(g340 +V= +tp5085 +a(g185 +V +tp5086 +a(g113 +Vfalse +p5087 +tp5088 +a(g198 +V; +tp5089 +a(g185 +V\u000a +tp5090 +a(g185 +V +p5091 +tp5092 +a(g18 +VisInClassHeader +p5093 +tp5094 +a(g185 +V +tp5095 +a(g340 +V= +tp5096 +a(g185 +V +tp5097 +a(g113 +Vfalse +p5098 +tp5099 +a(g198 +V; +tp5100 +a(g185 +V\u000a +tp5101 +a(g185 +V +p5102 +tp5103 +a(g18 +VisInClassHeaderTab +p5104 +tp5105 +a(g185 +V +tp5106 +a(g340 +V= +tp5107 +a(g185 +V +tp5108 +a(g113 +Vfalse +p5109 +tp5110 +a(g198 +V; +tp5111 +a(g185 +V\u000a +tp5112 +a(g185 +V +p5113 +tp5114 +a(g18 +VisInHeader +p5115 +tp5116 +a(g185 +V +tp5117 +a(g340 +V= +tp5118 +a(g185 +V +tp5119 +a(g113 +Vfalse +p5120 +tp5121 +a(g198 +V; +tp5122 +a(g185 +V\u000a +tp5123 +a(g185 +V +p5124 +tp5125 +a(g18 +VisInOperator +p5126 +tp5127 +a(g185 +V +tp5128 +a(g340 +V= +tp5129 +a(g185 +V +tp5130 +a(g113 +Vfalse +p5131 +tp5132 +a(g198 +V; +tp5133 +a(g185 +V\u000a +tp5134 +a(g185 +V +p5135 +tp5136 +a(g18 +VisInTemplate +p5137 +tp5138 +a(g185 +V +tp5139 +a(g340 +V= +tp5140 +a(g185 +V +tp5141 +a(g113 +Vfalse +p5142 +tp5143 +a(g198 +V; +tp5144 +a(g185 +V\u000a +tp5145 +a(g185 +V +p5146 +tp5147 +a(g18 +VisInConst +p5148 +tp5149 +a(g185 +V +tp5150 +a(g340 +V= +tp5151 +a(g185 +V +tp5152 +a(g113 +Vfalse +p5153 +tp5154 +a(g198 +V; +tp5155 +a(g185 +V\u000a +tp5156 +a(g185 +V +p5157 +tp5158 +a(g18 +VisInConditional +p5159 +tp5160 +a(g185 +V +tp5161 +a(g340 +V= +tp5162 +a(g185 +V +tp5163 +a(g113 +Vfalse +p5164 +tp5165 +a(g198 +V; +tp5166 +a(g185 +V\u000a +tp5167 +a(g185 +V +p5168 +tp5169 +a(g18 +VtemplateDepth +p5170 +tp5171 +a(g185 +V +tp5172 +a(g340 +V= +tp5173 +a(g185 +V +tp5174 +a(g315 +V0 +tp5175 +a(g198 +V; +tp5176 +a(g185 +V\u000a +tp5177 +a(g185 +V +p5178 +tp5179 +a(g18 +VparenDepth +p5180 +tp5181 +a(g340 +V= +tp5182 +a(g315 +V0 +tp5183 +a(g198 +V; +tp5184 +a(g185 +V\u000a +tp5185 +a(g185 +V +p5186 +tp5187 +a(g18 +VblockTabCount +p5188 +tp5189 +a(g185 +V +tp5190 +a(g340 +V= +tp5191 +a(g185 +V +tp5192 +a(g315 +V0 +tp5193 +a(g198 +V; +tp5194 +a(g185 +V\u000a +tp5195 +a(g185 +V +p5196 +tp5197 +a(g18 +VleadingWhiteSpaces +p5198 +tp5199 +a(g185 +V +tp5200 +a(g340 +V= +tp5201 +a(g185 +V +tp5202 +a(g315 +V0 +tp5203 +a(g198 +V; +tp5204 +a(g185 +V\u000a +tp5205 +a(g185 +V +p5206 +tp5207 +a(g18 +VprevNonSpaceCh +p5208 +tp5209 +a(g185 +V +tp5210 +a(g340 +V= +tp5211 +a(g185 +V +tp5212 +a(g264 +V'{' +p5213 +tp5214 +a(g198 +V; +tp5215 +a(g185 +V\u000a +tp5216 +a(g185 +V +p5217 +tp5218 +a(g18 +VcurrentNonSpaceCh +p5219 +tp5220 +a(g185 +V +tp5221 +a(g340 +V= +tp5222 +a(g185 +V +tp5223 +a(g264 +V'{' +p5224 +tp5225 +a(g198 +V; +tp5226 +a(g185 +V\u000a +tp5227 +a(g185 +V +p5228 +tp5229 +a(g18 +VprevNonLegalCh +p5230 +tp5231 +a(g185 +V +tp5232 +a(g340 +V= +tp5233 +a(g185 +V +tp5234 +a(g264 +V'{' +p5235 +tp5236 +a(g198 +V; +tp5237 +a(g185 +V\u000a +tp5238 +a(g185 +V +p5239 +tp5240 +a(g18 +VcurrentNonLegalCh +p5241 +tp5242 +a(g185 +V +tp5243 +a(g340 +V= +tp5244 +a(g185 +V +tp5245 +a(g264 +V'{' +p5246 +tp5247 +a(g198 +V; +tp5248 +a(g185 +V\u000a +tp5249 +a(g185 +V +p5250 +tp5251 +a(g18 +VprevFinalLineSpaceTabCount +p5252 +tp5253 +a(g185 +V +tp5254 +a(g340 +V= +tp5255 +a(g185 +V +tp5256 +a(g315 +V0 +tp5257 +a(g198 +V; +tp5258 +a(g185 +V\u000a +tp5259 +a(g185 +V +p5260 +tp5261 +a(g18 +VprevFinalLineTabCount +p5262 +tp5263 +a(g185 +V +tp5264 +a(g340 +V= +tp5265 +a(g185 +V +tp5266 +a(g315 +V0 +tp5267 +a(g198 +V; +tp5268 +a(g185 +V\u000a +tp5269 +a(g185 +V +p5270 +tp5271 +a(g18 +VprobationHeader +p5272 +tp5273 +a(g185 +V +tp5274 +a(g340 +V= +tp5275 +a(g185 +V +tp5276 +a(g57 +VNULL +p5277 +tp5278 +a(g198 +V; +tp5279 +a(g185 +V\u000a +tp5280 +a(g185 +V +p5281 +tp5282 +a(g18 +VbackslashEndsPrevLine +p5283 +tp5284 +a(g185 +V +tp5285 +a(g340 +V= +tp5286 +a(g185 +V +tp5287 +a(g113 +Vfalse +p5288 +tp5289 +a(g198 +V; +tp5290 +a(g185 +V\u000a +tp5291 +a(g185 +V +p5292 +tp5293 +a(g18 +VisInDefine +p5294 +tp5295 +a(g185 +V +tp5296 +a(g340 +V= +tp5297 +a(g185 +V +tp5298 +a(g113 +Vfalse +p5299 +tp5300 +a(g198 +V; +tp5301 +a(g185 +V\u000a +tp5302 +a(g185 +V +p5303 +tp5304 +a(g18 +VisInDefineDefinition +p5305 +tp5306 +a(g185 +V +tp5307 +a(g340 +V= +tp5308 +a(g185 +V +tp5309 +a(g113 +Vfalse +p5310 +tp5311 +a(g198 +V; +tp5312 +a(g185 +V\u000a +tp5313 +a(g185 +V +p5314 +tp5315 +a(g18 +VdefineTabCount +p5316 +tp5317 +a(g185 +V +tp5318 +a(g340 +V= +tp5319 +a(g185 +V +tp5320 +a(g315 +V0 +tp5321 +a(g198 +V; +tp5322 +a(g185 +V\u000a +tp5323 +a(g185 +V +p5324 +tp5325 +a(g198 +V} +tp5326 +a(g185 +V\u000a +tp5327 +a(g185 +V\u000a +tp5328 +a(g185 +V +p5329 +tp5330 +a(g7 +V/**\u000a * set indentation style to ANSI C/C++. \u000a */ +p5331 +tp5332 +a(g185 +V\u000a +tp5333 +a(g185 +V +p5334 +tp5335 +a(g135 +Vvoid +p5336 +tp5337 +a(g185 +V +tp5338 +a(g18 +VASBeautifier +p5339 +tp5340 +a(g340 +V: +tp5341 +a(g340 +V: +tp5342 +a(g18 +VsetCStyle +p5343 +tp5344 +a(g198 +V( +tp5345 +a(g198 +V) +tp5346 +a(g185 +V\u000a +tp5347 +a(g185 +V +p5348 +tp5349 +a(g198 +V{ +tp5350 +a(g185 +V\u000a +tp5351 +a(g185 +V +p5352 +tp5353 +a(g18 +VisCStyle +p5354 +tp5355 +a(g185 +V +tp5356 +a(g340 +V= +tp5357 +a(g185 +V +tp5358 +a(g113 +Vtrue +p5359 +tp5360 +a(g198 +V; +tp5361 +a(g185 +V\u000a +tp5362 +a(g185 +V +p5363 +tp5364 +a(g198 +V} +tp5365 +a(g185 +V\u000a +tp5366 +a(g185 +V\u000a +tp5367 +a(g185 +V +p5368 +tp5369 +a(g7 +V/**\u000a * set indentation style to Java / K&R. \u000a */ +p5370 +tp5371 +a(g185 +V\u000a +tp5372 +a(g185 +V +p5373 +tp5374 +a(g135 +Vvoid +p5375 +tp5376 +a(g185 +V +tp5377 +a(g18 +VASBeautifier +p5378 +tp5379 +a(g340 +V: +tp5380 +a(g340 +V: +tp5381 +a(g18 +VsetJavaStyle +p5382 +tp5383 +a(g198 +V( +tp5384 +a(g198 +V) +tp5385 +a(g185 +V\u000a +tp5386 +a(g185 +V +p5387 +tp5388 +a(g198 +V{ +tp5389 +a(g185 +V\u000a +tp5390 +a(g185 +V +p5391 +tp5392 +a(g18 +VisCStyle +p5393 +tp5394 +a(g185 +V +tp5395 +a(g340 +V= +tp5396 +a(g185 +V +tp5397 +a(g113 +Vfalse +p5398 +tp5399 +a(g198 +V; +tp5400 +a(g185 +V\u000a +tp5401 +a(g185 +V +p5402 +tp5403 +a(g198 +V} +tp5404 +a(g185 +V\u000a +tp5405 +a(g185 +V\u000a +tp5406 +a(g185 +V +p5407 +tp5408 +a(g7 +V/**\u000a * indent using one tab per indentation\u000a */ +p5409 +tp5410 +a(g185 +V\u000a +tp5411 +a(g185 +V +p5412 +tp5413 +a(g135 +Vvoid +p5414 +tp5415 +a(g185 +V +tp5416 +a(g18 +VASBeautifier +p5417 +tp5418 +a(g340 +V: +tp5419 +a(g340 +V: +tp5420 +a(g18 +VsetTabIndentation +p5421 +tp5422 +a(g198 +V( +tp5423 +a(g135 +Vint +p5424 +tp5425 +a(g185 +V +tp5426 +a(g18 +Vlength +p5427 +tp5428 +a(g198 +V, +tp5429 +a(g185 +V +tp5430 +a(g135 +Vbool +p5431 +tp5432 +a(g185 +V +tp5433 +a(g18 +VforceTabs +p5434 +tp5435 +a(g198 +V) +tp5436 +a(g185 +V\u000a +tp5437 +a(g185 +V +p5438 +tp5439 +a(g198 +V{ +tp5440 +a(g185 +V\u000a +tp5441 +a(g185 +V +p5442 +tp5443 +a(g18 +VindentString +p5444 +tp5445 +a(g185 +V +tp5446 +a(g340 +V= +tp5447 +a(g185 +V +tp5448 +a(g222 +V" +tp5449 +a(g248 +V\u005ct +p5450 +tp5451 +a(g222 +V" +tp5452 +a(g198 +V; +tp5453 +a(g185 +V\u000a +tp5454 +a(g185 +V +p5455 +tp5456 +a(g18 +VindentLength +p5457 +tp5458 +a(g185 +V +tp5459 +a(g340 +V= +tp5460 +a(g185 +V +tp5461 +a(g18 +Vlength +p5462 +tp5463 +a(g198 +V; +tp5464 +a(g185 +V\u000a +tp5465 +a(g185 +V +p5466 +tp5467 +a(g18 +VshouldForceTabIndentation +p5468 +tp5469 +a(g185 +V +tp5470 +a(g340 +V= +tp5471 +a(g185 +V +tp5472 +a(g18 +VforceTabs +p5473 +tp5474 +a(g198 +V; +tp5475 +a(g185 +V\u000a +tp5476 +a(g185 +V\u000a +tp5477 +a(g185 +V +p5478 +tp5479 +a(g111 +Vif +p5480 +tp5481 +a(g185 +V +tp5482 +a(g198 +V( +tp5483 +a(g340 +V! +tp5484 +a(g18 +VisMinimalConditinalIndentSet +p5485 +tp5486 +a(g198 +V) +tp5487 +a(g185 +V\u000a +tp5488 +a(g185 +V +p5489 +tp5490 +a(g18 +VminConditionalIndent +p5491 +tp5492 +a(g185 +V +tp5493 +a(g340 +V= +tp5494 +a(g185 +V +tp5495 +a(g18 +VindentLength +p5496 +tp5497 +a(g185 +V +tp5498 +a(g340 +V* +tp5499 +a(g185 +V +tp5500 +a(g315 +V2 +tp5501 +a(g198 +V; +tp5502 +a(g185 +V\u000a +tp5503 +a(g185 +V +p5504 +tp5505 +a(g198 +V} +tp5506 +a(g185 +V\u000a +tp5507 +a(g185 +V\u000a +tp5508 +a(g185 +V +p5509 +tp5510 +a(g7 +V/**\u000a \u000a * indent using a number of spaces per indentation.\u000a *\u000a * @param length number of spaces per indent.\u000a */ +p5511 +tp5512 +a(g185 +V\u000a +tp5513 +a(g185 +V +p5514 +tp5515 +a(g135 +Vvoid +p5516 +tp5517 +a(g185 +V +tp5518 +a(g18 +VASBeautifier +p5519 +tp5520 +a(g340 +V: +tp5521 +a(g340 +V: +tp5522 +a(g18 +VsetSpaceIndentation +p5523 +tp5524 +a(g198 +V( +tp5525 +a(g135 +Vint +p5526 +tp5527 +a(g185 +V +tp5528 +a(g18 +Vlength +p5529 +tp5530 +a(g198 +V) +tp5531 +a(g185 +V\u000a +tp5532 +a(g185 +V +p5533 +tp5534 +a(g198 +V{ +tp5535 +a(g185 +V\u000a +tp5536 +a(g185 +V +p5537 +tp5538 +a(g18 +VindentString +p5539 +tp5540 +a(g340 +V= +tp5541 +a(g18 +Vstring +p5542 +tp5543 +a(g198 +V( +tp5544 +a(g18 +Vlength +p5545 +tp5546 +a(g198 +V, +tp5547 +a(g185 +V +tp5548 +a(g264 +V' ' +p5549 +tp5550 +a(g198 +V) +tp5551 +a(g198 +V; +tp5552 +a(g185 +V\u000a +tp5553 +a(g185 +V +p5554 +tp5555 +a(g18 +VindentLength +p5556 +tp5557 +a(g185 +V +tp5558 +a(g340 +V= +tp5559 +a(g185 +V +tp5560 +a(g18 +Vlength +p5561 +tp5562 +a(g198 +V; +tp5563 +a(g185 +V\u000a +tp5564 +a(g185 +V\u000a +tp5565 +a(g185 +V +p5566 +tp5567 +a(g111 +Vif +p5568 +tp5569 +a(g185 +V +tp5570 +a(g198 +V( +tp5571 +a(g340 +V! +tp5572 +a(g18 +VisMinimalConditinalIndentSet +p5573 +tp5574 +a(g198 +V) +tp5575 +a(g185 +V\u000a +tp5576 +a(g185 +V +p5577 +tp5578 +a(g18 +VminConditionalIndent +p5579 +tp5580 +a(g185 +V +tp5581 +a(g340 +V= +tp5582 +a(g185 +V +tp5583 +a(g18 +VindentLength +p5584 +tp5585 +a(g185 +V +tp5586 +a(g340 +V* +tp5587 +a(g185 +V +tp5588 +a(g315 +V2 +tp5589 +a(g198 +V; +tp5590 +a(g185 +V\u000a +tp5591 +a(g185 +V +p5592 +tp5593 +a(g198 +V} +tp5594 +a(g185 +V\u000a +tp5595 +a(g185 +V\u000a +tp5596 +a(g185 +V +p5597 +tp5598 +a(g7 +V/**\u000a * set the maximum indentation between two lines in a multi-line statement.\u000a *\u000a * @param max maximum indentation length.\u000a */ +p5599 +tp5600 +a(g185 +V\u000a +tp5601 +a(g185 +V +p5602 +tp5603 +a(g135 +Vvoid +p5604 +tp5605 +a(g185 +V +tp5606 +a(g18 +VASBeautifier +p5607 +tp5608 +a(g340 +V: +tp5609 +a(g340 +V: +tp5610 +a(g18 +VsetMaxInStatementIndentLength +p5611 +tp5612 +a(g198 +V( +tp5613 +a(g135 +Vint +p5614 +tp5615 +a(g185 +V +tp5616 +a(g18 +Vmax +p5617 +tp5618 +a(g198 +V) +tp5619 +a(g185 +V\u000a +tp5620 +a(g185 +V +p5621 +tp5622 +a(g198 +V{ +tp5623 +a(g185 +V\u000a +tp5624 +a(g185 +V +p5625 +tp5626 +a(g18 +VmaxInStatementIndent +p5627 +tp5628 +a(g185 +V +tp5629 +a(g340 +V= +tp5630 +a(g185 +V +tp5631 +a(g18 +Vmax +p5632 +tp5633 +a(g198 +V; +tp5634 +a(g185 +V\u000a +tp5635 +a(g185 +V +p5636 +tp5637 +a(g198 +V} +tp5638 +a(g185 +V\u000a +tp5639 +a(g185 +V\u000a +tp5640 +a(g185 +V +p5641 +tp5642 +a(g7 +V/**\u000a * set the minimum indentation between two lines in a multi-line condition.\u000a *\u000a * @param min minimal indentation length.\u000a */ +p5643 +tp5644 +a(g185 +V\u000a +tp5645 +a(g185 +V +p5646 +tp5647 +a(g135 +Vvoid +p5648 +tp5649 +a(g185 +V +tp5650 +a(g18 +VASBeautifier +p5651 +tp5652 +a(g340 +V: +tp5653 +a(g340 +V: +tp5654 +a(g18 +VsetMinConditionalIndentLength +p5655 +tp5656 +a(g198 +V( +tp5657 +a(g135 +Vint +p5658 +tp5659 +a(g185 +V +tp5660 +a(g18 +Vmin +p5661 +tp5662 +a(g198 +V) +tp5663 +a(g185 +V\u000a +tp5664 +a(g185 +V +p5665 +tp5666 +a(g198 +V{ +tp5667 +a(g185 +V\u000a +tp5668 +a(g185 +V +p5669 +tp5670 +a(g18 +VminConditionalIndent +p5671 +tp5672 +a(g185 +V +tp5673 +a(g340 +V= +tp5674 +a(g185 +V +tp5675 +a(g18 +Vmin +p5676 +tp5677 +a(g198 +V; +tp5678 +a(g185 +V\u000a +tp5679 +a(g185 +V +p5680 +tp5681 +a(g18 +VisMinimalConditinalIndentSet +p5682 +tp5683 +a(g185 +V +tp5684 +a(g340 +V= +tp5685 +a(g185 +V +tp5686 +a(g113 +Vtrue +p5687 +tp5688 +a(g198 +V; +tp5689 +a(g185 +V\u000a +tp5690 +a(g185 +V +p5691 +tp5692 +a(g198 +V} +tp5693 +a(g185 +V\u000a +tp5694 +a(g185 +V\u000a +tp5695 +a(g185 +V +p5696 +tp5697 +a(g7 +V/**\u000a * set the state of the bracket indentation option. If true, brackets will \u000a * be indented one additional indent.\u000a *\u000a * @param state state of option.\u000a */ +p5698 +tp5699 +a(g185 +V\u000a +tp5700 +a(g185 +V +p5701 +tp5702 +a(g135 +Vvoid +p5703 +tp5704 +a(g185 +V +tp5705 +a(g18 +VASBeautifier +p5706 +tp5707 +a(g340 +V: +tp5708 +a(g340 +V: +tp5709 +a(g18 +VsetBracketIndent +p5710 +tp5711 +a(g198 +V( +tp5712 +a(g135 +Vbool +p5713 +tp5714 +a(g185 +V +tp5715 +a(g18 +Vstate +p5716 +tp5717 +a(g198 +V) +tp5718 +a(g185 +V\u000a +tp5719 +a(g185 +V +p5720 +tp5721 +a(g198 +V{ +tp5722 +a(g185 +V\u000a +tp5723 +a(g185 +V +p5724 +tp5725 +a(g18 +VbracketIndent +p5726 +tp5727 +a(g185 +V +tp5728 +a(g340 +V= +tp5729 +a(g185 +V +tp5730 +a(g18 +Vstate +p5731 +tp5732 +a(g198 +V; +tp5733 +a(g185 +V\u000a +tp5734 +a(g185 +V +p5735 +tp5736 +a(g198 +V} +tp5737 +a(g185 +V\u000a +tp5738 +a(g185 +V\u000a +tp5739 +a(g185 +V +p5740 +tp5741 +a(g7 +V/**\u000a * set the state of the block indentation option. If true, entire blocks \u000a * will be indented one additional indent, similar to the GNU indent style.\u000a *\u000a * @param state state of option.\u000a */ +p5742 +tp5743 +a(g185 +V\u000a +tp5744 +a(g185 +V +p5745 +tp5746 +a(g135 +Vvoid +p5747 +tp5748 +a(g185 +V +tp5749 +a(g18 +VASBeautifier +p5750 +tp5751 +a(g340 +V: +tp5752 +a(g340 +V: +tp5753 +a(g18 +VsetBlockIndent +p5754 +tp5755 +a(g198 +V( +tp5756 +a(g135 +Vbool +p5757 +tp5758 +a(g185 +V +tp5759 +a(g18 +Vstate +p5760 +tp5761 +a(g198 +V) +tp5762 +a(g185 +V\u000a +tp5763 +a(g185 +V +p5764 +tp5765 +a(g198 +V{ +tp5766 +a(g185 +V\u000a +tp5767 +a(g185 +V +p5768 +tp5769 +a(g111 +Vif +p5770 +tp5771 +a(g185 +V +tp5772 +a(g198 +V( +tp5773 +a(g18 +Vstate +p5774 +tp5775 +a(g198 +V) +tp5776 +a(g185 +V\u000a +tp5777 +a(g185 +V +p5778 +tp5779 +a(g18 +VsetBracketIndent +p5780 +tp5781 +a(g198 +V( +tp5782 +a(g113 +Vfalse +p5783 +tp5784 +a(g198 +V) +tp5785 +a(g198 +V; +tp5786 +a(g185 +V +tp5787 +a(g354 +V// so that we don't have both bracket and block indent\u000a +p5788 +tp5789 +a(g185 +V +p5790 +tp5791 +a(g18 +VblockIndent +p5792 +tp5793 +a(g185 +V +tp5794 +a(g340 +V= +tp5795 +a(g185 +V +tp5796 +a(g18 +Vstate +p5797 +tp5798 +a(g198 +V; +tp5799 +a(g185 +V\u000a +tp5800 +a(g185 +V +p5801 +tp5802 +a(g198 +V} +tp5803 +a(g185 +V\u000a +tp5804 +a(g185 +V\u000a +tp5805 +a(g185 +V +p5806 +tp5807 +a(g7 +V/**\u000a * set the state of the class indentation option. If true, C++ class\u000a * definitions will be indented one additional indent.\u000a *\u000a * @param state state of option.\u000a */ +p5808 +tp5809 +a(g185 +V\u000a +tp5810 +a(g185 +V +p5811 +tp5812 +a(g135 +Vvoid +p5813 +tp5814 +a(g185 +V +tp5815 +a(g18 +VASBeautifier +p5816 +tp5817 +a(g340 +V: +tp5818 +a(g340 +V: +tp5819 +a(g18 +VsetClassIndent +p5820 +tp5821 +a(g198 +V( +tp5822 +a(g135 +Vbool +p5823 +tp5824 +a(g185 +V +tp5825 +a(g18 +Vstate +p5826 +tp5827 +a(g198 +V) +tp5828 +a(g185 +V\u000a +tp5829 +a(g185 +V +p5830 +tp5831 +a(g198 +V{ +tp5832 +a(g185 +V\u000a +tp5833 +a(g185 +V +p5834 +tp5835 +a(g18 +VclassIndent +p5836 +tp5837 +a(g185 +V +tp5838 +a(g340 +V= +tp5839 +a(g185 +V +tp5840 +a(g18 +Vstate +p5841 +tp5842 +a(g198 +V; +tp5843 +a(g185 +V\u000a +tp5844 +a(g185 +V +p5845 +tp5846 +a(g198 +V} +tp5847 +a(g185 +V\u000a +tp5848 +a(g185 +V\u000a +tp5849 +a(g185 +V +p5850 +tp5851 +a(g7 +V/**\u000a * set the state of the switch indentation option. If true, blocks of 'switch' \u000a * statements will be indented one additional indent.\u000a *\u000a * @param state state of option.\u000a */ +p5852 +tp5853 +a(g185 +V\u000a +tp5854 +a(g185 +V +p5855 +tp5856 +a(g135 +Vvoid +p5857 +tp5858 +a(g185 +V +tp5859 +a(g18 +VASBeautifier +p5860 +tp5861 +a(g340 +V: +tp5862 +a(g340 +V: +tp5863 +a(g18 +VsetSwitchIndent +p5864 +tp5865 +a(g198 +V( +tp5866 +a(g135 +Vbool +p5867 +tp5868 +a(g185 +V +tp5869 +a(g18 +Vstate +p5870 +tp5871 +a(g198 +V) +tp5872 +a(g185 +V\u000a +tp5873 +a(g185 +V +p5874 +tp5875 +a(g198 +V{ +tp5876 +a(g185 +V\u000a +tp5877 +a(g185 +V +p5878 +tp5879 +a(g18 +VswitchIndent +p5880 +tp5881 +a(g185 +V +tp5882 +a(g340 +V= +tp5883 +a(g185 +V +tp5884 +a(g18 +Vstate +p5885 +tp5886 +a(g198 +V; +tp5887 +a(g185 +V\u000a +tp5888 +a(g185 +V +p5889 +tp5890 +a(g198 +V} +tp5891 +a(g185 +V\u000a +tp5892 +a(g185 +V\u000a +tp5893 +a(g185 +V +p5894 +tp5895 +a(g7 +V/**\u000a * set the state of the case indentation option. If true, lines of 'case' \u000a * statements will be indented one additional indent.\u000a *\u000a * @param state state of option.\u000a */ +p5896 +tp5897 +a(g185 +V\u000a +tp5898 +a(g185 +V +p5899 +tp5900 +a(g135 +Vvoid +p5901 +tp5902 +a(g185 +V +tp5903 +a(g18 +VASBeautifier +p5904 +tp5905 +a(g340 +V: +tp5906 +a(g340 +V: +tp5907 +a(g18 +VsetCaseIndent +p5908 +tp5909 +a(g198 +V( +tp5910 +a(g135 +Vbool +p5911 +tp5912 +a(g185 +V +tp5913 +a(g18 +Vstate +p5914 +tp5915 +a(g198 +V) +tp5916 +a(g185 +V\u000a +tp5917 +a(g185 +V +p5918 +tp5919 +a(g198 +V{ +tp5920 +a(g185 +V\u000a +tp5921 +a(g185 +V +p5922 +tp5923 +a(g18 +VcaseIndent +p5924 +tp5925 +a(g185 +V +tp5926 +a(g340 +V= +tp5927 +a(g185 +V +tp5928 +a(g18 +Vstate +p5929 +tp5930 +a(g198 +V; +tp5931 +a(g185 +V\u000a +tp5932 +a(g185 +V +p5933 +tp5934 +a(g198 +V} +tp5935 +a(g185 +V\u000a +tp5936 +a(g185 +V +p5937 +tp5938 +a(g7 +V/**\u000a * set the state of the namespace indentation option. \u000a * If true, blocks of 'namespace' statements will be indented one \u000a * additional indent. Otherwise, NO indentation will be added.\u000a *\u000a * @param state state of option.\u000a */ +p5939 +tp5940 +a(g185 +V\u000a +tp5941 +a(g185 +V +p5942 +tp5943 +a(g135 +Vvoid +p5944 +tp5945 +a(g185 +V +tp5946 +a(g18 +VASBeautifier +p5947 +tp5948 +a(g340 +V: +tp5949 +a(g340 +V: +tp5950 +a(g18 +VsetNamespaceIndent +p5951 +tp5952 +a(g198 +V( +tp5953 +a(g135 +Vbool +p5954 +tp5955 +a(g185 +V +tp5956 +a(g18 +Vstate +p5957 +tp5958 +a(g198 +V) +tp5959 +a(g185 +V\u000a +tp5960 +a(g185 +V +p5961 +tp5962 +a(g198 +V{ +tp5963 +a(g185 +V\u000a +tp5964 +a(g185 +V +p5965 +tp5966 +a(g18 +VnamespaceIndent +p5967 +tp5968 +a(g185 +V +tp5969 +a(g340 +V= +tp5970 +a(g185 +V +tp5971 +a(g18 +Vstate +p5972 +tp5973 +a(g198 +V; +tp5974 +a(g185 +V\u000a +tp5975 +a(g185 +V +p5976 +tp5977 +a(g198 +V} +tp5978 +a(g185 +V\u000a +tp5979 +a(g185 +V\u000a +tp5980 +a(g185 +V +p5981 +tp5982 +a(g7 +V/**\u000a * set the state of the label indentation option. \u000a * If true, labels will be indented one indent LESS than the\u000a * current indentation level.\u000a * If false, labels will be flushed to the left with NO\u000a * indent at all.\u000a *\u000a * @param state state of option.\u000a */ +p5983 +tp5984 +a(g185 +V\u000a +tp5985 +a(g185 +V +p5986 +tp5987 +a(g135 +Vvoid +p5988 +tp5989 +a(g185 +V +tp5990 +a(g18 +VASBeautifier +p5991 +tp5992 +a(g340 +V: +tp5993 +a(g340 +V: +tp5994 +a(g18 +VsetLabelIndent +p5995 +tp5996 +a(g198 +V( +tp5997 +a(g135 +Vbool +p5998 +tp5999 +a(g185 +V +tp6000 +a(g18 +Vstate +p6001 +tp6002 +a(g198 +V) +tp6003 +a(g185 +V\u000a +tp6004 +a(g185 +V +p6005 +tp6006 +a(g198 +V{ +tp6007 +a(g185 +V\u000a +tp6008 +a(g185 +V +p6009 +tp6010 +a(g18 +VlabelIndent +p6011 +tp6012 +a(g185 +V +tp6013 +a(g340 +V= +tp6014 +a(g185 +V +tp6015 +a(g18 +Vstate +p6016 +tp6017 +a(g198 +V; +tp6018 +a(g185 +V\u000a +tp6019 +a(g185 +V +p6020 +tp6021 +a(g198 +V} +tp6022 +a(g185 +V\u000a +tp6023 +a(g185 +V\u000a +tp6024 +a(g185 +V +p6025 +tp6026 +a(g7 +V/**\u000a * set the state of the preprocessor indentation option. \u000a * If true, multiline #define statements will be indented.\u000a *\u000a * @param state state of option.\u000a */ +p6027 +tp6028 +a(g185 +V\u000a +tp6029 +a(g185 +V +p6030 +tp6031 +a(g135 +Vvoid +p6032 +tp6033 +a(g185 +V +tp6034 +a(g18 +VASBeautifier +p6035 +tp6036 +a(g340 +V: +tp6037 +a(g340 +V: +tp6038 +a(g18 +VsetPreprocessorIndent +p6039 +tp6040 +a(g198 +V( +tp6041 +a(g135 +Vbool +p6042 +tp6043 +a(g185 +V +tp6044 +a(g18 +Vstate +p6045 +tp6046 +a(g198 +V) +tp6047 +a(g185 +V\u000a +tp6048 +a(g185 +V +p6049 +tp6050 +a(g198 +V{ +tp6051 +a(g185 +V\u000a +tp6052 +a(g185 +V +p6053 +tp6054 +a(g18 +VpreprocessorIndent +p6055 +tp6056 +a(g185 +V +tp6057 +a(g340 +V= +tp6058 +a(g185 +V +tp6059 +a(g18 +Vstate +p6060 +tp6061 +a(g198 +V; +tp6062 +a(g185 +V\u000a +tp6063 +a(g185 +V +p6064 +tp6065 +a(g198 +V} +tp6066 +a(g185 +V\u000a +tp6067 +a(g185 +V\u000a +tp6068 +a(g185 +V +p6069 +tp6070 +a(g7 +V/**\u000a * set the state of the empty line fill option. \u000a * If true, empty lines will be filled with the whitespace.\u000a * of their previous lines.\u000a * If false, these lines will remain empty.\u000a *\u000a * @param state state of option.\u000a */ +p6071 +tp6072 +a(g185 +V\u000a +tp6073 +a(g185 +V +p6074 +tp6075 +a(g135 +Vvoid +p6076 +tp6077 +a(g185 +V +tp6078 +a(g18 +VASBeautifier +p6079 +tp6080 +a(g340 +V: +tp6081 +a(g340 +V: +tp6082 +a(g18 +VsetEmptyLineFill +p6083 +tp6084 +a(g198 +V( +tp6085 +a(g135 +Vbool +p6086 +tp6087 +a(g185 +V +tp6088 +a(g18 +Vstate +p6089 +tp6090 +a(g198 +V) +tp6091 +a(g185 +V\u000a +tp6092 +a(g185 +V +p6093 +tp6094 +a(g198 +V{ +tp6095 +a(g185 +V\u000a +tp6096 +a(g185 +V +p6097 +tp6098 +a(g18 +VemptyLineFill +p6099 +tp6100 +a(g185 +V +tp6101 +a(g340 +V= +tp6102 +a(g185 +V +tp6103 +a(g18 +Vstate +p6104 +tp6105 +a(g198 +V; +tp6106 +a(g185 +V\u000a +tp6107 +a(g185 +V +p6108 +tp6109 +a(g198 +V} +tp6110 +a(g185 +V\u000a +tp6111 +a(g185 +V\u000a +tp6112 +a(g185 +V +p6113 +tp6114 +a(g7 +V/**\u000a * check if there are any indented lines ready to be read by nextLine()\u000a *\u000a * @return are there any indented lines ready?\u000a */ +p6115 +tp6116 +a(g185 +V\u000a +tp6117 +a(g185 +V +p6118 +tp6119 +a(g135 +Vbool +p6120 +tp6121 +a(g185 +V +tp6122 +a(g18 +VASBeautifier +p6123 +tp6124 +a(g340 +V: +tp6125 +a(g340 +V: +tp6126 +a(g18 +VhasMoreLines +p6127 +tp6128 +a(g198 +V( +tp6129 +a(g198 +V) +tp6130 +a(g185 +V +tp6131 +a(g111 +Vconst +p6132 +tp6133 +a(g185 +V\u000a +tp6134 +a(g185 +V +p6135 +tp6136 +a(g198 +V{ +tp6137 +a(g185 +V\u000a +tp6138 +a(g185 +V +p6139 +tp6140 +a(g111 +Vreturn +p6141 +tp6142 +a(g185 +V +tp6143 +a(g18 +VsourceIterator +p6144 +tp6145 +a(g340 +V- +tp6146 +a(g340 +V> +tp6147 +a(g18 +VhasMoreLines +p6148 +tp6149 +a(g198 +V( +tp6150 +a(g198 +V) +tp6151 +a(g198 +V; +tp6152 +a(g185 +V\u000a +tp6153 +a(g185 +V +p6154 +tp6155 +a(g198 +V} +tp6156 +a(g185 +V\u000a +tp6157 +a(g185 +V\u000a +tp6158 +a(g185 +V +p6159 +tp6160 +a(g7 +V/**\u000a * get the next indented line.\u000a *\u000a * @return indented line.\u000a */ +p6161 +tp6162 +a(g185 +V\u000a +tp6163 +a(g185 +V +p6164 +tp6165 +a(g18 +Vstring +p6166 +tp6167 +a(g185 +V +tp6168 +a(g18 +VASBeautifier +p6169 +tp6170 +a(g340 +V: +tp6171 +a(g340 +V: +tp6172 +a(g18 +VnextLine +p6173 +tp6174 +a(g198 +V( +tp6175 +a(g198 +V) +tp6176 +a(g185 +V\u000a +tp6177 +a(g185 +V +p6178 +tp6179 +a(g198 +V{ +tp6180 +a(g185 +V\u000a +tp6181 +a(g185 +V +p6182 +tp6183 +a(g111 +Vreturn +p6184 +tp6185 +a(g185 +V +tp6186 +a(g18 +Vbeautify +p6187 +tp6188 +a(g198 +V( +tp6189 +a(g18 +VsourceIterator +p6190 +tp6191 +a(g340 +V- +tp6192 +a(g340 +V> +tp6193 +a(g18 +VnextLine +p6194 +tp6195 +a(g198 +V( +tp6196 +a(g198 +V) +tp6197 +a(g198 +V) +tp6198 +a(g198 +V; +tp6199 +a(g185 +V\u000a +tp6200 +a(g185 +V +p6201 +tp6202 +a(g198 +V} +tp6203 +a(g185 +V\u000a +tp6204 +a(g185 +V\u000a +tp6205 +a(g185 +V +p6206 +tp6207 +a(g7 +V/**\u000a * beautify a line of source code.\u000a * every line of source code in a source code file should be sent\u000a * one after the other to the beautify method.\u000a *\u000a * @return the indented line.\u000a * @param originalLine the original unindented line.\u000a */ +p6208 +tp6209 +a(g185 +V\u000a +tp6210 +a(g185 +V +p6211 +tp6212 +a(g18 +Vstring +p6213 +tp6214 +a(g185 +V +tp6215 +a(g18 +VASBeautifier +p6216 +tp6217 +a(g340 +V: +tp6218 +a(g340 +V: +tp6219 +a(g18 +Vbeautify +p6220 +tp6221 +a(g198 +V( +tp6222 +a(g111 +Vconst +p6223 +tp6224 +a(g185 +V +tp6225 +a(g18 +Vstring +p6226 +tp6227 +a(g185 +V +tp6228 +a(g340 +V& +tp6229 +a(g18 +VoriginalLine +p6230 +tp6231 +a(g198 +V) +tp6232 +a(g185 +V\u000a +tp6233 +a(g185 +V +p6234 +tp6235 +a(g198 +V{ +tp6236 +a(g185 +V\u000a +tp6237 +a(g185 +V +p6238 +tp6239 +a(g18 +Vstring +p6240 +tp6241 +a(g185 +V +tp6242 +a(g18 +Vline +p6243 +tp6244 +a(g198 +V; +tp6245 +a(g185 +V\u000a +tp6246 +a(g185 +V +p6247 +tp6248 +a(g135 +Vbool +p6249 +tp6250 +a(g185 +V +tp6251 +a(g18 +VisInLineComment +p6252 +tp6253 +a(g185 +V +tp6254 +a(g340 +V= +tp6255 +a(g185 +V +tp6256 +a(g113 +Vfalse +p6257 +tp6258 +a(g198 +V; +tp6259 +a(g185 +V\u000a +tp6260 +a(g185 +V +p6261 +tp6262 +a(g135 +Vbool +p6263 +tp6264 +a(g185 +V +tp6265 +a(g18 +VlineStartsInComment +p6266 +tp6267 +a(g185 +V +tp6268 +a(g340 +V= +tp6269 +a(g185 +V +tp6270 +a(g113 +Vfalse +p6271 +tp6272 +a(g198 +V; +tp6273 +a(g185 +V\u000a +tp6274 +a(g185 +V +p6275 +tp6276 +a(g135 +Vbool +p6277 +tp6278 +a(g185 +V +tp6279 +a(g18 +VisInClass +p6280 +tp6281 +a(g185 +V +tp6282 +a(g340 +V= +tp6283 +a(g185 +V +tp6284 +a(g113 +Vfalse +p6285 +tp6286 +a(g198 +V; +tp6287 +a(g185 +V\u000a +tp6288 +a(g185 +V +p6289 +tp6290 +a(g135 +Vbool +p6291 +tp6292 +a(g185 +V +tp6293 +a(g18 +VisInSwitch +p6294 +tp6295 +a(g185 +V +tp6296 +a(g340 +V= +tp6297 +a(g185 +V +tp6298 +a(g113 +Vfalse +p6299 +tp6300 +a(g198 +V; +tp6301 +a(g185 +V\u000a +tp6302 +a(g185 +V +p6303 +tp6304 +a(g135 +Vbool +p6305 +tp6306 +a(g185 +V +tp6307 +a(g18 +VisImmediatelyAfterConst +p6308 +tp6309 +a(g185 +V +tp6310 +a(g340 +V= +tp6311 +a(g185 +V +tp6312 +a(g113 +Vfalse +p6313 +tp6314 +a(g198 +V; +tp6315 +a(g185 +V\u000a +tp6316 +a(g185 +V +p6317 +tp6318 +a(g135 +Vbool +p6319 +tp6320 +a(g185 +V +tp6321 +a(g18 +VisSpecialChar +p6322 +tp6323 +a(g185 +V +tp6324 +a(g340 +V= +tp6325 +a(g185 +V +tp6326 +a(g113 +Vfalse +p6327 +tp6328 +a(g198 +V; +tp6329 +a(g185 +V\u000a +tp6330 +a(g185 +V\u000a +tp6331 +a(g185 +V +p6332 +tp6333 +a(g135 +Vchar +p6334 +tp6335 +a(g185 +V +tp6336 +a(g18 +Vch +p6337 +tp6338 +a(g185 +V +tp6339 +a(g340 +V= +tp6340 +a(g185 +V +tp6341 +a(g264 +V' ' +p6342 +tp6343 +a(g198 +V; +tp6344 +a(g185 +V\u000a +tp6345 +a(g185 +V +p6346 +tp6347 +a(g135 +Vchar +p6348 +tp6349 +a(g185 +V +tp6350 +a(g18 +VprevCh +p6351 +tp6352 +a(g198 +V; +tp6353 +a(g185 +V\u000a +tp6354 +a(g185 +V +p6355 +tp6356 +a(g18 +Vstring +p6357 +tp6358 +a(g185 +V +tp6359 +a(g18 +VoutBuffer +p6360 +tp6361 +a(g198 +V; +tp6362 +a(g185 +V +tp6363 +a(g354 +V// the newly idented line is bufferd here\u000a +p6364 +tp6365 +a(g185 +V +p6366 +tp6367 +a(g135 +Vint +p6368 +tp6369 +a(g185 +V +tp6370 +a(g18 +VtabCount +p6371 +tp6372 +a(g185 +V +tp6373 +a(g340 +V= +tp6374 +a(g185 +V +tp6375 +a(g315 +V0 +tp6376 +a(g198 +V; +tp6377 +a(g185 +V\u000a +tp6378 +a(g185 +V +p6379 +tp6380 +a(g111 +Vconst +p6381 +tp6382 +a(g185 +V +tp6383 +a(g18 +Vstring +p6384 +tp6385 +a(g185 +V +tp6386 +a(g340 +V* +tp6387 +a(g18 +VlastLineHeader +p6388 +tp6389 +a(g185 +V +tp6390 +a(g340 +V= +tp6391 +a(g185 +V +tp6392 +a(g57 +VNULL +p6393 +tp6394 +a(g198 +V; +tp6395 +a(g185 +V\u000a +tp6396 +a(g185 +V +p6397 +tp6398 +a(g135 +Vbool +p6399 +tp6400 +a(g185 +V +tp6401 +a(g18 +VclosingBracketReached +p6402 +tp6403 +a(g185 +V +tp6404 +a(g340 +V= +tp6405 +a(g185 +V +tp6406 +a(g113 +Vfalse +p6407 +tp6408 +a(g198 +V; +tp6409 +a(g185 +V\u000a +tp6410 +a(g185 +V +p6411 +tp6412 +a(g135 +Vint +p6413 +tp6414 +a(g185 +V +tp6415 +a(g18 +VspaceTabCount +p6416 +tp6417 +a(g185 +V +tp6418 +a(g340 +V= +tp6419 +a(g185 +V +tp6420 +a(g315 +V0 +tp6421 +a(g198 +V; +tp6422 +a(g185 +V\u000a +tp6423 +a(g185 +V +p6424 +tp6425 +a(g135 +Vchar +p6426 +tp6427 +a(g185 +V +tp6428 +a(g18 +VtempCh +p6429 +tp6430 +a(g198 +V; +tp6431 +a(g185 +V\u000a +tp6432 +a(g185 +V +p6433 +tp6434 +a(g135 +Vunsigned +p6435 +tp6436 +a(g185 +V +tp6437 +a(g135 +Vint +p6438 +tp6439 +a(g185 +V +tp6440 +a(g18 +VheaderStackSize +p6441 +tp6442 +a(g185 +V +tp6443 +a(g340 +V= +tp6444 +a(g185 +V +tp6445 +a(g18 +VheaderStack +p6446 +tp6447 +a(g340 +V- +tp6448 +a(g340 +V> +tp6449 +a(g18 +Vsize +p6450 +tp6451 +a(g198 +V( +tp6452 +a(g198 +V) +tp6453 +a(g198 +V; +tp6454 +a(g185 +V\u000a +tp6455 +a(g185 +V +p6456 +tp6457 +a(g354 +V//bool isLineInStatement = isInStatement;\u000a +p6458 +tp6459 +a(g185 +V +p6460 +tp6461 +a(g135 +Vbool +p6462 +tp6463 +a(g185 +V +tp6464 +a(g18 +VshouldIndentBrackettedLine +p6465 +tp6466 +a(g185 +V +tp6467 +a(g340 +V= +tp6468 +a(g185 +V +tp6469 +a(g113 +Vtrue +p6470 +tp6471 +a(g198 +V; +tp6472 +a(g185 +V\u000a +tp6473 +a(g185 +V +p6474 +tp6475 +a(g135 +Vint +p6476 +tp6477 +a(g185 +V +tp6478 +a(g18 +VlineOpeningBlocksNum +p6479 +tp6480 +a(g185 +V +tp6481 +a(g340 +V= +tp6482 +a(g185 +V +tp6483 +a(g315 +V0 +tp6484 +a(g198 +V; +tp6485 +a(g185 +V\u000a +tp6486 +a(g185 +V +p6487 +tp6488 +a(g135 +Vint +p6489 +tp6490 +a(g185 +V +tp6491 +a(g18 +VlineClosingBlocksNum +p6492 +tp6493 +a(g185 +V +tp6494 +a(g340 +V= +tp6495 +a(g185 +V +tp6496 +a(g315 +V0 +tp6497 +a(g198 +V; +tp6498 +a(g185 +V\u000a +tp6499 +a(g185 +V +p6500 +tp6501 +a(g135 +Vbool +p6502 +tp6503 +a(g185 +V +tp6504 +a(g18 +VpreviousLineProbation +p6505 +tp6506 +a(g185 +V +tp6507 +a(g340 +V= +tp6508 +a(g185 +V +tp6509 +a(g198 +V( +tp6510 +a(g18 +VprobationHeader +p6511 +tp6512 +a(g185 +V +tp6513 +a(g340 +V! +tp6514 +a(g340 +V= +tp6515 +a(g185 +V +tp6516 +a(g57 +VNULL +p6517 +tp6518 +a(g198 +V) +tp6519 +a(g198 +V; +tp6520 +a(g185 +V\u000a +tp6521 +a(g185 +V +p6522 +tp6523 +a(g135 +Vunsigned +p6524 +tp6525 +a(g185 +V +tp6526 +a(g135 +Vint +p6527 +tp6528 +a(g185 +V +tp6529 +a(g18 +Vi +tp6530 +a(g198 +V; +tp6531 +a(g185 +V\u000a +tp6532 +a(g185 +V\u000a +tp6533 +a(g185 +V +p6534 +tp6535 +a(g18 +VcurrentHeader +p6536 +tp6537 +a(g185 +V +tp6538 +a(g340 +V= +tp6539 +a(g185 +V +tp6540 +a(g57 +VNULL +p6541 +tp6542 +a(g198 +V; +tp6543 +a(g185 +V\u000a +tp6544 +a(g185 +V\u000a +tp6545 +a(g185 +V +p6546 +tp6547 +a(g18 +VlineStartsInComment +p6548 +tp6549 +a(g185 +V +tp6550 +a(g340 +V= +tp6551 +a(g185 +V +tp6552 +a(g18 +VisInComment +p6553 +tp6554 +a(g198 +V; +tp6555 +a(g185 +V\u000a +tp6556 +a(g185 +V\u000a +tp6557 +a(g185 +V +p6558 +tp6559 +a(g354 +V// handle and remove white spaces around the line:\u000a +p6560 +tp6561 +a(g185 +V +p6562 +tp6563 +a(g354 +V// If not in comment, first find out size of white space before line,\u000a +p6564 +tp6565 +a(g185 +V +p6566 +tp6567 +a(g354 +V// so that possible comments starting in the line continue in\u000a +p6568 +tp6569 +a(g185 +V +p6570 +tp6571 +a(g354 +V// relation to the preliminary white-space.\u000a +p6572 +tp6573 +a(g185 +V +p6574 +tp6575 +a(g111 +Vif +p6576 +tp6577 +a(g185 +V +tp6578 +a(g198 +V( +tp6579 +a(g340 +V! +tp6580 +a(g18 +VisInComment +p6581 +tp6582 +a(g198 +V) +tp6583 +a(g185 +V\u000a +tp6584 +a(g185 +V +p6585 +tp6586 +a(g198 +V{ +tp6587 +a(g185 +V\u000a +tp6588 +a(g185 +V +p6589 +tp6590 +a(g18 +VleadingWhiteSpaces +p6591 +tp6592 +a(g185 +V +tp6593 +a(g340 +V= +tp6594 +a(g185 +V +tp6595 +a(g315 +V0 +tp6596 +a(g198 +V; +tp6597 +a(g185 +V\u000a +tp6598 +a(g185 +V +p6599 +tp6600 +a(g111 +Vwhile +p6601 +tp6602 +a(g185 +V +tp6603 +a(g198 +V( +tp6604 +a(g18 +VleadingWhiteSpaces +p6605 +tp6606 +a(g340 +V< +tp6607 +a(g18 +VoriginalLine +p6608 +tp6609 +a(g198 +V. +tp6610 +a(g18 +Vlength +p6611 +tp6612 +a(g198 +V( +tp6613 +a(g198 +V) +tp6614 +a(g185 +V +tp6615 +a(g340 +V& +tp6616 +a(g340 +V& +tp6617 +a(g185 +V +tp6618 +a(g18 +VoriginalLine +p6619 +tp6620 +a(g198 +V[ +tp6621 +a(g18 +VleadingWhiteSpaces +p6622 +tp6623 +a(g198 +V] +tp6624 +a(g185 +V +tp6625 +a(g340 +V< +tp6626 +a(g340 +V= +tp6627 +a(g185 +V +tp6628 +a(g311 +V0x20 +p6629 +tp6630 +a(g198 +V) +tp6631 +a(g185 +V\u000a +tp6632 +a(g185 +V +p6633 +tp6634 +a(g18 +VleadingWhiteSpaces +p6635 +tp6636 +a(g340 +V+ +tp6637 +a(g340 +V+ +tp6638 +a(g198 +V; +tp6639 +a(g185 +V\u000a +tp6640 +a(g185 +V\u000a +tp6641 +a(g185 +V +p6642 +tp6643 +a(g18 +Vline +p6644 +tp6645 +a(g185 +V +tp6646 +a(g340 +V= +tp6647 +a(g185 +V +tp6648 +a(g18 +Vtrim +p6649 +tp6650 +a(g198 +V( +tp6651 +a(g18 +VoriginalLine +p6652 +tp6653 +a(g198 +V) +tp6654 +a(g198 +V; +tp6655 +a(g185 +V\u000a +tp6656 +a(g185 +V +p6657 +tp6658 +a(g198 +V} +tp6659 +a(g185 +V\u000a +tp6660 +a(g185 +V +p6661 +tp6662 +a(g111 +Velse +p6663 +tp6664 +a(g185 +V\u000a +tp6665 +a(g185 +V +p6666 +tp6667 +a(g198 +V{ +tp6668 +a(g185 +V\u000a +tp6669 +a(g185 +V +p6670 +tp6671 +a(g135 +Vunsigned +p6672 +tp6673 +a(g185 +V +tp6674 +a(g135 +Vint +p6675 +tp6676 +a(g185 +V +tp6677 +a(g18 +VtrimSize +p6678 +tp6679 +a(g198 +V; +tp6680 +a(g185 +V\u000a +tp6681 +a(g185 +V +p6682 +tp6683 +a(g111 +Vfor +p6684 +tp6685 +a(g185 +V +tp6686 +a(g198 +V( +tp6687 +a(g18 +VtrimSize +p6688 +tp6689 +a(g340 +V= +tp6690 +a(g315 +V0 +tp6691 +a(g198 +V; +tp6692 +a(g185 +V\u000a +tp6693 +a(g185 +V +p6694 +tp6695 +a(g18 +VtrimSize +p6696 +tp6697 +a(g185 +V +tp6698 +a(g340 +V< +tp6699 +a(g185 +V +tp6700 +a(g18 +VoriginalLine +p6701 +tp6702 +a(g198 +V. +tp6703 +a(g18 +Vlength +p6704 +tp6705 +a(g198 +V( +tp6706 +a(g198 +V) +tp6707 +a(g185 +V +tp6708 +a(g340 +V& +tp6709 +a(g340 +V& +tp6710 +a(g185 +V +tp6711 +a(g18 +VtrimSize +p6712 +tp6713 +a(g340 +V< +tp6714 +a(g18 +VleadingWhiteSpaces +p6715 +tp6716 +a(g185 +V +tp6717 +a(g340 +V& +tp6718 +a(g340 +V& +tp6719 +a(g185 +V +tp6720 +a(g18 +VoriginalLine +p6721 +tp6722 +a(g198 +V[ +tp6723 +a(g18 +VtrimSize +p6724 +tp6725 +a(g198 +V] +tp6726 +a(g185 +V +tp6727 +a(g340 +V< +tp6728 +a(g340 +V= +tp6729 +a(g185 +V +tp6730 +a(g311 +V0x20 +p6731 +tp6732 +a(g185 +V +tp6733 +a(g198 +V; +tp6734 +a(g185 +V\u000a +tp6735 +a(g185 +V +p6736 +tp6737 +a(g18 +VtrimSize +p6738 +tp6739 +a(g340 +V+ +tp6740 +a(g340 +V+ +tp6741 +a(g198 +V) +tp6742 +a(g185 +V\u000a +tp6743 +a(g185 +V +p6744 +tp6745 +a(g198 +V; +tp6746 +a(g185 +V\u000a +tp6747 +a(g185 +V +p6748 +tp6749 +a(g18 +Vline +p6750 +tp6751 +a(g185 +V +tp6752 +a(g340 +V= +tp6753 +a(g185 +V +tp6754 +a(g18 +VoriginalLine +p6755 +tp6756 +a(g198 +V. +tp6757 +a(g18 +Vsubstr +p6758 +tp6759 +a(g198 +V( +tp6760 +a(g18 +VtrimSize +p6761 +tp6762 +a(g198 +V) +tp6763 +a(g198 +V; +tp6764 +a(g185 +V\u000a +tp6765 +a(g185 +V +p6766 +tp6767 +a(g198 +V} +tp6768 +a(g185 +V\u000a +tp6769 +a(g185 +V\u000a +tp6770 +a(g185 +V\u000a +tp6771 +a(g185 +V +p6772 +tp6773 +a(g111 +Vif +p6774 +tp6775 +a(g185 +V +tp6776 +a(g198 +V( +tp6777 +a(g18 +Vline +p6778 +tp6779 +a(g198 +V. +tp6780 +a(g18 +Vlength +p6781 +tp6782 +a(g198 +V( +tp6783 +a(g198 +V) +tp6784 +a(g185 +V +tp6785 +a(g340 +V= +tp6786 +a(g340 +V= +tp6787 +a(g185 +V +tp6788 +a(g315 +V0 +tp6789 +a(g198 +V) +tp6790 +a(g185 +V\u000a +tp6791 +a(g185 +V +p6792 +tp6793 +a(g198 +V{ +tp6794 +a(g185 +V\u000a +tp6795 +a(g185 +V +p6796 +tp6797 +a(g111 +Vif +p6798 +tp6799 +a(g185 +V +tp6800 +a(g198 +V( +tp6801 +a(g18 +VemptyLineFill +p6802 +tp6803 +a(g198 +V) +tp6804 +a(g185 +V\u000a +tp6805 +a(g185 +V +p6806 +tp6807 +a(g111 +Vreturn +p6808 +tp6809 +a(g185 +V +tp6810 +a(g18 +VpreLineWS +p6811 +tp6812 +a(g198 +V( +tp6813 +a(g18 +VprevFinalLineSpaceTabCount +p6814 +tp6815 +a(g198 +V, +tp6816 +a(g185 +V +tp6817 +a(g18 +VprevFinalLineTabCount +p6818 +tp6819 +a(g198 +V) +tp6820 +a(g198 +V; +tp6821 +a(g185 +V\u000a +tp6822 +a(g185 +V +p6823 +tp6824 +a(g111 +Velse +p6825 +tp6826 +a(g185 +V\u000a +tp6827 +a(g185 +V +p6828 +tp6829 +a(g111 +Vreturn +p6830 +tp6831 +a(g185 +V +tp6832 +a(g18 +Vline +p6833 +tp6834 +a(g198 +V; +tp6835 +a(g185 +V\u000a +tp6836 +a(g185 +V +p6837 +tp6838 +a(g198 +V} +tp6839 +a(g185 +V\u000a +tp6840 +a(g185 +V\u000a +tp6841 +a(g185 +V +p6842 +tp6843 +a(g354 +V// handle preprocessor commands\u000a +p6844 +tp6845 +a(g185 +V\u000a +tp6846 +a(g185 +V +p6847 +tp6848 +a(g111 +Vif +p6849 +tp6850 +a(g185 +V +tp6851 +a(g198 +V( +tp6852 +a(g18 +VisCStyle +p6853 +tp6854 +a(g185 +V +tp6855 +a(g340 +V& +tp6856 +a(g340 +V& +tp6857 +a(g185 +V +tp6858 +a(g340 +V! +tp6859 +a(g18 +VisInComment +p6860 +tp6861 +a(g185 +V +tp6862 +a(g340 +V& +tp6863 +a(g340 +V& +tp6864 +a(g185 +V +tp6865 +a(g198 +V( +tp6866 +a(g18 +Vline +p6867 +tp6868 +a(g198 +V[ +tp6869 +a(g315 +V0 +tp6870 +a(g198 +V] +tp6871 +a(g185 +V +tp6872 +a(g340 +V= +tp6873 +a(g340 +V= +tp6874 +a(g185 +V +tp6875 +a(g264 +V'#' +p6876 +tp6877 +a(g185 +V +tp6878 +a(g340 +V| +tp6879 +a(g340 +V| +tp6880 +a(g185 +V +tp6881 +a(g18 +VbackslashEndsPrevLine +p6882 +tp6883 +a(g198 +V) +tp6884 +a(g198 +V) +tp6885 +a(g185 +V\u000a +tp6886 +a(g185 +V +p6887 +tp6888 +a(g198 +V{ +tp6889 +a(g185 +V\u000a +tp6890 +a(g185 +V +p6891 +tp6892 +a(g111 +Vif +p6893 +tp6894 +a(g185 +V +tp6895 +a(g198 +V( +tp6896 +a(g18 +Vline +p6897 +tp6898 +a(g198 +V[ +tp6899 +a(g315 +V0 +tp6900 +a(g198 +V] +tp6901 +a(g185 +V +tp6902 +a(g340 +V= +tp6903 +a(g340 +V= +tp6904 +a(g185 +V +tp6905 +a(g264 +V'#' +p6906 +tp6907 +a(g198 +V) +tp6908 +a(g185 +V\u000a +tp6909 +a(g185 +V +p6910 +tp6911 +a(g198 +V{ +tp6912 +a(g185 +V\u000a +tp6913 +a(g185 +V +p6914 +tp6915 +a(g18 +Vstring +p6916 +tp6917 +a(g185 +V +tp6918 +a(g18 +Vpreproc +p6919 +tp6920 +a(g185 +V +tp6921 +a(g340 +V= +tp6922 +a(g185 +V +tp6923 +a(g18 +Vtrim +p6924 +tp6925 +a(g198 +V( +tp6926 +a(g18 +Vstring +p6927 +tp6928 +a(g198 +V( +tp6929 +a(g18 +Vline +p6930 +tp6931 +a(g198 +V. +tp6932 +a(g18 +Vc_str +p6933 +tp6934 +a(g198 +V( +tp6935 +a(g198 +V) +tp6936 +a(g185 +V +tp6937 +a(g340 +V+ +tp6938 +a(g185 +V +tp6939 +a(g315 +V1 +tp6940 +a(g198 +V) +tp6941 +a(g198 +V) +tp6942 +a(g198 +V; +tp6943 +a(g185 +V\u000a +tp6944 +a(g185 +V\u000a +tp6945 +a(g185 +V\u000a +tp6946 +a(g185 +V +p6947 +tp6948 +a(g354 +V// When finding a multi-lined #define statement, the original beautifier\u000a +p6949 +tp6950 +a(g185 +V +p6951 +tp6952 +a(g354 +V// 1. sets its isInDefineDefinition flag\u000a +p6953 +tp6954 +a(g185 +V +p6955 +tp6956 +a(g354 +V// 2. clones a new beautifier that will be used for the actual indentation\u000a +p6957 +tp6958 +a(g185 +V +p6959 +tp6960 +a(g354 +V// of the #define. This clone is put into the activeBeautifierStack in order\u000a +p6961 +tp6962 +a(g185 +V +p6963 +tp6964 +a(g354 +V// to be called for the actual indentation.\u000a +p6965 +tp6966 +a(g185 +V +p6967 +tp6968 +a(g354 +V// The original beautifier will have isInDefineDefinition = true, isInDefine = false\u000a +p6969 +tp6970 +a(g185 +V +p6971 +tp6972 +a(g354 +V// The cloned beautifier will have isInDefineDefinition = true, isInDefine = true\u000a +p6973 +tp6974 +a(g185 +V +p6975 +tp6976 +a(g111 +Vif +p6977 +tp6978 +a(g185 +V +tp6979 +a(g198 +V( +tp6980 +a(g18 +VpreprocessorIndent +p6981 +tp6982 +a(g185 +V +tp6983 +a(g340 +V& +tp6984 +a(g340 +V& +tp6985 +a(g185 +V +tp6986 +a(g18 +Vpreproc +p6987 +tp6988 +a(g198 +V. +tp6989 +a(g18 +VCOMPARE +p6990 +tp6991 +a(g198 +V( +tp6992 +a(g315 +V0 +tp6993 +a(g198 +V, +tp6994 +a(g185 +V +tp6995 +a(g315 +V6 +tp6996 +a(g198 +V, +tp6997 +a(g185 +V +tp6998 +a(g18 +Vstring +p6999 +tp7000 +a(g198 +V( +tp7001 +a(g222 +V" +tp7002 +a(g222 +Vdefine +p7003 +tp7004 +a(g222 +V" +tp7005 +a(g198 +V) +tp7006 +a(g198 +V) +tp7007 +a(g185 +V +tp7008 +a(g340 +V= +tp7009 +a(g340 +V= +tp7010 +a(g185 +V +tp7011 +a(g315 +V0 +tp7012 +a(g185 +V +tp7013 +a(g340 +V& +tp7014 +a(g340 +V& +tp7015 +a(g185 +V +p7016 +tp7017 +a(g18 +Vline +p7018 +tp7019 +a(g198 +V[ +tp7020 +a(g18 +Vline +p7021 +tp7022 +a(g198 +V. +tp7023 +a(g18 +Vlength +p7024 +tp7025 +a(g198 +V( +tp7026 +a(g198 +V) +tp7027 +a(g185 +V +tp7028 +a(g340 +V- +tp7029 +a(g185 +V +tp7030 +a(g315 +V1 +tp7031 +a(g198 +V] +tp7032 +a(g185 +V +tp7033 +a(g340 +V= +tp7034 +a(g340 +V= +tp7035 +a(g185 +V +tp7036 +a(g264 +V'\u005c\u005c' +p7037 +tp7038 +a(g198 +V) +tp7039 +a(g185 +V\u000a +tp7040 +a(g185 +V +p7041 +tp7042 +a(g198 +V{ +tp7043 +a(g185 +V\u000a +tp7044 +a(g185 +V +p7045 +tp7046 +a(g111 +Vif +p7047 +tp7048 +a(g185 +V +tp7049 +a(g198 +V( +tp7050 +a(g340 +V! +tp7051 +a(g18 +VisInDefineDefinition +p7052 +tp7053 +a(g198 +V) +tp7054 +a(g185 +V\u000a +tp7055 +a(g185 +V +p7056 +tp7057 +a(g198 +V{ +tp7058 +a(g185 +V\u000a +tp7059 +a(g185 +V +p7060 +tp7061 +a(g18 +VASBeautifier +p7062 +tp7063 +a(g185 +V +tp7064 +a(g340 +V* +tp7065 +a(g18 +VdefineBeautifier +p7066 +tp7067 +a(g198 +V; +tp7068 +a(g185 +V\u000a +tp7069 +a(g185 +V\u000a +tp7070 +a(g185 +V +p7071 +tp7072 +a(g354 +V// this is the original beautifier\u000a +p7073 +tp7074 +a(g185 +V +p7075 +tp7076 +a(g18 +VisInDefineDefinition +p7077 +tp7078 +a(g185 +V +tp7079 +a(g340 +V= +tp7080 +a(g185 +V +tp7081 +a(g113 +Vtrue +p7082 +tp7083 +a(g198 +V; +tp7084 +a(g185 +V\u000a +tp7085 +a(g185 +V\u000a +tp7086 +a(g185 +V +p7087 +tp7088 +a(g354 +V// push a new beautifier into the active stack\u000a +p7089 +tp7090 +a(g185 +V +p7091 +tp7092 +a(g354 +V// this breautifier will be used for the indentation of this define\u000a +p7093 +tp7094 +a(g185 +V +p7095 +tp7096 +a(g18 +VdefineBeautifier +p7097 +tp7098 +a(g185 +V +tp7099 +a(g340 +V= +tp7100 +a(g185 +V +tp7101 +a(g111 +Vnew +p7102 +tp7103 +a(g185 +V +tp7104 +a(g18 +VASBeautifier +p7105 +tp7106 +a(g198 +V( +tp7107 +a(g340 +V* +tp7108 +a(g111 +Vthis +p7109 +tp7110 +a(g198 +V) +tp7111 +a(g198 +V; +tp7112 +a(g185 +V\u000a +tp7113 +a(g185 +V +p7114 +tp7115 +a(g354 +V//defineBeautifier->init();\u000a +p7116 +tp7117 +a(g185 +V +p7118 +tp7119 +a(g354 +V//defineBeautifier->isInDefineDefinition = true;\u000a +p7120 +tp7121 +a(g185 +V +p7122 +tp7123 +a(g354 +V//defineBeautifier->beautify("");\u000a +p7124 +tp7125 +a(g185 +V +p7126 +tp7127 +a(g18 +VactiveBeautifierStack +p7128 +tp7129 +a(g340 +V- +tp7130 +a(g340 +V> +tp7131 +a(g18 +Vpush_back +p7132 +tp7133 +a(g198 +V( +tp7134 +a(g18 +VdefineBeautifier +p7135 +tp7136 +a(g198 +V) +tp7137 +a(g198 +V; +tp7138 +a(g185 +V\u000a +tp7139 +a(g185 +V +p7140 +tp7141 +a(g198 +V} +tp7142 +a(g185 +V\u000a +tp7143 +a(g185 +V +p7144 +tp7145 +a(g111 +Velse +p7146 +tp7147 +a(g185 +V\u000a +tp7148 +a(g185 +V +p7149 +tp7150 +a(g198 +V{ +tp7151 +a(g185 +V\u000a +tp7152 +a(g185 +V +p7153 +tp7154 +a(g354 +V// the is the cloned beautifier that is in charge of indenting the #define.\u000a +p7155 +tp7156 +a(g185 +V +p7157 +tp7158 +a(g18 +VisInDefine +p7159 +tp7160 +a(g185 +V +tp7161 +a(g340 +V= +tp7162 +a(g185 +V +tp7163 +a(g113 +Vtrue +p7164 +tp7165 +a(g198 +V; +tp7166 +a(g185 +V\u000a +tp7167 +a(g185 +V +p7168 +tp7169 +a(g198 +V} +tp7170 +a(g185 +V\u000a +tp7171 +a(g185 +V +p7172 +tp7173 +a(g198 +V} +tp7174 +a(g185 +V\u000a +tp7175 +a(g185 +V +p7176 +tp7177 +a(g111 +Velse +p7178 +tp7179 +a(g185 +V +tp7180 +a(g111 +Vif +p7181 +tp7182 +a(g185 +V +tp7183 +a(g198 +V( +tp7184 +a(g18 +Vpreproc +p7185 +tp7186 +a(g198 +V. +tp7187 +a(g18 +VCOMPARE +p7188 +tp7189 +a(g198 +V( +tp7190 +a(g315 +V0 +tp7191 +a(g198 +V, +tp7192 +a(g185 +V +tp7193 +a(g315 +V2 +tp7194 +a(g198 +V, +tp7195 +a(g185 +V +tp7196 +a(g18 +Vstring +p7197 +tp7198 +a(g198 +V( +tp7199 +a(g222 +V" +tp7200 +a(g222 +Vif +p7201 +tp7202 +a(g222 +V" +tp7203 +a(g198 +V) +tp7204 +a(g198 +V) +tp7205 +a(g185 +V +tp7206 +a(g340 +V= +tp7207 +a(g340 +V= +tp7208 +a(g185 +V +tp7209 +a(g315 +V0 +tp7210 +a(g198 +V) +tp7211 +a(g185 +V\u000a +tp7212 +a(g185 +V +p7213 +tp7214 +a(g198 +V{ +tp7215 +a(g185 +V\u000a +tp7216 +a(g185 +V +p7217 +tp7218 +a(g354 +V// push a new beautifier into the stack\u000a +p7219 +tp7220 +a(g185 +V +p7221 +tp7222 +a(g18 +VwaitingBeautifierStackLengthStack +p7223 +tp7224 +a(g340 +V- +tp7225 +a(g340 +V> +tp7226 +a(g18 +Vpush_back +p7227 +tp7228 +a(g198 +V( +tp7229 +a(g18 +VwaitingBeautifierStack +p7230 +tp7231 +a(g340 +V- +tp7232 +a(g340 +V> +tp7233 +a(g18 +Vsize +p7234 +tp7235 +a(g198 +V( +tp7236 +a(g198 +V) +tp7237 +a(g198 +V) +tp7238 +a(g198 +V; +tp7239 +a(g185 +V\u000a +tp7240 +a(g185 +V +p7241 +tp7242 +a(g18 +VactiveBeautifierStackLengthStack +p7243 +tp7244 +a(g340 +V- +tp7245 +a(g340 +V> +tp7246 +a(g18 +Vpush_back +p7247 +tp7248 +a(g198 +V( +tp7249 +a(g18 +VactiveBeautifierStack +p7250 +tp7251 +a(g340 +V- +tp7252 +a(g340 +V> +tp7253 +a(g18 +Vsize +p7254 +tp7255 +a(g198 +V( +tp7256 +a(g198 +V) +tp7257 +a(g198 +V) +tp7258 +a(g198 +V; +tp7259 +a(g185 +V\u000a +tp7260 +a(g185 +V +p7261 +tp7262 +a(g18 +VwaitingBeautifierStack +p7263 +tp7264 +a(g340 +V- +tp7265 +a(g340 +V> +tp7266 +a(g18 +Vpush_back +p7267 +tp7268 +a(g198 +V( +tp7269 +a(g111 +Vnew +p7270 +tp7271 +a(g185 +V +tp7272 +a(g18 +VASBeautifier +p7273 +tp7274 +a(g198 +V( +tp7275 +a(g340 +V* +tp7276 +a(g111 +Vthis +p7277 +tp7278 +a(g198 +V) +tp7279 +a(g198 +V) +tp7280 +a(g198 +V; +tp7281 +a(g185 +V\u000a +tp7282 +a(g185 +V +p7283 +tp7284 +a(g198 +V} +tp7285 +a(g185 +V\u000a +tp7286 +a(g185 +V +p7287 +tp7288 +a(g111 +Velse +p7289 +tp7290 +a(g185 +V +tp7291 +a(g111 +Vif +p7292 +tp7293 +a(g185 +V +tp7294 +a(g198 +V( +tp7295 +a(g18 +Vpreproc +p7296 +tp7297 +a(g198 +V. +tp7298 +a(g18 +VCOMPARE +p7299 +tp7300 +a(g198 +V( +tp7301 +a(g315 +V0 +tp7302 +a(g198 +V, +tp7303 +a(g185 +V +tp7304 +a(g315 +V4 +tp7305 +a(g7 +V/*2*/ +p7306 +tp7307 +a(g198 +V, +tp7308 +a(g185 +V +tp7309 +a(g18 +Vstring +p7310 +tp7311 +a(g198 +V( +tp7312 +a(g222 +V" +tp7313 +a(g222 +Velse +p7314 +tp7315 +a(g222 +V" +tp7316 +a(g198 +V) +tp7317 +a(g198 +V) +tp7318 +a(g185 +V +tp7319 +a(g340 +V= +tp7320 +a(g340 +V= +tp7321 +a(g185 +V +tp7322 +a(g315 +V0 +tp7323 +a(g198 +V) +tp7324 +a(g185 +V\u000a +tp7325 +a(g185 +V +p7326 +tp7327 +a(g198 +V{ +tp7328 +a(g185 +V\u000a +tp7329 +a(g185 +V +p7330 +tp7331 +a(g111 +Vif +p7332 +tp7333 +a(g185 +V +tp7334 +a(g198 +V( +tp7335 +a(g340 +V! +tp7336 +a(g18 +VwaitingBeautifierStack +p7337 +tp7338 +a(g340 +V- +tp7339 +a(g340 +V> +tp7340 +a(g18 +Vempty +p7341 +tp7342 +a(g198 +V( +tp7343 +a(g198 +V) +tp7344 +a(g198 +V) +tp7345 +a(g185 +V\u000a +tp7346 +a(g185 +V +p7347 +tp7348 +a(g198 +V{ +tp7349 +a(g185 +V\u000a +tp7350 +a(g185 +V +p7351 +tp7352 +a(g354 +V// MOVE current waiting beautifier to active stack.\u000a +p7353 +tp7354 +a(g185 +V +p7355 +tp7356 +a(g18 +VactiveBeautifierStack +p7357 +tp7358 +a(g340 +V- +tp7359 +a(g340 +V> +tp7360 +a(g18 +Vpush_back +p7361 +tp7362 +a(g198 +V( +tp7363 +a(g18 +VwaitingBeautifierStack +p7364 +tp7365 +a(g340 +V- +tp7366 +a(g340 +V> +tp7367 +a(g18 +Vback +p7368 +tp7369 +a(g198 +V( +tp7370 +a(g198 +V) +tp7371 +a(g198 +V) +tp7372 +a(g198 +V; +tp7373 +a(g185 +V\u000a +tp7374 +a(g185 +V +p7375 +tp7376 +a(g18 +VwaitingBeautifierStack +p7377 +tp7378 +a(g340 +V- +tp7379 +a(g340 +V> +tp7380 +a(g18 +Vpop_back +p7381 +tp7382 +a(g198 +V( +tp7383 +a(g198 +V) +tp7384 +a(g198 +V; +tp7385 +a(g185 +V\u000a +tp7386 +a(g185 +V +p7387 +tp7388 +a(g198 +V} +tp7389 +a(g185 +V\u000a +tp7390 +a(g185 +V +p7391 +tp7392 +a(g198 +V} +tp7393 +a(g185 +V\u000a +tp7394 +a(g185 +V +p7395 +tp7396 +a(g111 +Velse +p7397 +tp7398 +a(g185 +V +tp7399 +a(g111 +Vif +p7400 +tp7401 +a(g185 +V +tp7402 +a(g198 +V( +tp7403 +a(g18 +Vpreproc +p7404 +tp7405 +a(g198 +V. +tp7406 +a(g18 +VCOMPARE +p7407 +tp7408 +a(g198 +V( +tp7409 +a(g315 +V0 +tp7410 +a(g198 +V, +tp7411 +a(g185 +V +tp7412 +a(g315 +V4 +tp7413 +a(g198 +V, +tp7414 +a(g185 +V +tp7415 +a(g18 +Vstring +p7416 +tp7417 +a(g198 +V( +tp7418 +a(g222 +V" +tp7419 +a(g222 +Velif +p7420 +tp7421 +a(g222 +V" +tp7422 +a(g198 +V) +tp7423 +a(g198 +V) +tp7424 +a(g185 +V +tp7425 +a(g340 +V= +tp7426 +a(g340 +V= +tp7427 +a(g185 +V +tp7428 +a(g315 +V0 +tp7429 +a(g198 +V) +tp7430 +a(g185 +V\u000a +tp7431 +a(g185 +V +p7432 +tp7433 +a(g198 +V{ +tp7434 +a(g185 +V\u000a +tp7435 +a(g185 +V +p7436 +tp7437 +a(g111 +Vif +p7438 +tp7439 +a(g185 +V +tp7440 +a(g198 +V( +tp7441 +a(g340 +V! +tp7442 +a(g18 +VwaitingBeautifierStack +p7443 +tp7444 +a(g340 +V- +tp7445 +a(g340 +V> +tp7446 +a(g18 +Vempty +p7447 +tp7448 +a(g198 +V( +tp7449 +a(g198 +V) +tp7450 +a(g198 +V) +tp7451 +a(g185 +V\u000a +tp7452 +a(g185 +V +p7453 +tp7454 +a(g198 +V{ +tp7455 +a(g185 +V\u000a +tp7456 +a(g185 +V +p7457 +tp7458 +a(g354 +V// append a COPY current waiting beautifier to active stack, WITHOUT deleting the original.\u000a +p7459 +tp7460 +a(g185 +V +p7461 +tp7462 +a(g18 +VactiveBeautifierStack +p7463 +tp7464 +a(g340 +V- +tp7465 +a(g340 +V> +tp7466 +a(g18 +Vpush_back +p7467 +tp7468 +a(g198 +V( +tp7469 +a(g185 +V +tp7470 +a(g111 +Vnew +p7471 +tp7472 +a(g185 +V +tp7473 +a(g18 +VASBeautifier +p7474 +tp7475 +a(g198 +V( +tp7476 +a(g185 +V +tp7477 +a(g340 +V* +tp7478 +a(g198 +V( +tp7479 +a(g18 +VwaitingBeautifierStack +p7480 +tp7481 +a(g340 +V- +tp7482 +a(g340 +V> +tp7483 +a(g18 +Vback +p7484 +tp7485 +a(g198 +V( +tp7486 +a(g198 +V) +tp7487 +a(g198 +V) +tp7488 +a(g185 +V +tp7489 +a(g198 +V) +tp7490 +a(g185 +V +tp7491 +a(g198 +V) +tp7492 +a(g198 +V; +tp7493 +a(g185 +V\u000a +tp7494 +a(g185 +V +p7495 +tp7496 +a(g198 +V} +tp7497 +a(g185 +V\u000a +tp7498 +a(g185 +V +p7499 +tp7500 +a(g198 +V} +tp7501 +a(g185 +V\u000a +tp7502 +a(g185 +V +p7503 +tp7504 +a(g111 +Velse +p7505 +tp7506 +a(g185 +V +tp7507 +a(g111 +Vif +p7508 +tp7509 +a(g185 +V +tp7510 +a(g198 +V( +tp7511 +a(g18 +Vpreproc +p7512 +tp7513 +a(g198 +V. +tp7514 +a(g18 +VCOMPARE +p7515 +tp7516 +a(g198 +V( +tp7517 +a(g315 +V0 +tp7518 +a(g198 +V, +tp7519 +a(g185 +V +tp7520 +a(g315 +V5 +tp7521 +a(g198 +V, +tp7522 +a(g185 +V +tp7523 +a(g18 +Vstring +p7524 +tp7525 +a(g198 +V( +tp7526 +a(g222 +V" +tp7527 +a(g222 +Vendif +p7528 +tp7529 +a(g222 +V" +tp7530 +a(g198 +V) +tp7531 +a(g198 +V) +tp7532 +a(g185 +V +tp7533 +a(g340 +V= +tp7534 +a(g340 +V= +tp7535 +a(g185 +V +tp7536 +a(g315 +V0 +tp7537 +a(g198 +V) +tp7538 +a(g185 +V\u000a +tp7539 +a(g185 +V +p7540 +tp7541 +a(g198 +V{ +tp7542 +a(g185 +V\u000a +tp7543 +a(g185 +V +p7544 +tp7545 +a(g135 +Vunsigned +p7546 +tp7547 +a(g185 +V +tp7548 +a(g135 +Vint +p7549 +tp7550 +a(g185 +V +tp7551 +a(g18 +VstackLength +p7552 +tp7553 +a(g198 +V; +tp7554 +a(g185 +V\u000a +tp7555 +a(g185 +V +p7556 +tp7557 +a(g18 +VASBeautifier +p7558 +tp7559 +a(g185 +V +tp7560 +a(g340 +V* +tp7561 +a(g18 +Vbeautifier +p7562 +tp7563 +a(g198 +V; +tp7564 +a(g185 +V\u000a +tp7565 +a(g185 +V\u000a +tp7566 +a(g185 +V +p7567 +tp7568 +a(g111 +Vif +p7569 +tp7570 +a(g185 +V +tp7571 +a(g198 +V( +tp7572 +a(g340 +V! +tp7573 +a(g18 +VwaitingBeautifierStackLengthStack +p7574 +tp7575 +a(g340 +V- +tp7576 +a(g340 +V> +tp7577 +a(g18 +Vempty +p7578 +tp7579 +a(g198 +V( +tp7580 +a(g198 +V) +tp7581 +a(g198 +V) +tp7582 +a(g185 +V\u000a +tp7583 +a(g185 +V +p7584 +tp7585 +a(g198 +V{ +tp7586 +a(g185 +V\u000a +tp7587 +a(g185 +V +p7588 +tp7589 +a(g18 +VstackLength +p7590 +tp7591 +a(g185 +V +tp7592 +a(g340 +V= +tp7593 +a(g185 +V +tp7594 +a(g18 +VwaitingBeautifierStackLengthStack +p7595 +tp7596 +a(g340 +V- +tp7597 +a(g340 +V> +tp7598 +a(g18 +Vback +p7599 +tp7600 +a(g198 +V( +tp7601 +a(g198 +V) +tp7602 +a(g198 +V; +tp7603 +a(g185 +V\u000a +tp7604 +a(g185 +V +p7605 +tp7606 +a(g18 +VwaitingBeautifierStackLengthStack +p7607 +tp7608 +a(g340 +V- +tp7609 +a(g340 +V> +tp7610 +a(g18 +Vpop_back +p7611 +tp7612 +a(g198 +V( +tp7613 +a(g198 +V) +tp7614 +a(g198 +V; +tp7615 +a(g185 +V\u000a +tp7616 +a(g185 +V +p7617 +tp7618 +a(g111 +Vwhile +p7619 +tp7620 +a(g185 +V +tp7621 +a(g198 +V( +tp7622 +a(g18 +VwaitingBeautifierStack +p7623 +tp7624 +a(g340 +V- +tp7625 +a(g340 +V> +tp7626 +a(g18 +Vsize +p7627 +tp7628 +a(g198 +V( +tp7629 +a(g198 +V) +tp7630 +a(g185 +V +tp7631 +a(g340 +V> +tp7632 +a(g185 +V +tp7633 +a(g18 +VstackLength +p7634 +tp7635 +a(g198 +V) +tp7636 +a(g185 +V\u000a +tp7637 +a(g185 +V +p7638 +tp7639 +a(g198 +V{ +tp7640 +a(g185 +V\u000a +tp7641 +a(g185 +V +p7642 +tp7643 +a(g18 +Vbeautifier +p7644 +tp7645 +a(g185 +V +tp7646 +a(g340 +V= +tp7647 +a(g185 +V +tp7648 +a(g18 +VwaitingBeautifierStack +p7649 +tp7650 +a(g340 +V- +tp7651 +a(g340 +V> +tp7652 +a(g18 +Vback +p7653 +tp7654 +a(g198 +V( +tp7655 +a(g198 +V) +tp7656 +a(g198 +V; +tp7657 +a(g185 +V\u000a +tp7658 +a(g185 +V +p7659 +tp7660 +a(g18 +VwaitingBeautifierStack +p7661 +tp7662 +a(g340 +V- +tp7663 +a(g340 +V> +tp7664 +a(g18 +Vpop_back +p7665 +tp7666 +a(g198 +V( +tp7667 +a(g198 +V) +tp7668 +a(g198 +V; +tp7669 +a(g185 +V\u000a +tp7670 +a(g185 +V +p7671 +tp7672 +a(g111 +Vdelete +p7673 +tp7674 +a(g185 +V +tp7675 +a(g18 +Vbeautifier +p7676 +tp7677 +a(g198 +V; +tp7678 +a(g185 +V\u000a +tp7679 +a(g185 +V +p7680 +tp7681 +a(g198 +V} +tp7682 +a(g185 +V\u000a +tp7683 +a(g185 +V +p7684 +tp7685 +a(g198 +V} +tp7686 +a(g185 +V\u000a +tp7687 +a(g185 +V\u000a +tp7688 +a(g185 +V +p7689 +tp7690 +a(g111 +Vif +p7691 +tp7692 +a(g185 +V +tp7693 +a(g198 +V( +tp7694 +a(g340 +V! +tp7695 +a(g18 +VactiveBeautifierStackLengthStack +p7696 +tp7697 +a(g340 +V- +tp7698 +a(g340 +V> +tp7699 +a(g18 +Vempty +p7700 +tp7701 +a(g198 +V( +tp7702 +a(g198 +V) +tp7703 +a(g198 +V) +tp7704 +a(g185 +V\u000a +tp7705 +a(g185 +V +p7706 +tp7707 +a(g198 +V{ +tp7708 +a(g185 +V\u000a +tp7709 +a(g185 +V +p7710 +tp7711 +a(g18 +VstackLength +p7712 +tp7713 +a(g185 +V +tp7714 +a(g340 +V= +tp7715 +a(g185 +V +tp7716 +a(g18 +VactiveBeautifierStackLengthStack +p7717 +tp7718 +a(g340 +V- +tp7719 +a(g340 +V> +tp7720 +a(g18 +Vback +p7721 +tp7722 +a(g198 +V( +tp7723 +a(g198 +V) +tp7724 +a(g198 +V; +tp7725 +a(g185 +V\u000a +tp7726 +a(g185 +V +p7727 +tp7728 +a(g18 +VactiveBeautifierStackLengthStack +p7729 +tp7730 +a(g340 +V- +tp7731 +a(g340 +V> +tp7732 +a(g18 +Vpop_back +p7733 +tp7734 +a(g198 +V( +tp7735 +a(g198 +V) +tp7736 +a(g198 +V; +tp7737 +a(g185 +V\u000a +tp7738 +a(g185 +V +p7739 +tp7740 +a(g111 +Vwhile +p7741 +tp7742 +a(g185 +V +tp7743 +a(g198 +V( +tp7744 +a(g18 +VactiveBeautifierStack +p7745 +tp7746 +a(g340 +V- +tp7747 +a(g340 +V> +tp7748 +a(g18 +Vsize +p7749 +tp7750 +a(g198 +V( +tp7751 +a(g198 +V) +tp7752 +a(g185 +V +tp7753 +a(g340 +V> +tp7754 +a(g185 +V +tp7755 +a(g18 +VstackLength +p7756 +tp7757 +a(g198 +V) +tp7758 +a(g185 +V\u000a +tp7759 +a(g185 +V +p7760 +tp7761 +a(g198 +V{ +tp7762 +a(g185 +V\u000a +tp7763 +a(g185 +V +p7764 +tp7765 +a(g18 +Vbeautifier +p7766 +tp7767 +a(g185 +V +tp7768 +a(g340 +V= +tp7769 +a(g185 +V +tp7770 +a(g18 +VactiveBeautifierStack +p7771 +tp7772 +a(g340 +V- +tp7773 +a(g340 +V> +tp7774 +a(g18 +Vback +p7775 +tp7776 +a(g198 +V( +tp7777 +a(g198 +V) +tp7778 +a(g198 +V; +tp7779 +a(g185 +V\u000a +tp7780 +a(g185 +V +p7781 +tp7782 +a(g18 +VactiveBeautifierStack +p7783 +tp7784 +a(g340 +V- +tp7785 +a(g340 +V> +tp7786 +a(g18 +Vpop_back +p7787 +tp7788 +a(g198 +V( +tp7789 +a(g198 +V) +tp7790 +a(g198 +V; +tp7791 +a(g185 +V\u000a +tp7792 +a(g185 +V +p7793 +tp7794 +a(g111 +Vdelete +p7795 +tp7796 +a(g185 +V +tp7797 +a(g18 +Vbeautifier +p7798 +tp7799 +a(g198 +V; +tp7800 +a(g185 +V\u000a +tp7801 +a(g185 +V +p7802 +tp7803 +a(g198 +V} +tp7804 +a(g185 +V\u000a +tp7805 +a(g185 +V +p7806 +tp7807 +a(g198 +V} +tp7808 +a(g185 +V\u000a +tp7809 +a(g185 +V\u000a +tp7810 +a(g185 +V\u000a +tp7811 +a(g185 +V +p7812 +tp7813 +a(g198 +V} +tp7814 +a(g185 +V\u000a +tp7815 +a(g185 +V +p7816 +tp7817 +a(g198 +V} +tp7818 +a(g185 +V\u000a +tp7819 +a(g185 +V\u000a +tp7820 +a(g185 +V +p7821 +tp7822 +a(g354 +V// check if the last char is a backslash\u000a +p7823 +tp7824 +a(g185 +V +p7825 +tp7826 +a(g111 +Vif +p7827 +tp7828 +a(g198 +V( +tp7829 +a(g18 +Vline +p7830 +tp7831 +a(g198 +V. +tp7832 +a(g18 +Vlength +p7833 +tp7834 +a(g198 +V( +tp7835 +a(g198 +V) +tp7836 +a(g185 +V +tp7837 +a(g340 +V> +tp7838 +a(g185 +V +tp7839 +a(g315 +V0 +tp7840 +a(g198 +V) +tp7841 +a(g185 +V\u000a +tp7842 +a(g185 +V +p7843 +tp7844 +a(g18 +VbackslashEndsPrevLine +p7845 +tp7846 +a(g185 +V +tp7847 +a(g340 +V= +tp7848 +a(g185 +V +tp7849 +a(g198 +V( +tp7850 +a(g18 +Vline +p7851 +tp7852 +a(g198 +V[ +tp7853 +a(g18 +Vline +p7854 +tp7855 +a(g198 +V. +tp7856 +a(g18 +Vlength +p7857 +tp7858 +a(g198 +V( +tp7859 +a(g198 +V) +tp7860 +a(g185 +V +tp7861 +a(g340 +V- +tp7862 +a(g185 +V +tp7863 +a(g315 +V1 +tp7864 +a(g198 +V] +tp7865 +a(g185 +V +tp7866 +a(g340 +V= +tp7867 +a(g340 +V= +tp7868 +a(g185 +V +tp7869 +a(g264 +V'\u005c\u005c' +p7870 +tp7871 +a(g198 +V) +tp7872 +a(g198 +V; +tp7873 +a(g185 +V\u000a +tp7874 +a(g185 +V +p7875 +tp7876 +a(g111 +Velse +p7877 +tp7878 +a(g185 +V\u000a +tp7879 +a(g185 +V +p7880 +tp7881 +a(g18 +VbackslashEndsPrevLine +p7882 +tp7883 +a(g185 +V +tp7884 +a(g340 +V= +tp7885 +a(g185 +V +tp7886 +a(g113 +Vfalse +p7887 +tp7888 +a(g198 +V; +tp7889 +a(g185 +V\u000a +tp7890 +a(g185 +V\u000a +tp7891 +a(g185 +V +p7892 +tp7893 +a(g354 +V// check if this line ends a multi-line #define\u000a +p7894 +tp7895 +a(g185 +V +p7896 +tp7897 +a(g354 +V// if so, use the #define's cloned beautifier for the line's indentation\u000a +p7898 +tp7899 +a(g185 +V +p7900 +tp7901 +a(g354 +V// and then remove it from the active beautifier stack and delete it.\u000a +p7902 +tp7903 +a(g185 +V +p7904 +tp7905 +a(g111 +Vif +p7906 +tp7907 +a(g185 +V +tp7908 +a(g198 +V( +tp7909 +a(g340 +V! +tp7910 +a(g18 +VbackslashEndsPrevLine +p7911 +tp7912 +a(g185 +V +tp7913 +a(g340 +V& +tp7914 +a(g340 +V& +tp7915 +a(g185 +V +tp7916 +a(g18 +VisInDefineDefinition +p7917 +tp7918 +a(g185 +V +tp7919 +a(g340 +V& +tp7920 +a(g340 +V& +tp7921 +a(g185 +V +tp7922 +a(g340 +V! +tp7923 +a(g18 +VisInDefine +p7924 +tp7925 +a(g198 +V) +tp7926 +a(g185 +V\u000a +tp7927 +a(g185 +V +p7928 +tp7929 +a(g198 +V{ +tp7930 +a(g185 +V\u000a +tp7931 +a(g185 +V +p7932 +tp7933 +a(g18 +Vstring +p7934 +tp7935 +a(g185 +V +tp7936 +a(g18 +VbeautifiedLine +p7937 +tp7938 +a(g198 +V; +tp7939 +a(g185 +V\u000a +tp7940 +a(g185 +V +p7941 +tp7942 +a(g18 +VASBeautifier +p7943 +tp7944 +a(g185 +V +tp7945 +a(g340 +V* +tp7946 +a(g18 +VdefineBeautifier +p7947 +tp7948 +a(g198 +V; +tp7949 +a(g185 +V\u000a +tp7950 +a(g185 +V\u000a +tp7951 +a(g185 +V +p7952 +tp7953 +a(g18 +VisInDefineDefinition +p7954 +tp7955 +a(g185 +V +tp7956 +a(g340 +V= +tp7957 +a(g185 +V +tp7958 +a(g113 +Vfalse +p7959 +tp7960 +a(g198 +V; +tp7961 +a(g185 +V\u000a +tp7962 +a(g185 +V +p7963 +tp7964 +a(g18 +VdefineBeautifier +p7965 +tp7966 +a(g185 +V +tp7967 +a(g340 +V= +tp7968 +a(g185 +V +tp7969 +a(g18 +VactiveBeautifierStack +p7970 +tp7971 +a(g340 +V- +tp7972 +a(g340 +V> +tp7973 +a(g18 +Vback +p7974 +tp7975 +a(g198 +V( +tp7976 +a(g198 +V) +tp7977 +a(g198 +V; +tp7978 +a(g185 +V\u000a +tp7979 +a(g185 +V +p7980 +tp7981 +a(g18 +VactiveBeautifierStack +p7982 +tp7983 +a(g340 +V- +tp7984 +a(g340 +V> +tp7985 +a(g18 +Vpop_back +p7986 +tp7987 +a(g198 +V( +tp7988 +a(g198 +V) +tp7989 +a(g198 +V; +tp7990 +a(g185 +V\u000a +tp7991 +a(g185 +V\u000a +tp7992 +a(g185 +V +p7993 +tp7994 +a(g18 +VbeautifiedLine +p7995 +tp7996 +a(g185 +V +tp7997 +a(g340 +V= +tp7998 +a(g185 +V +tp7999 +a(g18 +VdefineBeautifier +p8000 +tp8001 +a(g340 +V- +tp8002 +a(g340 +V> +tp8003 +a(g18 +Vbeautify +p8004 +tp8005 +a(g198 +V( +tp8006 +a(g18 +Vline +p8007 +tp8008 +a(g198 +V) +tp8009 +a(g198 +V; +tp8010 +a(g185 +V\u000a +tp8011 +a(g185 +V +p8012 +tp8013 +a(g111 +Vdelete +p8014 +tp8015 +a(g185 +V +tp8016 +a(g18 +VdefineBeautifier +p8017 +tp8018 +a(g198 +V; +tp8019 +a(g185 +V\u000a +tp8020 +a(g185 +V +p8021 +tp8022 +a(g111 +Vreturn +p8023 +tp8024 +a(g185 +V +tp8025 +a(g18 +VbeautifiedLine +p8026 +tp8027 +a(g198 +V; +tp8028 +a(g185 +V\u000a +tp8029 +a(g185 +V +p8030 +tp8031 +a(g198 +V} +tp8032 +a(g185 +V\u000a +tp8033 +a(g185 +V\u000a +tp8034 +a(g185 +V +p8035 +tp8036 +a(g354 +V// unless this is a multi-line #define, return this precompiler line as is.\u000a +p8037 +tp8038 +a(g185 +V +p8039 +tp8040 +a(g111 +Vif +p8041 +tp8042 +a(g185 +V +tp8043 +a(g198 +V( +tp8044 +a(g340 +V! +tp8045 +a(g18 +VisInDefine +p8046 +tp8047 +a(g185 +V +tp8048 +a(g340 +V& +tp8049 +a(g340 +V& +tp8050 +a(g185 +V +tp8051 +a(g340 +V! +tp8052 +a(g18 +VisInDefineDefinition +p8053 +tp8054 +a(g198 +V) +tp8055 +a(g185 +V\u000a +tp8056 +a(g185 +V +p8057 +tp8058 +a(g111 +Vreturn +p8059 +tp8060 +a(g185 +V +tp8061 +a(g18 +VoriginalLine +p8062 +tp8063 +a(g198 +V; +tp8064 +a(g185 +V\u000a +tp8065 +a(g185 +V +p8066 +tp8067 +a(g198 +V} +tp8068 +a(g185 +V\u000a +tp8069 +a(g185 +V\u000a +tp8070 +a(g185 +V +p8071 +tp8072 +a(g354 +V// if there exists any worker beautifier in the activeBeautifierStack,\u000a +p8073 +tp8074 +a(g185 +V +p8075 +tp8076 +a(g354 +V// then use it instead of me to indent the current line.\u000a +p8077 +tp8078 +a(g185 +V +p8079 +tp8080 +a(g111 +Vif +p8081 +tp8082 +a(g185 +V +tp8083 +a(g198 +V( +tp8084 +a(g340 +V! +tp8085 +a(g18 +VisInDefine +p8086 +tp8087 +a(g185 +V +tp8088 +a(g340 +V& +tp8089 +a(g340 +V& +tp8090 +a(g185 +V +tp8091 +a(g18 +VactiveBeautifierStack +p8092 +tp8093 +a(g185 +V +tp8094 +a(g340 +V! +tp8095 +a(g340 +V= +tp8096 +a(g185 +V +tp8097 +a(g57 +VNULL +p8098 +tp8099 +a(g185 +V +tp8100 +a(g340 +V& +tp8101 +a(g340 +V& +tp8102 +a(g185 +V +tp8103 +a(g340 +V! +tp8104 +a(g18 +VactiveBeautifierStack +p8105 +tp8106 +a(g340 +V- +tp8107 +a(g340 +V> +tp8108 +a(g18 +Vempty +p8109 +tp8110 +a(g198 +V( +tp8111 +a(g198 +V) +tp8112 +a(g198 +V) +tp8113 +a(g185 +V\u000a +tp8114 +a(g185 +V +p8115 +tp8116 +a(g198 +V{ +tp8117 +a(g185 +V\u000a +tp8118 +a(g185 +V +p8119 +tp8120 +a(g111 +Vreturn +p8121 +tp8122 +a(g185 +V +tp8123 +a(g18 +VactiveBeautifierStack +p8124 +tp8125 +a(g340 +V- +tp8126 +a(g340 +V> +tp8127 +a(g18 +Vback +p8128 +tp8129 +a(g198 +V( +tp8130 +a(g198 +V) +tp8131 +a(g340 +V- +tp8132 +a(g340 +V> +tp8133 +a(g18 +Vbeautify +p8134 +tp8135 +a(g198 +V( +tp8136 +a(g18 +Vline +p8137 +tp8138 +a(g198 +V) +tp8139 +a(g198 +V; +tp8140 +a(g185 +V\u000a +tp8141 +a(g185 +V +p8142 +tp8143 +a(g198 +V} +tp8144 +a(g185 +V\u000a +tp8145 +a(g185 +V\u000a +tp8146 +a(g185 +V +p8147 +tp8148 +a(g354 +V// calculate preliminary indentation based on data from past lines\u000a +p8149 +tp8150 +a(g185 +V +p8151 +tp8152 +a(g111 +Vif +p8153 +tp8154 +a(g185 +V +tp8155 +a(g198 +V( +tp8156 +a(g340 +V! +tp8157 +a(g18 +VinStatementIndentStack +p8158 +tp8159 +a(g340 +V- +tp8160 +a(g340 +V> +tp8161 +a(g18 +Vempty +p8162 +tp8163 +a(g198 +V( +tp8164 +a(g198 +V) +tp8165 +a(g198 +V) +tp8166 +a(g185 +V\u000a +tp8167 +a(g185 +V +p8168 +tp8169 +a(g18 +VspaceTabCount +p8170 +tp8171 +a(g185 +V +tp8172 +a(g340 +V= +tp8173 +a(g185 +V +tp8174 +a(g18 +VinStatementIndentStack +p8175 +tp8176 +a(g340 +V- +tp8177 +a(g340 +V> +tp8178 +a(g18 +Vback +p8179 +tp8180 +a(g198 +V( +tp8181 +a(g198 +V) +tp8182 +a(g198 +V; +tp8183 +a(g185 +V\u000a +tp8184 +a(g185 +V\u000a +tp8185 +a(g185 +V\u000a +tp8186 +a(g185 +V +p8187 +tp8188 +a(g111 +Vfor +p8189 +tp8190 +a(g185 +V +tp8191 +a(g198 +V( +tp8192 +a(g18 +Vi +tp8193 +a(g340 +V= +tp8194 +a(g315 +V0 +tp8195 +a(g198 +V; +tp8196 +a(g185 +V +tp8197 +a(g18 +Vi +tp8198 +a(g340 +V< +tp8199 +a(g18 +VheaderStackSize +p8200 +tp8201 +a(g198 +V; +tp8202 +a(g185 +V +tp8203 +a(g18 +Vi +tp8204 +a(g340 +V+ +tp8205 +a(g340 +V+ +tp8206 +a(g198 +V) +tp8207 +a(g185 +V\u000a +tp8208 +a(g185 +V +p8209 +tp8210 +a(g198 +V{ +tp8211 +a(g185 +V\u000a +tp8212 +a(g185 +V +p8213 +tp8214 +a(g18 +VisInClass +p8215 +tp8216 +a(g185 +V +tp8217 +a(g340 +V= +tp8218 +a(g185 +V +tp8219 +a(g113 +Vfalse +p8220 +tp8221 +a(g198 +V; +tp8222 +a(g185 +V\u000a +tp8223 +a(g185 +V\u000a +tp8224 +a(g185 +V +p8225 +tp8226 +a(g111 +Vif +p8227 +tp8228 +a(g185 +V +tp8229 +a(g198 +V( +tp8230 +a(g18 +VblockIndent +p8231 +tp8232 +a(g185 +V +tp8233 +a(g340 +V| +tp8234 +a(g340 +V| +tp8235 +a(g185 +V +tp8236 +a(g198 +V( +tp8237 +a(g340 +V! +tp8238 +a(g198 +V( +tp8239 +a(g18 +Vi +tp8240 +a(g340 +V> +tp8241 +a(g315 +V0 +tp8242 +a(g185 +V +tp8243 +a(g340 +V& +tp8244 +a(g340 +V& +tp8245 +a(g185 +V +tp8246 +a(g198 +V( +tp8247 +a(g340 +V* +tp8248 +a(g18 +VheaderStack +p8249 +tp8250 +a(g198 +V) +tp8251 +a(g198 +V[ +tp8252 +a(g18 +Vi +tp8253 +a(g340 +V- +tp8254 +a(g315 +V1 +tp8255 +a(g198 +V] +tp8256 +a(g185 +V +tp8257 +a(g340 +V! +tp8258 +a(g340 +V= +tp8259 +a(g185 +V +tp8260 +a(g340 +V& +tp8261 +a(g18 +VAS_OPEN_BRACKET +p8262 +tp8263 +a(g185 +V\u000a +tp8264 +a(g185 +V +p8265 +tp8266 +a(g340 +V& +tp8267 +a(g340 +V& +tp8268 +a(g185 +V +tp8269 +a(g198 +V( +tp8270 +a(g340 +V* +tp8271 +a(g18 +VheaderStack +p8272 +tp8273 +a(g198 +V) +tp8274 +a(g198 +V[ +tp8275 +a(g18 +Vi +tp8276 +a(g198 +V] +tp8277 +a(g185 +V +tp8278 +a(g340 +V= +tp8279 +a(g340 +V= +tp8280 +a(g185 +V +tp8281 +a(g340 +V& +tp8282 +a(g18 +VAS_OPEN_BRACKET +p8283 +tp8284 +a(g198 +V) +tp8285 +a(g198 +V) +tp8286 +a(g198 +V) +tp8287 +a(g185 +V\u000a +tp8288 +a(g185 +V +p8289 +tp8290 +a(g340 +V+ +tp8291 +a(g340 +V+ +tp8292 +a(g18 +VtabCount +p8293 +tp8294 +a(g198 +V; +tp8295 +a(g185 +V\u000a +tp8296 +a(g185 +V\u000a +tp8297 +a(g185 +V +p8298 +tp8299 +a(g111 +Vif +p8300 +tp8301 +a(g185 +V +tp8302 +a(g198 +V( +tp8303 +a(g18 +VisCStyle +p8304 +tp8305 +a(g185 +V +tp8306 +a(g340 +V& +tp8307 +a(g340 +V& +tp8308 +a(g185 +V +tp8309 +a(g340 +V! +tp8310 +a(g18 +VnamespaceIndent +p8311 +tp8312 +a(g185 +V +tp8313 +a(g340 +V& +tp8314 +a(g340 +V& +tp8315 +a(g185 +V +tp8316 +a(g18 +Vi +tp8317 +a(g185 +V +tp8318 +a(g340 +V> +tp8319 +a(g340 +V= +tp8320 +a(g185 +V +tp8321 +a(g315 +V1 +tp8322 +a(g185 +V\u000a +tp8323 +a(g185 +V +p8324 +tp8325 +a(g340 +V& +tp8326 +a(g340 +V& +tp8327 +a(g185 +V +tp8328 +a(g198 +V( +tp8329 +a(g340 +V* +tp8330 +a(g18 +VheaderStack +p8331 +tp8332 +a(g198 +V) +tp8333 +a(g198 +V[ +tp8334 +a(g18 +Vi +tp8335 +a(g340 +V- +tp8336 +a(g315 +V1 +tp8337 +a(g198 +V] +tp8338 +a(g185 +V +tp8339 +a(g340 +V= +tp8340 +a(g340 +V= +tp8341 +a(g185 +V +tp8342 +a(g340 +V& +tp8343 +a(g18 +VAS_NAMESPACE +p8344 +tp8345 +a(g185 +V\u000a +tp8346 +a(g185 +V +p8347 +tp8348 +a(g340 +V& +tp8349 +a(g340 +V& +tp8350 +a(g185 +V +tp8351 +a(g198 +V( +tp8352 +a(g340 +V* +tp8353 +a(g18 +VheaderStack +p8354 +tp8355 +a(g198 +V) +tp8356 +a(g198 +V[ +tp8357 +a(g18 +Vi +tp8358 +a(g198 +V] +tp8359 +a(g185 +V +tp8360 +a(g340 +V= +tp8361 +a(g340 +V= +tp8362 +a(g185 +V +tp8363 +a(g340 +V& +tp8364 +a(g18 +VAS_OPEN_BRACKET +p8365 +tp8366 +a(g198 +V) +tp8367 +a(g185 +V\u000a +tp8368 +a(g185 +V +p8369 +tp8370 +a(g340 +V- +tp8371 +a(g340 +V- +tp8372 +a(g18 +VtabCount +p8373 +tp8374 +a(g198 +V; +tp8375 +a(g185 +V\u000a +tp8376 +a(g185 +V\u000a +tp8377 +a(g185 +V +p8378 +tp8379 +a(g111 +Vif +p8380 +tp8381 +a(g185 +V +tp8382 +a(g198 +V( +tp8383 +a(g18 +VisCStyle +p8384 +tp8385 +a(g185 +V +tp8386 +a(g340 +V& +tp8387 +a(g340 +V& +tp8388 +a(g185 +V +tp8389 +a(g18 +Vi +tp8390 +a(g185 +V +tp8391 +a(g340 +V> +tp8392 +a(g340 +V= +tp8393 +a(g185 +V +tp8394 +a(g315 +V1 +tp8395 +a(g185 +V\u000a +tp8396 +a(g185 +V +p8397 +tp8398 +a(g340 +V& +tp8399 +a(g340 +V& +tp8400 +a(g185 +V +tp8401 +a(g198 +V( +tp8402 +a(g340 +V* +tp8403 +a(g18 +VheaderStack +p8404 +tp8405 +a(g198 +V) +tp8406 +a(g198 +V[ +tp8407 +a(g18 +Vi +tp8408 +a(g340 +V- +tp8409 +a(g315 +V1 +tp8410 +a(g198 +V] +tp8411 +a(g185 +V +tp8412 +a(g340 +V= +tp8413 +a(g340 +V= +tp8414 +a(g185 +V +tp8415 +a(g340 +V& +tp8416 +a(g18 +VAS_CLASS +p8417 +tp8418 +a(g185 +V\u000a +tp8419 +a(g185 +V +p8420 +tp8421 +a(g340 +V& +tp8422 +a(g340 +V& +tp8423 +a(g185 +V +tp8424 +a(g198 +V( +tp8425 +a(g340 +V* +tp8426 +a(g18 +VheaderStack +p8427 +tp8428 +a(g198 +V) +tp8429 +a(g198 +V[ +tp8430 +a(g18 +Vi +tp8431 +a(g198 +V] +tp8432 +a(g185 +V +tp8433 +a(g340 +V= +tp8434 +a(g340 +V= +tp8435 +a(g185 +V +tp8436 +a(g340 +V& +tp8437 +a(g18 +VAS_OPEN_BRACKET +p8438 +tp8439 +a(g185 +V +tp8440 +a(g198 +V) +tp8441 +a(g185 +V\u000a +tp8442 +a(g185 +V +p8443 +tp8444 +a(g198 +V{ +tp8445 +a(g185 +V\u000a +tp8446 +a(g185 +V +p8447 +tp8448 +a(g111 +Vif +p8449 +tp8450 +a(g185 +V +tp8451 +a(g198 +V( +tp8452 +a(g18 +VclassIndent +p8453 +tp8454 +a(g198 +V) +tp8455 +a(g185 +V\u000a +tp8456 +a(g185 +V +p8457 +tp8458 +a(g340 +V+ +tp8459 +a(g340 +V+ +tp8460 +a(g18 +VtabCount +p8461 +tp8462 +a(g198 +V; +tp8463 +a(g185 +V\u000a +tp8464 +a(g185 +V +p8465 +tp8466 +a(g18 +VisInClass +p8467 +tp8468 +a(g185 +V +tp8469 +a(g340 +V= +tp8470 +a(g185 +V +tp8471 +a(g113 +Vtrue +p8472 +tp8473 +a(g198 +V; +tp8474 +a(g185 +V\u000a +tp8475 +a(g185 +V +p8476 +tp8477 +a(g198 +V} +tp8478 +a(g185 +V\u000a +tp8479 +a(g185 +V\u000a +tp8480 +a(g185 +V +p8481 +tp8482 +a(g354 +V// is the switchIndent option is on, indent switch statements an additional indent.\u000a +p8483 +tp8484 +a(g185 +V +p8485 +tp8486 +a(g111 +Velse +p8487 +tp8488 +a(g185 +V +tp8489 +a(g111 +Vif +p8490 +tp8491 +a(g185 +V +tp8492 +a(g198 +V( +tp8493 +a(g18 +VswitchIndent +p8494 +tp8495 +a(g185 +V +tp8496 +a(g340 +V& +tp8497 +a(g340 +V& +tp8498 +a(g185 +V +tp8499 +a(g18 +Vi +tp8500 +a(g185 +V +tp8501 +a(g340 +V> +tp8502 +a(g185 +V +tp8503 +a(g315 +V1 +tp8504 +a(g185 +V +tp8505 +a(g340 +V& +tp8506 +a(g340 +V& +tp8507 +a(g185 +V\u000a +tp8508 +a(g185 +V +p8509 +tp8510 +a(g198 +V( +tp8511 +a(g340 +V* +tp8512 +a(g18 +VheaderStack +p8513 +tp8514 +a(g198 +V) +tp8515 +a(g198 +V[ +tp8516 +a(g18 +Vi +tp8517 +a(g340 +V- +tp8518 +a(g315 +V1 +tp8519 +a(g198 +V] +tp8520 +a(g185 +V +tp8521 +a(g340 +V= +tp8522 +a(g340 +V= +tp8523 +a(g185 +V +tp8524 +a(g340 +V& +tp8525 +a(g18 +VAS_SWITCH +p8526 +tp8527 +a(g185 +V +tp8528 +a(g340 +V& +tp8529 +a(g340 +V& +tp8530 +a(g185 +V\u000a +tp8531 +a(g185 +V +p8532 +tp8533 +a(g198 +V( +tp8534 +a(g340 +V* +tp8535 +a(g18 +VheaderStack +p8536 +tp8537 +a(g198 +V) +tp8538 +a(g198 +V[ +tp8539 +a(g18 +Vi +tp8540 +a(g198 +V] +tp8541 +a(g185 +V +tp8542 +a(g340 +V= +tp8543 +a(g340 +V= +tp8544 +a(g185 +V +tp8545 +a(g340 +V& +tp8546 +a(g18 +VAS_OPEN_BRACKET +p8547 +tp8548 +a(g185 +V\u000a +tp8549 +a(g185 +V +p8550 +tp8551 +a(g198 +V) +tp8552 +a(g185 +V\u000a +tp8553 +a(g185 +V +p8554 +tp8555 +a(g198 +V{ +tp8556 +a(g185 +V\u000a +tp8557 +a(g185 +V +p8558 +tp8559 +a(g340 +V+ +tp8560 +a(g340 +V+ +tp8561 +a(g18 +VtabCount +p8562 +tp8563 +a(g198 +V; +tp8564 +a(g185 +V\u000a +tp8565 +a(g185 +V +p8566 +tp8567 +a(g18 +VisInSwitch +p8568 +tp8569 +a(g185 +V +tp8570 +a(g340 +V= +tp8571 +a(g185 +V +tp8572 +a(g113 +Vtrue +p8573 +tp8574 +a(g198 +V; +tp8575 +a(g185 +V\u000a +tp8576 +a(g185 +V +p8577 +tp8578 +a(g198 +V} +tp8579 +a(g185 +V\u000a +tp8580 +a(g185 +V\u000a +tp8581 +a(g185 +V +p8582 +tp8583 +a(g198 +V} +tp8584 +a(g185 +V\u000a +tp8585 +a(g185 +V\u000a +tp8586 +a(g185 +V +p8587 +tp8588 +a(g111 +Vif +p8589 +tp8590 +a(g185 +V +tp8591 +a(g198 +V( +tp8592 +a(g340 +V! +tp8593 +a(g18 +VlineStartsInComment +p8594 +tp8595 +a(g185 +V\u000a +tp8596 +a(g185 +V +p8597 +tp8598 +a(g340 +V& +tp8599 +a(g340 +V& +tp8600 +a(g185 +V +tp8601 +a(g18 +VisCStyle +p8602 +tp8603 +a(g185 +V\u000a +tp8604 +a(g185 +V +p8605 +tp8606 +a(g340 +V& +tp8607 +a(g340 +V& +tp8608 +a(g185 +V +tp8609 +a(g18 +VisInClass +p8610 +tp8611 +a(g185 +V\u000a +tp8612 +a(g185 +V +p8613 +tp8614 +a(g340 +V& +tp8615 +a(g340 +V& +tp8616 +a(g185 +V +tp8617 +a(g18 +VclassIndent +p8618 +tp8619 +a(g185 +V\u000a +tp8620 +a(g185 +V +p8621 +tp8622 +a(g340 +V& +tp8623 +a(g340 +V& +tp8624 +a(g185 +V +tp8625 +a(g18 +VheaderStackSize +p8626 +tp8627 +a(g185 +V +tp8628 +a(g340 +V> +tp8629 +a(g340 +V= +tp8630 +a(g185 +V +tp8631 +a(g315 +V2 +tp8632 +a(g185 +V\u000a +tp8633 +a(g185 +V +p8634 +tp8635 +a(g340 +V& +tp8636 +a(g340 +V& +tp8637 +a(g198 +V( +tp8638 +a(g340 +V* +tp8639 +a(g18 +VheaderStack +p8640 +tp8641 +a(g198 +V) +tp8642 +a(g198 +V[ +tp8643 +a(g18 +VheaderStackSize +p8644 +tp8645 +a(g340 +V- +tp8646 +a(g315 +V2 +tp8647 +a(g198 +V] +tp8648 +a(g185 +V +tp8649 +a(g340 +V= +tp8650 +a(g340 +V= +tp8651 +a(g185 +V +tp8652 +a(g340 +V& +tp8653 +a(g18 +VAS_CLASS +p8654 +tp8655 +a(g185 +V\u000a +tp8656 +a(g185 +V +p8657 +tp8658 +a(g340 +V& +tp8659 +a(g340 +V& +tp8660 +a(g185 +V +tp8661 +a(g198 +V( +tp8662 +a(g340 +V* +tp8663 +a(g18 +VheaderStack +p8664 +tp8665 +a(g198 +V) +tp8666 +a(g198 +V[ +tp8667 +a(g18 +VheaderStackSize +p8668 +tp8669 +a(g340 +V- +tp8670 +a(g315 +V1 +tp8671 +a(g198 +V] +tp8672 +a(g185 +V +tp8673 +a(g340 +V= +tp8674 +a(g340 +V= +tp8675 +a(g185 +V +tp8676 +a(g340 +V& +tp8677 +a(g18 +VAS_OPEN_BRACKET +p8678 +tp8679 +a(g185 +V\u000a +tp8680 +a(g185 +V +p8681 +tp8682 +a(g340 +V& +tp8683 +a(g340 +V& +tp8684 +a(g185 +V +tp8685 +a(g18 +Vline +p8686 +tp8687 +a(g198 +V[ +tp8688 +a(g315 +V0 +tp8689 +a(g198 +V] +tp8690 +a(g185 +V +tp8691 +a(g340 +V= +tp8692 +a(g340 +V= +tp8693 +a(g185 +V +tp8694 +a(g264 +V'}' +p8695 +tp8696 +a(g198 +V) +tp8697 +a(g185 +V\u000a +tp8698 +a(g185 +V +p8699 +tp8700 +a(g340 +V- +tp8701 +a(g340 +V- +tp8702 +a(g18 +VtabCount +p8703 +tp8704 +a(g198 +V; +tp8705 +a(g185 +V\u000a +tp8706 +a(g185 +V\u000a +tp8707 +a(g185 +V +p8708 +tp8709 +a(g111 +Velse +p8710 +tp8711 +a(g185 +V +tp8712 +a(g111 +Vif +p8713 +tp8714 +a(g185 +V +tp8715 +a(g198 +V( +tp8716 +a(g340 +V! +tp8717 +a(g18 +VlineStartsInComment +p8718 +tp8719 +a(g185 +V\u000a +tp8720 +a(g185 +V +p8721 +tp8722 +a(g340 +V& +tp8723 +a(g340 +V& +tp8724 +a(g185 +V +tp8725 +a(g18 +VisInSwitch +p8726 +tp8727 +a(g185 +V\u000a +tp8728 +a(g185 +V +p8729 +tp8730 +a(g340 +V& +tp8731 +a(g340 +V& +tp8732 +a(g185 +V +tp8733 +a(g18 +VswitchIndent +p8734 +tp8735 +a(g185 +V\u000a +tp8736 +a(g185 +V +p8737 +tp8738 +a(g340 +V& +tp8739 +a(g340 +V& +tp8740 +a(g185 +V +tp8741 +a(g18 +VheaderStackSize +p8742 +tp8743 +a(g185 +V +tp8744 +a(g340 +V> +tp8745 +a(g340 +V= +tp8746 +a(g185 +V +tp8747 +a(g315 +V2 +tp8748 +a(g185 +V\u000a +tp8749 +a(g185 +V +p8750 +tp8751 +a(g340 +V& +tp8752 +a(g340 +V& +tp8753 +a(g185 +V +tp8754 +a(g198 +V( +tp8755 +a(g340 +V* +tp8756 +a(g18 +VheaderStack +p8757 +tp8758 +a(g198 +V) +tp8759 +a(g198 +V[ +tp8760 +a(g18 +VheaderStackSize +p8761 +tp8762 +a(g340 +V- +tp8763 +a(g315 +V2 +tp8764 +a(g198 +V] +tp8765 +a(g185 +V +tp8766 +a(g340 +V= +tp8767 +a(g340 +V= +tp8768 +a(g185 +V +tp8769 +a(g340 +V& +tp8770 +a(g18 +VAS_SWITCH +p8771 +tp8772 +a(g185 +V\u000a +tp8773 +a(g185 +V +p8774 +tp8775 +a(g340 +V& +tp8776 +a(g340 +V& +tp8777 +a(g185 +V +tp8778 +a(g198 +V( +tp8779 +a(g340 +V* +tp8780 +a(g18 +VheaderStack +p8781 +tp8782 +a(g198 +V) +tp8783 +a(g198 +V[ +tp8784 +a(g18 +VheaderStackSize +p8785 +tp8786 +a(g340 +V- +tp8787 +a(g315 +V1 +tp8788 +a(g198 +V] +tp8789 +a(g185 +V +tp8790 +a(g340 +V= +tp8791 +a(g340 +V= +tp8792 +a(g185 +V +tp8793 +a(g340 +V& +tp8794 +a(g18 +VAS_OPEN_BRACKET +p8795 +tp8796 +a(g185 +V\u000a +tp8797 +a(g185 +V +p8798 +tp8799 +a(g340 +V& +tp8800 +a(g340 +V& +tp8801 +a(g185 +V +tp8802 +a(g18 +Vline +p8803 +tp8804 +a(g198 +V[ +tp8805 +a(g315 +V0 +tp8806 +a(g198 +V] +tp8807 +a(g185 +V +tp8808 +a(g340 +V= +tp8809 +a(g340 +V= +tp8810 +a(g185 +V +tp8811 +a(g264 +V'}' +p8812 +tp8813 +a(g198 +V) +tp8814 +a(g185 +V\u000a +tp8815 +a(g185 +V +p8816 +tp8817 +a(g340 +V- +tp8818 +a(g340 +V- +tp8819 +a(g18 +VtabCount +p8820 +tp8821 +a(g198 +V; +tp8822 +a(g185 +V\u000a +tp8823 +a(g185 +V\u000a +tp8824 +a(g185 +V +p8825 +tp8826 +a(g111 +Vif +p8827 +tp8828 +a(g185 +V +tp8829 +a(g198 +V( +tp8830 +a(g18 +VisInClassHeader +p8831 +tp8832 +a(g198 +V) +tp8833 +a(g185 +V\u000a +tp8834 +a(g185 +V +p8835 +tp8836 +a(g198 +V{ +tp8837 +a(g185 +V\u000a +tp8838 +a(g185 +V +p8839 +tp8840 +a(g18 +VisInClassHeaderTab +p8841 +tp8842 +a(g185 +V +tp8843 +a(g340 +V= +tp8844 +a(g185 +V +tp8845 +a(g113 +Vtrue +p8846 +tp8847 +a(g198 +V; +tp8848 +a(g185 +V\u000a +tp8849 +a(g185 +V +p8850 +tp8851 +a(g18 +VtabCount +p8852 +tp8853 +a(g185 +V +tp8854 +a(g340 +V+ +tp8855 +a(g340 +V= +tp8856 +a(g185 +V +tp8857 +a(g315 +V2 +tp8858 +a(g198 +V; +tp8859 +a(g185 +V\u000a +tp8860 +a(g185 +V +p8861 +tp8862 +a(g198 +V} +tp8863 +a(g185 +V\u000a +tp8864 +a(g185 +V\u000a +tp8865 +a(g185 +V +p8866 +tp8867 +a(g111 +Vif +p8868 +tp8869 +a(g185 +V +tp8870 +a(g198 +V( +tp8871 +a(g18 +VisInConditional +p8872 +tp8873 +a(g198 +V) +tp8874 +a(g185 +V\u000a +tp8875 +a(g185 +V +p8876 +tp8877 +a(g198 +V{ +tp8878 +a(g185 +V\u000a +tp8879 +a(g185 +V +p8880 +tp8881 +a(g340 +V- +tp8882 +a(g340 +V- +tp8883 +a(g18 +VtabCount +p8884 +tp8885 +a(g198 +V; +tp8886 +a(g185 +V\u000a +tp8887 +a(g185 +V +p8888 +tp8889 +a(g198 +V} +tp8890 +a(g185 +V\u000a +tp8891 +a(g185 +V\u000a +tp8892 +a(g185 +V\u000a +tp8893 +a(g185 +V +p8894 +tp8895 +a(g354 +V// parse characters in the current line.\u000a +p8896 +tp8897 +a(g185 +V\u000a +tp8898 +a(g185 +V +p8899 +tp8900 +a(g111 +Vfor +p8901 +tp8902 +a(g185 +V +tp8903 +a(g198 +V( +tp8904 +a(g18 +Vi +tp8905 +a(g340 +V= +tp8906 +a(g315 +V0 +tp8907 +a(g198 +V; +tp8908 +a(g185 +V +tp8909 +a(g18 +Vi +tp8910 +a(g340 +V< +tp8911 +a(g18 +Vline +p8912 +tp8913 +a(g198 +V. +tp8914 +a(g18 +Vlength +p8915 +tp8916 +a(g198 +V( +tp8917 +a(g198 +V) +tp8918 +a(g198 +V; +tp8919 +a(g185 +V +tp8920 +a(g18 +Vi +tp8921 +a(g340 +V+ +tp8922 +a(g340 +V+ +tp8923 +a(g198 +V) +tp8924 +a(g185 +V\u000a +tp8925 +a(g185 +V +p8926 +tp8927 +a(g198 +V{ +tp8928 +a(g185 +V\u000a +tp8929 +a(g185 +V +p8930 +tp8931 +a(g18 +VtempCh +p8932 +tp8933 +a(g185 +V +tp8934 +a(g340 +V= +tp8935 +a(g185 +V +tp8936 +a(g18 +Vline +p8937 +tp8938 +a(g198 +V[ +tp8939 +a(g18 +Vi +tp8940 +a(g198 +V] +tp8941 +a(g198 +V; +tp8942 +a(g185 +V\u000a +tp8943 +a(g185 +V\u000a +tp8944 +a(g185 +V +p8945 +tp8946 +a(g18 +VprevCh +p8947 +tp8948 +a(g185 +V +tp8949 +a(g340 +V= +tp8950 +a(g185 +V +tp8951 +a(g18 +Vch +p8952 +tp8953 +a(g198 +V; +tp8954 +a(g185 +V\u000a +tp8955 +a(g185 +V +p8956 +tp8957 +a(g18 +Vch +p8958 +tp8959 +a(g185 +V +tp8960 +a(g340 +V= +tp8961 +a(g185 +V +tp8962 +a(g18 +VtempCh +p8963 +tp8964 +a(g198 +V; +tp8965 +a(g185 +V\u000a +tp8966 +a(g185 +V\u000a +tp8967 +a(g185 +V +p8968 +tp8969 +a(g18 +VoutBuffer +p8970 +tp8971 +a(g198 +V. +tp8972 +a(g18 +Vappend +p8973 +tp8974 +a(g198 +V( +tp8975 +a(g315 +V1 +tp8976 +a(g198 +V, +tp8977 +a(g185 +V +tp8978 +a(g18 +Vch +p8979 +tp8980 +a(g198 +V) +tp8981 +a(g198 +V; +tp8982 +a(g185 +V\u000a +tp8983 +a(g185 +V\u000a +tp8984 +a(g185 +V +p8985 +tp8986 +a(g111 +Vif +p8987 +tp8988 +a(g185 +V +tp8989 +a(g198 +V( +tp8990 +a(g18 +VisWhiteSpace +p8991 +tp8992 +a(g198 +V( +tp8993 +a(g18 +Vch +p8994 +tp8995 +a(g198 +V) +tp8996 +a(g198 +V) +tp8997 +a(g185 +V\u000a +tp8998 +a(g185 +V +p8999 +tp9000 +a(g111 +Vcontinue +p9001 +tp9002 +a(g198 +V; +tp9003 +a(g185 +V\u000a +tp9004 +a(g185 +V\u000a +tp9005 +a(g185 +V\u000a +tp9006 +a(g185 +V +p9007 +tp9008 +a(g354 +V// handle special characters (i.e. backslash+character such as \u005cn, \u005ct, ...)\u000a +p9009 +tp9010 +a(g185 +V +p9011 +tp9012 +a(g111 +Vif +p9013 +tp9014 +a(g185 +V +tp9015 +a(g198 +V( +tp9016 +a(g18 +VisSpecialChar +p9017 +tp9018 +a(g198 +V) +tp9019 +a(g185 +V\u000a +tp9020 +a(g185 +V +p9021 +tp9022 +a(g198 +V{ +tp9023 +a(g185 +V\u000a +tp9024 +a(g185 +V +p9025 +tp9026 +a(g18 +VisSpecialChar +p9027 +tp9028 +a(g185 +V +tp9029 +a(g340 +V= +tp9030 +a(g185 +V +tp9031 +a(g113 +Vfalse +p9032 +tp9033 +a(g198 +V; +tp9034 +a(g185 +V\u000a +tp9035 +a(g185 +V +p9036 +tp9037 +a(g111 +Vcontinue +p9038 +tp9039 +a(g198 +V; +tp9040 +a(g185 +V\u000a +tp9041 +a(g185 +V +p9042 +tp9043 +a(g198 +V} +tp9044 +a(g185 +V\u000a +tp9045 +a(g185 +V +p9046 +tp9047 +a(g111 +Vif +p9048 +tp9049 +a(g185 +V +tp9050 +a(g198 +V( +tp9051 +a(g340 +V! +tp9052 +a(g198 +V( +tp9053 +a(g18 +VisInComment +p9054 +tp9055 +a(g185 +V +tp9056 +a(g340 +V| +tp9057 +a(g340 +V| +tp9058 +a(g185 +V +tp9059 +a(g18 +VisInLineComment +p9060 +tp9061 +a(g198 +V) +tp9062 +a(g185 +V +tp9063 +a(g340 +V& +tp9064 +a(g340 +V& +tp9065 +a(g185 +V +tp9066 +a(g18 +Vline +p9067 +tp9068 +a(g198 +V. +tp9069 +a(g18 +VCOMPARE +p9070 +tp9071 +a(g198 +V( +tp9072 +a(g18 +Vi +tp9073 +a(g198 +V, +tp9074 +a(g185 +V +tp9075 +a(g315 +V2 +tp9076 +a(g198 +V, +tp9077 +a(g185 +V +tp9078 +a(g18 +Vstring +p9079 +tp9080 +a(g198 +V( +tp9081 +a(g222 +V" +tp9082 +a(g248 +V\u005c\u005c +p9083 +tp9084 +a(g248 +V\u005c\u005c +p9085 +tp9086 +a(g222 +V" +tp9087 +a(g198 +V) +tp9088 +a(g198 +V) +tp9089 +a(g185 +V +tp9090 +a(g340 +V= +tp9091 +a(g340 +V= +tp9092 +a(g185 +V +tp9093 +a(g315 +V0 +tp9094 +a(g198 +V) +tp9095 +a(g185 +V\u000a +tp9096 +a(g185 +V +p9097 +tp9098 +a(g198 +V{ +tp9099 +a(g185 +V\u000a +tp9100 +a(g185 +V +p9101 +tp9102 +a(g18 +VoutBuffer +p9103 +tp9104 +a(g198 +V. +tp9105 +a(g18 +Vappend +p9106 +tp9107 +a(g198 +V( +tp9108 +a(g315 +V1 +tp9109 +a(g198 +V, +tp9110 +a(g185 +V +tp9111 +a(g264 +V'\u005c\u005c' +p9112 +tp9113 +a(g198 +V) +tp9114 +a(g198 +V; +tp9115 +a(g185 +V\u000a +tp9116 +a(g185 +V +p9117 +tp9118 +a(g18 +Vi +tp9119 +a(g340 +V+ +tp9120 +a(g340 +V+ +tp9121 +a(g198 +V; +tp9122 +a(g185 +V\u000a +tp9123 +a(g185 +V +p9124 +tp9125 +a(g111 +Vcontinue +p9126 +tp9127 +a(g198 +V; +tp9128 +a(g185 +V\u000a +tp9129 +a(g185 +V +p9130 +tp9131 +a(g198 +V} +tp9132 +a(g185 +V\u000a +tp9133 +a(g185 +V +p9134 +tp9135 +a(g111 +Vif +p9136 +tp9137 +a(g185 +V +tp9138 +a(g198 +V( +tp9139 +a(g340 +V! +tp9140 +a(g198 +V( +tp9141 +a(g18 +VisInComment +p9142 +tp9143 +a(g185 +V +tp9144 +a(g340 +V| +tp9145 +a(g340 +V| +tp9146 +a(g185 +V +tp9147 +a(g18 +VisInLineComment +p9148 +tp9149 +a(g198 +V) +tp9150 +a(g185 +V +tp9151 +a(g340 +V& +tp9152 +a(g340 +V& +tp9153 +a(g185 +V +tp9154 +a(g18 +Vch +p9155 +tp9156 +a(g340 +V= +tp9157 +a(g340 +V= +tp9158 +a(g264 +V'\u005c\u005c' +p9159 +tp9160 +a(g198 +V) +tp9161 +a(g185 +V\u000a +tp9162 +a(g185 +V +p9163 +tp9164 +a(g198 +V{ +tp9165 +a(g185 +V\u000a +tp9166 +a(g185 +V +p9167 +tp9168 +a(g18 +VisSpecialChar +p9169 +tp9170 +a(g185 +V +tp9171 +a(g340 +V= +tp9172 +a(g185 +V +tp9173 +a(g113 +Vtrue +p9174 +tp9175 +a(g198 +V; +tp9176 +a(g185 +V\u000a +tp9177 +a(g185 +V +p9178 +tp9179 +a(g111 +Vcontinue +p9180 +tp9181 +a(g198 +V; +tp9182 +a(g185 +V\u000a +tp9183 +a(g185 +V +p9184 +tp9185 +a(g198 +V} +tp9186 +a(g185 +V\u000a +tp9187 +a(g185 +V\u000a +tp9188 +a(g185 +V +p9189 +tp9190 +a(g354 +V// handle quotes (such as 'x' and "Hello Dolly")\u000a +p9191 +tp9192 +a(g185 +V +p9193 +tp9194 +a(g111 +Vif +p9195 +tp9196 +a(g185 +V +tp9197 +a(g198 +V( +tp9198 +a(g340 +V! +tp9199 +a(g198 +V( +tp9200 +a(g18 +VisInComment +p9201 +tp9202 +a(g185 +V +tp9203 +a(g340 +V| +tp9204 +a(g340 +V| +tp9205 +a(g185 +V +tp9206 +a(g18 +VisInLineComment +p9207 +tp9208 +a(g198 +V) +tp9209 +a(g185 +V +tp9210 +a(g340 +V& +tp9211 +a(g340 +V& +tp9212 +a(g185 +V +tp9213 +a(g198 +V( +tp9214 +a(g18 +Vch +p9215 +tp9216 +a(g340 +V= +tp9217 +a(g340 +V= +tp9218 +a(g264 +V'"' +p9219 +tp9220 +a(g185 +V +tp9221 +a(g340 +V| +tp9222 +a(g340 +V| +tp9223 +a(g185 +V +tp9224 +a(g18 +Vch +p9225 +tp9226 +a(g340 +V= +tp9227 +a(g340 +V= +tp9228 +a(g264 +V'\u005c'' +p9229 +tp9230 +a(g198 +V) +tp9231 +a(g198 +V) +tp9232 +a(g185 +V\u000a +tp9233 +a(g185 +V +p9234 +tp9235 +a(g111 +Vif +p9236 +tp9237 +a(g185 +V +tp9238 +a(g198 +V( +tp9239 +a(g340 +V! +tp9240 +a(g18 +VisInQuote +p9241 +tp9242 +a(g198 +V) +tp9243 +a(g185 +V\u000a +tp9244 +a(g185 +V +p9245 +tp9246 +a(g198 +V{ +tp9247 +a(g185 +V\u000a +tp9248 +a(g185 +V +p9249 +tp9250 +a(g18 +VquoteChar +p9251 +tp9252 +a(g185 +V +tp9253 +a(g340 +V= +tp9254 +a(g185 +V +tp9255 +a(g18 +Vch +p9256 +tp9257 +a(g198 +V; +tp9258 +a(g185 +V\u000a +tp9259 +a(g185 +V +p9260 +tp9261 +a(g18 +VisInQuote +p9262 +tp9263 +a(g185 +V +tp9264 +a(g340 +V= +tp9265 +a(g185 +V +tp9266 +a(g113 +Vtrue +p9267 +tp9268 +a(g198 +V; +tp9269 +a(g185 +V\u000a +tp9270 +a(g185 +V +p9271 +tp9272 +a(g198 +V} +tp9273 +a(g185 +V\u000a +tp9274 +a(g185 +V +p9275 +tp9276 +a(g111 +Velse +p9277 +tp9278 +a(g185 +V +tp9279 +a(g111 +Vif +p9280 +tp9281 +a(g185 +V +tp9282 +a(g198 +V( +tp9283 +a(g18 +VquoteChar +p9284 +tp9285 +a(g185 +V +tp9286 +a(g340 +V= +tp9287 +a(g340 +V= +tp9288 +a(g185 +V +tp9289 +a(g18 +Vch +p9290 +tp9291 +a(g198 +V) +tp9292 +a(g185 +V\u000a +tp9293 +a(g185 +V +p9294 +tp9295 +a(g198 +V{ +tp9296 +a(g185 +V\u000a +tp9297 +a(g185 +V +p9298 +tp9299 +a(g18 +VisInQuote +p9300 +tp9301 +a(g185 +V +tp9302 +a(g340 +V= +tp9303 +a(g185 +V +tp9304 +a(g113 +Vfalse +p9305 +tp9306 +a(g198 +V; +tp9307 +a(g185 +V\u000a +tp9308 +a(g185 +V +p9309 +tp9310 +a(g18 +VisInStatement +p9311 +tp9312 +a(g185 +V +tp9313 +a(g340 +V= +tp9314 +a(g185 +V +tp9315 +a(g113 +Vtrue +p9316 +tp9317 +a(g198 +V; +tp9318 +a(g185 +V\u000a +tp9319 +a(g185 +V +p9320 +tp9321 +a(g111 +Vcontinue +p9322 +tp9323 +a(g198 +V; +tp9324 +a(g185 +V\u000a +tp9325 +a(g185 +V +p9326 +tp9327 +a(g198 +V} +tp9328 +a(g185 +V\u000a +tp9329 +a(g185 +V +p9330 +tp9331 +a(g111 +Vif +p9332 +tp9333 +a(g185 +V +tp9334 +a(g198 +V( +tp9335 +a(g18 +VisInQuote +p9336 +tp9337 +a(g198 +V) +tp9338 +a(g185 +V\u000a +tp9339 +a(g185 +V +p9340 +tp9341 +a(g111 +Vcontinue +p9342 +tp9343 +a(g198 +V; +tp9344 +a(g185 +V\u000a +tp9345 +a(g185 +V\u000a +tp9346 +a(g185 +V +p9347 +tp9348 +a(g354 +V// handle comments\u000a +p9349 +tp9350 +a(g185 +V\u000a +tp9351 +a(g185 +V +p9352 +tp9353 +a(g111 +Vif +p9354 +tp9355 +a(g185 +V +tp9356 +a(g198 +V( +tp9357 +a(g185 +V +tp9358 +a(g340 +V! +tp9359 +a(g198 +V( +tp9360 +a(g18 +VisInComment +p9361 +tp9362 +a(g185 +V +tp9363 +a(g340 +V| +tp9364 +a(g340 +V| +tp9365 +a(g185 +V +tp9366 +a(g18 +VisInLineComment +p9367 +tp9368 +a(g198 +V) +tp9369 +a(g185 +V +tp9370 +a(g340 +V& +tp9371 +a(g340 +V& +tp9372 +a(g185 +V +tp9373 +a(g18 +Vline +p9374 +tp9375 +a(g198 +V. +tp9376 +a(g18 +VCOMPARE +p9377 +tp9378 +a(g198 +V( +tp9379 +a(g18 +Vi +tp9380 +a(g198 +V, +tp9381 +a(g185 +V +tp9382 +a(g315 +V2 +tp9383 +a(g198 +V, +tp9384 +a(g185 +V +tp9385 +a(g18 +VAS_OPEN_LINE_COMMENT +p9386 +tp9387 +a(g198 +V) +tp9388 +a(g185 +V +tp9389 +a(g340 +V= +tp9390 +a(g340 +V= +tp9391 +a(g185 +V +tp9392 +a(g315 +V0 +tp9393 +a(g185 +V +tp9394 +a(g198 +V) +tp9395 +a(g185 +V\u000a +tp9396 +a(g185 +V +p9397 +tp9398 +a(g198 +V{ +tp9399 +a(g185 +V\u000a +tp9400 +a(g185 +V +p9401 +tp9402 +a(g18 +VisInLineComment +p9403 +tp9404 +a(g185 +V +tp9405 +a(g340 +V= +tp9406 +a(g185 +V +tp9407 +a(g113 +Vtrue +p9408 +tp9409 +a(g198 +V; +tp9410 +a(g185 +V\u000a +tp9411 +a(g185 +V +p9412 +tp9413 +a(g18 +VoutBuffer +p9414 +tp9415 +a(g198 +V. +tp9416 +a(g18 +Vappend +p9417 +tp9418 +a(g198 +V( +tp9419 +a(g315 +V1 +tp9420 +a(g198 +V, +tp9421 +a(g185 +V +tp9422 +a(g264 +V'/' +p9423 +tp9424 +a(g198 +V) +tp9425 +a(g198 +V; +tp9426 +a(g185 +V\u000a +tp9427 +a(g185 +V +p9428 +tp9429 +a(g18 +Vi +tp9430 +a(g340 +V+ +tp9431 +a(g340 +V+ +tp9432 +a(g198 +V; +tp9433 +a(g185 +V\u000a +tp9434 +a(g185 +V +p9435 +tp9436 +a(g111 +Vcontinue +p9437 +tp9438 +a(g198 +V; +tp9439 +a(g185 +V\u000a +tp9440 +a(g185 +V +p9441 +tp9442 +a(g198 +V} +tp9443 +a(g185 +V\u000a +tp9444 +a(g185 +V +p9445 +tp9446 +a(g111 +Velse +p9447 +tp9448 +a(g185 +V +tp9449 +a(g111 +Vif +p9450 +tp9451 +a(g185 +V +tp9452 +a(g198 +V( +tp9453 +a(g185 +V +tp9454 +a(g340 +V! +tp9455 +a(g198 +V( +tp9456 +a(g18 +VisInComment +p9457 +tp9458 +a(g185 +V +tp9459 +a(g340 +V| +tp9460 +a(g340 +V| +tp9461 +a(g185 +V +tp9462 +a(g18 +VisInLineComment +p9463 +tp9464 +a(g198 +V) +tp9465 +a(g185 +V +tp9466 +a(g340 +V& +tp9467 +a(g340 +V& +tp9468 +a(g185 +V +tp9469 +a(g18 +Vline +p9470 +tp9471 +a(g198 +V. +tp9472 +a(g18 +VCOMPARE +p9473 +tp9474 +a(g198 +V( +tp9475 +a(g18 +Vi +tp9476 +a(g198 +V, +tp9477 +a(g185 +V +tp9478 +a(g315 +V2 +tp9479 +a(g198 +V, +tp9480 +a(g185 +V +tp9481 +a(g18 +VAS_OPEN_COMMENT +p9482 +tp9483 +a(g198 +V) +tp9484 +a(g185 +V +tp9485 +a(g340 +V= +tp9486 +a(g340 +V= +tp9487 +a(g185 +V +tp9488 +a(g315 +V0 +tp9489 +a(g185 +V +tp9490 +a(g198 +V) +tp9491 +a(g185 +V\u000a +tp9492 +a(g185 +V +p9493 +tp9494 +a(g198 +V{ +tp9495 +a(g185 +V\u000a +tp9496 +a(g185 +V +p9497 +tp9498 +a(g18 +VisInComment +p9499 +tp9500 +a(g185 +V +tp9501 +a(g340 +V= +tp9502 +a(g185 +V +tp9503 +a(g113 +Vtrue +p9504 +tp9505 +a(g198 +V; +tp9506 +a(g185 +V\u000a +tp9507 +a(g185 +V +p9508 +tp9509 +a(g18 +VoutBuffer +p9510 +tp9511 +a(g198 +V. +tp9512 +a(g18 +Vappend +p9513 +tp9514 +a(g198 +V( +tp9515 +a(g315 +V1 +tp9516 +a(g198 +V, +tp9517 +a(g185 +V +tp9518 +a(g264 +V'*' +p9519 +tp9520 +a(g198 +V) +tp9521 +a(g198 +V; +tp9522 +a(g185 +V\u000a +tp9523 +a(g185 +V +p9524 +tp9525 +a(g18 +Vi +tp9526 +a(g340 +V+ +tp9527 +a(g340 +V+ +tp9528 +a(g198 +V; +tp9529 +a(g185 +V\u000a +tp9530 +a(g185 +V +p9531 +tp9532 +a(g111 +Vcontinue +p9533 +tp9534 +a(g198 +V; +tp9535 +a(g185 +V\u000a +tp9536 +a(g185 +V +p9537 +tp9538 +a(g198 +V} +tp9539 +a(g185 +V\u000a +tp9540 +a(g185 +V +p9541 +tp9542 +a(g111 +Velse +p9543 +tp9544 +a(g185 +V +tp9545 +a(g111 +Vif +p9546 +tp9547 +a(g185 +V +tp9548 +a(g198 +V( +tp9549 +a(g185 +V +tp9550 +a(g198 +V( +tp9551 +a(g18 +VisInComment +p9552 +tp9553 +a(g185 +V +tp9554 +a(g340 +V| +tp9555 +a(g340 +V| +tp9556 +a(g185 +V +tp9557 +a(g18 +VisInLineComment +p9558 +tp9559 +a(g198 +V) +tp9560 +a(g185 +V +tp9561 +a(g340 +V& +tp9562 +a(g340 +V& +tp9563 +a(g185 +V +tp9564 +a(g18 +Vline +p9565 +tp9566 +a(g198 +V. +tp9567 +a(g18 +VCOMPARE +p9568 +tp9569 +a(g198 +V( +tp9570 +a(g18 +Vi +tp9571 +a(g198 +V, +tp9572 +a(g185 +V +tp9573 +a(g315 +V2 +tp9574 +a(g198 +V, +tp9575 +a(g185 +V +tp9576 +a(g18 +VAS_CLOSE_COMMENT +p9577 +tp9578 +a(g198 +V) +tp9579 +a(g185 +V +tp9580 +a(g340 +V= +tp9581 +a(g340 +V= +tp9582 +a(g185 +V +tp9583 +a(g315 +V0 +tp9584 +a(g185 +V +tp9585 +a(g198 +V) +tp9586 +a(g185 +V\u000a +tp9587 +a(g185 +V +p9588 +tp9589 +a(g198 +V{ +tp9590 +a(g185 +V\u000a +tp9591 +a(g185 +V +p9592 +tp9593 +a(g18 +VisInComment +p9594 +tp9595 +a(g185 +V +tp9596 +a(g340 +V= +tp9597 +a(g185 +V +tp9598 +a(g113 +Vfalse +p9599 +tp9600 +a(g198 +V; +tp9601 +a(g185 +V\u000a +tp9602 +a(g185 +V +p9603 +tp9604 +a(g18 +VoutBuffer +p9605 +tp9606 +a(g198 +V. +tp9607 +a(g18 +Vappend +p9608 +tp9609 +a(g198 +V( +tp9610 +a(g315 +V1 +tp9611 +a(g198 +V, +tp9612 +a(g185 +V +tp9613 +a(g264 +V'/' +p9614 +tp9615 +a(g198 +V) +tp9616 +a(g198 +V; +tp9617 +a(g185 +V\u000a +tp9618 +a(g185 +V +p9619 +tp9620 +a(g18 +Vi +tp9621 +a(g340 +V+ +tp9622 +a(g340 +V+ +tp9623 +a(g198 +V; +tp9624 +a(g185 +V\u000a +tp9625 +a(g185 +V +p9626 +tp9627 +a(g111 +Vcontinue +p9628 +tp9629 +a(g198 +V; +tp9630 +a(g185 +V\u000a +tp9631 +a(g185 +V +p9632 +tp9633 +a(g198 +V} +tp9634 +a(g185 +V\u000a +tp9635 +a(g185 +V\u000a +tp9636 +a(g185 +V +p9637 +tp9638 +a(g111 +Vif +p9639 +tp9640 +a(g185 +V +tp9641 +a(g198 +V( +tp9642 +a(g18 +VisInComment +p9643 +tp9644 +a(g340 +V| +tp9645 +a(g340 +V| +tp9646 +a(g18 +VisInLineComment +p9647 +tp9648 +a(g198 +V) +tp9649 +a(g185 +V\u000a +tp9650 +a(g185 +V +p9651 +tp9652 +a(g111 +Vcontinue +p9653 +tp9654 +a(g198 +V; +tp9655 +a(g185 +V\u000a +tp9656 +a(g185 +V\u000a +tp9657 +a(g185 +V +p9658 +tp9659 +a(g354 +V// if we have reached this far then we are NOT in a comment or string of special character...\u000a +p9660 +tp9661 +a(g185 +V\u000a +tp9662 +a(g185 +V +p9663 +tp9664 +a(g111 +Vif +p9665 +tp9666 +a(g185 +V +tp9667 +a(g198 +V( +tp9668 +a(g18 +VprobationHeader +p9669 +tp9670 +a(g185 +V +tp9671 +a(g340 +V! +tp9672 +a(g340 +V= +tp9673 +a(g185 +V +tp9674 +a(g57 +VNULL +p9675 +tp9676 +a(g198 +V) +tp9677 +a(g185 +V\u000a +tp9678 +a(g185 +V +p9679 +tp9680 +a(g198 +V{ +tp9681 +a(g185 +V\u000a +tp9682 +a(g185 +V +p9683 +tp9684 +a(g111 +Vif +p9685 +tp9686 +a(g185 +V +tp9687 +a(g198 +V( +tp9688 +a(g185 +V +tp9689 +a(g198 +V( +tp9690 +a(g198 +V( +tp9691 +a(g18 +VprobationHeader +p9692 +tp9693 +a(g185 +V +tp9694 +a(g340 +V= +tp9695 +a(g340 +V= +tp9696 +a(g185 +V +tp9697 +a(g340 +V& +tp9698 +a(g18 +VAS_STATIC +p9699 +tp9700 +a(g185 +V +tp9701 +a(g340 +V| +tp9702 +a(g340 +V| +tp9703 +a(g185 +V +tp9704 +a(g18 +VprobationHeader +p9705 +tp9706 +a(g185 +V +tp9707 +a(g340 +V= +tp9708 +a(g340 +V= +tp9709 +a(g185 +V +tp9710 +a(g340 +V& +tp9711 +a(g18 +VAS_CONST +p9712 +tp9713 +a(g198 +V) +tp9714 +a(g185 +V +tp9715 +a(g340 +V& +tp9716 +a(g340 +V& +tp9717 +a(g185 +V +tp9718 +a(g18 +Vch +p9719 +tp9720 +a(g185 +V +tp9721 +a(g340 +V= +tp9722 +a(g340 +V= +tp9723 +a(g185 +V +tp9724 +a(g264 +V'{' +p9725 +tp9726 +a(g198 +V) +tp9727 +a(g185 +V\u000a +tp9728 +a(g185 +V +p9729 +tp9730 +a(g340 +V| +tp9731 +a(g340 +V| +tp9732 +a(g185 +V +tp9733 +a(g198 +V( +tp9734 +a(g18 +VprobationHeader +p9735 +tp9736 +a(g185 +V +tp9737 +a(g340 +V= +tp9738 +a(g340 +V= +tp9739 +a(g185 +V +tp9740 +a(g340 +V& +tp9741 +a(g18 +VAS_SYNCHRONIZED +p9742 +tp9743 +a(g185 +V +tp9744 +a(g340 +V& +tp9745 +a(g340 +V& +tp9746 +a(g185 +V +tp9747 +a(g18 +Vch +p9748 +tp9749 +a(g185 +V +tp9750 +a(g340 +V= +tp9751 +a(g340 +V= +tp9752 +a(g185 +V +tp9753 +a(g264 +V'(' +p9754 +tp9755 +a(g198 +V) +tp9756 +a(g198 +V) +tp9757 +a(g185 +V\u000a +tp9758 +a(g185 +V +p9759 +tp9760 +a(g198 +V{ +tp9761 +a(g185 +V\u000a +tp9762 +a(g185 +V +p9763 +tp9764 +a(g354 +V// insert the probation header as a new header\u000a +p9765 +tp9766 +a(g185 +V +p9767 +tp9768 +a(g18 +VisInHeader +p9769 +tp9770 +a(g185 +V +tp9771 +a(g340 +V= +tp9772 +a(g185 +V +tp9773 +a(g113 +Vtrue +p9774 +tp9775 +a(g198 +V; +tp9776 +a(g185 +V\u000a +tp9777 +a(g185 +V +p9778 +tp9779 +a(g18 +VheaderStack +p9780 +tp9781 +a(g340 +V- +tp9782 +a(g340 +V> +tp9783 +a(g18 +Vpush_back +p9784 +tp9785 +a(g198 +V( +tp9786 +a(g18 +VprobationHeader +p9787 +tp9788 +a(g198 +V) +tp9789 +a(g198 +V; +tp9790 +a(g185 +V\u000a +tp9791 +a(g185 +V\u000a +tp9792 +a(g185 +V +p9793 +tp9794 +a(g354 +V// handle the specific probation header\u000a +p9795 +tp9796 +a(g185 +V +p9797 +tp9798 +a(g18 +VisInConditional +p9799 +tp9800 +a(g185 +V +tp9801 +a(g340 +V= +tp9802 +a(g185 +V +tp9803 +a(g198 +V( +tp9804 +a(g18 +VprobationHeader +p9805 +tp9806 +a(g185 +V +tp9807 +a(g340 +V= +tp9808 +a(g340 +V= +tp9809 +a(g185 +V +tp9810 +a(g340 +V& +tp9811 +a(g18 +VAS_SYNCHRONIZED +p9812 +tp9813 +a(g198 +V) +tp9814 +a(g198 +V; +tp9815 +a(g185 +V\u000a +tp9816 +a(g185 +V +p9817 +tp9818 +a(g111 +Vif +p9819 +tp9820 +a(g185 +V +tp9821 +a(g198 +V( +tp9822 +a(g18 +VprobationHeader +p9823 +tp9824 +a(g185 +V +tp9825 +a(g340 +V= +tp9826 +a(g340 +V= +tp9827 +a(g185 +V +tp9828 +a(g340 +V& +tp9829 +a(g18 +VAS_CONST +p9830 +tp9831 +a(g198 +V) +tp9832 +a(g185 +V\u000a +tp9833 +a(g185 +V +p9834 +tp9835 +a(g18 +VisImmediatelyAfterConst +p9836 +tp9837 +a(g185 +V +tp9838 +a(g340 +V= +tp9839 +a(g185 +V +tp9840 +a(g113 +Vtrue +p9841 +tp9842 +a(g198 +V; +tp9843 +a(g185 +V\u000a +tp9844 +a(g185 +V +p9845 +tp9846 +a(g354 +V// isInConst = true;\u000a +p9847 +tp9848 +a(g185 +V +p9849 +tp9850 +a(g7 +V/* TODO:\u000a * There is actually no more need for the global isInConst variable.\u000a * The only reason for checking const is to see if there is a const\u000a * immediately before an open-bracket.\u000a * Since CONST is now put into probation and is checked during itspost-char,\u000a * isImmediatelyAfterConst can be set by its own...\u000a */ +p9851 +tp9852 +a(g185 +V\u000a +tp9853 +a(g185 +V\u000a +tp9854 +a(g185 +V +p9855 +tp9856 +a(g18 +VisInStatement +p9857 +tp9858 +a(g185 +V +tp9859 +a(g340 +V= +tp9860 +a(g185 +V +tp9861 +a(g113 +Vfalse +p9862 +tp9863 +a(g198 +V; +tp9864 +a(g185 +V\u000a +tp9865 +a(g185 +V +p9866 +tp9867 +a(g354 +V// if the probation comes from the previous line, then indent by 1 tab count.\u000a +p9868 +tp9869 +a(g185 +V +p9870 +tp9871 +a(g111 +Vif +p9872 +tp9873 +a(g185 +V +tp9874 +a(g198 +V( +tp9875 +a(g18 +VpreviousLineProbation +p9876 +tp9877 +a(g185 +V +tp9878 +a(g340 +V& +tp9879 +a(g340 +V& +tp9880 +a(g185 +V +tp9881 +a(g18 +Vch +p9882 +tp9883 +a(g185 +V +tp9884 +a(g340 +V= +tp9885 +a(g340 +V= +tp9886 +a(g185 +V +tp9887 +a(g264 +V'{' +p9888 +tp9889 +a(g198 +V) +tp9890 +a(g185 +V\u000a +tp9891 +a(g185 +V +p9892 +tp9893 +a(g18 +VtabCount +p9894 +tp9895 +a(g340 +V+ +tp9896 +a(g340 +V+ +tp9897 +a(g198 +V; +tp9898 +a(g185 +V\u000a +tp9899 +a(g185 +V +p9900 +tp9901 +a(g18 +VpreviousLineProbation +p9902 +tp9903 +a(g185 +V +tp9904 +a(g340 +V= +tp9905 +a(g185 +V +tp9906 +a(g113 +Vfalse +p9907 +tp9908 +a(g198 +V; +tp9909 +a(g185 +V\u000a +tp9910 +a(g185 +V +p9911 +tp9912 +a(g198 +V} +tp9913 +a(g185 +V\u000a +tp9914 +a(g185 +V\u000a +tp9915 +a(g185 +V +p9916 +tp9917 +a(g354 +V// dismiss the probation header\u000a +p9918 +tp9919 +a(g185 +V +p9920 +tp9921 +a(g18 +VprobationHeader +p9922 +tp9923 +a(g185 +V +tp9924 +a(g340 +V= +tp9925 +a(g185 +V +tp9926 +a(g57 +VNULL +p9927 +tp9928 +a(g198 +V; +tp9929 +a(g185 +V\u000a +tp9930 +a(g185 +V +p9931 +tp9932 +a(g198 +V} +tp9933 +a(g185 +V\u000a +tp9934 +a(g185 +V\u000a +tp9935 +a(g185 +V +p9936 +tp9937 +a(g18 +VprevNonSpaceCh +p9938 +tp9939 +a(g185 +V +tp9940 +a(g340 +V= +tp9941 +a(g185 +V +tp9942 +a(g18 +VcurrentNonSpaceCh +p9943 +tp9944 +a(g198 +V; +tp9945 +a(g185 +V\u000a +tp9946 +a(g185 +V +p9947 +tp9948 +a(g18 +VcurrentNonSpaceCh +p9949 +tp9950 +a(g185 +V +tp9951 +a(g340 +V= +tp9952 +a(g185 +V +tp9953 +a(g18 +Vch +p9954 +tp9955 +a(g198 +V; +tp9956 +a(g185 +V\u000a +tp9957 +a(g185 +V +p9958 +tp9959 +a(g111 +Vif +p9960 +tp9961 +a(g185 +V +tp9962 +a(g198 +V( +tp9963 +a(g340 +V! +tp9964 +a(g18 +VisLegalNameChar +p9965 +tp9966 +a(g198 +V( +tp9967 +a(g18 +Vch +p9968 +tp9969 +a(g198 +V) +tp9970 +a(g185 +V +tp9971 +a(g340 +V& +tp9972 +a(g340 +V& +tp9973 +a(g185 +V +tp9974 +a(g18 +Vch +p9975 +tp9976 +a(g185 +V +tp9977 +a(g340 +V! +tp9978 +a(g340 +V= +tp9979 +a(g185 +V +tp9980 +a(g264 +V',' +p9981 +tp9982 +a(g185 +V +tp9983 +a(g340 +V& +tp9984 +a(g340 +V& +tp9985 +a(g185 +V +tp9986 +a(g18 +Vch +p9987 +tp9988 +a(g185 +V +tp9989 +a(g340 +V! +tp9990 +a(g340 +V= +tp9991 +a(g185 +V +tp9992 +a(g264 +V';' +p9993 +tp9994 +a(g185 +V +tp9995 +a(g198 +V) +tp9996 +a(g185 +V\u000a +tp9997 +a(g185 +V +p9998 +tp9999 +a(g198 +V{ +tp10000 +a(g185 +V\u000a +tp10001 +a(g185 +V +p10002 +tp10003 +a(g18 +VprevNonLegalCh +p10004 +tp10005 +a(g185 +V +tp10006 +a(g340 +V= +tp10007 +a(g185 +V +tp10008 +a(g18 +VcurrentNonLegalCh +p10009 +tp10010 +a(g198 +V; +tp10011 +a(g185 +V\u000a +tp10012 +a(g185 +V +p10013 +tp10014 +a(g18 +VcurrentNonLegalCh +p10015 +tp10016 +a(g185 +V +tp10017 +a(g340 +V= +tp10018 +a(g185 +V +tp10019 +a(g18 +Vch +p10020 +tp10021 +a(g198 +V; +tp10022 +a(g185 +V\u000a +tp10023 +a(g185 +V +p10024 +tp10025 +a(g198 +V} +tp10026 +a(g185 +V\u000a +tp10027 +a(g185 +V\u000a +tp10028 +a(g185 +V +p10029 +tp10030 +a(g354 +V//if (isInConst)\u000a +p10031 +tp10032 +a(g185 +V +p10033 +tp10034 +a(g354 +V//{\u000a +p10035 +tp10036 +a(g185 +V +p10037 +tp10038 +a(g354 +V// isInConst = false;\u000a +p10039 +tp10040 +a(g185 +V +p10041 +tp10042 +a(g354 +V// isImmediatelyAfterConst = true;\u000a +p10043 +tp10044 +a(g185 +V +p10045 +tp10046 +a(g354 +V//}\u000a +p10047 +tp10048 +a(g185 +V\u000a +tp10049 +a(g185 +V +p10050 +tp10051 +a(g111 +Vif +p10052 +tp10053 +a(g185 +V +tp10054 +a(g198 +V( +tp10055 +a(g18 +VisInHeader +p10056 +tp10057 +a(g198 +V) +tp10058 +a(g185 +V\u000a +tp10059 +a(g185 +V +p10060 +tp10061 +a(g198 +V{ +tp10062 +a(g185 +V\u000a +tp10063 +a(g185 +V +p10064 +tp10065 +a(g18 +VisInHeader +p10066 +tp10067 +a(g185 +V +tp10068 +a(g340 +V= +tp10069 +a(g185 +V +tp10070 +a(g113 +Vfalse +p10071 +tp10072 +a(g198 +V; +tp10073 +a(g185 +V\u000a +tp10074 +a(g185 +V +p10075 +tp10076 +a(g18 +VcurrentHeader +p10077 +tp10078 +a(g185 +V +tp10079 +a(g340 +V= +tp10080 +a(g185 +V +tp10081 +a(g18 +VheaderStack +p10082 +tp10083 +a(g340 +V- +tp10084 +a(g340 +V> +tp10085 +a(g18 +Vback +p10086 +tp10087 +a(g198 +V( +tp10088 +a(g198 +V) +tp10089 +a(g198 +V; +tp10090 +a(g185 +V\u000a +tp10091 +a(g185 +V +p10092 +tp10093 +a(g198 +V} +tp10094 +a(g185 +V\u000a +tp10095 +a(g185 +V +p10096 +tp10097 +a(g111 +Velse +p10098 +tp10099 +a(g185 +V\u000a +tp10100 +a(g185 +V +p10101 +tp10102 +a(g18 +VcurrentHeader +p10103 +tp10104 +a(g185 +V +tp10105 +a(g340 +V= +tp10106 +a(g185 +V +tp10107 +a(g57 +VNULL +p10108 +tp10109 +a(g198 +V; +tp10110 +a(g185 +V\u000a +tp10111 +a(g185 +V\u000a +tp10112 +a(g185 +V +p10113 +tp10114 +a(g111 +Vif +p10115 +tp10116 +a(g185 +V +tp10117 +a(g198 +V( +tp10118 +a(g18 +VisCStyle +p10119 +tp10120 +a(g185 +V +tp10121 +a(g340 +V& +tp10122 +a(g340 +V& +tp10123 +a(g185 +V +tp10124 +a(g18 +VisInTemplate +p10125 +tp10126 +a(g185 +V\u000a +tp10127 +a(g185 +V +p10128 +tp10129 +a(g340 +V& +tp10130 +a(g340 +V& +tp10131 +a(g185 +V +tp10132 +a(g198 +V( +tp10133 +a(g18 +Vch +p10134 +tp10135 +a(g185 +V +tp10136 +a(g340 +V= +tp10137 +a(g340 +V= +tp10138 +a(g185 +V +tp10139 +a(g264 +V'<' +p10140 +tp10141 +a(g185 +V +tp10142 +a(g340 +V| +tp10143 +a(g340 +V| +tp10144 +a(g185 +V +tp10145 +a(g18 +Vch +p10146 +tp10147 +a(g185 +V +tp10148 +a(g340 +V= +tp10149 +a(g340 +V= +tp10150 +a(g185 +V +tp10151 +a(g264 +V'>' +p10152 +tp10153 +a(g198 +V) +tp10154 +a(g185 +V\u000a +tp10155 +a(g185 +V +p10156 +tp10157 +a(g340 +V& +tp10158 +a(g340 +V& +tp10159 +a(g185 +V +p10160 +tp10161 +a(g18 +VfindHeader +p10162 +tp10163 +a(g198 +V( +tp10164 +a(g18 +Vline +p10165 +tp10166 +a(g198 +V, +tp10167 +a(g185 +V +tp10168 +a(g18 +Vi +tp10169 +a(g198 +V, +tp10170 +a(g185 +V +tp10171 +a(g18 +VnonAssignmentOperators +p10172 +tp10173 +a(g198 +V) +tp10174 +a(g185 +V +tp10175 +a(g340 +V= +tp10176 +a(g340 +V= +tp10177 +a(g185 +V +tp10178 +a(g57 +VNULL +p10179 +tp10180 +a(g198 +V) +tp10181 +a(g185 +V +tp10182 +a(g354 +V//;\u000a +p10183 +tp10184 +a(g185 +V +p10185 +tp10186 +a(g198 +V{ +tp10187 +a(g185 +V\u000a +tp10188 +a(g185 +V +p10189 +tp10190 +a(g111 +Vif +p10191 +tp10192 +a(g185 +V +tp10193 +a(g198 +V( +tp10194 +a(g18 +Vch +p10195 +tp10196 +a(g185 +V +tp10197 +a(g340 +V= +tp10198 +a(g340 +V= +tp10199 +a(g185 +V +tp10200 +a(g264 +V'<' +p10201 +tp10202 +a(g198 +V) +tp10203 +a(g185 +V\u000a +tp10204 +a(g185 +V +p10205 +tp10206 +a(g198 +V{ +tp10207 +a(g185 +V\u000a +tp10208 +a(g185 +V +p10209 +tp10210 +a(g340 +V+ +tp10211 +a(g340 +V+ +tp10212 +a(g18 +VtemplateDepth +p10213 +tp10214 +a(g198 +V; +tp10215 +a(g185 +V\u000a +tp10216 +a(g185 +V +p10217 +tp10218 +a(g198 +V} +tp10219 +a(g185 +V\u000a +tp10220 +a(g185 +V +p10221 +tp10222 +a(g111 +Velse +p10223 +tp10224 +a(g185 +V +tp10225 +a(g111 +Vif +p10226 +tp10227 +a(g185 +V +tp10228 +a(g198 +V( +tp10229 +a(g18 +Vch +p10230 +tp10231 +a(g185 +V +tp10232 +a(g340 +V= +tp10233 +a(g340 +V= +tp10234 +a(g185 +V +tp10235 +a(g264 +V'>' +p10236 +tp10237 +a(g198 +V) +tp10238 +a(g185 +V\u000a +tp10239 +a(g185 +V +p10240 +tp10241 +a(g198 +V{ +tp10242 +a(g185 +V\u000a +tp10243 +a(g185 +V +p10244 +tp10245 +a(g111 +Vif +p10246 +tp10247 +a(g185 +V +tp10248 +a(g198 +V( +tp10249 +a(g340 +V- +tp10250 +a(g340 +V- +tp10251 +a(g18 +VtemplateDepth +p10252 +tp10253 +a(g185 +V +tp10254 +a(g340 +V< +tp10255 +a(g340 +V= +tp10256 +a(g185 +V +tp10257 +a(g315 +V0 +tp10258 +a(g198 +V) +tp10259 +a(g185 +V\u000a +tp10260 +a(g185 +V +p10261 +tp10262 +a(g198 +V{ +tp10263 +a(g185 +V\u000a +tp10264 +a(g185 +V +p10265 +tp10266 +a(g111 +Vif +p10267 +tp10268 +a(g185 +V +tp10269 +a(g198 +V( +tp10270 +a(g18 +VisInTemplate +p10271 +tp10272 +a(g198 +V) +tp10273 +a(g185 +V\u000a +tp10274 +a(g185 +V +p10275 +tp10276 +a(g18 +Vch +p10277 +tp10278 +a(g185 +V +tp10279 +a(g340 +V= +tp10280 +a(g185 +V +tp10281 +a(g264 +V';' +p10282 +tp10283 +a(g198 +V; +tp10284 +a(g185 +V\u000a +tp10285 +a(g185 +V +p10286 +tp10287 +a(g111 +Velse +p10288 +tp10289 +a(g185 +V\u000a +tp10290 +a(g185 +V +p10291 +tp10292 +a(g18 +Vch +p10293 +tp10294 +a(g185 +V +tp10295 +a(g340 +V= +tp10296 +a(g185 +V +tp10297 +a(g264 +V't' +p10298 +tp10299 +a(g198 +V; +tp10300 +a(g185 +V\u000a +tp10301 +a(g185 +V +p10302 +tp10303 +a(g18 +VisInTemplate +p10304 +tp10305 +a(g185 +V +tp10306 +a(g340 +V= +tp10307 +a(g185 +V +tp10308 +a(g113 +Vfalse +p10309 +tp10310 +a(g198 +V; +tp10311 +a(g185 +V\u000a +tp10312 +a(g185 +V +p10313 +tp10314 +a(g18 +VtemplateDepth +p10315 +tp10316 +a(g185 +V +tp10317 +a(g340 +V= +tp10318 +a(g185 +V +tp10319 +a(g315 +V0 +tp10320 +a(g198 +V; +tp10321 +a(g185 +V\u000a +tp10322 +a(g185 +V +p10323 +tp10324 +a(g198 +V} +tp10325 +a(g185 +V\u000a +tp10326 +a(g185 +V\u000a +tp10327 +a(g185 +V +p10328 +tp10329 +a(g198 +V} +tp10330 +a(g185 +V\u000a +tp10331 +a(g185 +V +p10332 +tp10333 +a(g198 +V} +tp10334 +a(g185 +V\u000a +tp10335 +a(g185 +V\u000a +tp10336 +a(g185 +V +p10337 +tp10338 +a(g354 +V// handle parenthesies\u000a +p10339 +tp10340 +a(g185 +V +p10341 +tp10342 +a(g111 +Vif +p10343 +tp10344 +a(g185 +V +tp10345 +a(g198 +V( +tp10346 +a(g18 +Vch +p10347 +tp10348 +a(g185 +V +tp10349 +a(g340 +V= +tp10350 +a(g340 +V= +tp10351 +a(g185 +V +tp10352 +a(g264 +V'(' +p10353 +tp10354 +a(g185 +V +tp10355 +a(g340 +V| +tp10356 +a(g340 +V| +tp10357 +a(g185 +V +tp10358 +a(g18 +Vch +p10359 +tp10360 +a(g185 +V +tp10361 +a(g340 +V= +tp10362 +a(g340 +V= +tp10363 +a(g185 +V +tp10364 +a(g264 +V'[' +p10365 +tp10366 +a(g185 +V +tp10367 +a(g340 +V| +tp10368 +a(g340 +V| +tp10369 +a(g185 +V +tp10370 +a(g18 +Vch +p10371 +tp10372 +a(g185 +V +tp10373 +a(g340 +V= +tp10374 +a(g340 +V= +tp10375 +a(g185 +V +tp10376 +a(g264 +V')' +p10377 +tp10378 +a(g185 +V +tp10379 +a(g340 +V| +tp10380 +a(g340 +V| +tp10381 +a(g185 +V +tp10382 +a(g18 +Vch +p10383 +tp10384 +a(g185 +V +tp10385 +a(g340 +V= +tp10386 +a(g340 +V= +tp10387 +a(g185 +V +tp10388 +a(g264 +V']' +p10389 +tp10390 +a(g198 +V) +tp10391 +a(g185 +V\u000a +tp10392 +a(g185 +V +p10393 +tp10394 +a(g198 +V{ +tp10395 +a(g185 +V\u000a +tp10396 +a(g185 +V +p10397 +tp10398 +a(g111 +Vif +p10399 +tp10400 +a(g185 +V +tp10401 +a(g198 +V( +tp10402 +a(g18 +Vch +p10403 +tp10404 +a(g185 +V +tp10405 +a(g340 +V= +tp10406 +a(g340 +V= +tp10407 +a(g185 +V +tp10408 +a(g264 +V'(' +p10409 +tp10410 +a(g185 +V +tp10411 +a(g340 +V| +tp10412 +a(g340 +V| +tp10413 +a(g185 +V +tp10414 +a(g18 +Vch +p10415 +tp10416 +a(g185 +V +tp10417 +a(g340 +V= +tp10418 +a(g340 +V= +tp10419 +a(g185 +V +tp10420 +a(g264 +V'[' +p10421 +tp10422 +a(g198 +V) +tp10423 +a(g185 +V\u000a +tp10424 +a(g185 +V +p10425 +tp10426 +a(g198 +V{ +tp10427 +a(g185 +V\u000a +tp10428 +a(g185 +V +p10429 +tp10430 +a(g111 +Vif +p10431 +tp10432 +a(g185 +V +tp10433 +a(g198 +V( +tp10434 +a(g18 +VparenDepth +p10435 +tp10436 +a(g185 +V +tp10437 +a(g340 +V= +tp10438 +a(g340 +V= +tp10439 +a(g185 +V +tp10440 +a(g315 +V0 +tp10441 +a(g198 +V) +tp10442 +a(g185 +V\u000a +tp10443 +a(g185 +V +p10444 +tp10445 +a(g198 +V{ +tp10446 +a(g185 +V\u000a +tp10447 +a(g185 +V +p10448 +tp10449 +a(g18 +VparenStatementStack +p10450 +tp10451 +a(g340 +V- +tp10452 +a(g340 +V> +tp10453 +a(g18 +Vpush_back +p10454 +tp10455 +a(g198 +V( +tp10456 +a(g18 +VisInStatement +p10457 +tp10458 +a(g198 +V) +tp10459 +a(g198 +V; +tp10460 +a(g185 +V\u000a +tp10461 +a(g185 +V +p10462 +tp10463 +a(g18 +VisInStatement +p10464 +tp10465 +a(g185 +V +tp10466 +a(g340 +V= +tp10467 +a(g185 +V +tp10468 +a(g113 +Vtrue +p10469 +tp10470 +a(g198 +V; +tp10471 +a(g185 +V\u000a +tp10472 +a(g185 +V +p10473 +tp10474 +a(g198 +V} +tp10475 +a(g185 +V\u000a +tp10476 +a(g185 +V +p10477 +tp10478 +a(g18 +VparenDepth +p10479 +tp10480 +a(g340 +V+ +tp10481 +a(g340 +V+ +tp10482 +a(g198 +V; +tp10483 +a(g185 +V\u000a +tp10484 +a(g185 +V\u000a +tp10485 +a(g185 +V +p10486 +tp10487 +a(g18 +VinStatementIndentStackSizeStack +p10488 +tp10489 +a(g340 +V- +tp10490 +a(g340 +V> +tp10491 +a(g18 +Vpush_back +p10492 +tp10493 +a(g198 +V( +tp10494 +a(g18 +VinStatementIndentStack +p10495 +tp10496 +a(g340 +V- +tp10497 +a(g340 +V> +tp10498 +a(g18 +Vsize +p10499 +tp10500 +a(g198 +V( +tp10501 +a(g198 +V) +tp10502 +a(g198 +V) +tp10503 +a(g198 +V; +tp10504 +a(g185 +V\u000a +tp10505 +a(g185 +V\u000a +tp10506 +a(g185 +V +p10507 +tp10508 +a(g111 +Vif +p10509 +tp10510 +a(g185 +V +tp10511 +a(g198 +V( +tp10512 +a(g18 +VcurrentHeader +p10513 +tp10514 +a(g185 +V +tp10515 +a(g340 +V! +tp10516 +a(g340 +V= +tp10517 +a(g185 +V +tp10518 +a(g57 +VNULL +p10519 +tp10520 +a(g198 +V) +tp10521 +a(g185 +V\u000a +tp10522 +a(g185 +V +p10523 +tp10524 +a(g18 +VregisterInStatementIndent +p10525 +tp10526 +a(g198 +V( +tp10527 +a(g18 +Vline +p10528 +tp10529 +a(g198 +V, +tp10530 +a(g185 +V +tp10531 +a(g18 +Vi +tp10532 +a(g198 +V, +tp10533 +a(g185 +V +tp10534 +a(g18 +VspaceTabCount +p10535 +tp10536 +a(g198 +V, +tp10537 +a(g185 +V +tp10538 +a(g18 +VminConditionalIndent +p10539 +tp10540 +a(g7 +V/*indentLength*2*/ +p10541 +tp10542 +a(g198 +V, +tp10543 +a(g185 +V +tp10544 +a(g113 +Vtrue +p10545 +tp10546 +a(g198 +V) +tp10547 +a(g198 +V; +tp10548 +a(g185 +V\u000a +tp10549 +a(g185 +V +p10550 +tp10551 +a(g111 +Velse +p10552 +tp10553 +a(g185 +V\u000a +tp10554 +a(g185 +V +p10555 +tp10556 +a(g18 +VregisterInStatementIndent +p10557 +tp10558 +a(g198 +V( +tp10559 +a(g18 +Vline +p10560 +tp10561 +a(g198 +V, +tp10562 +a(g185 +V +tp10563 +a(g18 +Vi +tp10564 +a(g198 +V, +tp10565 +a(g185 +V +tp10566 +a(g18 +VspaceTabCount +p10567 +tp10568 +a(g198 +V, +tp10569 +a(g185 +V +tp10570 +a(g315 +V0 +tp10571 +a(g198 +V, +tp10572 +a(g185 +V +tp10573 +a(g113 +Vtrue +p10574 +tp10575 +a(g198 +V) +tp10576 +a(g198 +V; +tp10577 +a(g185 +V\u000a +tp10578 +a(g185 +V +p10579 +tp10580 +a(g198 +V} +tp10581 +a(g185 +V\u000a +tp10582 +a(g185 +V +p10583 +tp10584 +a(g111 +Velse +p10585 +tp10586 +a(g185 +V +tp10587 +a(g111 +Vif +p10588 +tp10589 +a(g185 +V +tp10590 +a(g198 +V( +tp10591 +a(g18 +Vch +p10592 +tp10593 +a(g185 +V +tp10594 +a(g340 +V= +tp10595 +a(g340 +V= +tp10596 +a(g185 +V +tp10597 +a(g264 +V')' +p10598 +tp10599 +a(g185 +V +tp10600 +a(g340 +V| +tp10601 +a(g340 +V| +tp10602 +a(g185 +V +tp10603 +a(g18 +Vch +p10604 +tp10605 +a(g185 +V +tp10606 +a(g340 +V= +tp10607 +a(g340 +V= +tp10608 +a(g185 +V +tp10609 +a(g264 +V']' +p10610 +tp10611 +a(g198 +V) +tp10612 +a(g185 +V\u000a +tp10613 +a(g185 +V +p10614 +tp10615 +a(g198 +V{ +tp10616 +a(g185 +V\u000a +tp10617 +a(g185 +V +p10618 +tp10619 +a(g18 +VparenDepth +p10620 +tp10621 +a(g340 +V- +tp10622 +a(g340 +V- +tp10623 +a(g198 +V; +tp10624 +a(g185 +V\u000a +tp10625 +a(g185 +V +p10626 +tp10627 +a(g111 +Vif +p10628 +tp10629 +a(g185 +V +tp10630 +a(g198 +V( +tp10631 +a(g18 +VparenDepth +p10632 +tp10633 +a(g185 +V +tp10634 +a(g340 +V= +tp10635 +a(g340 +V= +tp10636 +a(g185 +V +tp10637 +a(g315 +V0 +tp10638 +a(g198 +V) +tp10639 +a(g185 +V\u000a +tp10640 +a(g185 +V +p10641 +tp10642 +a(g198 +V{ +tp10643 +a(g185 +V\u000a +tp10644 +a(g185 +V +p10645 +tp10646 +a(g18 +VisInStatement +p10647 +tp10648 +a(g185 +V +tp10649 +a(g340 +V= +tp10650 +a(g185 +V +tp10651 +a(g18 +VparenStatementStack +p10652 +tp10653 +a(g340 +V- +tp10654 +a(g340 +V> +tp10655 +a(g18 +Vback +p10656 +tp10657 +a(g198 +V( +tp10658 +a(g198 +V) +tp10659 +a(g198 +V; +tp10660 +a(g185 +V\u000a +tp10661 +a(g185 +V +p10662 +tp10663 +a(g18 +VparenStatementStack +p10664 +tp10665 +a(g340 +V- +tp10666 +a(g340 +V> +tp10667 +a(g18 +Vpop_back +p10668 +tp10669 +a(g198 +V( +tp10670 +a(g198 +V) +tp10671 +a(g198 +V; +tp10672 +a(g185 +V\u000a +tp10673 +a(g185 +V +p10674 +tp10675 +a(g18 +Vch +p10676 +tp10677 +a(g185 +V +tp10678 +a(g340 +V= +tp10679 +a(g185 +V +tp10680 +a(g264 +V' ' +p10681 +tp10682 +a(g198 +V; +tp10683 +a(g185 +V\u000a +tp10684 +a(g185 +V\u000a +tp10685 +a(g185 +V +p10686 +tp10687 +a(g18 +VisInConditional +p10688 +tp10689 +a(g185 +V +tp10690 +a(g340 +V= +tp10691 +a(g185 +V +tp10692 +a(g113 +Vfalse +p10693 +tp10694 +a(g198 +V; +tp10695 +a(g185 +V\u000a +tp10696 +a(g185 +V +p10697 +tp10698 +a(g198 +V} +tp10699 +a(g185 +V\u000a +tp10700 +a(g185 +V\u000a +tp10701 +a(g185 +V +p10702 +tp10703 +a(g111 +Vif +p10704 +tp10705 +a(g185 +V +tp10706 +a(g198 +V( +tp10707 +a(g340 +V! +tp10708 +a(g18 +VinStatementIndentStackSizeStack +p10709 +tp10710 +a(g340 +V- +tp10711 +a(g340 +V> +tp10712 +a(g18 +Vempty +p10713 +tp10714 +a(g198 +V( +tp10715 +a(g198 +V) +tp10716 +a(g198 +V) +tp10717 +a(g185 +V\u000a +tp10718 +a(g185 +V +p10719 +tp10720 +a(g198 +V{ +tp10721 +a(g185 +V\u000a +tp10722 +a(g185 +V +p10723 +tp10724 +a(g135 +Vunsigned +p10725 +tp10726 +a(g185 +V +tp10727 +a(g135 +Vint +p10728 +tp10729 +a(g185 +V +tp10730 +a(g18 +VpreviousIndentStackSize +p10731 +tp10732 +a(g185 +V +tp10733 +a(g340 +V= +tp10734 +a(g185 +V +tp10735 +a(g18 +VinStatementIndentStackSizeStack +p10736 +tp10737 +a(g340 +V- +tp10738 +a(g340 +V> +tp10739 +a(g18 +Vback +p10740 +tp10741 +a(g198 +V( +tp10742 +a(g198 +V) +tp10743 +a(g198 +V; +tp10744 +a(g185 +V\u000a +tp10745 +a(g185 +V +p10746 +tp10747 +a(g18 +VinStatementIndentStackSizeStack +p10748 +tp10749 +a(g340 +V- +tp10750 +a(g340 +V> +tp10751 +a(g18 +Vpop_back +p10752 +tp10753 +a(g198 +V( +tp10754 +a(g198 +V) +tp10755 +a(g198 +V; +tp10756 +a(g185 +V\u000a +tp10757 +a(g185 +V +p10758 +tp10759 +a(g111 +Vwhile +p10760 +tp10761 +a(g185 +V +tp10762 +a(g198 +V( +tp10763 +a(g18 +VpreviousIndentStackSize +p10764 +tp10765 +a(g185 +V +tp10766 +a(g340 +V< +tp10767 +a(g185 +V +tp10768 +a(g18 +VinStatementIndentStack +p10769 +tp10770 +a(g340 +V- +tp10771 +a(g340 +V> +tp10772 +a(g18 +Vsize +p10773 +tp10774 +a(g198 +V( +tp10775 +a(g198 +V) +tp10776 +a(g198 +V) +tp10777 +a(g185 +V\u000a +tp10778 +a(g185 +V +p10779 +tp10780 +a(g18 +VinStatementIndentStack +p10781 +tp10782 +a(g340 +V- +tp10783 +a(g340 +V> +tp10784 +a(g18 +Vpop_back +p10785 +tp10786 +a(g198 +V( +tp10787 +a(g198 +V) +tp10788 +a(g198 +V; +tp10789 +a(g185 +V\u000a +tp10790 +a(g185 +V\u000a +tp10791 +a(g185 +V +p10792 +tp10793 +a(g111 +Vif +p10794 +tp10795 +a(g185 +V +tp10796 +a(g198 +V( +tp10797 +a(g340 +V! +tp10798 +a(g18 +VparenIndentStack +p10799 +tp10800 +a(g340 +V- +tp10801 +a(g340 +V> +tp10802 +a(g18 +Vempty +p10803 +tp10804 +a(g198 +V( +tp10805 +a(g198 +V) +tp10806 +a(g198 +V) +tp10807 +a(g185 +V\u000a +tp10808 +a(g185 +V +p10809 +tp10810 +a(g198 +V{ +tp10811 +a(g185 +V\u000a +tp10812 +a(g185 +V +p10813 +tp10814 +a(g135 +Vint +p10815 +tp10816 +a(g185 +V +tp10817 +a(g18 +VpoppedIndent +p10818 +tp10819 +a(g185 +V +tp10820 +a(g340 +V= +tp10821 +a(g185 +V +tp10822 +a(g18 +VparenIndentStack +p10823 +tp10824 +a(g340 +V- +tp10825 +a(g340 +V> +tp10826 +a(g18 +Vback +p10827 +tp10828 +a(g198 +V( +tp10829 +a(g198 +V) +tp10830 +a(g198 +V; +tp10831 +a(g185 +V\u000a +tp10832 +a(g185 +V +p10833 +tp10834 +a(g18 +VparenIndentStack +p10835 +tp10836 +a(g340 +V- +tp10837 +a(g340 +V> +tp10838 +a(g18 +Vpop_back +p10839 +tp10840 +a(g198 +V( +tp10841 +a(g198 +V) +tp10842 +a(g198 +V; +tp10843 +a(g185 +V\u000a +tp10844 +a(g185 +V\u000a +tp10845 +a(g185 +V +p10846 +tp10847 +a(g111 +Vif +p10848 +tp10849 +a(g185 +V +tp10850 +a(g198 +V( +tp10851 +a(g18 +Vi +tp10852 +a(g185 +V +tp10853 +a(g340 +V= +tp10854 +a(g340 +V= +tp10855 +a(g185 +V +tp10856 +a(g315 +V0 +tp10857 +a(g198 +V) +tp10858 +a(g185 +V\u000a +tp10859 +a(g185 +V +p10860 +tp10861 +a(g18 +VspaceTabCount +p10862 +tp10863 +a(g185 +V +tp10864 +a(g340 +V= +tp10865 +a(g185 +V +tp10866 +a(g18 +VpoppedIndent +p10867 +tp10868 +a(g198 +V; +tp10869 +a(g185 +V\u000a +tp10870 +a(g185 +V +p10871 +tp10872 +a(g198 +V} +tp10873 +a(g185 +V\u000a +tp10874 +a(g185 +V +p10875 +tp10876 +a(g198 +V} +tp10877 +a(g185 +V\u000a +tp10878 +a(g185 +V +p10879 +tp10880 +a(g198 +V} +tp10881 +a(g185 +V\u000a +tp10882 +a(g185 +V\u000a +tp10883 +a(g185 +V +p10884 +tp10885 +a(g111 +Vcontinue +p10886 +tp10887 +a(g198 +V; +tp10888 +a(g185 +V\u000a +tp10889 +a(g185 +V +p10890 +tp10891 +a(g198 +V} +tp10892 +a(g185 +V\u000a +tp10893 +a(g185 +V\u000a +tp10894 +a(g185 +V\u000a +tp10895 +a(g185 +V +p10896 +tp10897 +a(g111 +Vif +p10898 +tp10899 +a(g185 +V +tp10900 +a(g198 +V( +tp10901 +a(g18 +Vch +p10902 +tp10903 +a(g185 +V +tp10904 +a(g340 +V= +tp10905 +a(g340 +V= +tp10906 +a(g185 +V +tp10907 +a(g264 +V'{' +p10908 +tp10909 +a(g198 +V) +tp10910 +a(g185 +V\u000a +tp10911 +a(g185 +V +p10912 +tp10913 +a(g198 +V{ +tp10914 +a(g185 +V\u000a +tp10915 +a(g185 +V +p10916 +tp10917 +a(g135 +Vbool +p10918 +tp10919 +a(g185 +V +tp10920 +a(g18 +VisBlockOpener +p10921 +tp10922 +a(g185 +V +tp10923 +a(g340 +V= +tp10924 +a(g185 +V +tp10925 +a(g113 +Vfalse +p10926 +tp10927 +a(g198 +V; +tp10928 +a(g185 +V\u000a +tp10929 +a(g185 +V\u000a +tp10930 +a(g185 +V +p10931 +tp10932 +a(g354 +V// first, check if '{' is a block-opener or an static-array opener\u000a +p10933 +tp10934 +a(g185 +V +p10935 +tp10936 +a(g18 +VisBlockOpener +p10937 +tp10938 +a(g185 +V +tp10939 +a(g340 +V= +tp10940 +a(g185 +V +tp10941 +a(g198 +V( +tp10942 +a(g185 +V +tp10943 +a(g198 +V( +tp10944 +a(g18 +VprevNonSpaceCh +p10945 +tp10946 +a(g185 +V +tp10947 +a(g340 +V= +tp10948 +a(g340 +V= +tp10949 +a(g185 +V +tp10950 +a(g264 +V'{' +p10951 +tp10952 +a(g185 +V +tp10953 +a(g340 +V& +tp10954 +a(g340 +V& +tp10955 +a(g185 +V +tp10956 +a(g18 +VbracketBlockStateStack +p10957 +tp10958 +a(g340 +V- +tp10959 +a(g340 +V> +tp10960 +a(g18 +Vback +p10961 +tp10962 +a(g198 +V( +tp10963 +a(g198 +V) +tp10964 +a(g198 +V) +tp10965 +a(g185 +V\u000a +tp10966 +a(g185 +V +p10967 +tp10968 +a(g340 +V| +tp10969 +a(g340 +V| +tp10970 +a(g185 +V +tp10971 +a(g18 +VprevNonSpaceCh +p10972 +tp10973 +a(g185 +V +tp10974 +a(g340 +V= +tp10975 +a(g340 +V= +tp10976 +a(g185 +V +tp10977 +a(g264 +V'}' +p10978 +tp10979 +a(g185 +V\u000a +tp10980 +a(g185 +V +p10981 +tp10982 +a(g340 +V| +tp10983 +a(g340 +V| +tp10984 +a(g185 +V +tp10985 +a(g18 +VprevNonSpaceCh +p10986 +tp10987 +a(g185 +V +tp10988 +a(g340 +V= +tp10989 +a(g340 +V= +tp10990 +a(g185 +V +tp10991 +a(g264 +V')' +p10992 +tp10993 +a(g185 +V\u000a +tp10994 +a(g185 +V +p10995 +tp10996 +a(g340 +V| +tp10997 +a(g340 +V| +tp10998 +a(g185 +V +tp10999 +a(g18 +VprevNonSpaceCh +p11000 +tp11001 +a(g185 +V +tp11002 +a(g340 +V= +tp11003 +a(g340 +V= +tp11004 +a(g185 +V +tp11005 +a(g264 +V';' +p11006 +tp11007 +a(g185 +V\u000a +tp11008 +a(g185 +V +p11009 +tp11010 +a(g340 +V| +tp11011 +a(g340 +V| +tp11012 +a(g185 +V +tp11013 +a(g18 +VisInClassHeader +p11014 +tp11015 +a(g185 +V\u000a +tp11016 +a(g185 +V +p11017 +tp11018 +a(g340 +V| +tp11019 +a(g340 +V| +tp11020 +a(g185 +V +tp11021 +a(g18 +VisBlockOpener +p11022 +tp11023 +a(g185 +V\u000a +tp11024 +a(g185 +V +p11025 +tp11026 +a(g340 +V| +tp11027 +a(g340 +V| +tp11028 +a(g185 +V +tp11029 +a(g18 +VisImmediatelyAfterConst +p11030 +tp11031 +a(g185 +V\u000a +tp11032 +a(g185 +V +p11033 +tp11034 +a(g340 +V| +tp11035 +a(g340 +V| +tp11036 +a(g185 +V +tp11037 +a(g198 +V( +tp11038 +a(g18 +VisInDefine +p11039 +tp11040 +a(g185 +V +tp11041 +a(g340 +V& +tp11042 +a(g340 +V& +tp11043 +a(g185 +V\u000a +tp11044 +a(g185 +V +p11045 +tp11046 +a(g198 +V( +tp11047 +a(g18 +VprevNonSpaceCh +p11048 +tp11049 +a(g185 +V +tp11050 +a(g340 +V= +tp11051 +a(g340 +V= +tp11052 +a(g185 +V +tp11053 +a(g264 +V'(' +p11054 +tp11055 +a(g185 +V\u000a +tp11056 +a(g185 +V +p11057 +tp11058 +a(g340 +V| +tp11059 +a(g340 +V| +tp11060 +a(g185 +V +tp11061 +a(g18 +VprevNonSpaceCh +p11062 +tp11063 +a(g185 +V +tp11064 +a(g340 +V= +tp11065 +a(g340 +V= +tp11066 +a(g185 +V +tp11067 +a(g264 +V'_' +p11068 +tp11069 +a(g185 +V\u000a +tp11070 +a(g185 +V +p11071 +tp11072 +a(g340 +V| +tp11073 +a(g340 +V| +tp11074 +a(g185 +V +tp11075 +a(g18 +Visalnum +p11076 +tp11077 +a(g198 +V( +tp11078 +a(g18 +VprevNonSpaceCh +p11079 +tp11080 +a(g198 +V) +tp11081 +a(g198 +V) +tp11082 +a(g198 +V) +tp11083 +a(g185 +V +tp11084 +a(g198 +V) +tp11085 +a(g198 +V; +tp11086 +a(g185 +V\u000a +tp11087 +a(g185 +V\u000a +tp11088 +a(g185 +V +p11089 +tp11090 +a(g18 +VisInClassHeader +p11091 +tp11092 +a(g185 +V +tp11093 +a(g340 +V= +tp11094 +a(g185 +V +tp11095 +a(g113 +Vfalse +p11096 +tp11097 +a(g198 +V; +tp11098 +a(g185 +V\u000a +tp11099 +a(g185 +V +p11100 +tp11101 +a(g111 +Vif +p11102 +tp11103 +a(g185 +V +tp11104 +a(g198 +V( +tp11105 +a(g340 +V! +tp11106 +a(g18 +VisBlockOpener +p11107 +tp11108 +a(g185 +V +tp11109 +a(g340 +V& +tp11110 +a(g340 +V& +tp11111 +a(g185 +V +tp11112 +a(g18 +VcurrentHeader +p11113 +tp11114 +a(g185 +V +tp11115 +a(g340 +V! +tp11116 +a(g340 +V= +tp11117 +a(g185 +V +tp11118 +a(g57 +VNULL +p11119 +tp11120 +a(g198 +V) +tp11121 +a(g185 +V\u000a +tp11122 +a(g185 +V +p11123 +tp11124 +a(g198 +V{ +tp11125 +a(g185 +V\u000a +tp11126 +a(g185 +V +p11127 +tp11128 +a(g111 +Vfor +p11129 +tp11130 +a(g185 +V +tp11131 +a(g198 +V( +tp11132 +a(g135 +Vunsigned +p11133 +tp11134 +a(g185 +V +tp11135 +a(g135 +Vint +p11136 +tp11137 +a(g185 +V +tp11138 +a(g18 +Vn +tp11139 +a(g340 +V= +tp11140 +a(g315 +V0 +tp11141 +a(g198 +V; +tp11142 +a(g185 +V +tp11143 +a(g18 +Vn +tp11144 +a(g185 +V +tp11145 +a(g340 +V< +tp11146 +a(g185 +V +tp11147 +a(g18 +VnonParenHeaders +p11148 +tp11149 +a(g198 +V. +tp11150 +a(g18 +Vsize +p11151 +tp11152 +a(g198 +V( +tp11153 +a(g198 +V) +tp11154 +a(g198 +V; +tp11155 +a(g185 +V +tp11156 +a(g18 +Vn +tp11157 +a(g340 +V+ +tp11158 +a(g340 +V+ +tp11159 +a(g198 +V) +tp11160 +a(g185 +V\u000a +tp11161 +a(g185 +V +p11162 +tp11163 +a(g111 +Vif +p11164 +tp11165 +a(g185 +V +tp11166 +a(g198 +V( +tp11167 +a(g18 +VcurrentHeader +p11168 +tp11169 +a(g185 +V +tp11170 +a(g340 +V= +tp11171 +a(g340 +V= +tp11172 +a(g185 +V +tp11173 +a(g18 +VnonParenHeaders +p11174 +tp11175 +a(g198 +V[ +tp11176 +a(g18 +Vn +tp11177 +a(g198 +V] +tp11178 +a(g198 +V) +tp11179 +a(g185 +V\u000a +tp11180 +a(g185 +V +p11181 +tp11182 +a(g198 +V{ +tp11183 +a(g185 +V\u000a +tp11184 +a(g185 +V +p11185 +tp11186 +a(g18 +VisBlockOpener +p11187 +tp11188 +a(g185 +V +tp11189 +a(g340 +V= +tp11190 +a(g185 +V +tp11191 +a(g113 +Vtrue +p11192 +tp11193 +a(g198 +V; +tp11194 +a(g185 +V\u000a +tp11195 +a(g185 +V +p11196 +tp11197 +a(g111 +Vbreak +p11198 +tp11199 +a(g198 +V; +tp11200 +a(g185 +V\u000a +tp11201 +a(g185 +V +p11202 +tp11203 +a(g198 +V} +tp11204 +a(g185 +V\u000a +tp11205 +a(g185 +V +p11206 +tp11207 +a(g198 +V} +tp11208 +a(g185 +V\u000a +tp11209 +a(g185 +V +p11210 +tp11211 +a(g18 +VbracketBlockStateStack +p11212 +tp11213 +a(g340 +V- +tp11214 +a(g340 +V> +tp11215 +a(g18 +Vpush_back +p11216 +tp11217 +a(g198 +V( +tp11218 +a(g18 +VisBlockOpener +p11219 +tp11220 +a(g198 +V) +tp11221 +a(g198 +V; +tp11222 +a(g185 +V\u000a +tp11223 +a(g185 +V +p11224 +tp11225 +a(g111 +Vif +p11226 +tp11227 +a(g185 +V +tp11228 +a(g198 +V( +tp11229 +a(g340 +V! +tp11230 +a(g18 +VisBlockOpener +p11231 +tp11232 +a(g198 +V) +tp11233 +a(g185 +V\u000a +tp11234 +a(g185 +V +p11235 +tp11236 +a(g198 +V{ +tp11237 +a(g185 +V\u000a +tp11238 +a(g185 +V +p11239 +tp11240 +a(g18 +VinStatementIndentStackSizeStack +p11241 +tp11242 +a(g340 +V- +tp11243 +a(g340 +V> +tp11244 +a(g18 +Vpush_back +p11245 +tp11246 +a(g198 +V( +tp11247 +a(g18 +VinStatementIndentStack +p11248 +tp11249 +a(g340 +V- +tp11250 +a(g340 +V> +tp11251 +a(g18 +Vsize +p11252 +tp11253 +a(g198 +V( +tp11254 +a(g198 +V) +tp11255 +a(g198 +V) +tp11256 +a(g198 +V; +tp11257 +a(g185 +V\u000a +tp11258 +a(g185 +V +p11259 +tp11260 +a(g18 +VregisterInStatementIndent +p11261 +tp11262 +a(g198 +V( +tp11263 +a(g18 +Vline +p11264 +tp11265 +a(g198 +V, +tp11266 +a(g185 +V +tp11267 +a(g18 +Vi +tp11268 +a(g198 +V, +tp11269 +a(g185 +V +tp11270 +a(g18 +VspaceTabCount +p11271 +tp11272 +a(g198 +V, +tp11273 +a(g185 +V +tp11274 +a(g315 +V0 +tp11275 +a(g198 +V, +tp11276 +a(g185 +V +tp11277 +a(g113 +Vtrue +p11278 +tp11279 +a(g198 +V) +tp11280 +a(g198 +V; +tp11281 +a(g185 +V\u000a +tp11282 +a(g185 +V +p11283 +tp11284 +a(g18 +VparenDepth +p11285 +tp11286 +a(g340 +V+ +tp11287 +a(g340 +V+ +tp11288 +a(g198 +V; +tp11289 +a(g185 +V\u000a +tp11290 +a(g185 +V +p11291 +tp11292 +a(g111 +Vif +p11293 +tp11294 +a(g185 +V +tp11295 +a(g198 +V( +tp11296 +a(g18 +Vi +tp11297 +a(g185 +V +tp11298 +a(g340 +V= +tp11299 +a(g340 +V= +tp11300 +a(g185 +V +tp11301 +a(g315 +V0 +tp11302 +a(g198 +V) +tp11303 +a(g185 +V\u000a +tp11304 +a(g185 +V +p11305 +tp11306 +a(g18 +VshouldIndentBrackettedLine +p11307 +tp11308 +a(g185 +V +tp11309 +a(g340 +V= +tp11310 +a(g185 +V +tp11311 +a(g113 +Vfalse +p11312 +tp11313 +a(g198 +V; +tp11314 +a(g185 +V\u000a +tp11315 +a(g185 +V\u000a +tp11316 +a(g185 +V +p11317 +tp11318 +a(g111 +Vcontinue +p11319 +tp11320 +a(g198 +V; +tp11321 +a(g185 +V\u000a +tp11322 +a(g185 +V +p11323 +tp11324 +a(g198 +V} +tp11325 +a(g185 +V\u000a +tp11326 +a(g185 +V\u000a +tp11327 +a(g185 +V +p11328 +tp11329 +a(g354 +V// this bracket is a block opener...\u000a +p11330 +tp11331 +a(g185 +V\u000a +tp11332 +a(g185 +V +p11333 +tp11334 +a(g340 +V+ +tp11335 +a(g340 +V+ +tp11336 +a(g18 +VlineOpeningBlocksNum +p11337 +tp11338 +a(g198 +V; +tp11339 +a(g185 +V\u000a +tp11340 +a(g185 +V\u000a +tp11341 +a(g185 +V +p11342 +tp11343 +a(g111 +Vif +p11344 +tp11345 +a(g185 +V +tp11346 +a(g198 +V( +tp11347 +a(g18 +VisInClassHeader +p11348 +tp11349 +a(g198 +V) +tp11350 +a(g185 +V\u000a +tp11351 +a(g185 +V +p11352 +tp11353 +a(g18 +VisInClassHeader +p11354 +tp11355 +a(g185 +V +tp11356 +a(g340 +V= +tp11357 +a(g185 +V +tp11358 +a(g113 +Vfalse +p11359 +tp11360 +a(g198 +V; +tp11361 +a(g185 +V\u000a +tp11362 +a(g185 +V +p11363 +tp11364 +a(g111 +Vif +p11365 +tp11366 +a(g185 +V +tp11367 +a(g198 +V( +tp11368 +a(g18 +VisInClassHeaderTab +p11369 +tp11370 +a(g198 +V) +tp11371 +a(g185 +V\u000a +tp11372 +a(g185 +V +p11373 +tp11374 +a(g198 +V{ +tp11375 +a(g185 +V\u000a +tp11376 +a(g185 +V +p11377 +tp11378 +a(g18 +VisInClassHeaderTab +p11379 +tp11380 +a(g185 +V +tp11381 +a(g340 +V= +tp11382 +a(g185 +V +tp11383 +a(g113 +Vfalse +p11384 +tp11385 +a(g198 +V; +tp11386 +a(g185 +V\u000a +tp11387 +a(g185 +V +p11388 +tp11389 +a(g18 +VtabCount +p11390 +tp11391 +a(g185 +V +tp11392 +a(g340 +V- +tp11393 +a(g340 +V= +tp11394 +a(g185 +V +tp11395 +a(g315 +V2 +tp11396 +a(g198 +V; +tp11397 +a(g185 +V\u000a +tp11398 +a(g185 +V +p11399 +tp11400 +a(g198 +V} +tp11401 +a(g185 +V\u000a +tp11402 +a(g185 +V\u000a +tp11403 +a(g185 +V +p11404 +tp11405 +a(g18 +VblockParenDepthStack +p11406 +tp11407 +a(g340 +V- +tp11408 +a(g340 +V> +tp11409 +a(g18 +Vpush_back +p11410 +tp11411 +a(g198 +V( +tp11412 +a(g18 +VparenDepth +p11413 +tp11414 +a(g198 +V) +tp11415 +a(g198 +V; +tp11416 +a(g185 +V\u000a +tp11417 +a(g185 +V +p11418 +tp11419 +a(g18 +VblockStatementStack +p11420 +tp11421 +a(g340 +V- +tp11422 +a(g340 +V> +tp11423 +a(g18 +Vpush_back +p11424 +tp11425 +a(g198 +V( +tp11426 +a(g18 +VisInStatement +p11427 +tp11428 +a(g198 +V) +tp11429 +a(g198 +V; +tp11430 +a(g185 +V\u000a +tp11431 +a(g185 +V\u000a +tp11432 +a(g185 +V +p11433 +tp11434 +a(g18 +VinStatementIndentStackSizeStack +p11435 +tp11436 +a(g340 +V- +tp11437 +a(g340 +V> +tp11438 +a(g18 +Vpush_back +p11439 +tp11440 +a(g198 +V( +tp11441 +a(g18 +VinStatementIndentStack +p11442 +tp11443 +a(g340 +V- +tp11444 +a(g340 +V> +tp11445 +a(g18 +Vsize +p11446 +tp11447 +a(g198 +V( +tp11448 +a(g198 +V) +tp11449 +a(g198 +V) +tp11450 +a(g198 +V; +tp11451 +a(g185 +V\u000a +tp11452 +a(g185 +V\u000a +tp11453 +a(g185 +V +p11454 +tp11455 +a(g18 +VblockTabCount +p11456 +tp11457 +a(g185 +V +tp11458 +a(g340 +V+ +tp11459 +a(g340 +V= +tp11460 +a(g185 +V +tp11461 +a(g18 +VisInStatement +p11462 +tp11463 +a(g340 +V? +tp11464 +a(g185 +V +tp11465 +a(g315 +V1 +tp11466 +a(g185 +V +tp11467 +a(g340 +V: +tp11468 +a(g185 +V +tp11469 +a(g315 +V0 +tp11470 +a(g198 +V; +tp11471 +a(g185 +V\u000a +tp11472 +a(g185 +V +p11473 +tp11474 +a(g18 +VparenDepth +p11475 +tp11476 +a(g185 +V +tp11477 +a(g340 +V= +tp11478 +a(g185 +V +tp11479 +a(g315 +V0 +tp11480 +a(g198 +V; +tp11481 +a(g185 +V\u000a +tp11482 +a(g185 +V +p11483 +tp11484 +a(g18 +VisInStatement +p11485 +tp11486 +a(g185 +V +tp11487 +a(g340 +V= +tp11488 +a(g185 +V +tp11489 +a(g113 +Vfalse +p11490 +tp11491 +a(g198 +V; +tp11492 +a(g185 +V\u000a +tp11493 +a(g185 +V\u000a +tp11494 +a(g185 +V +p11495 +tp11496 +a(g18 +VtempStacks +p11497 +tp11498 +a(g340 +V- +tp11499 +a(g340 +V> +tp11500 +a(g18 +Vpush_back +p11501 +tp11502 +a(g198 +V( +tp11503 +a(g111 +Vnew +p11504 +tp11505 +a(g185 +V +tp11506 +a(g18 +Vvector +p11507 +tp11508 +a(g340 +V< +tp11509 +a(g111 +Vconst +p11510 +tp11511 +a(g185 +V +tp11512 +a(g18 +Vstring +p11513 +tp11514 +a(g340 +V* +tp11515 +a(g340 +V> +tp11516 +a(g198 +V) +tp11517 +a(g198 +V; +tp11518 +a(g185 +V\u000a +tp11519 +a(g185 +V +p11520 +tp11521 +a(g18 +VheaderStack +p11522 +tp11523 +a(g340 +V- +tp11524 +a(g340 +V> +tp11525 +a(g18 +Vpush_back +p11526 +tp11527 +a(g198 +V( +tp11528 +a(g340 +V& +tp11529 +a(g18 +VAS_OPEN_BRACKET +p11530 +tp11531 +a(g198 +V) +tp11532 +a(g198 +V; +tp11533 +a(g185 +V\u000a +tp11534 +a(g185 +V +p11535 +tp11536 +a(g18 +VlastLineHeader +p11537 +tp11538 +a(g185 +V +tp11539 +a(g340 +V= +tp11540 +a(g185 +V +tp11541 +a(g340 +V& +tp11542 +a(g18 +VAS_OPEN_BRACKET +p11543 +tp11544 +a(g198 +V; +tp11545 +a(g185 +V +tp11546 +a(g354 +V// <------\u000a +p11547 +tp11548 +a(g185 +V\u000a +tp11549 +a(g185 +V +p11550 +tp11551 +a(g111 +Vcontinue +p11552 +tp11553 +a(g198 +V; +tp11554 +a(g185 +V\u000a +tp11555 +a(g185 +V +p11556 +tp11557 +a(g198 +V} +tp11558 +a(g185 +V\u000a +tp11559 +a(g185 +V\u000a +tp11560 +a(g185 +V +p11561 +tp11562 +a(g354 +V//check if a header has been reached\u000a +p11563 +tp11564 +a(g185 +V +p11565 +tp11566 +a(g111 +Vif +p11567 +tp11568 +a(g185 +V +tp11569 +a(g198 +V( +tp11570 +a(g18 +VprevCh +p11571 +tp11572 +a(g185 +V +tp11573 +a(g340 +V= +tp11574 +a(g340 +V= +tp11575 +a(g185 +V +tp11576 +a(g264 +V' ' +p11577 +tp11578 +a(g198 +V) +tp11579 +a(g185 +V\u000a +tp11580 +a(g185 +V +p11581 +tp11582 +a(g198 +V{ +tp11583 +a(g185 +V\u000a +tp11584 +a(g185 +V +p11585 +tp11586 +a(g135 +Vbool +p11587 +tp11588 +a(g185 +V +tp11589 +a(g18 +VisIndentableHeader +p11590 +tp11591 +a(g185 +V +tp11592 +a(g340 +V= +tp11593 +a(g185 +V +tp11594 +a(g113 +Vtrue +p11595 +tp11596 +a(g198 +V; +tp11597 +a(g185 +V\u000a +tp11598 +a(g185 +V +p11599 +tp11600 +a(g111 +Vconst +p11601 +tp11602 +a(g185 +V +tp11603 +a(g18 +Vstring +p11604 +tp11605 +a(g185 +V +tp11606 +a(g340 +V* +tp11607 +a(g18 +VnewHeader +p11608 +tp11609 +a(g185 +V +tp11610 +a(g340 +V= +tp11611 +a(g185 +V +tp11612 +a(g18 +VfindHeader +p11613 +tp11614 +a(g198 +V( +tp11615 +a(g18 +Vline +p11616 +tp11617 +a(g198 +V, +tp11618 +a(g185 +V +tp11619 +a(g18 +Vi +tp11620 +a(g198 +V, +tp11621 +a(g185 +V +tp11622 +a(g18 +Vheaders +p11623 +tp11624 +a(g198 +V) +tp11625 +a(g198 +V; +tp11626 +a(g185 +V\u000a +tp11627 +a(g185 +V +p11628 +tp11629 +a(g111 +Vif +p11630 +tp11631 +a(g185 +V +tp11632 +a(g198 +V( +tp11633 +a(g18 +VnewHeader +p11634 +tp11635 +a(g185 +V +tp11636 +a(g340 +V! +tp11637 +a(g340 +V= +tp11638 +a(g185 +V +tp11639 +a(g57 +VNULL +p11640 +tp11641 +a(g198 +V) +tp11642 +a(g185 +V\u000a +tp11643 +a(g185 +V +p11644 +tp11645 +a(g198 +V{ +tp11646 +a(g185 +V\u000a +tp11647 +a(g185 +V +p11648 +tp11649 +a(g354 +V// if we reached here, then this is a header...\u000a +p11650 +tp11651 +a(g185 +V +p11652 +tp11653 +a(g18 +VisInHeader +p11654 +tp11655 +a(g185 +V +tp11656 +a(g340 +V= +tp11657 +a(g185 +V +tp11658 +a(g113 +Vtrue +p11659 +tp11660 +a(g198 +V; +tp11661 +a(g185 +V\u000a +tp11662 +a(g185 +V\u000a +tp11663 +a(g185 +V +p11664 +tp11665 +a(g18 +Vvector +p11666 +tp11667 +a(g340 +V< +tp11668 +a(g111 +Vconst +p11669 +tp11670 +a(g185 +V +tp11671 +a(g18 +Vstring +p11672 +tp11673 +a(g340 +V* +tp11674 +a(g340 +V> +tp11675 +a(g185 +V +tp11676 +a(g340 +V* +tp11677 +a(g18 +VlastTempStack +p11678 +tp11679 +a(g198 +V; +tp11680 +a(g185 +V\u000a +tp11681 +a(g185 +V +p11682 +tp11683 +a(g111 +Vif +p11684 +tp11685 +a(g185 +V +tp11686 +a(g198 +V( +tp11687 +a(g18 +VtempStacks +p11688 +tp11689 +a(g340 +V- +tp11690 +a(g340 +V> +tp11691 +a(g18 +Vempty +p11692 +tp11693 +a(g198 +V( +tp11694 +a(g198 +V) +tp11695 +a(g198 +V) +tp11696 +a(g185 +V\u000a +tp11697 +a(g185 +V +p11698 +tp11699 +a(g18 +VlastTempStack +p11700 +tp11701 +a(g185 +V +tp11702 +a(g340 +V= +tp11703 +a(g185 +V +tp11704 +a(g57 +VNULL +p11705 +tp11706 +a(g198 +V; +tp11707 +a(g185 +V\u000a +tp11708 +a(g185 +V +p11709 +tp11710 +a(g111 +Velse +p11711 +tp11712 +a(g185 +V\u000a +tp11713 +a(g185 +V +p11714 +tp11715 +a(g18 +VlastTempStack +p11716 +tp11717 +a(g185 +V +tp11718 +a(g340 +V= +tp11719 +a(g185 +V +tp11720 +a(g18 +VtempStacks +p11721 +tp11722 +a(g340 +V- +tp11723 +a(g340 +V> +tp11724 +a(g18 +Vback +p11725 +tp11726 +a(g198 +V( +tp11727 +a(g198 +V) +tp11728 +a(g198 +V; +tp11729 +a(g185 +V\u000a +tp11730 +a(g185 +V\u000a +tp11731 +a(g185 +V +p11732 +tp11733 +a(g354 +V// if a new block is opened, push a new stack into tempStacks to hold the\u000a +p11734 +tp11735 +a(g185 +V +p11736 +tp11737 +a(g354 +V// future list of headers in the new block.\u000a +p11738 +tp11739 +a(g185 +V\u000a +tp11740 +a(g185 +V +p11741 +tp11742 +a(g354 +V// take care of the special case: 'else if (...)'\u000a +p11743 +tp11744 +a(g185 +V +p11745 +tp11746 +a(g111 +Vif +p11747 +tp11748 +a(g185 +V +tp11749 +a(g198 +V( +tp11750 +a(g18 +VnewHeader +p11751 +tp11752 +a(g185 +V +tp11753 +a(g340 +V= +tp11754 +a(g340 +V= +tp11755 +a(g185 +V +tp11756 +a(g340 +V& +tp11757 +a(g18 +VAS_IF +p11758 +tp11759 +a(g185 +V +tp11760 +a(g340 +V& +tp11761 +a(g340 +V& +tp11762 +a(g185 +V +tp11763 +a(g18 +VlastLineHeader +p11764 +tp11765 +a(g185 +V +tp11766 +a(g340 +V= +tp11767 +a(g340 +V= +tp11768 +a(g185 +V +tp11769 +a(g340 +V& +tp11770 +a(g18 +VAS_ELSE +p11771 +tp11772 +a(g198 +V) +tp11773 +a(g185 +V\u000a +tp11774 +a(g185 +V +p11775 +tp11776 +a(g198 +V{ +tp11777 +a(g185 +V\u000a +tp11778 +a(g185 +V +p11779 +tp11780 +a(g354 +V//spaceTabCount += indentLength; // to counter the opposite addition that occurs when the 'if' is registered below...\u000a +p11781 +tp11782 +a(g185 +V +p11783 +tp11784 +a(g18 +VheaderStack +p11785 +tp11786 +a(g340 +V- +tp11787 +a(g340 +V> +tp11788 +a(g18 +Vpop_back +p11789 +tp11790 +a(g198 +V( +tp11791 +a(g198 +V) +tp11792 +a(g198 +V; +tp11793 +a(g185 +V\u000a +tp11794 +a(g185 +V +p11795 +tp11796 +a(g198 +V} +tp11797 +a(g185 +V\u000a +tp11798 +a(g185 +V\u000a +tp11799 +a(g185 +V +p11800 +tp11801 +a(g354 +V// take care of 'else'\u000a +p11802 +tp11803 +a(g185 +V +p11804 +tp11805 +a(g111 +Velse +p11806 +tp11807 +a(g185 +V +tp11808 +a(g111 +Vif +p11809 +tp11810 +a(g185 +V +tp11811 +a(g198 +V( +tp11812 +a(g18 +VnewHeader +p11813 +tp11814 +a(g185 +V +tp11815 +a(g340 +V= +tp11816 +a(g340 +V= +tp11817 +a(g185 +V +tp11818 +a(g340 +V& +tp11819 +a(g18 +VAS_ELSE +p11820 +tp11821 +a(g198 +V) +tp11822 +a(g185 +V\u000a +tp11823 +a(g185 +V +p11824 +tp11825 +a(g198 +V{ +tp11826 +a(g185 +V\u000a +tp11827 +a(g185 +V +p11828 +tp11829 +a(g111 +Vif +p11830 +tp11831 +a(g185 +V +tp11832 +a(g198 +V( +tp11833 +a(g18 +VlastTempStack +p11834 +tp11835 +a(g185 +V +tp11836 +a(g340 +V! +tp11837 +a(g340 +V= +tp11838 +a(g185 +V +tp11839 +a(g57 +VNULL +p11840 +tp11841 +a(g198 +V) +tp11842 +a(g185 +V\u000a +tp11843 +a(g185 +V +p11844 +tp11845 +a(g198 +V{ +tp11846 +a(g185 +V\u000a +tp11847 +a(g185 +V +p11848 +tp11849 +a(g135 +Vint +p11850 +tp11851 +a(g185 +V +tp11852 +a(g18 +VindexOfIf +p11853 +tp11854 +a(g185 +V +tp11855 +a(g340 +V= +tp11856 +a(g185 +V +tp11857 +a(g18 +VindexOf +p11858 +tp11859 +a(g198 +V( +tp11860 +a(g340 +V* +tp11861 +a(g18 +VlastTempStack +p11862 +tp11863 +a(g198 +V, +tp11864 +a(g185 +V +tp11865 +a(g340 +V& +tp11866 +a(g18 +VAS_IF +p11867 +tp11868 +a(g198 +V) +tp11869 +a(g198 +V; +tp11870 +a(g185 +V +tp11871 +a(g354 +V// <---\u000a +p11872 +tp11873 +a(g185 +V +p11874 +tp11875 +a(g111 +Vif +p11876 +tp11877 +a(g185 +V +tp11878 +a(g198 +V( +tp11879 +a(g18 +VindexOfIf +p11880 +tp11881 +a(g185 +V +tp11882 +a(g340 +V! +tp11883 +a(g340 +V= +tp11884 +a(g185 +V +tp11885 +a(g340 +V- +tp11886 +a(g315 +V1 +tp11887 +a(g198 +V) +tp11888 +a(g185 +V\u000a +tp11889 +a(g185 +V +p11890 +tp11891 +a(g198 +V{ +tp11892 +a(g185 +V\u000a +tp11893 +a(g185 +V +p11894 +tp11895 +a(g354 +V// recreate the header list in headerStack up to the previous 'if'\u000a +p11896 +tp11897 +a(g185 +V +p11898 +tp11899 +a(g354 +V// from the temporary snapshot stored in lastTempStack.\u000a +p11900 +tp11901 +a(g185 +V +p11902 +tp11903 +a(g135 +Vint +p11904 +tp11905 +a(g185 +V +tp11906 +a(g18 +VrestackSize +p11907 +tp11908 +a(g185 +V +tp11909 +a(g340 +V= +tp11910 +a(g185 +V +tp11911 +a(g18 +VlastTempStack +p11912 +tp11913 +a(g340 +V- +tp11914 +a(g340 +V> +tp11915 +a(g18 +Vsize +p11916 +tp11917 +a(g198 +V( +tp11918 +a(g198 +V) +tp11919 +a(g185 +V +tp11920 +a(g340 +V- +tp11921 +a(g185 +V +tp11922 +a(g18 +VindexOfIf +p11923 +tp11924 +a(g185 +V +tp11925 +a(g340 +V- +tp11926 +a(g185 +V +tp11927 +a(g315 +V1 +tp11928 +a(g198 +V; +tp11929 +a(g185 +V\u000a +tp11930 +a(g185 +V +p11931 +tp11932 +a(g111 +Vfor +p11933 +tp11934 +a(g185 +V +tp11935 +a(g198 +V( +tp11936 +a(g135 +Vint +p11937 +tp11938 +a(g185 +V +tp11939 +a(g18 +Vr +tp11940 +a(g340 +V= +tp11941 +a(g315 +V0 +tp11942 +a(g198 +V; +tp11943 +a(g185 +V +tp11944 +a(g18 +Vr +tp11945 +a(g340 +V< +tp11946 +a(g18 +VrestackSize +p11947 +tp11948 +a(g198 +V; +tp11949 +a(g185 +V +tp11950 +a(g18 +Vr +tp11951 +a(g340 +V+ +tp11952 +a(g340 +V+ +tp11953 +a(g198 +V) +tp11954 +a(g185 +V\u000a +tp11955 +a(g185 +V +p11956 +tp11957 +a(g198 +V{ +tp11958 +a(g185 +V\u000a +tp11959 +a(g185 +V +p11960 +tp11961 +a(g18 +VheaderStack +p11962 +tp11963 +a(g340 +V- +tp11964 +a(g340 +V> +tp11965 +a(g18 +Vpush_back +p11966 +tp11967 +a(g198 +V( +tp11968 +a(g18 +VlastTempStack +p11969 +tp11970 +a(g340 +V- +tp11971 +a(g340 +V> +tp11972 +a(g18 +Vback +p11973 +tp11974 +a(g198 +V( +tp11975 +a(g198 +V) +tp11976 +a(g198 +V) +tp11977 +a(g198 +V; +tp11978 +a(g185 +V\u000a +tp11979 +a(g185 +V +p11980 +tp11981 +a(g18 +VlastTempStack +p11982 +tp11983 +a(g340 +V- +tp11984 +a(g340 +V> +tp11985 +a(g18 +Vpop_back +p11986 +tp11987 +a(g198 +V( +tp11988 +a(g198 +V) +tp11989 +a(g198 +V; +tp11990 +a(g185 +V\u000a +tp11991 +a(g185 +V +p11992 +tp11993 +a(g198 +V} +tp11994 +a(g185 +V\u000a +tp11995 +a(g185 +V +p11996 +tp11997 +a(g111 +Vif +p11998 +tp11999 +a(g185 +V +tp12000 +a(g198 +V( +tp12001 +a(g340 +V! +tp12002 +a(g18 +VclosingBracketReached +p12003 +tp12004 +a(g198 +V) +tp12005 +a(g185 +V\u000a +tp12006 +a(g185 +V +p12007 +tp12008 +a(g18 +VtabCount +p12009 +tp12010 +a(g185 +V +tp12011 +a(g340 +V+ +tp12012 +a(g340 +V= +tp12013 +a(g185 +V +tp12014 +a(g18 +VrestackSize +p12015 +tp12016 +a(g198 +V; +tp12017 +a(g185 +V\u000a +tp12018 +a(g185 +V +p12019 +tp12020 +a(g198 +V} +tp12021 +a(g185 +V\u000a +tp12022 +a(g185 +V +p12023 +tp12024 +a(g7 +V/*\u000a * If the above if is not true, i.e. no 'if' before the 'else',\u000a * then nothing beautiful will come out of this...\u000a * I should think about inserting an Exception here to notify the caller of this...\u000a */ +p12025 +tp12026 +a(g185 +V\u000a +tp12027 +a(g185 +V +p12028 +tp12029 +a(g198 +V} +tp12030 +a(g185 +V\u000a +tp12031 +a(g185 +V +p12032 +tp12033 +a(g198 +V} +tp12034 +a(g185 +V\u000a +tp12035 +a(g185 +V\u000a +tp12036 +a(g185 +V +p12037 +tp12038 +a(g354 +V// check if 'while' closes a previous 'do'\u000a +p12039 +tp12040 +a(g185 +V +p12041 +tp12042 +a(g111 +Velse +p12043 +tp12044 +a(g185 +V +tp12045 +a(g111 +Vif +p12046 +tp12047 +a(g185 +V +tp12048 +a(g198 +V( +tp12049 +a(g18 +VnewHeader +p12050 +tp12051 +a(g185 +V +tp12052 +a(g340 +V= +tp12053 +a(g340 +V= +tp12054 +a(g185 +V +tp12055 +a(g340 +V& +tp12056 +a(g18 +VAS_WHILE +p12057 +tp12058 +a(g198 +V) +tp12059 +a(g185 +V\u000a +tp12060 +a(g185 +V +p12061 +tp12062 +a(g198 +V{ +tp12063 +a(g185 +V\u000a +tp12064 +a(g185 +V +p12065 +tp12066 +a(g111 +Vif +p12067 +tp12068 +a(g185 +V +tp12069 +a(g198 +V( +tp12070 +a(g18 +VlastTempStack +p12071 +tp12072 +a(g185 +V +tp12073 +a(g340 +V! +tp12074 +a(g340 +V= +tp12075 +a(g185 +V +tp12076 +a(g57 +VNULL +p12077 +tp12078 +a(g198 +V) +tp12079 +a(g185 +V\u000a +tp12080 +a(g185 +V +p12081 +tp12082 +a(g198 +V{ +tp12083 +a(g185 +V\u000a +tp12084 +a(g185 +V +p12085 +tp12086 +a(g135 +Vint +p12087 +tp12088 +a(g185 +V +tp12089 +a(g18 +VindexOfDo +p12090 +tp12091 +a(g185 +V +tp12092 +a(g340 +V= +tp12093 +a(g185 +V +tp12094 +a(g18 +VindexOf +p12095 +tp12096 +a(g198 +V( +tp12097 +a(g340 +V* +tp12098 +a(g18 +VlastTempStack +p12099 +tp12100 +a(g198 +V, +tp12101 +a(g185 +V +tp12102 +a(g340 +V& +tp12103 +a(g18 +VAS_DO +p12104 +tp12105 +a(g198 +V) +tp12106 +a(g198 +V; +tp12107 +a(g185 +V +tp12108 +a(g354 +V// <---\u000a +p12109 +tp12110 +a(g185 +V +p12111 +tp12112 +a(g111 +Vif +p12113 +tp12114 +a(g185 +V +tp12115 +a(g198 +V( +tp12116 +a(g18 +VindexOfDo +p12117 +tp12118 +a(g185 +V +tp12119 +a(g340 +V! +tp12120 +a(g340 +V= +tp12121 +a(g185 +V +tp12122 +a(g340 +V- +tp12123 +a(g315 +V1 +tp12124 +a(g198 +V) +tp12125 +a(g185 +V\u000a +tp12126 +a(g185 +V +p12127 +tp12128 +a(g198 +V{ +tp12129 +a(g185 +V\u000a +tp12130 +a(g185 +V +p12131 +tp12132 +a(g354 +V// recreate the header list in headerStack up to the previous 'do'\u000a +p12133 +tp12134 +a(g185 +V +p12135 +tp12136 +a(g354 +V// from the temporary snapshot stored in lastTempStack.\u000a +p12137 +tp12138 +a(g185 +V +p12139 +tp12140 +a(g135 +Vint +p12141 +tp12142 +a(g185 +V +tp12143 +a(g18 +VrestackSize +p12144 +tp12145 +a(g185 +V +tp12146 +a(g340 +V= +tp12147 +a(g185 +V +tp12148 +a(g18 +VlastTempStack +p12149 +tp12150 +a(g340 +V- +tp12151 +a(g340 +V> +tp12152 +a(g18 +Vsize +p12153 +tp12154 +a(g198 +V( +tp12155 +a(g198 +V) +tp12156 +a(g185 +V +tp12157 +a(g340 +V- +tp12158 +a(g185 +V +tp12159 +a(g18 +VindexOfDo +p12160 +tp12161 +a(g185 +V +tp12162 +a(g340 +V- +tp12163 +a(g185 +V +tp12164 +a(g315 +V1 +tp12165 +a(g198 +V; +tp12166 +a(g185 +V\u000a +tp12167 +a(g185 +V +p12168 +tp12169 +a(g111 +Vfor +p12170 +tp12171 +a(g185 +V +tp12172 +a(g198 +V( +tp12173 +a(g135 +Vint +p12174 +tp12175 +a(g185 +V +tp12176 +a(g18 +Vr +tp12177 +a(g340 +V= +tp12178 +a(g315 +V0 +tp12179 +a(g198 +V; +tp12180 +a(g185 +V +tp12181 +a(g18 +Vr +tp12182 +a(g340 +V< +tp12183 +a(g18 +VrestackSize +p12184 +tp12185 +a(g198 +V; +tp12186 +a(g185 +V +tp12187 +a(g18 +Vr +tp12188 +a(g340 +V+ +tp12189 +a(g340 +V+ +tp12190 +a(g198 +V) +tp12191 +a(g185 +V\u000a +tp12192 +a(g185 +V +p12193 +tp12194 +a(g198 +V{ +tp12195 +a(g185 +V\u000a +tp12196 +a(g185 +V +p12197 +tp12198 +a(g18 +VheaderStack +p12199 +tp12200 +a(g340 +V- +tp12201 +a(g340 +V> +tp12202 +a(g18 +Vpush_back +p12203 +tp12204 +a(g198 +V( +tp12205 +a(g18 +VlastTempStack +p12206 +tp12207 +a(g340 +V- +tp12208 +a(g340 +V> +tp12209 +a(g18 +Vback +p12210 +tp12211 +a(g198 +V( +tp12212 +a(g198 +V) +tp12213 +a(g198 +V) +tp12214 +a(g198 +V; +tp12215 +a(g185 +V\u000a +tp12216 +a(g185 +V +p12217 +tp12218 +a(g18 +VlastTempStack +p12219 +tp12220 +a(g340 +V- +tp12221 +a(g340 +V> +tp12222 +a(g18 +Vpop_back +p12223 +tp12224 +a(g198 +V( +tp12225 +a(g198 +V) +tp12226 +a(g198 +V; +tp12227 +a(g185 +V\u000a +tp12228 +a(g185 +V +p12229 +tp12230 +a(g198 +V} +tp12231 +a(g185 +V\u000a +tp12232 +a(g185 +V +p12233 +tp12234 +a(g111 +Vif +p12235 +tp12236 +a(g185 +V +tp12237 +a(g198 +V( +tp12238 +a(g340 +V! +tp12239 +a(g18 +VclosingBracketReached +p12240 +tp12241 +a(g198 +V) +tp12242 +a(g185 +V\u000a +tp12243 +a(g185 +V +p12244 +tp12245 +a(g18 +VtabCount +p12246 +tp12247 +a(g185 +V +tp12248 +a(g340 +V+ +tp12249 +a(g340 +V= +tp12250 +a(g185 +V +tp12251 +a(g18 +VrestackSize +p12252 +tp12253 +a(g198 +V; +tp12254 +a(g185 +V\u000a +tp12255 +a(g185 +V +p12256 +tp12257 +a(g198 +V} +tp12258 +a(g185 +V\u000a +tp12259 +a(g185 +V +p12260 +tp12261 +a(g198 +V} +tp12262 +a(g185 +V\u000a +tp12263 +a(g185 +V +p12264 +tp12265 +a(g198 +V} +tp12266 +a(g185 +V\u000a +tp12267 +a(g185 +V +p12268 +tp12269 +a(g354 +V// check if 'catch' closes a previous 'try' or 'catch'\u000a +p12270 +tp12271 +a(g185 +V +p12272 +tp12273 +a(g111 +Velse +p12274 +tp12275 +a(g185 +V +tp12276 +a(g111 +Vif +p12277 +tp12278 +a(g185 +V +tp12279 +a(g198 +V( +tp12280 +a(g18 +VnewHeader +p12281 +tp12282 +a(g185 +V +tp12283 +a(g340 +V= +tp12284 +a(g340 +V= +tp12285 +a(g185 +V +tp12286 +a(g340 +V& +tp12287 +a(g18 +VAS_CATCH +p12288 +tp12289 +a(g185 +V +tp12290 +a(g340 +V| +tp12291 +a(g340 +V| +tp12292 +a(g185 +V +tp12293 +a(g18 +VnewHeader +p12294 +tp12295 +a(g185 +V +tp12296 +a(g340 +V= +tp12297 +a(g340 +V= +tp12298 +a(g185 +V +tp12299 +a(g340 +V& +tp12300 +a(g18 +VAS_FINALLY +p12301 +tp12302 +a(g198 +V) +tp12303 +a(g185 +V\u000a +tp12304 +a(g185 +V +p12305 +tp12306 +a(g198 +V{ +tp12307 +a(g185 +V\u000a +tp12308 +a(g185 +V +p12309 +tp12310 +a(g111 +Vif +p12311 +tp12312 +a(g185 +V +tp12313 +a(g198 +V( +tp12314 +a(g18 +VlastTempStack +p12315 +tp12316 +a(g185 +V +tp12317 +a(g340 +V! +tp12318 +a(g340 +V= +tp12319 +a(g185 +V +tp12320 +a(g57 +VNULL +p12321 +tp12322 +a(g198 +V) +tp12323 +a(g185 +V\u000a +tp12324 +a(g185 +V +p12325 +tp12326 +a(g198 +V{ +tp12327 +a(g185 +V\u000a +tp12328 +a(g185 +V +p12329 +tp12330 +a(g135 +Vint +p12331 +tp12332 +a(g185 +V +tp12333 +a(g18 +VindexOfTry +p12334 +tp12335 +a(g185 +V +tp12336 +a(g340 +V= +tp12337 +a(g185 +V +tp12338 +a(g18 +VindexOf +p12339 +tp12340 +a(g198 +V( +tp12341 +a(g340 +V* +tp12342 +a(g18 +VlastTempStack +p12343 +tp12344 +a(g198 +V, +tp12345 +a(g185 +V +tp12346 +a(g340 +V& +tp12347 +a(g18 +VAS_TRY +p12348 +tp12349 +a(g198 +V) +tp12350 +a(g198 +V; +tp12351 +a(g185 +V\u000a +tp12352 +a(g185 +V +p12353 +tp12354 +a(g111 +Vif +p12355 +tp12356 +a(g185 +V +tp12357 +a(g198 +V( +tp12358 +a(g18 +VindexOfTry +p12359 +tp12360 +a(g185 +V +tp12361 +a(g340 +V= +tp12362 +a(g340 +V= +tp12363 +a(g185 +V +tp12364 +a(g340 +V- +tp12365 +a(g315 +V1 +tp12366 +a(g198 +V) +tp12367 +a(g185 +V\u000a +tp12368 +a(g185 +V +p12369 +tp12370 +a(g18 +VindexOfTry +p12371 +tp12372 +a(g185 +V +tp12373 +a(g340 +V= +tp12374 +a(g185 +V +tp12375 +a(g18 +VindexOf +p12376 +tp12377 +a(g198 +V( +tp12378 +a(g340 +V* +tp12379 +a(g18 +VlastTempStack +p12380 +tp12381 +a(g198 +V, +tp12382 +a(g185 +V +tp12383 +a(g340 +V& +tp12384 +a(g18 +VAS_CATCH +p12385 +tp12386 +a(g198 +V) +tp12387 +a(g198 +V; +tp12388 +a(g185 +V\u000a +tp12389 +a(g185 +V +p12390 +tp12391 +a(g111 +Vif +p12392 +tp12393 +a(g185 +V +tp12394 +a(g198 +V( +tp12395 +a(g18 +VindexOfTry +p12396 +tp12397 +a(g185 +V +tp12398 +a(g340 +V! +tp12399 +a(g340 +V= +tp12400 +a(g185 +V +tp12401 +a(g340 +V- +tp12402 +a(g315 +V1 +tp12403 +a(g198 +V) +tp12404 +a(g185 +V\u000a +tp12405 +a(g185 +V +p12406 +tp12407 +a(g198 +V{ +tp12408 +a(g185 +V\u000a +tp12409 +a(g185 +V +p12410 +tp12411 +a(g354 +V// recreate the header list in headerStack up to the previous 'try'\u000a +p12412 +tp12413 +a(g185 +V +p12414 +tp12415 +a(g354 +V// from the temporary snapshot stored in lastTempStack.\u000a +p12416 +tp12417 +a(g185 +V +p12418 +tp12419 +a(g135 +Vint +p12420 +tp12421 +a(g185 +V +tp12422 +a(g18 +VrestackSize +p12423 +tp12424 +a(g185 +V +tp12425 +a(g340 +V= +tp12426 +a(g185 +V +tp12427 +a(g18 +VlastTempStack +p12428 +tp12429 +a(g340 +V- +tp12430 +a(g340 +V> +tp12431 +a(g18 +Vsize +p12432 +tp12433 +a(g198 +V( +tp12434 +a(g198 +V) +tp12435 +a(g185 +V +tp12436 +a(g340 +V- +tp12437 +a(g185 +V +tp12438 +a(g18 +VindexOfTry +p12439 +tp12440 +a(g185 +V +tp12441 +a(g340 +V- +tp12442 +a(g185 +V +tp12443 +a(g315 +V1 +tp12444 +a(g198 +V; +tp12445 +a(g185 +V\u000a +tp12446 +a(g185 +V +p12447 +tp12448 +a(g111 +Vfor +p12449 +tp12450 +a(g185 +V +tp12451 +a(g198 +V( +tp12452 +a(g135 +Vint +p12453 +tp12454 +a(g185 +V +tp12455 +a(g18 +Vr +tp12456 +a(g340 +V= +tp12457 +a(g315 +V0 +tp12458 +a(g198 +V; +tp12459 +a(g185 +V +tp12460 +a(g18 +Vr +tp12461 +a(g340 +V< +tp12462 +a(g18 +VrestackSize +p12463 +tp12464 +a(g198 +V; +tp12465 +a(g185 +V +tp12466 +a(g18 +Vr +tp12467 +a(g340 +V+ +tp12468 +a(g340 +V+ +tp12469 +a(g198 +V) +tp12470 +a(g185 +V\u000a +tp12471 +a(g185 +V +p12472 +tp12473 +a(g198 +V{ +tp12474 +a(g185 +V\u000a +tp12475 +a(g185 +V +p12476 +tp12477 +a(g18 +VheaderStack +p12478 +tp12479 +a(g340 +V- +tp12480 +a(g340 +V> +tp12481 +a(g18 +Vpush_back +p12482 +tp12483 +a(g198 +V( +tp12484 +a(g18 +VlastTempStack +p12485 +tp12486 +a(g340 +V- +tp12487 +a(g340 +V> +tp12488 +a(g18 +Vback +p12489 +tp12490 +a(g198 +V( +tp12491 +a(g198 +V) +tp12492 +a(g198 +V) +tp12493 +a(g198 +V; +tp12494 +a(g185 +V\u000a +tp12495 +a(g185 +V +p12496 +tp12497 +a(g18 +VlastTempStack +p12498 +tp12499 +a(g340 +V- +tp12500 +a(g340 +V> +tp12501 +a(g18 +Vpop_back +p12502 +tp12503 +a(g198 +V( +tp12504 +a(g198 +V) +tp12505 +a(g198 +V; +tp12506 +a(g185 +V\u000a +tp12507 +a(g185 +V +p12508 +tp12509 +a(g198 +V} +tp12510 +a(g185 +V\u000a +tp12511 +a(g185 +V\u000a +tp12512 +a(g185 +V +p12513 +tp12514 +a(g111 +Vif +p12515 +tp12516 +a(g185 +V +tp12517 +a(g198 +V( +tp12518 +a(g340 +V! +tp12519 +a(g18 +VclosingBracketReached +p12520 +tp12521 +a(g198 +V) +tp12522 +a(g185 +V\u000a +tp12523 +a(g185 +V +p12524 +tp12525 +a(g18 +VtabCount +p12526 +tp12527 +a(g185 +V +tp12528 +a(g340 +V+ +tp12529 +a(g340 +V= +tp12530 +a(g185 +V +tp12531 +a(g18 +VrestackSize +p12532 +tp12533 +a(g198 +V; +tp12534 +a(g185 +V\u000a +tp12535 +a(g185 +V +p12536 +tp12537 +a(g198 +V} +tp12538 +a(g185 +V\u000a +tp12539 +a(g185 +V +p12540 +tp12541 +a(g198 +V} +tp12542 +a(g185 +V\u000a +tp12543 +a(g185 +V +p12544 +tp12545 +a(g198 +V} +tp12546 +a(g185 +V\u000a +tp12547 +a(g185 +V +p12548 +tp12549 +a(g111 +Velse +p12550 +tp12551 +a(g185 +V +tp12552 +a(g111 +Vif +p12553 +tp12554 +a(g185 +V +tp12555 +a(g198 +V( +tp12556 +a(g18 +VnewHeader +p12557 +tp12558 +a(g185 +V +tp12559 +a(g340 +V= +tp12560 +a(g340 +V= +tp12561 +a(g185 +V +tp12562 +a(g340 +V& +tp12563 +a(g18 +VAS_CASE +p12564 +tp12565 +a(g198 +V) +tp12566 +a(g185 +V\u000a +tp12567 +a(g185 +V +p12568 +tp12569 +a(g198 +V{ +tp12570 +a(g185 +V\u000a +tp12571 +a(g185 +V +p12572 +tp12573 +a(g18 +VisInCase +p12574 +tp12575 +a(g185 +V +tp12576 +a(g340 +V= +tp12577 +a(g185 +V +tp12578 +a(g113 +Vtrue +p12579 +tp12580 +a(g198 +V; +tp12581 +a(g185 +V\u000a +tp12582 +a(g185 +V +p12583 +tp12584 +a(g111 +Vif +p12585 +tp12586 +a(g185 +V +tp12587 +a(g198 +V( +tp12588 +a(g340 +V! +tp12589 +a(g18 +VcaseIndent +p12590 +tp12591 +a(g198 +V) +tp12592 +a(g185 +V\u000a +tp12593 +a(g185 +V +p12594 +tp12595 +a(g340 +V- +tp12596 +a(g340 +V- +tp12597 +a(g18 +VtabCount +p12598 +tp12599 +a(g198 +V; +tp12600 +a(g185 +V\u000a +tp12601 +a(g185 +V +p12602 +tp12603 +a(g198 +V} +tp12604 +a(g185 +V\u000a +tp12605 +a(g185 +V +p12606 +tp12607 +a(g111 +Velse +p12608 +tp12609 +a(g185 +V +tp12610 +a(g111 +Vif +p12611 +tp12612 +a(g198 +V( +tp12613 +a(g18 +VnewHeader +p12614 +tp12615 +a(g185 +V +tp12616 +a(g340 +V= +tp12617 +a(g340 +V= +tp12618 +a(g185 +V +tp12619 +a(g340 +V& +tp12620 +a(g18 +VAS_DEFAULT +p12621 +tp12622 +a(g198 +V) +tp12623 +a(g185 +V\u000a +tp12624 +a(g185 +V +p12625 +tp12626 +a(g198 +V{ +tp12627 +a(g185 +V\u000a +tp12628 +a(g185 +V +p12629 +tp12630 +a(g18 +VisInCase +p12631 +tp12632 +a(g185 +V +tp12633 +a(g340 +V= +tp12634 +a(g185 +V +tp12635 +a(g113 +Vtrue +p12636 +tp12637 +a(g198 +V; +tp12638 +a(g185 +V\u000a +tp12639 +a(g185 +V +p12640 +tp12641 +a(g111 +Vif +p12642 +tp12643 +a(g185 +V +tp12644 +a(g198 +V( +tp12645 +a(g340 +V! +tp12646 +a(g18 +VcaseIndent +p12647 +tp12648 +a(g198 +V) +tp12649 +a(g185 +V\u000a +tp12650 +a(g185 +V +p12651 +tp12652 +a(g340 +V- +tp12653 +a(g340 +V- +tp12654 +a(g18 +VtabCount +p12655 +tp12656 +a(g198 +V; +tp12657 +a(g185 +V\u000a +tp12658 +a(g185 +V +p12659 +tp12660 +a(g198 +V} +tp12661 +a(g185 +V\u000a +tp12662 +a(g185 +V +p12663 +tp12664 +a(g111 +Velse +p12665 +tp12666 +a(g185 +V +tp12667 +a(g111 +Vif +p12668 +tp12669 +a(g185 +V +tp12670 +a(g198 +V( +tp12671 +a(g18 +VnewHeader +p12672 +tp12673 +a(g185 +V +tp12674 +a(g340 +V= +tp12675 +a(g340 +V= +tp12676 +a(g185 +V +tp12677 +a(g340 +V& +tp12678 +a(g18 +VAS_PUBLIC +p12679 +tp12680 +a(g185 +V +tp12681 +a(g340 +V| +tp12682 +a(g340 +V| +tp12683 +a(g185 +V +tp12684 +a(g18 +VnewHeader +p12685 +tp12686 +a(g185 +V +tp12687 +a(g340 +V= +tp12688 +a(g340 +V= +tp12689 +a(g185 +V +tp12690 +a(g340 +V& +tp12691 +a(g18 +VAS_PROTECTED +p12692 +tp12693 +a(g185 +V +tp12694 +a(g340 +V| +tp12695 +a(g340 +V| +tp12696 +a(g185 +V +tp12697 +a(g18 +VnewHeader +p12698 +tp12699 +a(g185 +V +tp12700 +a(g340 +V= +tp12701 +a(g340 +V= +tp12702 +a(g185 +V +tp12703 +a(g340 +V& +tp12704 +a(g18 +VAS_PRIVATE +p12705 +tp12706 +a(g198 +V) +tp12707 +a(g185 +V\u000a +tp12708 +a(g185 +V +p12709 +tp12710 +a(g198 +V{ +tp12711 +a(g185 +V\u000a +tp12712 +a(g185 +V +p12713 +tp12714 +a(g111 +Vif +p12715 +tp12716 +a(g185 +V +tp12717 +a(g198 +V( +tp12718 +a(g18 +VisCStyle +p12719 +tp12720 +a(g185 +V +tp12721 +a(g340 +V& +tp12722 +a(g340 +V& +tp12723 +a(g185 +V +tp12724 +a(g340 +V! +tp12725 +a(g18 +VisInClassHeader +p12726 +tp12727 +a(g198 +V) +tp12728 +a(g185 +V\u000a +tp12729 +a(g185 +V +p12730 +tp12731 +a(g340 +V- +tp12732 +a(g340 +V- +tp12733 +a(g18 +VtabCount +p12734 +tp12735 +a(g198 +V; +tp12736 +a(g185 +V\u000a +tp12737 +a(g185 +V +p12738 +tp12739 +a(g18 +VisIndentableHeader +p12740 +tp12741 +a(g185 +V +tp12742 +a(g340 +V= +tp12743 +a(g185 +V +tp12744 +a(g113 +Vfalse +p12745 +tp12746 +a(g198 +V; +tp12747 +a(g185 +V\u000a +tp12748 +a(g185 +V +p12749 +tp12750 +a(g198 +V} +tp12751 +a(g185 +V\u000a +tp12752 +a(g185 +V +p12753 +tp12754 +a(g354 +V//else if ((newHeader == &STATIC || newHeader == &SYNCHRONIZED) &&\u000a +p12755 +tp12756 +a(g185 +V +p12757 +tp12758 +a(g354 +V// !headerStack->empty() &&\u000a +p12759 +tp12760 +a(g185 +V +p12761 +tp12762 +a(g354 +V// (headerStack->back() == &STATIC || headerStack->back() == &SYNCHRONIZED))\u000a +p12763 +tp12764 +a(g185 +V +p12765 +tp12766 +a(g354 +V//{\u000a +p12767 +tp12768 +a(g185 +V +p12769 +tp12770 +a(g354 +V// isIndentableHeader = false;\u000a +p12771 +tp12772 +a(g185 +V +p12773 +tp12774 +a(g354 +V//}\u000a +p12775 +tp12776 +a(g185 +V +p12777 +tp12778 +a(g111 +Velse +p12779 +tp12780 +a(g185 +V +tp12781 +a(g111 +Vif +p12782 +tp12783 +a(g185 +V +tp12784 +a(g198 +V( +tp12785 +a(g18 +VnewHeader +p12786 +tp12787 +a(g185 +V +tp12788 +a(g340 +V= +tp12789 +a(g340 +V= +tp12790 +a(g185 +V +tp12791 +a(g340 +V& +tp12792 +a(g18 +VAS_STATIC +p12793 +tp12794 +a(g185 +V\u000a +tp12795 +a(g185 +V +p12796 +tp12797 +a(g340 +V| +tp12798 +a(g340 +V| +tp12799 +a(g185 +V +tp12800 +a(g18 +VnewHeader +p12801 +tp12802 +a(g185 +V +tp12803 +a(g340 +V= +tp12804 +a(g340 +V= +tp12805 +a(g185 +V +tp12806 +a(g340 +V& +tp12807 +a(g18 +VAS_SYNCHRONIZED +p12808 +tp12809 +a(g185 +V\u000a +tp12810 +a(g185 +V +p12811 +tp12812 +a(g340 +V| +tp12813 +a(g340 +V| +tp12814 +a(g185 +V +tp12815 +a(g198 +V( +tp12816 +a(g18 +VnewHeader +p12817 +tp12818 +a(g185 +V +tp12819 +a(g340 +V= +tp12820 +a(g340 +V= +tp12821 +a(g185 +V +tp12822 +a(g340 +V& +tp12823 +a(g18 +VAS_CONST +p12824 +tp12825 +a(g185 +V +tp12826 +a(g340 +V& +tp12827 +a(g340 +V& +tp12828 +a(g185 +V +tp12829 +a(g18 +VisCStyle +p12830 +tp12831 +a(g198 +V) +tp12832 +a(g198 +V) +tp12833 +a(g185 +V\u000a +tp12834 +a(g185 +V +p12835 +tp12836 +a(g198 +V{ +tp12837 +a(g185 +V\u000a +tp12838 +a(g185 +V +p12839 +tp12840 +a(g111 +Vif +p12841 +tp12842 +a(g185 +V +tp12843 +a(g198 +V( +tp12844 +a(g340 +V! +tp12845 +a(g18 +VheaderStack +p12846 +tp12847 +a(g340 +V- +tp12848 +a(g340 +V> +tp12849 +a(g18 +Vempty +p12850 +tp12851 +a(g198 +V( +tp12852 +a(g198 +V) +tp12853 +a(g185 +V +tp12854 +a(g340 +V& +tp12855 +a(g340 +V& +tp12856 +a(g185 +V\u000a +tp12857 +a(g185 +V +p12858 +tp12859 +a(g198 +V( +tp12860 +a(g18 +VheaderStack +p12861 +tp12862 +a(g340 +V- +tp12863 +a(g340 +V> +tp12864 +a(g18 +Vback +p12865 +tp12866 +a(g198 +V( +tp12867 +a(g198 +V) +tp12868 +a(g185 +V +tp12869 +a(g340 +V= +tp12870 +a(g340 +V= +tp12871 +a(g185 +V +tp12872 +a(g340 +V& +tp12873 +a(g18 +VAS_STATIC +p12874 +tp12875 +a(g185 +V\u000a +tp12876 +a(g185 +V +p12877 +tp12878 +a(g340 +V| +tp12879 +a(g340 +V| +tp12880 +a(g185 +V +tp12881 +a(g18 +VheaderStack +p12882 +tp12883 +a(g340 +V- +tp12884 +a(g340 +V> +tp12885 +a(g18 +Vback +p12886 +tp12887 +a(g198 +V( +tp12888 +a(g198 +V) +tp12889 +a(g185 +V +tp12890 +a(g340 +V= +tp12891 +a(g340 +V= +tp12892 +a(g185 +V +tp12893 +a(g340 +V& +tp12894 +a(g18 +VAS_SYNCHRONIZED +p12895 +tp12896 +a(g185 +V\u000a +tp12897 +a(g185 +V +p12898 +tp12899 +a(g340 +V| +tp12900 +a(g340 +V| +tp12901 +a(g185 +V +tp12902 +a(g18 +VheaderStack +p12903 +tp12904 +a(g340 +V- +tp12905 +a(g340 +V> +tp12906 +a(g18 +Vback +p12907 +tp12908 +a(g198 +V( +tp12909 +a(g198 +V) +tp12910 +a(g185 +V +tp12911 +a(g340 +V= +tp12912 +a(g340 +V= +tp12913 +a(g185 +V +tp12914 +a(g340 +V& +tp12915 +a(g18 +VAS_CONST +p12916 +tp12917 +a(g198 +V) +tp12918 +a(g198 +V) +tp12919 +a(g185 +V\u000a +tp12920 +a(g185 +V +p12921 +tp12922 +a(g198 +V{ +tp12923 +a(g185 +V\u000a +tp12924 +a(g185 +V +p12925 +tp12926 +a(g18 +VisIndentableHeader +p12927 +tp12928 +a(g185 +V +tp12929 +a(g340 +V= +tp12930 +a(g185 +V +tp12931 +a(g113 +Vfalse +p12932 +tp12933 +a(g198 +V; +tp12934 +a(g185 +V\u000a +tp12935 +a(g185 +V +p12936 +tp12937 +a(g198 +V} +tp12938 +a(g185 +V\u000a +tp12939 +a(g185 +V +p12940 +tp12941 +a(g111 +Velse +p12942 +tp12943 +a(g185 +V\u000a +tp12944 +a(g185 +V +p12945 +tp12946 +a(g198 +V{ +tp12947 +a(g185 +V\u000a +tp12948 +a(g185 +V +p12949 +tp12950 +a(g18 +VisIndentableHeader +p12951 +tp12952 +a(g185 +V +tp12953 +a(g340 +V= +tp12954 +a(g185 +V +tp12955 +a(g113 +Vfalse +p12956 +tp12957 +a(g198 +V; +tp12958 +a(g185 +V\u000a +tp12959 +a(g185 +V +p12960 +tp12961 +a(g18 +VprobationHeader +p12962 +tp12963 +a(g185 +V +tp12964 +a(g340 +V= +tp12965 +a(g185 +V +tp12966 +a(g18 +VnewHeader +p12967 +tp12968 +a(g198 +V; +tp12969 +a(g185 +V\u000a +tp12970 +a(g185 +V +p12971 +tp12972 +a(g198 +V} +tp12973 +a(g185 +V\u000a +tp12974 +a(g185 +V +p12975 +tp12976 +a(g198 +V} +tp12977 +a(g185 +V\u000a +tp12978 +a(g185 +V +p12979 +tp12980 +a(g111 +Velse +p12981 +tp12982 +a(g185 +V +tp12983 +a(g111 +Vif +p12984 +tp12985 +a(g185 +V +tp12986 +a(g198 +V( +tp12987 +a(g18 +VnewHeader +p12988 +tp12989 +a(g185 +V +tp12990 +a(g340 +V= +tp12991 +a(g340 +V= +tp12992 +a(g185 +V +tp12993 +a(g340 +V& +tp12994 +a(g18 +VAS_CONST +p12995 +tp12996 +a(g198 +V) +tp12997 +a(g185 +V\u000a +tp12998 +a(g185 +V +p12999 +tp13000 +a(g198 +V{ +tp13001 +a(g185 +V\u000a +tp13002 +a(g185 +V +p13003 +tp13004 +a(g354 +V// this will be entered only if NOT in C style\u000a +p13005 +tp13006 +a(g185 +V +p13007 +tp13008 +a(g354 +V// since otherwise the CONST would be found to be a probstion header...\u000a +p13009 +tp13010 +a(g185 +V\u000a +tp13011 +a(g185 +V +p13012 +tp13013 +a(g354 +V//if (isCStyle)\u000a +p13014 +tp13015 +a(g185 +V +p13016 +tp13017 +a(g354 +V// isInConst = true;\u000a +p13018 +tp13019 +a(g185 +V +p13020 +tp13021 +a(g18 +VisIndentableHeader +p13022 +tp13023 +a(g185 +V +tp13024 +a(g340 +V= +tp13025 +a(g185 +V +tp13026 +a(g113 +Vfalse +p13027 +tp13028 +a(g198 +V; +tp13029 +a(g185 +V\u000a +tp13030 +a(g185 +V +p13031 +tp13032 +a(g198 +V} +tp13033 +a(g185 +V\u000a +tp13034 +a(g185 +V +p13035 +tp13036 +a(g7 +V/*\u000a else if (newHeader == &OPERATOR)\u000a {\u000a if (isCStyle)\u000a isInOperator = true;\u000a isIndentableHeader = false;\u000a }\u000a */ +p13037 +tp13038 +a(g185 +V\u000a +tp13039 +a(g185 +V +p13040 +tp13041 +a(g111 +Velse +p13042 +tp13043 +a(g185 +V +tp13044 +a(g111 +Vif +p13045 +tp13046 +a(g185 +V +tp13047 +a(g198 +V( +tp13048 +a(g18 +VnewHeader +p13049 +tp13050 +a(g185 +V +tp13051 +a(g340 +V= +tp13052 +a(g340 +V= +tp13053 +a(g185 +V +tp13054 +a(g340 +V& +tp13055 +a(g18 +VAS_TEMPLATE +p13056 +tp13057 +a(g198 +V) +tp13058 +a(g185 +V\u000a +tp13059 +a(g185 +V +p13060 +tp13061 +a(g198 +V{ +tp13062 +a(g185 +V\u000a +tp13063 +a(g185 +V +p13064 +tp13065 +a(g111 +Vif +p13066 +tp13067 +a(g185 +V +tp13068 +a(g198 +V( +tp13069 +a(g18 +VisCStyle +p13070 +tp13071 +a(g198 +V) +tp13072 +a(g185 +V\u000a +tp13073 +a(g185 +V +p13074 +tp13075 +a(g18 +VisInTemplate +p13076 +tp13077 +a(g185 +V +tp13078 +a(g340 +V= +tp13079 +a(g185 +V +tp13080 +a(g113 +Vtrue +p13081 +tp13082 +a(g198 +V; +tp13083 +a(g185 +V\u000a +tp13084 +a(g185 +V +p13085 +tp13086 +a(g18 +VisIndentableHeader +p13087 +tp13088 +a(g185 +V +tp13089 +a(g340 +V= +tp13090 +a(g185 +V +tp13091 +a(g113 +Vfalse +p13092 +tp13093 +a(g198 +V; +tp13094 +a(g185 +V\u000a +tp13095 +a(g185 +V +p13096 +tp13097 +a(g198 +V} +tp13098 +a(g185 +V\u000a +tp13099 +a(g185 +V\u000a +tp13100 +a(g185 +V\u000a +tp13101 +a(g185 +V +p13102 +tp13103 +a(g111 +Vif +p13104 +tp13105 +a(g185 +V +tp13106 +a(g198 +V( +tp13107 +a(g18 +VisIndentableHeader +p13108 +tp13109 +a(g198 +V) +tp13110 +a(g185 +V\u000a +tp13111 +a(g185 +V +p13112 +tp13113 +a(g198 +V{ +tp13114 +a(g185 +V\u000a +tp13115 +a(g185 +V +p13116 +tp13117 +a(g354 +V// 3.2.99\u000a +p13118 +tp13119 +a(g185 +V +p13120 +tp13121 +a(g354 +V//spaceTabCount-=indentLength;\u000a +p13122 +tp13123 +a(g185 +V +p13124 +tp13125 +a(g18 +VheaderStack +p13126 +tp13127 +a(g340 +V- +tp13128 +a(g340 +V> +tp13129 +a(g18 +Vpush_back +p13130 +tp13131 +a(g198 +V( +tp13132 +a(g18 +VnewHeader +p13133 +tp13134 +a(g198 +V) +tp13135 +a(g198 +V; +tp13136 +a(g185 +V\u000a +tp13137 +a(g185 +V +p13138 +tp13139 +a(g18 +VisInStatement +p13140 +tp13141 +a(g185 +V +tp13142 +a(g340 +V= +tp13143 +a(g185 +V +tp13144 +a(g113 +Vfalse +p13145 +tp13146 +a(g198 +V; +tp13147 +a(g185 +V\u000a +tp13148 +a(g185 +V +p13149 +tp13150 +a(g111 +Vif +p13151 +tp13152 +a(g185 +V +tp13153 +a(g198 +V( +tp13154 +a(g18 +VindexOf +p13155 +tp13156 +a(g198 +V( +tp13157 +a(g18 +VnonParenHeaders +p13158 +tp13159 +a(g198 +V, +tp13160 +a(g185 +V +tp13161 +a(g18 +VnewHeader +p13162 +tp13163 +a(g198 +V) +tp13164 +a(g185 +V +tp13165 +a(g340 +V= +tp13166 +a(g340 +V= +tp13167 +a(g185 +V +tp13168 +a(g340 +V- +tp13169 +a(g315 +V1 +tp13170 +a(g198 +V) +tp13171 +a(g185 +V\u000a +tp13172 +a(g185 +V +p13173 +tp13174 +a(g198 +V{ +tp13175 +a(g185 +V\u000a +tp13176 +a(g185 +V +p13177 +tp13178 +a(g18 +VisInConditional +p13179 +tp13180 +a(g185 +V +tp13181 +a(g340 +V= +tp13182 +a(g185 +V +tp13183 +a(g113 +Vtrue +p13184 +tp13185 +a(g198 +V; +tp13186 +a(g185 +V\u000a +tp13187 +a(g185 +V +p13188 +tp13189 +a(g198 +V} +tp13190 +a(g185 +V\u000a +tp13191 +a(g185 +V +p13192 +tp13193 +a(g18 +VlastLineHeader +p13194 +tp13195 +a(g185 +V +tp13196 +a(g340 +V= +tp13197 +a(g185 +V +tp13198 +a(g18 +VnewHeader +p13199 +tp13200 +a(g198 +V; +tp13201 +a(g185 +V\u000a +tp13202 +a(g185 +V +p13203 +tp13204 +a(g198 +V} +tp13205 +a(g185 +V\u000a +tp13206 +a(g185 +V +p13207 +tp13208 +a(g111 +Velse +p13209 +tp13210 +a(g185 +V\u000a +tp13211 +a(g185 +V +p13212 +tp13213 +a(g18 +VisInHeader +p13214 +tp13215 +a(g185 +V +tp13216 +a(g340 +V= +tp13217 +a(g185 +V +tp13218 +a(g113 +Vfalse +p13219 +tp13220 +a(g198 +V; +tp13221 +a(g185 +V\u000a +tp13222 +a(g185 +V\u000a +tp13223 +a(g185 +V +p13224 +tp13225 +a(g354 +V//lastLineHeader = newHeader;\u000a +p13226 +tp13227 +a(g185 +V\u000a +tp13228 +a(g185 +V +p13229 +tp13230 +a(g18 +VoutBuffer +p13231 +tp13232 +a(g198 +V. +tp13233 +a(g18 +Vappend +p13234 +tp13235 +a(g198 +V( +tp13236 +a(g18 +VnewHeader +p13237 +tp13238 +a(g340 +V- +tp13239 +a(g340 +V> +tp13240 +a(g18 +Vsubstr +p13241 +tp13242 +a(g198 +V( +tp13243 +a(g315 +V1 +tp13244 +a(g198 +V) +tp13245 +a(g198 +V) +tp13246 +a(g198 +V; +tp13247 +a(g185 +V\u000a +tp13248 +a(g185 +V +p13249 +tp13250 +a(g18 +Vi +tp13251 +a(g185 +V +tp13252 +a(g340 +V+ +tp13253 +a(g340 +V= +tp13254 +a(g185 +V +tp13255 +a(g18 +VnewHeader +p13256 +tp13257 +a(g340 +V- +tp13258 +a(g340 +V> +tp13259 +a(g18 +Vlength +p13260 +tp13261 +a(g198 +V( +tp13262 +a(g198 +V) +tp13263 +a(g185 +V +tp13264 +a(g340 +V- +tp13265 +a(g185 +V +tp13266 +a(g315 +V1 +tp13267 +a(g198 +V; +tp13268 +a(g185 +V\u000a +tp13269 +a(g185 +V\u000a +tp13270 +a(g185 +V +p13271 +tp13272 +a(g111 +Vcontinue +p13273 +tp13274 +a(g198 +V; +tp13275 +a(g185 +V\u000a +tp13276 +a(g185 +V +p13277 +tp13278 +a(g198 +V} +tp13279 +a(g185 +V\u000a +tp13280 +a(g185 +V +p13281 +tp13282 +a(g198 +V} +tp13283 +a(g185 +V\u000a +tp13284 +a(g185 +V\u000a +tp13285 +a(g185 +V +p13286 +tp13287 +a(g111 +Vif +p13288 +tp13289 +a(g185 +V +tp13290 +a(g198 +V( +tp13291 +a(g18 +VisCStyle +p13292 +tp13293 +a(g185 +V +tp13294 +a(g340 +V& +tp13295 +a(g340 +V& +tp13296 +a(g185 +V +tp13297 +a(g340 +V! +tp13298 +a(g18 +Visalpha +p13299 +tp13300 +a(g198 +V( +tp13301 +a(g18 +VprevCh +p13302 +tp13303 +a(g198 +V) +tp13304 +a(g185 +V\u000a +tp13305 +a(g185 +V +p13306 +tp13307 +a(g340 +V& +tp13308 +a(g340 +V& +tp13309 +a(g185 +V +tp13310 +a(g18 +Vline +p13311 +tp13312 +a(g198 +V. +tp13313 +a(g18 +VCOMPARE +p13314 +tp13315 +a(g198 +V( +tp13316 +a(g18 +Vi +tp13317 +a(g198 +V, +tp13318 +a(g185 +V +tp13319 +a(g315 +V8 +tp13320 +a(g198 +V, +tp13321 +a(g185 +V +tp13322 +a(g18 +VAS_OPERATOR +p13323 +tp13324 +a(g198 +V) +tp13325 +a(g185 +V +tp13326 +a(g340 +V= +tp13327 +a(g340 +V= +tp13328 +a(g185 +V +tp13329 +a(g315 +V0 +tp13330 +a(g185 +V +tp13331 +a(g340 +V& +tp13332 +a(g340 +V& +tp13333 +a(g185 +V +tp13334 +a(g340 +V! +tp13335 +a(g18 +Visalnum +p13336 +tp13337 +a(g198 +V( +tp13338 +a(g18 +Vline +p13339 +tp13340 +a(g198 +V[ +tp13341 +a(g18 +Vi +tp13342 +a(g340 +V+ +tp13343 +a(g315 +V8 +tp13344 +a(g198 +V] +tp13345 +a(g198 +V) +tp13346 +a(g198 +V) +tp13347 +a(g185 +V\u000a +tp13348 +a(g185 +V +p13349 +tp13350 +a(g198 +V{ +tp13351 +a(g185 +V\u000a +tp13352 +a(g185 +V +p13353 +tp13354 +a(g18 +VisInOperator +p13355 +tp13356 +a(g185 +V +tp13357 +a(g340 +V= +tp13358 +a(g185 +V +tp13359 +a(g113 +Vtrue +p13360 +tp13361 +a(g198 +V; +tp13362 +a(g185 +V\u000a +tp13363 +a(g185 +V +p13364 +tp13365 +a(g18 +VoutBuffer +p13366 +tp13367 +a(g198 +V. +tp13368 +a(g18 +Vappend +p13369 +tp13370 +a(g198 +V( +tp13371 +a(g18 +VAS_OPERATOR +p13372 +tp13373 +a(g198 +V. +tp13374 +a(g18 +Vsubstr +p13375 +tp13376 +a(g198 +V( +tp13377 +a(g315 +V1 +tp13378 +a(g198 +V) +tp13379 +a(g198 +V) +tp13380 +a(g198 +V; +tp13381 +a(g185 +V\u000a +tp13382 +a(g185 +V +p13383 +tp13384 +a(g18 +Vi +tp13385 +a(g185 +V +tp13386 +a(g340 +V+ +tp13387 +a(g340 +V= +tp13388 +a(g185 +V +tp13389 +a(g315 +V7 +tp13390 +a(g198 +V; +tp13391 +a(g185 +V\u000a +tp13392 +a(g185 +V +p13393 +tp13394 +a(g111 +Vcontinue +p13395 +tp13396 +a(g198 +V; +tp13397 +a(g185 +V\u000a +tp13398 +a(g185 +V +p13399 +tp13400 +a(g198 +V} +tp13401 +a(g185 +V\u000a +tp13402 +a(g185 +V\u000a +tp13403 +a(g185 +V +p13404 +tp13405 +a(g111 +Vif +p13406 +tp13407 +a(g185 +V +tp13408 +a(g198 +V( +tp13409 +a(g18 +Vch +p13410 +tp13411 +a(g185 +V +tp13412 +a(g340 +V= +tp13413 +a(g340 +V= +tp13414 +a(g185 +V +tp13415 +a(g264 +V'?' +p13416 +tp13417 +a(g198 +V) +tp13418 +a(g185 +V\u000a +tp13419 +a(g185 +V +p13420 +tp13421 +a(g18 +VisInQuestion +p13422 +tp13423 +a(g185 +V +tp13424 +a(g340 +V= +tp13425 +a(g185 +V +tp13426 +a(g113 +Vtrue +p13427 +tp13428 +a(g198 +V; +tp13429 +a(g185 +V\u000a +tp13430 +a(g185 +V\u000a +tp13431 +a(g185 +V\u000a +tp13432 +a(g185 +V +p13433 +tp13434 +a(g354 +V// special handling of 'case' statements\u000a +p13435 +tp13436 +a(g185 +V +p13437 +tp13438 +a(g111 +Vif +p13439 +tp13440 +a(g185 +V +tp13441 +a(g198 +V( +tp13442 +a(g18 +Vch +p13443 +tp13444 +a(g185 +V +tp13445 +a(g340 +V= +tp13446 +a(g340 +V= +tp13447 +a(g185 +V +tp13448 +a(g264 +V':' +p13449 +tp13450 +a(g198 +V) +tp13451 +a(g185 +V\u000a +tp13452 +a(g185 +V +p13453 +tp13454 +a(g198 +V{ +tp13455 +a(g185 +V\u000a +tp13456 +a(g185 +V +p13457 +tp13458 +a(g111 +Vif +p13459 +tp13460 +a(g185 +V +tp13461 +a(g198 +V( +tp13462 +a(g18 +Vline +p13463 +tp13464 +a(g198 +V. +tp13465 +a(g18 +Vlength +p13466 +tp13467 +a(g198 +V( +tp13468 +a(g198 +V) +tp13469 +a(g185 +V +tp13470 +a(g340 +V> +tp13471 +a(g185 +V +tp13472 +a(g18 +Vi +tp13473 +a(g340 +V+ +tp13474 +a(g315 +V1 +tp13475 +a(g185 +V +tp13476 +a(g340 +V& +tp13477 +a(g340 +V& +tp13478 +a(g185 +V +tp13479 +a(g18 +Vline +p13480 +tp13481 +a(g198 +V[ +tp13482 +a(g18 +Vi +tp13483 +a(g340 +V+ +tp13484 +a(g315 +V1 +tp13485 +a(g198 +V] +tp13486 +a(g185 +V +tp13487 +a(g340 +V= +tp13488 +a(g340 +V= +tp13489 +a(g185 +V +tp13490 +a(g264 +V':' +p13491 +tp13492 +a(g198 +V) +tp13493 +a(g185 +V +tp13494 +a(g354 +V// look for ::\u000a +p13495 +tp13496 +a(g185 +V +p13497 +tp13498 +a(g198 +V{ +tp13499 +a(g185 +V\u000a +tp13500 +a(g185 +V +p13501 +tp13502 +a(g340 +V+ +tp13503 +a(g340 +V+ +tp13504 +a(g18 +Vi +tp13505 +a(g198 +V; +tp13506 +a(g185 +V\u000a +tp13507 +a(g185 +V +p13508 +tp13509 +a(g18 +VoutBuffer +p13510 +tp13511 +a(g198 +V. +tp13512 +a(g18 +Vappend +p13513 +tp13514 +a(g198 +V( +tp13515 +a(g315 +V1 +tp13516 +a(g198 +V, +tp13517 +a(g185 +V +tp13518 +a(g264 +V':' +p13519 +tp13520 +a(g198 +V) +tp13521 +a(g198 +V; +tp13522 +a(g185 +V\u000a +tp13523 +a(g185 +V +p13524 +tp13525 +a(g18 +Vch +p13526 +tp13527 +a(g185 +V +tp13528 +a(g340 +V= +tp13529 +a(g185 +V +tp13530 +a(g264 +V' ' +p13531 +tp13532 +a(g198 +V; +tp13533 +a(g185 +V\u000a +tp13534 +a(g185 +V +p13535 +tp13536 +a(g111 +Vcontinue +p13537 +tp13538 +a(g198 +V; +tp13539 +a(g185 +V\u000a +tp13540 +a(g185 +V +p13541 +tp13542 +a(g198 +V} +tp13543 +a(g185 +V\u000a +tp13544 +a(g185 +V\u000a +tp13545 +a(g185 +V +p13546 +tp13547 +a(g111 +Velse +p13548 +tp13549 +a(g185 +V +tp13550 +a(g111 +Vif +p13551 +tp13552 +a(g185 +V +tp13553 +a(g198 +V( +tp13554 +a(g18 +VisCStyle +p13555 +tp13556 +a(g185 +V +tp13557 +a(g340 +V& +tp13558 +a(g340 +V& +tp13559 +a(g185 +V +tp13560 +a(g18 +VisInClass +p13561 +tp13562 +a(g185 +V +tp13563 +a(g340 +V& +tp13564 +a(g340 +V& +tp13565 +a(g185 +V +tp13566 +a(g18 +VprevNonSpaceCh +p13567 +tp13568 +a(g185 +V +tp13569 +a(g340 +V! +tp13570 +a(g340 +V= +tp13571 +a(g185 +V +tp13572 +a(g264 +V')' +p13573 +tp13574 +a(g198 +V) +tp13575 +a(g185 +V\u000a +tp13576 +a(g185 +V +p13577 +tp13578 +a(g198 +V{ +tp13579 +a(g185 +V\u000a +tp13580 +a(g185 +V +p13581 +tp13582 +a(g354 +V// BEGIN Content of ASBeautifier.cpp.BITFIELD.patch:\u000a +p13583 +tp13584 +a(g185 +V \u000a +p13585 +tp13586 +a(g135 +Vunsigned +p13587 +tp13588 +a(g185 +V +tp13589 +a(g135 +Vint +p13590 +tp13591 +a(g185 +V +tp13592 +a(g18 +VchIndex +p13593 +tp13594 +a(g198 +V; +tp13595 +a(g185 +V\u000a +tp13596 +a(g185 +V +p13597 +tp13598 +a(g135 +Vchar +p13599 +tp13600 +a(g185 +V +tp13601 +a(g18 +VnextCh +p13602 +tp13603 +a(g185 +V +tp13604 +a(g340 +V= +tp13605 +a(g185 +V +tp13606 +a(g315 +V0 +tp13607 +a(g198 +V; +tp13608 +a(g185 +V\u000a +tp13609 +a(g185 +V +p13610 +tp13611 +a(g111 +Vfor +p13612 +tp13613 +a(g185 +V +tp13614 +a(g198 +V( +tp13615 +a(g18 +VchIndex +p13616 +tp13617 +a(g185 +V +tp13618 +a(g340 +V= +tp13619 +a(g185 +V +tp13620 +a(g18 +Vi +tp13621 +a(g340 +V+ +tp13622 +a(g315 +V1 +tp13623 +a(g198 +V; +tp13624 +a(g185 +V +tp13625 +a(g18 +VchIndex +p13626 +tp13627 +a(g185 +V +tp13628 +a(g340 +V< +tp13629 +a(g185 +V +tp13630 +a(g18 +Vline +p13631 +tp13632 +a(g198 +V. +tp13633 +a(g18 +Vlength +p13634 +tp13635 +a(g198 +V( +tp13636 +a(g198 +V) +tp13637 +a(g198 +V; +tp13638 +a(g185 +V +tp13639 +a(g18 +VchIndex +p13640 +tp13641 +a(g340 +V+ +tp13642 +a(g340 +V+ +tp13643 +a(g198 +V) +tp13644 +a(g185 +V\u000a +tp13645 +a(g185 +V +p13646 +tp13647 +a(g111 +Vif +p13648 +tp13649 +a(g185 +V +tp13650 +a(g198 +V( +tp13651 +a(g340 +V! +tp13652 +a(g18 +VisWhiteSpace +p13653 +tp13654 +a(g198 +V( +tp13655 +a(g18 +Vline +p13656 +tp13657 +a(g198 +V[ +tp13658 +a(g18 +VchIndex +p13659 +tp13660 +a(g198 +V] +tp13661 +a(g198 +V) +tp13662 +a(g198 +V) +tp13663 +a(g185 +V\u000a +tp13664 +a(g185 +V +p13665 +tp13666 +a(g111 +Vbreak +p13667 +tp13668 +a(g198 +V; +tp13669 +a(g185 +V\u000a +tp13670 +a(g185 +V +p13671 +tp13672 +a(g111 +Vif +p13673 +tp13674 +a(g185 +V +tp13675 +a(g198 +V( +tp13676 +a(g18 +VchIndex +p13677 +tp13678 +a(g340 +V< +tp13679 +a(g185 +V +tp13680 +a(g18 +Vline +p13681 +tp13682 +a(g198 +V. +tp13683 +a(g18 +Vlength +p13684 +tp13685 +a(g198 +V( +tp13686 +a(g198 +V) +tp13687 +a(g198 +V) +tp13688 +a(g185 +V\u000a +tp13689 +a(g185 +V +p13690 +tp13691 +a(g18 +VnextCh +p13692 +tp13693 +a(g185 +V +tp13694 +a(g340 +V= +tp13695 +a(g185 +V +tp13696 +a(g18 +Vline +p13697 +tp13698 +a(g198 +V[ +tp13699 +a(g18 +VchIndex +p13700 +tp13701 +a(g198 +V] +tp13702 +a(g198 +V; +tp13703 +a(g185 +V\u000a +tp13704 +a(g185 +V +p13705 +tp13706 +a(g135 +Vint +p13707 +tp13708 +a(g185 +V +tp13709 +a(g18 +VnWord +p13710 +tp13711 +a(g185 +V +tp13712 +a(g340 +V= +tp13713 +a(g315 +V0 +tp13714 +a(g198 +V; +tp13715 +a(g185 +V\u000a +tp13716 +a(g185 +V +p13717 +tp13718 +a(g111 +Vfor +p13719 +tp13720 +a(g185 +V +tp13721 +a(g198 +V( +tp13722 +a(g18 +VchIndex +p13723 +tp13724 +a(g185 +V +tp13725 +a(g340 +V= +tp13726 +a(g185 +V +tp13727 +a(g315 +V0 +tp13728 +a(g198 +V; +tp13729 +a(g185 +V +tp13730 +a(g18 +VchIndex +p13731 +tp13732 +a(g185 +V +tp13733 +a(g340 +V< +tp13734 +a(g185 +V +tp13735 +a(g18 +Vi +tp13736 +a(g198 +V; +tp13737 +a(g185 +V +tp13738 +a(g18 +VchIndex +p13739 +tp13740 +a(g340 +V+ +tp13741 +a(g340 +V+ +tp13742 +a(g198 +V) +tp13743 +a(g185 +V\u000a +tp13744 +a(g185 +V +p13745 +tp13746 +a(g198 +V{ +tp13747 +a(g185 +V\u000a +tp13748 +a(g185 +V +p13749 +tp13750 +a(g111 +Vif +p13751 +tp13752 +a(g185 +V +tp13753 +a(g198 +V( +tp13754 +a(g340 +V! +tp13755 +a(g18 +VisWhiteSpace +p13756 +tp13757 +a(g198 +V( +tp13758 +a(g18 +Vline +p13759 +tp13760 +a(g198 +V[ +tp13761 +a(g18 +VchIndex +p13762 +tp13763 +a(g198 +V] +tp13764 +a(g198 +V) +tp13765 +a(g198 +V) +tp13766 +a(g185 +V\u000a +tp13767 +a(g185 +V +p13768 +tp13769 +a(g198 +V{ +tp13770 +a(g185 +V\u000a +tp13771 +a(g185 +V +p13772 +tp13773 +a(g18 +VnWord +p13774 +tp13775 +a(g185 +V +tp13776 +a(g340 +V+ +tp13777 +a(g340 +V+ +tp13778 +a(g198 +V; +tp13779 +a(g185 +V\u000a +tp13780 +a(g185 +V +p13781 +tp13782 +a(g111 +Vwhile +p13783 +tp13784 +a(g185 +V +tp13785 +a(g198 +V( +tp13786 +a(g340 +V! +tp13787 +a(g18 +VisWhiteSpace +p13788 +tp13789 +a(g198 +V( +tp13790 +a(g18 +Vline +p13791 +tp13792 +a(g198 +V[ +tp13793 +a(g340 +V+ +tp13794 +a(g340 +V+ +tp13795 +a(g18 +VchIndex +p13796 +tp13797 +a(g198 +V] +tp13798 +a(g198 +V) +tp13799 +a(g198 +V) +tp13800 +a(g198 +V; +tp13801 +a(g185 +V\u000a +tp13802 +a(g185 +V +p13803 +tp13804 +a(g198 +V} +tp13805 +a(g185 +V \u000a +p13806 +tp13807 +a(g198 +V} +tp13808 +a(g185 +V\u000a +tp13809 +a(g185 +V +p13810 +tp13811 +a(g111 +Vif +p13812 +tp13813 +a(g185 +V +tp13814 +a(g198 +V( +tp13815 +a(g198 +V( +tp13816 +a(g18 +VnextCh +p13817 +tp13818 +a(g185 +V +tp13819 +a(g340 +V> +tp13820 +a(g340 +V= +tp13821 +a(g185 +V +tp13822 +a(g264 +V'0' +p13823 +tp13824 +a(g185 +V +tp13825 +a(g340 +V& +tp13826 +a(g340 +V& +tp13827 +a(g185 +V +tp13828 +a(g18 +VnextCh +p13829 +tp13830 +a(g185 +V +tp13831 +a(g340 +V< +tp13832 +a(g340 +V= +tp13833 +a(g185 +V +tp13834 +a(g264 +V'9' +p13835 +tp13836 +a(g198 +V) +tp13837 +a(g185 +V +tp13838 +a(g340 +V| +tp13839 +a(g340 +V| +tp13840 +a(g185 +V +tp13841 +a(g198 +V( +tp13842 +a(g18 +VnWord +p13843 +tp13844 +a(g185 +V +tp13845 +a(g340 +V> +tp13846 +a(g315 +V1 +tp13847 +a(g198 +V) +tp13848 +a(g198 +V) +tp13849 +a(g185 +V\u000a +tp13850 +a(g185 +V +p13851 +tp13852 +a(g111 +Vcontinue +p13853 +tp13854 +a(g198 +V; +tp13855 +a(g185 +V\u000a +tp13856 +a(g185 +V +p13857 +tp13858 +a(g354 +V// END Content of ASBeautifier.cpp.BITFIELD.patch:\u000a +p13859 +tp13860 +a(g185 +V \u000a +p13861 +tp13862 +a(g340 +V- +tp13863 +a(g340 +V- +tp13864 +a(g18 +VtabCount +p13865 +tp13866 +a(g198 +V; +tp13867 +a(g185 +V\u000a +tp13868 +a(g185 +V +p13869 +tp13870 +a(g354 +V// found a 'private:' or 'public:' inside a class definition\u000a +p13871 +tp13872 +a(g185 +V +p13873 +tp13874 +a(g354 +V// so do nothing special\u000a +p13875 +tp13876 +a(g185 +V +p13877 +tp13878 +a(g198 +V} +tp13879 +a(g185 +V\u000a +tp13880 +a(g185 +V\u000a +tp13881 +a(g185 +V +p13882 +tp13883 +a(g111 +Velse +p13884 +tp13885 +a(g185 +V +tp13886 +a(g111 +Vif +p13887 +tp13888 +a(g185 +V +tp13889 +a(g198 +V( +tp13890 +a(g18 +VisCStyle +p13891 +tp13892 +a(g185 +V +tp13893 +a(g340 +V& +tp13894 +a(g340 +V& +tp13895 +a(g185 +V +tp13896 +a(g18 +VisInClassHeader +p13897 +tp13898 +a(g198 +V) +tp13899 +a(g185 +V\u000a +tp13900 +a(g185 +V +p13901 +tp13902 +a(g198 +V{ +tp13903 +a(g185 +V\u000a +tp13904 +a(g185 +V\u000a +tp13905 +a(g185 +V +p13906 +tp13907 +a(g354 +V// found a 'class A : public B' definition\u000a +p13908 +tp13909 +a(g185 +V +p13910 +tp13911 +a(g354 +V// so do nothing special\u000a +p13912 +tp13913 +a(g185 +V +p13914 +tp13915 +a(g198 +V} +tp13916 +a(g185 +V\u000a +tp13917 +a(g185 +V\u000a +tp13918 +a(g185 +V +p13919 +tp13920 +a(g111 +Velse +p13921 +tp13922 +a(g185 +V +tp13923 +a(g111 +Vif +p13924 +tp13925 +a(g185 +V +tp13926 +a(g198 +V( +tp13927 +a(g18 +VisInQuestion +p13928 +tp13929 +a(g198 +V) +tp13930 +a(g185 +V\u000a +tp13931 +a(g185 +V +p13932 +tp13933 +a(g198 +V{ +tp13934 +a(g185 +V\u000a +tp13935 +a(g185 +V +p13936 +tp13937 +a(g18 +VisInQuestion +p13938 +tp13939 +a(g185 +V +tp13940 +a(g340 +V= +tp13941 +a(g185 +V +tp13942 +a(g113 +Vfalse +p13943 +tp13944 +a(g198 +V; +tp13945 +a(g185 +V\u000a +tp13946 +a(g185 +V +p13947 +tp13948 +a(g198 +V} +tp13949 +a(g185 +V\u000a +tp13950 +a(g185 +V +p13951 +tp13952 +a(g111 +Velse +p13953 +tp13954 +a(g185 +V +tp13955 +a(g111 +Vif +p13956 +tp13957 +a(g185 +V +tp13958 +a(g198 +V( +tp13959 +a(g18 +VisCStyle +p13960 +tp13961 +a(g185 +V +tp13962 +a(g340 +V& +tp13963 +a(g340 +V& +tp13964 +a(g185 +V +tp13965 +a(g18 +VprevNonSpaceCh +p13966 +tp13967 +a(g185 +V +tp13968 +a(g340 +V= +tp13969 +a(g340 +V= +tp13970 +a(g185 +V +tp13971 +a(g264 +V')' +p13972 +tp13973 +a(g198 +V) +tp13974 +a(g185 +V\u000a +tp13975 +a(g185 +V +p13976 +tp13977 +a(g198 +V{ +tp13978 +a(g185 +V\u000a +tp13979 +a(g185 +V +p13980 +tp13981 +a(g18 +VisInClassHeader +p13982 +tp13983 +a(g185 +V +tp13984 +a(g340 +V= +tp13985 +a(g185 +V +tp13986 +a(g113 +Vtrue +p13987 +tp13988 +a(g198 +V; +tp13989 +a(g185 +V\u000a +tp13990 +a(g185 +V +p13991 +tp13992 +a(g111 +Vif +p13993 +tp13994 +a(g185 +V +tp13995 +a(g198 +V( +tp13996 +a(g18 +Vi +tp13997 +a(g340 +V= +tp13998 +a(g340 +V= +tp13999 +a(g315 +V0 +tp14000 +a(g198 +V) +tp14001 +a(g185 +V\u000a +tp14002 +a(g185 +V +p14003 +tp14004 +a(g18 +VtabCount +p14005 +tp14006 +a(g185 +V +tp14007 +a(g340 +V+ +tp14008 +a(g340 +V= +tp14009 +a(g185 +V +tp14010 +a(g315 +V2 +tp14011 +a(g198 +V; +tp14012 +a(g185 +V\u000a +tp14013 +a(g185 +V +p14014 +tp14015 +a(g198 +V} +tp14016 +a(g185 +V\u000a +tp14017 +a(g185 +V +p14018 +tp14019 +a(g111 +Velse +p14020 +tp14021 +a(g185 +V\u000a +tp14022 +a(g185 +V +p14023 +tp14024 +a(g198 +V{ +tp14025 +a(g185 +V\u000a +tp14026 +a(g185 +V +p14027 +tp14028 +a(g18 +VcurrentNonSpaceCh +p14029 +tp14030 +a(g185 +V +tp14031 +a(g340 +V= +tp14032 +a(g185 +V +tp14033 +a(g264 +V';' +p14034 +tp14035 +a(g198 +V; +tp14036 +a(g185 +V +tp14037 +a(g354 +V// so that brackets after the ':' will appear as block-openers\u000a +p14038 +tp14039 +a(g185 +V +p14040 +tp14041 +a(g111 +Vif +p14042 +tp14043 +a(g185 +V +tp14044 +a(g198 +V( +tp14045 +a(g18 +VisInCase +p14046 +tp14047 +a(g198 +V) +tp14048 +a(g185 +V\u000a +tp14049 +a(g185 +V +p14050 +tp14051 +a(g198 +V{ +tp14052 +a(g185 +V\u000a +tp14053 +a(g185 +V +p14054 +tp14055 +a(g18 +VisInCase +p14056 +tp14057 +a(g185 +V +tp14058 +a(g340 +V= +tp14059 +a(g185 +V +tp14060 +a(g113 +Vfalse +p14061 +tp14062 +a(g198 +V; +tp14063 +a(g185 +V\u000a +tp14064 +a(g185 +V +p14065 +tp14066 +a(g18 +Vch +p14067 +tp14068 +a(g185 +V +tp14069 +a(g340 +V= +tp14070 +a(g185 +V +tp14071 +a(g264 +V';' +p14072 +tp14073 +a(g198 +V; +tp14074 +a(g185 +V +tp14075 +a(g354 +V// from here on, treat char as ';'\u000a +p14076 +tp14077 +a(g185 +V +p14078 +tp14079 +a(g198 +V} +tp14080 +a(g185 +V \u000a +p14081 +tp14082 +a(g354 +V// BEGIN content of ASBeautifier.cpp.BITFIELD.patch.bz2\u000a +p14083 +tp14084 +a(g185 +V +p14085 +tp14086 +a(g111 +Velse +p14087 +tp14088 +a(g185 +V +tp14089 +a(g354 +V// bitfield or labels\u000a +p14090 +tp14091 +a(g185 +V +p14092 +tp14093 +a(g198 +V{ +tp14094 +a(g185 +V\u000a +tp14095 +a(g185 +V +p14096 +tp14097 +a(g135 +Vunsigned +p14098 +tp14099 +a(g185 +V +tp14100 +a(g135 +Vint +p14101 +tp14102 +a(g185 +V +tp14103 +a(g18 +VchIndex +p14104 +tp14105 +a(g198 +V; +tp14106 +a(g185 +V\u000a +tp14107 +a(g185 +V +p14108 +tp14109 +a(g135 +Vchar +p14110 +tp14111 +a(g185 +V +tp14112 +a(g18 +VnextCh +p14113 +tp14114 +a(g185 +V +tp14115 +a(g340 +V= +tp14116 +a(g185 +V +tp14117 +a(g315 +V0 +tp14118 +a(g198 +V; +tp14119 +a(g185 +V\u000a +tp14120 +a(g185 +V +p14121 +tp14122 +a(g111 +Vfor +p14123 +tp14124 +a(g185 +V +tp14125 +a(g198 +V( +tp14126 +a(g18 +VchIndex +p14127 +tp14128 +a(g185 +V +tp14129 +a(g340 +V= +tp14130 +a(g185 +V +tp14131 +a(g18 +Vi +tp14132 +a(g340 +V+ +tp14133 +a(g315 +V1 +tp14134 +a(g198 +V; +tp14135 +a(g185 +V +tp14136 +a(g198 +V( +tp14137 +a(g18 +VisCStyle +p14138 +tp14139 +a(g185 +V +tp14140 +a(g340 +V& +tp14141 +a(g340 +V& +tp14142 +a(g185 +V +tp14143 +a(g18 +VchIndex +p14144 +tp14145 +a(g185 +V +tp14146 +a(g340 +V< +tp14147 +a(g185 +V +tp14148 +a(g18 +Vline +p14149 +tp14150 +a(g198 +V. +tp14151 +a(g18 +Vlength +p14152 +tp14153 +a(g198 +V( +tp14154 +a(g198 +V) +tp14155 +a(g198 +V) +tp14156 +a(g198 +V; +tp14157 +a(g185 +V +tp14158 +a(g18 +VchIndex +p14159 +tp14160 +a(g340 +V+ +tp14161 +a(g340 +V+ +tp14162 +a(g198 +V) +tp14163 +a(g185 +V\u000a +tp14164 +a(g185 +V +p14165 +tp14166 +a(g111 +Vif +p14167 +tp14168 +a(g185 +V +tp14169 +a(g198 +V( +tp14170 +a(g340 +V! +tp14171 +a(g18 +VisWhiteSpace +p14172 +tp14173 +a(g198 +V( +tp14174 +a(g18 +Vline +p14175 +tp14176 +a(g198 +V[ +tp14177 +a(g18 +VchIndex +p14178 +tp14179 +a(g198 +V] +tp14180 +a(g198 +V) +tp14181 +a(g198 +V) +tp14182 +a(g185 +V\u000a +tp14183 +a(g185 +V +p14184 +tp14185 +a(g111 +Vbreak +p14186 +tp14187 +a(g198 +V; +tp14188 +a(g185 +V\u000a +tp14189 +a(g185 +V +p14190 +tp14191 +a(g111 +Vif +p14192 +tp14193 +a(g185 +V +tp14194 +a(g198 +V( +tp14195 +a(g18 +VchIndex +p14196 +tp14197 +a(g340 +V< +tp14198 +a(g185 +V +tp14199 +a(g18 +Vline +p14200 +tp14201 +a(g198 +V. +tp14202 +a(g18 +Vlength +p14203 +tp14204 +a(g198 +V( +tp14205 +a(g198 +V) +tp14206 +a(g198 +V) +tp14207 +a(g185 +V\u000a +tp14208 +a(g185 +V +p14209 +tp14210 +a(g18 +VnextCh +p14211 +tp14212 +a(g185 +V +tp14213 +a(g340 +V= +tp14214 +a(g185 +V +tp14215 +a(g18 +Vline +p14216 +tp14217 +a(g198 +V[ +tp14218 +a(g18 +VchIndex +p14219 +tp14220 +a(g198 +V] +tp14221 +a(g198 +V; +tp14222 +a(g185 +V\u000a +tp14223 +a(g185 +V\u000a +tp14224 +a(g185 +V +p14225 +tp14226 +a(g135 +Vint +p14227 +tp14228 +a(g185 +V +tp14229 +a(g18 +VnWord +p14230 +tp14231 +a(g185 +V +tp14232 +a(g340 +V= +tp14233 +a(g315 +V0 +tp14234 +a(g198 +V; +tp14235 +a(g185 +V\u000a +tp14236 +a(g185 +V +p14237 +tp14238 +a(g111 +Vfor +p14239 +tp14240 +a(g185 +V +tp14241 +a(g198 +V( +tp14242 +a(g18 +VchIndex +p14243 +tp14244 +a(g185 +V +tp14245 +a(g340 +V= +tp14246 +a(g185 +V +tp14247 +a(g315 +V0 +tp14248 +a(g198 +V; +tp14249 +a(g185 +V +tp14250 +a(g18 +VchIndex +p14251 +tp14252 +a(g185 +V +tp14253 +a(g340 +V< +tp14254 +a(g185 +V +tp14255 +a(g18 +Vi +tp14256 +a(g198 +V; +tp14257 +a(g185 +V +tp14258 +a(g18 +VchIndex +p14259 +tp14260 +a(g340 +V+ +tp14261 +a(g340 +V+ +tp14262 +a(g198 +V) +tp14263 +a(g185 +V\u000a +tp14264 +a(g185 +V +p14265 +tp14266 +a(g198 +V{ +tp14267 +a(g185 +V\u000a +tp14268 +a(g185 +V +p14269 +tp14270 +a(g111 +Vif +p14271 +tp14272 +a(g185 +V +tp14273 +a(g198 +V( +tp14274 +a(g340 +V! +tp14275 +a(g18 +VisWhiteSpace +p14276 +tp14277 +a(g198 +V( +tp14278 +a(g18 +Vline +p14279 +tp14280 +a(g198 +V[ +tp14281 +a(g18 +VchIndex +p14282 +tp14283 +a(g198 +V] +tp14284 +a(g198 +V) +tp14285 +a(g198 +V) +tp14286 +a(g185 +V\u000a +tp14287 +a(g185 +V +p14288 +tp14289 +a(g198 +V{ +tp14290 +a(g185 +V\u000a +tp14291 +a(g185 +V +p14292 +tp14293 +a(g18 +VnWord +p14294 +tp14295 +a(g185 +V +tp14296 +a(g340 +V+ +tp14297 +a(g340 +V+ +tp14298 +a(g198 +V; +tp14299 +a(g185 +V\u000a +tp14300 +a(g185 +V +p14301 +tp14302 +a(g111 +Vwhile +p14303 +tp14304 +a(g185 +V +tp14305 +a(g198 +V( +tp14306 +a(g340 +V! +tp14307 +a(g18 +VisWhiteSpace +p14308 +tp14309 +a(g198 +V( +tp14310 +a(g18 +Vline +p14311 +tp14312 +a(g198 +V[ +tp14313 +a(g340 +V+ +tp14314 +a(g340 +V+ +tp14315 +a(g18 +VchIndex +p14316 +tp14317 +a(g198 +V] +tp14318 +a(g198 +V) +tp14319 +a(g198 +V) +tp14320 +a(g198 +V; +tp14321 +a(g185 +V\u000a +tp14322 +a(g185 +V +p14323 +tp14324 +a(g198 +V} +tp14325 +a(g185 +V \u000a +p14326 +tp14327 +a(g198 +V} +tp14328 +a(g185 +V\u000a +tp14329 +a(g185 +V +p14330 +tp14331 +a(g111 +Vif +p14332 +tp14333 +a(g185 +V +tp14334 +a(g198 +V( +tp14335 +a(g18 +VisCStyle +p14336 +tp14337 +a(g185 +V +tp14338 +a(g340 +V& +tp14339 +a(g340 +V& +tp14340 +a(g185 +V +p14341 +tp14342 +a(g198 +V( +tp14343 +a(g18 +VnextCh +p14344 +tp14345 +a(g185 +V +tp14346 +a(g340 +V> +tp14347 +a(g340 +V= +tp14348 +a(g185 +V +tp14349 +a(g264 +V'0' +p14350 +tp14351 +a(g185 +V +tp14352 +a(g340 +V& +tp14353 +a(g340 +V& +tp14354 +a(g185 +V +tp14355 +a(g18 +VnextCh +p14356 +tp14357 +a(g185 +V +tp14358 +a(g340 +V< +tp14359 +a(g340 +V= +tp14360 +a(g185 +V +tp14361 +a(g264 +V'9' +p14362 +tp14363 +a(g198 +V) +tp14364 +a(g185 +V +tp14365 +a(g340 +V| +tp14366 +a(g340 +V| +tp14367 +a(g185 +V +tp14368 +a(g198 +V( +tp14369 +a(g18 +VnWord +p14370 +tp14371 +a(g185 +V +tp14372 +a(g340 +V> +tp14373 +a(g315 +V1 +tp14374 +a(g198 +V) +tp14375 +a(g198 +V) +tp14376 +a(g185 +V\u000a +tp14377 +a(g185 +V +p14378 +tp14379 +a(g198 +V{ +tp14380 +a(g185 +V\u000a +tp14381 +a(g185 +V +p14382 +tp14383 +a(g111 +Vcontinue +p14384 +tp14385 +a(g198 +V; +tp14386 +a(g185 +V\u000a +tp14387 +a(g185 +V +p14388 +tp14389 +a(g198 +V} +tp14390 +a(g185 +V\u000a +tp14391 +a(g185 +V +p14392 +tp14393 +a(g354 +V// END content of ASASBeautifier.cpp.BITFIELD.patch.bz2\u000a +p14394 +tp14395 +a(g185 +V\u000a +tp14396 +a(g185 +V +p14397 +tp14398 +a(g111 +Velse +p14399 +tp14400 +a(g185 +V +tp14401 +a(g354 +V// is in a label (e.g. 'label1:')\u000a +p14402 +tp14403 +a(g185 +V +p14404 +tp14405 +a(g198 +V{ +tp14406 +a(g185 +V\u000a +tp14407 +a(g185 +V +p14408 +tp14409 +a(g111 +Vif +p14410 +tp14411 +a(g185 +V +tp14412 +a(g198 +V( +tp14413 +a(g18 +VlabelIndent +p14414 +tp14415 +a(g198 +V) +tp14416 +a(g185 +V\u000a +tp14417 +a(g185 +V +p14418 +tp14419 +a(g340 +V- +tp14420 +a(g340 +V- +tp14421 +a(g18 +VtabCount +p14422 +tp14423 +a(g198 +V; +tp14424 +a(g185 +V +tp14425 +a(g354 +V// unindent label by one indent\u000a +p14426 +tp14427 +a(g185 +V +p14428 +tp14429 +a(g111 +Velse +p14430 +tp14431 +a(g185 +V\u000a +tp14432 +a(g185 +V +p14433 +tp14434 +a(g18 +VtabCount +p14435 +tp14436 +a(g185 +V +tp14437 +a(g340 +V= +tp14438 +a(g185 +V +tp14439 +a(g315 +V0 +tp14440 +a(g198 +V; +tp14441 +a(g185 +V +tp14442 +a(g354 +V// completely flush indent to left\u000a +p14443 +tp14444 +a(g185 +V +p14445 +tp14446 +a(g198 +V} +tp14447 +a(g185 +V\u000a +tp14448 +a(g185 +V\u000a +tp14449 +a(g185 +V +p14450 +tp14451 +a(g354 +V// BEGIN content of ASASBeautifier.cpp.BITFIELD.patch.bz2\u000a +p14452 +tp14453 +a(g185 +V +p14454 +tp14455 +a(g198 +V} +tp14456 +a(g185 +V\u000a +tp14457 +a(g185 +V +p14458 +tp14459 +a(g354 +V// END content of ASASBeautifier.cpp.BITFIELD.patch.bz2\u000a +p14460 +tp14461 +a(g185 +V\u000a +tp14462 +a(g185 +V +p14463 +tp14464 +a(g198 +V} +tp14465 +a(g185 +V\u000a +tp14466 +a(g185 +V +p14467 +tp14468 +a(g198 +V} +tp14469 +a(g185 +V\u000a +tp14470 +a(g185 +V\u000a +tp14471 +a(g185 +V +p14472 +tp14473 +a(g111 +Vif +p14474 +tp14475 +a(g185 +V +tp14476 +a(g198 +V( +tp14477 +a(g198 +V( +tp14478 +a(g18 +Vch +p14479 +tp14480 +a(g185 +V +tp14481 +a(g340 +V= +tp14482 +a(g340 +V= +tp14483 +a(g185 +V +tp14484 +a(g264 +V';' +p14485 +tp14486 +a(g185 +V +p14487 +tp14488 +a(g340 +V| +tp14489 +a(g340 +V| +tp14490 +a(g185 +V +tp14491 +a(g198 +V( +tp14492 +a(g18 +VparenDepth +p14493 +tp14494 +a(g340 +V> +tp14495 +a(g315 +V0 +tp14496 +a(g185 +V +tp14497 +a(g340 +V& +tp14498 +a(g340 +V& +tp14499 +a(g185 +V +tp14500 +a(g18 +Vch +p14501 +tp14502 +a(g185 +V +tp14503 +a(g340 +V= +tp14504 +a(g340 +V= +tp14505 +a(g185 +V +tp14506 +a(g264 +V',' +p14507 +tp14508 +a(g198 +V) +tp14509 +a(g198 +V) +tp14510 +a(g185 +V +p14511 +tp14512 +a(g340 +V& +tp14513 +a(g340 +V& +tp14514 +a(g185 +V +tp14515 +a(g340 +V! +tp14516 +a(g18 +VinStatementIndentStackSizeStack +p14517 +tp14518 +a(g340 +V- +tp14519 +a(g340 +V> +tp14520 +a(g18 +Vempty +p14521 +tp14522 +a(g198 +V( +tp14523 +a(g198 +V) +tp14524 +a(g198 +V) +tp14525 +a(g185 +V\u000a +tp14526 +a(g185 +V +p14527 +tp14528 +a(g111 +Vwhile +p14529 +tp14530 +a(g185 +V +tp14531 +a(g198 +V( +tp14532 +a(g198 +V( +tp14533 +a(g135 +Vunsigned +p14534 +tp14535 +a(g185 +V +tp14536 +a(g135 +Vint +p14537 +tp14538 +a(g198 +V) +tp14539 +a(g18 +VinStatementIndentStackSizeStack +p14540 +tp14541 +a(g340 +V- +tp14542 +a(g340 +V> +tp14543 +a(g18 +Vback +p14544 +tp14545 +a(g198 +V( +tp14546 +a(g198 +V) +tp14547 +a(g185 +V +tp14548 +a(g340 +V+ +tp14549 +a(g185 +V +tp14550 +a(g198 +V( +tp14551 +a(g18 +VparenDepth +p14552 +tp14553 +a(g340 +V> +tp14554 +a(g315 +V0 +tp14555 +a(g185 +V +tp14556 +a(g340 +V? +tp14557 +a(g185 +V +tp14558 +a(g315 +V1 +tp14559 +a(g185 +V +tp14560 +a(g340 +V: +tp14561 +a(g185 +V +tp14562 +a(g315 +V0 +tp14563 +a(g198 +V) +tp14564 +a(g185 +V +p14565 +tp14566 +a(g340 +V< +tp14567 +a(g185 +V +tp14568 +a(g18 +VinStatementIndentStack +p14569 +tp14570 +a(g340 +V- +tp14571 +a(g340 +V> +tp14572 +a(g18 +Vsize +p14573 +tp14574 +a(g198 +V( +tp14575 +a(g198 +V) +tp14576 +a(g198 +V) +tp14577 +a(g185 +V\u000a +tp14578 +a(g185 +V +p14579 +tp14580 +a(g18 +VinStatementIndentStack +p14581 +tp14582 +a(g340 +V- +tp14583 +a(g340 +V> +tp14584 +a(g18 +Vpop_back +p14585 +tp14586 +a(g198 +V( +tp14587 +a(g198 +V) +tp14588 +a(g198 +V; +tp14589 +a(g185 +V\u000a +tp14590 +a(g185 +V\u000a +tp14591 +a(g185 +V\u000a +tp14592 +a(g185 +V +p14593 +tp14594 +a(g354 +V// handle ends of statements\u000a +p14595 +tp14596 +a(g185 +V +p14597 +tp14598 +a(g111 +Vif +p14599 +tp14600 +a(g185 +V +tp14601 +a(g198 +V( +tp14602 +a(g185 +V +tp14603 +a(g198 +V( +tp14604 +a(g18 +Vch +p14605 +tp14606 +a(g185 +V +tp14607 +a(g340 +V= +tp14608 +a(g340 +V= +tp14609 +a(g185 +V +tp14610 +a(g264 +V';' +p14611 +tp14612 +a(g185 +V +tp14613 +a(g340 +V& +tp14614 +a(g340 +V& +tp14615 +a(g185 +V +tp14616 +a(g18 +VparenDepth +p14617 +tp14618 +a(g185 +V +tp14619 +a(g340 +V= +tp14620 +a(g340 +V= +tp14621 +a(g185 +V +tp14622 +a(g315 +V0 +tp14623 +a(g198 +V) +tp14624 +a(g185 +V +tp14625 +a(g340 +V| +tp14626 +a(g340 +V| +tp14627 +a(g185 +V +tp14628 +a(g18 +Vch +p14629 +tp14630 +a(g185 +V +tp14631 +a(g340 +V= +tp14632 +a(g340 +V= +tp14633 +a(g185 +V +tp14634 +a(g264 +V'}' +p14635 +tp14636 +a(g7 +V/* || (ch == ',' && parenDepth == 0)*/ +p14637 +tp14638 +a(g198 +V) +tp14639 +a(g185 +V\u000a +tp14640 +a(g185 +V +p14641 +tp14642 +a(g198 +V{ +tp14643 +a(g185 +V\u000a +tp14644 +a(g185 +V +p14645 +tp14646 +a(g111 +Vif +p14647 +tp14648 +a(g185 +V +tp14649 +a(g198 +V( +tp14650 +a(g18 +Vch +p14651 +tp14652 +a(g185 +V +tp14653 +a(g340 +V= +tp14654 +a(g340 +V= +tp14655 +a(g185 +V +tp14656 +a(g264 +V'}' +p14657 +tp14658 +a(g198 +V) +tp14659 +a(g185 +V\u000a +tp14660 +a(g185 +V +p14661 +tp14662 +a(g198 +V{ +tp14663 +a(g185 +V\u000a +tp14664 +a(g185 +V +p14665 +tp14666 +a(g354 +V// first check if this '}' closes a previous block, or a static array...\u000a +p14667 +tp14668 +a(g185 +V +p14669 +tp14670 +a(g111 +Vif +p14671 +tp14672 +a(g185 +V +tp14673 +a(g198 +V( +tp14674 +a(g340 +V! +tp14675 +a(g18 +VbracketBlockStateStack +p14676 +tp14677 +a(g340 +V- +tp14678 +a(g340 +V> +tp14679 +a(g18 +Vempty +p14680 +tp14681 +a(g198 +V( +tp14682 +a(g198 +V) +tp14683 +a(g198 +V) +tp14684 +a(g185 +V\u000a +tp14685 +a(g185 +V +p14686 +tp14687 +a(g198 +V{ +tp14688 +a(g185 +V\u000a +tp14689 +a(g185 +V +p14690 +tp14691 +a(g135 +Vbool +p14692 +tp14693 +a(g185 +V +tp14694 +a(g18 +VbracketBlockState +p14695 +tp14696 +a(g185 +V +tp14697 +a(g340 +V= +tp14698 +a(g185 +V +tp14699 +a(g18 +VbracketBlockStateStack +p14700 +tp14701 +a(g340 +V- +tp14702 +a(g340 +V> +tp14703 +a(g18 +Vback +p14704 +tp14705 +a(g198 +V( +tp14706 +a(g198 +V) +tp14707 +a(g198 +V; +tp14708 +a(g185 +V\u000a +tp14709 +a(g185 +V +p14710 +tp14711 +a(g18 +VbracketBlockStateStack +p14712 +tp14713 +a(g340 +V- +tp14714 +a(g340 +V> +tp14715 +a(g18 +Vpop_back +p14716 +tp14717 +a(g198 +V( +tp14718 +a(g198 +V) +tp14719 +a(g198 +V; +tp14720 +a(g185 +V\u000a +tp14721 +a(g185 +V +p14722 +tp14723 +a(g111 +Vif +p14724 +tp14725 +a(g185 +V +tp14726 +a(g198 +V( +tp14727 +a(g340 +V! +tp14728 +a(g18 +VbracketBlockState +p14729 +tp14730 +a(g198 +V) +tp14731 +a(g185 +V\u000a +tp14732 +a(g185 +V +p14733 +tp14734 +a(g198 +V{ +tp14735 +a(g185 +V\u000a +tp14736 +a(g185 +V +p14737 +tp14738 +a(g111 +Vif +p14739 +tp14740 +a(g185 +V +tp14741 +a(g198 +V( +tp14742 +a(g340 +V! +tp14743 +a(g18 +VinStatementIndentStackSizeStack +p14744 +tp14745 +a(g340 +V- +tp14746 +a(g340 +V> +tp14747 +a(g18 +Vempty +p14748 +tp14749 +a(g198 +V( +tp14750 +a(g198 +V) +tp14751 +a(g198 +V) +tp14752 +a(g185 +V\u000a +tp14753 +a(g185 +V +p14754 +tp14755 +a(g198 +V{ +tp14756 +a(g185 +V\u000a +tp14757 +a(g185 +V +p14758 +tp14759 +a(g354 +V// this bracket is a static array\u000a +p14760 +tp14761 +a(g185 +V\u000a +tp14762 +a(g185 +V +p14763 +tp14764 +a(g135 +Vunsigned +p14765 +tp14766 +a(g185 +V +tp14767 +a(g135 +Vint +p14768 +tp14769 +a(g185 +V +tp14770 +a(g18 +VpreviousIndentStackSize +p14771 +tp14772 +a(g185 +V +tp14773 +a(g340 +V= +tp14774 +a(g185 +V +tp14775 +a(g18 +VinStatementIndentStackSizeStack +p14776 +tp14777 +a(g340 +V- +tp14778 +a(g340 +V> +tp14779 +a(g18 +Vback +p14780 +tp14781 +a(g198 +V( +tp14782 +a(g198 +V) +tp14783 +a(g198 +V; +tp14784 +a(g185 +V\u000a +tp14785 +a(g185 +V +p14786 +tp14787 +a(g18 +VinStatementIndentStackSizeStack +p14788 +tp14789 +a(g340 +V- +tp14790 +a(g340 +V> +tp14791 +a(g18 +Vpop_back +p14792 +tp14793 +a(g198 +V( +tp14794 +a(g198 +V) +tp14795 +a(g198 +V; +tp14796 +a(g185 +V\u000a +tp14797 +a(g185 +V +p14798 +tp14799 +a(g111 +Vwhile +p14800 +tp14801 +a(g185 +V +tp14802 +a(g198 +V( +tp14803 +a(g18 +VpreviousIndentStackSize +p14804 +tp14805 +a(g185 +V +tp14806 +a(g340 +V< +tp14807 +a(g185 +V +tp14808 +a(g18 +VinStatementIndentStack +p14809 +tp14810 +a(g340 +V- +tp14811 +a(g340 +V> +tp14812 +a(g18 +Vsize +p14813 +tp14814 +a(g198 +V( +tp14815 +a(g198 +V) +tp14816 +a(g198 +V) +tp14817 +a(g185 +V\u000a +tp14818 +a(g185 +V +p14819 +tp14820 +a(g18 +VinStatementIndentStack +p14821 +tp14822 +a(g340 +V- +tp14823 +a(g340 +V> +tp14824 +a(g18 +Vpop_back +p14825 +tp14826 +a(g198 +V( +tp14827 +a(g198 +V) +tp14828 +a(g198 +V; +tp14829 +a(g185 +V\u000a +tp14830 +a(g185 +V +p14831 +tp14832 +a(g18 +VparenDepth +p14833 +tp14834 +a(g340 +V- +tp14835 +a(g340 +V- +tp14836 +a(g198 +V; +tp14837 +a(g185 +V\u000a +tp14838 +a(g185 +V +p14839 +tp14840 +a(g111 +Vif +p14841 +tp14842 +a(g185 +V +tp14843 +a(g198 +V( +tp14844 +a(g18 +Vi +tp14845 +a(g185 +V +tp14846 +a(g340 +V= +tp14847 +a(g340 +V= +tp14848 +a(g185 +V +tp14849 +a(g315 +V0 +tp14850 +a(g198 +V) +tp14851 +a(g185 +V\u000a +tp14852 +a(g185 +V +p14853 +tp14854 +a(g18 +VshouldIndentBrackettedLine +p14855 +tp14856 +a(g185 +V +tp14857 +a(g340 +V= +tp14858 +a(g185 +V +tp14859 +a(g113 +Vfalse +p14860 +tp14861 +a(g198 +V; +tp14862 +a(g185 +V\u000a +tp14863 +a(g185 +V\u000a +tp14864 +a(g185 +V +p14865 +tp14866 +a(g111 +Vif +p14867 +tp14868 +a(g185 +V +tp14869 +a(g198 +V( +tp14870 +a(g340 +V! +tp14871 +a(g18 +VparenIndentStack +p14872 +tp14873 +a(g340 +V- +tp14874 +a(g340 +V> +tp14875 +a(g18 +Vempty +p14876 +tp14877 +a(g198 +V( +tp14878 +a(g198 +V) +tp14879 +a(g198 +V) +tp14880 +a(g185 +V\u000a +tp14881 +a(g185 +V +p14882 +tp14883 +a(g198 +V{ +tp14884 +a(g185 +V\u000a +tp14885 +a(g185 +V +p14886 +tp14887 +a(g135 +Vint +p14888 +tp14889 +a(g185 +V +tp14890 +a(g18 +VpoppedIndent +p14891 +tp14892 +a(g185 +V +tp14893 +a(g340 +V= +tp14894 +a(g185 +V +tp14895 +a(g18 +VparenIndentStack +p14896 +tp14897 +a(g340 +V- +tp14898 +a(g340 +V> +tp14899 +a(g18 +Vback +p14900 +tp14901 +a(g198 +V( +tp14902 +a(g198 +V) +tp14903 +a(g198 +V; +tp14904 +a(g185 +V\u000a +tp14905 +a(g185 +V +p14906 +tp14907 +a(g18 +VparenIndentStack +p14908 +tp14909 +a(g340 +V- +tp14910 +a(g340 +V> +tp14911 +a(g18 +Vpop_back +p14912 +tp14913 +a(g198 +V( +tp14914 +a(g198 +V) +tp14915 +a(g198 +V; +tp14916 +a(g185 +V\u000a +tp14917 +a(g185 +V +p14918 +tp14919 +a(g111 +Vif +p14920 +tp14921 +a(g185 +V +tp14922 +a(g198 +V( +tp14923 +a(g18 +Vi +tp14924 +a(g185 +V +tp14925 +a(g340 +V= +tp14926 +a(g340 +V= +tp14927 +a(g185 +V +tp14928 +a(g315 +V0 +tp14929 +a(g198 +V) +tp14930 +a(g185 +V\u000a +tp14931 +a(g185 +V +p14932 +tp14933 +a(g18 +VspaceTabCount +p14934 +tp14935 +a(g185 +V +tp14936 +a(g340 +V= +tp14937 +a(g185 +V +tp14938 +a(g18 +VpoppedIndent +p14939 +tp14940 +a(g198 +V; +tp14941 +a(g185 +V\u000a +tp14942 +a(g185 +V +p14943 +tp14944 +a(g198 +V} +tp14945 +a(g185 +V\u000a +tp14946 +a(g185 +V +p14947 +tp14948 +a(g198 +V} +tp14949 +a(g185 +V\u000a +tp14950 +a(g185 +V +p14951 +tp14952 +a(g111 +Vcontinue +p14953 +tp14954 +a(g198 +V; +tp14955 +a(g185 +V\u000a +tp14956 +a(g185 +V +p14957 +tp14958 +a(g198 +V} +tp14959 +a(g185 +V\u000a +tp14960 +a(g185 +V +p14961 +tp14962 +a(g198 +V} +tp14963 +a(g185 +V\u000a +tp14964 +a(g185 +V\u000a +tp14965 +a(g185 +V +p14966 +tp14967 +a(g354 +V// this bracket is block closer...\u000a +p14968 +tp14969 +a(g185 +V\u000a +tp14970 +a(g185 +V +p14971 +tp14972 +a(g340 +V+ +tp14973 +a(g340 +V+ +tp14974 +a(g18 +VlineClosingBlocksNum +p14975 +tp14976 +a(g198 +V; +tp14977 +a(g185 +V\u000a +tp14978 +a(g185 +V\u000a +tp14979 +a(g185 +V +p14980 +tp14981 +a(g111 +Vif +p14982 +tp14983 +a(g198 +V( +tp14984 +a(g340 +V! +tp14985 +a(g18 +VinStatementIndentStackSizeStack +p14986 +tp14987 +a(g340 +V- +tp14988 +a(g340 +V> +tp14989 +a(g18 +Vempty +p14990 +tp14991 +a(g198 +V( +tp14992 +a(g198 +V) +tp14993 +a(g198 +V) +tp14994 +a(g185 +V\u000a +tp14995 +a(g185 +V +p14996 +tp14997 +a(g18 +VinStatementIndentStackSizeStack +p14998 +tp14999 +a(g340 +V- +tp15000 +a(g340 +V> +tp15001 +a(g18 +Vpop_back +p15002 +tp15003 +a(g198 +V( +tp15004 +a(g198 +V) +tp15005 +a(g198 +V; +tp15006 +a(g185 +V\u000a +tp15007 +a(g185 +V\u000a +tp15008 +a(g185 +V +p15009 +tp15010 +a(g111 +Vif +p15011 +tp15012 +a(g185 +V +tp15013 +a(g198 +V( +tp15014 +a(g340 +V! +tp15015 +a(g18 +VblockParenDepthStack +p15016 +tp15017 +a(g340 +V- +tp15018 +a(g340 +V> +tp15019 +a(g18 +Vempty +p15020 +tp15021 +a(g198 +V( +tp15022 +a(g198 +V) +tp15023 +a(g198 +V) +tp15024 +a(g185 +V\u000a +tp15025 +a(g185 +V +p15026 +tp15027 +a(g198 +V{ +tp15028 +a(g185 +V\u000a +tp15029 +a(g185 +V +p15030 +tp15031 +a(g18 +VparenDepth +p15032 +tp15033 +a(g185 +V +tp15034 +a(g340 +V= +tp15035 +a(g185 +V +tp15036 +a(g18 +VblockParenDepthStack +p15037 +tp15038 +a(g340 +V- +tp15039 +a(g340 +V> +tp15040 +a(g18 +Vback +p15041 +tp15042 +a(g198 +V( +tp15043 +a(g198 +V) +tp15044 +a(g198 +V; +tp15045 +a(g185 +V\u000a +tp15046 +a(g185 +V +p15047 +tp15048 +a(g18 +VblockParenDepthStack +p15049 +tp15050 +a(g340 +V- +tp15051 +a(g340 +V> +tp15052 +a(g18 +Vpop_back +p15053 +tp15054 +a(g198 +V( +tp15055 +a(g198 +V) +tp15056 +a(g198 +V; +tp15057 +a(g185 +V\u000a +tp15058 +a(g185 +V +p15059 +tp15060 +a(g18 +VisInStatement +p15061 +tp15062 +a(g185 +V +tp15063 +a(g340 +V= +tp15064 +a(g185 +V +tp15065 +a(g18 +VblockStatementStack +p15066 +tp15067 +a(g340 +V- +tp15068 +a(g340 +V> +tp15069 +a(g18 +Vback +p15070 +tp15071 +a(g198 +V( +tp15072 +a(g198 +V) +tp15073 +a(g198 +V; +tp15074 +a(g185 +V\u000a +tp15075 +a(g185 +V +p15076 +tp15077 +a(g18 +VblockStatementStack +p15078 +tp15079 +a(g340 +V- +tp15080 +a(g340 +V> +tp15081 +a(g18 +Vpop_back +p15082 +tp15083 +a(g198 +V( +tp15084 +a(g198 +V) +tp15085 +a(g198 +V; +tp15086 +a(g185 +V\u000a +tp15087 +a(g185 +V\u000a +tp15088 +a(g185 +V +p15089 +tp15090 +a(g111 +Vif +p15091 +tp15092 +a(g185 +V +tp15093 +a(g198 +V( +tp15094 +a(g18 +VisInStatement +p15095 +tp15096 +a(g198 +V) +tp15097 +a(g185 +V\u000a +tp15098 +a(g185 +V +p15099 +tp15100 +a(g18 +VblockTabCount +p15101 +tp15102 +a(g340 +V- +tp15103 +a(g340 +V- +tp15104 +a(g198 +V; +tp15105 +a(g185 +V\u000a +tp15106 +a(g185 +V +p15107 +tp15108 +a(g198 +V} +tp15109 +a(g185 +V\u000a +tp15110 +a(g185 +V\u000a +tp15111 +a(g185 +V +p15112 +tp15113 +a(g18 +VclosingBracketReached +p15114 +tp15115 +a(g185 +V +tp15116 +a(g340 +V= +tp15117 +a(g185 +V +tp15118 +a(g113 +Vtrue +p15119 +tp15120 +a(g198 +V; +tp15121 +a(g185 +V\u000a +tp15122 +a(g185 +V +p15123 +tp15124 +a(g135 +Vint +p15125 +tp15126 +a(g185 +V +tp15127 +a(g18 +VheaderPlace +p15128 +tp15129 +a(g185 +V +tp15130 +a(g340 +V= +tp15131 +a(g185 +V +tp15132 +a(g18 +VindexOf +p15133 +tp15134 +a(g198 +V( +tp15135 +a(g340 +V* +tp15136 +a(g18 +VheaderStack +p15137 +tp15138 +a(g198 +V, +tp15139 +a(g185 +V +tp15140 +a(g340 +V& +tp15141 +a(g18 +VAS_OPEN_BRACKET +p15142 +tp15143 +a(g198 +V) +tp15144 +a(g198 +V; +tp15145 +a(g185 +V +tp15146 +a(g354 +V// <---\u000a +p15147 +tp15148 +a(g185 +V +p15149 +tp15150 +a(g111 +Vif +p15151 +tp15152 +a(g185 +V +tp15153 +a(g198 +V( +tp15154 +a(g18 +VheaderPlace +p15155 +tp15156 +a(g185 +V +tp15157 +a(g340 +V! +tp15158 +a(g340 +V= +tp15159 +a(g185 +V +tp15160 +a(g340 +V- +tp15161 +a(g315 +V1 +tp15162 +a(g198 +V) +tp15163 +a(g185 +V\u000a +tp15164 +a(g185 +V +p15165 +tp15166 +a(g198 +V{ +tp15167 +a(g185 +V\u000a +tp15168 +a(g185 +V +p15169 +tp15170 +a(g111 +Vconst +p15171 +tp15172 +a(g185 +V +tp15173 +a(g18 +Vstring +p15174 +tp15175 +a(g185 +V +tp15176 +a(g340 +V* +tp15177 +a(g18 +Vpopped +p15178 +tp15179 +a(g185 +V +tp15180 +a(g340 +V= +tp15181 +a(g185 +V +tp15182 +a(g18 +VheaderStack +p15183 +tp15184 +a(g340 +V- +tp15185 +a(g340 +V> +tp15186 +a(g18 +Vback +p15187 +tp15188 +a(g198 +V( +tp15189 +a(g198 +V) +tp15190 +a(g198 +V; +tp15191 +a(g185 +V\u000a +tp15192 +a(g185 +V +p15193 +tp15194 +a(g111 +Vwhile +p15195 +tp15196 +a(g185 +V +tp15197 +a(g198 +V( +tp15198 +a(g18 +Vpopped +p15199 +tp15200 +a(g185 +V +tp15201 +a(g340 +V! +tp15202 +a(g340 +V= +tp15203 +a(g185 +V +tp15204 +a(g340 +V& +tp15205 +a(g18 +VAS_OPEN_BRACKET +p15206 +tp15207 +a(g198 +V) +tp15208 +a(g185 +V\u000a +tp15209 +a(g185 +V +p15210 +tp15211 +a(g198 +V{ +tp15212 +a(g185 +V\u000a +tp15213 +a(g185 +V +p15214 +tp15215 +a(g18 +VheaderStack +p15216 +tp15217 +a(g340 +V- +tp15218 +a(g340 +V> +tp15219 +a(g18 +Vpop_back +p15220 +tp15221 +a(g198 +V( +tp15222 +a(g198 +V) +tp15223 +a(g198 +V; +tp15224 +a(g185 +V\u000a +tp15225 +a(g185 +V +p15226 +tp15227 +a(g18 +Vpopped +p15228 +tp15229 +a(g185 +V +tp15230 +a(g340 +V= +tp15231 +a(g185 +V +tp15232 +a(g18 +VheaderStack +p15233 +tp15234 +a(g340 +V- +tp15235 +a(g340 +V> +tp15236 +a(g18 +Vback +p15237 +tp15238 +a(g198 +V( +tp15239 +a(g198 +V) +tp15240 +a(g198 +V; +tp15241 +a(g185 +V\u000a +tp15242 +a(g185 +V +p15243 +tp15244 +a(g198 +V} +tp15245 +a(g185 +V\u000a +tp15246 +a(g185 +V +p15247 +tp15248 +a(g18 +VheaderStack +p15249 +tp15250 +a(g340 +V- +tp15251 +a(g340 +V> +tp15252 +a(g18 +Vpop_back +p15253 +tp15254 +a(g198 +V( +tp15255 +a(g198 +V) +tp15256 +a(g198 +V; +tp15257 +a(g185 +V\u000a +tp15258 +a(g185 +V\u000a +tp15259 +a(g185 +V +p15260 +tp15261 +a(g111 +Vif +p15262 +tp15263 +a(g185 +V +tp15264 +a(g198 +V( +tp15265 +a(g340 +V! +tp15266 +a(g18 +VtempStacks +p15267 +tp15268 +a(g340 +V- +tp15269 +a(g340 +V> +tp15270 +a(g18 +Vempty +p15271 +tp15272 +a(g198 +V( +tp15273 +a(g198 +V) +tp15274 +a(g198 +V) +tp15275 +a(g185 +V\u000a +tp15276 +a(g185 +V +p15277 +tp15278 +a(g198 +V{ +tp15279 +a(g185 +V\u000a +tp15280 +a(g185 +V +p15281 +tp15282 +a(g18 +Vvector +p15283 +tp15284 +a(g340 +V< +tp15285 +a(g111 +Vconst +p15286 +tp15287 +a(g185 +V +tp15288 +a(g18 +Vstring +p15289 +tp15290 +a(g340 +V* +tp15291 +a(g340 +V> +tp15292 +a(g185 +V +tp15293 +a(g340 +V* +tp15294 +a(g18 +Vtemp +p15295 +tp15296 +a(g185 +V +tp15297 +a(g340 +V= +tp15298 +a(g185 +V +p15299 +tp15300 +a(g18 +VtempStacks +p15301 +tp15302 +a(g340 +V- +tp15303 +a(g340 +V> +tp15304 +a(g18 +Vback +p15305 +tp15306 +a(g198 +V( +tp15307 +a(g198 +V) +tp15308 +a(g198 +V; +tp15309 +a(g185 +V\u000a +tp15310 +a(g185 +V +p15311 +tp15312 +a(g18 +VtempStacks +p15313 +tp15314 +a(g340 +V- +tp15315 +a(g340 +V> +tp15316 +a(g18 +Vpop_back +p15317 +tp15318 +a(g198 +V( +tp15319 +a(g198 +V) +tp15320 +a(g198 +V; +tp15321 +a(g185 +V\u000a +tp15322 +a(g185 +V +p15323 +tp15324 +a(g111 +Vdelete +p15325 +tp15326 +a(g185 +V +tp15327 +a(g18 +Vtemp +p15328 +tp15329 +a(g198 +V; +tp15330 +a(g185 +V\u000a +tp15331 +a(g185 +V +p15332 +tp15333 +a(g198 +V} +tp15334 +a(g185 +V\u000a +tp15335 +a(g185 +V +p15336 +tp15337 +a(g198 +V} +tp15338 +a(g185 +V\u000a +tp15339 +a(g185 +V\u000a +tp15340 +a(g185 +V\u000a +tp15341 +a(g185 +V +p15342 +tp15343 +a(g18 +Vch +p15344 +tp15345 +a(g185 +V +tp15346 +a(g340 +V= +tp15347 +a(g185 +V +tp15348 +a(g264 +V' ' +p15349 +tp15350 +a(g198 +V; +tp15351 +a(g185 +V +tp15352 +a(g354 +V// needed due to cases such as '}else{', so that headers ('else' tn tih case) will be identified...\u000a +p15353 +tp15354 +a(g185 +V +p15355 +tp15356 +a(g198 +V} +tp15357 +a(g185 +V\u000a +tp15358 +a(g185 +V\u000a +tp15359 +a(g185 +V +p15360 +tp15361 +a(g7 +V/*\u000a * Create a temporary snapshot of the current block's header-list in the\u000a * uppermost inner stack in tempStacks, and clear the headerStack up to\u000a * the begining of the block.\u000a * Thus, the next future statement will think it comes one indent past\u000a * the block's '{' unless it specifically checks for a companion-header\u000a * (such as a previous 'if' for an 'else' header) within the tempStacks,\u000a * and recreates the temporary snapshot by manipulating the tempStacks.\u000a */ +p15362 +tp15363 +a(g185 +V\u000a +tp15364 +a(g185 +V +p15365 +tp15366 +a(g111 +Vif +p15367 +tp15368 +a(g185 +V +tp15369 +a(g198 +V( +tp15370 +a(g340 +V! +tp15371 +a(g18 +VtempStacks +p15372 +tp15373 +a(g340 +V- +tp15374 +a(g340 +V> +tp15375 +a(g18 +Vback +p15376 +tp15377 +a(g198 +V( +tp15378 +a(g198 +V) +tp15379 +a(g340 +V- +tp15380 +a(g340 +V> +tp15381 +a(g18 +Vempty +p15382 +tp15383 +a(g198 +V( +tp15384 +a(g198 +V) +tp15385 +a(g198 +V) +tp15386 +a(g185 +V\u000a +tp15387 +a(g185 +V +p15388 +tp15389 +a(g111 +Vwhile +p15390 +tp15391 +a(g185 +V +tp15392 +a(g198 +V( +tp15393 +a(g340 +V! +tp15394 +a(g18 +VtempStacks +p15395 +tp15396 +a(g340 +V- +tp15397 +a(g340 +V> +tp15398 +a(g18 +Vback +p15399 +tp15400 +a(g198 +V( +tp15401 +a(g198 +V) +tp15402 +a(g340 +V- +tp15403 +a(g340 +V> +tp15404 +a(g18 +Vempty +p15405 +tp15406 +a(g198 +V( +tp15407 +a(g198 +V) +tp15408 +a(g198 +V) +tp15409 +a(g185 +V\u000a +tp15410 +a(g185 +V +p15411 +tp15412 +a(g18 +VtempStacks +p15413 +tp15414 +a(g340 +V- +tp15415 +a(g340 +V> +tp15416 +a(g18 +Vback +p15417 +tp15418 +a(g198 +V( +tp15419 +a(g198 +V) +tp15420 +a(g340 +V- +tp15421 +a(g340 +V> +tp15422 +a(g18 +Vpop_back +p15423 +tp15424 +a(g198 +V( +tp15425 +a(g198 +V) +tp15426 +a(g198 +V; +tp15427 +a(g185 +V\u000a +tp15428 +a(g185 +V +p15429 +tp15430 +a(g111 +Vwhile +p15431 +tp15432 +a(g185 +V +tp15433 +a(g198 +V( +tp15434 +a(g340 +V! +tp15435 +a(g18 +VheaderStack +p15436 +tp15437 +a(g340 +V- +tp15438 +a(g340 +V> +tp15439 +a(g18 +Vempty +p15440 +tp15441 +a(g198 +V( +tp15442 +a(g198 +V) +tp15443 +a(g185 +V +tp15444 +a(g340 +V& +tp15445 +a(g340 +V& +tp15446 +a(g185 +V +tp15447 +a(g18 +VheaderStack +p15448 +tp15449 +a(g340 +V- +tp15450 +a(g340 +V> +tp15451 +a(g18 +Vback +p15452 +tp15453 +a(g198 +V( +tp15454 +a(g198 +V) +tp15455 +a(g185 +V +tp15456 +a(g340 +V! +tp15457 +a(g340 +V= +tp15458 +a(g185 +V +tp15459 +a(g340 +V& +tp15460 +a(g18 +VAS_OPEN_BRACKET +p15461 +tp15462 +a(g198 +V) +tp15463 +a(g185 +V\u000a +tp15464 +a(g185 +V +p15465 +tp15466 +a(g198 +V{ +tp15467 +a(g185 +V\u000a +tp15468 +a(g185 +V +p15469 +tp15470 +a(g18 +VtempStacks +p15471 +tp15472 +a(g340 +V- +tp15473 +a(g340 +V> +tp15474 +a(g18 +Vback +p15475 +tp15476 +a(g198 +V( +tp15477 +a(g198 +V) +tp15478 +a(g340 +V- +tp15479 +a(g340 +V> +tp15480 +a(g18 +Vpush_back +p15481 +tp15482 +a(g198 +V( +tp15483 +a(g18 +VheaderStack +p15484 +tp15485 +a(g340 +V- +tp15486 +a(g340 +V> +tp15487 +a(g18 +Vback +p15488 +tp15489 +a(g198 +V( +tp15490 +a(g198 +V) +tp15491 +a(g198 +V) +tp15492 +a(g198 +V; +tp15493 +a(g185 +V\u000a +tp15494 +a(g185 +V +p15495 +tp15496 +a(g18 +VheaderStack +p15497 +tp15498 +a(g340 +V- +tp15499 +a(g340 +V> +tp15500 +a(g18 +Vpop_back +p15501 +tp15502 +a(g198 +V( +tp15503 +a(g198 +V) +tp15504 +a(g198 +V; +tp15505 +a(g185 +V\u000a +tp15506 +a(g185 +V +p15507 +tp15508 +a(g198 +V} +tp15509 +a(g185 +V\u000a +tp15510 +a(g185 +V\u000a +tp15511 +a(g185 +V +p15512 +tp15513 +a(g111 +Vif +p15514 +tp15515 +a(g185 +V +tp15516 +a(g198 +V( +tp15517 +a(g18 +VparenDepth +p15518 +tp15519 +a(g185 +V +tp15520 +a(g340 +V= +tp15521 +a(g340 +V= +tp15522 +a(g185 +V +tp15523 +a(g315 +V0 +tp15524 +a(g185 +V +tp15525 +a(g340 +V& +tp15526 +a(g340 +V& +tp15527 +a(g185 +V +tp15528 +a(g18 +Vch +p15529 +tp15530 +a(g185 +V +tp15531 +a(g340 +V= +tp15532 +a(g340 +V= +tp15533 +a(g185 +V +tp15534 +a(g264 +V';' +p15535 +tp15536 +a(g198 +V) +tp15537 +a(g185 +V\u000a +tp15538 +a(g185 +V +p15539 +tp15540 +a(g18 +VisInStatement +p15541 +tp15542 +a(g340 +V= +tp15543 +a(g113 +Vfalse +p15544 +tp15545 +a(g198 +V; +tp15546 +a(g185 +V\u000a +tp15547 +a(g185 +V\u000a +tp15548 +a(g185 +V +p15549 +tp15550 +a(g18 +VisInClassHeader +p15551 +tp15552 +a(g185 +V +tp15553 +a(g340 +V= +tp15554 +a(g185 +V +tp15555 +a(g113 +Vfalse +p15556 +tp15557 +a(g198 +V; +tp15558 +a(g185 +V\u000a +tp15559 +a(g185 +V\u000a +tp15560 +a(g185 +V +p15561 +tp15562 +a(g111 +Vcontinue +p15563 +tp15564 +a(g198 +V; +tp15565 +a(g185 +V\u000a +tp15566 +a(g185 +V +p15567 +tp15568 +a(g198 +V} +tp15569 +a(g185 +V\u000a +tp15570 +a(g185 +V\u000a +tp15571 +a(g185 +V\u000a +tp15572 +a(g185 +V +p15573 +tp15574 +a(g354 +V// check for preBlockStatements ONLY if not within parenthesies\u000a +p15575 +tp15576 +a(g185 +V +p15577 +tp15578 +a(g354 +V// (otherwise 'struct XXX' statements would be wrongly interpreted...)\u000a +p15579 +tp15580 +a(g185 +V +p15581 +tp15582 +a(g111 +Vif +p15583 +tp15584 +a(g185 +V +tp15585 +a(g198 +V( +tp15586 +a(g18 +VprevCh +p15587 +tp15588 +a(g185 +V +tp15589 +a(g340 +V= +tp15590 +a(g340 +V= +tp15591 +a(g185 +V +tp15592 +a(g264 +V' ' +p15593 +tp15594 +a(g185 +V +tp15595 +a(g340 +V& +tp15596 +a(g340 +V& +tp15597 +a(g185 +V +tp15598 +a(g340 +V! +tp15599 +a(g18 +VisInTemplate +p15600 +tp15601 +a(g185 +V +tp15602 +a(g340 +V& +tp15603 +a(g340 +V& +tp15604 +a(g185 +V +tp15605 +a(g18 +VparenDepth +p15606 +tp15607 +a(g185 +V +tp15608 +a(g340 +V= +tp15609 +a(g340 +V= +tp15610 +a(g185 +V +tp15611 +a(g315 +V0 +tp15612 +a(g198 +V) +tp15613 +a(g185 +V\u000a +tp15614 +a(g185 +V +p15615 +tp15616 +a(g198 +V{ +tp15617 +a(g185 +V\u000a +tp15618 +a(g185 +V +p15619 +tp15620 +a(g111 +Vconst +p15621 +tp15622 +a(g185 +V +tp15623 +a(g18 +Vstring +p15624 +tp15625 +a(g185 +V +tp15626 +a(g340 +V* +tp15627 +a(g18 +VnewHeader +p15628 +tp15629 +a(g185 +V +tp15630 +a(g340 +V= +tp15631 +a(g185 +V +tp15632 +a(g18 +VfindHeader +p15633 +tp15634 +a(g198 +V( +tp15635 +a(g18 +Vline +p15636 +tp15637 +a(g198 +V, +tp15638 +a(g185 +V +tp15639 +a(g18 +Vi +tp15640 +a(g198 +V, +tp15641 +a(g185 +V +tp15642 +a(g18 +VpreBlockStatements +p15643 +tp15644 +a(g198 +V) +tp15645 +a(g198 +V; +tp15646 +a(g185 +V\u000a +tp15647 +a(g185 +V +p15648 +tp15649 +a(g111 +Vif +p15650 +tp15651 +a(g185 +V +tp15652 +a(g198 +V( +tp15653 +a(g18 +VnewHeader +p15654 +tp15655 +a(g185 +V +tp15656 +a(g340 +V! +tp15657 +a(g340 +V= +tp15658 +a(g185 +V +tp15659 +a(g57 +VNULL +p15660 +tp15661 +a(g198 +V) +tp15662 +a(g185 +V\u000a +tp15663 +a(g185 +V +p15664 +tp15665 +a(g198 +V{ +tp15666 +a(g185 +V\u000a +tp15667 +a(g185 +V +p15668 +tp15669 +a(g18 +VisInClassHeader +p15670 +tp15671 +a(g185 +V +tp15672 +a(g340 +V= +tp15673 +a(g185 +V +tp15674 +a(g113 +Vtrue +p15675 +tp15676 +a(g198 +V; +tp15677 +a(g185 +V\u000a +tp15678 +a(g185 +V +p15679 +tp15680 +a(g18 +VoutBuffer +p15681 +tp15682 +a(g198 +V. +tp15683 +a(g18 +Vappend +p15684 +tp15685 +a(g198 +V( +tp15686 +a(g18 +VnewHeader +p15687 +tp15688 +a(g340 +V- +tp15689 +a(g340 +V> +tp15690 +a(g18 +Vsubstr +p15691 +tp15692 +a(g198 +V( +tp15693 +a(g315 +V1 +tp15694 +a(g198 +V) +tp15695 +a(g198 +V) +tp15696 +a(g198 +V; +tp15697 +a(g185 +V\u000a +tp15698 +a(g185 +V +p15699 +tp15700 +a(g18 +Vi +tp15701 +a(g185 +V +tp15702 +a(g340 +V+ +tp15703 +a(g340 +V= +tp15704 +a(g185 +V +tp15705 +a(g18 +VnewHeader +p15706 +tp15707 +a(g340 +V- +tp15708 +a(g340 +V> +tp15709 +a(g18 +Vlength +p15710 +tp15711 +a(g198 +V( +tp15712 +a(g198 +V) +tp15713 +a(g185 +V +tp15714 +a(g340 +V- +tp15715 +a(g185 +V +tp15716 +a(g315 +V1 +tp15717 +a(g198 +V; +tp15718 +a(g185 +V\u000a +tp15719 +a(g185 +V +p15720 +tp15721 +a(g354 +V//if (isCStyle)\u000a +p15722 +tp15723 +a(g185 +V +p15724 +tp15725 +a(g18 +VheaderStack +p15726 +tp15727 +a(g340 +V- +tp15728 +a(g340 +V> +tp15729 +a(g18 +Vpush_back +p15730 +tp15731 +a(g198 +V( +tp15732 +a(g18 +VnewHeader +p15733 +tp15734 +a(g198 +V) +tp15735 +a(g198 +V; +tp15736 +a(g185 +V\u000a +tp15737 +a(g185 +V +p15738 +tp15739 +a(g198 +V} +tp15740 +a(g185 +V\u000a +tp15741 +a(g185 +V +p15742 +tp15743 +a(g198 +V} +tp15744 +a(g185 +V\u000a +tp15745 +a(g185 +V\u000a +tp15746 +a(g185 +V +p15747 +tp15748 +a(g354 +V// Handle operators\u000a +p15749 +tp15750 +a(g185 +V +p15751 +tp15752 +a(g354 +V//\u000a +p15753 +tp15754 +a(g185 +V\u000a +tp15755 +a(g185 +V +p15756 +tp15757 +a(g354 +V//// // PRECHECK if a '==' or '--' or '++' operator was reached.\u000a +p15758 +tp15759 +a(g185 +V +p15760 +tp15761 +a(g354 +V//// // If not, then register an indent IF an assignment operator was reached.\u000a +p15762 +tp15763 +a(g185 +V +p15764 +tp15765 +a(g354 +V//// // The precheck is important, so that statements such as 'i--==2' are not recognized\u000a +p15766 +tp15767 +a(g185 +V +p15768 +tp15769 +a(g354 +V//// // to have assignment operators (here, '-=') in them . . .\u000a +p15770 +tp15771 +a(g185 +V\u000a +tp15772 +a(g185 +V +p15773 +tp15774 +a(g111 +Vconst +p15775 +tp15776 +a(g185 +V +tp15777 +a(g18 +Vstring +p15778 +tp15779 +a(g185 +V +tp15780 +a(g340 +V* +tp15781 +a(g18 +VfoundAssignmentOp +p15782 +tp15783 +a(g185 +V +tp15784 +a(g340 +V= +tp15785 +a(g185 +V +tp15786 +a(g57 +VNULL +p15787 +tp15788 +a(g198 +V; +tp15789 +a(g185 +V\u000a +tp15790 +a(g185 +V +p15791 +tp15792 +a(g111 +Vconst +p15793 +tp15794 +a(g185 +V +tp15795 +a(g18 +Vstring +p15796 +tp15797 +a(g185 +V +tp15798 +a(g340 +V* +tp15799 +a(g18 +VfoundNonAssignmentOp +p15800 +tp15801 +a(g185 +V +tp15802 +a(g340 +V= +tp15803 +a(g185 +V +tp15804 +a(g57 +VNULL +p15805 +tp15806 +a(g198 +V; +tp15807 +a(g185 +V\u000a +tp15808 +a(g185 +V\u000a +tp15809 +a(g185 +V +p15810 +tp15811 +a(g18 +VimmediatelyPreviousAssignmentOp +p15812 +tp15813 +a(g185 +V +tp15814 +a(g340 +V= +tp15815 +a(g185 +V +tp15816 +a(g57 +VNULL +p15817 +tp15818 +a(g198 +V; +tp15819 +a(g185 +V\u000a +tp15820 +a(g185 +V\u000a +tp15821 +a(g185 +V +p15822 +tp15823 +a(g354 +V// Check if an operator has been reached.\u000a +p15824 +tp15825 +a(g185 +V +p15826 +tp15827 +a(g18 +VfoundAssignmentOp +p15828 +tp15829 +a(g185 +V +tp15830 +a(g340 +V= +tp15831 +a(g185 +V +tp15832 +a(g18 +VfindHeader +p15833 +tp15834 +a(g198 +V( +tp15835 +a(g18 +Vline +p15836 +tp15837 +a(g198 +V, +tp15838 +a(g185 +V +tp15839 +a(g18 +Vi +tp15840 +a(g198 +V, +tp15841 +a(g185 +V +tp15842 +a(g18 +VassignmentOperators +p15843 +tp15844 +a(g198 +V, +tp15845 +a(g185 +V +tp15846 +a(g113 +Vfalse +p15847 +tp15848 +a(g198 +V) +tp15849 +a(g198 +V; +tp15850 +a(g185 +V\u000a +tp15851 +a(g185 +V +p15852 +tp15853 +a(g18 +VfoundNonAssignmentOp +p15854 +tp15855 +a(g185 +V +tp15856 +a(g340 +V= +tp15857 +a(g185 +V +tp15858 +a(g18 +VfindHeader +p15859 +tp15860 +a(g198 +V( +tp15861 +a(g18 +Vline +p15862 +tp15863 +a(g198 +V, +tp15864 +a(g185 +V +tp15865 +a(g18 +Vi +tp15866 +a(g198 +V, +tp15867 +a(g185 +V +tp15868 +a(g18 +VnonAssignmentOperators +p15869 +tp15870 +a(g198 +V, +tp15871 +a(g185 +V +tp15872 +a(g113 +Vfalse +p15873 +tp15874 +a(g198 +V) +tp15875 +a(g198 +V; +tp15876 +a(g185 +V\u000a +tp15877 +a(g185 +V\u000a +tp15878 +a(g185 +V +p15879 +tp15880 +a(g354 +V// Since findHeader's boundry checking was not used above, it is possible\u000a +p15881 +tp15882 +a(g185 +V +p15883 +tp15884 +a(g354 +V// that both an assignment op and a non-assignment op where found,\u000a +p15885 +tp15886 +a(g185 +V +p15887 +tp15888 +a(g354 +V// e.g. '>>' and '>>='. If this is the case, treat the LONGER one as the\u000a +p15889 +tp15890 +a(g185 +V +p15891 +tp15892 +a(g354 +V// found operator.\u000a +p15893 +tp15894 +a(g185 +V +p15895 +tp15896 +a(g111 +Vif +p15897 +tp15898 +a(g185 +V +tp15899 +a(g198 +V( +tp15900 +a(g18 +VfoundAssignmentOp +p15901 +tp15902 +a(g185 +V +tp15903 +a(g340 +V! +tp15904 +a(g340 +V= +tp15905 +a(g185 +V +tp15906 +a(g57 +VNULL +p15907 +tp15908 +a(g185 +V +tp15909 +a(g340 +V& +tp15910 +a(g340 +V& +tp15911 +a(g185 +V +tp15912 +a(g18 +VfoundNonAssignmentOp +p15913 +tp15914 +a(g185 +V +tp15915 +a(g340 +V! +tp15916 +a(g340 +V= +tp15917 +a(g185 +V +tp15918 +a(g57 +VNULL +p15919 +tp15920 +a(g198 +V) +tp15921 +a(g185 +V\u000a +tp15922 +a(g185 +V +p15923 +tp15924 +a(g111 +Vif +p15925 +tp15926 +a(g185 +V +tp15927 +a(g198 +V( +tp15928 +a(g18 +VfoundAssignmentOp +p15929 +tp15930 +a(g340 +V- +tp15931 +a(g340 +V> +tp15932 +a(g18 +Vlength +p15933 +tp15934 +a(g198 +V( +tp15935 +a(g198 +V) +tp15936 +a(g185 +V +tp15937 +a(g340 +V< +tp15938 +a(g185 +V +tp15939 +a(g18 +VfoundNonAssignmentOp +p15940 +tp15941 +a(g340 +V- +tp15942 +a(g340 +V> +tp15943 +a(g18 +Vlength +p15944 +tp15945 +a(g198 +V( +tp15946 +a(g198 +V) +tp15947 +a(g198 +V) +tp15948 +a(g185 +V\u000a +tp15949 +a(g185 +V +p15950 +tp15951 +a(g18 +VfoundAssignmentOp +p15952 +tp15953 +a(g185 +V +tp15954 +a(g340 +V= +tp15955 +a(g185 +V +tp15956 +a(g57 +VNULL +p15957 +tp15958 +a(g198 +V; +tp15959 +a(g185 +V\u000a +tp15960 +a(g185 +V +p15961 +tp15962 +a(g111 +Velse +p15963 +tp15964 +a(g185 +V\u000a +tp15965 +a(g185 +V +p15966 +tp15967 +a(g18 +VfoundNonAssignmentOp +p15968 +tp15969 +a(g185 +V +tp15970 +a(g340 +V= +tp15971 +a(g185 +V +tp15972 +a(g57 +VNULL +p15973 +tp15974 +a(g198 +V; +tp15975 +a(g185 +V\u000a +tp15976 +a(g185 +V\u000a +tp15977 +a(g185 +V +p15978 +tp15979 +a(g111 +Vif +p15980 +tp15981 +a(g185 +V +tp15982 +a(g198 +V( +tp15983 +a(g18 +VfoundNonAssignmentOp +p15984 +tp15985 +a(g185 +V +tp15986 +a(g340 +V! +tp15987 +a(g340 +V= +tp15988 +a(g185 +V +tp15989 +a(g57 +VNULL +p15990 +tp15991 +a(g198 +V) +tp15992 +a(g185 +V\u000a +tp15993 +a(g185 +V +p15994 +tp15995 +a(g198 +V{ +tp15996 +a(g185 +V\u000a +tp15997 +a(g185 +V +p15998 +tp15999 +a(g111 +Vif +p16000 +tp16001 +a(g185 +V +tp16002 +a(g198 +V( +tp16003 +a(g18 +VfoundNonAssignmentOp +p16004 +tp16005 +a(g340 +V- +tp16006 +a(g340 +V> +tp16007 +a(g18 +Vlength +p16008 +tp16009 +a(g198 +V( +tp16010 +a(g198 +V) +tp16011 +a(g185 +V +tp16012 +a(g340 +V> +tp16013 +a(g185 +V +tp16014 +a(g315 +V1 +tp16015 +a(g198 +V) +tp16016 +a(g185 +V\u000a +tp16017 +a(g185 +V +p16018 +tp16019 +a(g198 +V{ +tp16020 +a(g185 +V\u000a +tp16021 +a(g185 +V +p16022 +tp16023 +a(g18 +VoutBuffer +p16024 +tp16025 +a(g198 +V. +tp16026 +a(g18 +Vappend +p16027 +tp16028 +a(g198 +V( +tp16029 +a(g18 +VfoundNonAssignmentOp +p16030 +tp16031 +a(g340 +V- +tp16032 +a(g340 +V> +tp16033 +a(g18 +Vsubstr +p16034 +tp16035 +a(g198 +V( +tp16036 +a(g315 +V1 +tp16037 +a(g198 +V) +tp16038 +a(g198 +V) +tp16039 +a(g198 +V; +tp16040 +a(g185 +V\u000a +tp16041 +a(g185 +V +p16042 +tp16043 +a(g18 +Vi +tp16044 +a(g185 +V +tp16045 +a(g340 +V+ +tp16046 +a(g340 +V= +tp16047 +a(g185 +V +tp16048 +a(g18 +VfoundNonAssignmentOp +p16049 +tp16050 +a(g340 +V- +tp16051 +a(g340 +V> +tp16052 +a(g18 +Vlength +p16053 +tp16054 +a(g198 +V( +tp16055 +a(g198 +V) +tp16056 +a(g185 +V +tp16057 +a(g340 +V- +tp16058 +a(g185 +V +tp16059 +a(g315 +V1 +tp16060 +a(g198 +V; +tp16061 +a(g185 +V\u000a +tp16062 +a(g185 +V +p16063 +tp16064 +a(g198 +V} +tp16065 +a(g185 +V\u000a +tp16066 +a(g185 +V +p16067 +tp16068 +a(g198 +V} +tp16069 +a(g185 +V\u000a +tp16070 +a(g185 +V\u000a +tp16071 +a(g185 +V +p16072 +tp16073 +a(g111 +Velse +p16074 +tp16075 +a(g185 +V +tp16076 +a(g111 +Vif +p16077 +tp16078 +a(g185 +V +tp16079 +a(g198 +V( +tp16080 +a(g18 +VfoundAssignmentOp +p16081 +tp16082 +a(g185 +V +tp16083 +a(g340 +V! +tp16084 +a(g340 +V= +tp16085 +a(g185 +V +tp16086 +a(g57 +VNULL +p16087 +tp16088 +a(g198 +V) +tp16089 +a(g185 +V\u000a +tp16090 +a(g185 +V\u000a +tp16091 +a(g185 +V +p16092 +tp16093 +a(g198 +V{ +tp16094 +a(g185 +V\u000a +tp16095 +a(g185 +V +p16096 +tp16097 +a(g111 +Vif +p16098 +tp16099 +a(g185 +V +tp16100 +a(g198 +V( +tp16101 +a(g18 +VfoundAssignmentOp +p16102 +tp16103 +a(g340 +V- +tp16104 +a(g340 +V> +tp16105 +a(g18 +Vlength +p16106 +tp16107 +a(g198 +V( +tp16108 +a(g198 +V) +tp16109 +a(g185 +V +tp16110 +a(g340 +V> +tp16111 +a(g185 +V +tp16112 +a(g315 +V1 +tp16113 +a(g198 +V) +tp16114 +a(g185 +V\u000a +tp16115 +a(g185 +V +p16116 +tp16117 +a(g198 +V{ +tp16118 +a(g185 +V\u000a +tp16119 +a(g185 +V +p16120 +tp16121 +a(g18 +VoutBuffer +p16122 +tp16123 +a(g198 +V. +tp16124 +a(g18 +Vappend +p16125 +tp16126 +a(g198 +V( +tp16127 +a(g18 +VfoundAssignmentOp +p16128 +tp16129 +a(g340 +V- +tp16130 +a(g340 +V> +tp16131 +a(g18 +Vsubstr +p16132 +tp16133 +a(g198 +V( +tp16134 +a(g315 +V1 +tp16135 +a(g198 +V) +tp16136 +a(g198 +V) +tp16137 +a(g198 +V; +tp16138 +a(g185 +V\u000a +tp16139 +a(g185 +V +p16140 +tp16141 +a(g18 +Vi +tp16142 +a(g185 +V +tp16143 +a(g340 +V+ +tp16144 +a(g340 +V= +tp16145 +a(g185 +V +tp16146 +a(g18 +VfoundAssignmentOp +p16147 +tp16148 +a(g340 +V- +tp16149 +a(g340 +V> +tp16150 +a(g18 +Vlength +p16151 +tp16152 +a(g198 +V( +tp16153 +a(g198 +V) +tp16154 +a(g185 +V +tp16155 +a(g340 +V- +tp16156 +a(g185 +V +tp16157 +a(g315 +V1 +tp16158 +a(g198 +V; +tp16159 +a(g185 +V\u000a +tp16160 +a(g185 +V +p16161 +tp16162 +a(g198 +V} +tp16163 +a(g185 +V\u000a +tp16164 +a(g185 +V\u000a +tp16165 +a(g185 +V +p16166 +tp16167 +a(g111 +Vif +p16168 +tp16169 +a(g185 +V +tp16170 +a(g198 +V( +tp16171 +a(g340 +V! +tp16172 +a(g18 +VisInOperator +p16173 +tp16174 +a(g185 +V +tp16175 +a(g340 +V& +tp16176 +a(g340 +V& +tp16177 +a(g185 +V +tp16178 +a(g340 +V! +tp16179 +a(g18 +VisInTemplate +p16180 +tp16181 +a(g198 +V) +tp16182 +a(g185 +V\u000a +tp16183 +a(g185 +V +p16184 +tp16185 +a(g198 +V{ +tp16186 +a(g185 +V\u000a +tp16187 +a(g185 +V +p16188 +tp16189 +a(g18 +VregisterInStatementIndent +p16190 +tp16191 +a(g198 +V( +tp16192 +a(g18 +Vline +p16193 +tp16194 +a(g198 +V, +tp16195 +a(g185 +V +tp16196 +a(g18 +Vi +tp16197 +a(g198 +V, +tp16198 +a(g185 +V +tp16199 +a(g18 +VspaceTabCount +p16200 +tp16201 +a(g198 +V, +tp16202 +a(g185 +V +tp16203 +a(g315 +V0 +tp16204 +a(g198 +V, +tp16205 +a(g185 +V +tp16206 +a(g113 +Vfalse +p16207 +tp16208 +a(g198 +V) +tp16209 +a(g198 +V; +tp16210 +a(g185 +V\u000a +tp16211 +a(g185 +V +p16212 +tp16213 +a(g18 +VimmediatelyPreviousAssignmentOp +p16214 +tp16215 +a(g185 +V +tp16216 +a(g340 +V= +tp16217 +a(g185 +V +tp16218 +a(g18 +VfoundAssignmentOp +p16219 +tp16220 +a(g198 +V; +tp16221 +a(g185 +V\u000a +tp16222 +a(g185 +V +p16223 +tp16224 +a(g18 +VisInStatement +p16225 +tp16226 +a(g185 +V +tp16227 +a(g340 +V= +tp16228 +a(g185 +V +tp16229 +a(g113 +Vtrue +p16230 +tp16231 +a(g198 +V; +tp16232 +a(g185 +V\u000a +tp16233 +a(g185 +V +p16234 +tp16235 +a(g198 +V} +tp16236 +a(g185 +V\u000a +tp16237 +a(g185 +V +p16238 +tp16239 +a(g198 +V} +tp16240 +a(g185 +V\u000a +tp16241 +a(g185 +V\u000a +tp16242 +a(g185 +V +p16243 +tp16244 +a(g7 +V/*\u000a immediatelyPreviousAssignmentOp = NULL;\u000a bool isNonAssingmentOperator = false;\u000a for (int n = 0; n < nonAssignmentOperators.size(); n++)\u000a if (line.COMPARE(i, nonAssignmentOperators[n]->length(), *(nonAssignmentOperators[n])) == 0)\u000a {\u000a if (nonAssignmentOperators[n]->length() > 1)\u000a {\u000a outBuffer.append(nonAssignmentOperators[n]->substr(1));\u000a i += nonAssignmentOperators[n]->length() - 1;\u000a }\u000a isNonAssingmentOperator = true;\u000a break;\u000a }\u000a if (!isNonAssingmentOperator)\u000a {\u000a for (int a = 0; a < assignmentOperators.size(); a++)\u000a if (line.COMPARE(i, assignmentOperators[a]->length(), *(assignmentOperators[a])) == 0)\u000a {\u000a if (assignmentOperators[a]->length() > 1)\u000a {\u000a outBuffer.append(assignmentOperators[a]->substr(1));\u000a i += assignmentOperators[a]->length() - 1;\u000a }\u000a \u000a if (!isInOperator && !isInTemplate)\u000a {\u000a registerInStatementIndent(line, i, spaceTabCount, 0, false);\u000a immediatelyPreviousAssignmentOp = assignmentOperators[a];\u000a isInStatement = true;\u000a }\u000a break;\u000a }\u000a }\u000a */ +p16245 +tp16246 +a(g185 +V\u000a +tp16247 +a(g185 +V\u000a +tp16248 +a(g185 +V +p16249 +tp16250 +a(g111 +Vif +p16251 +tp16252 +a(g185 +V +tp16253 +a(g198 +V( +tp16254 +a(g18 +VisInOperator +p16255 +tp16256 +a(g198 +V) +tp16257 +a(g185 +V\u000a +tp16258 +a(g185 +V +p16259 +tp16260 +a(g18 +VisInOperator +p16261 +tp16262 +a(g185 +V +tp16263 +a(g340 +V= +tp16264 +a(g185 +V +tp16265 +a(g113 +Vfalse +p16266 +tp16267 +a(g198 +V; +tp16268 +a(g185 +V\u000a +tp16269 +a(g185 +V +p16270 +tp16271 +a(g198 +V} +tp16272 +a(g185 +V\u000a +tp16273 +a(g185 +V\u000a +tp16274 +a(g185 +V +p16275 +tp16276 +a(g354 +V// handle special cases of unindentation:\u000a +p16277 +tp16278 +a(g185 +V\u000a +tp16279 +a(g185 +V +p16280 +tp16281 +a(g7 +V/*\u000a * if '{' doesn't follow an immediately previous '{' in the headerStack\u000a * (but rather another header such as "for" or "if", then unindent it\u000a * by one indentation relative to its block.\u000a */ +p16282 +tp16283 +a(g185 +V\u000a +tp16284 +a(g185 +V +p16285 +tp16286 +a(g354 +V// cerr << endl << lineOpeningBlocksNum << " " << lineClosingBlocksNum << " " << previousLastLineHeader << endl;\u000a +p16287 +tp16288 +a(g185 +V\u000a +tp16289 +a(g185 +V +p16290 +tp16291 +a(g354 +V// indent #define lines with one less tab\u000a +p16292 +tp16293 +a(g185 +V +p16294 +tp16295 +a(g354 +V//if (isInDefine)\u000a +p16296 +tp16297 +a(g185 +V +p16298 +tp16299 +a(g354 +V// tabCount -= defineTabCount-1;\u000a +p16300 +tp16301 +a(g185 +V\u000a +tp16302 +a(g185 +V\u000a +tp16303 +a(g185 +V +p16304 +tp16305 +a(g111 +Vif +p16306 +tp16307 +a(g185 +V +tp16308 +a(g198 +V( +tp16309 +a(g340 +V! +tp16310 +a(g18 +VlineStartsInComment +p16311 +tp16312 +a(g185 +V\u000a +tp16313 +a(g185 +V +p16314 +tp16315 +a(g340 +V& +tp16316 +a(g340 +V& +tp16317 +a(g185 +V +tp16318 +a(g340 +V! +tp16319 +a(g18 +VblockIndent +p16320 +tp16321 +a(g185 +V\u000a +tp16322 +a(g185 +V +p16323 +tp16324 +a(g340 +V& +tp16325 +a(g340 +V& +tp16326 +a(g185 +V +tp16327 +a(g18 +VoutBuffer +p16328 +tp16329 +a(g198 +V. +tp16330 +a(g18 +Vlength +p16331 +tp16332 +a(g198 +V( +tp16333 +a(g198 +V) +tp16334 +a(g340 +V> +tp16335 +a(g315 +V0 +tp16336 +a(g185 +V\u000a +tp16337 +a(g185 +V +p16338 +tp16339 +a(g340 +V& +tp16340 +a(g340 +V& +tp16341 +a(g185 +V +tp16342 +a(g18 +VoutBuffer +p16343 +tp16344 +a(g198 +V[ +tp16345 +a(g315 +V0 +tp16346 +a(g198 +V] +tp16347 +a(g340 +V= +tp16348 +a(g340 +V= +tp16349 +a(g264 +V'{' +p16350 +tp16351 +a(g185 +V\u000a +tp16352 +a(g185 +V +p16353 +tp16354 +a(g340 +V& +tp16355 +a(g340 +V& +tp16356 +a(g185 +V +tp16357 +a(g340 +V! +tp16358 +a(g198 +V( +tp16359 +a(g18 +VlineOpeningBlocksNum +p16360 +tp16361 +a(g185 +V +tp16362 +a(g340 +V> +tp16363 +a(g185 +V +tp16364 +a(g315 +V0 +tp16365 +a(g185 +V +tp16366 +a(g340 +V& +tp16367 +a(g340 +V& +tp16368 +a(g185 +V +tp16369 +a(g18 +VlineOpeningBlocksNum +p16370 +tp16371 +a(g185 +V +tp16372 +a(g340 +V= +tp16373 +a(g340 +V= +tp16374 +a(g185 +V +tp16375 +a(g18 +VlineClosingBlocksNum +p16376 +tp16377 +a(g198 +V) +tp16378 +a(g185 +V\u000a +tp16379 +a(g185 +V +p16380 +tp16381 +a(g340 +V& +tp16382 +a(g340 +V& +tp16383 +a(g185 +V +tp16384 +a(g340 +V! +tp16385 +a(g198 +V( +tp16386 +a(g18 +VheaderStack +p16387 +tp16388 +a(g340 +V- +tp16389 +a(g340 +V> +tp16390 +a(g18 +Vsize +p16391 +tp16392 +a(g198 +V( +tp16393 +a(g198 +V) +tp16394 +a(g185 +V +tp16395 +a(g340 +V> +tp16396 +a(g185 +V +tp16397 +a(g315 +V1 +tp16398 +a(g185 +V +tp16399 +a(g340 +V& +tp16400 +a(g340 +V& +tp16401 +a(g185 +V +tp16402 +a(g198 +V( +tp16403 +a(g340 +V* +tp16404 +a(g18 +VheaderStack +p16405 +tp16406 +a(g198 +V) +tp16407 +a(g198 +V[ +tp16408 +a(g18 +VheaderStack +p16409 +tp16410 +a(g340 +V- +tp16411 +a(g340 +V> +tp16412 +a(g18 +Vsize +p16413 +tp16414 +a(g198 +V( +tp16415 +a(g198 +V) +tp16416 +a(g340 +V- +tp16417 +a(g315 +V2 +tp16418 +a(g198 +V] +tp16419 +a(g185 +V +tp16420 +a(g340 +V= +tp16421 +a(g340 +V= +tp16422 +a(g185 +V +tp16423 +a(g340 +V& +tp16424 +a(g18 +VAS_OPEN_BRACKET +p16425 +tp16426 +a(g198 +V) +tp16427 +a(g185 +V\u000a +tp16428 +a(g185 +V +p16429 +tp16430 +a(g340 +V& +tp16431 +a(g340 +V& +tp16432 +a(g185 +V +tp16433 +a(g18 +VshouldIndentBrackettedLine +p16434 +tp16435 +a(g198 +V) +tp16436 +a(g185 +V\u000a +tp16437 +a(g185 +V +p16438 +tp16439 +a(g340 +V- +tp16440 +a(g340 +V- +tp16441 +a(g18 +VtabCount +p16442 +tp16443 +a(g198 +V; +tp16444 +a(g185 +V\u000a +tp16445 +a(g185 +V\u000a +tp16446 +a(g185 +V +p16447 +tp16448 +a(g111 +Velse +p16449 +tp16450 +a(g185 +V +tp16451 +a(g111 +Vif +p16452 +tp16453 +a(g185 +V +tp16454 +a(g198 +V( +tp16455 +a(g340 +V! +tp16456 +a(g18 +VlineStartsInComment +p16457 +tp16458 +a(g185 +V\u000a +tp16459 +a(g185 +V +p16460 +tp16461 +a(g340 +V& +tp16462 +a(g340 +V& +tp16463 +a(g185 +V +tp16464 +a(g18 +VoutBuffer +p16465 +tp16466 +a(g198 +V. +tp16467 +a(g18 +Vlength +p16468 +tp16469 +a(g198 +V( +tp16470 +a(g198 +V) +tp16471 +a(g340 +V> +tp16472 +a(g315 +V0 +tp16473 +a(g185 +V\u000a +tp16474 +a(g185 +V +p16475 +tp16476 +a(g340 +V& +tp16477 +a(g340 +V& +tp16478 +a(g185 +V +tp16479 +a(g18 +VoutBuffer +p16480 +tp16481 +a(g198 +V[ +tp16482 +a(g315 +V0 +tp16483 +a(g198 +V] +tp16484 +a(g340 +V= +tp16485 +a(g340 +V= +tp16486 +a(g264 +V'}' +p16487 +tp16488 +a(g185 +V\u000a +tp16489 +a(g185 +V +p16490 +tp16491 +a(g340 +V& +tp16492 +a(g340 +V& +tp16493 +a(g185 +V +tp16494 +a(g18 +VshouldIndentBrackettedLine +p16495 +tp16496 +a(g185 +V +tp16497 +a(g198 +V) +tp16498 +a(g185 +V\u000a +tp16499 +a(g185 +V +p16500 +tp16501 +a(g340 +V- +tp16502 +a(g340 +V- +tp16503 +a(g18 +VtabCount +p16504 +tp16505 +a(g198 +V; +tp16506 +a(g185 +V\u000a +tp16507 +a(g185 +V\u000a +tp16508 +a(g185 +V +p16509 +tp16510 +a(g354 +V// correctly indent one-line-blocks...\u000a +p16511 +tp16512 +a(g185 +V +p16513 +tp16514 +a(g111 +Velse +p16515 +tp16516 +a(g185 +V +tp16517 +a(g111 +Vif +p16518 +tp16519 +a(g185 +V +tp16520 +a(g198 +V( +tp16521 +a(g340 +V! +tp16522 +a(g18 +VlineStartsInComment +p16523 +tp16524 +a(g185 +V\u000a +tp16525 +a(g185 +V +p16526 +tp16527 +a(g340 +V& +tp16528 +a(g340 +V& +tp16529 +a(g185 +V +tp16530 +a(g18 +VoutBuffer +p16531 +tp16532 +a(g198 +V. +tp16533 +a(g18 +Vlength +p16534 +tp16535 +a(g198 +V( +tp16536 +a(g198 +V) +tp16537 +a(g340 +V> +tp16538 +a(g315 +V0 +tp16539 +a(g185 +V\u000a +tp16540 +a(g185 +V +p16541 +tp16542 +a(g340 +V& +tp16543 +a(g340 +V& +tp16544 +a(g185 +V +tp16545 +a(g18 +VlineOpeningBlocksNum +p16546 +tp16547 +a(g185 +V +tp16548 +a(g340 +V> +tp16549 +a(g185 +V +tp16550 +a(g315 +V0 +tp16551 +a(g185 +V\u000a +tp16552 +a(g185 +V +p16553 +tp16554 +a(g340 +V& +tp16555 +a(g340 +V& +tp16556 +a(g185 +V +tp16557 +a(g18 +VlineOpeningBlocksNum +p16558 +tp16559 +a(g185 +V +tp16560 +a(g340 +V= +tp16561 +a(g340 +V= +tp16562 +a(g185 +V +tp16563 +a(g18 +VlineClosingBlocksNum +p16564 +tp16565 +a(g185 +V\u000a +tp16566 +a(g185 +V +p16567 +tp16568 +a(g340 +V& +tp16569 +a(g340 +V& +tp16570 +a(g185 +V +tp16571 +a(g18 +VpreviousLastLineHeader +p16572 +tp16573 +a(g185 +V +tp16574 +a(g340 +V! +tp16575 +a(g340 +V= +tp16576 +a(g185 +V +tp16577 +a(g57 +VNULL +p16578 +tp16579 +a(g185 +V\u000a +tp16580 +a(g185 +V +p16581 +tp16582 +a(g340 +V& +tp16583 +a(g340 +V& +tp16584 +a(g185 +V +tp16585 +a(g18 +VpreviousLastLineHeader +p16586 +tp16587 +a(g185 +V +tp16588 +a(g340 +V! +tp16589 +a(g340 +V= +tp16590 +a(g185 +V +tp16591 +a(g340 +V& +tp16592 +a(g18 +VAS_OPEN_BRACKET +p16593 +tp16594 +a(g198 +V) +tp16595 +a(g185 +V\u000a +tp16596 +a(g185 +V +p16597 +tp16598 +a(g18 +VtabCount +p16599 +tp16600 +a(g185 +V +tp16601 +a(g340 +V- +tp16602 +a(g340 +V= +tp16603 +a(g185 +V +tp16604 +a(g315 +V1 +tp16605 +a(g198 +V; +tp16606 +a(g185 +V +tp16607 +a(g354 +V//lineOpeningBlocksNum - (blockIndent ? 1 : 0);\u000a +p16608 +tp16609 +a(g185 +V\u000a +tp16610 +a(g185 +V +p16611 +tp16612 +a(g111 +Vif +p16613 +tp16614 +a(g185 +V +tp16615 +a(g198 +V( +tp16616 +a(g18 +VtabCount +p16617 +tp16618 +a(g185 +V +tp16619 +a(g340 +V< +tp16620 +a(g185 +V +tp16621 +a(g315 +V0 +tp16622 +a(g198 +V) +tp16623 +a(g185 +V\u000a +tp16624 +a(g185 +V +p16625 +tp16626 +a(g18 +VtabCount +p16627 +tp16628 +a(g185 +V +tp16629 +a(g340 +V= +tp16630 +a(g185 +V +tp16631 +a(g315 +V0 +tp16632 +a(g198 +V; +tp16633 +a(g185 +V\u000a +tp16634 +a(g185 +V\u000a +tp16635 +a(g185 +V +p16636 +tp16637 +a(g354 +V// take care of extra bracket indentatation option...\u000a +p16638 +tp16639 +a(g185 +V +p16640 +tp16641 +a(g111 +Vif +p16642 +tp16643 +a(g185 +V +tp16644 +a(g198 +V( +tp16645 +a(g18 +VbracketIndent +p16646 +tp16647 +a(g185 +V +tp16648 +a(g340 +V& +tp16649 +a(g340 +V& +tp16650 +a(g185 +V +tp16651 +a(g18 +VoutBuffer +p16652 +tp16653 +a(g198 +V. +tp16654 +a(g18 +Vlength +p16655 +tp16656 +a(g198 +V( +tp16657 +a(g198 +V) +tp16658 +a(g340 +V> +tp16659 +a(g315 +V0 +tp16660 +a(g185 +V +tp16661 +a(g340 +V& +tp16662 +a(g340 +V& +tp16663 +a(g185 +V +tp16664 +a(g18 +VshouldIndentBrackettedLine +p16665 +tp16666 +a(g198 +V) +tp16667 +a(g185 +V\u000a +tp16668 +a(g185 +V +p16669 +tp16670 +a(g111 +Vif +p16671 +tp16672 +a(g185 +V +tp16673 +a(g198 +V( +tp16674 +a(g18 +VoutBuffer +p16675 +tp16676 +a(g198 +V[ +tp16677 +a(g315 +V0 +tp16678 +a(g198 +V] +tp16679 +a(g340 +V= +tp16680 +a(g340 +V= +tp16681 +a(g264 +V'{' +p16682 +tp16683 +a(g185 +V +tp16684 +a(g340 +V| +tp16685 +a(g340 +V| +tp16686 +a(g185 +V +tp16687 +a(g18 +VoutBuffer +p16688 +tp16689 +a(g198 +V[ +tp16690 +a(g315 +V0 +tp16691 +a(g198 +V] +tp16692 +a(g340 +V= +tp16693 +a(g340 +V= +tp16694 +a(g264 +V'}' +p16695 +tp16696 +a(g198 +V) +tp16697 +a(g185 +V\u000a +tp16698 +a(g185 +V +p16699 +tp16700 +a(g18 +VtabCount +p16701 +tp16702 +a(g340 +V+ +tp16703 +a(g340 +V+ +tp16704 +a(g198 +V; +tp16705 +a(g185 +V\u000a +tp16706 +a(g185 +V\u000a +tp16707 +a(g185 +V\u000a +tp16708 +a(g185 +V +p16709 +tp16710 +a(g111 +Vif +p16711 +tp16712 +a(g185 +V +tp16713 +a(g198 +V( +tp16714 +a(g18 +VisInDefine +p16715 +tp16716 +a(g198 +V) +tp16717 +a(g185 +V\u000a +tp16718 +a(g185 +V +p16719 +tp16720 +a(g198 +V{ +tp16721 +a(g185 +V\u000a +tp16722 +a(g185 +V +p16723 +tp16724 +a(g111 +Vif +p16725 +tp16726 +a(g185 +V +tp16727 +a(g198 +V( +tp16728 +a(g18 +VoutBuffer +p16729 +tp16730 +a(g198 +V[ +tp16731 +a(g315 +V0 +tp16732 +a(g198 +V] +tp16733 +a(g185 +V +tp16734 +a(g340 +V= +tp16735 +a(g340 +V= +tp16736 +a(g185 +V +tp16737 +a(g264 +V'#' +p16738 +tp16739 +a(g198 +V) +tp16740 +a(g185 +V\u000a +tp16741 +a(g185 +V +p16742 +tp16743 +a(g198 +V{ +tp16744 +a(g185 +V\u000a +tp16745 +a(g185 +V +p16746 +tp16747 +a(g18 +Vstring +p16748 +tp16749 +a(g185 +V +tp16750 +a(g18 +Vpreproc +p16751 +tp16752 +a(g185 +V +tp16753 +a(g340 +V= +tp16754 +a(g185 +V +tp16755 +a(g18 +Vtrim +p16756 +tp16757 +a(g198 +V( +tp16758 +a(g18 +Vstring +p16759 +tp16760 +a(g198 +V( +tp16761 +a(g18 +VoutBuffer +p16762 +tp16763 +a(g198 +V. +tp16764 +a(g18 +Vc_str +p16765 +tp16766 +a(g198 +V( +tp16767 +a(g198 +V) +tp16768 +a(g185 +V +tp16769 +a(g340 +V+ +tp16770 +a(g185 +V +tp16771 +a(g315 +V1 +tp16772 +a(g198 +V) +tp16773 +a(g198 +V) +tp16774 +a(g198 +V; +tp16775 +a(g185 +V\u000a +tp16776 +a(g185 +V +p16777 +tp16778 +a(g111 +Vif +p16779 +tp16780 +a(g185 +V +tp16781 +a(g198 +V( +tp16782 +a(g18 +Vpreproc +p16783 +tp16784 +a(g198 +V. +tp16785 +a(g18 +VCOMPARE +p16786 +tp16787 +a(g198 +V( +tp16788 +a(g315 +V0 +tp16789 +a(g198 +V, +tp16790 +a(g185 +V +tp16791 +a(g315 +V6 +tp16792 +a(g198 +V, +tp16793 +a(g185 +V +tp16794 +a(g18 +Vstring +p16795 +tp16796 +a(g198 +V( +tp16797 +a(g222 +V" +tp16798 +a(g222 +Vdefine +p16799 +tp16800 +a(g222 +V" +tp16801 +a(g198 +V) +tp16802 +a(g198 +V) +tp16803 +a(g185 +V +tp16804 +a(g340 +V= +tp16805 +a(g340 +V= +tp16806 +a(g185 +V +tp16807 +a(g315 +V0 +tp16808 +a(g198 +V) +tp16809 +a(g185 +V\u000a +tp16810 +a(g185 +V +p16811 +tp16812 +a(g198 +V{ +tp16813 +a(g185 +V\u000a +tp16814 +a(g185 +V +p16815 +tp16816 +a(g111 +Vif +p16817 +tp16818 +a(g185 +V +tp16819 +a(g198 +V( +tp16820 +a(g340 +V! +tp16821 +a(g18 +VinStatementIndentStack +p16822 +tp16823 +a(g340 +V- +tp16824 +a(g340 +V> +tp16825 +a(g18 +Vempty +p16826 +tp16827 +a(g198 +V( +tp16828 +a(g198 +V) +tp16829 +a(g185 +V\u000a +tp16830 +a(g185 +V +p16831 +tp16832 +a(g340 +V& +tp16833 +a(g340 +V& +tp16834 +a(g185 +V +tp16835 +a(g18 +VinStatementIndentStack +p16836 +tp16837 +a(g340 +V- +tp16838 +a(g340 +V> +tp16839 +a(g18 +Vback +p16840 +tp16841 +a(g198 +V( +tp16842 +a(g198 +V) +tp16843 +a(g185 +V +tp16844 +a(g340 +V> +tp16845 +a(g185 +V +tp16846 +a(g315 +V0 +tp16847 +a(g198 +V) +tp16848 +a(g185 +V\u000a +tp16849 +a(g185 +V +p16850 +tp16851 +a(g198 +V{ +tp16852 +a(g185 +V\u000a +tp16853 +a(g185 +V +p16854 +tp16855 +a(g18 +VdefineTabCount +p16856 +tp16857 +a(g185 +V +tp16858 +a(g340 +V= +tp16859 +a(g185 +V +tp16860 +a(g18 +VtabCount +p16861 +tp16862 +a(g198 +V; +tp16863 +a(g185 +V\u000a +tp16864 +a(g185 +V +p16865 +tp16866 +a(g198 +V} +tp16867 +a(g185 +V\u000a +tp16868 +a(g185 +V +p16869 +tp16870 +a(g111 +Velse +p16871 +tp16872 +a(g185 +V\u000a +tp16873 +a(g185 +V +p16874 +tp16875 +a(g198 +V{ +tp16876 +a(g185 +V\u000a +tp16877 +a(g185 +V +p16878 +tp16879 +a(g18 +VdefineTabCount +p16880 +tp16881 +a(g185 +V +tp16882 +a(g340 +V= +tp16883 +a(g185 +V +tp16884 +a(g18 +VtabCount +p16885 +tp16886 +a(g185 +V +tp16887 +a(g340 +V- +tp16888 +a(g185 +V +tp16889 +a(g315 +V1 +tp16890 +a(g198 +V; +tp16891 +a(g185 +V\u000a +tp16892 +a(g185 +V +p16893 +tp16894 +a(g18 +VtabCount +p16895 +tp16896 +a(g340 +V- +tp16897 +a(g340 +V- +tp16898 +a(g198 +V; +tp16899 +a(g185 +V\u000a +tp16900 +a(g185 +V +p16901 +tp16902 +a(g198 +V} +tp16903 +a(g185 +V\u000a +tp16904 +a(g185 +V +p16905 +tp16906 +a(g198 +V} +tp16907 +a(g185 +V\u000a +tp16908 +a(g185 +V +p16909 +tp16910 +a(g198 +V} +tp16911 +a(g185 +V\u000a +tp16912 +a(g185 +V\u000a +tp16913 +a(g185 +V +p16914 +tp16915 +a(g18 +VtabCount +p16916 +tp16917 +a(g185 +V +tp16918 +a(g340 +V- +tp16919 +a(g340 +V= +tp16920 +a(g185 +V +tp16921 +a(g18 +VdefineTabCount +p16922 +tp16923 +a(g198 +V; +tp16924 +a(g185 +V\u000a +tp16925 +a(g185 +V +p16926 +tp16927 +a(g198 +V} +tp16928 +a(g185 +V\u000a +tp16929 +a(g185 +V\u000a +tp16930 +a(g185 +V +p16931 +tp16932 +a(g111 +Vif +p16933 +tp16934 +a(g185 +V +tp16935 +a(g198 +V( +tp16936 +a(g18 +VtabCount +p16937 +tp16938 +a(g185 +V +tp16939 +a(g340 +V< +tp16940 +a(g185 +V +tp16941 +a(g315 +V0 +tp16942 +a(g198 +V) +tp16943 +a(g185 +V\u000a +tp16944 +a(g185 +V +p16945 +tp16946 +a(g18 +VtabCount +p16947 +tp16948 +a(g185 +V +tp16949 +a(g340 +V= +tp16950 +a(g185 +V +tp16951 +a(g315 +V0 +tp16952 +a(g198 +V; +tp16953 +a(g185 +V\u000a +tp16954 +a(g185 +V\u000a +tp16955 +a(g185 +V\u000a +tp16956 +a(g185 +V +p16957 +tp16958 +a(g354 +V// finally, insert indentations into begining of line\u000a +p16959 +tp16960 +a(g185 +V\u000a +tp16961 +a(g185 +V +p16962 +tp16963 +a(g18 +VprevFinalLineSpaceTabCount +p16964 +tp16965 +a(g185 +V +tp16966 +a(g340 +V= +tp16967 +a(g185 +V +tp16968 +a(g18 +VspaceTabCount +p16969 +tp16970 +a(g198 +V; +tp16971 +a(g185 +V\u000a +tp16972 +a(g185 +V +p16973 +tp16974 +a(g18 +VprevFinalLineTabCount +p16975 +tp16976 +a(g185 +V +tp16977 +a(g340 +V= +tp16978 +a(g185 +V +tp16979 +a(g18 +VtabCount +p16980 +tp16981 +a(g198 +V; +tp16982 +a(g185 +V\u000a +tp16983 +a(g185 +V\u000a +tp16984 +a(g185 +V +p16985 +tp16986 +a(g111 +Vif +p16987 +tp16988 +a(g185 +V +tp16989 +a(g198 +V( +tp16990 +a(g18 +VshouldForceTabIndentation +p16991 +tp16992 +a(g198 +V) +tp16993 +a(g185 +V\u000a +tp16994 +a(g185 +V +p16995 +tp16996 +a(g198 +V{ +tp16997 +a(g185 +V\u000a +tp16998 +a(g185 +V +p16999 +tp17000 +a(g18 +VtabCount +p17001 +tp17002 +a(g185 +V +tp17003 +a(g340 +V+ +tp17004 +a(g340 +V= +tp17005 +a(g185 +V +tp17006 +a(g18 +VspaceTabCount +p17007 +tp17008 +a(g185 +V +tp17009 +a(g340 +V/ +tp17010 +a(g185 +V +tp17011 +a(g18 +VindentLength +p17012 +tp17013 +a(g198 +V; +tp17014 +a(g185 +V\u000a +tp17015 +a(g185 +V +p17016 +tp17017 +a(g18 +VspaceTabCount +p17018 +tp17019 +a(g185 +V +tp17020 +a(g340 +V= +tp17021 +a(g185 +V +tp17022 +a(g18 +VspaceTabCount +p17023 +tp17024 +a(g185 +V +tp17025 +a(g340 +V% +tp17026 +a(g185 +V +tp17027 +a(g18 +VindentLength +p17028 +tp17029 +a(g198 +V; +tp17030 +a(g185 +V\u000a +tp17031 +a(g185 +V +p17032 +tp17033 +a(g198 +V} +tp17034 +a(g185 +V\u000a +tp17035 +a(g185 +V\u000a +tp17036 +a(g185 +V +p17037 +tp17038 +a(g18 +VoutBuffer +p17039 +tp17040 +a(g185 +V +tp17041 +a(g340 +V= +tp17042 +a(g185 +V +tp17043 +a(g18 +VpreLineWS +p17044 +tp17045 +a(g198 +V( +tp17046 +a(g18 +VspaceTabCount +p17047 +tp17048 +a(g198 +V, +tp17049 +a(g18 +VtabCount +p17050 +tp17051 +a(g198 +V) +tp17052 +a(g185 +V +tp17053 +a(g340 +V+ +tp17054 +a(g185 +V +tp17055 +a(g18 +VoutBuffer +p17056 +tp17057 +a(g198 +V; +tp17058 +a(g185 +V\u000a +tp17059 +a(g185 +V\u000a +tp17060 +a(g185 +V +p17061 +tp17062 +a(g111 +Vif +p17063 +tp17064 +a(g185 +V +tp17065 +a(g198 +V( +tp17066 +a(g18 +VlastLineHeader +p17067 +tp17068 +a(g185 +V +tp17069 +a(g340 +V! +tp17070 +a(g340 +V= +tp17071 +a(g185 +V +tp17072 +a(g57 +VNULL +p17073 +tp17074 +a(g198 +V) +tp17075 +a(g185 +V\u000a +tp17076 +a(g185 +V +p17077 +tp17078 +a(g18 +VpreviousLastLineHeader +p17079 +tp17080 +a(g185 +V +tp17081 +a(g340 +V= +tp17082 +a(g185 +V +tp17083 +a(g18 +VlastLineHeader +p17084 +tp17085 +a(g198 +V; +tp17086 +a(g185 +V\u000a +tp17087 +a(g185 +V\u000a +tp17088 +a(g185 +V +p17089 +tp17090 +a(g111 +Vreturn +p17091 +tp17092 +a(g185 +V +tp17093 +a(g18 +VoutBuffer +p17094 +tp17095 +a(g198 +V; +tp17096 +a(g185 +V\u000a +tp17097 +a(g185 +V +p17098 +tp17099 +a(g198 +V} +tp17100 +a(g185 +V\u000a +tp17101 +a(g185 +V\u000a +tp17102 +a(g185 +V\u000a +tp17103 +a(g185 +V +p17104 +tp17105 +a(g18 +Vstring +p17106 +tp17107 +a(g185 +V +tp17108 +a(g18 +VASBeautifier +p17109 +tp17110 +a(g340 +V: +tp17111 +a(g340 +V: +tp17112 +a(g18 +VpreLineWS +p17113 +tp17114 +a(g198 +V( +tp17115 +a(g135 +Vint +p17116 +tp17117 +a(g185 +V +tp17118 +a(g18 +VspaceTabCount +p17119 +tp17120 +a(g198 +V, +tp17121 +a(g185 +V +tp17122 +a(g135 +Vint +p17123 +tp17124 +a(g185 +V +tp17125 +a(g18 +VtabCount +p17126 +tp17127 +a(g198 +V) +tp17128 +a(g185 +V\u000a +tp17129 +a(g185 +V +p17130 +tp17131 +a(g198 +V{ +tp17132 +a(g185 +V\u000a +tp17133 +a(g185 +V +p17134 +tp17135 +a(g18 +Vstring +p17136 +tp17137 +a(g185 +V +tp17138 +a(g18 +Vws +p17139 +tp17140 +a(g198 +V; +tp17141 +a(g185 +V\u000a +tp17142 +a(g185 +V\u000a +tp17143 +a(g185 +V +p17144 +tp17145 +a(g111 +Vfor +p17146 +tp17147 +a(g185 +V +tp17148 +a(g198 +V( +tp17149 +a(g135 +Vint +p17150 +tp17151 +a(g185 +V +tp17152 +a(g18 +Vi +tp17153 +a(g340 +V= +tp17154 +a(g315 +V0 +tp17155 +a(g198 +V; +tp17156 +a(g185 +V +tp17157 +a(g18 +Vi +tp17158 +a(g340 +V< +tp17159 +a(g18 +VtabCount +p17160 +tp17161 +a(g198 +V; +tp17162 +a(g185 +V +tp17163 +a(g18 +Vi +tp17164 +a(g340 +V+ +tp17165 +a(g340 +V+ +tp17166 +a(g198 +V) +tp17167 +a(g185 +V\u000a +tp17168 +a(g185 +V +p17169 +tp17170 +a(g18 +Vws +p17171 +tp17172 +a(g185 +V +tp17173 +a(g340 +V+ +tp17174 +a(g340 +V= +tp17175 +a(g185 +V +tp17176 +a(g18 +VindentString +p17177 +tp17178 +a(g198 +V; +tp17179 +a(g185 +V\u000a +tp17180 +a(g185 +V\u000a +tp17181 +a(g185 +V +p17182 +tp17183 +a(g111 +Vwhile +p17184 +tp17185 +a(g185 +V +tp17186 +a(g198 +V( +tp17187 +a(g198 +V( +tp17188 +a(g18 +VspaceTabCount +p17189 +tp17190 +a(g340 +V- +tp17191 +a(g340 +V- +tp17192 +a(g198 +V) +tp17193 +a(g185 +V +tp17194 +a(g340 +V> +tp17195 +a(g185 +V +tp17196 +a(g315 +V0 +tp17197 +a(g198 +V) +tp17198 +a(g185 +V\u000a +tp17199 +a(g185 +V +p17200 +tp17201 +a(g18 +Vws +p17202 +tp17203 +a(g185 +V +tp17204 +a(g340 +V+ +tp17205 +a(g340 +V= +tp17206 +a(g185 +V +tp17207 +a(g18 +Vstring +p17208 +tp17209 +a(g198 +V( +tp17210 +a(g222 +V" +tp17211 +a(g222 +V +tp17212 +a(g222 +V" +tp17213 +a(g198 +V) +tp17214 +a(g198 +V; +tp17215 +a(g185 +V\u000a +tp17216 +a(g185 +V\u000a +tp17217 +a(g185 +V +p17218 +tp17219 +a(g111 +Vreturn +p17220 +tp17221 +a(g185 +V +tp17222 +a(g18 +Vws +p17223 +tp17224 +a(g198 +V; +tp17225 +a(g185 +V\u000a +tp17226 +a(g185 +V\u000a +tp17227 +a(g185 +V +p17228 +tp17229 +a(g198 +V} +tp17230 +a(g185 +V\u000a +tp17231 +a(g185 +V\u000a +tp17232 +a(g185 +V +p17233 +tp17234 +a(g7 +V/**\u000a * register an in-statement indent.\u000a */ +p17235 +tp17236 +a(g185 +V\u000a +tp17237 +a(g185 +V +p17238 +tp17239 +a(g135 +Vvoid +p17240 +tp17241 +a(g185 +V +tp17242 +a(g18 +VASBeautifier +p17243 +tp17244 +a(g340 +V: +tp17245 +a(g340 +V: +tp17246 +a(g18 +VregisterInStatementIndent +p17247 +tp17248 +a(g198 +V( +tp17249 +a(g111 +Vconst +p17250 +tp17251 +a(g185 +V +tp17252 +a(g18 +Vstring +p17253 +tp17254 +a(g185 +V +tp17255 +a(g340 +V& +tp17256 +a(g18 +Vline +p17257 +tp17258 +a(g198 +V, +tp17259 +a(g185 +V +tp17260 +a(g135 +Vint +p17261 +tp17262 +a(g185 +V +tp17263 +a(g18 +Vi +tp17264 +a(g198 +V, +tp17265 +a(g185 +V +tp17266 +a(g135 +Vint +p17267 +tp17268 +a(g185 +V +tp17269 +a(g18 +VspaceTabCount +p17270 +tp17271 +a(g198 +V, +tp17272 +a(g185 +V\u000a +tp17273 +a(g185 +V +p17274 +tp17275 +a(g135 +Vint +p17276 +tp17277 +a(g185 +V +tp17278 +a(g18 +VminIndent +p17279 +tp17280 +a(g198 +V, +tp17281 +a(g185 +V +tp17282 +a(g135 +Vbool +p17283 +tp17284 +a(g185 +V +tp17285 +a(g18 +VupdateParenStack +p17286 +tp17287 +a(g198 +V) +tp17288 +a(g185 +V\u000a +tp17289 +a(g185 +V +p17290 +tp17291 +a(g198 +V{ +tp17292 +a(g185 +V\u000a +tp17293 +a(g185 +V +p17294 +tp17295 +a(g135 +Vint +p17296 +tp17297 +a(g185 +V +tp17298 +a(g18 +VinStatementIndent +p17299 +tp17300 +a(g198 +V; +tp17301 +a(g185 +V\u000a +tp17302 +a(g185 +V +p17303 +tp17304 +a(g135 +Vint +p17305 +tp17306 +a(g185 +V +tp17307 +a(g18 +VremainingCharNum +p17308 +tp17309 +a(g185 +V +tp17310 +a(g340 +V= +tp17311 +a(g185 +V +tp17312 +a(g18 +Vline +p17313 +tp17314 +a(g198 +V. +tp17315 +a(g18 +Vlength +p17316 +tp17317 +a(g198 +V( +tp17318 +a(g198 +V) +tp17319 +a(g185 +V +tp17320 +a(g340 +V- +tp17321 +a(g185 +V +tp17322 +a(g18 +Vi +tp17323 +a(g198 +V; +tp17324 +a(g185 +V\u000a +tp17325 +a(g185 +V +p17326 +tp17327 +a(g135 +Vint +p17328 +tp17329 +a(g185 +V +tp17330 +a(g18 +VnextNonWSChar +p17331 +tp17332 +a(g185 +V +tp17333 +a(g340 +V= +tp17334 +a(g185 +V +tp17335 +a(g315 +V1 +tp17336 +a(g198 +V; +tp17337 +a(g185 +V\u000a +tp17338 +a(g185 +V\u000a +tp17339 +a(g185 +V +p17340 +tp17341 +a(g18 +VnextNonWSChar +p17342 +tp17343 +a(g185 +V +tp17344 +a(g340 +V= +tp17345 +a(g185 +V +tp17346 +a(g18 +VgetNextProgramCharDistance +p17347 +tp17348 +a(g198 +V( +tp17349 +a(g18 +Vline +p17350 +tp17351 +a(g198 +V, +tp17352 +a(g185 +V +tp17353 +a(g18 +Vi +tp17354 +a(g198 +V) +tp17355 +a(g198 +V; +tp17356 +a(g185 +V\u000a +tp17357 +a(g185 +V\u000a +tp17358 +a(g185 +V +p17359 +tp17360 +a(g354 +V// if indent is around the last char in the line, indent instead 2 spaces from the previous indent\u000a +p17361 +tp17362 +a(g185 +V +p17363 +tp17364 +a(g111 +Vif +p17365 +tp17366 +a(g185 +V +tp17367 +a(g198 +V( +tp17368 +a(g18 +VnextNonWSChar +p17369 +tp17370 +a(g185 +V +tp17371 +a(g340 +V= +tp17372 +a(g340 +V= +tp17373 +a(g185 +V +tp17374 +a(g18 +VremainingCharNum +p17375 +tp17376 +a(g198 +V) +tp17377 +a(g185 +V\u000a +tp17378 +a(g185 +V +p17379 +tp17380 +a(g198 +V{ +tp17381 +a(g185 +V\u000a +tp17382 +a(g185 +V +p17383 +tp17384 +a(g135 +Vint +p17385 +tp17386 +a(g185 +V +tp17387 +a(g18 +VpreviousIndent +p17388 +tp17389 +a(g185 +V +tp17390 +a(g340 +V= +tp17391 +a(g185 +V +tp17392 +a(g18 +VspaceTabCount +p17393 +tp17394 +a(g198 +V; +tp17395 +a(g185 +V\u000a +tp17396 +a(g185 +V +p17397 +tp17398 +a(g111 +Vif +p17399 +tp17400 +a(g185 +V +tp17401 +a(g198 +V( +tp17402 +a(g340 +V! +tp17403 +a(g18 +VinStatementIndentStack +p17404 +tp17405 +a(g340 +V- +tp17406 +a(g340 +V> +tp17407 +a(g18 +Vempty +p17408 +tp17409 +a(g198 +V( +tp17410 +a(g198 +V) +tp17411 +a(g198 +V) +tp17412 +a(g185 +V\u000a +tp17413 +a(g185 +V +p17414 +tp17415 +a(g18 +VpreviousIndent +p17416 +tp17417 +a(g185 +V +tp17418 +a(g340 +V= +tp17419 +a(g185 +V +tp17420 +a(g18 +VinStatementIndentStack +p17421 +tp17422 +a(g340 +V- +tp17423 +a(g340 +V> +tp17424 +a(g18 +Vback +p17425 +tp17426 +a(g198 +V( +tp17427 +a(g198 +V) +tp17428 +a(g198 +V; +tp17429 +a(g185 +V\u000a +tp17430 +a(g185 +V\u000a +tp17431 +a(g185 +V +p17432 +tp17433 +a(g18 +VinStatementIndentStack +p17434 +tp17435 +a(g340 +V- +tp17436 +a(g340 +V> +tp17437 +a(g18 +Vpush_back +p17438 +tp17439 +a(g198 +V( +tp17440 +a(g7 +V/*2*/ +p17441 +tp17442 +a(g185 +V +tp17443 +a(g18 +VindentLength +p17444 +tp17445 +a(g185 +V +tp17446 +a(g340 +V+ +tp17447 +a(g185 +V +tp17448 +a(g18 +VpreviousIndent +p17449 +tp17450 +a(g185 +V +tp17451 +a(g198 +V) +tp17452 +a(g198 +V; +tp17453 +a(g185 +V\u000a +tp17454 +a(g185 +V +p17455 +tp17456 +a(g111 +Vif +p17457 +tp17458 +a(g185 +V +tp17459 +a(g198 +V( +tp17460 +a(g18 +VupdateParenStack +p17461 +tp17462 +a(g198 +V) +tp17463 +a(g185 +V\u000a +tp17464 +a(g185 +V +p17465 +tp17466 +a(g18 +VparenIndentStack +p17467 +tp17468 +a(g340 +V- +tp17469 +a(g340 +V> +tp17470 +a(g18 +Vpush_back +p17471 +tp17472 +a(g198 +V( +tp17473 +a(g185 +V +tp17474 +a(g18 +VpreviousIndent +p17475 +tp17476 +a(g185 +V +tp17477 +a(g198 +V) +tp17478 +a(g198 +V; +tp17479 +a(g185 +V\u000a +tp17480 +a(g185 +V +p17481 +tp17482 +a(g111 +Vreturn +p17483 +tp17484 +a(g198 +V; +tp17485 +a(g185 +V\u000a +tp17486 +a(g185 +V +p17487 +tp17488 +a(g198 +V} +tp17489 +a(g185 +V\u000a +tp17490 +a(g185 +V\u000a +tp17491 +a(g185 +V +p17492 +tp17493 +a(g111 +Vif +p17494 +tp17495 +a(g185 +V +tp17496 +a(g198 +V( +tp17497 +a(g18 +VupdateParenStack +p17498 +tp17499 +a(g198 +V) +tp17500 +a(g185 +V\u000a +tp17501 +a(g185 +V +p17502 +tp17503 +a(g18 +VparenIndentStack +p17504 +tp17505 +a(g340 +V- +tp17506 +a(g340 +V> +tp17507 +a(g18 +Vpush_back +p17508 +tp17509 +a(g198 +V( +tp17510 +a(g18 +Vi +tp17511 +a(g340 +V+ +tp17512 +a(g18 +VspaceTabCount +p17513 +tp17514 +a(g198 +V) +tp17515 +a(g198 +V; +tp17516 +a(g185 +V\u000a +tp17517 +a(g185 +V\u000a +tp17518 +a(g185 +V +p17519 +tp17520 +a(g18 +VinStatementIndent +p17521 +tp17522 +a(g185 +V +tp17523 +a(g340 +V= +tp17524 +a(g185 +V +tp17525 +a(g18 +Vi +tp17526 +a(g185 +V +tp17527 +a(g340 +V+ +tp17528 +a(g185 +V +tp17529 +a(g18 +VnextNonWSChar +p17530 +tp17531 +a(g185 +V +tp17532 +a(g340 +V+ +tp17533 +a(g185 +V +tp17534 +a(g18 +VspaceTabCount +p17535 +tp17536 +a(g198 +V; +tp17537 +a(g185 +V\u000a +tp17538 +a(g185 +V\u000a +tp17539 +a(g185 +V +p17540 +tp17541 +a(g111 +Vif +p17542 +tp17543 +a(g185 +V +tp17544 +a(g198 +V( +tp17545 +a(g18 +Vi +tp17546 +a(g185 +V +tp17547 +a(g340 +V+ +tp17548 +a(g185 +V +tp17549 +a(g18 +VnextNonWSChar +p17550 +tp17551 +a(g185 +V +tp17552 +a(g340 +V< +tp17553 +a(g185 +V +tp17554 +a(g18 +VminIndent +p17555 +tp17556 +a(g198 +V) +tp17557 +a(g185 +V\u000a +tp17558 +a(g185 +V +p17559 +tp17560 +a(g18 +VinStatementIndent +p17561 +tp17562 +a(g185 +V +tp17563 +a(g340 +V= +tp17564 +a(g185 +V +tp17565 +a(g18 +VminIndent +p17566 +tp17567 +a(g185 +V +tp17568 +a(g340 +V+ +tp17569 +a(g185 +V +tp17570 +a(g18 +VspaceTabCount +p17571 +tp17572 +a(g198 +V; +tp17573 +a(g185 +V\u000a +tp17574 +a(g185 +V\u000a +tp17575 +a(g185 +V +p17576 +tp17577 +a(g111 +Vif +p17578 +tp17579 +a(g185 +V +tp17580 +a(g198 +V( +tp17581 +a(g18 +Vi +tp17582 +a(g185 +V +tp17583 +a(g340 +V+ +tp17584 +a(g185 +V +tp17585 +a(g18 +VnextNonWSChar +p17586 +tp17587 +a(g185 +V +tp17588 +a(g340 +V> +tp17589 +a(g185 +V +tp17590 +a(g18 +VmaxInStatementIndent +p17591 +tp17592 +a(g198 +V) +tp17593 +a(g185 +V\u000a +tp17594 +a(g185 +V +p17595 +tp17596 +a(g18 +VinStatementIndent +p17597 +tp17598 +a(g185 +V +tp17599 +a(g340 +V= +tp17600 +a(g185 +V +p17601 +tp17602 +a(g18 +VindentLength +p17603 +tp17604 +a(g340 +V* +tp17605 +a(g315 +V2 +tp17606 +a(g185 +V +tp17607 +a(g340 +V+ +tp17608 +a(g185 +V +tp17609 +a(g18 +VspaceTabCount +p17610 +tp17611 +a(g198 +V; +tp17612 +a(g185 +V\u000a +tp17613 +a(g185 +V\u000a +tp17614 +a(g185 +V\u000a +tp17615 +a(g185 +V\u000a +tp17616 +a(g185 +V +p17617 +tp17618 +a(g111 +Vif +p17619 +tp17620 +a(g185 +V +tp17621 +a(g198 +V( +tp17622 +a(g340 +V! +tp17623 +a(g18 +VinStatementIndentStack +p17624 +tp17625 +a(g340 +V- +tp17626 +a(g340 +V> +tp17627 +a(g18 +Vempty +p17628 +tp17629 +a(g198 +V( +tp17630 +a(g198 +V) +tp17631 +a(g185 +V +tp17632 +a(g340 +V& +tp17633 +a(g340 +V& +tp17634 +a(g185 +V\u000a +tp17635 +a(g185 +V +p17636 +tp17637 +a(g18 +VinStatementIndent +p17638 +tp17639 +a(g185 +V +tp17640 +a(g340 +V< +tp17641 +a(g185 +V +tp17642 +a(g18 +VinStatementIndentStack +p17643 +tp17644 +a(g340 +V- +tp17645 +a(g340 +V> +tp17646 +a(g18 +Vback +p17647 +tp17648 +a(g198 +V( +tp17649 +a(g198 +V) +tp17650 +a(g198 +V) +tp17651 +a(g185 +V\u000a +tp17652 +a(g185 +V +p17653 +tp17654 +a(g18 +VinStatementIndent +p17655 +tp17656 +a(g185 +V +tp17657 +a(g340 +V= +tp17658 +a(g185 +V +tp17659 +a(g18 +VinStatementIndentStack +p17660 +tp17661 +a(g340 +V- +tp17662 +a(g340 +V> +tp17663 +a(g18 +Vback +p17664 +tp17665 +a(g198 +V( +tp17666 +a(g198 +V) +tp17667 +a(g198 +V; +tp17668 +a(g185 +V\u000a +tp17669 +a(g185 +V\u000a +tp17670 +a(g185 +V +p17671 +tp17672 +a(g18 +VinStatementIndentStack +p17673 +tp17674 +a(g340 +V- +tp17675 +a(g340 +V> +tp17676 +a(g18 +Vpush_back +p17677 +tp17678 +a(g198 +V( +tp17679 +a(g18 +VinStatementIndent +p17680 +tp17681 +a(g198 +V) +tp17682 +a(g198 +V; +tp17683 +a(g185 +V\u000a +tp17684 +a(g185 +V +p17685 +tp17686 +a(g198 +V} +tp17687 +a(g185 +V\u000a +tp17688 +a(g185 +V\u000a +tp17689 +a(g185 +V +p17690 +tp17691 +a(g7 +V/**\u000a * get distance to the next non-white sspace, non-comment character in the line.\u000a * if no such character exists, return the length remaining to the end of the line.\u000a */ +p17692 +tp17693 +a(g185 +V\u000a +tp17694 +a(g185 +V +p17695 +tp17696 +a(g135 +Vint +p17697 +tp17698 +a(g185 +V +tp17699 +a(g18 +VASBeautifier +p17700 +tp17701 +a(g340 +V: +tp17702 +a(g340 +V: +tp17703 +a(g18 +VgetNextProgramCharDistance +p17704 +tp17705 +a(g198 +V( +tp17706 +a(g111 +Vconst +p17707 +tp17708 +a(g185 +V +tp17709 +a(g18 +Vstring +p17710 +tp17711 +a(g185 +V +tp17712 +a(g340 +V& +tp17713 +a(g18 +Vline +p17714 +tp17715 +a(g198 +V, +tp17716 +a(g185 +V +tp17717 +a(g135 +Vint +p17718 +tp17719 +a(g185 +V +tp17720 +a(g18 +Vi +tp17721 +a(g198 +V) +tp17722 +a(g185 +V\u000a +tp17723 +a(g185 +V +p17724 +tp17725 +a(g198 +V{ +tp17726 +a(g185 +V\u000a +tp17727 +a(g185 +V +p17728 +tp17729 +a(g135 +Vbool +p17730 +tp17731 +a(g185 +V +tp17732 +a(g18 +VinComment +p17733 +tp17734 +a(g185 +V +tp17735 +a(g340 +V= +tp17736 +a(g185 +V +tp17737 +a(g113 +Vfalse +p17738 +tp17739 +a(g198 +V; +tp17740 +a(g185 +V\u000a +tp17741 +a(g185 +V +p17742 +tp17743 +a(g135 +Vint +p17744 +tp17745 +a(g185 +V +tp17746 +a(g18 +VremainingCharNum +p17747 +tp17748 +a(g185 +V +tp17749 +a(g340 +V= +tp17750 +a(g185 +V +tp17751 +a(g18 +Vline +p17752 +tp17753 +a(g198 +V. +tp17754 +a(g18 +Vlength +p17755 +tp17756 +a(g198 +V( +tp17757 +a(g198 +V) +tp17758 +a(g185 +V +tp17759 +a(g340 +V- +tp17760 +a(g185 +V +tp17761 +a(g18 +Vi +tp17762 +a(g198 +V; +tp17763 +a(g185 +V\u000a +tp17764 +a(g185 +V +p17765 +tp17766 +a(g135 +Vint +p17767 +tp17768 +a(g185 +V +tp17769 +a(g18 +VcharDistance +p17770 +tp17771 +a(g185 +V +tp17772 +a(g340 +V= +tp17773 +a(g185 +V +tp17774 +a(g315 +V1 +tp17775 +a(g198 +V; +tp17776 +a(g185 +V\u000a +tp17777 +a(g185 +V +p17778 +tp17779 +a(g135 +Vint +p17780 +tp17781 +a(g185 +V +tp17782 +a(g18 +Vch +p17783 +tp17784 +a(g198 +V; +tp17785 +a(g185 +V\u000a +tp17786 +a(g185 +V\u000a +tp17787 +a(g185 +V +p17788 +tp17789 +a(g111 +Vfor +p17790 +tp17791 +a(g185 +V +tp17792 +a(g198 +V( +tp17793 +a(g18 +VcharDistance +p17794 +tp17795 +a(g185 +V +tp17796 +a(g340 +V= +tp17797 +a(g185 +V +tp17798 +a(g315 +V1 +tp17799 +a(g198 +V; +tp17800 +a(g185 +V +tp17801 +a(g18 +VcharDistance +p17802 +tp17803 +a(g185 +V +tp17804 +a(g340 +V< +tp17805 +a(g185 +V +tp17806 +a(g18 +VremainingCharNum +p17807 +tp17808 +a(g198 +V; +tp17809 +a(g185 +V +tp17810 +a(g18 +VcharDistance +p17811 +tp17812 +a(g340 +V+ +tp17813 +a(g340 +V+ +tp17814 +a(g198 +V) +tp17815 +a(g185 +V\u000a +tp17816 +a(g185 +V +p17817 +tp17818 +a(g198 +V{ +tp17819 +a(g185 +V\u000a +tp17820 +a(g185 +V +p17821 +tp17822 +a(g18 +Vch +p17823 +tp17824 +a(g185 +V +tp17825 +a(g340 +V= +tp17826 +a(g185 +V +tp17827 +a(g18 +Vline +p17828 +tp17829 +a(g198 +V[ +tp17830 +a(g18 +Vi +tp17831 +a(g185 +V +tp17832 +a(g340 +V+ +tp17833 +a(g185 +V +tp17834 +a(g18 +VcharDistance +p17835 +tp17836 +a(g198 +V] +tp17837 +a(g198 +V; +tp17838 +a(g185 +V\u000a +tp17839 +a(g185 +V +p17840 +tp17841 +a(g111 +Vif +p17842 +tp17843 +a(g185 +V +tp17844 +a(g198 +V( +tp17845 +a(g18 +VinComment +p17846 +tp17847 +a(g198 +V) +tp17848 +a(g185 +V\u000a +tp17849 +a(g185 +V +p17850 +tp17851 +a(g198 +V{ +tp17852 +a(g185 +V\u000a +tp17853 +a(g185 +V +p17854 +tp17855 +a(g111 +Vif +p17856 +tp17857 +a(g185 +V +tp17858 +a(g198 +V( +tp17859 +a(g18 +Vline +p17860 +tp17861 +a(g198 +V. +tp17862 +a(g18 +VCOMPARE +p17863 +tp17864 +a(g198 +V( +tp17865 +a(g18 +Vi +tp17866 +a(g185 +V +tp17867 +a(g340 +V+ +tp17868 +a(g185 +V +tp17869 +a(g18 +VcharDistance +p17870 +tp17871 +a(g198 +V, +tp17872 +a(g185 +V +tp17873 +a(g315 +V2 +tp17874 +a(g198 +V, +tp17875 +a(g185 +V +tp17876 +a(g18 +VAS_CLOSE_COMMENT +p17877 +tp17878 +a(g198 +V) +tp17879 +a(g185 +V +tp17880 +a(g340 +V= +tp17881 +a(g340 +V= +tp17882 +a(g185 +V +tp17883 +a(g315 +V0 +tp17884 +a(g198 +V) +tp17885 +a(g185 +V\u000a +tp17886 +a(g185 +V +p17887 +tp17888 +a(g198 +V{ +tp17889 +a(g185 +V\u000a +tp17890 +a(g185 +V +p17891 +tp17892 +a(g18 +VcharDistance +p17893 +tp17894 +a(g340 +V+ +tp17895 +a(g340 +V+ +tp17896 +a(g198 +V; +tp17897 +a(g185 +V\u000a +tp17898 +a(g185 +V +p17899 +tp17900 +a(g18 +VinComment +p17901 +tp17902 +a(g185 +V +tp17903 +a(g340 +V= +tp17904 +a(g185 +V +tp17905 +a(g113 +Vfalse +p17906 +tp17907 +a(g198 +V; +tp17908 +a(g185 +V\u000a +tp17909 +a(g185 +V +p17910 +tp17911 +a(g198 +V} +tp17912 +a(g185 +V\u000a +tp17913 +a(g185 +V +p17914 +tp17915 +a(g111 +Vcontinue +p17916 +tp17917 +a(g198 +V; +tp17918 +a(g185 +V\u000a +tp17919 +a(g185 +V +p17920 +tp17921 +a(g198 +V} +tp17922 +a(g185 +V\u000a +tp17923 +a(g185 +V +p17924 +tp17925 +a(g111 +Velse +p17926 +tp17927 +a(g185 +V +tp17928 +a(g111 +Vif +p17929 +tp17930 +a(g185 +V +tp17931 +a(g198 +V( +tp17932 +a(g18 +VisWhiteSpace +p17933 +tp17934 +a(g198 +V( +tp17935 +a(g18 +Vch +p17936 +tp17937 +a(g198 +V) +tp17938 +a(g198 +V) +tp17939 +a(g185 +V\u000a +tp17940 +a(g185 +V +p17941 +tp17942 +a(g111 +Vcontinue +p17943 +tp17944 +a(g198 +V; +tp17945 +a(g185 +V\u000a +tp17946 +a(g185 +V +p17947 +tp17948 +a(g111 +Velse +p17949 +tp17950 +a(g185 +V +tp17951 +a(g111 +Vif +p17952 +tp17953 +a(g185 +V +tp17954 +a(g198 +V( +tp17955 +a(g18 +Vch +p17956 +tp17957 +a(g185 +V +tp17958 +a(g340 +V= +tp17959 +a(g340 +V= +tp17960 +a(g185 +V +tp17961 +a(g264 +V'/' +p17962 +tp17963 +a(g198 +V) +tp17964 +a(g185 +V\u000a +tp17965 +a(g185 +V +p17966 +tp17967 +a(g198 +V{ +tp17968 +a(g185 +V\u000a +tp17969 +a(g185 +V +p17970 +tp17971 +a(g111 +Vif +p17972 +tp17973 +a(g185 +V +tp17974 +a(g198 +V( +tp17975 +a(g18 +Vline +p17976 +tp17977 +a(g198 +V. +tp17978 +a(g18 +VCOMPARE +p17979 +tp17980 +a(g198 +V( +tp17981 +a(g18 +Vi +tp17982 +a(g185 +V +tp17983 +a(g340 +V+ +tp17984 +a(g185 +V +tp17985 +a(g18 +VcharDistance +p17986 +tp17987 +a(g198 +V, +tp17988 +a(g185 +V +tp17989 +a(g315 +V2 +tp17990 +a(g198 +V, +tp17991 +a(g185 +V +tp17992 +a(g18 +VAS_OPEN_LINE_COMMENT +p17993 +tp17994 +a(g198 +V) +tp17995 +a(g185 +V +tp17996 +a(g340 +V= +tp17997 +a(g340 +V= +tp17998 +a(g185 +V +tp17999 +a(g315 +V0 +tp18000 +a(g198 +V) +tp18001 +a(g185 +V\u000a +tp18002 +a(g185 +V +p18003 +tp18004 +a(g111 +Vreturn +p18005 +tp18006 +a(g185 +V +tp18007 +a(g18 +VremainingCharNum +p18008 +tp18009 +a(g198 +V; +tp18010 +a(g185 +V\u000a +tp18011 +a(g185 +V +p18012 +tp18013 +a(g111 +Velse +p18014 +tp18015 +a(g185 +V +tp18016 +a(g111 +Vif +p18017 +tp18018 +a(g185 +V +tp18019 +a(g198 +V( +tp18020 +a(g18 +Vline +p18021 +tp18022 +a(g198 +V. +tp18023 +a(g18 +VCOMPARE +p18024 +tp18025 +a(g198 +V( +tp18026 +a(g18 +Vi +tp18027 +a(g185 +V +tp18028 +a(g340 +V+ +tp18029 +a(g185 +V +tp18030 +a(g18 +VcharDistance +p18031 +tp18032 +a(g198 +V, +tp18033 +a(g185 +V +tp18034 +a(g315 +V2 +tp18035 +a(g198 +V, +tp18036 +a(g185 +V +tp18037 +a(g18 +VAS_OPEN_COMMENT +p18038 +tp18039 +a(g198 +V) +tp18040 +a(g185 +V +tp18041 +a(g340 +V= +tp18042 +a(g340 +V= +tp18043 +a(g185 +V +tp18044 +a(g315 +V0 +tp18045 +a(g198 +V) +tp18046 +a(g185 +V\u000a +tp18047 +a(g185 +V +p18048 +tp18049 +a(g198 +V{ +tp18050 +a(g185 +V\u000a +tp18051 +a(g185 +V +p18052 +tp18053 +a(g18 +VcharDistance +p18054 +tp18055 +a(g340 +V+ +tp18056 +a(g340 +V+ +tp18057 +a(g198 +V; +tp18058 +a(g185 +V\u000a +tp18059 +a(g185 +V +p18060 +tp18061 +a(g18 +VinComment +p18062 +tp18063 +a(g185 +V +tp18064 +a(g340 +V= +tp18065 +a(g185 +V +tp18066 +a(g113 +Vtrue +p18067 +tp18068 +a(g198 +V; +tp18069 +a(g185 +V\u000a +tp18070 +a(g185 +V +p18071 +tp18072 +a(g198 +V} +tp18073 +a(g185 +V\u000a +tp18074 +a(g185 +V +p18075 +tp18076 +a(g198 +V} +tp18077 +a(g185 +V\u000a +tp18078 +a(g185 +V +p18079 +tp18080 +a(g111 +Velse +p18081 +tp18082 +a(g185 +V\u000a +tp18083 +a(g185 +V +p18084 +tp18085 +a(g111 +Vreturn +p18086 +tp18087 +a(g185 +V +tp18088 +a(g18 +VcharDistance +p18089 +tp18090 +a(g198 +V; +tp18091 +a(g185 +V\u000a +tp18092 +a(g185 +V +p18093 +tp18094 +a(g198 +V} +tp18095 +a(g185 +V\u000a +tp18096 +a(g185 +V\u000a +tp18097 +a(g185 +V +p18098 +tp18099 +a(g111 +Vreturn +p18100 +tp18101 +a(g185 +V +tp18102 +a(g18 +VcharDistance +p18103 +tp18104 +a(g198 +V; +tp18105 +a(g185 +V\u000a +tp18106 +a(g185 +V +p18107 +tp18108 +a(g198 +V} +tp18109 +a(g185 +V\u000a +tp18110 +a(g185 +V\u000a +tp18111 +a(g185 +V\u000a +tp18112 +a(g185 +V +p18113 +tp18114 +a(g7 +V/**\u000a * check if a specific character can be used in a legal variable/method/class name\u000a *\u000a * @return legality of the char.\u000a * @param ch the character to be checked.\u000a */ +p18115 +tp18116 +a(g185 +V\u000a +tp18117 +a(g185 +V +p18118 +tp18119 +a(g135 +Vbool +p18120 +tp18121 +a(g185 +V +tp18122 +a(g18 +VASBeautifier +p18123 +tp18124 +a(g340 +V: +tp18125 +a(g340 +V: +tp18126 +a(g18 +VisLegalNameChar +p18127 +tp18128 +a(g198 +V( +tp18129 +a(g135 +Vchar +p18130 +tp18131 +a(g185 +V +tp18132 +a(g18 +Vch +p18133 +tp18134 +a(g198 +V) +tp18135 +a(g185 +V +tp18136 +a(g111 +Vconst +p18137 +tp18138 +a(g185 +V\u000a +tp18139 +a(g185 +V +p18140 +tp18141 +a(g198 +V{ +tp18142 +a(g185 +V\u000a +tp18143 +a(g185 +V +p18144 +tp18145 +a(g111 +Vreturn +p18146 +tp18147 +a(g185 +V +tp18148 +a(g198 +V( +tp18149 +a(g18 +Visalnum +p18150 +tp18151 +a(g198 +V( +tp18152 +a(g18 +Vch +p18153 +tp18154 +a(g198 +V) +tp18155 +a(g185 +V +tp18156 +a(g354 +V//(ch>='a' && ch<='z') || (ch>='A' && ch<='Z') || (ch>='0' && ch<='9') ||\u000a +p18157 +tp18158 +a(g185 +V +p18159 +tp18160 +a(g340 +V| +tp18161 +a(g340 +V| +tp18162 +a(g185 +V +tp18163 +a(g18 +Vch +p18164 +tp18165 +a(g340 +V= +tp18166 +a(g340 +V= +tp18167 +a(g264 +V'.' +p18168 +tp18169 +a(g185 +V +tp18170 +a(g340 +V| +tp18171 +a(g340 +V| +tp18172 +a(g185 +V +tp18173 +a(g18 +Vch +p18174 +tp18175 +a(g340 +V= +tp18176 +a(g340 +V= +tp18177 +a(g264 +V'_' +p18178 +tp18179 +a(g185 +V +tp18180 +a(g340 +V| +tp18181 +a(g340 +V| +tp18182 +a(g185 +V +tp18183 +a(g198 +V( +tp18184 +a(g340 +V! +tp18185 +a(g18 +VisCStyle +p18186 +tp18187 +a(g185 +V +tp18188 +a(g340 +V& +tp18189 +a(g340 +V& +tp18190 +a(g185 +V +tp18191 +a(g18 +Vch +p18192 +tp18193 +a(g340 +V= +tp18194 +a(g340 +V= +tp18195 +a(g264 +V'$' +p18196 +tp18197 +a(g198 +V) +tp18198 +a(g185 +V +tp18199 +a(g340 +V| +tp18200 +a(g340 +V| +tp18201 +a(g185 +V +tp18202 +a(g198 +V( +tp18203 +a(g18 +VisCStyle +p18204 +tp18205 +a(g185 +V +tp18206 +a(g340 +V& +tp18207 +a(g340 +V& +tp18208 +a(g185 +V +tp18209 +a(g18 +Vch +p18210 +tp18211 +a(g340 +V= +tp18212 +a(g340 +V= +tp18213 +a(g264 +V'~' +p18214 +tp18215 +a(g198 +V) +tp18216 +a(g198 +V) +tp18217 +a(g198 +V; +tp18218 +a(g185 +V\u000a +tp18219 +a(g185 +V +p18220 +tp18221 +a(g198 +V} +tp18222 +a(g185 +V\u000a +tp18223 +a(g185 +V\u000a +tp18224 +a(g185 +V\u000a +tp18225 +a(g185 +V +p18226 +tp18227 +a(g7 +V/**\u000a * check if a specific line position contains a header, out of several possible headers.\u000a *\u000a * @return a pointer to the found header. if no header was found then return NULL.\u000a */ +p18228 +tp18229 +a(g185 +V\u000a +tp18230 +a(g185 +V +p18231 +tp18232 +a(g111 +Vconst +p18233 +tp18234 +a(g185 +V +tp18235 +a(g18 +Vstring +p18236 +tp18237 +a(g185 +V +tp18238 +a(g340 +V* +tp18239 +a(g18 +VASBeautifier +p18240 +tp18241 +a(g340 +V: +tp18242 +a(g340 +V: +tp18243 +a(g18 +VfindHeader +p18244 +tp18245 +a(g198 +V( +tp18246 +a(g111 +Vconst +p18247 +tp18248 +a(g185 +V +tp18249 +a(g18 +Vstring +p18250 +tp18251 +a(g185 +V +tp18252 +a(g340 +V& +tp18253 +a(g18 +Vline +p18254 +tp18255 +a(g198 +V, +tp18256 +a(g185 +V +tp18257 +a(g135 +Vint +p18258 +tp18259 +a(g185 +V +tp18260 +a(g18 +Vi +tp18261 +a(g198 +V, +tp18262 +a(g185 +V +tp18263 +a(g111 +Vconst +p18264 +tp18265 +a(g185 +V +tp18266 +a(g18 +Vvector +p18267 +tp18268 +a(g340 +V< +tp18269 +a(g111 +Vconst +p18270 +tp18271 +a(g185 +V +tp18272 +a(g18 +Vstring +p18273 +tp18274 +a(g340 +V* +tp18275 +a(g340 +V> +tp18276 +a(g185 +V +tp18277 +a(g340 +V& +tp18278 +a(g18 +VpossibleHeaders +p18279 +tp18280 +a(g198 +V, +tp18281 +a(g185 +V +tp18282 +a(g135 +Vbool +p18283 +tp18284 +a(g185 +V +tp18285 +a(g18 +VcheckBoundry +p18286 +tp18287 +a(g198 +V) +tp18288 +a(g185 +V\u000a +tp18289 +a(g185 +V +p18290 +tp18291 +a(g198 +V{ +tp18292 +a(g185 +V\u000a +tp18293 +a(g185 +V +p18294 +tp18295 +a(g135 +Vint +p18296 +tp18297 +a(g185 +V +tp18298 +a(g18 +VmaxHeaders +p18299 +tp18300 +a(g185 +V +tp18301 +a(g340 +V= +tp18302 +a(g185 +V +tp18303 +a(g18 +VpossibleHeaders +p18304 +tp18305 +a(g198 +V. +tp18306 +a(g18 +Vsize +p18307 +tp18308 +a(g198 +V( +tp18309 +a(g198 +V) +tp18310 +a(g198 +V; +tp18311 +a(g185 +V\u000a +tp18312 +a(g185 +V +p18313 +tp18314 +a(g111 +Vconst +p18315 +tp18316 +a(g185 +V +tp18317 +a(g18 +Vstring +p18318 +tp18319 +a(g185 +V +tp18320 +a(g340 +V* +tp18321 +a(g18 +Vheader +p18322 +tp18323 +a(g185 +V +tp18324 +a(g340 +V= +tp18325 +a(g185 +V +tp18326 +a(g57 +VNULL +p18327 +tp18328 +a(g198 +V; +tp18329 +a(g185 +V\u000a +tp18330 +a(g185 +V +p18331 +tp18332 +a(g135 +Vint +p18333 +tp18334 +a(g185 +V +tp18335 +a(g18 +Vp +tp18336 +a(g198 +V; +tp18337 +a(g185 +V\u000a +tp18338 +a(g185 +V\u000a +tp18339 +a(g185 +V +p18340 +tp18341 +a(g111 +Vfor +p18342 +tp18343 +a(g185 +V +tp18344 +a(g198 +V( +tp18345 +a(g18 +Vp +tp18346 +a(g340 +V= +tp18347 +a(g315 +V0 +tp18348 +a(g198 +V; +tp18349 +a(g185 +V +tp18350 +a(g18 +Vp +tp18351 +a(g185 +V +tp18352 +a(g340 +V< +tp18353 +a(g185 +V +tp18354 +a(g18 +VmaxHeaders +p18355 +tp18356 +a(g198 +V; +tp18357 +a(g185 +V +tp18358 +a(g18 +Vp +tp18359 +a(g340 +V+ +tp18360 +a(g340 +V+ +tp18361 +a(g198 +V) +tp18362 +a(g185 +V\u000a +tp18363 +a(g185 +V +p18364 +tp18365 +a(g198 +V{ +tp18366 +a(g185 +V\u000a +tp18367 +a(g185 +V +p18368 +tp18369 +a(g18 +Vheader +p18370 +tp18371 +a(g185 +V +tp18372 +a(g340 +V= +tp18373 +a(g185 +V +tp18374 +a(g18 +VpossibleHeaders +p18375 +tp18376 +a(g198 +V[ +tp18377 +a(g18 +Vp +tp18378 +a(g198 +V] +tp18379 +a(g198 +V; +tp18380 +a(g185 +V\u000a +tp18381 +a(g185 +V\u000a +tp18382 +a(g185 +V +p18383 +tp18384 +a(g111 +Vif +p18385 +tp18386 +a(g185 +V +tp18387 +a(g198 +V( +tp18388 +a(g18 +Vline +p18389 +tp18390 +a(g198 +V. +tp18391 +a(g18 +VCOMPARE +p18392 +tp18393 +a(g198 +V( +tp18394 +a(g18 +Vi +tp18395 +a(g198 +V, +tp18396 +a(g185 +V +tp18397 +a(g18 +Vheader +p18398 +tp18399 +a(g340 +V- +tp18400 +a(g340 +V> +tp18401 +a(g18 +Vlength +p18402 +tp18403 +a(g198 +V( +tp18404 +a(g198 +V) +tp18405 +a(g198 +V, +tp18406 +a(g185 +V +tp18407 +a(g340 +V* +tp18408 +a(g18 +Vheader +p18409 +tp18410 +a(g198 +V) +tp18411 +a(g185 +V +tp18412 +a(g340 +V= +tp18413 +a(g340 +V= +tp18414 +a(g185 +V +tp18415 +a(g315 +V0 +tp18416 +a(g198 +V) +tp18417 +a(g185 +V\u000a +tp18418 +a(g185 +V +p18419 +tp18420 +a(g198 +V{ +tp18421 +a(g185 +V\u000a +tp18422 +a(g185 +V +p18423 +tp18424 +a(g354 +V// check that this is a header and not a part of a longer word\u000a +p18425 +tp18426 +a(g185 +V +p18427 +tp18428 +a(g354 +V// (e.g. not at its begining, not at its middle...)\u000a +p18429 +tp18430 +a(g185 +V\u000a +tp18431 +a(g185 +V +p18432 +tp18433 +a(g135 +Vint +p18434 +tp18435 +a(g185 +V +tp18436 +a(g18 +VlineLength +p18437 +tp18438 +a(g185 +V +tp18439 +a(g340 +V= +tp18440 +a(g185 +V +tp18441 +a(g18 +Vline +p18442 +tp18443 +a(g198 +V. +tp18444 +a(g18 +Vlength +p18445 +tp18446 +a(g198 +V( +tp18447 +a(g198 +V) +tp18448 +a(g198 +V; +tp18449 +a(g185 +V\u000a +tp18450 +a(g185 +V +p18451 +tp18452 +a(g135 +Vint +p18453 +tp18454 +a(g185 +V +tp18455 +a(g18 +VheaderEnd +p18456 +tp18457 +a(g185 +V +tp18458 +a(g340 +V= +tp18459 +a(g185 +V +tp18460 +a(g18 +Vi +tp18461 +a(g185 +V +tp18462 +a(g340 +V+ +tp18463 +a(g185 +V +tp18464 +a(g18 +Vheader +p18465 +tp18466 +a(g340 +V- +tp18467 +a(g340 +V> +tp18468 +a(g18 +Vlength +p18469 +tp18470 +a(g198 +V( +tp18471 +a(g198 +V) +tp18472 +a(g198 +V; +tp18473 +a(g185 +V\u000a +tp18474 +a(g185 +V +p18475 +tp18476 +a(g135 +Vchar +p18477 +tp18478 +a(g185 +V +tp18479 +a(g18 +VstartCh +p18480 +tp18481 +a(g185 +V +tp18482 +a(g340 +V= +tp18483 +a(g185 +V +tp18484 +a(g198 +V( +tp18485 +a(g340 +V* +tp18486 +a(g18 +Vheader +p18487 +tp18488 +a(g198 +V) +tp18489 +a(g198 +V[ +tp18490 +a(g315 +V0 +tp18491 +a(g198 +V] +tp18492 +a(g198 +V; +tp18493 +a(g185 +V +p18494 +tp18495 +a(g354 +V// first char of header\u000a +p18496 +tp18497 +a(g185 +V +p18498 +tp18499 +a(g135 +Vchar +p18500 +tp18501 +a(g185 +V +tp18502 +a(g18 +VendCh +p18503 +tp18504 +a(g185 +V +tp18505 +a(g340 +V= +tp18506 +a(g185 +V +tp18507 +a(g315 +V0 +tp18508 +a(g198 +V; +tp18509 +a(g185 +V +p18510 +tp18511 +a(g354 +V// char just after header\u000a +p18512 +tp18513 +a(g185 +V +p18514 +tp18515 +a(g135 +Vchar +p18516 +tp18517 +a(g185 +V +tp18518 +a(g18 +VprevCh +p18519 +tp18520 +a(g185 +V +tp18521 +a(g340 +V= +tp18522 +a(g185 +V +tp18523 +a(g315 +V0 +tp18524 +a(g198 +V; +tp18525 +a(g185 +V +p18526 +tp18527 +a(g354 +V// char just before header\u000a +p18528 +tp18529 +a(g185 +V\u000a +tp18530 +a(g185 +V +p18531 +tp18532 +a(g111 +Vif +p18533 +tp18534 +a(g185 +V +tp18535 +a(g198 +V( +tp18536 +a(g18 +VheaderEnd +p18537 +tp18538 +a(g185 +V +tp18539 +a(g340 +V< +tp18540 +a(g185 +V +tp18541 +a(g18 +VlineLength +p18542 +tp18543 +a(g198 +V) +tp18544 +a(g185 +V\u000a +tp18545 +a(g185 +V +p18546 +tp18547 +a(g198 +V{ +tp18548 +a(g185 +V\u000a +tp18549 +a(g185 +V +p18550 +tp18551 +a(g18 +VendCh +p18552 +tp18553 +a(g185 +V +tp18554 +a(g340 +V= +tp18555 +a(g185 +V +tp18556 +a(g18 +Vline +p18557 +tp18558 +a(g198 +V[ +tp18559 +a(g18 +VheaderEnd +p18560 +tp18561 +a(g198 +V] +tp18562 +a(g198 +V; +tp18563 +a(g185 +V\u000a +tp18564 +a(g185 +V +p18565 +tp18566 +a(g198 +V} +tp18567 +a(g185 +V\u000a +tp18568 +a(g185 +V +p18569 +tp18570 +a(g111 +Vif +p18571 +tp18572 +a(g185 +V +tp18573 +a(g198 +V( +tp18574 +a(g18 +Vi +tp18575 +a(g185 +V +tp18576 +a(g340 +V> +tp18577 +a(g185 +V +tp18578 +a(g315 +V0 +tp18579 +a(g198 +V) +tp18580 +a(g185 +V\u000a +tp18581 +a(g185 +V +p18582 +tp18583 +a(g198 +V{ +tp18584 +a(g185 +V\u000a +tp18585 +a(g185 +V +p18586 +tp18587 +a(g18 +VprevCh +p18588 +tp18589 +a(g185 +V +tp18590 +a(g340 +V= +tp18591 +a(g185 +V +tp18592 +a(g18 +Vline +p18593 +tp18594 +a(g198 +V[ +tp18595 +a(g18 +Vi +tp18596 +a(g340 +V- +tp18597 +a(g315 +V1 +tp18598 +a(g198 +V] +tp18599 +a(g198 +V; +tp18600 +a(g185 +V\u000a +tp18601 +a(g185 +V +p18602 +tp18603 +a(g198 +V} +tp18604 +a(g185 +V\u000a +tp18605 +a(g185 +V\u000a +tp18606 +a(g185 +V +p18607 +tp18608 +a(g111 +Vif +p18609 +tp18610 +a(g185 +V +tp18611 +a(g198 +V( +tp18612 +a(g340 +V! +tp18613 +a(g18 +VcheckBoundry +p18614 +tp18615 +a(g198 +V) +tp18616 +a(g185 +V\u000a +tp18617 +a(g185 +V +p18618 +tp18619 +a(g198 +V{ +tp18620 +a(g185 +V\u000a +tp18621 +a(g185 +V +p18622 +tp18623 +a(g111 +Vreturn +p18624 +tp18625 +a(g185 +V +tp18626 +a(g18 +Vheader +p18627 +tp18628 +a(g198 +V; +tp18629 +a(g185 +V\u000a +tp18630 +a(g185 +V +p18631 +tp18632 +a(g198 +V} +tp18633 +a(g185 +V\u000a +tp18634 +a(g185 +V +p18635 +tp18636 +a(g111 +Velse +p18637 +tp18638 +a(g185 +V +tp18639 +a(g111 +Vif +p18640 +tp18641 +a(g185 +V +tp18642 +a(g198 +V( +tp18643 +a(g18 +VprevCh +p18644 +tp18645 +a(g185 +V +tp18646 +a(g340 +V! +tp18647 +a(g340 +V= +tp18648 +a(g185 +V +tp18649 +a(g315 +V0 +tp18650 +a(g185 +V\u000a +tp18651 +a(g185 +V +p18652 +tp18653 +a(g340 +V& +tp18654 +a(g340 +V& +tp18655 +a(g185 +V +tp18656 +a(g18 +VisLegalNameChar +p18657 +tp18658 +a(g198 +V( +tp18659 +a(g18 +VstartCh +p18660 +tp18661 +a(g198 +V) +tp18662 +a(g185 +V\u000a +tp18663 +a(g185 +V +p18664 +tp18665 +a(g340 +V& +tp18666 +a(g340 +V& +tp18667 +a(g185 +V +tp18668 +a(g18 +VisLegalNameChar +p18669 +tp18670 +a(g198 +V( +tp18671 +a(g18 +VprevCh +p18672 +tp18673 +a(g198 +V) +tp18674 +a(g198 +V) +tp18675 +a(g185 +V\u000a +tp18676 +a(g185 +V +p18677 +tp18678 +a(g198 +V{ +tp18679 +a(g185 +V\u000a +tp18680 +a(g185 +V +p18681 +tp18682 +a(g111 +Vreturn +p18683 +tp18684 +a(g185 +V +tp18685 +a(g57 +VNULL +p18686 +tp18687 +a(g198 +V; +tp18688 +a(g185 +V\u000a +tp18689 +a(g185 +V +p18690 +tp18691 +a(g198 +V} +tp18692 +a(g185 +V\u000a +tp18693 +a(g185 +V +p18694 +tp18695 +a(g111 +Velse +p18696 +tp18697 +a(g185 +V +tp18698 +a(g111 +Vif +p18699 +tp18700 +a(g185 +V +tp18701 +a(g198 +V( +tp18702 +a(g18 +VheaderEnd +p18703 +tp18704 +a(g185 +V +tp18705 +a(g340 +V> +tp18706 +a(g340 +V= +tp18707 +a(g185 +V +tp18708 +a(g18 +VlineLength +p18709 +tp18710 +a(g185 +V\u000a +tp18711 +a(g185 +V +p18712 +tp18713 +a(g340 +V| +tp18714 +a(g340 +V| +tp18715 +a(g185 +V +tp18716 +a(g340 +V! +tp18717 +a(g18 +VisLegalNameChar +p18718 +tp18719 +a(g198 +V( +tp18720 +a(g18 +VstartCh +p18721 +tp18722 +a(g198 +V) +tp18723 +a(g185 +V\u000a +tp18724 +a(g185 +V +p18725 +tp18726 +a(g340 +V| +tp18727 +a(g340 +V| +tp18728 +a(g185 +V +tp18729 +a(g340 +V! +tp18730 +a(g18 +VisLegalNameChar +p18731 +tp18732 +a(g198 +V( +tp18733 +a(g18 +VendCh +p18734 +tp18735 +a(g198 +V) +tp18736 +a(g198 +V) +tp18737 +a(g185 +V\u000a +tp18738 +a(g185 +V +p18739 +tp18740 +a(g198 +V{ +tp18741 +a(g185 +V\u000a +tp18742 +a(g185 +V +p18743 +tp18744 +a(g111 +Vreturn +p18745 +tp18746 +a(g185 +V +tp18747 +a(g18 +Vheader +p18748 +tp18749 +a(g198 +V; +tp18750 +a(g185 +V\u000a +tp18751 +a(g185 +V +p18752 +tp18753 +a(g198 +V} +tp18754 +a(g185 +V\u000a +tp18755 +a(g185 +V +p18756 +tp18757 +a(g111 +Velse +p18758 +tp18759 +a(g185 +V\u000a +tp18760 +a(g185 +V +p18761 +tp18762 +a(g198 +V{ +tp18763 +a(g185 +V\u000a +tp18764 +a(g185 +V +p18765 +tp18766 +a(g111 +Vreturn +p18767 +tp18768 +a(g185 +V +tp18769 +a(g57 +VNULL +p18770 +tp18771 +a(g198 +V; +tp18772 +a(g185 +V\u000a +tp18773 +a(g185 +V +p18774 +tp18775 +a(g198 +V} +tp18776 +a(g185 +V\u000a +tp18777 +a(g185 +V +p18778 +tp18779 +a(g198 +V} +tp18780 +a(g185 +V\u000a +tp18781 +a(g185 +V +p18782 +tp18783 +a(g198 +V} +tp18784 +a(g185 +V\u000a +tp18785 +a(g185 +V\u000a +tp18786 +a(g185 +V +p18787 +tp18788 +a(g111 +Vreturn +p18789 +tp18790 +a(g185 +V +tp18791 +a(g57 +VNULL +p18792 +tp18793 +a(g198 +V; +tp18794 +a(g185 +V\u000a +tp18795 +a(g185 +V +p18796 +tp18797 +a(g198 +V} +tp18798 +a(g185 +V\u000a +tp18799 +a(g185 +V\u000a +tp18800 +a(g185 +V\u000a +tp18801 +a(g185 +V +p18802 +tp18803 +a(g7 +V/**\u000a * check if a specific character can be used in a legal variable/method/class name\u000a *\u000a * @return legality of the char.\u000a * @param ch the character to be checked.\u000a */ +p18804 +tp18805 +a(g185 +V\u000a +tp18806 +a(g185 +V +p18807 +tp18808 +a(g135 +Vbool +p18809 +tp18810 +a(g185 +V +tp18811 +a(g18 +VASBeautifier +p18812 +tp18813 +a(g340 +V: +tp18814 +a(g340 +V: +tp18815 +a(g18 +VisWhiteSpace +p18816 +tp18817 +a(g198 +V( +tp18818 +a(g135 +Vchar +p18819 +tp18820 +a(g185 +V +tp18821 +a(g18 +Vch +p18822 +tp18823 +a(g198 +V) +tp18824 +a(g185 +V +tp18825 +a(g111 +Vconst +p18826 +tp18827 +a(g185 +V\u000a +tp18828 +a(g185 +V +p18829 +tp18830 +a(g198 +V{ +tp18831 +a(g185 +V\u000a +tp18832 +a(g185 +V +p18833 +tp18834 +a(g111 +Vreturn +p18835 +tp18836 +a(g185 +V +tp18837 +a(g198 +V( +tp18838 +a(g18 +Vch +p18839 +tp18840 +a(g185 +V +tp18841 +a(g340 +V= +tp18842 +a(g340 +V= +tp18843 +a(g185 +V +tp18844 +a(g264 +V' ' +p18845 +tp18846 +a(g185 +V +tp18847 +a(g340 +V| +tp18848 +a(g340 +V| +tp18849 +a(g185 +V +tp18850 +a(g18 +Vch +p18851 +tp18852 +a(g185 +V +tp18853 +a(g340 +V= +tp18854 +a(g340 +V= +tp18855 +a(g185 +V +tp18856 +a(g264 +V'\u005ct' +p18857 +tp18858 +a(g198 +V) +tp18859 +a(g198 +V; +tp18860 +a(g185 +V\u000a +tp18861 +a(g185 +V +p18862 +tp18863 +a(g198 +V} +tp18864 +a(g185 +V\u000a +tp18865 +a(g185 +V\u000a +tp18866 +a(g185 +V +p18867 +tp18868 +a(g7 +V/**\u000a * find the index number of a string element in a container of strings\u000a *\u000a * @return the index number of element in the ocntainer. -1 if element not found.\u000a * @param container a vector of strings.\u000a * @param element the element to find .\u000a */ +p18869 +tp18870 +a(g185 +V\u000a +tp18871 +a(g185 +V +p18872 +tp18873 +a(g135 +Vint +p18874 +tp18875 +a(g185 +V +tp18876 +a(g18 +VASBeautifier +p18877 +tp18878 +a(g340 +V: +tp18879 +a(g340 +V: +tp18880 +a(g18 +VindexOf +p18881 +tp18882 +a(g198 +V( +tp18883 +a(g18 +Vvector +p18884 +tp18885 +a(g340 +V< +tp18886 +a(g111 +Vconst +p18887 +tp18888 +a(g185 +V +tp18889 +a(g18 +Vstring +p18890 +tp18891 +a(g340 +V* +tp18892 +a(g340 +V> +tp18893 +a(g185 +V +tp18894 +a(g340 +V& +tp18895 +a(g18 +Vcontainer +p18896 +tp18897 +a(g198 +V, +tp18898 +a(g185 +V +tp18899 +a(g111 +Vconst +p18900 +tp18901 +a(g185 +V +tp18902 +a(g18 +Vstring +p18903 +tp18904 +a(g185 +V +tp18905 +a(g340 +V* +tp18906 +a(g18 +Velement +p18907 +tp18908 +a(g198 +V) +tp18909 +a(g185 +V\u000a +tp18910 +a(g185 +V +p18911 +tp18912 +a(g198 +V{ +tp18913 +a(g185 +V\u000a +tp18914 +a(g185 +V +p18915 +tp18916 +a(g18 +Vvector +p18917 +tp18918 +a(g340 +V< +tp18919 +a(g111 +Vconst +p18920 +tp18921 +a(g185 +V +tp18922 +a(g18 +Vstring +p18923 +tp18924 +a(g340 +V* +tp18925 +a(g340 +V> +tp18926 +a(g340 +V: +tp18927 +a(g340 +V: +tp18928 +a(g18 +Vconst_iterator +p18929 +tp18930 +a(g185 +V +tp18931 +a(g18 +Vwhere +p18932 +tp18933 +a(g198 +V; +tp18934 +a(g185 +V\u000a +tp18935 +a(g185 +V\u000a +tp18936 +a(g185 +V +p18937 +tp18938 +a(g18 +Vwhere +p18939 +tp18940 +a(g340 +V= +tp18941 +a(g185 +V +tp18942 +a(g18 +Vfind +p18943 +tp18944 +a(g198 +V( +tp18945 +a(g18 +Vcontainer +p18946 +tp18947 +a(g198 +V. +tp18948 +a(g18 +Vbegin +p18949 +tp18950 +a(g198 +V( +tp18951 +a(g198 +V) +tp18952 +a(g198 +V, +tp18953 +a(g185 +V +tp18954 +a(g18 +Vcontainer +p18955 +tp18956 +a(g198 +V. +tp18957 +a(g18 +Vend +p18958 +tp18959 +a(g198 +V( +tp18960 +a(g198 +V) +tp18961 +a(g198 +V, +tp18962 +a(g185 +V +tp18963 +a(g18 +Velement +p18964 +tp18965 +a(g198 +V) +tp18966 +a(g198 +V; +tp18967 +a(g185 +V\u000a +tp18968 +a(g185 +V +p18969 +tp18970 +a(g111 +Vif +p18971 +tp18972 +a(g185 +V +tp18973 +a(g198 +V( +tp18974 +a(g18 +Vwhere +p18975 +tp18976 +a(g185 +V +tp18977 +a(g340 +V= +tp18978 +a(g340 +V= +tp18979 +a(g185 +V +tp18980 +a(g18 +Vcontainer +p18981 +tp18982 +a(g198 +V. +tp18983 +a(g18 +Vend +p18984 +tp18985 +a(g198 +V( +tp18986 +a(g198 +V) +tp18987 +a(g198 +V) +tp18988 +a(g185 +V\u000a +tp18989 +a(g185 +V +p18990 +tp18991 +a(g111 +Vreturn +p18992 +tp18993 +a(g185 +V +tp18994 +a(g340 +V- +tp18995 +a(g315 +V1 +tp18996 +a(g198 +V; +tp18997 +a(g185 +V\u000a +tp18998 +a(g185 +V +p18999 +tp19000 +a(g111 +Velse +p19001 +tp19002 +a(g185 +V\u000a +tp19003 +a(g185 +V +p19004 +tp19005 +a(g111 +Vreturn +p19006 +tp19007 +a(g185 +V +tp19008 +a(g18 +Vwhere +p19009 +tp19010 +a(g185 +V +tp19011 +a(g340 +V- +tp19012 +a(g185 +V +tp19013 +a(g18 +Vcontainer +p19014 +tp19015 +a(g198 +V. +tp19016 +a(g18 +Vbegin +p19017 +tp19018 +a(g198 +V( +tp19019 +a(g198 +V) +tp19020 +a(g198 +V; +tp19021 +a(g185 +V\u000a +tp19022 +a(g185 +V +p19023 +tp19024 +a(g198 +V} +tp19025 +a(g185 +V\u000a +tp19026 +a(g185 +V\u000a +tp19027 +a(g185 +V +p19028 +tp19029 +a(g7 +V/**\u000a * trim removes the white space surrounding a line.\u000a *\u000a * @return the trimmed line.\u000a * @param str the line to trim.\u000a */ +p19030 +tp19031 +a(g185 +V\u000a +tp19032 +a(g185 +V +p19033 +tp19034 +a(g18 +Vstring +p19035 +tp19036 +a(g185 +V +tp19037 +a(g18 +VASBeautifier +p19038 +tp19039 +a(g340 +V: +tp19040 +a(g340 +V: +tp19041 +a(g18 +Vtrim +p19042 +tp19043 +a(g198 +V( +tp19044 +a(g111 +Vconst +p19045 +tp19046 +a(g185 +V +tp19047 +a(g18 +Vstring +p19048 +tp19049 +a(g185 +V +tp19050 +a(g340 +V& +tp19051 +a(g18 +Vstr +p19052 +tp19053 +a(g198 +V) +tp19054 +a(g185 +V\u000a +tp19055 +a(g185 +V +p19056 +tp19057 +a(g198 +V{ +tp19058 +a(g185 +V\u000a +tp19059 +a(g185 +V\u000a +tp19060 +a(g185 +V +p19061 +tp19062 +a(g135 +Vint +p19063 +tp19064 +a(g185 +V +tp19065 +a(g18 +Vstart +p19066 +tp19067 +a(g185 +V +tp19068 +a(g340 +V= +tp19069 +a(g185 +V +tp19070 +a(g315 +V0 +tp19071 +a(g198 +V; +tp19072 +a(g185 +V\u000a +tp19073 +a(g185 +V +p19074 +tp19075 +a(g135 +Vint +p19076 +tp19077 +a(g185 +V +tp19078 +a(g18 +Vend +p19079 +tp19080 +a(g185 +V +tp19081 +a(g340 +V= +tp19082 +a(g185 +V +tp19083 +a(g18 +Vstr +p19084 +tp19085 +a(g198 +V. +tp19086 +a(g18 +Vlength +p19087 +tp19088 +a(g198 +V( +tp19089 +a(g198 +V) +tp19090 +a(g185 +V +tp19091 +a(g340 +V- +tp19092 +a(g185 +V +tp19093 +a(g315 +V1 +tp19094 +a(g198 +V; +tp19095 +a(g185 +V\u000a +tp19096 +a(g185 +V\u000a +tp19097 +a(g185 +V +p19098 +tp19099 +a(g111 +Vwhile +p19100 +tp19101 +a(g185 +V +tp19102 +a(g198 +V( +tp19103 +a(g18 +Vstart +p19104 +tp19105 +a(g185 +V +tp19106 +a(g340 +V< +tp19107 +a(g185 +V +tp19108 +a(g18 +Vend +p19109 +tp19110 +a(g185 +V +tp19111 +a(g340 +V& +tp19112 +a(g340 +V& +tp19113 +a(g185 +V +tp19114 +a(g18 +VisWhiteSpace +p19115 +tp19116 +a(g198 +V( +tp19117 +a(g18 +Vstr +p19118 +tp19119 +a(g198 +V[ +tp19120 +a(g18 +Vstart +p19121 +tp19122 +a(g198 +V] +tp19123 +a(g198 +V) +tp19124 +a(g198 +V) +tp19125 +a(g185 +V\u000a +tp19126 +a(g185 +V +p19127 +tp19128 +a(g18 +Vstart +p19129 +tp19130 +a(g340 +V+ +tp19131 +a(g340 +V+ +tp19132 +a(g198 +V; +tp19133 +a(g185 +V\u000a +tp19134 +a(g185 +V\u000a +tp19135 +a(g185 +V +p19136 +tp19137 +a(g111 +Vwhile +p19138 +tp19139 +a(g185 +V +tp19140 +a(g198 +V( +tp19141 +a(g18 +Vstart +p19142 +tp19143 +a(g185 +V +tp19144 +a(g340 +V< +tp19145 +a(g340 +V= +tp19146 +a(g185 +V +tp19147 +a(g18 +Vend +p19148 +tp19149 +a(g185 +V +tp19150 +a(g340 +V& +tp19151 +a(g340 +V& +tp19152 +a(g185 +V +tp19153 +a(g18 +VisWhiteSpace +p19154 +tp19155 +a(g198 +V( +tp19156 +a(g18 +Vstr +p19157 +tp19158 +a(g198 +V[ +tp19159 +a(g18 +Vend +p19160 +tp19161 +a(g198 +V] +tp19162 +a(g198 +V) +tp19163 +a(g198 +V) +tp19164 +a(g185 +V\u000a +tp19165 +a(g185 +V +p19166 +tp19167 +a(g18 +Vend +p19168 +tp19169 +a(g340 +V- +tp19170 +a(g340 +V- +tp19171 +a(g198 +V; +tp19172 +a(g185 +V\u000a +tp19173 +a(g185 +V\u000a +tp19174 +a(g185 +V +p19175 +tp19176 +a(g18 +Vstring +p19177 +tp19178 +a(g185 +V +tp19179 +a(g18 +VreturnStr +p19180 +tp19181 +a(g198 +V( +tp19182 +a(g18 +Vstr +p19183 +tp19184 +a(g198 +V, +tp19185 +a(g185 +V +tp19186 +a(g18 +Vstart +p19187 +tp19188 +a(g198 +V, +tp19189 +a(g185 +V +tp19190 +a(g18 +Vend +p19191 +tp19192 +a(g340 +V+ +tp19193 +a(g315 +V1 +tp19194 +a(g340 +V- +tp19195 +a(g18 +Vstart +p19196 +tp19197 +a(g198 +V) +tp19198 +a(g198 +V; +tp19199 +a(g185 +V\u000a +tp19200 +a(g185 +V +p19201 +tp19202 +a(g111 +Vreturn +p19203 +tp19204 +a(g185 +V +tp19205 +a(g18 +VreturnStr +p19206 +tp19207 +a(g198 +V; +tp19208 +a(g185 +V\u000a +tp19209 +a(g185 +V +p19210 +tp19211 +a(g198 +V} +tp19212 +a(g185 +V\u000a +tp19213 +a(g351 +V\u000a# +p19214 +tp19215 +a(g351 +Vifdef USES_NAMESPACE +p19216 +tp19217 +a(g351 +V\u000a +tp19218 +a(g198 +V} +tp19219 +a(g185 +V\u000a +tp19220 +a(g351 +V# +tp19221 +a(g351 +Vendif +p19222 +tp19223 +a(g351 +V\u000a +tp19224 +a(g7 +V/*\u000a * Copyright (c) 1998,1999,2000,2001,2002 Tal Davidson. All rights reserved.\u000a *\u000a * compiler_defines.h (1 January 1999)\u000a * by Tal Davidson (davidsont@bigfoot.com)\u000a * This file is a part of "Artistic Style" - an indentater and reformatter\u000a * of C, C++, C# and Java source files.\u000a *\u000a * The "Artistic Style" project, including all files needed to compile it,\u000a * is free software; you can redistribute it and/or use it and/or modify it\u000a * under the terms of the GNU General Public License as published \u000a * by the Free Software Foundation; either version 2 of the License, \u000a * or (at your option) any later version.\u000a *\u000a * This program is distributed in the hope that it will be useful,\u000a * but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.\u000a *\u000a * You should have received a copy of the GNU General Public\u000a * License along with this program.\u000a */ +p19225 +tp19226 +a(g185 +V\u000a +tp19227 +a(g351 +V\u000a\u000a# +p19228 +tp19229 +a(g351 +Vifndef ASBEAUTIFIER_H +p19230 +tp19231 +a(g351 +V\u000a +tp19232 +a(g351 +V# +tp19233 +a(g351 +Vdefine ASBEAUTIFIER_H +p19234 +tp19235 +a(g351 +V\u000a +tp19236 +a(g351 +V\u000a# +p19237 +tp19238 +a(g351 +Vinclude "ASResource.h" +p19239 +tp19240 +a(g351 +V\u000a +tp19241 +a(g351 +V# +tp19242 +a(g351 +Vinclude "compiler_defines.h" +p19243 +tp19244 +a(g351 +V\u000a +tp19245 +a(g351 +V# +tp19246 +a(g351 +Vinclude "ASSourceIterator.h" +p19247 +tp19248 +a(g351 +V\u000a +tp19249 +a(g351 +V\u000a# +p19250 +tp19251 +a(g351 +Vinclude +p19252 +tp19253 +a(g351 +V\u000a +tp19254 +a(g351 +V# +tp19255 +a(g351 +Vinclude +p19256 +tp19257 +a(g351 +V\u000a +tp19258 +a(g185 +V\u000a +tp19259 +a(g185 +V\u000a +tp19260 +a(g111 +Vusing +p19261 +tp19262 +a(g185 +V +tp19263 +a(g111 +Vnamespace +p19264 +tp19265 +a(g185 +V +tp19266 +a(g18 +Vstd +p19267 +tp19268 +a(g198 +V; +tp19269 +a(g185 +V\u000a +tp19270 +a(g185 +V\u000a +tp19271 +a(g111 +Vnamespace +p19272 +tp19273 +a(g185 +V +tp19274 +a(g18 +Vastyle +p19275 +tp19276 +a(g185 +V\u000a +tp19277 +a(g185 +V +p19278 +tp19279 +a(g198 +V{ +tp19280 +a(g185 +V\u000a +tp19281 +a(g185 +V\u000a +tp19282 +a(g185 +V +p19283 +tp19284 +a(g111 +Venum +p19285 +tp19286 +a(g185 +V +tp19287 +a(g18 +VBracketMode +p19288 +tp19289 +a(g185 +V +p19290 +tp19291 +a(g198 +V{ +tp19292 +a(g185 +V +tp19293 +a(g18 +VNONE_MODE +p19294 +tp19295 +a(g198 +V, +tp19296 +a(g185 +V +tp19297 +a(g18 +VATTACH_MODE +p19298 +tp19299 +a(g198 +V, +tp19300 +a(g185 +V +tp19301 +a(g18 +VBREAK_MODE +p19302 +tp19303 +a(g198 +V, +tp19304 +a(g185 +V +tp19305 +a(g18 +VBDAC_MODE +p19306 +tp19307 +a(g185 +V +tp19308 +a(g198 +V} +tp19309 +a(g198 +V; +tp19310 +a(g185 +V\u000a +tp19311 +a(g185 +V +p19312 +tp19313 +a(g111 +Venum +p19314 +tp19315 +a(g185 +V +tp19316 +a(g18 +VBracketType +p19317 +tp19318 +a(g185 +V +p19319 +tp19320 +a(g198 +V{ +tp19321 +a(g185 +V +tp19322 +a(g18 +VNULL_TYPE +p19323 +tp19324 +a(g185 +V +tp19325 +a(g340 +V= +tp19326 +a(g185 +V +tp19327 +a(g315 +V0 +tp19328 +a(g198 +V, +tp19329 +a(g185 +V\u000a +tp19330 +a(g185 +V +p19331 +tp19332 +a(g18 +VDEFINITION_TYPE +p19333 +tp19334 +a(g185 +V +tp19335 +a(g340 +V= +tp19336 +a(g185 +V +tp19337 +a(g315 +V1 +tp19338 +a(g198 +V, +tp19339 +a(g185 +V\u000a +tp19340 +a(g185 +V +p19341 +tp19342 +a(g18 +VCOMMAND_TYPE +p19343 +tp19344 +a(g185 +V +tp19345 +a(g340 +V= +tp19346 +a(g185 +V +tp19347 +a(g315 +V2 +tp19348 +a(g198 +V, +tp19349 +a(g185 +V\u000a +tp19350 +a(g185 +V +p19351 +tp19352 +a(g18 +VARRAY_TYPE +p19353 +tp19354 +a(g185 +V +p19355 +tp19356 +a(g340 +V= +tp19357 +a(g185 +V +tp19358 +a(g315 +V4 +tp19359 +a(g198 +V, +tp19360 +a(g185 +V\u000a +tp19361 +a(g185 +V +p19362 +tp19363 +a(g18 +VSINGLE_LINE_TYPE +p19364 +tp19365 +a(g185 +V +tp19366 +a(g340 +V= +tp19367 +a(g185 +V +tp19368 +a(g315 +V8 +tp19369 +a(g198 +V} +tp19370 +a(g198 +V; +tp19371 +a(g185 +V\u000a +tp19372 +a(g185 +V\u000a +tp19373 +a(g185 +V\u000a +tp19374 +a(g185 +V +p19375 +tp19376 +a(g111 +Vclass +p19377 +tp19378 +a(g185 +V +tp19379 +a(g106 +VASBeautifier +p19380 +tp19381 +a(g185 +V +tp19382 +a(g340 +V: +tp19383 +a(g185 +V +tp19384 +a(g111 +Vprotected +p19385 +tp19386 +a(g185 +V +tp19387 +a(g18 +VASResource +p19388 +tp19389 +a(g185 +V\u000a +tp19390 +a(g185 +V +p19391 +tp19392 +a(g198 +V{ +tp19393 +a(g185 +V\u000a +tp19394 +a(g185 +V +p19395 +tp19396 +a(g111 +Vpublic +p19397 +tp19398 +a(g340 +V: +tp19399 +a(g185 +V\u000a +tp19400 +a(g185 +V +p19401 +tp19402 +a(g18 +VASBeautifier +p19403 +tp19404 +a(g198 +V( +tp19405 +a(g198 +V) +tp19406 +a(g198 +V; +tp19407 +a(g185 +V\u000a +tp19408 +a(g185 +V +p19409 +tp19410 +a(g111 +Vvirtual +p19411 +tp19412 +a(g185 +V +tp19413 +a(g340 +V~ +tp19414 +a(g18 +VASBeautifier +p19415 +tp19416 +a(g198 +V( +tp19417 +a(g198 +V) +tp19418 +a(g198 +V; +tp19419 +a(g185 +V\u000a +tp19420 +a(g185 +V +p19421 +tp19422 +a(g111 +Vvirtual +p19423 +tp19424 +a(g185 +V +tp19425 +a(g135 +Vvoid +p19426 +tp19427 +a(g185 +V +tp19428 +a(g18 +Vinit +p19429 +tp19430 +a(g198 +V( +tp19431 +a(g18 +VASSourceIterator +p19432 +tp19433 +a(g340 +V* +tp19434 +a(g185 +V +tp19435 +a(g18 +Viter +p19436 +tp19437 +a(g198 +V) +tp19438 +a(g198 +V; +tp19439 +a(g185 +V +tp19440 +a(g354 +V// pointer to dynamically created iterator.\u000a +p19441 +tp19442 +a(g185 +V +p19443 +tp19444 +a(g111 +Vvirtual +p19445 +tp19446 +a(g185 +V +tp19447 +a(g135 +Vvoid +p19448 +tp19449 +a(g185 +V +tp19450 +a(g18 +Vinit +p19451 +tp19452 +a(g198 +V( +tp19453 +a(g198 +V) +tp19454 +a(g198 +V; +tp19455 +a(g185 +V\u000a +tp19456 +a(g185 +V +p19457 +tp19458 +a(g111 +Vvirtual +p19459 +tp19460 +a(g185 +V +tp19461 +a(g135 +Vbool +p19462 +tp19463 +a(g185 +V +tp19464 +a(g18 +VhasMoreLines +p19465 +tp19466 +a(g198 +V( +tp19467 +a(g198 +V) +tp19468 +a(g185 +V +tp19469 +a(g111 +Vconst +p19470 +tp19471 +a(g198 +V; +tp19472 +a(g185 +V\u000a +tp19473 +a(g185 +V +p19474 +tp19475 +a(g111 +Vvirtual +p19476 +tp19477 +a(g185 +V +tp19478 +a(g18 +Vstring +p19479 +tp19480 +a(g185 +V +tp19481 +a(g18 +VnextLine +p19482 +tp19483 +a(g198 +V( +tp19484 +a(g198 +V) +tp19485 +a(g198 +V; +tp19486 +a(g185 +V\u000a +tp19487 +a(g185 +V +p19488 +tp19489 +a(g111 +Vvirtual +p19490 +tp19491 +a(g185 +V +tp19492 +a(g18 +Vstring +p19493 +tp19494 +a(g185 +V +tp19495 +a(g18 +Vbeautify +p19496 +tp19497 +a(g198 +V( +tp19498 +a(g111 +Vconst +p19499 +tp19500 +a(g185 +V +tp19501 +a(g18 +Vstring +p19502 +tp19503 +a(g185 +V +tp19504 +a(g340 +V& +tp19505 +a(g18 +Vline +p19506 +tp19507 +a(g198 +V) +tp19508 +a(g198 +V; +tp19509 +a(g185 +V\u000a +tp19510 +a(g185 +V +p19511 +tp19512 +a(g135 +Vvoid +p19513 +tp19514 +a(g185 +V +tp19515 +a(g18 +VsetTabIndentation +p19516 +tp19517 +a(g198 +V( +tp19518 +a(g135 +Vint +p19519 +tp19520 +a(g185 +V +tp19521 +a(g18 +Vlength +p19522 +tp19523 +a(g185 +V +tp19524 +a(g340 +V= +tp19525 +a(g185 +V +tp19526 +a(g315 +V4 +tp19527 +a(g198 +V, +tp19528 +a(g185 +V +tp19529 +a(g135 +Vbool +p19530 +tp19531 +a(g185 +V +tp19532 +a(g18 +VforceTabs +p19533 +tp19534 +a(g185 +V +tp19535 +a(g340 +V= +tp19536 +a(g185 +V +tp19537 +a(g113 +Vfalse +p19538 +tp19539 +a(g198 +V) +tp19540 +a(g198 +V; +tp19541 +a(g185 +V\u000a +tp19542 +a(g185 +V +p19543 +tp19544 +a(g135 +Vvoid +p19545 +tp19546 +a(g185 +V +tp19547 +a(g18 +VsetSpaceIndentation +p19548 +tp19549 +a(g198 +V( +tp19550 +a(g135 +Vint +p19551 +tp19552 +a(g185 +V +tp19553 +a(g18 +Vlength +p19554 +tp19555 +a(g185 +V +tp19556 +a(g340 +V= +tp19557 +a(g185 +V +tp19558 +a(g315 +V4 +tp19559 +a(g198 +V) +tp19560 +a(g198 +V; +tp19561 +a(g185 +V\u000a +tp19562 +a(g185 +V +p19563 +tp19564 +a(g135 +Vvoid +p19565 +tp19566 +a(g185 +V +tp19567 +a(g18 +VsetMaxInStatementIndentLength +p19568 +tp19569 +a(g198 +V( +tp19570 +a(g135 +Vint +p19571 +tp19572 +a(g185 +V +tp19573 +a(g18 +Vmax +p19574 +tp19575 +a(g198 +V) +tp19576 +a(g198 +V; +tp19577 +a(g185 +V\u000a +tp19578 +a(g185 +V +p19579 +tp19580 +a(g135 +Vvoid +p19581 +tp19582 +a(g185 +V +tp19583 +a(g18 +VsetMinConditionalIndentLength +p19584 +tp19585 +a(g198 +V( +tp19586 +a(g135 +Vint +p19587 +tp19588 +a(g185 +V +tp19589 +a(g18 +Vmin +p19590 +tp19591 +a(g198 +V) +tp19592 +a(g198 +V; +tp19593 +a(g185 +V\u000a +tp19594 +a(g185 +V +p19595 +tp19596 +a(g135 +Vvoid +p19597 +tp19598 +a(g185 +V +tp19599 +a(g18 +VsetClassIndent +p19600 +tp19601 +a(g198 +V( +tp19602 +a(g135 +Vbool +p19603 +tp19604 +a(g185 +V +tp19605 +a(g18 +Vstate +p19606 +tp19607 +a(g198 +V) +tp19608 +a(g198 +V; +tp19609 +a(g185 +V\u000a +tp19610 +a(g185 +V +p19611 +tp19612 +a(g135 +Vvoid +p19613 +tp19614 +a(g185 +V +tp19615 +a(g18 +VsetSwitchIndent +p19616 +tp19617 +a(g198 +V( +tp19618 +a(g135 +Vbool +p19619 +tp19620 +a(g185 +V +tp19621 +a(g18 +Vstate +p19622 +tp19623 +a(g198 +V) +tp19624 +a(g198 +V; +tp19625 +a(g185 +V\u000a +tp19626 +a(g185 +V +p19627 +tp19628 +a(g135 +Vvoid +p19629 +tp19630 +a(g185 +V +tp19631 +a(g18 +VsetCaseIndent +p19632 +tp19633 +a(g198 +V( +tp19634 +a(g135 +Vbool +p19635 +tp19636 +a(g185 +V +tp19637 +a(g18 +Vstate +p19638 +tp19639 +a(g198 +V) +tp19640 +a(g198 +V; +tp19641 +a(g185 +V\u000a +tp19642 +a(g185 +V +p19643 +tp19644 +a(g135 +Vvoid +p19645 +tp19646 +a(g185 +V +tp19647 +a(g18 +VsetBracketIndent +p19648 +tp19649 +a(g198 +V( +tp19650 +a(g135 +Vbool +p19651 +tp19652 +a(g185 +V +tp19653 +a(g18 +Vstate +p19654 +tp19655 +a(g198 +V) +tp19656 +a(g198 +V; +tp19657 +a(g185 +V\u000a +tp19658 +a(g185 +V +p19659 +tp19660 +a(g135 +Vvoid +p19661 +tp19662 +a(g185 +V +tp19663 +a(g18 +VsetBlockIndent +p19664 +tp19665 +a(g198 +V( +tp19666 +a(g135 +Vbool +p19667 +tp19668 +a(g185 +V +tp19669 +a(g18 +Vstate +p19670 +tp19671 +a(g198 +V) +tp19672 +a(g198 +V; +tp19673 +a(g185 +V\u000a +tp19674 +a(g185 +V +p19675 +tp19676 +a(g135 +Vvoid +p19677 +tp19678 +a(g185 +V +tp19679 +a(g18 +VsetNamespaceIndent +p19680 +tp19681 +a(g198 +V( +tp19682 +a(g135 +Vbool +p19683 +tp19684 +a(g185 +V +tp19685 +a(g18 +Vstate +p19686 +tp19687 +a(g198 +V) +tp19688 +a(g198 +V; +tp19689 +a(g185 +V\u000a +tp19690 +a(g185 +V +p19691 +tp19692 +a(g135 +Vvoid +p19693 +tp19694 +a(g185 +V +tp19695 +a(g18 +VsetLabelIndent +p19696 +tp19697 +a(g198 +V( +tp19698 +a(g135 +Vbool +p19699 +tp19700 +a(g185 +V +tp19701 +a(g18 +Vstate +p19702 +tp19703 +a(g198 +V) +tp19704 +a(g198 +V; +tp19705 +a(g185 +V\u000a +tp19706 +a(g185 +V +p19707 +tp19708 +a(g135 +Vvoid +p19709 +tp19710 +a(g185 +V +tp19711 +a(g18 +VsetCStyle +p19712 +tp19713 +a(g198 +V( +tp19714 +a(g198 +V) +tp19715 +a(g198 +V; +tp19716 +a(g185 +V\u000a +tp19717 +a(g185 +V +p19718 +tp19719 +a(g135 +Vvoid +p19720 +tp19721 +a(g185 +V +tp19722 +a(g18 +VsetJavaStyle +p19723 +tp19724 +a(g198 +V( +tp19725 +a(g198 +V) +tp19726 +a(g198 +V; +tp19727 +a(g185 +V\u000a +tp19728 +a(g185 +V +p19729 +tp19730 +a(g135 +Vvoid +p19731 +tp19732 +a(g185 +V +tp19733 +a(g18 +VsetEmptyLineFill +p19734 +tp19735 +a(g198 +V( +tp19736 +a(g135 +Vbool +p19737 +tp19738 +a(g185 +V +tp19739 +a(g18 +Vstate +p19740 +tp19741 +a(g198 +V) +tp19742 +a(g198 +V; +tp19743 +a(g185 +V\u000a +tp19744 +a(g185 +V +p19745 +tp19746 +a(g135 +Vvoid +p19747 +tp19748 +a(g185 +V +tp19749 +a(g18 +VsetPreprocessorIndent +p19750 +tp19751 +a(g198 +V( +tp19752 +a(g135 +Vbool +p19753 +tp19754 +a(g185 +V +tp19755 +a(g18 +Vstate +p19756 +tp19757 +a(g198 +V) +tp19758 +a(g198 +V; +tp19759 +a(g185 +V\u000a +tp19760 +a(g185 +V\u000a +tp19761 +a(g185 +V\u000a +tp19762 +a(g185 +V +p19763 +tp19764 +a(g111 +Vprotected +p19765 +tp19766 +a(g340 +V: +tp19767 +a(g185 +V\u000a +tp19768 +a(g185 +V +p19769 +tp19770 +a(g135 +Vint +p19771 +tp19772 +a(g185 +V +tp19773 +a(g18 +VgetNextProgramCharDistance +p19774 +tp19775 +a(g198 +V( +tp19776 +a(g111 +Vconst +p19777 +tp19778 +a(g185 +V +tp19779 +a(g18 +Vstring +p19780 +tp19781 +a(g185 +V +tp19782 +a(g340 +V& +tp19783 +a(g18 +Vline +p19784 +tp19785 +a(g198 +V, +tp19786 +a(g185 +V +tp19787 +a(g135 +Vint +p19788 +tp19789 +a(g185 +V +tp19790 +a(g18 +Vi +tp19791 +a(g198 +V) +tp19792 +a(g198 +V; +tp19793 +a(g185 +V\u000a +tp19794 +a(g185 +V +p19795 +tp19796 +a(g135 +Vbool +p19797 +tp19798 +a(g185 +V +tp19799 +a(g18 +VisLegalNameChar +p19800 +tp19801 +a(g198 +V( +tp19802 +a(g135 +Vchar +p19803 +tp19804 +a(g185 +V +tp19805 +a(g18 +Vch +p19806 +tp19807 +a(g198 +V) +tp19808 +a(g185 +V +tp19809 +a(g111 +Vconst +p19810 +tp19811 +a(g198 +V; +tp19812 +a(g185 +V\u000a +tp19813 +a(g185 +V +p19814 +tp19815 +a(g135 +Vbool +p19816 +tp19817 +a(g185 +V +tp19818 +a(g18 +VisWhiteSpace +p19819 +tp19820 +a(g198 +V( +tp19821 +a(g135 +Vchar +p19822 +tp19823 +a(g185 +V +tp19824 +a(g18 +Vch +p19825 +tp19826 +a(g198 +V) +tp19827 +a(g185 +V +tp19828 +a(g111 +Vconst +p19829 +tp19830 +a(g198 +V; +tp19831 +a(g185 +V\u000a +tp19832 +a(g185 +V +p19833 +tp19834 +a(g111 +Vconst +p19835 +tp19836 +a(g185 +V +tp19837 +a(g18 +Vstring +p19838 +tp19839 +a(g185 +V +tp19840 +a(g340 +V* +tp19841 +a(g18 +VfindHeader +p19842 +tp19843 +a(g198 +V( +tp19844 +a(g111 +Vconst +p19845 +tp19846 +a(g185 +V +tp19847 +a(g18 +Vstring +p19848 +tp19849 +a(g185 +V +tp19850 +a(g340 +V& +tp19851 +a(g18 +Vline +p19852 +tp19853 +a(g198 +V, +tp19854 +a(g185 +V +tp19855 +a(g135 +Vint +p19856 +tp19857 +a(g185 +V +tp19858 +a(g18 +Vi +tp19859 +a(g198 +V, +tp19860 +a(g185 +V\u000a +tp19861 +a(g185 +V +p19862 +tp19863 +a(g111 +Vconst +p19864 +tp19865 +a(g185 +V +tp19866 +a(g18 +Vvector +p19867 +tp19868 +a(g340 +V< +tp19869 +a(g111 +Vconst +p19870 +tp19871 +a(g185 +V +tp19872 +a(g18 +Vstring +p19873 +tp19874 +a(g340 +V* +tp19875 +a(g340 +V> +tp19876 +a(g185 +V +tp19877 +a(g340 +V& +tp19878 +a(g18 +VpossibleHeaders +p19879 +tp19880 +a(g198 +V, +tp19881 +a(g185 +V\u000a +tp19882 +a(g185 +V +p19883 +tp19884 +a(g135 +Vbool +p19885 +tp19886 +a(g185 +V +tp19887 +a(g18 +VcheckBoundry +p19888 +tp19889 +a(g185 +V +tp19890 +a(g340 +V= +tp19891 +a(g185 +V +tp19892 +a(g113 +Vtrue +p19893 +tp19894 +a(g198 +V) +tp19895 +a(g198 +V; +tp19896 +a(g185 +V\u000a +tp19897 +a(g185 +V +p19898 +tp19899 +a(g18 +Vstring +p19900 +tp19901 +a(g185 +V +tp19902 +a(g18 +Vtrim +p19903 +tp19904 +a(g198 +V( +tp19905 +a(g111 +Vconst +p19906 +tp19907 +a(g185 +V +tp19908 +a(g18 +Vstring +p19909 +tp19910 +a(g185 +V +tp19911 +a(g340 +V& +tp19912 +a(g18 +Vstr +p19913 +tp19914 +a(g198 +V) +tp19915 +a(g198 +V; +tp19916 +a(g185 +V\u000a +tp19917 +a(g185 +V +p19918 +tp19919 +a(g135 +Vint +p19920 +tp19921 +a(g185 +V +tp19922 +a(g18 +VindexOf +p19923 +tp19924 +a(g198 +V( +tp19925 +a(g18 +Vvector +p19926 +tp19927 +a(g340 +V< +tp19928 +a(g111 +Vconst +p19929 +tp19930 +a(g185 +V +tp19931 +a(g18 +Vstring +p19932 +tp19933 +a(g340 +V* +tp19934 +a(g340 +V> +tp19935 +a(g185 +V +tp19936 +a(g340 +V& +tp19937 +a(g18 +Vcontainer +p19938 +tp19939 +a(g198 +V, +tp19940 +a(g185 +V +tp19941 +a(g111 +Vconst +p19942 +tp19943 +a(g185 +V +tp19944 +a(g18 +Vstring +p19945 +tp19946 +a(g185 +V +tp19947 +a(g340 +V* +tp19948 +a(g18 +Velement +p19949 +tp19950 +a(g198 +V) +tp19951 +a(g198 +V; +tp19952 +a(g185 +V\u000a +tp19953 +a(g185 +V\u000a +tp19954 +a(g185 +V +p19955 +tp19956 +a(g111 +Vprivate +p19957 +tp19958 +a(g340 +V: +tp19959 +a(g185 +V\u000a +tp19960 +a(g185 +V +p19961 +tp19962 +a(g18 +VASBeautifier +p19963 +tp19964 +a(g198 +V( +tp19965 +a(g111 +Vconst +p19966 +tp19967 +a(g185 +V +tp19968 +a(g18 +VASBeautifier +p19969 +tp19970 +a(g185 +V +tp19971 +a(g340 +V& +tp19972 +a(g18 +Vcopy +p19973 +tp19974 +a(g198 +V) +tp19975 +a(g198 +V; +tp19976 +a(g185 +V\u000a +tp19977 +a(g185 +V +p19978 +tp19979 +a(g135 +Vvoid +p19980 +tp19981 +a(g185 +V +tp19982 +a(g111 +Voperator +p19983 +tp19984 +a(g340 +V= +tp19985 +a(g198 +V( +tp19986 +a(g18 +VASBeautifier +p19987 +tp19988 +a(g340 +V& +tp19989 +a(g198 +V) +tp19990 +a(g198 +V; +tp19991 +a(g185 +V +tp19992 +a(g354 +V// not to be implemented\u000a +p19993 +tp19994 +a(g185 +V\u000a +tp19995 +a(g185 +V +p19996 +tp19997 +a(g135 +Vvoid +p19998 +tp19999 +a(g185 +V +tp20000 +a(g18 +VinitStatic +p20001 +tp20002 +a(g198 +V( +tp20003 +a(g198 +V) +tp20004 +a(g198 +V; +tp20005 +a(g185 +V\u000a +tp20006 +a(g185 +V +p20007 +tp20008 +a(g135 +Vvoid +p20009 +tp20010 +a(g185 +V +tp20011 +a(g18 +VregisterInStatementIndent +p20012 +tp20013 +a(g198 +V( +tp20014 +a(g111 +Vconst +p20015 +tp20016 +a(g185 +V +tp20017 +a(g18 +Vstring +p20018 +tp20019 +a(g185 +V +tp20020 +a(g340 +V& +tp20021 +a(g18 +Vline +p20022 +tp20023 +a(g198 +V, +tp20024 +a(g185 +V +tp20025 +a(g135 +Vint +p20026 +tp20027 +a(g185 +V +tp20028 +a(g18 +Vi +tp20029 +a(g198 +V, +tp20030 +a(g185 +V +tp20031 +a(g135 +Vint +p20032 +tp20033 +a(g185 +V +tp20034 +a(g18 +VspaceTabCount +p20035 +tp20036 +a(g198 +V, +tp20037 +a(g185 +V\u000a +tp20038 +a(g185 +V +p20039 +tp20040 +a(g135 +Vint +p20041 +tp20042 +a(g185 +V +tp20043 +a(g18 +VminIndent +p20044 +tp20045 +a(g198 +V, +tp20046 +a(g185 +V +tp20047 +a(g135 +Vbool +p20048 +tp20049 +a(g185 +V +tp20050 +a(g18 +VupdateParenStack +p20051 +tp20052 +a(g198 +V) +tp20053 +a(g198 +V; +tp20054 +a(g185 +V\u000a +tp20055 +a(g185 +V +p20056 +tp20057 +a(g18 +Vstring +p20058 +tp20059 +a(g185 +V +tp20060 +a(g18 +VpreLineWS +p20061 +tp20062 +a(g198 +V( +tp20063 +a(g135 +Vint +p20064 +tp20065 +a(g185 +V +tp20066 +a(g18 +VspaceTabCount +p20067 +tp20068 +a(g198 +V, +tp20069 +a(g185 +V +tp20070 +a(g135 +Vint +p20071 +tp20072 +a(g185 +V +tp20073 +a(g18 +VtabCount +p20074 +tp20075 +a(g198 +V) +tp20076 +a(g198 +V; +tp20077 +a(g185 +V\u000a +tp20078 +a(g185 +V\u000a +tp20079 +a(g185 +V +p20080 +tp20081 +a(g111 +Vstatic +p20082 +tp20083 +a(g185 +V +tp20084 +a(g18 +Vvector +p20085 +tp20086 +a(g340 +V< +tp20087 +a(g111 +Vconst +p20088 +tp20089 +a(g185 +V +tp20090 +a(g18 +Vstring +p20091 +tp20092 +a(g340 +V* +tp20093 +a(g340 +V> +tp20094 +a(g185 +V +tp20095 +a(g18 +Vheaders +p20096 +tp20097 +a(g198 +V; +tp20098 +a(g185 +V\u000a +tp20099 +a(g185 +V +p20100 +tp20101 +a(g111 +Vstatic +p20102 +tp20103 +a(g185 +V +tp20104 +a(g18 +Vvector +p20105 +tp20106 +a(g340 +V< +tp20107 +a(g111 +Vconst +p20108 +tp20109 +a(g185 +V +tp20110 +a(g18 +Vstring +p20111 +tp20112 +a(g340 +V* +tp20113 +a(g340 +V> +tp20114 +a(g185 +V +tp20115 +a(g18 +VnonParenHeaders +p20116 +tp20117 +a(g198 +V; +tp20118 +a(g185 +V\u000a +tp20119 +a(g185 +V +p20120 +tp20121 +a(g111 +Vstatic +p20122 +tp20123 +a(g185 +V +tp20124 +a(g18 +Vvector +p20125 +tp20126 +a(g340 +V< +tp20127 +a(g111 +Vconst +p20128 +tp20129 +a(g185 +V +tp20130 +a(g18 +Vstring +p20131 +tp20132 +a(g340 +V* +tp20133 +a(g340 +V> +tp20134 +a(g185 +V +tp20135 +a(g18 +VpreprocessorHeaders +p20136 +tp20137 +a(g198 +V; +tp20138 +a(g185 +V\u000a +tp20139 +a(g185 +V +p20140 +tp20141 +a(g111 +Vstatic +p20142 +tp20143 +a(g185 +V +tp20144 +a(g18 +Vvector +p20145 +tp20146 +a(g340 +V< +tp20147 +a(g111 +Vconst +p20148 +tp20149 +a(g185 +V +tp20150 +a(g18 +Vstring +p20151 +tp20152 +a(g340 +V* +tp20153 +a(g340 +V> +tp20154 +a(g185 +V +tp20155 +a(g18 +VpreBlockStatements +p20156 +tp20157 +a(g198 +V; +tp20158 +a(g185 +V\u000a +tp20159 +a(g185 +V +p20160 +tp20161 +a(g111 +Vstatic +p20162 +tp20163 +a(g185 +V +tp20164 +a(g18 +Vvector +p20165 +tp20166 +a(g340 +V< +tp20167 +a(g111 +Vconst +p20168 +tp20169 +a(g185 +V +tp20170 +a(g18 +Vstring +p20171 +tp20172 +a(g340 +V* +tp20173 +a(g340 +V> +tp20174 +a(g185 +V +tp20175 +a(g18 +VassignmentOperators +p20176 +tp20177 +a(g198 +V; +tp20178 +a(g185 +V\u000a +tp20179 +a(g185 +V +p20180 +tp20181 +a(g111 +Vstatic +p20182 +tp20183 +a(g185 +V +tp20184 +a(g18 +Vvector +p20185 +tp20186 +a(g340 +V< +tp20187 +a(g111 +Vconst +p20188 +tp20189 +a(g185 +V +tp20190 +a(g18 +Vstring +p20191 +tp20192 +a(g340 +V* +tp20193 +a(g340 +V> +tp20194 +a(g185 +V +tp20195 +a(g18 +VnonAssignmentOperators +p20196 +tp20197 +a(g198 +V; +tp20198 +a(g185 +V\u000a +tp20199 +a(g185 +V\u000a +tp20200 +a(g185 +V +p20201 +tp20202 +a(g111 +Vstatic +p20203 +tp20204 +a(g185 +V +tp20205 +a(g135 +Vbool +p20206 +tp20207 +a(g185 +V +tp20208 +a(g18 +VcalledInitStatic +p20209 +tp20210 +a(g198 +V; +tp20211 +a(g185 +V\u000a +tp20212 +a(g185 +V\u000a +tp20213 +a(g185 +V +p20214 +tp20215 +a(g18 +VASSourceIterator +p20216 +tp20217 +a(g185 +V +tp20218 +a(g340 +V* +tp20219 +a(g18 +VsourceIterator +p20220 +tp20221 +a(g198 +V; +tp20222 +a(g185 +V\u000a +tp20223 +a(g185 +V +p20224 +tp20225 +a(g18 +Vvector +p20226 +tp20227 +a(g340 +V< +tp20228 +a(g18 +VASBeautifier +p20229 +tp20230 +a(g340 +V* +tp20231 +a(g340 +V> +tp20232 +a(g185 +V +tp20233 +a(g340 +V* +tp20234 +a(g18 +VwaitingBeautifierStack +p20235 +tp20236 +a(g198 +V; +tp20237 +a(g185 +V\u000a +tp20238 +a(g185 +V +p20239 +tp20240 +a(g18 +Vvector +p20241 +tp20242 +a(g340 +V< +tp20243 +a(g18 +VASBeautifier +p20244 +tp20245 +a(g340 +V* +tp20246 +a(g340 +V> +tp20247 +a(g185 +V +tp20248 +a(g340 +V* +tp20249 +a(g18 +VactiveBeautifierStack +p20250 +tp20251 +a(g198 +V; +tp20252 +a(g185 +V\u000a +tp20253 +a(g185 +V +p20254 +tp20255 +a(g18 +Vvector +p20256 +tp20257 +a(g340 +V< +tp20258 +a(g135 +Vint +p20259 +tp20260 +a(g340 +V> +tp20261 +a(g185 +V +tp20262 +a(g340 +V* +tp20263 +a(g18 +VwaitingBeautifierStackLengthStack +p20264 +tp20265 +a(g198 +V; +tp20266 +a(g185 +V\u000a +tp20267 +a(g185 +V +p20268 +tp20269 +a(g18 +Vvector +p20270 +tp20271 +a(g340 +V< +tp20272 +a(g135 +Vint +p20273 +tp20274 +a(g340 +V> +tp20275 +a(g185 +V +tp20276 +a(g340 +V* +tp20277 +a(g18 +VactiveBeautifierStackLengthStack +p20278 +tp20279 +a(g198 +V; +tp20280 +a(g185 +V\u000a +tp20281 +a(g185 +V +p20282 +tp20283 +a(g18 +Vvector +p20284 +tp20285 +a(g340 +V< +tp20286 +a(g111 +Vconst +p20287 +tp20288 +a(g185 +V +tp20289 +a(g18 +Vstring +p20290 +tp20291 +a(g340 +V* +tp20292 +a(g340 +V> +tp20293 +a(g185 +V +tp20294 +a(g340 +V* +tp20295 +a(g18 +VheaderStack +p20296 +tp20297 +a(g198 +V; +tp20298 +a(g185 +V\u000a +tp20299 +a(g185 +V +p20300 +tp20301 +a(g18 +Vvector +p20302 +tp20303 +a(g340 +V< +tp20304 +a(g185 +V +tp20305 +a(g18 +Vvector +p20306 +tp20307 +a(g340 +V< +tp20308 +a(g111 +Vconst +p20309 +tp20310 +a(g185 +V +tp20311 +a(g18 +Vstring +p20312 +tp20313 +a(g340 +V* +tp20314 +a(g340 +V> +tp20315 +a(g340 +V* +tp20316 +a(g185 +V +tp20317 +a(g340 +V> +tp20318 +a(g185 +V +tp20319 +a(g340 +V* +tp20320 +a(g18 +VtempStacks +p20321 +tp20322 +a(g198 +V; +tp20323 +a(g185 +V\u000a +tp20324 +a(g185 +V +p20325 +tp20326 +a(g18 +Vvector +p20327 +tp20328 +a(g340 +V< +tp20329 +a(g135 +Vint +p20330 +tp20331 +a(g340 +V> +tp20332 +a(g185 +V +tp20333 +a(g340 +V* +tp20334 +a(g18 +VblockParenDepthStack +p20335 +tp20336 +a(g198 +V; +tp20337 +a(g185 +V\u000a +tp20338 +a(g185 +V +p20339 +tp20340 +a(g18 +Vvector +p20341 +tp20342 +a(g340 +V< +tp20343 +a(g135 +Vbool +p20344 +tp20345 +a(g340 +V> +tp20346 +a(g185 +V +tp20347 +a(g340 +V* +tp20348 +a(g18 +VblockStatementStack +p20349 +tp20350 +a(g198 +V; +tp20351 +a(g185 +V\u000a +tp20352 +a(g185 +V +p20353 +tp20354 +a(g18 +Vvector +p20355 +tp20356 +a(g340 +V< +tp20357 +a(g135 +Vbool +p20358 +tp20359 +a(g340 +V> +tp20360 +a(g185 +V +tp20361 +a(g340 +V* +tp20362 +a(g18 +VparenStatementStack +p20363 +tp20364 +a(g198 +V; +tp20365 +a(g185 +V\u000a +tp20366 +a(g185 +V +p20367 +tp20368 +a(g18 +Vvector +p20369 +tp20370 +a(g340 +V< +tp20371 +a(g135 +Vint +p20372 +tp20373 +a(g340 +V> +tp20374 +a(g185 +V +tp20375 +a(g340 +V* +tp20376 +a(g18 +VinStatementIndentStack +p20377 +tp20378 +a(g198 +V; +tp20379 +a(g185 +V\u000a +tp20380 +a(g185 +V +p20381 +tp20382 +a(g18 +Vvector +p20383 +tp20384 +a(g340 +V< +tp20385 +a(g135 +Vint +p20386 +tp20387 +a(g340 +V> +tp20388 +a(g185 +V +tp20389 +a(g340 +V* +tp20390 +a(g18 +VinStatementIndentStackSizeStack +p20391 +tp20392 +a(g198 +V; +tp20393 +a(g185 +V\u000a +tp20394 +a(g185 +V +p20395 +tp20396 +a(g18 +Vvector +p20397 +tp20398 +a(g340 +V< +tp20399 +a(g135 +Vint +p20400 +tp20401 +a(g340 +V> +tp20402 +a(g185 +V +tp20403 +a(g340 +V* +tp20404 +a(g18 +VparenIndentStack +p20405 +tp20406 +a(g198 +V; +tp20407 +a(g185 +V\u000a +tp20408 +a(g185 +V +p20409 +tp20410 +a(g18 +Vvector +p20411 +tp20412 +a(g340 +V< +tp20413 +a(g135 +Vbool +p20414 +tp20415 +a(g340 +V> +tp20416 +a(g185 +V +tp20417 +a(g340 +V* +tp20418 +a(g18 +VbracketBlockStateStack +p20419 +tp20420 +a(g198 +V; +tp20421 +a(g185 +V\u000a +tp20422 +a(g185 +V +p20423 +tp20424 +a(g18 +Vstring +p20425 +tp20426 +a(g185 +V +tp20427 +a(g18 +VindentString +p20428 +tp20429 +a(g198 +V; +tp20430 +a(g185 +V\u000a +tp20431 +a(g185 +V +p20432 +tp20433 +a(g111 +Vconst +p20434 +tp20435 +a(g185 +V +tp20436 +a(g18 +Vstring +p20437 +tp20438 +a(g185 +V +tp20439 +a(g340 +V* +tp20440 +a(g18 +VcurrentHeader +p20441 +tp20442 +a(g198 +V; +tp20443 +a(g185 +V\u000a +tp20444 +a(g185 +V +p20445 +tp20446 +a(g111 +Vconst +p20447 +tp20448 +a(g185 +V +tp20449 +a(g18 +Vstring +p20450 +tp20451 +a(g185 +V +tp20452 +a(g340 +V* +tp20453 +a(g18 +VpreviousLastLineHeader +p20454 +tp20455 +a(g198 +V; +tp20456 +a(g185 +V\u000a +tp20457 +a(g185 +V +p20458 +tp20459 +a(g111 +Vconst +p20460 +tp20461 +a(g185 +V +tp20462 +a(g18 +Vstring +p20463 +tp20464 +a(g185 +V +tp20465 +a(g340 +V* +tp20466 +a(g18 +VimmediatelyPreviousAssignmentOp +p20467 +tp20468 +a(g198 +V; +tp20469 +a(g185 +V\u000a +tp20470 +a(g185 +V +p20471 +tp20472 +a(g111 +Vconst +p20473 +tp20474 +a(g185 +V +tp20475 +a(g18 +Vstring +p20476 +tp20477 +a(g185 +V +tp20478 +a(g340 +V* +tp20479 +a(g18 +VprobationHeader +p20480 +tp20481 +a(g198 +V; +tp20482 +a(g185 +V\u000a +tp20483 +a(g185 +V +p20484 +tp20485 +a(g135 +Vbool +p20486 +tp20487 +a(g185 +V +tp20488 +a(g18 +VisInQuote +p20489 +tp20490 +a(g198 +V; +tp20491 +a(g185 +V\u000a +tp20492 +a(g185 +V +p20493 +tp20494 +a(g135 +Vbool +p20495 +tp20496 +a(g185 +V +tp20497 +a(g18 +VisInComment +p20498 +tp20499 +a(g198 +V; +tp20500 +a(g185 +V\u000a +tp20501 +a(g185 +V +p20502 +tp20503 +a(g135 +Vbool +p20504 +tp20505 +a(g185 +V +tp20506 +a(g18 +VisInCase +p20507 +tp20508 +a(g198 +V; +tp20509 +a(g185 +V\u000a +tp20510 +a(g185 +V +p20511 +tp20512 +a(g135 +Vbool +p20513 +tp20514 +a(g185 +V +tp20515 +a(g18 +VisInQuestion +p20516 +tp20517 +a(g198 +V; +tp20518 +a(g185 +V\u000a +tp20519 +a(g185 +V +p20520 +tp20521 +a(g135 +Vbool +p20522 +tp20523 +a(g185 +V +tp20524 +a(g18 +VisInStatement +p20525 +tp20526 +a(g198 +V; +tp20527 +a(g185 +V\u000a +tp20528 +a(g185 +V +p20529 +tp20530 +a(g135 +Vbool +p20531 +tp20532 +a(g185 +V +tp20533 +a(g18 +VisInHeader +p20534 +tp20535 +a(g198 +V; +tp20536 +a(g185 +V\u000a +tp20537 +a(g185 +V +p20538 +tp20539 +a(g135 +Vbool +p20540 +tp20541 +a(g185 +V +tp20542 +a(g18 +VisCStyle +p20543 +tp20544 +a(g198 +V; +tp20545 +a(g185 +V\u000a +tp20546 +a(g185 +V +p20547 +tp20548 +a(g135 +Vbool +p20549 +tp20550 +a(g185 +V +tp20551 +a(g18 +VisInOperator +p20552 +tp20553 +a(g198 +V; +tp20554 +a(g185 +V\u000a +tp20555 +a(g185 +V +p20556 +tp20557 +a(g135 +Vbool +p20558 +tp20559 +a(g185 +V +tp20560 +a(g18 +VisInTemplate +p20561 +tp20562 +a(g198 +V; +tp20563 +a(g185 +V\u000a +tp20564 +a(g185 +V +p20565 +tp20566 +a(g135 +Vbool +p20567 +tp20568 +a(g185 +V +tp20569 +a(g18 +VisInConst +p20570 +tp20571 +a(g198 +V; +tp20572 +a(g185 +V\u000a +tp20573 +a(g185 +V +p20574 +tp20575 +a(g135 +Vbool +p20576 +tp20577 +a(g185 +V +tp20578 +a(g18 +VisInDefine +p20579 +tp20580 +a(g198 +V; +tp20581 +a(g185 +V\u000a +tp20582 +a(g185 +V +p20583 +tp20584 +a(g135 +Vbool +p20585 +tp20586 +a(g185 +V +tp20587 +a(g18 +VisInDefineDefinition +p20588 +tp20589 +a(g198 +V; +tp20590 +a(g185 +V\u000a +tp20591 +a(g185 +V +p20592 +tp20593 +a(g135 +Vbool +p20594 +tp20595 +a(g185 +V +tp20596 +a(g18 +VclassIndent +p20597 +tp20598 +a(g198 +V; +tp20599 +a(g185 +V\u000a +tp20600 +a(g185 +V +p20601 +tp20602 +a(g135 +Vbool +p20603 +tp20604 +a(g185 +V +tp20605 +a(g18 +VisInClassHeader +p20606 +tp20607 +a(g198 +V; +tp20608 +a(g185 +V\u000a +tp20609 +a(g185 +V +p20610 +tp20611 +a(g135 +Vbool +p20612 +tp20613 +a(g185 +V +tp20614 +a(g18 +VisInClassHeaderTab +p20615 +tp20616 +a(g198 +V; +tp20617 +a(g185 +V\u000a +tp20618 +a(g185 +V +p20619 +tp20620 +a(g135 +Vbool +p20621 +tp20622 +a(g185 +V +tp20623 +a(g18 +VswitchIndent +p20624 +tp20625 +a(g198 +V; +tp20626 +a(g185 +V\u000a +tp20627 +a(g185 +V +p20628 +tp20629 +a(g135 +Vbool +p20630 +tp20631 +a(g185 +V +tp20632 +a(g18 +VcaseIndent +p20633 +tp20634 +a(g198 +V; +tp20635 +a(g185 +V\u000a +tp20636 +a(g185 +V +p20637 +tp20638 +a(g135 +Vbool +p20639 +tp20640 +a(g185 +V +tp20641 +a(g18 +VnamespaceIndent +p20642 +tp20643 +a(g198 +V; +tp20644 +a(g185 +V\u000a +tp20645 +a(g185 +V +p20646 +tp20647 +a(g135 +Vbool +p20648 +tp20649 +a(g185 +V +tp20650 +a(g18 +VbracketIndent +p20651 +tp20652 +a(g198 +V; +tp20653 +a(g185 +V\u000a +tp20654 +a(g185 +V +p20655 +tp20656 +a(g135 +Vbool +p20657 +tp20658 +a(g185 +V +tp20659 +a(g18 +VblockIndent +p20660 +tp20661 +a(g198 +V; +tp20662 +a(g185 +V\u000a +tp20663 +a(g185 +V +p20664 +tp20665 +a(g135 +Vbool +p20666 +tp20667 +a(g185 +V +tp20668 +a(g18 +VlabelIndent +p20669 +tp20670 +a(g198 +V; +tp20671 +a(g185 +V\u000a +tp20672 +a(g185 +V +p20673 +tp20674 +a(g135 +Vbool +p20675 +tp20676 +a(g185 +V +tp20677 +a(g18 +VpreprocessorIndent +p20678 +tp20679 +a(g198 +V; +tp20680 +a(g185 +V\u000a +tp20681 +a(g185 +V +p20682 +tp20683 +a(g135 +Vbool +p20684 +tp20685 +a(g185 +V +tp20686 +a(g18 +VisInConditional +p20687 +tp20688 +a(g198 +V; +tp20689 +a(g185 +V\u000a +tp20690 +a(g185 +V +p20691 +tp20692 +a(g135 +Vbool +p20693 +tp20694 +a(g185 +V +tp20695 +a(g18 +VisMinimalConditinalIndentSet +p20696 +tp20697 +a(g198 +V; +tp20698 +a(g185 +V\u000a +tp20699 +a(g185 +V +p20700 +tp20701 +a(g135 +Vbool +p20702 +tp20703 +a(g185 +V +tp20704 +a(g18 +VshouldForceTabIndentation +p20705 +tp20706 +a(g198 +V; +tp20707 +a(g185 +V\u000a +tp20708 +a(g185 +V +p20709 +tp20710 +a(g135 +Vint +p20711 +tp20712 +a(g185 +V +tp20713 +a(g18 +VminConditionalIndent +p20714 +tp20715 +a(g198 +V; +tp20716 +a(g185 +V\u000a +tp20717 +a(g185 +V +p20718 +tp20719 +a(g135 +Vint +p20720 +tp20721 +a(g185 +V +tp20722 +a(g18 +VparenDepth +p20723 +tp20724 +a(g198 +V; +tp20725 +a(g185 +V\u000a +tp20726 +a(g185 +V +p20727 +tp20728 +a(g135 +Vint +p20729 +tp20730 +a(g185 +V +tp20731 +a(g18 +VindentLength +p20732 +tp20733 +a(g198 +V; +tp20734 +a(g185 +V\u000a +tp20735 +a(g185 +V +p20736 +tp20737 +a(g135 +Vint +p20738 +tp20739 +a(g185 +V +tp20740 +a(g18 +VblockTabCount +p20741 +tp20742 +a(g198 +V; +tp20743 +a(g185 +V\u000a +tp20744 +a(g185 +V +p20745 +tp20746 +a(g135 +Vunsigned +p20747 +tp20748 +a(g185 +V +tp20749 +a(g135 +Vint +p20750 +tp20751 +a(g185 +V +tp20752 +a(g18 +VleadingWhiteSpaces +p20753 +tp20754 +a(g198 +V; +tp20755 +a(g185 +V\u000a +tp20756 +a(g185 +V +p20757 +tp20758 +a(g135 +Vint +p20759 +tp20760 +a(g185 +V +tp20761 +a(g18 +VmaxInStatementIndent +p20762 +tp20763 +a(g198 +V; +tp20764 +a(g185 +V\u000a +tp20765 +a(g185 +V +p20766 +tp20767 +a(g135 +Vint +p20768 +tp20769 +a(g185 +V +tp20770 +a(g18 +VtemplateDepth +p20771 +tp20772 +a(g198 +V; +tp20773 +a(g185 +V\u000a +tp20774 +a(g185 +V +p20775 +tp20776 +a(g135 +Vchar +p20777 +tp20778 +a(g185 +V +tp20779 +a(g18 +VquoteChar +p20780 +tp20781 +a(g198 +V; +tp20782 +a(g185 +V\u000a +tp20783 +a(g185 +V +p20784 +tp20785 +a(g135 +Vchar +p20786 +tp20787 +a(g185 +V +tp20788 +a(g18 +VprevNonSpaceCh +p20789 +tp20790 +a(g198 +V; +tp20791 +a(g185 +V\u000a +tp20792 +a(g185 +V +p20793 +tp20794 +a(g135 +Vchar +p20795 +tp20796 +a(g185 +V +tp20797 +a(g18 +VcurrentNonSpaceCh +p20798 +tp20799 +a(g198 +V; +tp20800 +a(g185 +V\u000a +tp20801 +a(g185 +V +p20802 +tp20803 +a(g135 +Vchar +p20804 +tp20805 +a(g185 +V +tp20806 +a(g18 +VcurrentNonLegalCh +p20807 +tp20808 +a(g198 +V; +tp20809 +a(g185 +V\u000a +tp20810 +a(g185 +V +p20811 +tp20812 +a(g135 +Vchar +p20813 +tp20814 +a(g185 +V +tp20815 +a(g18 +VprevNonLegalCh +p20816 +tp20817 +a(g198 +V; +tp20818 +a(g185 +V\u000a +tp20819 +a(g185 +V +p20820 +tp20821 +a(g135 +Vint +p20822 +tp20823 +a(g185 +V +tp20824 +a(g18 +VprevFinalLineSpaceTabCount +p20825 +tp20826 +a(g198 +V; +tp20827 +a(g185 +V\u000a +tp20828 +a(g185 +V +p20829 +tp20830 +a(g135 +Vint +p20831 +tp20832 +a(g185 +V +tp20833 +a(g18 +VprevFinalLineTabCount +p20834 +tp20835 +a(g198 +V; +tp20836 +a(g185 +V\u000a +tp20837 +a(g185 +V +p20838 +tp20839 +a(g135 +Vbool +p20840 +tp20841 +a(g185 +V +tp20842 +a(g18 +VemptyLineFill +p20843 +tp20844 +a(g198 +V; +tp20845 +a(g185 +V\u000a +tp20846 +a(g185 +V +p20847 +tp20848 +a(g135 +Vbool +p20849 +tp20850 +a(g185 +V +tp20851 +a(g18 +VbackslashEndsPrevLine +p20852 +tp20853 +a(g198 +V; +tp20854 +a(g185 +V\u000a +tp20855 +a(g185 +V +p20856 +tp20857 +a(g135 +Vint +p20858 +tp20859 +a(g185 +V +tp20860 +a(g18 +VdefineTabCount +p20861 +tp20862 +a(g198 +V; +tp20863 +a(g185 +V\u000a +tp20864 +a(g185 +V +p20865 +tp20866 +a(g198 +V} +tp20867 +a(g198 +V; +tp20868 +a(g185 +V\u000a +tp20869 +a(g198 +V} +tp20870 +a(g185 +V\u000a +tp20871 +a(g351 +V\u000a# +p20872 +tp20873 +a(g351 +Vendif +p20874 +tp20875 +a(g351 +V\u000a +tp20876 +a(g7 +V/*\u000a * Copyright (c) 1998,1999,2000,2001,2002 Tal Davidson. All rights reserved.\u000a *\u000a * ASFormatter.cpp\u000a * by Tal Davidson (davidsont@bigfoot.com)\u000a * This file is a part of "Artistic Style" - an indentater and reformatter\u000a * of C, C++, C# and Java source files.\u000a *\u000a * The "Artistic Style" project, including all files needed to compile it,\u000a * is free software; you can redistribute it and/or use it and/or modify it\u000a * under the terms of the GNU General Public License as published \u000a * by the Free Software Foundation; either version 2 of the License, \u000a * or (at your option) any later version.\u000a *\u000a * This program is distributed in the hope that it will be useful,\u000a * but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.\u000a *\u000a * You should have received a copy of the GNU General Public\u000a * License along with this program.\u000a *\u000a *\u000a * Patches:\u000a * 26 November 1998 - Richard Bullington -\u000a * A correction of line-breaking in headers following '}',\u000a \u000a * was created using a variation of a patch by Richard Bullington.\u000a * 08 May 2004\u000a * applied ASFormatter450670.patch.bz2, ASFormatter.cpp.patch.bz2,\u000a * patch1_ssvb_patch.tar.gz\u000a */ +p20877 +tp20878 +a(g185 +V\u000a +tp20879 +a(g351 +V\u000a# +p20880 +tp20881 +a(g351 +Vinclude "compiler_defines.h" +p20882 +tp20883 +a(g351 +V\u000a +tp20884 +a(g351 +V# +tp20885 +a(g351 +Vinclude "ASFormatter.h" +p20886 +tp20887 +a(g351 +V\u000a +tp20888 +a(g351 +V\u000a\u000a# +p20889 +tp20890 +a(g351 +Vinclude +p20891 +tp20892 +a(g351 +V\u000a +tp20893 +a(g351 +V# +tp20894 +a(g351 +Vinclude +p20895 +tp20896 +a(g351 +V\u000a +tp20897 +a(g351 +V# +tp20898 +a(g351 +Vinclude +p20899 +tp20900 +a(g351 +V\u000a +tp20901 +a(g351 +V# +tp20902 +a(g351 +Vinclude +p20903 +tp20904 +a(g351 +V\u000a +tp20905 +a(g351 +V# +tp20906 +a(g351 +Vinclude +p20907 +tp20908 +a(g351 +V\u000a +tp20909 +a(g351 +V\u000a\u000a# +p20910 +tp20911 +a(g351 +Vdefine INIT_CONTAINER(container, value) {if ( (container) != NULL ) delete (container); (container) = (value); } +p20912 +tp20913 +a(g351 +V\u000a +tp20914 +a(g351 +V# +tp20915 +a(g351 +Vdefine DELETE_CONTAINER(container) {if ( (container) != NULL ) delete (container) ; } +p20916 +tp20917 +a(g351 +V\u000a +tp20918 +a(g351 +V# +tp20919 +a(g351 +Vdefine IS_A(a,b) ( ((a) & (b)) == (b)) +p20920 +tp20921 +a(g351 +V\u000a +tp20922 +a(g351 +V# +tp20923 +a(g351 +Vifdef USES_NAMESPACE +p20924 +tp20925 +a(g351 +V\u000a +tp20926 +a(g111 +Vusing +p20927 +tp20928 +a(g185 +V +tp20929 +a(g111 +Vnamespace +p20930 +tp20931 +a(g185 +V +tp20932 +a(g18 +Vstd +p20933 +tp20934 +a(g198 +V; +tp20935 +a(g185 +V\u000a +tp20936 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.lua b/tests/examplefiles/output/example.lua new file mode 100644 index 0000000..b2eb0ac --- /dev/null +++ b/tests/examplefiles/output/example.lua @@ -0,0 +1,8921 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +g59 +g2 +(g3 +g4 +(g137 +g59 +ttRp140 +(dp141 +g8 +g9 +((ltRp142 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp143 +(dp144 +g8 +g9 +((ltRp145 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g138 +sbsS'Reserved' +p149 +g2 +(g3 +g4 +(g137 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Declaration' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp157 +(dp158 +g8 +g9 +((ltRp159 +sg15 +g138 +sbsg8 +g9 +((lp160 +g140 +ag150 +ag2 +(g3 +g4 +(g137 +S'Type' +p161 +ttRp162 +(dp163 +g8 +g9 +((ltRp164 +sg15 +g138 +sbag154 +ag157 +ag143 +ag146 +atRp165 +sg161 +g162 +sbsS'Generic' +p166 +g2 +(g3 +g4 +(g166 +ttRp167 +(dp168 +S'Prompt' +p169 +g2 +(g3 +g4 +(g166 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g167 +sbsg15 +g21 +sS'Deleted' +p173 +g2 +(g3 +g4 +(g166 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g167 +sbsS'Traceback' +p177 +g2 +(g3 +g4 +(g166 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g167 +sbsS'Emph' +p181 +g2 +(g3 +g4 +(g166 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g167 +sbsS'Output' +p185 +g2 +(g3 +g4 +(g166 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g167 +sbsS'Subheading' +p189 +g2 +(g3 +g4 +(g166 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g167 +sbsS'Error' +p193 +g2 +(g3 +g4 +(g166 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g167 +sbsg8 +g9 +((lp197 +g186 +ag182 +ag194 +ag190 +ag178 +ag174 +ag2 +(g3 +g4 +(g166 +S'Heading' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Inserted' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Strong' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g167 +sbag170 +atRp210 +sg206 +g207 +sg202 +g203 +sg198 +g199 +sbsg5 +g6 +sS'Punctuation' +p211 +g2 +(g3 +g4 +(g211 +ttRp212 +(dp213 +g8 +g9 +((lp214 +g2 +(g3 +g4 +(g211 +S'Indicator' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g212 +sbatRp219 +sg215 +g216 +sg15 +g21 +sbsS'Token' +p220 +g21 +sS'Number' +p221 +g2 +(g3 +g4 +(S'Literal' +p222 +g221 +ttRp223 +(dp224 +S'Bin' +p225 +g2 +(g3 +g4 +(g222 +g221 +g225 +ttRp226 +(dp227 +g8 +g9 +((ltRp228 +sg15 +g223 +sbsS'Binary' +p229 +g2 +(g3 +g4 +(g222 +g221 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g223 +sbsg15 +g2 +(g3 +g4 +(g222 +ttRp233 +(dp234 +S'String' +p235 +g2 +(g3 +g4 +(g222 +g235 +ttRp236 +(dp237 +S'Regex' +p238 +g2 +(g3 +g4 +(g222 +g235 +g238 +ttRp239 +(dp240 +g8 +g9 +((ltRp241 +sg15 +g236 +sbsS'Interpol' +p242 +g2 +(g3 +g4 +(g222 +g235 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g236 +sbsS'Regexp' +p246 +g2 +(g3 +g4 +(g222 +g235 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g236 +sbsg15 +g233 +sS'Heredoc' +p250 +g2 +(g3 +g4 +(g222 +g235 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g236 +sbsS'Double' +p254 +g2 +(g3 +g4 +(g222 +g235 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g236 +sbsg11 +g2 +(g3 +g4 +(g222 +g235 +g11 +ttRp258 +(dp259 +g8 +g9 +((ltRp260 +sg15 +g236 +sbsS'Escape' +p261 +g2 +(g3 +g4 +(g222 +g235 +g261 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g236 +sbsS'Character' +p265 +g2 +(g3 +g4 +(g222 +g235 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g236 +sbsS'Interp' +p269 +g2 +(g3 +g4 +(g222 +g235 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g236 +sbsS'Backtick' +p273 +g2 +(g3 +g4 +(g222 +g235 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g236 +sbsS'Char' +p277 +g2 +(g3 +g4 +(g222 +g235 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g236 +sbsg30 +g2 +(g3 +g4 +(g222 +g235 +g30 +ttRp281 +(dp282 +g8 +g9 +((ltRp283 +sg15 +g236 +sbsg91 +g2 +(g3 +g4 +(g222 +g235 +g91 +ttRp284 +(dp285 +g8 +g9 +((ltRp286 +sg15 +g236 +sbsS'Doc' +p287 +g2 +(g3 +g4 +(g222 +g235 +g287 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g236 +sbsg8 +g9 +((lp291 +g284 +ag2 +(g3 +g4 +(g222 +g235 +S'Atom' +p292 +ttRp293 +(dp294 +g8 +g9 +((ltRp295 +sg15 +g236 +sbag255 +ag278 +ag270 +ag288 +ag251 +ag274 +ag243 +ag258 +ag247 +ag239 +ag281 +ag266 +ag262 +atRp296 +sg292 +g293 +sbsg15 +g21 +sg221 +g223 +sS'Scalar' +p297 +g2 +(g3 +g4 +(g222 +g297 +ttRp298 +(dp299 +g8 +g9 +((lp300 +g2 +(g3 +g4 +(g222 +g297 +S'Plain' +p301 +ttRp302 +(dp303 +g8 +g9 +((ltRp304 +sg15 +g298 +sbatRp305 +sg15 +g233 +sg301 +g302 +sbsg91 +g2 +(g3 +g4 +(g222 +g91 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g233 +sbsS'Date' +p309 +g2 +(g3 +g4 +(g222 +g309 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g233 +sbsg8 +g9 +((lp313 +g310 +ag236 +ag306 +ag223 +ag298 +atRp314 +sbsS'Decimal' +p315 +g2 +(g3 +g4 +(g222 +g221 +g315 +ttRp316 +(dp317 +g8 +g9 +((ltRp318 +sg15 +g223 +sbsS'Float' +p319 +g2 +(g3 +g4 +(g222 +g221 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g223 +sbsS'Hex' +p323 +g2 +(g3 +g4 +(g222 +g221 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g223 +sbsS'Integer' +p327 +g2 +(g3 +g4 +(g222 +g221 +g327 +ttRp328 +(dp329 +g8 +g9 +((lp330 +g2 +(g3 +g4 +(g222 +g221 +g327 +S'Long' +p331 +ttRp332 +(dp333 +g8 +g9 +((ltRp334 +sg15 +g328 +sbatRp335 +sg331 +g332 +sg15 +g223 +sbsS'Octal' +p336 +g2 +(g3 +g4 +(g222 +g221 +g336 +ttRp337 +(dp338 +g8 +g9 +((ltRp339 +sg15 +g223 +sbsg8 +g9 +((lp340 +g226 +ag230 +ag337 +ag316 +ag2 +(g3 +g4 +(g222 +g221 +S'Oct' +p341 +ttRp342 +(dp343 +g8 +g9 +((ltRp344 +sg15 +g223 +sbag328 +ag320 +ag324 +atRp345 +sg341 +g342 +sbsg222 +g233 +sg91 +g2 +(g3 +g4 +(g91 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g21 +sbsg193 +g2 +(g3 +g4 +(g193 +ttRp349 +(dp350 +g8 +g9 +((ltRp351 +sg15 +g21 +sbsS'Operator' +p352 +g2 +(g3 +g4 +(g352 +ttRp353 +(dp354 +g8 +g9 +((lp355 +g2 +(g3 +g4 +(g352 +S'Word' +p356 +ttRp357 +(dp358 +g8 +g9 +((ltRp359 +sg15 +g353 +sbatRp360 +sg356 +g357 +sg15 +g21 +sbsg8 +g9 +((lp361 +g24 +ag349 +ag167 +ag6 +ag45 +ag212 +ag138 +ag233 +ag353 +ag346 +atRp362 +sg235 +g236 +sbsbV +tp363 +a(g35 +V--[[\u000a Auctioneer Advanced\u000a Version: <%version%> (<%codename%>)\u000a Revision: $Id: CoreMain.lua 2233 2007-09-25 03:57:33Z norganna $\u000a URL: http://auctioneeraddon.com/\u000a\u000a This is an addon for World of Warcraft that adds statistical history to the auction data that is collected\u000a when the auction is scanned, so that you can easily determine what price\u000a you will be able to sell an item for at auction or at a vendor whenever you\u000a mouse-over an item in the game\u000a\u000a License:\u000a This program is free software; you can redistribute it and/or\u000a modify it under the terms of the GNU General Public License\u000a as published by the Free Software Foundation; either version 2\u000a of the License, or (at your option) any later version.\u000a\u000a This program is distributed in the hope that it will be useful,\u000a but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the\u000a GNU General Public License for more details.\u000a\u000a You should have received a copy of the GNU General Public License\u000a along with this program(see GPL.txt); if not, write to the Free Software\u000a Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.\u000a\u000a Note:\u000a This AddOn's source code is specifically designed to work with\u000a World of Warcraft's interpreted AddOn system.\u000a You have an implicit licence to use this AddOn with these facilities\u000a since that is its designated purpose as per:\u000a http://www.fsf.org/licensing/licenses/gpl-faq.html#InterpreterIncompat\u000a]] +p364 +tp365 +a(g6 +V\u000a +tp366 +a(g6 +V\u000a +tp367 +a(g6 +V\u000a +tp368 +a(g35 +V--[[\u000a See CoreAPI.lua for a description of the modules API\u000a]] +p369 +tp370 +a(g6 +V\u000a +tp371 +a(g6 +V\u000a +tp372 +a(g138 +Vif +p373 +tp374 +a(g6 +V +tp375 +a(g212 +V( +tp376 +a(g357 +Vnot +p377 +tp378 +a(g6 +V +tp379 +a(g45 +VAucAdvanced +p380 +tp381 +a(g212 +V) +tp382 +a(g6 +V +tp383 +a(g138 +Vthen +p384 +tp385 +a(g6 +V +tp386 +a(g45 +VAucAdvanced +p387 +tp388 +a(g6 +V +tp389 +a(g353 +V= +tp390 +a(g6 +V +tp391 +a(g212 +V{ +tp392 +a(g212 +V} +tp393 +a(g6 +V +tp394 +a(g138 +Vend +p395 +tp396 +a(g6 +V\u000a +tp397 +a(g138 +Vif +p398 +tp399 +a(g6 +V +tp400 +a(g212 +V( +tp401 +a(g357 +Vnot +p402 +tp403 +a(g6 +V +tp404 +a(g45 +VAucAdvancedData +p405 +tp406 +a(g212 +V) +tp407 +a(g6 +V +tp408 +a(g138 +Vthen +p409 +tp410 +a(g6 +V +tp411 +a(g45 +VAucAdvancedData +p412 +tp413 +a(g6 +V +tp414 +a(g353 +V= +tp415 +a(g6 +V +tp416 +a(g212 +V{ +tp417 +a(g212 +V} +tp418 +a(g6 +V +tp419 +a(g138 +Vend +p420 +tp421 +a(g6 +V\u000a +tp422 +a(g138 +Vif +p423 +tp424 +a(g6 +V +tp425 +a(g212 +V( +tp426 +a(g357 +Vnot +p427 +tp428 +a(g6 +V +tp429 +a(g45 +VAucAdvancedLocal +p430 +tp431 +a(g212 +V) +tp432 +a(g6 +V +tp433 +a(g138 +Vthen +p434 +tp435 +a(g6 +V +tp436 +a(g45 +VAucAdvancedLocal +p437 +tp438 +a(g6 +V +tp439 +a(g353 +V= +tp440 +a(g6 +V +tp441 +a(g212 +V{ +tp442 +a(g212 +V} +tp443 +a(g6 +V +tp444 +a(g138 +Vend +p445 +tp446 +a(g6 +V\u000a +tp447 +a(g138 +Vif +p448 +tp449 +a(g6 +V +tp450 +a(g212 +V( +tp451 +a(g357 +Vnot +p452 +tp453 +a(g6 +V +tp454 +a(g45 +VAucAdvancedConfig +p455 +tp456 +a(g212 +V) +tp457 +a(g6 +V +tp458 +a(g138 +Vthen +p459 +tp460 +a(g6 +V +tp461 +a(g45 +VAucAdvancedConfig +p462 +tp463 +a(g6 +V +tp464 +a(g353 +V= +tp465 +a(g6 +V +tp466 +a(g212 +V{ +tp467 +a(g212 +V} +tp468 +a(g6 +V +tp469 +a(g138 +Vend +p470 +tp471 +a(g6 +V\u000a +tp472 +a(g6 +V\u000a +tp473 +a(g45 +VAucAdvanced +p474 +tp475 +a(g212 +V. +tp476 +a(g45 +VVersion +p477 +tp478 +a(g353 +V= +tp479 +a(g255 +V" +tp480 +a(g236 +V< +tp481 +a(g236 +V% +tp482 +a(g236 +Vv +tp483 +a(g236 +Ve +tp484 +a(g236 +Vr +tp485 +a(g236 +Vs +tp486 +a(g236 +Vi +tp487 +a(g236 +Vo +tp488 +a(g236 +Vn +tp489 +a(g236 +V% +tp490 +a(g236 +V> +tp491 +a(g236 +V" +tp492 +a(g212 +V; +tp493 +a(g6 +V\u000a +tp494 +a(g138 +Vif +p495 +tp496 +a(g6 +V +tp497 +a(g212 +V( +tp498 +a(g45 +VAucAdvanced +p499 +tp500 +a(g212 +V. +tp501 +a(g45 +VVersion +p502 +tp503 +a(g6 +V +tp504 +a(g353 +V== +p505 +tp506 +a(g6 +V +tp507 +a(g255 +V" +tp508 +a(g236 +V< +tp509 +a(g236 +V" +tp510 +a(g212 +V. +tp511 +a(g212 +V. +tp512 +a(g255 +V" +tp513 +a(g236 +V% +tp514 +a(g236 +Vv +tp515 +a(g236 +Ve +tp516 +a(g236 +Vr +tp517 +a(g236 +Vs +tp518 +a(g236 +Vi +tp519 +a(g236 +Vo +tp520 +a(g236 +Vn +tp521 +a(g236 +V% +tp522 +a(g236 +V> +tp523 +a(g236 +V" +tp524 +a(g212 +V) +tp525 +a(g6 +V +tp526 +a(g138 +Vthen +p527 +tp528 +a(g6 +V\u000a +tp529 +a(g6 +V +tp530 +a(g45 +VAucAdvanced +p531 +tp532 +a(g212 +V. +tp533 +a(g45 +VVersion +p534 +tp535 +a(g6 +V +tp536 +a(g353 +V= +tp537 +a(g6 +V +tp538 +a(g255 +V" +tp539 +a(g236 +V5 +tp540 +a(g236 +V. +tp541 +a(g236 +V0 +tp542 +a(g236 +V. +tp543 +a(g236 +VD +tp544 +a(g236 +VE +tp545 +a(g236 +VV +tp546 +a(g236 +V" +tp547 +a(g212 +V; +tp548 +a(g6 +V\u000a +tp549 +a(g138 +Vend +p550 +tp551 +a(g6 +V\u000a +tp552 +a(g6 +V\u000a +tp553 +a(g154 +Vlocal +p554 +tp555 +a(g6 +V +tp556 +a(g45 +Vprivate +p557 +tp558 +a(g6 +V +tp559 +a(g353 +V= +tp560 +a(g6 +V +tp561 +a(g212 +V{ +tp562 +a(g212 +V} +tp563 +a(g6 +V\u000a +tp564 +a(g6 +V\u000a +tp565 +a(g31 +V-- For our modular stats system, each stats engine should add their +p566 +tp567 +a(g6 +V\u000a +tp568 +a(g31 +V-- subclass to AucAdvanced.Modules.. and store their data into their own +p569 +tp570 +a(g6 +V\u000a +tp571 +a(g31 +V-- data table in AucAdvancedData.Stats. +p572 +tp573 +a(g6 +V\u000a +tp574 +a(g138 +Vif +p575 +tp576 +a(g6 +V +tp577 +a(g212 +V( +tp578 +a(g357 +Vnot +p579 +tp580 +a(g6 +V +tp581 +a(g45 +VAucAdvanced +p582 +tp583 +a(g212 +V. +tp584 +a(g45 +VModules +p585 +tp586 +a(g212 +V) +tp587 +a(g6 +V +tp588 +a(g138 +Vthen +p589 +tp590 +a(g6 +V +tp591 +a(g45 +VAucAdvanced +p592 +tp593 +a(g212 +V. +tp594 +a(g45 +VModules +p595 +tp596 +a(g6 +V +tp597 +a(g353 +V= +tp598 +a(g6 +V +tp599 +a(g212 +V{ +tp600 +a(g45 +VStat +p601 +tp602 +a(g353 +V= +tp603 +a(g212 +V{ +tp604 +a(g212 +V} +tp605 +a(g212 +V, +tp606 +a(g45 +VUtil +p607 +tp608 +a(g353 +V= +tp609 +a(g212 +V{ +tp610 +a(g212 +V} +tp611 +a(g212 +V, +tp612 +a(g45 +VFilter +p613 +tp614 +a(g353 +V= +tp615 +a(g212 +V{ +tp616 +a(g212 +V} +tp617 +a(g212 +V} +tp618 +a(g6 +V +tp619 +a(g138 +Vend +p620 +tp621 +a(g6 +V\u000a +tp622 +a(g138 +Vif +p623 +tp624 +a(g6 +V +tp625 +a(g212 +V( +tp626 +a(g357 +Vnot +p627 +tp628 +a(g6 +V +tp629 +a(g45 +VAucAdvancedData +p630 +tp631 +a(g212 +V. +tp632 +a(g45 +VStats +p633 +tp634 +a(g212 +V) +tp635 +a(g6 +V +tp636 +a(g138 +Vthen +p637 +tp638 +a(g6 +V +tp639 +a(g45 +VAucAdvancedData +p640 +tp641 +a(g212 +V. +tp642 +a(g45 +VStats +p643 +tp644 +a(g6 +V +tp645 +a(g353 +V= +tp646 +a(g6 +V +tp647 +a(g212 +V{ +tp648 +a(g212 +V} +tp649 +a(g6 +V +tp650 +a(g138 +Vend +p651 +tp652 +a(g6 +V\u000a +tp653 +a(g138 +Vif +p654 +tp655 +a(g6 +V +tp656 +a(g212 +V( +tp657 +a(g357 +Vnot +p658 +tp659 +a(g6 +V +tp660 +a(g45 +VAucAdvancedLocal +p661 +tp662 +a(g212 +V. +tp663 +a(g45 +VStats +p664 +tp665 +a(g212 +V) +tp666 +a(g6 +V +tp667 +a(g138 +Vthen +p668 +tp669 +a(g6 +V +tp670 +a(g45 +VAucAdvancedLocal +p671 +tp672 +a(g212 +V. +tp673 +a(g45 +VStats +p674 +tp675 +a(g6 +V +tp676 +a(g353 +V= +tp677 +a(g6 +V +tp678 +a(g212 +V{ +tp679 +a(g212 +V} +tp680 +a(g6 +V +tp681 +a(g138 +Vend +p682 +tp683 +a(g6 +V\u000a +tp684 +a(g6 +V\u000a +tp685 +a(g138 +Vfunction +p686 +tp687 +a(g6 +V +tp688 +a(g48 +Vprivate +p689 +tp690 +a(g212 +V. +tp691 +a(g45 +VTooltipHook +p692 +tp693 +a(g212 +V( +tp694 +a(g45 +Vvars +p695 +tp696 +a(g212 +V, +tp697 +a(g6 +V +tp698 +a(g45 +Vret +p699 +tp700 +a(g212 +V, +tp701 +a(g6 +V +tp702 +a(g45 +Vframe +p703 +tp704 +a(g212 +V, +tp705 +a(g6 +V +tp706 +a(g45 +Vname +p707 +tp708 +a(g212 +V, +tp709 +a(g6 +V +tp710 +a(g45 +Vhyperlink +p711 +tp712 +a(g212 +V, +tp713 +a(g6 +V +tp714 +a(g45 +Vquality +p715 +tp716 +a(g212 +V, +tp717 +a(g6 +V +tp718 +a(g45 +Vquantity +p719 +tp720 +a(g212 +V, +tp721 +a(g6 +V +tp722 +a(g45 +Vcost +p723 +tp724 +a(g212 +V, +tp725 +a(g6 +V +tp726 +a(g45 +Vadditional +p727 +tp728 +a(g212 +V) +tp729 +a(g6 +V\u000a +tp730 +a(g6 +V +tp731 +a(g138 +Vif +p732 +tp733 +a(g6 +V +tp734 +a(g45 +VEnhTooltip +p735 +tp736 +a(g212 +V. +tp737 +a(g45 +VLinkType +p738 +tp739 +a(g212 +V( +tp740 +a(g45 +Vhyperlink +p741 +tp742 +a(g212 +V) +tp743 +a(g6 +V +tp744 +a(g353 +V~= +p745 +tp746 +a(g6 +V +tp747 +a(g255 +V" +tp748 +a(g236 +Vi +tp749 +a(g236 +Vt +tp750 +a(g236 +Ve +tp751 +a(g236 +Vm +tp752 +a(g236 +V" +tp753 +a(g6 +V +tp754 +a(g138 +Vthen +p755 +tp756 +a(g6 +V\u000a +tp757 +a(g6 +V +tp758 +a(g6 +V +tp759 +a(g138 +Vreturn +p760 +tp761 +a(g6 +V +tp762 +a(g31 +V-- Auctioneer hooks into item tooltips only +p763 +tp764 +a(g6 +V\u000a +tp765 +a(g6 +V +tp766 +a(g138 +Vend +p767 +tp768 +a(g6 +V\u000a +tp769 +a(g6 +V\u000a +tp770 +a(g6 +V +tp771 +a(g31 +V-- Check to see if we need to force load scandata +p772 +tp773 +a(g6 +V\u000a +tp774 +a(g6 +V +tp775 +a(g154 +Vlocal +p776 +tp777 +a(g6 +V +tp778 +a(g45 +Vgetter +p779 +tp780 +a(g6 +V +tp781 +a(g353 +V= +tp782 +a(g6 +V +tp783 +a(g45 +VAucAdvanced +p784 +tp785 +a(g212 +V. +tp786 +a(g45 +VSettings +p787 +tp788 +a(g212 +V. +tp789 +a(g45 +VGetSetting +p790 +tp791 +a(g6 +V\u000a +tp792 +a(g6 +V +tp793 +a(g138 +Vif +p794 +tp795 +a(g6 +V +tp796 +a(g212 +V( +tp797 +a(g45 +Vgetter +p798 +tp799 +a(g212 +V( +tp800 +a(g255 +V" +tp801 +a(g236 +Vs +tp802 +a(g236 +Vc +tp803 +a(g236 +Va +tp804 +a(g236 +Vn +tp805 +a(g236 +Vd +tp806 +a(g236 +Va +tp807 +a(g236 +Vt +tp808 +a(g236 +Va +tp809 +a(g236 +V. +tp810 +a(g236 +Vt +tp811 +a(g236 +Vo +tp812 +a(g236 +Vo +tp813 +a(g236 +Vl +tp814 +a(g236 +Vt +tp815 +a(g236 +Vi +tp816 +a(g236 +Vp +tp817 +a(g236 +V. +tp818 +a(g236 +Vd +tp819 +a(g236 +Vi +tp820 +a(g236 +Vs +tp821 +a(g236 +Vp +tp822 +a(g236 +Vl +tp823 +a(g236 +Va +tp824 +a(g236 +Vy +tp825 +a(g236 +V" +tp826 +a(g212 +V) +tp827 +a(g6 +V +tp828 +a(g357 +Vand +p829 +tp830 +a(g6 +V +tp831 +a(g45 +Vgetter +p832 +tp833 +a(g212 +V( +tp834 +a(g255 +V" +tp835 +a(g236 +Vs +tp836 +a(g236 +Vc +tp837 +a(g236 +Va +tp838 +a(g236 +Vn +tp839 +a(g236 +Vd +tp840 +a(g236 +Va +tp841 +a(g236 +Vt +tp842 +a(g236 +Va +tp843 +a(g236 +V. +tp844 +a(g236 +Vf +tp845 +a(g236 +Vo +tp846 +a(g236 +Vr +tp847 +a(g236 +Vc +tp848 +a(g236 +Ve +tp849 +a(g236 +V" +tp850 +a(g212 +V) +tp851 +a(g212 +V) +tp852 +a(g6 +V +tp853 +a(g138 +Vthen +p854 +tp855 +a(g6 +V\u000a +tp856 +a(g6 +V +tp857 +a(g6 +V +tp858 +a(g45 +VAucAdvanced +p859 +tp860 +a(g212 +V. +tp861 +a(g45 +VScan +p862 +tp863 +a(g212 +V. +tp864 +a(g45 +VGetImage +p865 +tp866 +a(g212 +V( +tp867 +a(g212 +V) +tp868 +a(g6 +V\u000a +tp869 +a(g6 +V +tp870 +a(g138 +Vend +p871 +tp872 +a(g6 +V\u000a +tp873 +a(g6 +V\u000a +tp874 +a(g6 +V +tp875 +a(g138 +Vfor +p876 +tp877 +a(g6 +V +tp878 +a(g45 +Vsystem +p879 +tp880 +a(g212 +V, +tp881 +a(g6 +V +tp882 +a(g45 +VsystemMods +p883 +tp884 +a(g6 +V +tp885 +a(g138 +Vin +p886 +tp887 +a(g6 +V +tp888 +a(g84 +Vpairs +p889 +tp890 +a(g212 +V( +tp891 +a(g45 +VAucAdvanced +p892 +tp893 +a(g212 +V. +tp894 +a(g45 +VModules +p895 +tp896 +a(g212 +V) +tp897 +a(g6 +V +tp898 +a(g138 +Vdo +p899 +tp900 +a(g6 +V\u000a +tp901 +a(g6 +V +tp902 +a(g6 +V +tp903 +a(g138 +Vfor +p904 +tp905 +a(g6 +V +tp906 +a(g45 +Vengine +p907 +tp908 +a(g212 +V, +tp909 +a(g6 +V +tp910 +a(g45 +VengineLib +p911 +tp912 +a(g6 +V +tp913 +a(g138 +Vin +p914 +tp915 +a(g6 +V +tp916 +a(g84 +Vpairs +p917 +tp918 +a(g212 +V( +tp919 +a(g45 +VsystemMods +p920 +tp921 +a(g212 +V) +tp922 +a(g6 +V +tp923 +a(g138 +Vdo +p924 +tp925 +a(g6 +V\u000a +tp926 +a(g6 +V +tp927 +a(g6 +V +tp928 +a(g6 +V +tp929 +a(g138 +Vif +p930 +tp931 +a(g6 +V +tp932 +a(g212 +V( +tp933 +a(g45 +VengineLib +p934 +tp935 +a(g212 +V. +tp936 +a(g45 +VProcessor +p937 +tp938 +a(g212 +V) +tp939 +a(g6 +V +tp940 +a(g138 +Vthen +p941 +tp942 +a(g6 +V +tp943 +a(g45 +VengineLib +p944 +tp945 +a(g212 +V. +tp946 +a(g45 +VProcessor +p947 +tp948 +a(g212 +V( +tp949 +a(g255 +V" +tp950 +a(g236 +Vt +tp951 +a(g236 +Vo +tp952 +a(g236 +Vo +tp953 +a(g236 +Vl +tp954 +a(g236 +Vt +tp955 +a(g236 +Vi +tp956 +a(g236 +Vp +tp957 +a(g236 +V" +tp958 +a(g212 +V, +tp959 +a(g6 +V +tp960 +a(g45 +Vframe +p961 +tp962 +a(g212 +V, +tp963 +a(g6 +V +tp964 +a(g45 +Vname +p965 +tp966 +a(g212 +V, +tp967 +a(g6 +V +tp968 +a(g45 +Vhyperlink +p969 +tp970 +a(g212 +V, +tp971 +a(g6 +V +tp972 +a(g45 +Vquality +p973 +tp974 +a(g212 +V, +tp975 +a(g6 +V +tp976 +a(g45 +Vquantity +p977 +tp978 +a(g212 +V, +tp979 +a(g6 +V +tp980 +a(g45 +Vcost +p981 +tp982 +a(g212 +V, +tp983 +a(g6 +V +tp984 +a(g45 +Vadditional +p985 +tp986 +a(g212 +V) +tp987 +a(g6 +V +tp988 +a(g138 +Vend +p989 +tp990 +a(g6 +V\u000a +tp991 +a(g6 +V +tp992 +a(g6 +V +tp993 +a(g138 +Vend +p994 +tp995 +a(g6 +V\u000a +tp996 +a(g6 +V +tp997 +a(g138 +Vend +p998 +tp999 +a(g6 +V\u000a +tp1000 +a(g138 +Vend +p1001 +tp1002 +a(g6 +V\u000a +tp1003 +a(g6 +V\u000a +tp1004 +a(g138 +Vfunction +p1005 +tp1006 +a(g6 +V +tp1007 +a(g48 +Vprivate +p1008 +tp1009 +a(g212 +V. +tp1010 +a(g45 +VHookAH +p1011 +tp1012 +a(g212 +V( +tp1013 +a(g212 +V) +tp1014 +a(g6 +V\u000a +tp1015 +a(g6 +V +tp1016 +a(g45 +Vhooksecurefunc +p1017 +tp1018 +a(g212 +V( +tp1019 +a(g255 +V" +tp1020 +a(g236 +VA +tp1021 +a(g236 +Vu +tp1022 +a(g236 +Vc +tp1023 +a(g236 +Vt +tp1024 +a(g236 +Vi +tp1025 +a(g236 +Vo +tp1026 +a(g236 +Vn +tp1027 +a(g236 +VF +tp1028 +a(g236 +Vr +tp1029 +a(g236 +Va +tp1030 +a(g236 +Vm +tp1031 +a(g236 +Ve +tp1032 +a(g236 +VB +tp1033 +a(g236 +Vr +tp1034 +a(g236 +Vo +tp1035 +a(g236 +Vw +tp1036 +a(g236 +Vs +tp1037 +a(g236 +Ve +tp1038 +a(g236 +V_ +tp1039 +a(g236 +VU +tp1040 +a(g236 +Vp +tp1041 +a(g236 +Vd +tp1042 +a(g236 +Va +tp1043 +a(g236 +Vt +tp1044 +a(g236 +Ve +tp1045 +a(g236 +V" +tp1046 +a(g212 +V, +tp1047 +a(g6 +V +tp1048 +a(g45 +VAucAdvanced +p1049 +tp1050 +a(g212 +V. +tp1051 +a(g45 +VAPI +p1052 +tp1053 +a(g212 +V. +tp1054 +a(g45 +VListUpdate +p1055 +tp1056 +a(g212 +V) +tp1057 +a(g6 +V\u000a +tp1058 +a(g6 +V +tp1059 +a(g138 +Vfor +p1060 +tp1061 +a(g6 +V +tp1062 +a(g45 +Vsystem +p1063 +tp1064 +a(g212 +V, +tp1065 +a(g6 +V +tp1066 +a(g45 +VsystemMods +p1067 +tp1068 +a(g6 +V +tp1069 +a(g138 +Vin +p1070 +tp1071 +a(g6 +V +tp1072 +a(g84 +Vpairs +p1073 +tp1074 +a(g212 +V( +tp1075 +a(g45 +VAucAdvanced +p1076 +tp1077 +a(g212 +V. +tp1078 +a(g45 +VModules +p1079 +tp1080 +a(g212 +V) +tp1081 +a(g6 +V +tp1082 +a(g138 +Vdo +p1083 +tp1084 +a(g6 +V\u000a +tp1085 +a(g6 +V +tp1086 +a(g6 +V +tp1087 +a(g138 +Vfor +p1088 +tp1089 +a(g6 +V +tp1090 +a(g45 +Vengine +p1091 +tp1092 +a(g212 +V, +tp1093 +a(g6 +V +tp1094 +a(g45 +VengineLib +p1095 +tp1096 +a(g6 +V +tp1097 +a(g138 +Vin +p1098 +tp1099 +a(g6 +V +tp1100 +a(g84 +Vpairs +p1101 +tp1102 +a(g212 +V( +tp1103 +a(g45 +VsystemMods +p1104 +tp1105 +a(g212 +V) +tp1106 +a(g6 +V +tp1107 +a(g138 +Vdo +p1108 +tp1109 +a(g6 +V\u000a +tp1110 +a(g6 +V +tp1111 +a(g6 +V +tp1112 +a(g6 +V +tp1113 +a(g138 +Vif +p1114 +tp1115 +a(g6 +V +tp1116 +a(g212 +V( +tp1117 +a(g45 +VengineLib +p1118 +tp1119 +a(g212 +V. +tp1120 +a(g45 +VProcessor +p1121 +tp1122 +a(g212 +V) +tp1123 +a(g6 +V +tp1124 +a(g138 +Vthen +p1125 +tp1126 +a(g6 +V\u000a +tp1127 +a(g6 +V +tp1128 +a(g6 +V +tp1129 +a(g6 +V +tp1130 +a(g6 +V +tp1131 +a(g45 +VengineLib +p1132 +tp1133 +a(g212 +V. +tp1134 +a(g45 +VProcessor +p1135 +tp1136 +a(g212 +V( +tp1137 +a(g255 +V" +tp1138 +a(g236 +Va +tp1139 +a(g236 +Vu +tp1140 +a(g236 +Vc +tp1141 +a(g236 +Vt +tp1142 +a(g236 +Vi +tp1143 +a(g236 +Vo +tp1144 +a(g236 +Vn +tp1145 +a(g236 +Vu +tp1146 +a(g236 +Vi +tp1147 +a(g236 +V" +tp1148 +a(g212 +V) +tp1149 +a(g6 +V\u000a +tp1150 +a(g6 +V +tp1151 +a(g6 +V +tp1152 +a(g6 +V +tp1153 +a(g138 +Vend +p1154 +tp1155 +a(g6 +V\u000a +tp1156 +a(g6 +V +tp1157 +a(g6 +V +tp1158 +a(g138 +Vend +p1159 +tp1160 +a(g6 +V\u000a +tp1161 +a(g6 +V +tp1162 +a(g138 +Vend +p1163 +tp1164 +a(g6 +V\u000a +tp1165 +a(g138 +Vend +p1166 +tp1167 +a(g6 +V\u000a +tp1168 +a(g6 +V\u000a +tp1169 +a(g138 +Vfunction +p1170 +tp1171 +a(g6 +V +tp1172 +a(g48 +Vprivate +p1173 +tp1174 +a(g212 +V. +tp1175 +a(g45 +VOnLoad +p1176 +tp1177 +a(g212 +V( +tp1178 +a(g45 +Vaddon +p1179 +tp1180 +a(g212 +V) +tp1181 +a(g6 +V\u000a +tp1182 +a(g6 +V +tp1183 +a(g45 +Vaddon +p1184 +tp1185 +a(g6 +V +tp1186 +a(g353 +V= +tp1187 +a(g6 +V +tp1188 +a(g45 +Vaddon +p1189 +tp1190 +a(g212 +V: +tp1191 +a(g45 +Vlower +p1192 +tp1193 +a(g212 +V( +tp1194 +a(g212 +V) +tp1195 +a(g6 +V\u000a +tp1196 +a(g6 +V\u000a +tp1197 +a(g6 +V +tp1198 +a(g31 +V-- Check if the actual addon itself is loading +p1199 +tp1200 +a(g6 +V\u000a +tp1201 +a(g6 +V +tp1202 +a(g138 +Vif +p1203 +tp1204 +a(g6 +V +tp1205 +a(g212 +V( +tp1206 +a(g45 +Vaddon +p1207 +tp1208 +a(g6 +V +tp1209 +a(g353 +V== +p1210 +tp1211 +a(g6 +V +tp1212 +a(g255 +V" +tp1213 +a(g236 +Va +tp1214 +a(g236 +Vu +tp1215 +a(g236 +Vc +tp1216 +a(g236 +V- +tp1217 +a(g236 +Va +tp1218 +a(g236 +Vd +tp1219 +a(g236 +Vv +tp1220 +a(g236 +Va +tp1221 +a(g236 +Vn +tp1222 +a(g236 +Vc +tp1223 +a(g236 +Ve +tp1224 +a(g236 +Vd +tp1225 +a(g236 +V" +tp1226 +a(g212 +V) +tp1227 +a(g6 +V +tp1228 +a(g138 +Vthen +p1229 +tp1230 +a(g6 +V\u000a +tp1231 +a(g6 +V +tp1232 +a(g6 +V +tp1233 +a(g45 +VStubby +p1234 +tp1235 +a(g212 +V. +tp1236 +a(g45 +VRegisterAddOnHook +p1237 +tp1238 +a(g212 +V( +tp1239 +a(g255 +V" +tp1240 +a(g236 +VB +tp1241 +a(g236 +Vl +tp1242 +a(g236 +Vi +tp1243 +a(g236 +Vz +tp1244 +a(g236 +Vz +tp1245 +a(g236 +Va +tp1246 +a(g236 +Vr +tp1247 +a(g236 +Vd +tp1248 +a(g236 +V_ +tp1249 +a(g236 +VA +tp1250 +a(g236 +Vu +tp1251 +a(g236 +Vc +tp1252 +a(g236 +Vt +tp1253 +a(g236 +Vi +tp1254 +a(g236 +Vo +tp1255 +a(g236 +Vn +tp1256 +a(g236 +VU +tp1257 +a(g236 +Vi +tp1258 +a(g236 +V" +tp1259 +a(g212 +V, +tp1260 +a(g6 +V +tp1261 +a(g255 +V" +tp1262 +a(g236 +VA +tp1263 +a(g236 +Vu +tp1264 +a(g236 +Vc +tp1265 +a(g236 +V- +tp1266 +a(g236 +VA +tp1267 +a(g236 +Vd +tp1268 +a(g236 +Vv +tp1269 +a(g236 +Va +tp1270 +a(g236 +Vn +tp1271 +a(g236 +Vc +tp1272 +a(g236 +Ve +tp1273 +a(g236 +Vd +tp1274 +a(g236 +V" +tp1275 +a(g212 +V, +tp1276 +a(g6 +V +tp1277 +a(g45 +Vprivate +p1278 +tp1279 +a(g212 +V. +tp1280 +a(g45 +VHookAH +p1281 +tp1282 +a(g212 +V) +tp1283 +a(g6 +V\u000a +tp1284 +a(g6 +V +tp1285 +a(g6 +V +tp1286 +a(g45 +VStubby +p1287 +tp1288 +a(g212 +V. +tp1289 +a(g45 +VRegisterFunctionHook +p1290 +tp1291 +a(g212 +V( +tp1292 +a(g255 +V" +tp1293 +a(g236 +VE +tp1294 +a(g236 +Vn +tp1295 +a(g236 +Vh +tp1296 +a(g236 +VT +tp1297 +a(g236 +Vo +tp1298 +a(g236 +Vo +tp1299 +a(g236 +Vl +tp1300 +a(g236 +Vt +tp1301 +a(g236 +Vi +tp1302 +a(g236 +Vp +tp1303 +a(g236 +V. +tp1304 +a(g236 +VA +tp1305 +a(g236 +Vd +tp1306 +a(g236 +Vd +tp1307 +a(g236 +VT +tp1308 +a(g236 +Vo +tp1309 +a(g236 +Vo +tp1310 +a(g236 +Vl +tp1311 +a(g236 +Vt +tp1312 +a(g236 +Vi +tp1313 +a(g236 +Vp +tp1314 +a(g236 +V" +tp1315 +a(g212 +V, +tp1316 +a(g6 +V +tp1317 +a(g328 +V600 +p1318 +tp1319 +a(g212 +V, +tp1320 +a(g6 +V +tp1321 +a(g45 +Vprivate +p1322 +tp1323 +a(g212 +V. +tp1324 +a(g45 +VTooltipHook +p1325 +tp1326 +a(g212 +V) +tp1327 +a(g6 +V\u000a +tp1328 +a(g6 +V +tp1329 +a(g6 +V +tp1330 +a(g138 +Vfor +p1331 +tp1332 +a(g6 +V +tp1333 +a(g45 +Vpos +p1334 +tp1335 +a(g212 +V, +tp1336 +a(g6 +V +tp1337 +a(g84 +Vmodule +p1338 +tp1339 +a(g6 +V +tp1340 +a(g138 +Vin +p1341 +tp1342 +a(g6 +V +tp1343 +a(g84 +Vipairs +p1344 +tp1345 +a(g212 +V( +tp1346 +a(g45 +VAucAdvanced +p1347 +tp1348 +a(g212 +V. +tp1349 +a(g45 +VEmbeddedModules +p1350 +tp1351 +a(g212 +V) +tp1352 +a(g6 +V +tp1353 +a(g138 +Vdo +p1354 +tp1355 +a(g6 +V\u000a +tp1356 +a(g6 +V +tp1357 +a(g6 +V +tp1358 +a(g6 +V +tp1359 +a(g31 +V-- These embedded modules have also just been loaded +p1360 +tp1361 +a(g6 +V\u000a +tp1362 +a(g6 +V +tp1363 +a(g6 +V +tp1364 +a(g6 +V +tp1365 +a(g45 +Vprivate +p1366 +tp1367 +a(g212 +V. +tp1368 +a(g45 +VOnLoad +p1369 +tp1370 +a(g212 +V( +tp1371 +a(g84 +Vmodule +p1372 +tp1373 +a(g212 +V) +tp1374 +a(g6 +V\u000a +tp1375 +a(g6 +V +tp1376 +a(g6 +V +tp1377 +a(g138 +Vend +p1378 +tp1379 +a(g6 +V\u000a +tp1380 +a(g6 +V +tp1381 +a(g138 +Vend +p1382 +tp1383 +a(g6 +V\u000a +tp1384 +a(g6 +V\u000a +tp1385 +a(g6 +V +tp1386 +a(g31 +V-- Notify the actual module if it exists +p1387 +tp1388 +a(g6 +V\u000a +tp1389 +a(g6 +V +tp1390 +a(g154 +Vlocal +p1391 +tp1392 +a(g6 +V +tp1393 +a(g45 +Vauc +p1394 +tp1395 +a(g212 +V, +tp1396 +a(g6 +V +tp1397 +a(g45 +Vsys +p1398 +tp1399 +a(g212 +V, +tp1400 +a(g6 +V +tp1401 +a(g45 +Veng +p1402 +tp1403 +a(g6 +V +tp1404 +a(g353 +V= +tp1405 +a(g6 +V +tp1406 +a(g45 +Vstrsplit +p1407 +tp1408 +a(g212 +V( +tp1409 +a(g255 +V" +tp1410 +a(g236 +V- +tp1411 +a(g236 +V" +tp1412 +a(g212 +V, +tp1413 +a(g6 +V +tp1414 +a(g45 +Vaddon +p1415 +tp1416 +a(g212 +V) +tp1417 +a(g6 +V\u000a +tp1418 +a(g6 +V +tp1419 +a(g138 +Vif +p1420 +tp1421 +a(g6 +V +tp1422 +a(g212 +V( +tp1423 +a(g45 +Vauc +p1424 +tp1425 +a(g6 +V +tp1426 +a(g353 +V== +p1427 +tp1428 +a(g6 +V +tp1429 +a(g255 +V" +tp1430 +a(g236 +Va +tp1431 +a(g236 +Vu +tp1432 +a(g236 +Vc +tp1433 +a(g236 +V" +tp1434 +a(g6 +V +tp1435 +a(g357 +Vand +p1436 +tp1437 +a(g6 +V +tp1438 +a(g45 +Vsys +p1439 +tp1440 +a(g6 +V +tp1441 +a(g357 +Vand +p1442 +tp1443 +a(g6 +V +tp1444 +a(g45 +Veng +p1445 +tp1446 +a(g212 +V) +tp1447 +a(g6 +V +tp1448 +a(g138 +Vthen +p1449 +tp1450 +a(g6 +V\u000a +tp1451 +a(g6 +V +tp1452 +a(g6 +V +tp1453 +a(g138 +Vfor +p1454 +tp1455 +a(g6 +V +tp1456 +a(g45 +Vsystem +p1457 +tp1458 +a(g212 +V, +tp1459 +a(g6 +V +tp1460 +a(g45 +VsystemMods +p1461 +tp1462 +a(g6 +V +tp1463 +a(g138 +Vin +p1464 +tp1465 +a(g6 +V +tp1466 +a(g84 +Vpairs +p1467 +tp1468 +a(g212 +V( +tp1469 +a(g45 +VAucAdvanced +p1470 +tp1471 +a(g212 +V. +tp1472 +a(g45 +VModules +p1473 +tp1474 +a(g212 +V) +tp1475 +a(g6 +V +tp1476 +a(g138 +Vdo +p1477 +tp1478 +a(g6 +V\u000a +tp1479 +a(g6 +V +tp1480 +a(g6 +V +tp1481 +a(g6 +V +tp1482 +a(g138 +Vif +p1483 +tp1484 +a(g6 +V +tp1485 +a(g212 +V( +tp1486 +a(g45 +Vsys +p1487 +tp1488 +a(g6 +V +tp1489 +a(g353 +V== +p1490 +tp1491 +a(g6 +V +tp1492 +a(g45 +Vsystem +p1493 +tp1494 +a(g212 +V: +tp1495 +a(g45 +Vlower +p1496 +tp1497 +a(g212 +V( +tp1498 +a(g212 +V) +tp1499 +a(g212 +V) +tp1500 +a(g6 +V +tp1501 +a(g138 +Vthen +p1502 +tp1503 +a(g6 +V\u000a +tp1504 +a(g6 +V +tp1505 +a(g6 +V +tp1506 +a(g6 +V +tp1507 +a(g6 +V +tp1508 +a(g138 +Vfor +p1509 +tp1510 +a(g6 +V +tp1511 +a(g45 +Vengine +p1512 +tp1513 +a(g212 +V, +tp1514 +a(g6 +V +tp1515 +a(g45 +VengineLib +p1516 +tp1517 +a(g6 +V +tp1518 +a(g138 +Vin +p1519 +tp1520 +a(g6 +V +tp1521 +a(g84 +Vpairs +p1522 +tp1523 +a(g212 +V( +tp1524 +a(g45 +VsystemMods +p1525 +tp1526 +a(g212 +V) +tp1527 +a(g6 +V +tp1528 +a(g138 +Vdo +p1529 +tp1530 +a(g6 +V\u000a +tp1531 +a(g6 +V +tp1532 +a(g6 +V +tp1533 +a(g6 +V +tp1534 +a(g6 +V +tp1535 +a(g6 +V +tp1536 +a(g138 +Vif +p1537 +tp1538 +a(g6 +V +tp1539 +a(g212 +V( +tp1540 +a(g45 +Veng +p1541 +tp1542 +a(g6 +V +tp1543 +a(g353 +V== +p1544 +tp1545 +a(g6 +V +tp1546 +a(g45 +Vengine +p1547 +tp1548 +a(g212 +V: +tp1549 +a(g45 +Vlower +p1550 +tp1551 +a(g212 +V( +tp1552 +a(g212 +V) +tp1553 +a(g6 +V +tp1554 +a(g357 +Vand +p1555 +tp1556 +a(g6 +V +tp1557 +a(g45 +VengineLib +p1558 +tp1559 +a(g212 +V. +tp1560 +a(g45 +VOnLoad +p1561 +tp1562 +a(g212 +V) +tp1563 +a(g6 +V +tp1564 +a(g138 +Vthen +p1565 +tp1566 +a(g6 +V\u000a +tp1567 +a(g6 +V +tp1568 +a(g6 +V +tp1569 +a(g6 +V +tp1570 +a(g6 +V +tp1571 +a(g6 +V +tp1572 +a(g6 +V +tp1573 +a(g45 +VengineLib +p1574 +tp1575 +a(g212 +V. +tp1576 +a(g45 +VOnLoad +p1577 +tp1578 +a(g212 +V( +tp1579 +a(g45 +Vaddon +p1580 +tp1581 +a(g212 +V) +tp1582 +a(g6 +V\u000a +tp1583 +a(g6 +V +tp1584 +a(g6 +V +tp1585 +a(g6 +V +tp1586 +a(g6 +V +tp1587 +a(g6 +V +tp1588 +a(g138 +Vend +p1589 +tp1590 +a(g6 +V\u000a +tp1591 +a(g6 +V +tp1592 +a(g6 +V +tp1593 +a(g6 +V +tp1594 +a(g6 +V +tp1595 +a(g138 +Vend +p1596 +tp1597 +a(g6 +V\u000a +tp1598 +a(g6 +V +tp1599 +a(g6 +V +tp1600 +a(g6 +V +tp1601 +a(g138 +Vend +p1602 +tp1603 +a(g6 +V\u000a +tp1604 +a(g6 +V +tp1605 +a(g6 +V +tp1606 +a(g138 +Vend +p1607 +tp1608 +a(g6 +V\u000a +tp1609 +a(g6 +V +tp1610 +a(g138 +Vend +p1611 +tp1612 +a(g6 +V\u000a +tp1613 +a(g6 +V\u000a +tp1614 +a(g6 +V +tp1615 +a(g31 +V-- Check all modules' load triggers and pass event to processors +p1616 +tp1617 +a(g6 +V\u000a +tp1618 +a(g6 +V +tp1619 +a(g138 +Vfor +p1620 +tp1621 +a(g6 +V +tp1622 +a(g45 +Vsystem +p1623 +tp1624 +a(g212 +V, +tp1625 +a(g6 +V +tp1626 +a(g45 +VsystemMods +p1627 +tp1628 +a(g6 +V +tp1629 +a(g138 +Vin +p1630 +tp1631 +a(g6 +V +tp1632 +a(g84 +Vpairs +p1633 +tp1634 +a(g212 +V( +tp1635 +a(g45 +VAucAdvanced +p1636 +tp1637 +a(g212 +V. +tp1638 +a(g45 +VModules +p1639 +tp1640 +a(g212 +V) +tp1641 +a(g6 +V +tp1642 +a(g138 +Vdo +p1643 +tp1644 +a(g6 +V\u000a +tp1645 +a(g6 +V +tp1646 +a(g6 +V +tp1647 +a(g138 +Vfor +p1648 +tp1649 +a(g6 +V +tp1650 +a(g45 +Vengine +p1651 +tp1652 +a(g212 +V, +tp1653 +a(g6 +V +tp1654 +a(g45 +VengineLib +p1655 +tp1656 +a(g6 +V +tp1657 +a(g138 +Vin +p1658 +tp1659 +a(g6 +V +tp1660 +a(g84 +Vpairs +p1661 +tp1662 +a(g212 +V( +tp1663 +a(g45 +VsystemMods +p1664 +tp1665 +a(g212 +V) +tp1666 +a(g6 +V +tp1667 +a(g138 +Vdo +p1668 +tp1669 +a(g6 +V\u000a +tp1670 +a(g6 +V +tp1671 +a(g6 +V +tp1672 +a(g6 +V +tp1673 +a(g138 +Vif +p1674 +tp1675 +a(g6 +V +tp1676 +a(g212 +V( +tp1677 +a(g45 +VengineLib +p1678 +tp1679 +a(g212 +V. +tp1680 +a(g45 +VLoadTriggers +p1681 +tp1682 +a(g6 +V +tp1683 +a(g357 +Vand +p1684 +tp1685 +a(g6 +V +tp1686 +a(g45 +VengineLib +p1687 +tp1688 +a(g212 +V. +tp1689 +a(g45 +VLoadTriggers +p1690 +tp1691 +a(g212 +V[ +tp1692 +a(g45 +Vaddon +p1693 +tp1694 +a(g212 +V] +tp1695 +a(g212 +V) +tp1696 +a(g6 +V +tp1697 +a(g138 +Vthen +p1698 +tp1699 +a(g6 +V\u000a +tp1700 +a(g6 +V +tp1701 +a(g6 +V +tp1702 +a(g6 +V +tp1703 +a(g6 +V +tp1704 +a(g138 +Vif +p1705 +tp1706 +a(g6 +V +tp1707 +a(g212 +V( +tp1708 +a(g45 +VengineLib +p1709 +tp1710 +a(g212 +V. +tp1711 +a(g45 +VOnLoad +p1712 +tp1713 +a(g212 +V) +tp1714 +a(g6 +V +tp1715 +a(g138 +Vthen +p1716 +tp1717 +a(g6 +V\u000a +tp1718 +a(g6 +V +tp1719 +a(g6 +V +tp1720 +a(g6 +V +tp1721 +a(g6 +V +tp1722 +a(g6 +V +tp1723 +a(g45 +VengineLib +p1724 +tp1725 +a(g212 +V. +tp1726 +a(g45 +VOnLoad +p1727 +tp1728 +a(g212 +V( +tp1729 +a(g45 +Vaddon +p1730 +tp1731 +a(g212 +V) +tp1732 +a(g6 +V\u000a +tp1733 +a(g6 +V +tp1734 +a(g6 +V +tp1735 +a(g6 +V +tp1736 +a(g6 +V +tp1737 +a(g138 +Vend +p1738 +tp1739 +a(g6 +V\u000a +tp1740 +a(g6 +V +tp1741 +a(g6 +V +tp1742 +a(g6 +V +tp1743 +a(g138 +Vend +p1744 +tp1745 +a(g6 +V\u000a +tp1746 +a(g6 +V +tp1747 +a(g6 +V +tp1748 +a(g6 +V +tp1749 +a(g138 +Vif +p1750 +tp1751 +a(g6 +V +tp1752 +a(g212 +V( +tp1753 +a(g45 +VengineLib +p1754 +tp1755 +a(g212 +V. +tp1756 +a(g45 +VProcessor +p1757 +tp1758 +a(g6 +V +tp1759 +a(g357 +Vand +p1760 +tp1761 +a(g6 +V +tp1762 +a(g45 +Vauc +p1763 +tp1764 +a(g6 +V +tp1765 +a(g353 +V== +p1766 +tp1767 +a(g6 +V +tp1768 +a(g255 +V" +tp1769 +a(g236 +Va +tp1770 +a(g236 +Vu +tp1771 +a(g236 +Vc +tp1772 +a(g236 +V" +tp1773 +a(g6 +V +tp1774 +a(g357 +Vand +p1775 +tp1776 +a(g6 +V +tp1777 +a(g45 +Vsys +p1778 +tp1779 +a(g6 +V +tp1780 +a(g357 +Vand +p1781 +tp1782 +a(g6 +V +tp1783 +a(g45 +Veng +p1784 +tp1785 +a(g212 +V) +tp1786 +a(g6 +V +tp1787 +a(g138 +Vthen +p1788 +tp1789 +a(g6 +V\u000a +tp1790 +a(g6 +V +tp1791 +a(g6 +V +tp1792 +a(g6 +V +tp1793 +a(g6 +V +tp1794 +a(g45 +VengineLib +p1795 +tp1796 +a(g212 +V. +tp1797 +a(g45 +VProcessor +p1798 +tp1799 +a(g212 +V( +tp1800 +a(g255 +V" +tp1801 +a(g236 +Vl +tp1802 +a(g236 +Vo +tp1803 +a(g236 +Va +tp1804 +a(g236 +Vd +tp1805 +a(g236 +V" +tp1806 +a(g212 +V, +tp1807 +a(g6 +V +tp1808 +a(g45 +Vaddon +p1809 +tp1810 +a(g212 +V) +tp1811 +a(g6 +V\u000a +tp1812 +a(g6 +V +tp1813 +a(g6 +V +tp1814 +a(g6 +V +tp1815 +a(g138 +Vend +p1816 +tp1817 +a(g6 +V\u000a +tp1818 +a(g6 +V +tp1819 +a(g6 +V +tp1820 +a(g138 +Vend +p1821 +tp1822 +a(g6 +V\u000a +tp1823 +a(g6 +V +tp1824 +a(g138 +Vend +p1825 +tp1826 +a(g6 +V\u000a +tp1827 +a(g138 +Vend +p1828 +tp1829 +a(g6 +V\u000a +tp1830 +a(g6 +V\u000a +tp1831 +a(g138 +Vfunction +p1832 +tp1833 +a(g6 +V +tp1834 +a(g48 +Vprivate +p1835 +tp1836 +a(g212 +V. +tp1837 +a(g45 +VOnUnload +p1838 +tp1839 +a(g212 +V( +tp1840 +a(g212 +V) +tp1841 +a(g6 +V\u000a +tp1842 +a(g6 +V +tp1843 +a(g138 +Vfor +p1844 +tp1845 +a(g6 +V +tp1846 +a(g45 +Vsystem +p1847 +tp1848 +a(g212 +V, +tp1849 +a(g6 +V +tp1850 +a(g45 +VsystemMods +p1851 +tp1852 +a(g6 +V +tp1853 +a(g138 +Vin +p1854 +tp1855 +a(g6 +V +tp1856 +a(g84 +Vpairs +p1857 +tp1858 +a(g212 +V( +tp1859 +a(g45 +VAucAdvanced +p1860 +tp1861 +a(g212 +V. +tp1862 +a(g45 +VModules +p1863 +tp1864 +a(g212 +V) +tp1865 +a(g6 +V +tp1866 +a(g138 +Vdo +p1867 +tp1868 +a(g6 +V\u000a +tp1869 +a(g6 +V +tp1870 +a(g6 +V +tp1871 +a(g138 +Vfor +p1872 +tp1873 +a(g6 +V +tp1874 +a(g45 +Vengine +p1875 +tp1876 +a(g212 +V, +tp1877 +a(g6 +V +tp1878 +a(g45 +VengineLib +p1879 +tp1880 +a(g6 +V +tp1881 +a(g138 +Vin +p1882 +tp1883 +a(g6 +V +tp1884 +a(g84 +Vpairs +p1885 +tp1886 +a(g212 +V( +tp1887 +a(g45 +VsystemMods +p1888 +tp1889 +a(g212 +V) +tp1890 +a(g6 +V +tp1891 +a(g138 +Vdo +p1892 +tp1893 +a(g6 +V\u000a +tp1894 +a(g6 +V +tp1895 +a(g6 +V +tp1896 +a(g6 +V +tp1897 +a(g138 +Vif +p1898 +tp1899 +a(g6 +V +tp1900 +a(g212 +V( +tp1901 +a(g45 +VengineLib +p1902 +tp1903 +a(g212 +V. +tp1904 +a(g45 +VOnUnload +p1905 +tp1906 +a(g212 +V) +tp1907 +a(g6 +V +tp1908 +a(g138 +Vthen +p1909 +tp1910 +a(g6 +V\u000a +tp1911 +a(g6 +V +tp1912 +a(g6 +V +tp1913 +a(g6 +V +tp1914 +a(g6 +V +tp1915 +a(g45 +VengineLib +p1916 +tp1917 +a(g212 +V. +tp1918 +a(g45 +VOnUnload +p1919 +tp1920 +a(g212 +V( +tp1921 +a(g212 +V) +tp1922 +a(g6 +V\u000a +tp1923 +a(g6 +V +tp1924 +a(g6 +V +tp1925 +a(g6 +V +tp1926 +a(g138 +Vend +p1927 +tp1928 +a(g6 +V\u000a +tp1929 +a(g6 +V +tp1930 +a(g6 +V +tp1931 +a(g138 +Vend +p1932 +tp1933 +a(g6 +V\u000a +tp1934 +a(g6 +V +tp1935 +a(g138 +Vend +p1936 +tp1937 +a(g6 +V\u000a +tp1938 +a(g138 +Vend +p1939 +tp1940 +a(g6 +V\u000a +tp1941 +a(g6 +V\u000a +tp1942 +a(g45 +Vprivate +p1943 +tp1944 +a(g212 +V. +tp1945 +a(g45 +VSchedule +p1946 +tp1947 +a(g6 +V +tp1948 +a(g353 +V= +tp1949 +a(g6 +V +tp1950 +a(g212 +V{ +tp1951 +a(g212 +V} +tp1952 +a(g6 +V\u000a +tp1953 +a(g138 +Vfunction +p1954 +tp1955 +a(g6 +V +tp1956 +a(g48 +Vprivate +p1957 +tp1958 +a(g212 +V. +tp1959 +a(g45 +VOnEvent +p1960 +tp1961 +a(g212 +V( +tp1962 +a(g212 +V. +tp1963 +a(g212 +V. +tp1964 +a(g212 +V. +tp1965 +a(g212 +V) +tp1966 +a(g6 +V\u000a +tp1967 +a(g6 +V +tp1968 +a(g154 +Vlocal +p1969 +tp1970 +a(g6 +V +tp1971 +a(g45 +Vevent +p1972 +tp1973 +a(g212 +V, +tp1974 +a(g6 +V +tp1975 +a(g45 +Varg +p1976 +tp1977 +a(g6 +V +tp1978 +a(g353 +V= +tp1979 +a(g6 +V +tp1980 +a(g84 +Vselect +p1981 +tp1982 +a(g212 +V( +tp1983 +a(g328 +V2 +tp1984 +a(g212 +V, +tp1985 +a(g6 +V +tp1986 +a(g212 +V. +tp1987 +a(g212 +V. +tp1988 +a(g212 +V. +tp1989 +a(g212 +V) +tp1990 +a(g6 +V\u000a +tp1991 +a(g6 +V +tp1992 +a(g138 +Vif +p1993 +tp1994 +a(g6 +V +tp1995 +a(g212 +V( +tp1996 +a(g45 +Vevent +p1997 +tp1998 +a(g6 +V +tp1999 +a(g353 +V== +p2000 +tp2001 +a(g6 +V +tp2002 +a(g255 +V" +tp2003 +a(g236 +VA +tp2004 +a(g236 +VD +tp2005 +a(g236 +VD +tp2006 +a(g236 +VO +tp2007 +a(g236 +VN +tp2008 +a(g236 +V_ +tp2009 +a(g236 +VL +tp2010 +a(g236 +VO +tp2011 +a(g236 +VA +tp2012 +a(g236 +VD +tp2013 +a(g236 +VE +tp2014 +a(g236 +VD +tp2015 +a(g236 +V" +tp2016 +a(g212 +V) +tp2017 +a(g6 +V +tp2018 +a(g138 +Vthen +p2019 +tp2020 +a(g6 +V\u000a +tp2021 +a(g6 +V +tp2022 +a(g6 +V +tp2023 +a(g154 +Vlocal +p2024 +tp2025 +a(g6 +V +tp2026 +a(g45 +Vaddon +p2027 +tp2028 +a(g6 +V +tp2029 +a(g353 +V= +tp2030 +a(g6 +V +tp2031 +a(g84 +Vstring.lower +p2032 +tp2033 +a(g212 +V( +tp2034 +a(g45 +Varg +p2035 +tp2036 +a(g212 +V) +tp2037 +a(g6 +V\u000a +tp2038 +a(g6 +V +tp2039 +a(g6 +V +tp2040 +a(g138 +Vif +p2041 +tp2042 +a(g6 +V +tp2043 +a(g212 +V( +tp2044 +a(g45 +Vaddon +p2045 +tp2046 +a(g212 +V: +tp2047 +a(g45 +Vsub +p2048 +tp2049 +a(g212 +V( +tp2050 +a(g328 +V1 +tp2051 +a(g212 +V, +tp2052 +a(g328 +V4 +tp2053 +a(g212 +V) +tp2054 +a(g6 +V +tp2055 +a(g353 +V== +p2056 +tp2057 +a(g6 +V +tp2058 +a(g255 +V" +tp2059 +a(g236 +Va +tp2060 +a(g236 +Vu +tp2061 +a(g236 +Vc +tp2062 +a(g236 +V- +tp2063 +a(g236 +V" +tp2064 +a(g212 +V) +tp2065 +a(g6 +V +tp2066 +a(g138 +Vthen +p2067 +tp2068 +a(g6 +V\u000a +tp2069 +a(g6 +V +tp2070 +a(g6 +V +tp2071 +a(g6 +V +tp2072 +a(g45 +Vprivate +p2073 +tp2074 +a(g212 +V. +tp2075 +a(g45 +VOnLoad +p2076 +tp2077 +a(g212 +V( +tp2078 +a(g45 +Vaddon +p2079 +tp2080 +a(g212 +V) +tp2081 +a(g6 +V\u000a +tp2082 +a(g6 +V +tp2083 +a(g6 +V +tp2084 +a(g138 +Vend +p2085 +tp2086 +a(g6 +V\u000a +tp2087 +a(g6 +V +tp2088 +a(g138 +Velseif +p2089 +tp2090 +a(g6 +V +tp2091 +a(g212 +V( +tp2092 +a(g45 +Vevent +p2093 +tp2094 +a(g6 +V +tp2095 +a(g353 +V== +p2096 +tp2097 +a(g6 +V +tp2098 +a(g255 +V" +tp2099 +a(g236 +VA +tp2100 +a(g236 +VU +tp2101 +a(g236 +VC +tp2102 +a(g236 +VT +tp2103 +a(g236 +VI +tp2104 +a(g236 +VO +tp2105 +a(g236 +VN +tp2106 +a(g236 +V_ +tp2107 +a(g236 +VH +tp2108 +a(g236 +VO +tp2109 +a(g236 +VU +tp2110 +a(g236 +VS +tp2111 +a(g236 +VE +tp2112 +a(g236 +V_ +tp2113 +a(g236 +VS +tp2114 +a(g236 +VH +tp2115 +a(g236 +VO +tp2116 +a(g236 +VW +tp2117 +a(g236 +V" +tp2118 +a(g212 +V) +tp2119 +a(g6 +V +tp2120 +a(g138 +Vthen +p2121 +tp2122 +a(g6 +V\u000a +tp2123 +a(g6 +V +tp2124 +a(g6 +V +tp2125 +a(g31 +V-- Do Nothing for now +p2126 +tp2127 +a(g6 +V\u000a +tp2128 +a(g6 +V +tp2129 +a(g138 +Velseif +p2130 +tp2131 +a(g6 +V +tp2132 +a(g212 +V( +tp2133 +a(g45 +Vevent +p2134 +tp2135 +a(g6 +V +tp2136 +a(g353 +V== +p2137 +tp2138 +a(g6 +V +tp2139 +a(g255 +V" +tp2140 +a(g236 +VA +tp2141 +a(g236 +VU +tp2142 +a(g236 +VC +tp2143 +a(g236 +VT +tp2144 +a(g236 +VI +tp2145 +a(g236 +VO +tp2146 +a(g236 +VN +tp2147 +a(g236 +V_ +tp2148 +a(g236 +VH +tp2149 +a(g236 +VO +tp2150 +a(g236 +VU +tp2151 +a(g236 +VS +tp2152 +a(g236 +VE +tp2153 +a(g236 +V_ +tp2154 +a(g236 +VC +tp2155 +a(g236 +VL +tp2156 +a(g236 +VO +tp2157 +a(g236 +VS +tp2158 +a(g236 +VE +tp2159 +a(g236 +VD +tp2160 +a(g236 +V" +tp2161 +a(g212 +V) +tp2162 +a(g6 +V +tp2163 +a(g138 +Vthen +p2164 +tp2165 +a(g6 +V\u000a +tp2166 +a(g6 +V +tp2167 +a(g6 +V +tp2168 +a(g45 +VAucAdvanced +p2169 +tp2170 +a(g212 +V. +tp2171 +a(g45 +VScan +p2172 +tp2173 +a(g212 +V. +tp2174 +a(g45 +VInterrupt +p2175 +tp2176 +a(g212 +V( +tp2177 +a(g212 +V) +tp2178 +a(g6 +V\u000a +tp2179 +a(g6 +V +tp2180 +a(g138 +Velseif +p2181 +tp2182 +a(g6 +V +tp2183 +a(g212 +V( +tp2184 +a(g45 +Vevent +p2185 +tp2186 +a(g6 +V +tp2187 +a(g353 +V== +p2188 +tp2189 +a(g6 +V +tp2190 +a(g255 +V" +tp2191 +a(g236 +VP +tp2192 +a(g236 +VL +tp2193 +a(g236 +VA +tp2194 +a(g236 +VY +tp2195 +a(g236 +VE +tp2196 +a(g236 +VR +tp2197 +a(g236 +V_ +tp2198 +a(g236 +VL +tp2199 +a(g236 +VO +tp2200 +a(g236 +VG +tp2201 +a(g236 +VO +tp2202 +a(g236 +VU +tp2203 +a(g236 +VT +tp2204 +a(g236 +V" +tp2205 +a(g212 +V) +tp2206 +a(g6 +V +tp2207 +a(g138 +Vthen +p2208 +tp2209 +a(g6 +V\u000a +tp2210 +a(g6 +V +tp2211 +a(g6 +V +tp2212 +a(g45 +VAucAdvanced +p2213 +tp2214 +a(g212 +V. +tp2215 +a(g45 +VScan +p2216 +tp2217 +a(g212 +V. +tp2218 +a(g45 +VCommit +p2219 +tp2220 +a(g212 +V( +tp2221 +a(g140 +Vtrue +p2222 +tp2223 +a(g212 +V) +tp2224 +a(g6 +V\u000a +tp2225 +a(g6 +V +tp2226 +a(g6 +V +tp2227 +a(g45 +Vprivate +p2228 +tp2229 +a(g212 +V. +tp2230 +a(g45 +VOnUnload +p2231 +tp2232 +a(g212 +V( +tp2233 +a(g212 +V) +tp2234 +a(g6 +V\u000a +tp2235 +a(g6 +V +tp2236 +a(g138 +Velseif +p2237 +tp2238 +a(g6 +V +tp2239 +a(g45 +Vevent +p2240 +tp2241 +a(g6 +V +tp2242 +a(g353 +V== +p2243 +tp2244 +a(g6 +V +tp2245 +a(g255 +V" +tp2246 +a(g236 +VU +tp2247 +a(g236 +VN +tp2248 +a(g236 +VI +tp2249 +a(g236 +VT +tp2250 +a(g236 +V_ +tp2251 +a(g236 +VI +tp2252 +a(g236 +VN +tp2253 +a(g236 +VV +tp2254 +a(g236 +VE +tp2255 +a(g236 +VN +tp2256 +a(g236 +VT +tp2257 +a(g236 +VO +tp2258 +a(g236 +VR +tp2259 +a(g236 +VY +tp2260 +a(g236 +V_ +tp2261 +a(g236 +VC +tp2262 +a(g236 +VH +tp2263 +a(g236 +VA +tp2264 +a(g236 +VN +tp2265 +a(g236 +VG +tp2266 +a(g236 +VE +tp2267 +a(g236 +VD +tp2268 +a(g236 +V" +tp2269 +a(g6 +V\u000a +tp2270 +a(g6 +V +tp2271 +a(g357 +Vor +p2272 +tp2273 +a(g6 +V +tp2274 +a(g45 +Vevent +p2275 +tp2276 +a(g6 +V +tp2277 +a(g353 +V== +p2278 +tp2279 +a(g6 +V +tp2280 +a(g255 +V" +tp2281 +a(g236 +VI +tp2282 +a(g236 +VT +tp2283 +a(g236 +VE +tp2284 +a(g236 +VM +tp2285 +a(g236 +V_ +tp2286 +a(g236 +VL +tp2287 +a(g236 +VO +tp2288 +a(g236 +VC +tp2289 +a(g236 +VK +tp2290 +a(g236 +V_ +tp2291 +a(g236 +VC +tp2292 +a(g236 +VH +tp2293 +a(g236 +VA +tp2294 +a(g236 +VN +tp2295 +a(g236 +VG +tp2296 +a(g236 +VE +tp2297 +a(g236 +VD +tp2298 +a(g236 +V" +tp2299 +a(g6 +V\u000a +tp2300 +a(g6 +V +tp2301 +a(g357 +Vor +p2302 +tp2303 +a(g6 +V +tp2304 +a(g45 +Vevent +p2305 +tp2306 +a(g6 +V +tp2307 +a(g353 +V== +p2308 +tp2309 +a(g6 +V +tp2310 +a(g255 +V" +tp2311 +a(g236 +VC +tp2312 +a(g236 +VU +tp2313 +a(g236 +VR +tp2314 +a(g236 +VS +tp2315 +a(g236 +VO +tp2316 +a(g236 +VR +tp2317 +a(g236 +V_ +tp2318 +a(g236 +VU +tp2319 +a(g236 +VP +tp2320 +a(g236 +VD +tp2321 +a(g236 +VA +tp2322 +a(g236 +VT +tp2323 +a(g236 +VE +tp2324 +a(g236 +V" +tp2325 +a(g6 +V\u000a +tp2326 +a(g6 +V +tp2327 +a(g357 +Vor +p2328 +tp2329 +a(g6 +V +tp2330 +a(g45 +Vevent +p2331 +tp2332 +a(g6 +V +tp2333 +a(g353 +V== +p2334 +tp2335 +a(g6 +V +tp2336 +a(g255 +V" +tp2337 +a(g236 +VB +tp2338 +a(g236 +VA +tp2339 +a(g236 +VG +tp2340 +a(g236 +V_ +tp2341 +a(g236 +VU +tp2342 +a(g236 +VP +tp2343 +a(g236 +VD +tp2344 +a(g236 +VA +tp2345 +a(g236 +VT +tp2346 +a(g236 +VE +tp2347 +a(g236 +V" +tp2348 +a(g6 +V\u000a +tp2349 +a(g6 +V +tp2350 +a(g138 +Vthen +p2351 +tp2352 +a(g6 +V\u000a +tp2353 +a(g6 +V +tp2354 +a(g6 +V +tp2355 +a(g45 +Vprivate +p2356 +tp2357 +a(g212 +V. +tp2358 +a(g45 +VSchedule +p2359 +tp2360 +a(g212 +V[ +tp2361 +a(g255 +V" +tp2362 +a(g236 +Vi +tp2363 +a(g236 +Vn +tp2364 +a(g236 +Vv +tp2365 +a(g236 +Ve +tp2366 +a(g236 +Vn +tp2367 +a(g236 +Vt +tp2368 +a(g236 +Vo +tp2369 +a(g236 +Vr +tp2370 +a(g236 +Vy +tp2371 +a(g236 +V" +tp2372 +a(g212 +V] +tp2373 +a(g6 +V +tp2374 +a(g353 +V= +tp2375 +a(g6 +V +tp2376 +a(g45 +VGetTime +p2377 +tp2378 +a(g212 +V( +tp2379 +a(g212 +V) +tp2380 +a(g6 +V +tp2381 +a(g353 +V+ +tp2382 +a(g6 +V +tp2383 +a(g320 +V0.15 +p2384 +tp2385 +a(g6 +V\u000a +tp2386 +a(g6 +V +tp2387 +a(g138 +Vend +p2388 +tp2389 +a(g6 +V\u000a +tp2390 +a(g138 +Vend +p2391 +tp2392 +a(g6 +V\u000a +tp2393 +a(g6 +V\u000a +tp2394 +a(g138 +Vfunction +p2395 +tp2396 +a(g6 +V +tp2397 +a(g48 +Vprivate +p2398 +tp2399 +a(g212 +V. +tp2400 +a(g45 +VOnUpdate +p2401 +tp2402 +a(g212 +V( +tp2403 +a(g212 +V. +tp2404 +a(g212 +V. +tp2405 +a(g212 +V. +tp2406 +a(g212 +V) +tp2407 +a(g6 +V\u000a +tp2408 +a(g6 +V +tp2409 +a(g138 +Vif +p2410 +tp2411 +a(g6 +V +tp2412 +a(g45 +Vevent +p2413 +tp2414 +a(g6 +V +tp2415 +a(g353 +V== +p2416 +tp2417 +a(g6 +V +tp2418 +a(g255 +V" +tp2419 +a(g236 +Vi +tp2420 +a(g236 +Vn +tp2421 +a(g236 +Vv +tp2422 +a(g236 +Ve +tp2423 +a(g236 +Vn +tp2424 +a(g236 +Vt +tp2425 +a(g236 +Vo +tp2426 +a(g236 +Vr +tp2427 +a(g236 +Vy +tp2428 +a(g236 +V" +tp2429 +a(g6 +V +tp2430 +a(g138 +Vthen +p2431 +tp2432 +a(g6 +V\u000a +tp2433 +a(g6 +V +tp2434 +a(g6 +V +tp2435 +a(g45 +VAucAdvanced +p2436 +tp2437 +a(g212 +V. +tp2438 +a(g45 +VPost +p2439 +tp2440 +a(g212 +V. +tp2441 +a(g45 +VAlertBagsChanged +p2442 +tp2443 +a(g212 +V( +tp2444 +a(g212 +V) +tp2445 +a(g6 +V\u000a +tp2446 +a(g6 +V +tp2447 +a(g138 +Vend +p2448 +tp2449 +a(g6 +V\u000a +tp2450 +a(g6 +V\u000a +tp2451 +a(g6 +V +tp2452 +a(g154 +Vlocal +p2453 +tp2454 +a(g6 +V +tp2455 +a(g45 +Vnow +p2456 +tp2457 +a(g6 +V +tp2458 +a(g353 +V= +tp2459 +a(g6 +V +tp2460 +a(g45 +VGetTime +p2461 +tp2462 +a(g212 +V( +tp2463 +a(g212 +V) +tp2464 +a(g6 +V\u000a +tp2465 +a(g6 +V +tp2466 +a(g138 +Vfor +p2467 +tp2468 +a(g6 +V +tp2469 +a(g45 +Vevent +p2470 +tp2471 +a(g212 +V, +tp2472 +a(g6 +V +tp2473 +a(g45 +Vtime +p2474 +tp2475 +a(g6 +V +tp2476 +a(g138 +Vin +p2477 +tp2478 +a(g6 +V +tp2479 +a(g84 +Vpairs +p2480 +tp2481 +a(g212 +V( +tp2482 +a(g45 +Vprivate +p2483 +tp2484 +a(g212 +V. +tp2485 +a(g45 +VSchedule +p2486 +tp2487 +a(g212 +V) +tp2488 +a(g6 +V +tp2489 +a(g138 +Vdo +p2490 +tp2491 +a(g6 +V\u000a +tp2492 +a(g6 +V +tp2493 +a(g6 +V +tp2494 +a(g138 +Vif +p2495 +tp2496 +a(g6 +V +tp2497 +a(g45 +Vtime +p2498 +tp2499 +a(g6 +V +tp2500 +a(g353 +V> +tp2501 +a(g6 +V +tp2502 +a(g45 +Vnow +p2503 +tp2504 +a(g6 +V +tp2505 +a(g138 +Vthen +p2506 +tp2507 +a(g6 +V\u000a +tp2508 +a(g6 +V +tp2509 +a(g6 +V +tp2510 +a(g6 +V +tp2511 +a(g138 +Vfor +p2512 +tp2513 +a(g6 +V +tp2514 +a(g45 +Vsystem +p2515 +tp2516 +a(g212 +V, +tp2517 +a(g6 +V +tp2518 +a(g45 +VsystemMods +p2519 +tp2520 +a(g6 +V +tp2521 +a(g138 +Vin +p2522 +tp2523 +a(g6 +V +tp2524 +a(g84 +Vpairs +p2525 +tp2526 +a(g212 +V( +tp2527 +a(g45 +VAucAdvanced +p2528 +tp2529 +a(g212 +V. +tp2530 +a(g45 +VModules +p2531 +tp2532 +a(g212 +V) +tp2533 +a(g6 +V +tp2534 +a(g138 +Vdo +p2535 +tp2536 +a(g6 +V\u000a +tp2537 +a(g6 +V +tp2538 +a(g6 +V +tp2539 +a(g6 +V +tp2540 +a(g6 +V +tp2541 +a(g138 +Vfor +p2542 +tp2543 +a(g6 +V +tp2544 +a(g45 +Vengine +p2545 +tp2546 +a(g212 +V, +tp2547 +a(g6 +V +tp2548 +a(g45 +VengineLib +p2549 +tp2550 +a(g6 +V +tp2551 +a(g138 +Vin +p2552 +tp2553 +a(g6 +V +tp2554 +a(g84 +Vpairs +p2555 +tp2556 +a(g212 +V( +tp2557 +a(g45 +VsystemMods +p2558 +tp2559 +a(g212 +V) +tp2560 +a(g6 +V +tp2561 +a(g138 +Vdo +p2562 +tp2563 +a(g6 +V\u000a +tp2564 +a(g6 +V +tp2565 +a(g6 +V +tp2566 +a(g6 +V +tp2567 +a(g6 +V +tp2568 +a(g6 +V +tp2569 +a(g138 +Vif +p2570 +tp2571 +a(g6 +V +tp2572 +a(g45 +VengineLib +p2573 +tp2574 +a(g212 +V. +tp2575 +a(g45 +VProcessor +p2576 +tp2577 +a(g6 +V +tp2578 +a(g138 +Vthen +p2579 +tp2580 +a(g6 +V\u000a +tp2581 +a(g6 +V +tp2582 +a(g6 +V +tp2583 +a(g6 +V +tp2584 +a(g6 +V +tp2585 +a(g6 +V +tp2586 +a(g6 +V +tp2587 +a(g45 +VengineLib +p2588 +tp2589 +a(g212 +V. +tp2590 +a(g45 +VProcessor +p2591 +tp2592 +a(g212 +V( +tp2593 +a(g45 +Vevent +p2594 +tp2595 +a(g212 +V, +tp2596 +a(g6 +V +tp2597 +a(g45 +Vtime +p2598 +tp2599 +a(g212 +V) +tp2600 +a(g6 +V\u000a +tp2601 +a(g6 +V +tp2602 +a(g6 +V +tp2603 +a(g6 +V +tp2604 +a(g6 +V +tp2605 +a(g6 +V +tp2606 +a(g138 +Vend +p2607 +tp2608 +a(g6 +V\u000a +tp2609 +a(g6 +V +tp2610 +a(g6 +V +tp2611 +a(g6 +V +tp2612 +a(g6 +V +tp2613 +a(g138 +Vend +p2614 +tp2615 +a(g6 +V\u000a +tp2616 +a(g6 +V +tp2617 +a(g6 +V +tp2618 +a(g6 +V +tp2619 +a(g138 +Vend +p2620 +tp2621 +a(g6 +V\u000a +tp2622 +a(g6 +V +tp2623 +a(g6 +V +tp2624 +a(g138 +Vend +p2625 +tp2626 +a(g6 +V\u000a +tp2627 +a(g6 +V +tp2628 +a(g6 +V +tp2629 +a(g45 +Vprivate +p2630 +tp2631 +a(g212 +V. +tp2632 +a(g45 +VSchedule +p2633 +tp2634 +a(g212 +V[ +tp2635 +a(g45 +Vevent +p2636 +tp2637 +a(g212 +V] +tp2638 +a(g6 +V +tp2639 +a(g353 +V= +tp2640 +a(g6 +V +tp2641 +a(g140 +Vnil +p2642 +tp2643 +a(g6 +V\u000a +tp2644 +a(g6 +V +tp2645 +a(g138 +Vend +p2646 +tp2647 +a(g6 +V\u000a +tp2648 +a(g138 +Vend +p2649 +tp2650 +a(g6 +V\u000a +tp2651 +a(g6 +V\u000a +tp2652 +a(g45 +Vprivate +p2653 +tp2654 +a(g212 +V. +tp2655 +a(g45 +VFrame +p2656 +tp2657 +a(g6 +V +tp2658 +a(g353 +V= +tp2659 +a(g6 +V +tp2660 +a(g45 +VCreateFrame +p2661 +tp2662 +a(g212 +V( +tp2663 +a(g255 +V" +tp2664 +a(g236 +VF +tp2665 +a(g236 +Vr +tp2666 +a(g236 +Va +tp2667 +a(g236 +Vm +tp2668 +a(g236 +Ve +tp2669 +a(g236 +V" +tp2670 +a(g212 +V) +tp2671 +a(g6 +V\u000a +tp2672 +a(g45 +Vprivate +p2673 +tp2674 +a(g212 +V. +tp2675 +a(g45 +VFrame +p2676 +tp2677 +a(g212 +V: +tp2678 +a(g45 +VRegisterEvent +p2679 +tp2680 +a(g212 +V( +tp2681 +a(g255 +V" +tp2682 +a(g236 +VA +tp2683 +a(g236 +VD +tp2684 +a(g236 +VD +tp2685 +a(g236 +VO +tp2686 +a(g236 +VN +tp2687 +a(g236 +V_ +tp2688 +a(g236 +VL +tp2689 +a(g236 +VO +tp2690 +a(g236 +VA +tp2691 +a(g236 +VD +tp2692 +a(g236 +VE +tp2693 +a(g236 +VD +tp2694 +a(g236 +V" +tp2695 +a(g212 +V) +tp2696 +a(g6 +V\u000a +tp2697 +a(g45 +Vprivate +p2698 +tp2699 +a(g212 +V. +tp2700 +a(g45 +VFrame +p2701 +tp2702 +a(g212 +V: +tp2703 +a(g45 +VRegisterEvent +p2704 +tp2705 +a(g212 +V( +tp2706 +a(g255 +V" +tp2707 +a(g236 +VA +tp2708 +a(g236 +VU +tp2709 +a(g236 +VC +tp2710 +a(g236 +VT +tp2711 +a(g236 +VI +tp2712 +a(g236 +VO +tp2713 +a(g236 +VN +tp2714 +a(g236 +V_ +tp2715 +a(g236 +VH +tp2716 +a(g236 +VO +tp2717 +a(g236 +VU +tp2718 +a(g236 +VS +tp2719 +a(g236 +VE +tp2720 +a(g236 +V_ +tp2721 +a(g236 +VS +tp2722 +a(g236 +VH +tp2723 +a(g236 +VO +tp2724 +a(g236 +VW +tp2725 +a(g236 +V" +tp2726 +a(g212 +V) +tp2727 +a(g6 +V\u000a +tp2728 +a(g45 +Vprivate +p2729 +tp2730 +a(g212 +V. +tp2731 +a(g45 +VFrame +p2732 +tp2733 +a(g212 +V: +tp2734 +a(g45 +VRegisterEvent +p2735 +tp2736 +a(g212 +V( +tp2737 +a(g255 +V" +tp2738 +a(g236 +VA +tp2739 +a(g236 +VU +tp2740 +a(g236 +VC +tp2741 +a(g236 +VT +tp2742 +a(g236 +VI +tp2743 +a(g236 +VO +tp2744 +a(g236 +VN +tp2745 +a(g236 +V_ +tp2746 +a(g236 +VH +tp2747 +a(g236 +VO +tp2748 +a(g236 +VU +tp2749 +a(g236 +VS +tp2750 +a(g236 +VE +tp2751 +a(g236 +V_ +tp2752 +a(g236 +VC +tp2753 +a(g236 +VL +tp2754 +a(g236 +VO +tp2755 +a(g236 +VS +tp2756 +a(g236 +VE +tp2757 +a(g236 +VD +tp2758 +a(g236 +V" +tp2759 +a(g212 +V) +tp2760 +a(g6 +V\u000a +tp2761 +a(g45 +Vprivate +p2762 +tp2763 +a(g212 +V. +tp2764 +a(g45 +VFrame +p2765 +tp2766 +a(g212 +V: +tp2767 +a(g45 +VRegisterEvent +p2768 +tp2769 +a(g212 +V( +tp2770 +a(g255 +V" +tp2771 +a(g236 +VU +tp2772 +a(g236 +VN +tp2773 +a(g236 +VI +tp2774 +a(g236 +VT +tp2775 +a(g236 +V_ +tp2776 +a(g236 +VI +tp2777 +a(g236 +VN +tp2778 +a(g236 +VV +tp2779 +a(g236 +VE +tp2780 +a(g236 +VN +tp2781 +a(g236 +VT +tp2782 +a(g236 +VO +tp2783 +a(g236 +VR +tp2784 +a(g236 +VY +tp2785 +a(g236 +V_ +tp2786 +a(g236 +VC +tp2787 +a(g236 +VH +tp2788 +a(g236 +VA +tp2789 +a(g236 +VN +tp2790 +a(g236 +VG +tp2791 +a(g236 +VE +tp2792 +a(g236 +VD +tp2793 +a(g236 +V" +tp2794 +a(g212 +V) +tp2795 +a(g6 +V\u000a +tp2796 +a(g45 +Vprivate +p2797 +tp2798 +a(g212 +V. +tp2799 +a(g45 +VFrame +p2800 +tp2801 +a(g212 +V: +tp2802 +a(g45 +VRegisterEvent +p2803 +tp2804 +a(g212 +V( +tp2805 +a(g255 +V" +tp2806 +a(g236 +VI +tp2807 +a(g236 +VT +tp2808 +a(g236 +VE +tp2809 +a(g236 +VM +tp2810 +a(g236 +V_ +tp2811 +a(g236 +VL +tp2812 +a(g236 +VO +tp2813 +a(g236 +VC +tp2814 +a(g236 +VK +tp2815 +a(g236 +V_ +tp2816 +a(g236 +VC +tp2817 +a(g236 +VH +tp2818 +a(g236 +VA +tp2819 +a(g236 +VN +tp2820 +a(g236 +VG +tp2821 +a(g236 +VE +tp2822 +a(g236 +VD +tp2823 +a(g236 +V" +tp2824 +a(g212 +V) +tp2825 +a(g6 +V\u000a +tp2826 +a(g45 +Vprivate +p2827 +tp2828 +a(g212 +V. +tp2829 +a(g45 +VFrame +p2830 +tp2831 +a(g212 +V: +tp2832 +a(g45 +VRegisterEvent +p2833 +tp2834 +a(g212 +V( +tp2835 +a(g255 +V" +tp2836 +a(g236 +VC +tp2837 +a(g236 +VU +tp2838 +a(g236 +VR +tp2839 +a(g236 +VS +tp2840 +a(g236 +VO +tp2841 +a(g236 +VR +tp2842 +a(g236 +V_ +tp2843 +a(g236 +VU +tp2844 +a(g236 +VP +tp2845 +a(g236 +VD +tp2846 +a(g236 +VA +tp2847 +a(g236 +VT +tp2848 +a(g236 +VE +tp2849 +a(g236 +V" +tp2850 +a(g212 +V) +tp2851 +a(g6 +V\u000a +tp2852 +a(g45 +Vprivate +p2853 +tp2854 +a(g212 +V. +tp2855 +a(g45 +VFrame +p2856 +tp2857 +a(g212 +V: +tp2858 +a(g45 +VRegisterEvent +p2859 +tp2860 +a(g212 +V( +tp2861 +a(g255 +V" +tp2862 +a(g236 +VB +tp2863 +a(g236 +VA +tp2864 +a(g236 +VG +tp2865 +a(g236 +V_ +tp2866 +a(g236 +VU +tp2867 +a(g236 +VP +tp2868 +a(g236 +VD +tp2869 +a(g236 +VA +tp2870 +a(g236 +VT +tp2871 +a(g236 +VE +tp2872 +a(g236 +V" +tp2873 +a(g212 +V) +tp2874 +a(g6 +V\u000a +tp2875 +a(g45 +Vprivate +p2876 +tp2877 +a(g212 +V. +tp2878 +a(g45 +VFrame +p2879 +tp2880 +a(g212 +V: +tp2881 +a(g45 +VRegisterEvent +p2882 +tp2883 +a(g212 +V( +tp2884 +a(g255 +V" +tp2885 +a(g236 +VP +tp2886 +a(g236 +VL +tp2887 +a(g236 +VA +tp2888 +a(g236 +VY +tp2889 +a(g236 +VE +tp2890 +a(g236 +VR +tp2891 +a(g236 +V_ +tp2892 +a(g236 +VL +tp2893 +a(g236 +VO +tp2894 +a(g236 +VG +tp2895 +a(g236 +VO +tp2896 +a(g236 +VU +tp2897 +a(g236 +VT +tp2898 +a(g236 +V" +tp2899 +a(g212 +V) +tp2900 +a(g6 +V\u000a +tp2901 +a(g45 +Vprivate +p2902 +tp2903 +a(g212 +V. +tp2904 +a(g45 +VFrame +p2905 +tp2906 +a(g212 +V: +tp2907 +a(g45 +VSetScript +p2908 +tp2909 +a(g212 +V( +tp2910 +a(g255 +V" +tp2911 +a(g236 +VO +tp2912 +a(g236 +Vn +tp2913 +a(g236 +VE +tp2914 +a(g236 +Vv +tp2915 +a(g236 +Ve +tp2916 +a(g236 +Vn +tp2917 +a(g236 +Vt +tp2918 +a(g236 +V" +tp2919 +a(g212 +V, +tp2920 +a(g6 +V +tp2921 +a(g45 +Vprivate +p2922 +tp2923 +a(g212 +V. +tp2924 +a(g45 +VOnEvent +p2925 +tp2926 +a(g212 +V) +tp2927 +a(g6 +V\u000a +tp2928 +a(g45 +Vprivate +p2929 +tp2930 +a(g212 +V. +tp2931 +a(g45 +VFrame +p2932 +tp2933 +a(g212 +V: +tp2934 +a(g45 +VSetScript +p2935 +tp2936 +a(g212 +V( +tp2937 +a(g255 +V" +tp2938 +a(g236 +VO +tp2939 +a(g236 +Vn +tp2940 +a(g236 +VU +tp2941 +a(g236 +Vp +tp2942 +a(g236 +Vd +tp2943 +a(g236 +Va +tp2944 +a(g236 +Vt +tp2945 +a(g236 +Ve +tp2946 +a(g236 +V" +tp2947 +a(g212 +V, +tp2948 +a(g6 +V +tp2949 +a(g45 +Vprivate +p2950 +tp2951 +a(g212 +V. +tp2952 +a(g45 +VOnUpdate +p2953 +tp2954 +a(g212 +V) +tp2955 +a(g6 +V\u000a +tp2956 +a(g6 +V\u000a +tp2957 +a(g31 +V-- Auctioneer's debug functions +p2958 +tp2959 +a(g6 +V\u000a +tp2960 +a(g45 +VAucAdvanced +p2961 +tp2962 +a(g212 +V. +tp2963 +a(g45 +VDebug +p2964 +tp2965 +a(g6 +V +tp2966 +a(g353 +V= +tp2967 +a(g6 +V +tp2968 +a(g212 +V{ +tp2969 +a(g212 +V} +tp2970 +a(g6 +V\u000a +tp2971 +a(g154 +Vlocal +p2972 +tp2973 +a(g6 +V +tp2974 +a(g45 +VaddonName +p2975 +tp2976 +a(g6 +V +tp2977 +a(g353 +V= +tp2978 +a(g6 +V +tp2979 +a(g255 +V" +tp2980 +a(g236 +VA +tp2981 +a(g236 +Vu +tp2982 +a(g236 +Vc +tp2983 +a(g236 +Vt +tp2984 +a(g236 +Vi +tp2985 +a(g236 +Vo +tp2986 +a(g236 +Vn +tp2987 +a(g236 +Ve +tp2988 +a(g236 +Ve +tp2989 +a(g236 +Vr +tp2990 +a(g236 +V" +tp2991 +a(g6 +V +tp2992 +a(g31 +V-- the addon's name as it will be displayed in +p2993 +tp2994 +a(g6 +V\u000a +tp2995 +a(g6 +V +tp2996 +a(g6 +V +tp2997 +a(g6 +V +tp2998 +a(g6 +V +tp2999 +a(g6 +V +tp3000 +a(g6 +V +tp3001 +a(g6 +V +tp3002 +a(g6 +V +tp3003 +a(g6 +V +tp3004 +a(g6 +V +tp3005 +a(g6 +V +tp3006 +a(g6 +V +tp3007 +a(g6 +V +tp3008 +a(g6 +V +tp3009 +a(g6 +V +tp3010 +a(g6 +V +tp3011 +a(g6 +V +tp3012 +a(g6 +V +tp3013 +a(g6 +V +tp3014 +a(g6 +V +tp3015 +a(g6 +V +tp3016 +a(g6 +V +tp3017 +a(g6 +V +tp3018 +a(g6 +V +tp3019 +a(g6 +V +tp3020 +a(g6 +V +tp3021 +a(g6 +V +tp3022 +a(g6 +V +tp3023 +a(g6 +V +tp3024 +a(g6 +V +tp3025 +a(g6 +V +tp3026 +a(g31 +V-- the debug messages +p3027 +tp3028 +a(g6 +V\u000a +tp3029 +a(g31 +V------------------------------------------------------------------------------- +p3030 +tp3031 +a(g6 +V\u000a +tp3032 +a(g31 +V-- Prints the specified message to nLog. +p3033 +tp3034 +a(g6 +V\u000a +tp3035 +a(g31 +V-- +p3036 +tp3037 +a(g6 +V\u000a +tp3038 +a(g31 +V-- syntax: +p3039 +tp3040 +a(g6 +V\u000a +tp3041 +a(g31 +V-- errorCode, message = debugPrint([message][, category][, title][, errorCode][, level]) +p3042 +tp3043 +a(g6 +V\u000a +tp3044 +a(g31 +V-- +p3045 +tp3046 +a(g6 +V\u000a +tp3047 +a(g31 +V-- parameters: +p3048 +tp3049 +a(g6 +V\u000a +tp3050 +a(g31 +V-- message - (string) the error message +p3051 +tp3052 +a(g6 +V\u000a +tp3053 +a(g31 +V-- nil, no error message specified +p3054 +tp3055 +a(g6 +V\u000a +tp3056 +a(g31 +V-- category - (string) the category of the debug message +p3057 +tp3058 +a(g6 +V\u000a +tp3059 +a(g31 +V-- nil, no category specified +p3060 +tp3061 +a(g6 +V\u000a +tp3062 +a(g31 +V-- title - (string) the title for the debug message +p3063 +tp3064 +a(g6 +V\u000a +tp3065 +a(g31 +V-- nil, no title specified +p3066 +tp3067 +a(g6 +V\u000a +tp3068 +a(g31 +V-- errorCode - (number) the error code +p3069 +tp3070 +a(g6 +V\u000a +tp3071 +a(g31 +V-- nil, no error code specified +p3072 +tp3073 +a(g6 +V\u000a +tp3074 +a(g31 +V-- level - (string) nLog message level +p3075 +tp3076 +a(g6 +V\u000a +tp3077 +a(g31 +V-- Any nLog.levels string is valid. +p3078 +tp3079 +a(g6 +V\u000a +tp3080 +a(g31 +V-- nil, no level specified +p3081 +tp3082 +a(g6 +V\u000a +tp3083 +a(g31 +V-- +p3084 +tp3085 +a(g6 +V\u000a +tp3086 +a(g31 +V-- returns: +p3087 +tp3088 +a(g6 +V\u000a +tp3089 +a(g31 +V-- errorCode - (number) errorCode, if one is specified +p3090 +tp3091 +a(g6 +V\u000a +tp3092 +a(g31 +V-- nil, otherwise +p3093 +tp3094 +a(g6 +V\u000a +tp3095 +a(g31 +V-- message - (string) message, if one is specified +p3096 +tp3097 +a(g6 +V\u000a +tp3098 +a(g31 +V-- nil, otherwise +p3099 +tp3100 +a(g6 +V\u000a +tp3101 +a(g31 +V------------------------------------------------------------------------------- +p3102 +tp3103 +a(g6 +V\u000a +tp3104 +a(g138 +Vfunction +p3105 +tp3106 +a(g6 +V +tp3107 +a(g48 +VAucAdvanced +p3108 +tp3109 +a(g212 +V. +tp3110 +a(g45 +VDebug +p3111 +tp3112 +a(g212 +V. +tp3113 +a(g45 +VDebugPrint +p3114 +tp3115 +a(g212 +V( +tp3116 +a(g45 +Vmessage +p3117 +tp3118 +a(g212 +V, +tp3119 +a(g6 +V +tp3120 +a(g45 +Vcategory +p3121 +tp3122 +a(g212 +V, +tp3123 +a(g6 +V +tp3124 +a(g45 +Vtitle +p3125 +tp3126 +a(g212 +V, +tp3127 +a(g6 +V +tp3128 +a(g45 +VerrorCode +p3129 +tp3130 +a(g212 +V, +tp3131 +a(g6 +V +tp3132 +a(g45 +Vlevel +p3133 +tp3134 +a(g212 +V) +tp3135 +a(g6 +V\u000a +tp3136 +a(g6 +V +tp3137 +a(g138 +Vreturn +p3138 +tp3139 +a(g6 +V +tp3140 +a(g45 +VDebugLib +p3141 +tp3142 +a(g212 +V. +tp3143 +a(g45 +VDebugPrint +p3144 +tp3145 +a(g212 +V( +tp3146 +a(g45 +VaddonName +p3147 +tp3148 +a(g212 +V, +tp3149 +a(g6 +V +tp3150 +a(g45 +Vmessage +p3151 +tp3152 +a(g212 +V, +tp3153 +a(g6 +V +tp3154 +a(g45 +Vcategory +p3155 +tp3156 +a(g212 +V, +tp3157 +a(g6 +V +tp3158 +a(g45 +Vtitle +p3159 +tp3160 +a(g212 +V, +tp3161 +a(g6 +V +tp3162 +a(g45 +VerrorCode +p3163 +tp3164 +a(g212 +V, +tp3165 +a(g6 +V +tp3166 +a(g45 +Vlevel +p3167 +tp3168 +a(g212 +V) +tp3169 +a(g6 +V\u000a +tp3170 +a(g138 +Vend +p3171 +tp3172 +a(g6 +V\u000a +tp3173 +a(g6 +V\u000a +tp3174 +a(g31 +V------------------------------------------------------------------------------- +p3175 +tp3176 +a(g6 +V\u000a +tp3177 +a(g31 +V-- Used to make sure that conditions are met within functions. +p3178 +tp3179 +a(g6 +V\u000a +tp3180 +a(g31 +V-- If test is false, the error message will be written to nLog and the user's +p3181 +tp3182 +a(g6 +V\u000a +tp3183 +a(g31 +V-- default chat channel. +p3184 +tp3185 +a(g6 +V\u000a +tp3186 +a(g31 +V-- +p3187 +tp3188 +a(g6 +V\u000a +tp3189 +a(g31 +V-- syntax: +p3190 +tp3191 +a(g6 +V\u000a +tp3192 +a(g31 +V-- assertion = assert(test, message) +p3193 +tp3194 +a(g6 +V\u000a +tp3195 +a(g31 +V-- +p3196 +tp3197 +a(g6 +V\u000a +tp3198 +a(g31 +V-- parameters: +p3199 +tp3200 +a(g6 +V\u000a +tp3201 +a(g31 +V-- test - (any) false/nil, if the assertion failed +p3202 +tp3203 +a(g6 +V\u000a +tp3204 +a(g31 +V-- anything else, otherwise +p3205 +tp3206 +a(g6 +V\u000a +tp3207 +a(g31 +V-- message - (string) the message which will be output to the user +p3208 +tp3209 +a(g6 +V\u000a +tp3210 +a(g31 +V-- +p3211 +tp3212 +a(g6 +V\u000a +tp3213 +a(g31 +V-- returns: +p3214 +tp3215 +a(g6 +V\u000a +tp3216 +a(g31 +V-- assertion - (boolean) true, if the test passed +p3217 +tp3218 +a(g6 +V\u000a +tp3219 +a(g31 +V-- false, otherwise +p3220 +tp3221 +a(g6 +V\u000a +tp3222 +a(g31 +V------------------------------------------------------------------------------- +p3223 +tp3224 +a(g6 +V\u000a +tp3225 +a(g138 +Vfunction +p3226 +tp3227 +a(g6 +V +tp3228 +a(g48 +VAucAdvanced +p3229 +tp3230 +a(g212 +V. +tp3231 +a(g45 +VDebug +p3232 +tp3233 +a(g212 +V. +tp3234 +a(g45 +VAssert +p3235 +tp3236 +a(g212 +V( +tp3237 +a(g45 +Vtest +p3238 +tp3239 +a(g212 +V, +tp3240 +a(g6 +V +tp3241 +a(g45 +Vmessage +p3242 +tp3243 +a(g212 +V) +tp3244 +a(g6 +V\u000a +tp3245 +a(g6 +V +tp3246 +a(g138 +Vreturn +p3247 +tp3248 +a(g6 +V +tp3249 +a(g45 +VDebugLib +p3250 +tp3251 +a(g212 +V. +tp3252 +a(g45 +VAssert +p3253 +tp3254 +a(g212 +V( +tp3255 +a(g45 +VaddonName +p3256 +tp3257 +a(g212 +V, +tp3258 +a(g6 +V +tp3259 +a(g45 +Vtest +p3260 +tp3261 +a(g212 +V, +tp3262 +a(g6 +V +tp3263 +a(g45 +Vmessage +p3264 +tp3265 +a(g212 +V) +tp3266 +a(g6 +V\u000a +tp3267 +a(g138 +Vend +p3268 +tp3269 +a(g6 +V\u000a +tp3270 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.moo b/tests/examplefiles/output/example.moo new file mode 100644 index 0000000..0fdb15c --- /dev/null +++ b/tests/examplefiles/output/example.moo @@ -0,0 +1,2070 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Constant' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp15 +(dp16 +S'Comment' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +g14 +g15 +sS'Preproc' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g11 +g12 +((ltRp23 +sg14 +g18 +sbsS'Single' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g18 +sbsS'Multiline' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g18 +sbsg11 +g12 +((lp32 +g2 +(g3 +g4 +(g17 +S'Special' +p33 +ttRp34 +(dp35 +g11 +g12 +((ltRp36 +sg14 +g18 +sbag21 +ag25 +ag29 +atRp37 +sg33 +g34 +sbsS'Name' +p38 +g2 +(g3 +g4 +(g38 +ttRp39 +(dp40 +S'Function' +p41 +g2 +(g3 +g4 +(g38 +g41 +ttRp42 +(dp43 +g11 +g12 +((ltRp44 +sg14 +g39 +sbsS'Exception' +p45 +g2 +(g3 +g4 +(g38 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g39 +sbsS'Tag' +p49 +g2 +(g3 +g4 +(g38 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g39 +sbsg8 +g2 +(g3 +g4 +(g38 +g8 +ttRp53 +(dp54 +g11 +g12 +((ltRp55 +sg14 +g39 +sbsg14 +g15 +sS'Pseudo' +p56 +g2 +(g3 +g4 +(g38 +g56 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g39 +sbsS'Attribute' +p60 +g2 +(g3 +g4 +(g38 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g39 +sbsS'Label' +p64 +g2 +(g3 +g4 +(g38 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g39 +sbsS'Blubb' +p68 +g2 +(g3 +g4 +(g38 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g39 +sbsS'Entity' +p72 +g2 +(g3 +g4 +(g38 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g39 +sbsS'Builtin' +p76 +g2 +(g3 +g4 +(g38 +g76 +ttRp77 +(dp78 +g11 +g12 +((lp79 +g2 +(g3 +g4 +(g38 +g76 +g56 +ttRp80 +(dp81 +g11 +g12 +((ltRp82 +sg14 +g77 +sbatRp83 +sg56 +g80 +sg14 +g39 +sbsS'Other' +p84 +g2 +(g3 +g4 +(g38 +g84 +ttRp85 +(dp86 +g11 +g12 +((ltRp87 +sg14 +g39 +sbsS'Identifier' +p88 +g2 +(g3 +g4 +(g38 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g39 +sbsS'Variable' +p92 +g2 +(g3 +g4 +(g38 +g92 +ttRp93 +(dp94 +g14 +g39 +sS'Global' +p95 +g2 +(g3 +g4 +(g38 +g92 +g95 +ttRp96 +(dp97 +g11 +g12 +((ltRp98 +sg14 +g93 +sbsS'Instance' +p99 +g2 +(g3 +g4 +(g38 +g92 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g93 +sbsS'Anonymous' +p103 +g2 +(g3 +g4 +(g38 +g92 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g93 +sbsg11 +g12 +((lp107 +g104 +ag100 +ag96 +ag2 +(g3 +g4 +(g38 +g92 +S'Class' +p108 +ttRp109 +(dp110 +g11 +g12 +((ltRp111 +sg14 +g93 +sbatRp112 +sg108 +g109 +sbsg11 +g12 +((lp113 +g2 +(g3 +g4 +(g38 +S'Decorator' +p114 +ttRp115 +(dp116 +g11 +g12 +((ltRp117 +sg14 +g39 +sbag61 +ag53 +ag57 +ag2 +(g3 +g4 +(g38 +S'Namespace' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g39 +sbag89 +ag77 +ag93 +ag85 +ag69 +ag73 +ag42 +ag2 +(g3 +g4 +(g38 +S'Property' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g39 +sbag65 +ag50 +ag46 +ag2 +(g3 +g4 +(g38 +g108 +ttRp126 +(dp127 +g11 +g12 +((ltRp128 +sg14 +g39 +sbatRp129 +sg122 +g123 +sg108 +g126 +sg114 +g115 +sg118 +g119 +sbsg5 +g6 +sS'Generic' +p130 +g2 +(g3 +g4 +(g130 +ttRp131 +(dp132 +S'Prompt' +p133 +g2 +(g3 +g4 +(g130 +g133 +ttRp134 +(dp135 +g11 +g12 +((ltRp136 +sg14 +g131 +sbsg14 +g15 +sS'Deleted' +p137 +g2 +(g3 +g4 +(g130 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g131 +sbsS'Traceback' +p141 +g2 +(g3 +g4 +(g130 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g131 +sbsS'Emph' +p145 +g2 +(g3 +g4 +(g130 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g131 +sbsS'Output' +p149 +g2 +(g3 +g4 +(g130 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g131 +sbsS'Subheading' +p153 +g2 +(g3 +g4 +(g130 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g131 +sbsS'Error' +p157 +g2 +(g3 +g4 +(g130 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g131 +sbsg11 +g12 +((lp161 +g150 +ag146 +ag158 +ag154 +ag142 +ag138 +ag2 +(g3 +g4 +(g130 +S'Heading' +p162 +ttRp163 +(dp164 +g11 +g12 +((ltRp165 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Inserted' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Strong' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g131 +sbag134 +atRp174 +sg170 +g171 +sg166 +g167 +sg162 +g163 +sbsS'Text' +p175 +g2 +(g3 +g4 +(g175 +ttRp176 +(dp177 +g11 +g12 +((lp178 +g2 +(g3 +g4 +(g175 +S'Symbol' +p179 +ttRp180 +(dp181 +g11 +g12 +((ltRp182 +sg14 +g176 +sbag2 +(g3 +g4 +(g175 +S'Whitespace' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g176 +sbatRp187 +sg179 +g180 +sg183 +g184 +sg14 +g15 +sbsS'Punctuation' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g11 +g12 +((lp191 +g2 +(g3 +g4 +(g188 +S'Indicator' +p192 +ttRp193 +(dp194 +g11 +g12 +((ltRp195 +sg14 +g189 +sbatRp196 +sg192 +g193 +sg14 +g15 +sbsS'Token' +p197 +g15 +sS'Number' +p198 +g2 +(g3 +g4 +(S'Literal' +p199 +g198 +ttRp200 +(dp201 +S'Bin' +p202 +g2 +(g3 +g4 +(g199 +g198 +g202 +ttRp203 +(dp204 +g11 +g12 +((ltRp205 +sg14 +g200 +sbsS'Binary' +p206 +g2 +(g3 +g4 +(g199 +g198 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g200 +sbsg14 +g2 +(g3 +g4 +(g199 +ttRp210 +(dp211 +S'String' +p212 +g2 +(g3 +g4 +(g199 +g212 +ttRp213 +(dp214 +S'Regex' +p215 +g2 +(g3 +g4 +(g199 +g212 +g215 +ttRp216 +(dp217 +g11 +g12 +((ltRp218 +sg14 +g213 +sbsS'Interpol' +p219 +g2 +(g3 +g4 +(g199 +g212 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g213 +sbsS'Regexp' +p223 +g2 +(g3 +g4 +(g199 +g212 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g213 +sbsg14 +g210 +sS'Heredoc' +p227 +g2 +(g3 +g4 +(g199 +g212 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g213 +sbsS'Double' +p231 +g2 +(g3 +g4 +(g199 +g212 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g213 +sbsg179 +g2 +(g3 +g4 +(g199 +g212 +g179 +ttRp235 +(dp236 +g11 +g12 +((ltRp237 +sg14 +g213 +sbsS'Escape' +p238 +g2 +(g3 +g4 +(g199 +g212 +g238 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g213 +sbsS'Character' +p242 +g2 +(g3 +g4 +(g199 +g212 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g213 +sbsS'Interp' +p246 +g2 +(g3 +g4 +(g199 +g212 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g213 +sbsS'Backtick' +p250 +g2 +(g3 +g4 +(g199 +g212 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g213 +sbsS'Char' +p254 +g2 +(g3 +g4 +(g199 +g212 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g213 +sbsg24 +g2 +(g3 +g4 +(g199 +g212 +g24 +ttRp258 +(dp259 +g11 +g12 +((ltRp260 +sg14 +g213 +sbsg84 +g2 +(g3 +g4 +(g199 +g212 +g84 +ttRp261 +(dp262 +g11 +g12 +((ltRp263 +sg14 +g213 +sbsS'Doc' +p264 +g2 +(g3 +g4 +(g199 +g212 +g264 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g213 +sbsg11 +g12 +((lp268 +g261 +ag2 +(g3 +g4 +(g199 +g212 +S'Atom' +p269 +ttRp270 +(dp271 +g11 +g12 +((ltRp272 +sg14 +g213 +sbag232 +ag255 +ag247 +ag265 +ag228 +ag251 +ag220 +ag235 +ag224 +ag216 +ag258 +ag243 +ag239 +atRp273 +sg269 +g270 +sbsg14 +g15 +sg198 +g200 +sS'Scalar' +p274 +g2 +(g3 +g4 +(g199 +g274 +ttRp275 +(dp276 +g11 +g12 +((lp277 +g2 +(g3 +g4 +(g199 +g274 +S'Plain' +p278 +ttRp279 +(dp280 +g11 +g12 +((ltRp281 +sg14 +g275 +sbatRp282 +sg14 +g210 +sg278 +g279 +sbsg84 +g2 +(g3 +g4 +(g199 +g84 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g210 +sbsS'Date' +p286 +g2 +(g3 +g4 +(g199 +g286 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g210 +sbsg11 +g12 +((lp290 +g287 +ag213 +ag283 +ag200 +ag275 +atRp291 +sbsS'Decimal' +p292 +g2 +(g3 +g4 +(g199 +g198 +g292 +ttRp293 +(dp294 +g11 +g12 +((ltRp295 +sg14 +g200 +sbsS'Float' +p296 +g2 +(g3 +g4 +(g199 +g198 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g200 +sbsS'Hex' +p300 +g2 +(g3 +g4 +(g199 +g198 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g200 +sbsS'Integer' +p304 +g2 +(g3 +g4 +(g199 +g198 +g304 +ttRp305 +(dp306 +g11 +g12 +((lp307 +g2 +(g3 +g4 +(g199 +g198 +g304 +S'Long' +p308 +ttRp309 +(dp310 +g11 +g12 +((ltRp311 +sg14 +g305 +sbatRp312 +sg308 +g309 +sg14 +g200 +sbsS'Octal' +p313 +g2 +(g3 +g4 +(g199 +g198 +g313 +ttRp314 +(dp315 +g11 +g12 +((ltRp316 +sg14 +g200 +sbsg11 +g12 +((lp317 +g203 +ag207 +ag314 +ag293 +ag2 +(g3 +g4 +(g199 +g198 +S'Oct' +p318 +ttRp319 +(dp320 +g11 +g12 +((ltRp321 +sg14 +g200 +sbag305 +ag297 +ag301 +atRp322 +sg318 +g319 +sbsg199 +g210 +sg84 +g2 +(g3 +g4 +(g84 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g15 +sbsg157 +g2 +(g3 +g4 +(g157 +ttRp326 +(dp327 +g11 +g12 +((ltRp328 +sg14 +g15 +sbsS'Operator' +p329 +g2 +(g3 +g4 +(g329 +ttRp330 +(dp331 +g11 +g12 +((lp332 +g2 +(g3 +g4 +(g329 +S'Word' +p333 +ttRp334 +(dp335 +g11 +g12 +((ltRp336 +sg14 +g330 +sbatRp337 +sg333 +g334 +sg14 +g15 +sbsg11 +g12 +((lp338 +g18 +ag326 +ag131 +ag176 +ag39 +ag189 +ag6 +ag210 +ag330 +ag323 +atRp339 +sg212 +g213 +sbsg118 +g2 +(g3 +g4 +(g5 +g118 +ttRp340 +(dp341 +g11 +g12 +((ltRp342 +sg14 +g6 +sbsg56 +g2 +(g3 +g4 +(g5 +g56 +ttRp343 +(dp344 +g11 +g12 +((ltRp345 +sg14 +g6 +sbsS'Reserved' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Declaration' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsg92 +g2 +(g3 +g4 +(g5 +g92 +ttRp354 +(dp355 +g11 +g12 +((ltRp356 +sg14 +g6 +sbsg11 +g12 +((lp357 +g9 +ag347 +ag2 +(g3 +g4 +(g5 +S'Type' +p358 +ttRp359 +(dp360 +g11 +g12 +((ltRp361 +sg14 +g6 +sbag351 +ag354 +ag340 +ag343 +atRp362 +sg358 +g359 +sbVif +p363 +tp364 +a(g176 +V +tp365 +a(g330 +V( +tp366 +a(g100 +Vthis +p367 +tp368 +a(g330 +V. +tp369 +a(g176 +Vrunning +p370 +tp371 +a(g330 +V) +tp372 +a(g176 +V\u000a +p373 +tp374 +a(g100 +Vplayer +p375 +tp376 +a(g330 +V: +tp377 +a(g42 +Vtell +p378 +tp379 +a(g330 +V( +tp380 +a(g213 +V"[Train] Error: already a jump in progress" +p381 +tp382 +a(g330 +V); +p383 +tp384 +a(g176 +V\u000a +p385 +tp386 +a(g6 +Vreturn +p387 +tp388 +a(g330 +V; +tp389 +a(g176 +V\u000a +tp390 +a(g6 +Vendif +p391 +tp392 +a(g176 +V\u000a +tp393 +a(g100 +Vthis +p394 +tp395 +a(g330 +V. +tp396 +a(g176 +Vrunning +p397 +tp398 +a(g176 +V +tp399 +a(g330 +V= +tp400 +a(g176 +V +tp401 +a(g305 +V1 +tp402 +a(g330 +V; +tp403 +a(g176 +V\u000a +tp404 +a(g100 +Vthis +p405 +tp406 +a(g330 +V. +tp407 +a(g176 +Vaborted +p408 +tp409 +a(g176 +V +tp410 +a(g330 +V= +tp411 +a(g176 +V +tp412 +a(g305 +V0 +tp413 +a(g330 +V; +tp414 +a(g176 +V\u000a +tp415 +a(g100 +Vthis +p416 +tp417 +a(g330 +V: +tp418 +a(g42 +Vannounce_all +p419 +tp420 +a(g330 +V( +tp421 +a(g213 +V"[Train] departure in 20 seconds" +p422 +tp423 +a(g330 +V); +p424 +tp425 +a(g176 +V\u000a +tp426 +a(g176 +Vdest +p427 +tp428 +a(g176 +V +tp429 +a(g330 +V= +tp430 +a(g176 +V +tp431 +a(g100 +Vthis +p432 +tp433 +a(g330 +V. +tp434 +a(g176 +Vtargets +p435 +tp436 +a(g330 +V[ +tp437 +a(g77 +Vrandom +p438 +tp439 +a(g330 +V( +tp440 +a(g77 +Vlength +p441 +tp442 +a(g330 +V( +tp443 +a(g100 +Vthis +p444 +tp445 +a(g330 +V. +tp446 +a(g176 +Vtargets +p447 +tp448 +a(g330 +V))]; +p449 +tp450 +a(g176 +V\u000a +tp451 +a(g100 +Vthis +p452 +tp453 +a(g330 +V: +tp454 +a(g42 +Vannounce_all +p455 +tp456 +a(g330 +V( +tp457 +a(g213 +V"[Train] Next stop is '" +p458 +tp459 +a(g330 +V, +tp460 +a(g176 +V +tp461 +a(g176 +Vdest +p462 +tp463 +a(g330 +V: +tp464 +a(g42 +Vtitle +p465 +tp466 +a(g330 +V( +tp467 +a(g330 +V), +p468 +tp469 +a(g176 +V +tp470 +a(g213 +V"'" +p471 +tp472 +a(g330 +V); +p473 +tp474 +a(g176 +V\u000a +tp475 +a(g100 +Vthis +p476 +tp477 +a(g330 +V: +tp478 +a(g42 +Vannounce_all +p479 +tp480 +a(g330 +V( +tp481 +a(g213 +V"You hear the engines starting up" +p482 +tp483 +a(g330 +V); +p484 +tp485 +a(g176 +V\u000a +tp486 +a(g100 +Vthis +p487 +tp488 +a(g330 +V. +tp489 +a(g176 +Vlocation +p490 +tp491 +a(g330 +V: +tp492 +a(g42 +Vannounce +p493 +tp494 +a(g330 +V( +tp495 +a(g213 +V"The MOOTrain starts up his engines" +p496 +tp497 +a(g330 +V); +p498 +tp499 +a(g176 +V\u000a +tp500 +a(g42 +Vsuspend +p501 +tp502 +a(g330 +V( +tp503 +a(g305 +V20 +p504 +tp505 +a(g330 +V); +p506 +tp507 +a(g176 +V\u000a +tp508 +a(g6 +Vif +p509 +tp510 +a(g176 +V +tp511 +a(g330 +V( +tp512 +a(g100 +Vthis +p513 +tp514 +a(g330 +V. +tp515 +a(g176 +Vaborted +p516 +tp517 +a(g330 +V) +tp518 +a(g176 +V\u000a +p519 +tp520 +a(g100 +Vthis +p521 +tp522 +a(g330 +V. +tp523 +a(g176 +Vrunning +p524 +tp525 +a(g176 +V +tp526 +a(g330 +V= +tp527 +a(g176 +V +tp528 +a(g305 +V0 +tp529 +a(g330 +V; +tp530 +a(g176 +V\u000a +p531 +tp532 +a(g100 +Vthis +p533 +tp534 +a(g330 +V. +tp535 +a(g176 +Vaborted +p536 +tp537 +a(g176 +V +tp538 +a(g330 +V= +tp539 +a(g176 +V +tp540 +a(g305 +V0 +tp541 +a(g330 +V; +tp542 +a(g176 +V\u000a +p543 +tp544 +a(g6 +Vreturn +p545 +tp546 +a(g330 +V; +tp547 +a(g176 +V\u000a +tp548 +a(g6 +Vendif +p549 +tp550 +a(g176 +V\u000a +tp551 +a(g100 +Vthis +p552 +tp553 +a(g330 +V: +tp554 +a(g42 +Vannounce_all +p555 +tp556 +a(g330 +V( +tp557 +a(g213 +V"[Train] Departure!" +p558 +tp559 +a(g330 +V); +p560 +tp561 +a(g176 +V\u000a +tp562 +a(g100 +Vthis +p563 +tp564 +a(g330 +V. +tp565 +a(g176 +Vlocation +p566 +tp567 +a(g330 +V: +tp568 +a(g42 +Vannounce_all +p569 +tp570 +a(g330 +V( +tp571 +a(g213 +V"The MOOTrain leaves into the 42th dimension!" +p572 +tp573 +a(g330 +V); +p574 +tp575 +a(g176 +V\u000a +tp576 +a(g100 +Vthis +p577 +tp578 +a(g330 +V: +tp579 +a(g42 +Vannounce_all +p580 +tp581 +a(g330 +V( +tp582 +a(g213 +V"Outside you see the lights of the 42th dimension" +p583 +tp584 +a(g330 +V); +p585 +tp586 +a(g176 +V\u000a +tp587 +a(g100 +Vthis +p588 +tp589 +a(g330 +V: +tp590 +a(g42 +Vmoveto +p591 +tp592 +a(g330 +V( +tp593 +a(g176 +Vdest +p594 +tp595 +a(g330 +V); +p596 +tp597 +a(g176 +V\u000a +tp598 +a(g42 +Vsuspend +p599 +tp600 +a(g330 +V( +tp601 +a(g305 +V4 +tp602 +a(g330 +V); +p603 +tp604 +a(g176 +V\u000a +tp605 +a(g100 +Vthis +p606 +tp607 +a(g330 +V: +tp608 +a(g42 +Vannounce_all +p609 +tp610 +a(g330 +V( +tp611 +a(g213 +V"The glowing gets less, until you can see the clear shape of the room, the MOOTrain has landed in" +p612 +tp613 +a(g330 +V); +p614 +tp615 +a(g176 +V\u000a +tp616 +a(g100 +Vthis +p617 +tp618 +a(g330 +V. +tp619 +a(g176 +Vlocation +p620 +tp621 +a(g330 +V: +tp622 +a(g42 +Vannounce_all +p623 +tp624 +a(g330 +V( +tp625 +a(g213 +V"The MOOTrain arrives out of the 42th dimension!" +p626 +tp627 +a(g330 +V); +p628 +tp629 +a(g176 +V\u000a +tp630 +a(g100 +Vthis +p631 +tp632 +a(g330 +V: +tp633 +a(g42 +Vannounce_all +p634 +tp635 +a(g330 +V( +tp636 +a(g213 +V"[Train] arrived in '" +p637 +tp638 +a(g330 +V, +tp639 +a(g176 +V +tp640 +a(g176 +Vdest +p641 +tp642 +a(g330 +V: +tp643 +a(g42 +Vtitle +p644 +tp645 +a(g330 +V( +tp646 +a(g330 +V), +p647 +tp648 +a(g176 +V +tp649 +a(g213 +V"'" +p650 +tp651 +a(g330 +V); +p652 +tp653 +a(g176 +V\u000a +tp654 +a(g100 +Vthis +p655 +tp656 +a(g330 +V. +tp657 +a(g176 +Vrunning +p658 +tp659 +a(g176 +V +tp660 +a(g330 +V= +tp661 +a(g176 +V +tp662 +a(g305 +V0 +tp663 +a(g330 +V; +tp664 +a(g176 +V\u000a +tp665 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.pas b/tests/examplefiles/output/example.pas new file mode 100644 index 0000000..f4f6036 --- /dev/null +++ b/tests/examplefiles/output/example.pas @@ -0,0 +1,77254 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +g32 +g2 +(g3 +g4 +(g110 +g32 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp116 +(dp117 +g9 +g10 +((ltRp118 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g111 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g110 +g122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g111 +sbsg9 +g10 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g110 +S'Type' +p134 +ttRp135 +(dp136 +g9 +g10 +((ltRp137 +sg12 +g111 +sbag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g9 +g10 +((ltRp145 +sg12 +g140 +sbsg12 +g15 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g140 +sbsg9 +g10 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g9 +g10 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g9 +g10 +((ltRp191 +sg12 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg12 +g15 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g9 +g10 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g9 +g10 +((ltRp204 +sg12 +g198 +sbatRp205 +sg201 +g202 +sg12 +g15 +sbsS'Token' +p206 +g15 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g9 +g10 +((ltRp214 +sg12 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g209 +sbsg12 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g222 +sbsg12 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g222 +sbsg6 +g2 +(g3 +g4 +(g208 +g221 +g6 +ttRp267 +(dp268 +g9 +g10 +((ltRp269 +sg12 +g222 +sbsg64 +g2 +(g3 +g4 +(g208 +g221 +g64 +ttRp270 +(dp271 +g9 +g10 +((ltRp272 +sg12 +g222 +sbsS'Doc' +p273 +g2 +(g3 +g4 +(g208 +g221 +g273 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g222 +sbsg9 +g10 +((lp277 +g270 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g222 +sbag241 +ag264 +ag256 +ag274 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag267 +ag252 +ag248 +atRp282 +sg278 +g279 +sbsg12 +g15 +sg207 +g209 +sS'Scalar' +p283 +g2 +(g3 +g4 +(g208 +g283 +ttRp284 +(dp285 +g9 +g10 +((lp286 +g2 +(g3 +g4 +(g208 +g283 +S'Plain' +p287 +ttRp288 +(dp289 +g9 +g10 +((ltRp290 +sg12 +g284 +sbatRp291 +sg12 +g219 +sg287 +g288 +sbsg64 +g2 +(g3 +g4 +(g208 +g64 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g219 +sbsS'Date' +p295 +g2 +(g3 +g4 +(g208 +g295 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g219 +sbsg9 +g10 +((lp299 +g296 +ag222 +ag292 +ag209 +ag284 +atRp300 +sbsS'Decimal' +p301 +g2 +(g3 +g4 +(g208 +g207 +g301 +ttRp302 +(dp303 +g9 +g10 +((ltRp304 +sg12 +g209 +sbsS'Float' +p305 +g2 +(g3 +g4 +(g208 +g207 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g209 +sbsS'Hex' +p309 +g2 +(g3 +g4 +(g208 +g207 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g209 +sbsS'Integer' +p313 +g2 +(g3 +g4 +(g208 +g207 +g313 +ttRp314 +(dp315 +g9 +g10 +((lp316 +g2 +(g3 +g4 +(g208 +g207 +g313 +S'Long' +p317 +ttRp318 +(dp319 +g9 +g10 +((ltRp320 +sg12 +g314 +sbatRp321 +sg317 +g318 +sg12 +g209 +sbsS'Octal' +p322 +g2 +(g3 +g4 +(g208 +g207 +g322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g209 +sbsg9 +g10 +((lp326 +g212 +ag216 +ag323 +ag302 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g209 +sbag314 +ag306 +ag310 +atRp331 +sg327 +g328 +sbsg208 +g219 +sg64 +g2 +(g3 +g4 +(g64 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g15 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp335 +(dp336 +g9 +g10 +((ltRp337 +sg12 +g15 +sbsS'Operator' +p338 +g2 +(g3 +g4 +(g338 +ttRp339 +(dp340 +g9 +g10 +((lp341 +g2 +(g3 +g4 +(g338 +S'Word' +p342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g339 +sbatRp346 +sg342 +g343 +sg12 +g15 +sbsg9 +g10 +((lp347 +g13 +ag335 +ag140 +ag185 +ag18 +ag198 +ag111 +ag219 +ag339 +ag332 +atRp348 +sg221 +g222 +sbsS'Preproc' +p349 +g2 +(g3 +g4 +(g5 +g349 +ttRp350 +(dp351 +g9 +g10 +((ltRp352 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg9 +g10 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag350 +ag7 +ag354 +atRp362 +sg358 +g359 +sbsbV// vim:ft=pascal +p363 +tp364 +a(g185 +V\u000a\u000a +p365 +tp366 +a(g111 +Vunit +p367 +tp368 +a(g185 +V +tp369 +a(g18 +VYTools +p370 +tp371 +a(g339 +V; +tp372 +a(g185 +V\u000a\u000a +p373 +tp374 +a(g354 +V{===============================================================================\u000a\u000a cYcnus.YTools 1.0.3 Beta for Delphi 4+\u000a by licenser and Murphy\u000a\u000a ©2000-2003 by cYcnus\u000a visit www.cYcnus.de\u000a\u000a licenser@cYcnus.de (Heinz N. Gies)\u000a murphy@cYcnus.de (Kornelius Kalnbach)\u000a \u000a this unit is published under the terms of the GPL\u000a\u000a===============================================================================} +p375 +tp376 +a(g185 +V\u000a\u000a +p377 +tp378 +a(g111 +Vinterface +p379 +tp380 +a(g185 +V\u000a\u000a +p381 +tp382 +a(g111 +Vuses +p383 +tp384 +a(g185 +V\u000a +p385 +tp386 +a(g18 +VWindows +p387 +tp388 +a(g339 +V, +tp389 +a(g185 +V +tp390 +a(g18 +VSysUtils +p391 +tp392 +a(g339 +V, +tp393 +a(g185 +V +tp394 +a(g18 +VClasses +p395 +tp396 +a(g339 +V, +tp397 +a(g185 +V +tp398 +a(g18 +VYTypes +p399 +tp400 +a(g339 +V; +tp401 +a(g185 +V\u000a\u000a +p402 +tp403 +a(g111 +Vconst +p404 +tp405 +a(g185 +V\u000a +p406 +tp407 +a(g18 +VBackSpace +p408 +tp409 +a(g185 +V +tp410 +a(g339 +V= +tp411 +a(g185 +V +tp412 +a(g264 +V#8 +p413 +tp414 +a(g339 +V; +tp415 +a(g185 +V\u000a +p416 +tp417 +a(g18 +VTab +p418 +tp419 +a(g185 +V +tp420 +a(g339 +V= +tp421 +a(g185 +V +tp422 +a(g264 +V#9 +p423 +tp424 +a(g339 +V; +tp425 +a(g185 +V\u000a +p426 +tp427 +a(g18 +VLF +p428 +tp429 +a(g185 +V +tp430 +a(g339 +V= +tp431 +a(g185 +V +tp432 +a(g264 +V#10 +p433 +tp434 +a(g339 +V; +tp435 +a(g185 +V +tp436 +a(g7 +V//Line Feed +p437 +tp438 +a(g185 +V\u000a +p439 +tp440 +a(g18 +VCR +p441 +tp442 +a(g185 +V +tp443 +a(g339 +V= +tp444 +a(g185 +V +tp445 +a(g264 +V#13 +p446 +tp447 +a(g339 +V; +tp448 +a(g185 +V +tp449 +a(g7 +V//Carriage Return +p450 +tp451 +a(g185 +V\u000a +p452 +tp453 +a(g18 +VSpace +p454 +tp455 +a(g185 +V +tp456 +a(g339 +V= +tp457 +a(g185 +V +tp458 +a(g264 +V#32 +p459 +tp460 +a(g339 +V; +tp461 +a(g185 +V\u000a +p462 +tp463 +a(g18 +VEOLChars +p464 +tp465 +a(g185 +V +tp466 +a(g339 +V= +tp467 +a(g185 +V +tp468 +a(g198 +V[ +tp469 +a(g18 +VCR +p470 +tp471 +a(g339 +V, +tp472 +a(g185 +V +tp473 +a(g18 +VLF +p474 +tp475 +a(g198 +V] +tp476 +a(g339 +V; +tp477 +a(g185 +V\u000a +tp478 +a(g354 +V{$IFNDEF VER140} +p479 +tp480 +a(g185 +V\u000a +p481 +tp482 +a(g18 +VsLineBreak +p483 +tp484 +a(g185 +V +tp485 +a(g339 +V= +tp486 +a(g185 +V +tp487 +a(g264 +V#13 +p488 +tp489 +a(g264 +V#10 +p490 +tp491 +a(g339 +V; +tp492 +a(g185 +V\u000a +p493 +tp494 +a(g18 +VSwitchChars +p495 +tp496 +a(g185 +V +tp497 +a(g339 +V= +tp498 +a(g185 +V +tp499 +a(g198 +V[ +tp500 +a(g222 +V' +tp501 +a(g222 +V/ +tp502 +a(g222 +V' +tp503 +a(g339 +V, +tp504 +a(g185 +V +tp505 +a(g222 +V' +tp506 +a(g222 +V- +tp507 +a(g222 +V' +tp508 +a(g198 +V] +tp509 +a(g339 +V; +tp510 +a(g185 +V\u000a +tp511 +a(g354 +V{$ENDIF} +p512 +tp513 +a(g185 +V\u000a +p514 +tp515 +a(g18 +VEOL +p516 +tp517 +a(g185 +V +tp518 +a(g339 +V= +tp519 +a(g185 +V +tp520 +a(g18 +VsLineBreak +p521 +tp522 +a(g339 +V; +tp523 +a(g185 +V\u000a +p524 +tp525 +a(g18 +VMaxCard +p526 +tp527 +a(g185 +V +tp528 +a(g339 +V= +tp529 +a(g185 +V +tp530 +a(g57 +VHigh +p531 +tp532 +a(g198 +V( +tp533 +a(g135 +VCardinal +p534 +tp535 +a(g198 +V) +tp536 +a(g339 +V; +tp537 +a(g185 +V\u000a +p538 +tp539 +a(g18 +VAllChars +p540 +tp541 +a(g185 +V +tp542 +a(g339 +V= +tp543 +a(g185 +V +tp544 +a(g198 +V[ +tp545 +a(g264 +V#0 +p546 +tp547 +a(g339 +V. +tp548 +a(g339 +V. +tp549 +a(g264 +V#255 +p550 +tp551 +a(g198 +V] +tp552 +a(g339 +V; +tp553 +a(g185 +V\u000a +p554 +tp555 +a(g18 +VAlphabetical +p556 +tp557 +a(g185 +V +tp558 +a(g339 +V= +tp559 +a(g185 +V +tp560 +a(g198 +V[ +tp561 +a(g222 +V' +tp562 +a(g222 +VA +tp563 +a(g222 +V' +tp564 +a(g339 +V. +tp565 +a(g339 +V. +tp566 +a(g222 +V' +tp567 +a(g222 +VZ +tp568 +a(g222 +V' +tp569 +a(g339 +V, +tp570 +a(g185 +V +tp571 +a(g222 +V' +tp572 +a(g222 +Va +tp573 +a(g222 +V' +tp574 +a(g339 +V. +tp575 +a(g339 +V. +tp576 +a(g222 +V' +tp577 +a(g222 +Vz +tp578 +a(g222 +V' +tp579 +a(g198 +V] +tp580 +a(g339 +V; +tp581 +a(g185 +V\u000a +p582 +tp583 +a(g18 +VDecimalChars +p584 +tp585 +a(g185 +V +tp586 +a(g339 +V= +tp587 +a(g185 +V +tp588 +a(g198 +V[ +tp589 +a(g222 +V' +tp590 +a(g222 +V0 +tp591 +a(g222 +V' +tp592 +a(g339 +V. +tp593 +a(g339 +V. +tp594 +a(g222 +V' +tp595 +a(g222 +V9 +tp596 +a(g222 +V' +tp597 +a(g198 +V] +tp598 +a(g339 +V; +tp599 +a(g185 +V\u000a +p600 +tp601 +a(g18 +VAlphaNumerical +p602 +tp603 +a(g185 +V +tp604 +a(g339 +V= +tp605 +a(g185 +V +tp606 +a(g18 +VAlphabetical +p607 +tp608 +a(g185 +V +tp609 +a(g339 +V+ +tp610 +a(g185 +V +tp611 +a(g18 +VDecimalChars +p612 +tp613 +a(g339 +V; +tp614 +a(g185 +V\u000a +p615 +tp616 +a(g18 +VStrangeChars +p617 +tp618 +a(g185 +V +tp619 +a(g339 +V= +tp620 +a(g185 +V +tp621 +a(g198 +V[ +tp622 +a(g264 +V#0 +p623 +tp624 +a(g339 +V. +tp625 +a(g339 +V. +tp626 +a(g264 +V#31 +p627 +tp628 +a(g339 +V, +tp629 +a(g185 +V +tp630 +a(g264 +V#127 +p631 +tp632 +a(g339 +V, +tp633 +a(g185 +V +tp634 +a(g264 +V#129 +p635 +tp636 +a(g339 +V, +tp637 +a(g185 +V +tp638 +a(g264 +V#141 +p639 +tp640 +a(g339 +V. +tp641 +a(g339 +V. +tp642 +a(g264 +V#144 +p643 +tp644 +a(g339 +V, +tp645 +a(g185 +V +tp646 +a(g264 +V#157 +p647 +tp648 +a(g339 +V, +tp649 +a(g185 +V +tp650 +a(g264 +V#158 +p651 +tp652 +a(g198 +V] +tp653 +a(g339 +V; +tp654 +a(g185 +V\u000a\u000a +p655 +tp656 +a(g18 +VHexadecimalChars +p657 +tp658 +a(g185 +V +tp659 +a(g339 +V= +tp660 +a(g185 +V +tp661 +a(g18 +VDecimalChars +p662 +tp663 +a(g185 +V +tp664 +a(g339 +V+ +tp665 +a(g185 +V +tp666 +a(g198 +V[ +tp667 +a(g222 +V' +tp668 +a(g222 +VA +tp669 +a(g222 +V' +tp670 +a(g339 +V. +tp671 +a(g339 +V. +tp672 +a(g222 +V' +tp673 +a(g222 +VF +tp674 +a(g222 +V' +tp675 +a(g339 +V, +tp676 +a(g185 +V +tp677 +a(g222 +V' +tp678 +a(g222 +Va +tp679 +a(g222 +V' +tp680 +a(g339 +V. +tp681 +a(g339 +V. +tp682 +a(g222 +V' +tp683 +a(g222 +Vf +tp684 +a(g222 +V' +tp685 +a(g198 +V] +tp686 +a(g339 +V; +tp687 +a(g185 +V\u000a +p688 +tp689 +a(g18 +VOctalChars +p690 +tp691 +a(g185 +V +tp692 +a(g339 +V= +tp693 +a(g185 +V +tp694 +a(g198 +V[ +tp695 +a(g222 +V' +tp696 +a(g222 +V0 +tp697 +a(g222 +V' +tp698 +a(g339 +V. +tp699 +a(g339 +V. +tp700 +a(g222 +V' +tp701 +a(g222 +V7 +tp702 +a(g222 +V' +tp703 +a(g198 +V] +tp704 +a(g339 +V; +tp705 +a(g185 +V\u000a +p706 +tp707 +a(g18 +VBinaryChars +p708 +tp709 +a(g185 +V +tp710 +a(g339 +V= +tp711 +a(g185 +V +tp712 +a(g198 +V[ +tp713 +a(g222 +V' +tp714 +a(g222 +V0 +tp715 +a(g222 +V' +tp716 +a(g339 +V, +tp717 +a(g185 +V +tp718 +a(g222 +V' +tp719 +a(g222 +V1 +tp720 +a(g222 +V' +tp721 +a(g198 +V] +tp722 +a(g339 +V; +tp723 +a(g185 +V\u000a\u000a +p724 +tp725 +a(g18 +VQuoteChars +p726 +tp727 +a(g185 +V +tp728 +a(g339 +V= +tp729 +a(g185 +V +tp730 +a(g198 +V[ +tp731 +a(g222 +V' +tp732 +a(g248 +V'' +p733 +tp734 +a(g222 +V' +tp735 +a(g339 +V, +tp736 +a(g185 +V +tp737 +a(g222 +V' +tp738 +a(g222 +V" +tp739 +a(g222 +V' +tp740 +a(g198 +V] +tp741 +a(g339 +V; +tp742 +a(g185 +V\u000a +p743 +tp744 +a(g18 +VWildCards +p745 +tp746 +a(g185 +V +tp747 +a(g339 +V= +tp748 +a(g185 +V +tp749 +a(g198 +V[ +tp750 +a(g222 +V' +tp751 +a(g222 +V* +tp752 +a(g222 +V' +tp753 +a(g339 +V, +tp754 +a(g185 +V +tp755 +a(g222 +V' +tp756 +a(g222 +V? +tp757 +a(g222 +V' +tp758 +a(g198 +V] +tp759 +a(g339 +V; +tp760 +a(g185 +V\u000a +p761 +tp762 +a(g18 +VFileNameEnemies +p763 +tp764 +a(g185 +V +tp765 +a(g339 +V= +tp766 +a(g185 +V +tp767 +a(g18 +VWildCards +p768 +tp769 +a(g185 +V +tp770 +a(g339 +V+ +tp771 +a(g185 +V +tp772 +a(g198 +V[ +tp773 +a(g222 +V' +tp774 +a(g222 +V\u005c +tp775 +a(g222 +V' +tp776 +a(g339 +V, +tp777 +a(g185 +V +tp778 +a(g222 +V' +tp779 +a(g222 +V/ +tp780 +a(g222 +V' +tp781 +a(g339 +V, +tp782 +a(g185 +V +tp783 +a(g222 +V' +tp784 +a(g222 +V: +tp785 +a(g222 +V' +tp786 +a(g339 +V, +tp787 +a(g185 +V +tp788 +a(g222 +V' +tp789 +a(g222 +V< +tp790 +a(g222 +V' +tp791 +a(g339 +V, +tp792 +a(g185 +V +tp793 +a(g222 +V' +tp794 +a(g222 +V> +tp795 +a(g222 +V' +tp796 +a(g339 +V, +tp797 +a(g185 +V +tp798 +a(g222 +V' +tp799 +a(g222 +V| +tp800 +a(g222 +V' +tp801 +a(g198 +V] +tp802 +a(g339 +V; +tp803 +a(g185 +V\u000a\u000a +p804 +tp805 +a(g18 +VHexChar +p806 +tp807 +a(g339 +V: +tp808 +a(g185 +V +tp809 +a(g111 +Varray +p810 +tp811 +a(g198 +V[ +tp812 +a(g18 +VTHex +p813 +tp814 +a(g198 +V] +tp815 +a(g185 +V +tp816 +a(g111 +Vof +p817 +tp818 +a(g185 +V +tp819 +a(g135 +VChar +p820 +tp821 +a(g185 +V +tp822 +a(g339 +V= +tp823 +a(g185 +V +tp824 +a(g198 +V( +tp825 +a(g185 +V\u000a +p826 +tp827 +a(g222 +V' +tp828 +a(g222 +V0 +tp829 +a(g222 +V' +tp830 +a(g339 +V, +tp831 +a(g222 +V' +tp832 +a(g222 +V1 +tp833 +a(g222 +V' +tp834 +a(g339 +V, +tp835 +a(g222 +V' +tp836 +a(g222 +V2 +tp837 +a(g222 +V' +tp838 +a(g339 +V, +tp839 +a(g222 +V' +tp840 +a(g222 +V3 +tp841 +a(g222 +V' +tp842 +a(g339 +V, +tp843 +a(g222 +V' +tp844 +a(g222 +V4 +tp845 +a(g222 +V' +tp846 +a(g339 +V, +tp847 +a(g222 +V' +tp848 +a(g222 +V5 +tp849 +a(g222 +V' +tp850 +a(g339 +V, +tp851 +a(g222 +V' +tp852 +a(g222 +V6 +tp853 +a(g222 +V' +tp854 +a(g339 +V, +tp855 +a(g222 +V' +tp856 +a(g222 +V7 +tp857 +a(g222 +V' +tp858 +a(g339 +V, +tp859 +a(g222 +V' +tp860 +a(g222 +V8 +tp861 +a(g222 +V' +tp862 +a(g339 +V, +tp863 +a(g222 +V' +tp864 +a(g222 +V9 +tp865 +a(g222 +V' +tp866 +a(g339 +V, +tp867 +a(g222 +V' +tp868 +a(g222 +VA +tp869 +a(g222 +V' +tp870 +a(g339 +V, +tp871 +a(g222 +V' +tp872 +a(g222 +VB +tp873 +a(g222 +V' +tp874 +a(g339 +V, +tp875 +a(g222 +V' +tp876 +a(g222 +VC +tp877 +a(g222 +V' +tp878 +a(g339 +V, +tp879 +a(g222 +V' +tp880 +a(g222 +VD +tp881 +a(g222 +V' +tp882 +a(g339 +V, +tp883 +a(g222 +V' +tp884 +a(g222 +VE +tp885 +a(g222 +V' +tp886 +a(g339 +V, +tp887 +a(g222 +V' +tp888 +a(g222 +VF +tp889 +a(g222 +V' +tp890 +a(g198 +V) +tp891 +a(g339 +V; +tp892 +a(g185 +V\u000a +p893 +tp894 +a(g18 +VLowerHexChar +p895 +tp896 +a(g339 +V: +tp897 +a(g185 +V +tp898 +a(g111 +Varray +p899 +tp900 +a(g198 +V[ +tp901 +a(g18 +VTHex +p902 +tp903 +a(g198 +V] +tp904 +a(g185 +V +tp905 +a(g111 +Vof +p906 +tp907 +a(g185 +V +tp908 +a(g135 +VChar +p909 +tp910 +a(g185 +V +tp911 +a(g339 +V= +tp912 +a(g185 +V +tp913 +a(g198 +V( +tp914 +a(g185 +V\u000a +p915 +tp916 +a(g222 +V' +tp917 +a(g222 +V0 +tp918 +a(g222 +V' +tp919 +a(g339 +V, +tp920 +a(g222 +V' +tp921 +a(g222 +V1 +tp922 +a(g222 +V' +tp923 +a(g339 +V, +tp924 +a(g222 +V' +tp925 +a(g222 +V2 +tp926 +a(g222 +V' +tp927 +a(g339 +V, +tp928 +a(g222 +V' +tp929 +a(g222 +V3 +tp930 +a(g222 +V' +tp931 +a(g339 +V, +tp932 +a(g222 +V' +tp933 +a(g222 +V4 +tp934 +a(g222 +V' +tp935 +a(g339 +V, +tp936 +a(g222 +V' +tp937 +a(g222 +V5 +tp938 +a(g222 +V' +tp939 +a(g339 +V, +tp940 +a(g222 +V' +tp941 +a(g222 +V6 +tp942 +a(g222 +V' +tp943 +a(g339 +V, +tp944 +a(g222 +V' +tp945 +a(g222 +V7 +tp946 +a(g222 +V' +tp947 +a(g339 +V, +tp948 +a(g222 +V' +tp949 +a(g222 +V8 +tp950 +a(g222 +V' +tp951 +a(g339 +V, +tp952 +a(g222 +V' +tp953 +a(g222 +V9 +tp954 +a(g222 +V' +tp955 +a(g339 +V, +tp956 +a(g222 +V' +tp957 +a(g222 +Va +tp958 +a(g222 +V' +tp959 +a(g339 +V, +tp960 +a(g222 +V' +tp961 +a(g222 +Vb +tp962 +a(g222 +V' +tp963 +a(g339 +V, +tp964 +a(g222 +V' +tp965 +a(g222 +Vc +tp966 +a(g222 +V' +tp967 +a(g339 +V, +tp968 +a(g222 +V' +tp969 +a(g222 +Vd +tp970 +a(g222 +V' +tp971 +a(g339 +V, +tp972 +a(g222 +V' +tp973 +a(g222 +Ve +tp974 +a(g222 +V' +tp975 +a(g339 +V, +tp976 +a(g222 +V' +tp977 +a(g222 +Vf +tp978 +a(g222 +V' +tp979 +a(g198 +V) +tp980 +a(g339 +V; +tp981 +a(g185 +V\u000a +p982 +tp983 +a(g18 +VBaseNChar +p984 +tp985 +a(g339 +V: +tp986 +a(g185 +V +tp987 +a(g111 +Varray +p988 +tp989 +a(g198 +V[ +tp990 +a(g18 +VTBaseN +p991 +tp992 +a(g198 +V] +tp993 +a(g185 +V +tp994 +a(g111 +Vof +p995 +tp996 +a(g185 +V +tp997 +a(g135 +VChar +p998 +tp999 +a(g185 +V +tp1000 +a(g339 +V= +tp1001 +a(g185 +V +tp1002 +a(g198 +V( +tp1003 +a(g185 +V\u000a +p1004 +tp1005 +a(g222 +V' +tp1006 +a(g222 +V0 +tp1007 +a(g222 +V' +tp1008 +a(g339 +V, +tp1009 +a(g222 +V' +tp1010 +a(g222 +V1 +tp1011 +a(g222 +V' +tp1012 +a(g339 +V, +tp1013 +a(g222 +V' +tp1014 +a(g222 +V2 +tp1015 +a(g222 +V' +tp1016 +a(g339 +V, +tp1017 +a(g222 +V' +tp1018 +a(g222 +V3 +tp1019 +a(g222 +V' +tp1020 +a(g339 +V, +tp1021 +a(g222 +V' +tp1022 +a(g222 +V4 +tp1023 +a(g222 +V' +tp1024 +a(g339 +V, +tp1025 +a(g222 +V' +tp1026 +a(g222 +V5 +tp1027 +a(g222 +V' +tp1028 +a(g339 +V, +tp1029 +a(g222 +V' +tp1030 +a(g222 +V6 +tp1031 +a(g222 +V' +tp1032 +a(g339 +V, +tp1033 +a(g222 +V' +tp1034 +a(g222 +V7 +tp1035 +a(g222 +V' +tp1036 +a(g339 +V, +tp1037 +a(g222 +V' +tp1038 +a(g222 +V8 +tp1039 +a(g222 +V' +tp1040 +a(g339 +V, +tp1041 +a(g222 +V' +tp1042 +a(g222 +V9 +tp1043 +a(g222 +V' +tp1044 +a(g339 +V, +tp1045 +a(g222 +V' +tp1046 +a(g222 +VA +tp1047 +a(g222 +V' +tp1048 +a(g339 +V, +tp1049 +a(g222 +V' +tp1050 +a(g222 +VB +tp1051 +a(g222 +V' +tp1052 +a(g339 +V, +tp1053 +a(g222 +V' +tp1054 +a(g222 +VC +tp1055 +a(g222 +V' +tp1056 +a(g339 +V, +tp1057 +a(g222 +V' +tp1058 +a(g222 +VD +tp1059 +a(g222 +V' +tp1060 +a(g339 +V, +tp1061 +a(g222 +V' +tp1062 +a(g222 +VE +tp1063 +a(g222 +V' +tp1064 +a(g339 +V, +tp1065 +a(g222 +V' +tp1066 +a(g222 +VF +tp1067 +a(g222 +V' +tp1068 +a(g339 +V, +tp1069 +a(g222 +V' +tp1070 +a(g222 +VG +tp1071 +a(g222 +V' +tp1072 +a(g339 +V, +tp1073 +a(g222 +V' +tp1074 +a(g222 +VH +tp1075 +a(g222 +V' +tp1076 +a(g339 +V, +tp1077 +a(g185 +V\u000a +p1078 +tp1079 +a(g222 +V' +tp1080 +a(g222 +VI +tp1081 +a(g222 +V' +tp1082 +a(g339 +V, +tp1083 +a(g222 +V' +tp1084 +a(g222 +VJ +tp1085 +a(g222 +V' +tp1086 +a(g339 +V, +tp1087 +a(g222 +V' +tp1088 +a(g222 +VK +tp1089 +a(g222 +V' +tp1090 +a(g339 +V, +tp1091 +a(g222 +V' +tp1092 +a(g222 +VL +tp1093 +a(g222 +V' +tp1094 +a(g339 +V, +tp1095 +a(g222 +V' +tp1096 +a(g222 +VM +tp1097 +a(g222 +V' +tp1098 +a(g339 +V, +tp1099 +a(g222 +V' +tp1100 +a(g222 +VN +tp1101 +a(g222 +V' +tp1102 +a(g339 +V, +tp1103 +a(g222 +V' +tp1104 +a(g222 +VO +tp1105 +a(g222 +V' +tp1106 +a(g339 +V, +tp1107 +a(g222 +V' +tp1108 +a(g222 +VP +tp1109 +a(g222 +V' +tp1110 +a(g339 +V, +tp1111 +a(g222 +V' +tp1112 +a(g222 +VQ +tp1113 +a(g222 +V' +tp1114 +a(g339 +V, +tp1115 +a(g222 +V' +tp1116 +a(g222 +VR +tp1117 +a(g222 +V' +tp1118 +a(g339 +V, +tp1119 +a(g222 +V' +tp1120 +a(g222 +VS +tp1121 +a(g222 +V' +tp1122 +a(g339 +V, +tp1123 +a(g222 +V' +tp1124 +a(g222 +VT +tp1125 +a(g222 +V' +tp1126 +a(g339 +V, +tp1127 +a(g222 +V' +tp1128 +a(g222 +VU +tp1129 +a(g222 +V' +tp1130 +a(g339 +V, +tp1131 +a(g222 +V' +tp1132 +a(g222 +VV +tp1133 +a(g222 +V' +tp1134 +a(g339 +V, +tp1135 +a(g222 +V' +tp1136 +a(g222 +VW +tp1137 +a(g222 +V' +tp1138 +a(g339 +V, +tp1139 +a(g222 +V' +tp1140 +a(g222 +VX +tp1141 +a(g222 +V' +tp1142 +a(g339 +V, +tp1143 +a(g222 +V' +tp1144 +a(g222 +VY +tp1145 +a(g222 +V' +tp1146 +a(g339 +V, +tp1147 +a(g222 +V' +tp1148 +a(g222 +VZ +tp1149 +a(g222 +V' +tp1150 +a(g198 +V) +tp1151 +a(g339 +V; +tp1152 +a(g185 +V\u000a\u000a +p1153 +tp1154 +a(g18 +VcYcnusOverlayColor +p1155 +tp1156 +a(g185 +V +tp1157 +a(g339 +V= +tp1158 +a(g185 +V +tp1159 +a(g310 +V$050001 +p1160 +tp1161 +a(g339 +V; +tp1162 +a(g185 +V\u000a\u000a +p1163 +tp1164 +a(g18 +VfaFindEveryFile +p1165 +tp1166 +a(g185 +V +tp1167 +a(g339 +V= +tp1168 +a(g185 +V +tp1169 +a(g18 +VfaReadOnly +p1170 +tp1171 +a(g185 +V +tp1172 +a(g339 +V+ +tp1173 +a(g185 +V +tp1174 +a(g18 +VfaHidden +p1175 +tp1176 +a(g185 +V +tp1177 +a(g339 +V+ +tp1178 +a(g185 +V +tp1179 +a(g18 +VfaSysFile +p1180 +tp1181 +a(g185 +V +tp1182 +a(g339 +V+ +tp1183 +a(g185 +V +tp1184 +a(g18 +VfaArchive +p1185 +tp1186 +a(g339 +V; +tp1187 +a(g185 +V\u000a\u000a +p1188 +tp1189 +a(g18 +VplatWin9x +p1190 +tp1191 +a(g185 +V +tp1192 +a(g339 +V= +tp1193 +a(g185 +V +tp1194 +a(g198 +V[ +tp1195 +a(g18 +VVER_PLATFORM_WIN32s +p1196 +tp1197 +a(g339 +V, +tp1198 +a(g185 +V +tp1199 +a(g18 +VVER_PLATFORM_WIN32_WINDOWS +p1200 +tp1201 +a(g198 +V] +tp1202 +a(g339 +V; +tp1203 +a(g185 +V\u000a\u000a\u000a +p1204 +tp1205 +a(g354 +V{ Debugging } +p1206 +tp1207 +a(g185 +V\u000a +tp1208 +a(g111 +Vprocedure +p1209 +tp1210 +a(g185 +V +tp1211 +a(g21 +VClearReport +p1212 +tp1213 +a(g198 +V( +tp1214 +a(g111 +Vconst +p1215 +tp1216 +a(g185 +V +tp1217 +a(g18 +VReportName +p1218 +tp1219 +a(g339 +V: +tp1220 +a(g185 +V +tp1221 +a(g111 +Vstring +p1222 +tp1223 +a(g198 +V) +tp1224 +a(g339 +V; +tp1225 +a(g185 +V\u000a +tp1226 +a(g111 +Vprocedure +p1227 +tp1228 +a(g185 +V +tp1229 +a(g21 +VReport +p1230 +tp1231 +a(g198 +V( +tp1232 +a(g111 +Vconst +p1233 +tp1234 +a(g185 +V +tp1235 +a(g18 +VReportName +p1236 +tp1237 +a(g339 +V, +tp1238 +a(g185 +V +tp1239 +a(g18 +VText +p1240 +tp1241 +a(g339 +V: +tp1242 +a(g185 +V +tp1243 +a(g111 +Vstring +p1244 +tp1245 +a(g198 +V) +tp1246 +a(g339 +V; +tp1247 +a(g185 +V\u000a +tp1248 +a(g111 +Vprocedure +p1249 +tp1250 +a(g185 +V +tp1251 +a(g21 +VReportFmt +p1252 +tp1253 +a(g198 +V( +tp1254 +a(g111 +Vconst +p1255 +tp1256 +a(g185 +V +tp1257 +a(g18 +VReportName +p1258 +tp1259 +a(g339 +V, +tp1260 +a(g185 +V +tp1261 +a(g18 +VFmt +p1262 +tp1263 +a(g339 +V: +tp1264 +a(g185 +V +tp1265 +a(g111 +Vstring +p1266 +tp1267 +a(g339 +V; +tp1268 +a(g185 +V +tp1269 +a(g111 +Vconst +p1270 +tp1271 +a(g185 +V +tp1272 +a(g18 +VArgs +p1273 +tp1274 +a(g339 +V: +tp1275 +a(g185 +V +tp1276 +a(g111 +Varray +p1277 +tp1278 +a(g185 +V +tp1279 +a(g111 +Vof +p1280 +tp1281 +a(g185 +V +tp1282 +a(g111 +Vconst +p1283 +tp1284 +a(g198 +V) +tp1285 +a(g339 +V; +tp1286 +a(g185 +V\u000a\u000a +p1287 +tp1288 +a(g354 +V{ Params } +p1289 +tp1290 +a(g185 +V\u000a +tp1291 +a(g111 +Vprocedure +p1292 +tp1293 +a(g185 +V +tp1294 +a(g21 +VGetParams +p1295 +tp1296 +a(g198 +V( +tp1297 +a(g18 +VStrings +p1298 +tp1299 +a(g339 +V: +tp1300 +a(g185 +V +tp1301 +a(g18 +VTStrings +p1302 +tp1303 +a(g198 +V) +tp1304 +a(g339 +V; +tp1305 +a(g185 +V +tp1306 +a(g18 +Voverload +p1307 +tp1308 +a(g339 +V; +tp1309 +a(g185 +V\u000a +tp1310 +a(g111 +Vfunction +p1311 +tp1312 +a(g185 +V +tp1313 +a(g21 +VGetParams +p1314 +tp1315 +a(g198 +V( +tp1316 +a(g111 +Vconst +p1317 +tp1318 +a(g185 +V +tp1319 +a(g18 +VSeparator +p1320 +tp1321 +a(g339 +V: +tp1322 +a(g185 +V +tp1323 +a(g111 +Vstring +p1324 +tp1325 +a(g185 +V +tp1326 +a(g339 +V= +tp1327 +a(g185 +V +tp1328 +a(g222 +V' +tp1329 +a(g222 +V +tp1330 +a(g222 +V' +tp1331 +a(g198 +V) +tp1332 +a(g339 +V: +tp1333 +a(g185 +V +tp1334 +a(g111 +Vstring +p1335 +tp1336 +a(g339 +V; +tp1337 +a(g185 +V +tp1338 +a(g18 +Voverload +p1339 +tp1340 +a(g339 +V; +tp1341 +a(g185 +V\u000a\u000a +p1342 +tp1343 +a(g111 +Vfunction +p1344 +tp1345 +a(g185 +V +tp1346 +a(g21 +VParamNum +p1347 +tp1348 +a(g198 +V( +tp1349 +a(g111 +Vconst +p1350 +tp1351 +a(g185 +V +tp1352 +a(g18 +VS +tp1353 +a(g339 +V: +tp1354 +a(g185 +V +tp1355 +a(g111 +Vstring +p1356 +tp1357 +a(g198 +V) +tp1358 +a(g339 +V: +tp1359 +a(g185 +V +tp1360 +a(g135 +VInteger +p1361 +tp1362 +a(g339 +V; +tp1363 +a(g185 +V\u000a +tp1364 +a(g111 +Vfunction +p1365 +tp1366 +a(g185 +V +tp1367 +a(g21 +VParamPrefixNum +p1368 +tp1369 +a(g198 +V( +tp1370 +a(g111 +Vconst +p1371 +tp1372 +a(g185 +V +tp1373 +a(g18 +VPrefix +p1374 +tp1375 +a(g339 +V: +tp1376 +a(g185 +V +tp1377 +a(g111 +Vstring +p1378 +tp1379 +a(g198 +V) +tp1380 +a(g339 +V: +tp1381 +a(g185 +V +tp1382 +a(g135 +VInteger +p1383 +tp1384 +a(g339 +V; +tp1385 +a(g185 +V\u000a +tp1386 +a(g111 +Vfunction +p1387 +tp1388 +a(g185 +V +tp1389 +a(g21 +VParam +p1390 +tp1391 +a(g198 +V( +tp1392 +a(g111 +Vconst +p1393 +tp1394 +a(g185 +V +tp1395 +a(g18 +VS +tp1396 +a(g339 +V: +tp1397 +a(g185 +V +tp1398 +a(g111 +Vstring +p1399 +tp1400 +a(g198 +V) +tp1401 +a(g339 +V: +tp1402 +a(g185 +V +tp1403 +a(g135 +VBoolean +p1404 +tp1405 +a(g339 +V; +tp1406 +a(g185 +V\u000a +tp1407 +a(g111 +Vfunction +p1408 +tp1409 +a(g185 +V +tp1410 +a(g21 +VParamPrefix +p1411 +tp1412 +a(g198 +V( +tp1413 +a(g111 +Vconst +p1414 +tp1415 +a(g185 +V +tp1416 +a(g18 +VPrefix +p1417 +tp1418 +a(g339 +V: +tp1419 +a(g185 +V +tp1420 +a(g111 +Vstring +p1421 +tp1422 +a(g198 +V) +tp1423 +a(g339 +V: +tp1424 +a(g185 +V +tp1425 +a(g135 +VBoolean +p1426 +tp1427 +a(g339 +V; +tp1428 +a(g185 +V\u000a\u000a +p1429 +tp1430 +a(g111 +Vfunction +p1431 +tp1432 +a(g185 +V +tp1433 +a(g21 +VSwitch +p1434 +tp1435 +a(g198 +V( +tp1436 +a(g111 +Vconst +p1437 +tp1438 +a(g185 +V +tp1439 +a(g18 +VSwitch +p1440 +tp1441 +a(g339 +V: +tp1442 +a(g185 +V +tp1443 +a(g111 +Vstring +p1444 +tp1445 +a(g339 +V; +tp1446 +a(g185 +V +tp1447 +a(g111 +Vconst +p1448 +tp1449 +a(g185 +V +tp1450 +a(g18 +VPrefixChars +p1451 +tp1452 +a(g339 +V: +tp1453 +a(g185 +V +tp1454 +a(g18 +VTCharSet +p1455 +tp1456 +a(g185 +V +tp1457 +a(g339 +V= +tp1458 +a(g185 +V +tp1459 +a(g18 +VSwitchChars +p1460 +tp1461 +a(g339 +V; +tp1462 +a(g185 +V\u000a +p1463 +tp1464 +a(g18 +VIgnoreCase +p1465 +tp1466 +a(g339 +V: +tp1467 +a(g185 +V +tp1468 +a(g135 +VBoolean +p1469 +tp1470 +a(g185 +V +tp1471 +a(g339 +V= +tp1472 +a(g185 +V +tp1473 +a(g111 +VTrue +p1474 +tp1475 +a(g198 +V) +tp1476 +a(g339 +V: +tp1477 +a(g185 +V +tp1478 +a(g135 +VBoolean +p1479 +tp1480 +a(g339 +V; +tp1481 +a(g185 +V\u000a +tp1482 +a(g111 +Vfunction +p1483 +tp1484 +a(g185 +V +tp1485 +a(g21 +VGetParam +p1486 +tp1487 +a(g198 +V( +tp1488 +a(g111 +Vconst +p1489 +tp1490 +a(g185 +V +tp1491 +a(g18 +VPrefix +p1492 +tp1493 +a(g339 +V: +tp1494 +a(g185 +V +tp1495 +a(g111 +Vstring +p1496 +tp1497 +a(g185 +V +tp1498 +a(g339 +V= +tp1499 +a(g185 +V +tp1500 +a(g222 +V' +tp1501 +a(g222 +V' +tp1502 +a(g339 +V; +tp1503 +a(g185 +V +tp1504 +a(g111 +Vconst +p1505 +tp1506 +a(g185 +V +tp1507 +a(g119 +VDefault +p1508 +tp1509 +a(g339 +V: +tp1510 +a(g185 +V +tp1511 +a(g111 +Vstring +p1512 +tp1513 +a(g185 +V +tp1514 +a(g339 +V= +tp1515 +a(g185 +V +tp1516 +a(g222 +V' +tp1517 +a(g222 +V' +tp1518 +a(g198 +V) +tp1519 +a(g339 +V: +tp1520 +a(g185 +V +tp1521 +a(g111 +Vstring +p1522 +tp1523 +a(g339 +V; +tp1524 +a(g185 +V\u000a\u000a +p1525 +tp1526 +a(g354 +V{ Dirs & UserName} +p1527 +tp1528 +a(g185 +V\u000a +tp1529 +a(g111 +Vfunction +p1530 +tp1531 +a(g185 +V +tp1532 +a(g21 +VGetMyDir +p1533 +tp1534 +a(g198 +V( +tp1535 +a(g18 +VFullPath +p1536 +tp1537 +a(g339 +V: +tp1538 +a(g185 +V +tp1539 +a(g135 +VBoolean +p1540 +tp1541 +a(g185 +V +tp1542 +a(g339 +V= +tp1543 +a(g185 +V +tp1544 +a(g111 +VFalse +p1545 +tp1546 +a(g198 +V) +tp1547 +a(g339 +V: +tp1548 +a(g185 +V +tp1549 +a(g111 +Vstring +p1550 +tp1551 +a(g339 +V; +tp1552 +a(g185 +V\u000a +tp1553 +a(g111 +Vfunction +p1554 +tp1555 +a(g185 +V +tp1556 +a(g21 +VWinDir +p1557 +tp1558 +a(g339 +V: +tp1559 +a(g185 +V +tp1560 +a(g111 +Vstring +p1561 +tp1562 +a(g339 +V; +tp1563 +a(g185 +V\u000a +tp1564 +a(g111 +Vfunction +p1565 +tp1566 +a(g185 +V +tp1567 +a(g21 +VSysDir +p1568 +tp1569 +a(g339 +V: +tp1570 +a(g185 +V +tp1571 +a(g111 +Vstring +p1572 +tp1573 +a(g339 +V; +tp1574 +a(g185 +V\u000a +tp1575 +a(g111 +Vfunction +p1576 +tp1577 +a(g185 +V +tp1578 +a(g21 +VUserName +p1579 +tp1580 +a(g339 +V: +tp1581 +a(g185 +V +tp1582 +a(g111 +Vstring +p1583 +tp1584 +a(g339 +V; +tp1585 +a(g185 +V\u000a\u000a +p1586 +tp1587 +a(g354 +V{ Strings & Chars} +p1588 +tp1589 +a(g185 +V\u000a +tp1590 +a(g111 +Vfunction +p1591 +tp1592 +a(g185 +V +tp1593 +a(g21 +VFirstChar +p1594 +tp1595 +a(g198 +V( +tp1596 +a(g111 +Vconst +p1597 +tp1598 +a(g185 +V +tp1599 +a(g18 +VS +tp1600 +a(g339 +V: +tp1601 +a(g185 +V +tp1602 +a(g111 +Vstring +p1603 +tp1604 +a(g198 +V) +tp1605 +a(g339 +V: +tp1606 +a(g185 +V +tp1607 +a(g135 +VChar +p1608 +tp1609 +a(g339 +V; +tp1610 +a(g185 +V\u000a +tp1611 +a(g111 +Vfunction +p1612 +tp1613 +a(g185 +V +tp1614 +a(g21 +VLastChar +p1615 +tp1616 +a(g198 +V( +tp1617 +a(g111 +Vconst +p1618 +tp1619 +a(g185 +V +tp1620 +a(g18 +VS +tp1621 +a(g339 +V: +tp1622 +a(g185 +V +tp1623 +a(g111 +Vstring +p1624 +tp1625 +a(g198 +V) +tp1626 +a(g339 +V: +tp1627 +a(g185 +V +tp1628 +a(g135 +VChar +p1629 +tp1630 +a(g339 +V; +tp1631 +a(g185 +V\u000a\u000a +p1632 +tp1633 +a(g111 +Vfunction +p1634 +tp1635 +a(g185 +V +tp1636 +a(g21 +VCharPos +p1637 +tp1638 +a(g198 +V( +tp1639 +a(g18 +VC +tp1640 +a(g339 +V: +tp1641 +a(g185 +V +tp1642 +a(g135 +VChar +p1643 +tp1644 +a(g339 +V; +tp1645 +a(g185 +V +tp1646 +a(g111 +Vconst +p1647 +tp1648 +a(g185 +V +tp1649 +a(g18 +VS +tp1650 +a(g339 +V: +tp1651 +a(g185 +V +tp1652 +a(g111 +Vstring +p1653 +tp1654 +a(g339 +V; +tp1655 +a(g185 +V +tp1656 +a(g18 +VOffset +p1657 +tp1658 +a(g339 +V: +tp1659 +a(g185 +V +tp1660 +a(g135 +VInteger +p1661 +tp1662 +a(g185 +V +tp1663 +a(g339 +V= +tp1664 +a(g185 +V +tp1665 +a(g314 +V1 +tp1666 +a(g198 +V) +tp1667 +a(g339 +V: +tp1668 +a(g185 +V +tp1669 +a(g135 +VInteger +p1670 +tp1671 +a(g339 +V; +tp1672 +a(g185 +V +tp1673 +a(g18 +Voverload +p1674 +tp1675 +a(g339 +V; +tp1676 +a(g185 +V\u000a +tp1677 +a(g111 +Vfunction +p1678 +tp1679 +a(g185 +V +tp1680 +a(g21 +VCharPos +p1681 +tp1682 +a(g198 +V( +tp1683 +a(g18 +VC +tp1684 +a(g339 +V: +tp1685 +a(g185 +V +tp1686 +a(g18 +VTCharSet +p1687 +tp1688 +a(g339 +V; +tp1689 +a(g185 +V +tp1690 +a(g111 +Vconst +p1691 +tp1692 +a(g185 +V +tp1693 +a(g18 +VS +tp1694 +a(g339 +V: +tp1695 +a(g185 +V +tp1696 +a(g111 +Vstring +p1697 +tp1698 +a(g339 +V; +tp1699 +a(g185 +V +tp1700 +a(g18 +VOffset +p1701 +tp1702 +a(g339 +V: +tp1703 +a(g185 +V +tp1704 +a(g135 +VInteger +p1705 +tp1706 +a(g185 +V +tp1707 +a(g339 +V= +tp1708 +a(g185 +V +tp1709 +a(g314 +V1 +tp1710 +a(g198 +V) +tp1711 +a(g339 +V: +tp1712 +a(g185 +V +tp1713 +a(g135 +VInteger +p1714 +tp1715 +a(g339 +V; +tp1716 +a(g185 +V +tp1717 +a(g18 +Voverload +p1718 +tp1719 +a(g339 +V; +tp1720 +a(g185 +V\u000a +tp1721 +a(g111 +Vfunction +p1722 +tp1723 +a(g185 +V +tp1724 +a(g21 +VCharPosR +p1725 +tp1726 +a(g198 +V( +tp1727 +a(g18 +VC +tp1728 +a(g339 +V: +tp1729 +a(g185 +V +tp1730 +a(g135 +VChar +p1731 +tp1732 +a(g339 +V; +tp1733 +a(g185 +V +tp1734 +a(g111 +Vconst +p1735 +tp1736 +a(g185 +V +tp1737 +a(g18 +VS +tp1738 +a(g339 +V: +tp1739 +a(g185 +V +tp1740 +a(g111 +Vstring +p1741 +tp1742 +a(g339 +V; +tp1743 +a(g185 +V +tp1744 +a(g18 +VOffset +p1745 +tp1746 +a(g339 +V: +tp1747 +a(g185 +V +tp1748 +a(g135 +VInteger +p1749 +tp1750 +a(g185 +V +tp1751 +a(g339 +V= +tp1752 +a(g185 +V +tp1753 +a(g339 +V- +tp1754 +a(g314 +V1 +tp1755 +a(g198 +V) +tp1756 +a(g339 +V: +tp1757 +a(g185 +V +tp1758 +a(g135 +VInteger +p1759 +tp1760 +a(g339 +V; +tp1761 +a(g185 +V\u000a +tp1762 +a(g111 +Vfunction +p1763 +tp1764 +a(g185 +V +tp1765 +a(g21 +VPosEx +p1766 +tp1767 +a(g198 +V( +tp1768 +a(g111 +Vconst +p1769 +tp1770 +a(g185 +V +tp1771 +a(g18 +VSubStr +p1772 +tp1773 +a(g339 +V, +tp1774 +a(g185 +V +tp1775 +a(g18 +VS +tp1776 +a(g339 +V: +tp1777 +a(g185 +V +tp1778 +a(g111 +Vstring +p1779 +tp1780 +a(g339 +V; +tp1781 +a(g185 +V +tp1782 +a(g18 +VOffset +p1783 +tp1784 +a(g339 +V: +tp1785 +a(g185 +V +tp1786 +a(g135 +VInteger +p1787 +tp1788 +a(g185 +V +tp1789 +a(g339 +V= +tp1790 +a(g185 +V +tp1791 +a(g314 +V1 +tp1792 +a(g198 +V) +tp1793 +a(g339 +V: +tp1794 +a(g185 +V +tp1795 +a(g135 +VInteger +p1796 +tp1797 +a(g339 +V; +tp1798 +a(g185 +V\u000a +tp1799 +a(g111 +Vfunction +p1800 +tp1801 +a(g185 +V +tp1802 +a(g21 +VPosExText +p1803 +tp1804 +a(g198 +V( +tp1805 +a(g111 +Vconst +p1806 +tp1807 +a(g185 +V +tp1808 +a(g18 +VSubStr +p1809 +tp1810 +a(g339 +V, +tp1811 +a(g185 +V +tp1812 +a(g18 +VS +tp1813 +a(g339 +V: +tp1814 +a(g185 +V +tp1815 +a(g111 +Vstring +p1816 +tp1817 +a(g339 +V; +tp1818 +a(g185 +V +tp1819 +a(g18 +VOffset +p1820 +tp1821 +a(g339 +V: +tp1822 +a(g185 +V +tp1823 +a(g135 +VInteger +p1824 +tp1825 +a(g185 +V +tp1826 +a(g339 +V= +tp1827 +a(g185 +V +tp1828 +a(g314 +V1 +tp1829 +a(g198 +V) +tp1830 +a(g339 +V: +tp1831 +a(g185 +V +tp1832 +a(g135 +VInteger +p1833 +tp1834 +a(g339 +V; +tp1835 +a(g185 +V\u000a +tp1836 +a(g111 +Vfunction +p1837 +tp1838 +a(g185 +V +tp1839 +a(g21 +VPosExAnsiText +p1840 +tp1841 +a(g198 +V( +tp1842 +a(g111 +Vconst +p1843 +tp1844 +a(g185 +V +tp1845 +a(g18 +VSubStr +p1846 +tp1847 +a(g339 +V, +tp1848 +a(g185 +V +tp1849 +a(g18 +VS +tp1850 +a(g339 +V: +tp1851 +a(g185 +V +tp1852 +a(g111 +Vstring +p1853 +tp1854 +a(g339 +V; +tp1855 +a(g185 +V +tp1856 +a(g18 +VOffset +p1857 +tp1858 +a(g339 +V: +tp1859 +a(g185 +V +tp1860 +a(g135 +VInteger +p1861 +tp1862 +a(g185 +V +tp1863 +a(g339 +V= +tp1864 +a(g185 +V +tp1865 +a(g314 +V1 +tp1866 +a(g198 +V) +tp1867 +a(g339 +V: +tp1868 +a(g185 +V +tp1869 +a(g135 +VInteger +p1870 +tp1871 +a(g339 +V; +tp1872 +a(g185 +V\u000a\u000a +p1873 +tp1874 +a(g111 +Vfunction +p1875 +tp1876 +a(g185 +V +tp1877 +a(g21 +VUntilChar +p1878 +tp1879 +a(g198 +V( +tp1880 +a(g111 +Vconst +p1881 +tp1882 +a(g185 +V +tp1883 +a(g18 +VS +tp1884 +a(g339 +V: +tp1885 +a(g185 +V +tp1886 +a(g111 +Vstring +p1887 +tp1888 +a(g339 +V; +tp1889 +a(g185 +V +tp1890 +a(g18 +VBrake +p1891 +tp1892 +a(g339 +V: +tp1893 +a(g185 +V +tp1894 +a(g135 +VChar +p1895 +tp1896 +a(g198 +V) +tp1897 +a(g339 +V: +tp1898 +a(g185 +V +tp1899 +a(g111 +Vstring +p1900 +tp1901 +a(g339 +V; +tp1902 +a(g185 +V +tp1903 +a(g18 +Voverload +p1904 +tp1905 +a(g339 +V; +tp1906 +a(g185 +V\u000a +tp1907 +a(g111 +Vfunction +p1908 +tp1909 +a(g185 +V +tp1910 +a(g21 +VUntilChar +p1911 +tp1912 +a(g198 +V( +tp1913 +a(g111 +Vconst +p1914 +tp1915 +a(g185 +V +tp1916 +a(g18 +VS +tp1917 +a(g339 +V: +tp1918 +a(g185 +V +tp1919 +a(g111 +Vstring +p1920 +tp1921 +a(g339 +V; +tp1922 +a(g185 +V +tp1923 +a(g18 +VBrake +p1924 +tp1925 +a(g339 +V: +tp1926 +a(g185 +V +tp1927 +a(g18 +VTCharSet +p1928 +tp1929 +a(g198 +V) +tp1930 +a(g339 +V: +tp1931 +a(g185 +V +tp1932 +a(g111 +Vstring +p1933 +tp1934 +a(g339 +V; +tp1935 +a(g185 +V +tp1936 +a(g18 +Voverload +p1937 +tp1938 +a(g339 +V; +tp1939 +a(g185 +V\u000a +tp1940 +a(g111 +Vfunction +p1941 +tp1942 +a(g185 +V +tp1943 +a(g21 +VUntilLastChar +p1944 +tp1945 +a(g198 +V( +tp1946 +a(g111 +Vconst +p1947 +tp1948 +a(g185 +V +tp1949 +a(g18 +VS +tp1950 +a(g339 +V: +tp1951 +a(g185 +V +tp1952 +a(g111 +Vstring +p1953 +tp1954 +a(g339 +V; +tp1955 +a(g185 +V +tp1956 +a(g18 +VBrake +p1957 +tp1958 +a(g339 +V: +tp1959 +a(g185 +V +tp1960 +a(g135 +VChar +p1961 +tp1962 +a(g339 +V; +tp1963 +a(g185 +V\u000a +p1964 +tp1965 +a(g18 +VIgnoreNoBrake +p1966 +tp1967 +a(g339 +V: +tp1968 +a(g185 +V +tp1969 +a(g135 +VBoolean +p1970 +tp1971 +a(g185 +V +tp1972 +a(g339 +V= +tp1973 +a(g185 +V +tp1974 +a(g111 +VTrue +p1975 +tp1976 +a(g198 +V) +tp1977 +a(g339 +V: +tp1978 +a(g185 +V +tp1979 +a(g111 +Vstring +p1980 +tp1981 +a(g339 +V; +tp1982 +a(g185 +V\u000a\u000a +p1983 +tp1984 +a(g111 +Vfunction +p1985 +tp1986 +a(g185 +V +tp1987 +a(g21 +VFromChar +p1988 +tp1989 +a(g198 +V( +tp1990 +a(g111 +Vconst +p1991 +tp1992 +a(g185 +V +tp1993 +a(g18 +VS +tp1994 +a(g339 +V: +tp1995 +a(g185 +V +tp1996 +a(g111 +Vstring +p1997 +tp1998 +a(g339 +V; +tp1999 +a(g185 +V +tp2000 +a(g18 +VBrake +p2001 +tp2002 +a(g339 +V: +tp2003 +a(g185 +V +tp2004 +a(g135 +VChar +p2005 +tp2006 +a(g198 +V) +tp2007 +a(g339 +V: +tp2008 +a(g185 +V +tp2009 +a(g111 +Vstring +p2010 +tp2011 +a(g339 +V; +tp2012 +a(g185 +V +tp2013 +a(g18 +Voverload +p2014 +tp2015 +a(g339 +V; +tp2016 +a(g185 +V\u000a +tp2017 +a(g111 +Vfunction +p2018 +tp2019 +a(g185 +V +tp2020 +a(g21 +VFromChar +p2021 +tp2022 +a(g198 +V( +tp2023 +a(g111 +Vconst +p2024 +tp2025 +a(g185 +V +tp2026 +a(g18 +VS +tp2027 +a(g339 +V: +tp2028 +a(g185 +V +tp2029 +a(g111 +Vstring +p2030 +tp2031 +a(g339 +V; +tp2032 +a(g185 +V +tp2033 +a(g18 +VBrake +p2034 +tp2035 +a(g339 +V: +tp2036 +a(g185 +V +tp2037 +a(g18 +VTCharSet +p2038 +tp2039 +a(g198 +V) +tp2040 +a(g339 +V: +tp2041 +a(g185 +V +tp2042 +a(g111 +Vstring +p2043 +tp2044 +a(g339 +V; +tp2045 +a(g185 +V +tp2046 +a(g18 +Voverload +p2047 +tp2048 +a(g339 +V; +tp2049 +a(g185 +V\u000a +tp2050 +a(g111 +Vfunction +p2051 +tp2052 +a(g185 +V +tp2053 +a(g21 +VFromLastChar +p2054 +tp2055 +a(g198 +V( +tp2056 +a(g111 +Vconst +p2057 +tp2058 +a(g185 +V +tp2059 +a(g18 +VS +tp2060 +a(g339 +V: +tp2061 +a(g185 +V +tp2062 +a(g111 +Vstring +p2063 +tp2064 +a(g339 +V; +tp2065 +a(g185 +V +tp2066 +a(g18 +VBrake +p2067 +tp2068 +a(g339 +V: +tp2069 +a(g185 +V +tp2070 +a(g135 +VChar +p2071 +tp2072 +a(g339 +V; +tp2073 +a(g185 +V\u000a +p2074 +tp2075 +a(g18 +VIgnoreNoBrake +p2076 +tp2077 +a(g339 +V: +tp2078 +a(g185 +V +tp2079 +a(g135 +VBoolean +p2080 +tp2081 +a(g185 +V +tp2082 +a(g339 +V= +tp2083 +a(g185 +V +tp2084 +a(g111 +VFalse +p2085 +tp2086 +a(g198 +V) +tp2087 +a(g339 +V: +tp2088 +a(g185 +V +tp2089 +a(g111 +Vstring +p2090 +tp2091 +a(g339 +V; +tp2092 +a(g185 +V\u000a\u000a +p2093 +tp2094 +a(g111 +Vfunction +p2095 +tp2096 +a(g185 +V +tp2097 +a(g21 +VBetweenChars +p2098 +tp2099 +a(g198 +V( +tp2100 +a(g111 +Vconst +p2101 +tp2102 +a(g185 +V +tp2103 +a(g18 +VS +tp2104 +a(g339 +V: +tp2105 +a(g185 +V +tp2106 +a(g111 +Vstring +p2107 +tp2108 +a(g339 +V; +tp2109 +a(g185 +V +tp2110 +a(g18 +VStart +p2111 +tp2112 +a(g339 +V, +tp2113 +a(g185 +V +tp2114 +a(g18 +VFinish +p2115 +tp2116 +a(g339 +V: +tp2117 +a(g185 +V +tp2118 +a(g135 +VChar +p2119 +tp2120 +a(g339 +V; +tp2121 +a(g185 +V\u000a +p2122 +tp2123 +a(g18 +VInclusive +p2124 +tp2125 +a(g339 +V: +tp2126 +a(g185 +V +tp2127 +a(g135 +VBoolean +p2128 +tp2129 +a(g185 +V +tp2130 +a(g339 +V= +tp2131 +a(g185 +V +tp2132 +a(g111 +VFalse +p2133 +tp2134 +a(g198 +V) +tp2135 +a(g339 +V: +tp2136 +a(g185 +V +tp2137 +a(g111 +Vstring +p2138 +tp2139 +a(g339 +V; +tp2140 +a(g185 +V\u000a\u000a +p2141 +tp2142 +a(g111 +Vfunction +p2143 +tp2144 +a(g185 +V +tp2145 +a(g21 +VUntilStr +p2146 +tp2147 +a(g198 +V( +tp2148 +a(g111 +Vconst +p2149 +tp2150 +a(g185 +V +tp2151 +a(g18 +VS +tp2152 +a(g339 +V: +tp2153 +a(g185 +V +tp2154 +a(g111 +Vstring +p2155 +tp2156 +a(g339 +V; +tp2157 +a(g185 +V +tp2158 +a(g18 +VBrake +p2159 +tp2160 +a(g339 +V: +tp2161 +a(g185 +V +tp2162 +a(g111 +Vstring +p2163 +tp2164 +a(g198 +V) +tp2165 +a(g339 +V: +tp2166 +a(g185 +V +tp2167 +a(g111 +Vstring +p2168 +tp2169 +a(g339 +V; +tp2170 +a(g185 +V\u000a +tp2171 +a(g111 +Vfunction +p2172 +tp2173 +a(g185 +V +tp2174 +a(g21 +VFromStr +p2175 +tp2176 +a(g198 +V( +tp2177 +a(g111 +Vconst +p2178 +tp2179 +a(g185 +V +tp2180 +a(g18 +VS +tp2181 +a(g339 +V: +tp2182 +a(g185 +V +tp2183 +a(g111 +Vstring +p2184 +tp2185 +a(g339 +V; +tp2186 +a(g185 +V +tp2187 +a(g18 +VBrake +p2188 +tp2189 +a(g339 +V: +tp2190 +a(g185 +V +tp2191 +a(g111 +Vstring +p2192 +tp2193 +a(g198 +V) +tp2194 +a(g339 +V: +tp2195 +a(g185 +V +tp2196 +a(g111 +Vstring +p2197 +tp2198 +a(g339 +V; +tp2199 +a(g185 +V\u000a\u000a +p2200 +tp2201 +a(g111 +Vfunction +p2202 +tp2203 +a(g185 +V +tp2204 +a(g21 +VStringWrap +p2205 +tp2206 +a(g198 +V( +tp2207 +a(g111 +Vconst +p2208 +tp2209 +a(g185 +V +tp2210 +a(g18 +VS +tp2211 +a(g339 +V: +tp2212 +a(g185 +V +tp2213 +a(g111 +Vstring +p2214 +tp2215 +a(g339 +V; +tp2216 +a(g185 +V +tp2217 +a(g18 +VWidth +p2218 +tp2219 +a(g339 +V: +tp2220 +a(g185 +V +tp2221 +a(g135 +VInteger +p2222 +tp2223 +a(g339 +V; +tp2224 +a(g185 +V +tp2225 +a(g111 +Vconst +p2226 +tp2227 +a(g185 +V +tp2228 +a(g18 +VLineEnd +p2229 +tp2230 +a(g339 +V: +tp2231 +a(g185 +V +tp2232 +a(g111 +Vstring +p2233 +tp2234 +a(g185 +V +tp2235 +a(g339 +V= +tp2236 +a(g185 +V +tp2237 +a(g18 +VEOL +p2238 +tp2239 +a(g198 +V) +tp2240 +a(g339 +V: +tp2241 +a(g185 +V +tp2242 +a(g111 +Vstring +p2243 +tp2244 +a(g339 +V; +tp2245 +a(g185 +V\u000a\u000a +p2246 +tp2247 +a(g354 +V{ Splitting & Combining } +p2248 +tp2249 +a(g185 +V\u000a +tp2250 +a(g111 +Vfunction +p2251 +tp2252 +a(g185 +V +tp2253 +a(g21 +VSplit +p2254 +tp2255 +a(g198 +V( +tp2256 +a(g111 +Vconst +p2257 +tp2258 +a(g185 +V +tp2259 +a(g18 +VS +tp2260 +a(g339 +V, +tp2261 +a(g185 +V +tp2262 +a(g18 +VSeparator +p2263 +tp2264 +a(g339 +V: +tp2265 +a(g185 +V +tp2266 +a(g111 +Vstring +p2267 +tp2268 +a(g339 +V; +tp2269 +a(g185 +V +tp2270 +a(g18 +VIgnoreMultiSep +p2271 +tp2272 +a(g339 +V: +tp2273 +a(g185 +V +tp2274 +a(g135 +VBoolean +p2275 +tp2276 +a(g185 +V +tp2277 +a(g339 +V= +tp2278 +a(g185 +V +tp2279 +a(g111 +VTrue +p2280 +tp2281 +a(g339 +V; +tp2282 +a(g185 +V\u000a +p2283 +tp2284 +a(g18 +VMinCount +p2285 +tp2286 +a(g339 +V: +tp2287 +a(g185 +V +tp2288 +a(g135 +VInteger +p2289 +tp2290 +a(g185 +V +tp2291 +a(g339 +V= +tp2292 +a(g185 +V +tp2293 +a(g314 +V0 +tp2294 +a(g198 +V) +tp2295 +a(g339 +V: +tp2296 +a(g185 +V +tp2297 +a(g18 +VTStrA +p2298 +tp2299 +a(g339 +V; +tp2300 +a(g185 +V +tp2301 +a(g18 +Voverload +p2302 +tp2303 +a(g339 +V; +tp2304 +a(g185 +V\u000a +tp2305 +a(g111 +Vprocedure +p2306 +tp2307 +a(g185 +V +tp2308 +a(g21 +VSplit +p2309 +tp2310 +a(g198 +V( +tp2311 +a(g111 +Vconst +p2312 +tp2313 +a(g185 +V +tp2314 +a(g18 +VS +tp2315 +a(g339 +V, +tp2316 +a(g185 +V +tp2317 +a(g18 +VSeparator +p2318 +tp2319 +a(g339 +V: +tp2320 +a(g185 +V +tp2321 +a(g111 +Vstring +p2322 +tp2323 +a(g339 +V; +tp2324 +a(g185 +V +tp2325 +a(g18 +VStrings +p2326 +tp2327 +a(g339 +V: +tp2328 +a(g185 +V +tp2329 +a(g18 +VTStrings +p2330 +tp2331 +a(g339 +V; +tp2332 +a(g185 +V\u000a +p2333 +tp2334 +a(g18 +VIgnoreMultiSep +p2335 +tp2336 +a(g339 +V: +tp2337 +a(g185 +V +tp2338 +a(g135 +VBoolean +p2339 +tp2340 +a(g185 +V +tp2341 +a(g339 +V= +tp2342 +a(g185 +V +tp2343 +a(g111 +VTrue +p2344 +tp2345 +a(g198 +V) +tp2346 +a(g339 +V; +tp2347 +a(g185 +V +tp2348 +a(g18 +Voverload +p2349 +tp2350 +a(g339 +V; +tp2351 +a(g185 +V\u000a +tp2352 +a(g111 +Vfunction +p2353 +tp2354 +a(g185 +V +tp2355 +a(g21 +VSplit +p2356 +tp2357 +a(g198 +V( +tp2358 +a(g111 +Vconst +p2359 +tp2360 +a(g185 +V +tp2361 +a(g18 +VS +tp2362 +a(g339 +V: +tp2363 +a(g185 +V +tp2364 +a(g111 +Vstring +p2365 +tp2366 +a(g339 +V; +tp2367 +a(g185 +V +tp2368 +a(g18 +VSeparators +p2369 +tp2370 +a(g339 +V: +tp2371 +a(g185 +V +tp2372 +a(g18 +VTCharSet +p2373 +tp2374 +a(g339 +V; +tp2375 +a(g185 +V\u000a +p2376 +tp2377 +a(g18 +VIgnoreMultiSep +p2378 +tp2379 +a(g339 +V: +tp2380 +a(g185 +V +tp2381 +a(g135 +VBoolean +p2382 +tp2383 +a(g185 +V +tp2384 +a(g339 +V= +tp2385 +a(g185 +V +tp2386 +a(g111 +VTrue +p2387 +tp2388 +a(g339 +V; +tp2389 +a(g185 +V +tp2390 +a(g18 +VMinCount +p2391 +tp2392 +a(g339 +V: +tp2393 +a(g185 +V +tp2394 +a(g135 +VInteger +p2395 +tp2396 +a(g185 +V +tp2397 +a(g339 +V= +tp2398 +a(g185 +V +tp2399 +a(g314 +V0 +tp2400 +a(g198 +V) +tp2401 +a(g339 +V: +tp2402 +a(g185 +V +tp2403 +a(g18 +VTStrA +p2404 +tp2405 +a(g339 +V; +tp2406 +a(g185 +V +tp2407 +a(g18 +Voverload +p2408 +tp2409 +a(g339 +V; +tp2410 +a(g185 +V\u000a\u000a +p2411 +tp2412 +a(g111 +Vprocedure +p2413 +tp2414 +a(g185 +V +tp2415 +a(g21 +VTileStr +p2416 +tp2417 +a(g198 +V( +tp2418 +a(g111 +Vconst +p2419 +tp2420 +a(g185 +V +tp2421 +a(g18 +VS +tp2422 +a(g339 +V: +tp2423 +a(g185 +V +tp2424 +a(g111 +Vstring +p2425 +tp2426 +a(g339 +V; +tp2427 +a(g185 +V +tp2428 +a(g18 +VBrakeStart +p2429 +tp2430 +a(g339 +V: +tp2431 +a(g185 +V +tp2432 +a(g135 +VInteger +p2433 +tp2434 +a(g339 +V; +tp2435 +a(g185 +V +tp2436 +a(g18 +VBrakeEnd +p2437 +tp2438 +a(g339 +V: +tp2439 +a(g185 +V +tp2440 +a(g135 +VInteger +p2441 +tp2442 +a(g339 +V; +tp2443 +a(g185 +V\u000a +p2444 +tp2445 +a(g18 +Vout +p2446 +tp2447 +a(g185 +V +tp2448 +a(g18 +VLeft +p2449 +tp2450 +a(g339 +V, +tp2451 +a(g185 +V +tp2452 +a(g18 +VRight +p2453 +tp2454 +a(g339 +V: +tp2455 +a(g185 +V +tp2456 +a(g111 +Vstring +p2457 +tp2458 +a(g198 +V) +tp2459 +a(g339 +V; +tp2460 +a(g185 +V\u000a\u000a +p2461 +tp2462 +a(g111 +Vfunction +p2463 +tp2464 +a(g185 +V +tp2465 +a(g21 +VJoin +p2466 +tp2467 +a(g198 +V( +tp2468 +a(g18 +VStrings +p2469 +tp2470 +a(g339 +V: +tp2471 +a(g185 +V +tp2472 +a(g18 +VTStrings +p2473 +tp2474 +a(g339 +V; +tp2475 +a(g185 +V +tp2476 +a(g18 +VSeparator +p2477 +tp2478 +a(g339 +V: +tp2479 +a(g185 +V +tp2480 +a(g111 +Vstring +p2481 +tp2482 +a(g185 +V +tp2483 +a(g339 +V= +tp2484 +a(g185 +V +tp2485 +a(g222 +V' +tp2486 +a(g222 +V +tp2487 +a(g222 +V' +tp2488 +a(g198 +V) +tp2489 +a(g339 +V: +tp2490 +a(g185 +V +tp2491 +a(g111 +Vstring +p2492 +tp2493 +a(g339 +V; +tp2494 +a(g185 +V +tp2495 +a(g18 +Voverload +p2496 +tp2497 +a(g339 +V; +tp2498 +a(g185 +V\u000a +tp2499 +a(g111 +Vfunction +p2500 +tp2501 +a(g185 +V +tp2502 +a(g21 +VJoin +p2503 +tp2504 +a(g198 +V( +tp2505 +a(g18 +VStrA +p2506 +tp2507 +a(g339 +V: +tp2508 +a(g185 +V +tp2509 +a(g18 +VTStrA +p2510 +tp2511 +a(g339 +V; +tp2512 +a(g185 +V +tp2513 +a(g18 +VSeparator +p2514 +tp2515 +a(g339 +V: +tp2516 +a(g185 +V +tp2517 +a(g111 +Vstring +p2518 +tp2519 +a(g185 +V +tp2520 +a(g339 +V= +tp2521 +a(g185 +V +tp2522 +a(g222 +V' +tp2523 +a(g222 +V +tp2524 +a(g222 +V' +tp2525 +a(g198 +V) +tp2526 +a(g339 +V: +tp2527 +a(g185 +V +tp2528 +a(g111 +Vstring +p2529 +tp2530 +a(g339 +V; +tp2531 +a(g185 +V +tp2532 +a(g18 +Voverload +p2533 +tp2534 +a(g339 +V; +tp2535 +a(g185 +V\u000a\u000a +p2536 +tp2537 +a(g111 +Vfunction +p2538 +tp2539 +a(g185 +V +tp2540 +a(g21 +VMulStr +p2541 +tp2542 +a(g198 +V( +tp2543 +a(g111 +Vconst +p2544 +tp2545 +a(g185 +V +tp2546 +a(g18 +VS +tp2547 +a(g339 +V: +tp2548 +a(g185 +V +tp2549 +a(g111 +Vstring +p2550 +tp2551 +a(g339 +V; +tp2552 +a(g185 +V +tp2553 +a(g18 +VCount +p2554 +tp2555 +a(g339 +V: +tp2556 +a(g185 +V +tp2557 +a(g135 +VInteger +p2558 +tp2559 +a(g198 +V) +tp2560 +a(g339 +V: +tp2561 +a(g185 +V +tp2562 +a(g111 +Vstring +p2563 +tp2564 +a(g339 +V; +tp2565 +a(g185 +V\u000a\u000a +p2566 +tp2567 +a(g354 +V{ Strings ausrichten } +p2568 +tp2569 +a(g185 +V\u000a +tp2570 +a(g111 +Vfunction +p2571 +tp2572 +a(g185 +V +tp2573 +a(g21 +VAlignR +p2574 +tp2575 +a(g198 +V( +tp2576 +a(g111 +Vconst +p2577 +tp2578 +a(g185 +V +tp2579 +a(g18 +VS +tp2580 +a(g339 +V: +tp2581 +a(g185 +V +tp2582 +a(g111 +Vstring +p2583 +tp2584 +a(g339 +V; +tp2585 +a(g185 +V +tp2586 +a(g18 +VWidth +p2587 +tp2588 +a(g339 +V: +tp2589 +a(g185 +V +tp2590 +a(g135 +VInteger +p2591 +tp2592 +a(g339 +V; +tp2593 +a(g185 +V +tp2594 +a(g18 +VFiller +p2595 +tp2596 +a(g339 +V: +tp2597 +a(g185 +V +tp2598 +a(g135 +VChar +p2599 +tp2600 +a(g185 +V +tp2601 +a(g339 +V= +tp2602 +a(g185 +V +tp2603 +a(g222 +V' +tp2604 +a(g222 +V +tp2605 +a(g222 +V' +tp2606 +a(g198 +V) +tp2607 +a(g339 +V: +tp2608 +a(g185 +V +tp2609 +a(g111 +Vstring +p2610 +tp2611 +a(g339 +V; +tp2612 +a(g185 +V\u000a +tp2613 +a(g111 +Vfunction +p2614 +tp2615 +a(g185 +V +tp2616 +a(g21 +VMaxStr +p2617 +tp2618 +a(g198 +V( +tp2619 +a(g111 +Vconst +p2620 +tp2621 +a(g185 +V +tp2622 +a(g18 +VS +tp2623 +a(g339 +V: +tp2624 +a(g185 +V +tp2625 +a(g111 +Vstring +p2626 +tp2627 +a(g339 +V; +tp2628 +a(g185 +V +tp2629 +a(g18 +VMaxLen +p2630 +tp2631 +a(g339 +V: +tp2632 +a(g185 +V +tp2633 +a(g135 +VInteger +p2634 +tp2635 +a(g198 +V) +tp2636 +a(g339 +V: +tp2637 +a(g185 +V +tp2638 +a(g111 +Vstring +p2639 +tp2640 +a(g339 +V; +tp2641 +a(g185 +V\u000a\u000a +p2642 +tp2643 +a(g354 +V{ Stringing } +p2644 +tp2645 +a(g185 +V\u000a +tp2646 +a(g111 +Vfunction +p2647 +tp2648 +a(g185 +V +tp2649 +a(g21 +VTrimAll +p2650 +tp2651 +a(g198 +V( +tp2652 +a(g111 +Vconst +p2653 +tp2654 +a(g185 +V +tp2655 +a(g18 +VS +tp2656 +a(g339 +V: +tp2657 +a(g185 +V +tp2658 +a(g111 +Vstring +p2659 +tp2660 +a(g198 +V) +tp2661 +a(g339 +V: +tp2662 +a(g185 +V +tp2663 +a(g111 +Vstring +p2664 +tp2665 +a(g339 +V; +tp2666 +a(g185 +V\u000a\u000a +p2667 +tp2668 +a(g111 +Vfunction +p2669 +tp2670 +a(g185 +V +tp2671 +a(g21 +VControlChar +p2672 +tp2673 +a(g198 +V( +tp2674 +a(g18 +VC +tp2675 +a(g339 +V: +tp2676 +a(g185 +V +tp2677 +a(g135 +VChar +p2678 +tp2679 +a(g198 +V) +tp2680 +a(g339 +V: +tp2681 +a(g185 +V +tp2682 +a(g135 +VBoolean +p2683 +tp2684 +a(g339 +V; +tp2685 +a(g185 +V\u000a +tp2686 +a(g111 +Vfunction +p2687 +tp2688 +a(g185 +V +tp2689 +a(g21 +VFriendlyChar +p2690 +tp2691 +a(g198 +V( +tp2692 +a(g18 +VC +tp2693 +a(g339 +V: +tp2694 +a(g185 +V +tp2695 +a(g135 +VChar +p2696 +tp2697 +a(g198 +V) +tp2698 +a(g339 +V: +tp2699 +a(g185 +V +tp2700 +a(g135 +VChar +p2701 +tp2702 +a(g339 +V; +tp2703 +a(g185 +V\u000a\u000a +p2704 +tp2705 +a(g111 +Vfunction +p2706 +tp2707 +a(g185 +V +tp2708 +a(g21 +VFriendlyStr +p2709 +tp2710 +a(g198 +V( +tp2711 +a(g111 +Vconst +p2712 +tp2713 +a(g185 +V +tp2714 +a(g18 +VS +tp2715 +a(g339 +V: +tp2716 +a(g185 +V +tp2717 +a(g111 +Vstring +p2718 +tp2719 +a(g198 +V) +tp2720 +a(g339 +V: +tp2721 +a(g185 +V +tp2722 +a(g111 +Vstring +p2723 +tp2724 +a(g339 +V; +tp2725 +a(g185 +V +tp2726 +a(g18 +Voverload +p2727 +tp2728 +a(g339 +V; +tp2729 +a(g185 +V\u000a +tp2730 +a(g111 +Vfunction +p2731 +tp2732 +a(g185 +V +tp2733 +a(g21 +VFriendlyStr +p2734 +tp2735 +a(g198 +V( +tp2736 +a(g18 +Va +tp2737 +a(g339 +V: +tp2738 +a(g185 +V +tp2739 +a(g18 +VTByteA +p2740 +tp2741 +a(g198 +V) +tp2742 +a(g339 +V: +tp2743 +a(g185 +V +tp2744 +a(g111 +Vstring +p2745 +tp2746 +a(g339 +V; +tp2747 +a(g185 +V +tp2748 +a(g18 +Voverload +p2749 +tp2750 +a(g339 +V; +tp2751 +a(g185 +V\u000a\u000a +p2752 +tp2753 +a(g111 +Vfunction +p2754 +tp2755 +a(g185 +V +tp2756 +a(g21 +VQuote +p2757 +tp2758 +a(g198 +V( +tp2759 +a(g111 +Vconst +p2760 +tp2761 +a(g185 +V +tp2762 +a(g18 +VS +tp2763 +a(g339 +V: +tp2764 +a(g185 +V +tp2765 +a(g111 +Vstring +p2766 +tp2767 +a(g339 +V; +tp2768 +a(g185 +V +tp2769 +a(g18 +VQuoter +p2770 +tp2771 +a(g339 +V: +tp2772 +a(g185 +V +tp2773 +a(g135 +VChar +p2774 +tp2775 +a(g185 +V +tp2776 +a(g339 +V= +tp2777 +a(g185 +V +tp2778 +a(g222 +V' +tp2779 +a(g222 +V" +tp2780 +a(g222 +V' +tp2781 +a(g198 +V) +tp2782 +a(g339 +V: +tp2783 +a(g185 +V +tp2784 +a(g111 +Vstring +p2785 +tp2786 +a(g339 +V; +tp2787 +a(g185 +V\u000a +tp2788 +a(g111 +Vfunction +p2789 +tp2790 +a(g185 +V +tp2791 +a(g21 +VUnQuote +p2792 +tp2793 +a(g198 +V( +tp2794 +a(g111 +Vconst +p2795 +tp2796 +a(g185 +V +tp2797 +a(g18 +VS +tp2798 +a(g339 +V: +tp2799 +a(g185 +V +tp2800 +a(g111 +Vstring +p2801 +tp2802 +a(g198 +V) +tp2803 +a(g339 +V: +tp2804 +a(g185 +V +tp2805 +a(g111 +Vstring +p2806 +tp2807 +a(g339 +V; +tp2808 +a(g185 +V\u000a +tp2809 +a(g111 +Vfunction +p2810 +tp2811 +a(g185 +V +tp2812 +a(g21 +VDeQuote +p2813 +tp2814 +a(g198 +V( +tp2815 +a(g111 +Vconst +p2816 +tp2817 +a(g185 +V +tp2818 +a(g18 +VS +tp2819 +a(g339 +V: +tp2820 +a(g185 +V +tp2821 +a(g111 +Vstring +p2822 +tp2823 +a(g198 +V) +tp2824 +a(g339 +V: +tp2825 +a(g185 +V +tp2826 +a(g111 +Vstring +p2827 +tp2828 +a(g339 +V; +tp2829 +a(g185 +V\u000a\u000a +p2830 +tp2831 +a(g111 +Vfunction +p2832 +tp2833 +a(g185 +V +tp2834 +a(g21 +VStrNumerus +p2835 +tp2836 +a(g198 +V( +tp2837 +a(g111 +Vconst +p2838 +tp2839 +a(g185 +V +tp2840 +a(g18 +VValue +p2841 +tp2842 +a(g339 +V: +tp2843 +a(g185 +V +tp2844 +a(g135 +VInteger +p2845 +tp2846 +a(g339 +V; +tp2847 +a(g185 +V +tp2848 +a(g111 +Vconst +p2849 +tp2850 +a(g185 +V +tp2851 +a(g18 +VSingular +p2852 +tp2853 +a(g339 +V, +tp2854 +a(g185 +V +tp2855 +a(g18 +VPlural +p2856 +tp2857 +a(g339 +V: +tp2858 +a(g185 +V +tp2859 +a(g111 +Vstring +p2860 +tp2861 +a(g339 +V; +tp2862 +a(g185 +V\u000a +p2863 +tp2864 +a(g111 +Vconst +p2865 +tp2866 +a(g185 +V +tp2867 +a(g18 +VZero +p2868 +tp2869 +a(g339 +V: +tp2870 +a(g185 +V +tp2871 +a(g111 +Vstring +p2872 +tp2873 +a(g185 +V +tp2874 +a(g339 +V= +tp2875 +a(g185 +V +tp2876 +a(g222 +V' +tp2877 +a(g222 +V0 +tp2878 +a(g222 +V' +tp2879 +a(g198 +V) +tp2880 +a(g339 +V: +tp2881 +a(g185 +V +tp2882 +a(g111 +Vstring +p2883 +tp2884 +a(g339 +V; +tp2885 +a(g185 +V\u000a\u000a +p2886 +tp2887 +a(g111 +Vfunction +p2888 +tp2889 +a(g185 +V +tp2890 +a(g21 +VMakeStr +p2891 +tp2892 +a(g198 +V( +tp2893 +a(g111 +Vconst +p2894 +tp2895 +a(g185 +V +tp2896 +a(g18 +VItems +p2897 +tp2898 +a(g339 +V: +tp2899 +a(g185 +V +tp2900 +a(g111 +Varray +p2901 +tp2902 +a(g185 +V +tp2903 +a(g111 +Vof +p2904 +tp2905 +a(g185 +V +tp2906 +a(g111 +Vconst +p2907 +tp2908 +a(g339 +V; +tp2909 +a(g185 +V +tp2910 +a(g18 +VSeparator +p2911 +tp2912 +a(g339 +V: +tp2913 +a(g185 +V +tp2914 +a(g111 +Vstring +p2915 +tp2916 +a(g185 +V +tp2917 +a(g339 +V= +tp2918 +a(g185 +V +tp2919 +a(g222 +V' +tp2920 +a(g222 +V' +tp2921 +a(g198 +V) +tp2922 +a(g339 +V: +tp2923 +a(g185 +V +tp2924 +a(g111 +Vstring +p2925 +tp2926 +a(g339 +V; +tp2927 +a(g185 +V\u000a +tp2928 +a(g111 +Vprocedure +p2929 +tp2930 +a(g185 +V +tp2931 +a(g21 +VShowText +p2932 +tp2933 +a(g198 +V( +tp2934 +a(g111 +Vconst +p2935 +tp2936 +a(g185 +V +tp2937 +a(g18 +VItems +p2938 +tp2939 +a(g339 +V: +tp2940 +a(g185 +V +tp2941 +a(g111 +Varray +p2942 +tp2943 +a(g185 +V +tp2944 +a(g111 +Vof +p2945 +tp2946 +a(g185 +V +tp2947 +a(g111 +Vconst +p2948 +tp2949 +a(g339 +V; +tp2950 +a(g185 +V +tp2951 +a(g18 +VSeparator +p2952 +tp2953 +a(g339 +V: +tp2954 +a(g185 +V +tp2955 +a(g111 +Vstring +p2956 +tp2957 +a(g185 +V +tp2958 +a(g339 +V= +tp2959 +a(g185 +V +tp2960 +a(g222 +V' +tp2961 +a(g222 +V' +tp2962 +a(g198 +V) +tp2963 +a(g339 +V; +tp2964 +a(g185 +V\u000a\u000a +p2965 +tp2966 +a(g354 +V{ Delete } +p2967 +tp2968 +a(g185 +V\u000a +tp2969 +a(g111 +Vfunction +p2970 +tp2971 +a(g185 +V +tp2972 +a(g21 +VDeleteChars +p2973 +tp2974 +a(g198 +V( +tp2975 +a(g111 +Vconst +p2976 +tp2977 +a(g185 +V +tp2978 +a(g18 +VS +tp2979 +a(g339 +V: +tp2980 +a(g185 +V +tp2981 +a(g111 +Vstring +p2982 +tp2983 +a(g339 +V; +tp2984 +a(g185 +V +tp2985 +a(g18 +VC +tp2986 +a(g339 +V: +tp2987 +a(g185 +V +tp2988 +a(g135 +VChar +p2989 +tp2990 +a(g198 +V) +tp2991 +a(g339 +V: +tp2992 +a(g185 +V +tp2993 +a(g111 +Vstring +p2994 +tp2995 +a(g339 +V; +tp2996 +a(g185 +V +tp2997 +a(g18 +Voverload +p2998 +tp2999 +a(g339 +V; +tp3000 +a(g185 +V\u000a +tp3001 +a(g111 +Vfunction +p3002 +tp3003 +a(g185 +V +tp3004 +a(g21 +VDeleteChars +p3005 +tp3006 +a(g198 +V( +tp3007 +a(g111 +Vconst +p3008 +tp3009 +a(g185 +V +tp3010 +a(g18 +VS +tp3011 +a(g339 +V: +tp3012 +a(g185 +V +tp3013 +a(g111 +Vstring +p3014 +tp3015 +a(g339 +V; +tp3016 +a(g185 +V +tp3017 +a(g18 +VC +tp3018 +a(g339 +V: +tp3019 +a(g185 +V +tp3020 +a(g18 +VTCharSet +p3021 +tp3022 +a(g198 +V) +tp3023 +a(g339 +V: +tp3024 +a(g185 +V +tp3025 +a(g111 +Vstring +p3026 +tp3027 +a(g339 +V; +tp3028 +a(g185 +V +tp3029 +a(g18 +Voverload +p3030 +tp3031 +a(g339 +V; +tp3032 +a(g185 +V\u000a +tp3033 +a(g111 +Vfunction +p3034 +tp3035 +a(g185 +V +tp3036 +a(g21 +VExtractChars +p3037 +tp3038 +a(g198 +V( +tp3039 +a(g111 +Vconst +p3040 +tp3041 +a(g185 +V +tp3042 +a(g18 +VS +tp3043 +a(g339 +V: +tp3044 +a(g185 +V +tp3045 +a(g111 +Vstring +p3046 +tp3047 +a(g339 +V; +tp3048 +a(g185 +V +tp3049 +a(g18 +VC +tp3050 +a(g339 +V: +tp3051 +a(g185 +V +tp3052 +a(g18 +VTCharSet +p3053 +tp3054 +a(g198 +V) +tp3055 +a(g339 +V: +tp3056 +a(g185 +V +tp3057 +a(g111 +Vstring +p3058 +tp3059 +a(g339 +V; +tp3060 +a(g185 +V\u000a\u000a +p3061 +tp3062 +a(g354 +V{ Find } +p3063 +tp3064 +a(g185 +V\u000a +tp3065 +a(g111 +Vfunction +p3066 +tp3067 +a(g185 +V +tp3068 +a(g21 +VCharCount +p3069 +tp3070 +a(g198 +V( +tp3071 +a(g111 +Vconst +p3072 +tp3073 +a(g185 +V +tp3074 +a(g18 +VS +tp3075 +a(g339 +V: +tp3076 +a(g185 +V +tp3077 +a(g111 +Vstring +p3078 +tp3079 +a(g339 +V; +tp3080 +a(g185 +V +tp3081 +a(g18 +VC +tp3082 +a(g339 +V: +tp3083 +a(g185 +V +tp3084 +a(g135 +VChar +p3085 +tp3086 +a(g198 +V) +tp3087 +a(g339 +V: +tp3088 +a(g185 +V +tp3089 +a(g135 +VInteger +p3090 +tp3091 +a(g339 +V; +tp3092 +a(g185 +V\u000a\u000a +p3093 +tp3094 +a(g111 +Vfunction +p3095 +tp3096 +a(g185 +V +tp3097 +a(g21 +VCharIn +p3098 +tp3099 +a(g198 +V( +tp3100 +a(g111 +Vconst +p3101 +tp3102 +a(g185 +V +tp3103 +a(g18 +VS +tp3104 +a(g339 +V: +tp3105 +a(g185 +V +tp3106 +a(g111 +Vstring +p3107 +tp3108 +a(g339 +V; +tp3109 +a(g185 +V +tp3110 +a(g18 +VC +tp3111 +a(g339 +V: +tp3112 +a(g185 +V +tp3113 +a(g135 +VChar +p3114 +tp3115 +a(g198 +V) +tp3116 +a(g339 +V: +tp3117 +a(g185 +V +tp3118 +a(g135 +VBoolean +p3119 +tp3120 +a(g339 +V; +tp3121 +a(g185 +V +tp3122 +a(g18 +Voverload +p3123 +tp3124 +a(g339 +V; +tp3125 +a(g185 +V\u000a +tp3126 +a(g111 +Vfunction +p3127 +tp3128 +a(g185 +V +tp3129 +a(g21 +VCharIn +p3130 +tp3131 +a(g198 +V( +tp3132 +a(g111 +Vconst +p3133 +tp3134 +a(g185 +V +tp3135 +a(g18 +VS +tp3136 +a(g339 +V: +tp3137 +a(g185 +V +tp3138 +a(g111 +Vstring +p3139 +tp3140 +a(g339 +V; +tp3141 +a(g185 +V +tp3142 +a(g18 +VC +tp3143 +a(g339 +V: +tp3144 +a(g185 +V +tp3145 +a(g18 +VTCharSet +p3146 +tp3147 +a(g198 +V) +tp3148 +a(g339 +V: +tp3149 +a(g185 +V +tp3150 +a(g135 +VBoolean +p3151 +tp3152 +a(g339 +V; +tp3153 +a(g185 +V +tp3154 +a(g18 +Voverload +p3155 +tp3156 +a(g339 +V; +tp3157 +a(g185 +V\u000a\u000a +p3158 +tp3159 +a(g111 +Vfunction +p3160 +tp3161 +a(g185 +V +tp3162 +a(g21 +VStrAtPos +p3163 +tp3164 +a(g198 +V( +tp3165 +a(g111 +Vconst +p3166 +tp3167 +a(g185 +V +tp3168 +a(g18 +VS +tp3169 +a(g339 +V: +tp3170 +a(g185 +V +tp3171 +a(g111 +Vstring +p3172 +tp3173 +a(g339 +V; +tp3174 +a(g185 +V +tp3175 +a(g57 +VPos +p3176 +tp3177 +a(g339 +V: +tp3178 +a(g185 +V +tp3179 +a(g135 +VInteger +p3180 +tp3181 +a(g339 +V; +tp3182 +a(g185 +V +tp3183 +a(g111 +Vconst +p3184 +tp3185 +a(g185 +V +tp3186 +a(g57 +VStr +p3187 +tp3188 +a(g339 +V: +tp3189 +a(g185 +V +tp3190 +a(g111 +Vstring +p3191 +tp3192 +a(g198 +V) +tp3193 +a(g339 +V: +tp3194 +a(g185 +V +tp3195 +a(g135 +VBoolean +p3196 +tp3197 +a(g339 +V; +tp3198 +a(g185 +V\u000a +tp3199 +a(g111 +Vfunction +p3200 +tp3201 +a(g185 +V +tp3202 +a(g21 +VStrAtBegin +p3203 +tp3204 +a(g198 +V( +tp3205 +a(g111 +Vconst +p3206 +tp3207 +a(g185 +V +tp3208 +a(g18 +VS +tp3209 +a(g339 +V, +tp3210 +a(g185 +V +tp3211 +a(g57 +VStr +p3212 +tp3213 +a(g339 +V: +tp3214 +a(g185 +V +tp3215 +a(g111 +Vstring +p3216 +tp3217 +a(g198 +V) +tp3218 +a(g339 +V: +tp3219 +a(g185 +V +tp3220 +a(g135 +VBoolean +p3221 +tp3222 +a(g339 +V; +tp3223 +a(g185 +V\u000a +tp3224 +a(g111 +Vfunction +p3225 +tp3226 +a(g185 +V +tp3227 +a(g21 +VStrIn +p3228 +tp3229 +a(g198 +V( +tp3230 +a(g111 +Vconst +p3231 +tp3232 +a(g185 +V +tp3233 +a(g18 +VS +tp3234 +a(g339 +V, +tp3235 +a(g185 +V +tp3236 +a(g18 +VSubStr +p3237 +tp3238 +a(g339 +V: +tp3239 +a(g185 +V +tp3240 +a(g111 +Vstring +p3241 +tp3242 +a(g198 +V) +tp3243 +a(g339 +V: +tp3244 +a(g185 +V +tp3245 +a(g135 +VBoolean +p3246 +tp3247 +a(g339 +V; +tp3248 +a(g185 +V +tp3249 +a(g18 +Voverload +p3250 +tp3251 +a(g339 +V; +tp3252 +a(g185 +V\u000a +tp3253 +a(g111 +Vfunction +p3254 +tp3255 +a(g185 +V +tp3256 +a(g21 +VStrIn +p3257 +tp3258 +a(g198 +V( +tp3259 +a(g18 +VA +tp3260 +a(g339 +V: +tp3261 +a(g185 +V +tp3262 +a(g18 +VTStrA +p3263 +tp3264 +a(g339 +V; +tp3265 +a(g185 +V +tp3266 +a(g111 +Vconst +p3267 +tp3268 +a(g185 +V +tp3269 +a(g18 +VS +tp3270 +a(g339 +V: +tp3271 +a(g185 +V +tp3272 +a(g111 +Vstring +p3273 +tp3274 +a(g198 +V) +tp3275 +a(g339 +V: +tp3276 +a(g185 +V +tp3277 +a(g135 +VBoolean +p3278 +tp3279 +a(g339 +V; +tp3280 +a(g185 +V +tp3281 +a(g18 +Voverload +p3282 +tp3283 +a(g339 +V; +tp3284 +a(g185 +V\u000a +tp3285 +a(g111 +Vfunction +p3286 +tp3287 +a(g185 +V +tp3288 +a(g21 +VStrIn +p3289 +tp3290 +a(g198 +V( +tp3291 +a(g18 +VSL +p3292 +tp3293 +a(g339 +V: +tp3294 +a(g185 +V +tp3295 +a(g18 +VTStrings +p3296 +tp3297 +a(g339 +V; +tp3298 +a(g185 +V +tp3299 +a(g111 +Vconst +p3300 +tp3301 +a(g185 +V +tp3302 +a(g18 +VS +tp3303 +a(g339 +V: +tp3304 +a(g185 +V +tp3305 +a(g111 +Vstring +p3306 +tp3307 +a(g198 +V) +tp3308 +a(g339 +V: +tp3309 +a(g185 +V +tp3310 +a(g135 +VBoolean +p3311 +tp3312 +a(g339 +V; +tp3313 +a(g185 +V +tp3314 +a(g18 +Voverload +p3315 +tp3316 +a(g339 +V; +tp3317 +a(g185 +V\u000a +tp3318 +a(g111 +Vfunction +p3319 +tp3320 +a(g185 +V +tp3321 +a(g21 +VStrIndex +p3322 +tp3323 +a(g198 +V( +tp3324 +a(g18 +VA +tp3325 +a(g339 +V: +tp3326 +a(g185 +V +tp3327 +a(g18 +VTStrA +p3328 +tp3329 +a(g339 +V; +tp3330 +a(g185 +V +tp3331 +a(g111 +Vconst +p3332 +tp3333 +a(g185 +V +tp3334 +a(g18 +VS +tp3335 +a(g339 +V: +tp3336 +a(g185 +V +tp3337 +a(g111 +Vstring +p3338 +tp3339 +a(g198 +V) +tp3340 +a(g339 +V: +tp3341 +a(g185 +V +tp3342 +a(g135 +VInteger +p3343 +tp3344 +a(g339 +V; +tp3345 +a(g185 +V +tp3346 +a(g18 +Voverload +p3347 +tp3348 +a(g339 +V; +tp3349 +a(g185 +V\u000a +tp3350 +a(g111 +Vfunction +p3351 +tp3352 +a(g185 +V +tp3353 +a(g21 +VStrIndex +p3354 +tp3355 +a(g198 +V( +tp3356 +a(g18 +VSL +p3357 +tp3358 +a(g339 +V: +tp3359 +a(g185 +V +tp3360 +a(g18 +VTStrings +p3361 +tp3362 +a(g339 +V; +tp3363 +a(g185 +V +tp3364 +a(g111 +Vconst +p3365 +tp3366 +a(g185 +V +tp3367 +a(g18 +VS +tp3368 +a(g339 +V: +tp3369 +a(g185 +V +tp3370 +a(g111 +Vstring +p3371 +tp3372 +a(g198 +V) +tp3373 +a(g339 +V: +tp3374 +a(g185 +V +tp3375 +a(g135 +VInteger +p3376 +tp3377 +a(g339 +V; +tp3378 +a(g185 +V +tp3379 +a(g18 +Voverload +p3380 +tp3381 +a(g339 +V; +tp3382 +a(g185 +V\u000a\u000a +p3383 +tp3384 +a(g111 +Vfunction +p3385 +tp3386 +a(g185 +V +tp3387 +a(g21 +VTextAtPos +p3388 +tp3389 +a(g198 +V( +tp3390 +a(g111 +Vconst +p3391 +tp3392 +a(g185 +V +tp3393 +a(g18 +VS +tp3394 +a(g339 +V: +tp3395 +a(g185 +V +tp3396 +a(g111 +Vstring +p3397 +tp3398 +a(g339 +V; +tp3399 +a(g185 +V +tp3400 +a(g57 +VPos +p3401 +tp3402 +a(g339 +V: +tp3403 +a(g185 +V +tp3404 +a(g135 +VInteger +p3405 +tp3406 +a(g339 +V; +tp3407 +a(g185 +V +tp3408 +a(g111 +Vconst +p3409 +tp3410 +a(g185 +V +tp3411 +a(g18 +VText +p3412 +tp3413 +a(g339 +V: +tp3414 +a(g185 +V +tp3415 +a(g111 +Vstring +p3416 +tp3417 +a(g198 +V) +tp3418 +a(g339 +V: +tp3419 +a(g185 +V +tp3420 +a(g135 +VBoolean +p3421 +tp3422 +a(g339 +V; +tp3423 +a(g185 +V\u000a +tp3424 +a(g111 +Vfunction +p3425 +tp3426 +a(g185 +V +tp3427 +a(g21 +VTextAtBegin +p3428 +tp3429 +a(g198 +V( +tp3430 +a(g111 +Vconst +p3431 +tp3432 +a(g185 +V +tp3433 +a(g18 +VS +tp3434 +a(g339 +V, +tp3435 +a(g185 +V +tp3436 +a(g18 +VText +p3437 +tp3438 +a(g339 +V: +tp3439 +a(g185 +V +tp3440 +a(g111 +Vstring +p3441 +tp3442 +a(g198 +V) +tp3443 +a(g339 +V: +tp3444 +a(g185 +V +tp3445 +a(g135 +VBoolean +p3446 +tp3447 +a(g339 +V; +tp3448 +a(g185 +V\u000a +tp3449 +a(g111 +Vfunction +p3450 +tp3451 +a(g185 +V +tp3452 +a(g21 +VTextIn +p3453 +tp3454 +a(g198 +V( +tp3455 +a(g111 +Vconst +p3456 +tp3457 +a(g185 +V +tp3458 +a(g18 +VS +tp3459 +a(g339 +V, +tp3460 +a(g185 +V +tp3461 +a(g18 +VText +p3462 +tp3463 +a(g339 +V: +tp3464 +a(g185 +V +tp3465 +a(g111 +Vstring +p3466 +tp3467 +a(g198 +V) +tp3468 +a(g339 +V: +tp3469 +a(g185 +V +tp3470 +a(g135 +VBoolean +p3471 +tp3472 +a(g339 +V; +tp3473 +a(g185 +V +tp3474 +a(g18 +Voverload +p3475 +tp3476 +a(g339 +V; +tp3477 +a(g185 +V\u000a +tp3478 +a(g111 +Vfunction +p3479 +tp3480 +a(g185 +V +tp3481 +a(g21 +VTextIn +p3482 +tp3483 +a(g198 +V( +tp3484 +a(g18 +VA +tp3485 +a(g339 +V: +tp3486 +a(g185 +V +tp3487 +a(g18 +VTStrA +p3488 +tp3489 +a(g339 +V; +tp3490 +a(g185 +V +tp3491 +a(g111 +Vconst +p3492 +tp3493 +a(g185 +V +tp3494 +a(g18 +VText +p3495 +tp3496 +a(g339 +V: +tp3497 +a(g185 +V +tp3498 +a(g111 +Vstring +p3499 +tp3500 +a(g198 +V) +tp3501 +a(g339 +V: +tp3502 +a(g185 +V +tp3503 +a(g135 +VBoolean +p3504 +tp3505 +a(g339 +V; +tp3506 +a(g185 +V +tp3507 +a(g18 +Voverload +p3508 +tp3509 +a(g339 +V; +tp3510 +a(g185 +V\u000a +tp3511 +a(g111 +Vfunction +p3512 +tp3513 +a(g185 +V +tp3514 +a(g21 +VTextIn +p3515 +tp3516 +a(g198 +V( +tp3517 +a(g18 +VSL +p3518 +tp3519 +a(g339 +V: +tp3520 +a(g185 +V +tp3521 +a(g18 +VTStrings +p3522 +tp3523 +a(g339 +V; +tp3524 +a(g185 +V +tp3525 +a(g111 +Vconst +p3526 +tp3527 +a(g185 +V +tp3528 +a(g18 +VText +p3529 +tp3530 +a(g339 +V: +tp3531 +a(g185 +V +tp3532 +a(g111 +Vstring +p3533 +tp3534 +a(g198 +V) +tp3535 +a(g339 +V: +tp3536 +a(g185 +V +tp3537 +a(g135 +VBoolean +p3538 +tp3539 +a(g339 +V; +tp3540 +a(g185 +V +tp3541 +a(g18 +Voverload +p3542 +tp3543 +a(g339 +V; +tp3544 +a(g185 +V\u000a +tp3545 +a(g111 +Vfunction +p3546 +tp3547 +a(g185 +V +tp3548 +a(g21 +VTextIndex +p3549 +tp3550 +a(g198 +V( +tp3551 +a(g18 +VA +tp3552 +a(g339 +V: +tp3553 +a(g185 +V +tp3554 +a(g18 +VTStrA +p3555 +tp3556 +a(g339 +V; +tp3557 +a(g185 +V +tp3558 +a(g111 +Vconst +p3559 +tp3560 +a(g185 +V +tp3561 +a(g18 +VText +p3562 +tp3563 +a(g339 +V: +tp3564 +a(g185 +V +tp3565 +a(g111 +Vstring +p3566 +tp3567 +a(g198 +V) +tp3568 +a(g339 +V: +tp3569 +a(g185 +V +tp3570 +a(g135 +VInteger +p3571 +tp3572 +a(g339 +V; +tp3573 +a(g185 +V +tp3574 +a(g18 +Voverload +p3575 +tp3576 +a(g339 +V; +tp3577 +a(g185 +V\u000a +tp3578 +a(g111 +Vfunction +p3579 +tp3580 +a(g185 +V +tp3581 +a(g21 +VTextIndex +p3582 +tp3583 +a(g198 +V( +tp3584 +a(g18 +VSL +p3585 +tp3586 +a(g339 +V: +tp3587 +a(g185 +V +tp3588 +a(g18 +VTStrings +p3589 +tp3590 +a(g339 +V; +tp3591 +a(g185 +V +tp3592 +a(g111 +Vconst +p3593 +tp3594 +a(g185 +V +tp3595 +a(g18 +VText +p3596 +tp3597 +a(g339 +V: +tp3598 +a(g185 +V +tp3599 +a(g111 +Vstring +p3600 +tp3601 +a(g198 +V) +tp3602 +a(g339 +V: +tp3603 +a(g185 +V +tp3604 +a(g135 +VInteger +p3605 +tp3606 +a(g339 +V; +tp3607 +a(g185 +V +tp3608 +a(g18 +Voverload +p3609 +tp3610 +a(g339 +V; +tp3611 +a(g185 +V\u000a\u000a +p3612 +tp3613 +a(g354 +V{ Replace } +p3614 +tp3615 +a(g185 +V\u000a +tp3616 +a(g111 +Vfunction +p3617 +tp3618 +a(g185 +V +tp3619 +a(g21 +VReplaceChars +p3620 +tp3621 +a(g198 +V( +tp3622 +a(g111 +Vconst +p3623 +tp3624 +a(g185 +V +tp3625 +a(g18 +VS +tp3626 +a(g339 +V: +tp3627 +a(g185 +V +tp3628 +a(g111 +Vstring +p3629 +tp3630 +a(g339 +V; +tp3631 +a(g185 +V +tp3632 +a(g18 +VOld +p3633 +tp3634 +a(g339 +V, +tp3635 +a(g185 +V +tp3636 +a(g111 +VNew +p3637 +tp3638 +a(g339 +V: +tp3639 +a(g185 +V +tp3640 +a(g135 +VChar +p3641 +tp3642 +a(g198 +V) +tp3643 +a(g339 +V: +tp3644 +a(g185 +V +tp3645 +a(g111 +Vstring +p3646 +tp3647 +a(g339 +V; +tp3648 +a(g185 +V +tp3649 +a(g18 +Voverload +p3650 +tp3651 +a(g339 +V; +tp3652 +a(g185 +V\u000a +tp3653 +a(g111 +Vfunction +p3654 +tp3655 +a(g185 +V +tp3656 +a(g21 +VReplaceChars +p3657 +tp3658 +a(g198 +V( +tp3659 +a(g111 +Vconst +p3660 +tp3661 +a(g185 +V +tp3662 +a(g18 +VS +tp3663 +a(g339 +V: +tp3664 +a(g185 +V +tp3665 +a(g111 +Vstring +p3666 +tp3667 +a(g339 +V; +tp3668 +a(g185 +V +tp3669 +a(g18 +VOld +p3670 +tp3671 +a(g339 +V: +tp3672 +a(g185 +V +tp3673 +a(g18 +VTCharSet +p3674 +tp3675 +a(g339 +V; +tp3676 +a(g185 +V +tp3677 +a(g111 +VNew +p3678 +tp3679 +a(g339 +V: +tp3680 +a(g185 +V +tp3681 +a(g135 +VChar +p3682 +tp3683 +a(g198 +V) +tp3684 +a(g339 +V: +tp3685 +a(g185 +V +tp3686 +a(g111 +Vstring +p3687 +tp3688 +a(g339 +V; +tp3689 +a(g185 +V +tp3690 +a(g18 +Voverload +p3691 +tp3692 +a(g339 +V; +tp3693 +a(g185 +V\u000a\u000a +p3694 +tp3695 +a(g111 +Vfunction +p3696 +tp3697 +a(g185 +V +tp3698 +a(g21 +VReplace +p3699 +tp3700 +a(g198 +V( +tp3701 +a(g111 +Vconst +p3702 +tp3703 +a(g185 +V +tp3704 +a(g18 +VS +tp3705 +a(g339 +V, +tp3706 +a(g185 +V +tp3707 +a(g18 +VOld +p3708 +tp3709 +a(g339 +V, +tp3710 +a(g185 +V +tp3711 +a(g111 +VNew +p3712 +tp3713 +a(g339 +V: +tp3714 +a(g185 +V +tp3715 +a(g111 +Vstring +p3716 +tp3717 +a(g198 +V) +tp3718 +a(g339 +V: +tp3719 +a(g185 +V +tp3720 +a(g111 +Vstring +p3721 +tp3722 +a(g339 +V; +tp3723 +a(g185 +V\u000a\u000a +p3724 +tp3725 +a(g354 +V{ TStrings } +p3726 +tp3727 +a(g185 +V\u000a +tp3728 +a(g111 +Vfunction +p3729 +tp3730 +a(g185 +V +tp3731 +a(g21 +VSLOfFile +p3732 +tp3733 +a(g198 +V( +tp3734 +a(g111 +Vconst +p3735 +tp3736 +a(g185 +V +tp3737 +a(g18 +VFileName +p3738 +tp3739 +a(g339 +V: +tp3740 +a(g185 +V +tp3741 +a(g111 +Vstring +p3742 +tp3743 +a(g198 +V) +tp3744 +a(g339 +V: +tp3745 +a(g185 +V +tp3746 +a(g18 +VTStringList +p3747 +tp3748 +a(g339 +V; +tp3749 +a(g185 +V\u000a +tp3750 +a(g111 +Vfunction +p3751 +tp3752 +a(g185 +V +tp3753 +a(g21 +VContainsEmptyLines +p3754 +tp3755 +a(g198 +V( +tp3756 +a(g18 +VSL +p3757 +tp3758 +a(g339 +V: +tp3759 +a(g185 +V +tp3760 +a(g18 +VTStrings +p3761 +tp3762 +a(g198 +V) +tp3763 +a(g339 +V: +tp3764 +a(g185 +V +tp3765 +a(g135 +VBoolean +p3766 +tp3767 +a(g339 +V; +tp3768 +a(g185 +V\u000a +tp3769 +a(g111 +Vprocedure +p3770 +tp3771 +a(g185 +V +tp3772 +a(g21 +VDeleteEmptyLines +p3773 +tp3774 +a(g198 +V( +tp3775 +a(g18 +VSL +p3776 +tp3777 +a(g339 +V: +tp3778 +a(g185 +V +tp3779 +a(g18 +VTStrings +p3780 +tp3781 +a(g198 +V) +tp3782 +a(g339 +V; +tp3783 +a(g185 +V\u000a +tp3784 +a(g111 +Vprocedure +p3785 +tp3786 +a(g185 +V +tp3787 +a(g21 +VDeleteCommentLines +p3788 +tp3789 +a(g198 +V( +tp3790 +a(g18 +VSL +p3791 +tp3792 +a(g339 +V: +tp3793 +a(g185 +V +tp3794 +a(g18 +VTStrings +p3795 +tp3796 +a(g339 +V; +tp3797 +a(g185 +V +tp3798 +a(g111 +Vconst +p3799 +tp3800 +a(g185 +V +tp3801 +a(g18 +VCommentSign +p3802 +tp3803 +a(g339 +V: +tp3804 +a(g185 +V +tp3805 +a(g111 +Vstring +p3806 +tp3807 +a(g185 +V +tp3808 +a(g339 +V= +tp3809 +a(g185 +V +tp3810 +a(g222 +V' +tp3811 +a(g222 +V// +p3812 +tp3813 +a(g222 +V' +tp3814 +a(g198 +V) +tp3815 +a(g339 +V; +tp3816 +a(g185 +V\u000a +tp3817 +a(g111 +Vprocedure +p3818 +tp3819 +a(g185 +V +tp3820 +a(g21 +VWriteSL +p3821 +tp3822 +a(g198 +V( +tp3823 +a(g18 +VStrings +p3824 +tp3825 +a(g339 +V: +tp3826 +a(g185 +V +tp3827 +a(g18 +VTStrings +p3828 +tp3829 +a(g339 +V; +tp3830 +a(g185 +V +tp3831 +a(g111 +Vconst +p3832 +tp3833 +a(g185 +V +tp3834 +a(g18 +VPrefix +p3835 +tp3836 +a(g339 +V: +tp3837 +a(g185 +V +tp3838 +a(g111 +Vstring +p3839 +tp3840 +a(g185 +V +tp3841 +a(g339 +V= +tp3842 +a(g185 +V +tp3843 +a(g222 +V' +tp3844 +a(g222 +V' +tp3845 +a(g339 +V; +tp3846 +a(g185 +V\u000a +p3847 +tp3848 +a(g111 +Vconst +p3849 +tp3850 +a(g185 +V +tp3851 +a(g18 +VSuffix +p3852 +tp3853 +a(g339 +V: +tp3854 +a(g185 +V +tp3855 +a(g111 +Vstring +p3856 +tp3857 +a(g185 +V +tp3858 +a(g339 +V= +tp3859 +a(g185 +V +tp3860 +a(g222 +V' +tp3861 +a(g222 +V' +tp3862 +a(g198 +V) +tp3863 +a(g339 +V; +tp3864 +a(g185 +V\u000a\u000a +p3865 +tp3866 +a(g111 +Vfunction +p3867 +tp3868 +a(g185 +V +tp3869 +a(g21 +VFindLine +p3870 +tp3871 +a(g198 +V( +tp3872 +a(g18 +VSL +p3873 +tp3874 +a(g339 +V: +tp3875 +a(g185 +V +tp3876 +a(g18 +VTStrings +p3877 +tp3878 +a(g339 +V; +tp3879 +a(g185 +V +tp3880 +a(g111 +Vconst +p3881 +tp3882 +a(g185 +V +tp3883 +a(g18 +VS +tp3884 +a(g339 +V: +tp3885 +a(g185 +V +tp3886 +a(g111 +Vstring +p3887 +tp3888 +a(g198 +V) +tp3889 +a(g339 +V: +tp3890 +a(g185 +V +tp3891 +a(g135 +VInteger +p3892 +tp3893 +a(g339 +V; +tp3894 +a(g185 +V\u000a\u000a +p3895 +tp3896 +a(g111 +Vprocedure +p3897 +tp3898 +a(g185 +V +tp3899 +a(g21 +VQuickSortSL +p3900 +tp3901 +a(g198 +V( +tp3902 +a(g18 +VSL +p3903 +tp3904 +a(g339 +V: +tp3905 +a(g185 +V +tp3906 +a(g18 +VTStringList +p3907 +tp3908 +a(g198 +V) +tp3909 +a(g339 +V; +tp3910 +a(g185 +V\u000a\u000a +p3911 +tp3912 +a(g354 +V{ TStrA } +p3913 +tp3914 +a(g185 +V\u000a +tp3915 +a(g111 +Vfunction +p3916 +tp3917 +a(g185 +V +tp3918 +a(g21 +VIncStrA +p3919 +tp3920 +a(g198 +V( +tp3921 +a(g18 +VStrA +p3922 +tp3923 +a(g339 +V: +tp3924 +a(g185 +V +tp3925 +a(g18 +VTStrA +p3926 +tp3927 +a(g198 +V) +tp3928 +a(g339 +V: +tp3929 +a(g185 +V +tp3930 +a(g135 +VInteger +p3931 +tp3932 +a(g339 +V; +tp3933 +a(g185 +V\u000a\u000a +p3934 +tp3935 +a(g354 +V{ TByteA } +p3936 +tp3937 +a(g185 +V\u000a +tp3938 +a(g111 +Vfunction +p3939 +tp3940 +a(g185 +V +tp3941 +a(g21 +VStrOfByteA +p3942 +tp3943 +a(g198 +V( +tp3944 +a(g18 +Va +tp3945 +a(g339 +V: +tp3946 +a(g185 +V +tp3947 +a(g18 +VTByteA +p3948 +tp3949 +a(g198 +V) +tp3950 +a(g339 +V: +tp3951 +a(g185 +V +tp3952 +a(g111 +Vstring +p3953 +tp3954 +a(g339 +V; +tp3955 +a(g185 +V\u000a +tp3956 +a(g111 +Vfunction +p3957 +tp3958 +a(g185 +V +tp3959 +a(g21 +VByteAOfStr +p3960 +tp3961 +a(g198 +V( +tp3962 +a(g111 +Vconst +p3963 +tp3964 +a(g185 +V +tp3965 +a(g18 +VS +tp3966 +a(g339 +V: +tp3967 +a(g185 +V +tp3968 +a(g111 +Vstring +p3969 +tp3970 +a(g198 +V) +tp3971 +a(g339 +V: +tp3972 +a(g185 +V +tp3973 +a(g18 +VTByteA +p3974 +tp3975 +a(g339 +V; +tp3976 +a(g185 +V\u000a +tp3977 +a(g111 +Vfunction +p3978 +tp3979 +a(g185 +V +tp3980 +a(g21 +VByteAOfInt +p3981 +tp3982 +a(g198 +V( +tp3983 +a(g18 +Vi +tp3984 +a(g339 +V: +tp3985 +a(g185 +V +tp3986 +a(g135 +VInteger +p3987 +tp3988 +a(g198 +V) +tp3989 +a(g339 +V: +tp3990 +a(g185 +V +tp3991 +a(g18 +VTByteA +p3992 +tp3993 +a(g339 +V; +tp3994 +a(g185 +V\u000a +tp3995 +a(g111 +Vfunction +p3996 +tp3997 +a(g185 +V +tp3998 +a(g21 +VIntOfByteA +p3999 +tp4000 +a(g198 +V( +tp4001 +a(g18 +VA +tp4002 +a(g339 +V: +tp4003 +a(g185 +V +tp4004 +a(g18 +VTByteA +p4005 +tp4006 +a(g198 +V) +tp4007 +a(g339 +V: +tp4008 +a(g185 +V +tp4009 +a(g135 +VInteger +p4010 +tp4011 +a(g339 +V; +tp4012 +a(g185 +V\u000a +tp4013 +a(g111 +Vfunction +p4014 +tp4015 +a(g185 +V +tp4016 +a(g21 +VByteAOfHex +p4017 +tp4018 +a(g198 +V( +tp4019 +a(g111 +Vconst +p4020 +tp4021 +a(g185 +V +tp4022 +a(g18 +VHex +p4023 +tp4024 +a(g339 +V: +tp4025 +a(g185 +V +tp4026 +a(g111 +Vstring +p4027 +tp4028 +a(g198 +V) +tp4029 +a(g339 +V: +tp4030 +a(g185 +V +tp4031 +a(g18 +VTByteA +p4032 +tp4033 +a(g339 +V; +tp4034 +a(g185 +V\u000a\u000a +p4035 +tp4036 +a(g111 +Vfunction +p4037 +tp4038 +a(g185 +V +tp4039 +a(g21 +VSameByteA +p4040 +tp4041 +a(g198 +V( +tp4042 +a(g111 +Vconst +p4043 +tp4044 +a(g185 +V +tp4045 +a(g18 +VA +tp4046 +a(g339 +V, +tp4047 +a(g185 +V +tp4048 +a(g18 +VB +tp4049 +a(g339 +V: +tp4050 +a(g185 +V +tp4051 +a(g18 +VTByteA +p4052 +tp4053 +a(g198 +V) +tp4054 +a(g339 +V: +tp4055 +a(g185 +V +tp4056 +a(g135 +VBoolean +p4057 +tp4058 +a(g339 +V; +tp4059 +a(g185 +V\u000a +tp4060 +a(g111 +Vfunction +p4061 +tp4062 +a(g185 +V +tp4063 +a(g21 +VReverse +p4064 +tp4065 +a(g198 +V( +tp4066 +a(g18 +Va +tp4067 +a(g339 +V: +tp4068 +a(g185 +V +tp4069 +a(g18 +VTByteA +p4070 +tp4071 +a(g198 +V) +tp4072 +a(g339 +V: +tp4073 +a(g185 +V +tp4074 +a(g18 +VTByteA +p4075 +tp4076 +a(g339 +V; +tp4077 +a(g185 +V\u000a +tp4078 +a(g111 +Vfunction +p4079 +tp4080 +a(g185 +V +tp4081 +a(g21 +VSaveByteA +p4082 +tp4083 +a(g198 +V( +tp4084 +a(g18 +VData +p4085 +tp4086 +a(g339 +V: +tp4087 +a(g185 +V +tp4088 +a(g18 +VTByteA +p4089 +tp4090 +a(g339 +V; +tp4091 +a(g185 +V +tp4092 +a(g111 +Vconst +p4093 +tp4094 +a(g185 +V +tp4095 +a(g18 +VFileName +p4096 +tp4097 +a(g339 +V: +tp4098 +a(g185 +V +tp4099 +a(g111 +Vstring +p4100 +tp4101 +a(g339 +V; +tp4102 +a(g185 +V +tp4103 +a(g18 +VOverwrite +p4104 +tp4105 +a(g339 +V: +tp4106 +a(g185 +V +tp4107 +a(g135 +VBoolean +p4108 +tp4109 +a(g185 +V +tp4110 +a(g339 +V= +tp4111 +a(g185 +V +tp4112 +a(g111 +VTrue +p4113 +tp4114 +a(g198 +V) +tp4115 +a(g339 +V: +tp4116 +a(g185 +V +tp4117 +a(g135 +VBoolean +p4118 +tp4119 +a(g339 +V; +tp4120 +a(g185 +V\u000a +tp4121 +a(g111 +Vfunction +p4122 +tp4123 +a(g185 +V +tp4124 +a(g21 +VLoadByteA +p4125 +tp4126 +a(g198 +V( +tp4127 +a(g111 +Vconst +p4128 +tp4129 +a(g185 +V +tp4130 +a(g18 +VFileName +p4131 +tp4132 +a(g339 +V: +tp4133 +a(g185 +V +tp4134 +a(g111 +Vstring +p4135 +tp4136 +a(g198 +V) +tp4137 +a(g339 +V: +tp4138 +a(g185 +V +tp4139 +a(g18 +VTByteA +p4140 +tp4141 +a(g339 +V; +tp4142 +a(g185 +V\u000a\u000a +p4143 +tp4144 +a(g111 +Vfunction +p4145 +tp4146 +a(g185 +V +tp4147 +a(g21 +VEndian +p4148 +tp4149 +a(g198 +V( +tp4150 +a(g18 +Vi +tp4151 +a(g339 +V: +tp4152 +a(g185 +V +tp4153 +a(g135 +VInteger +p4154 +tp4155 +a(g198 +V) +tp4156 +a(g339 +V: +tp4157 +a(g185 +V +tp4158 +a(g135 +VInteger +p4159 +tp4160 +a(g339 +V; +tp4161 +a(g185 +V\u000a\u000a +p4162 +tp4163 +a(g354 +V{ Files } +p4164 +tp4165 +a(g185 +V\u000a +tp4166 +a(g111 +Vfunction +p4167 +tp4168 +a(g185 +V +tp4169 +a(g21 +VSizeOfFile +p4170 +tp4171 +a(g198 +V( +tp4172 +a(g111 +Vconst +p4173 +tp4174 +a(g185 +V +tp4175 +a(g18 +VFileName +p4176 +tp4177 +a(g339 +V: +tp4178 +a(g185 +V +tp4179 +a(g111 +Vstring +p4180 +tp4181 +a(g198 +V) +tp4182 +a(g339 +V: +tp4183 +a(g185 +V +tp4184 +a(g135 +VInteger +p4185 +tp4186 +a(g339 +V; +tp4187 +a(g185 +V\u000a +tp4188 +a(g111 +Vfunction +p4189 +tp4190 +a(g185 +V +tp4191 +a(g21 +VFileEx +p4192 +tp4193 +a(g198 +V( +tp4194 +a(g111 +Vconst +p4195 +tp4196 +a(g185 +V +tp4197 +a(g18 +VFileName +p4198 +tp4199 +a(g339 +V: +tp4200 +a(g185 +V +tp4201 +a(g111 +Vstring +p4202 +tp4203 +a(g339 +V; +tp4204 +a(g185 +V +tp4205 +a(g18 +VAllowFolders +p4206 +tp4207 +a(g339 +V: +tp4208 +a(g185 +V +tp4209 +a(g135 +VBoolean +p4210 +tp4211 +a(g185 +V +tp4212 +a(g339 +V= +tp4213 +a(g185 +V +tp4214 +a(g111 +VFalse +p4215 +tp4216 +a(g198 +V) +tp4217 +a(g339 +V: +tp4218 +a(g185 +V +tp4219 +a(g135 +VBoolean +p4220 +tp4221 +a(g339 +V; +tp4222 +a(g185 +V\u000a +tp4223 +a(g111 +Vfunction +p4224 +tp4225 +a(g185 +V +tp4226 +a(g21 +VLWPSolve +p4227 +tp4228 +a(g198 +V( +tp4229 +a(g111 +Vconst +p4230 +tp4231 +a(g185 +V +tp4232 +a(g18 +VDir +p4233 +tp4234 +a(g339 +V: +tp4235 +a(g185 +V +tp4236 +a(g111 +Vstring +p4237 +tp4238 +a(g198 +V) +tp4239 +a(g339 +V: +tp4240 +a(g185 +V +tp4241 +a(g111 +Vstring +p4242 +tp4243 +a(g339 +V; +tp4244 +a(g185 +V\u000a +tp4245 +a(g111 +Vfunction +p4246 +tp4247 +a(g185 +V +tp4248 +a(g21 +VLWPSlash +p4249 +tp4250 +a(g198 +V( +tp4251 +a(g111 +Vconst +p4252 +tp4253 +a(g185 +V +tp4254 +a(g18 +VDir +p4255 +tp4256 +a(g339 +V: +tp4257 +a(g185 +V +tp4258 +a(g111 +Vstring +p4259 +tp4260 +a(g198 +V) +tp4261 +a(g339 +V: +tp4262 +a(g185 +V +tp4263 +a(g111 +Vstring +p4264 +tp4265 +a(g339 +V; +tp4266 +a(g185 +V\u000a\u000a +p4267 +tp4268 +a(g111 +Vfunction +p4269 +tp4270 +a(g185 +V +tp4271 +a(g21 +VExtractDrive +p4272 +tp4273 +a(g198 +V( +tp4274 +a(g111 +Vconst +p4275 +tp4276 +a(g185 +V +tp4277 +a(g18 +VFileName +p4278 +tp4279 +a(g339 +V: +tp4280 +a(g185 +V +tp4281 +a(g111 +Vstring +p4282 +tp4283 +a(g198 +V) +tp4284 +a(g339 +V: +tp4285 +a(g185 +V +tp4286 +a(g111 +Vstring +p4287 +tp4288 +a(g339 +V; +tp4289 +a(g185 +V\u000a +tp4290 +a(g111 +Vfunction +p4291 +tp4292 +a(g185 +V +tp4293 +a(g21 +VExtractPath +p4294 +tp4295 +a(g198 +V( +tp4296 +a(g111 +Vconst +p4297 +tp4298 +a(g185 +V +tp4299 +a(g18 +VFileName +p4300 +tp4301 +a(g339 +V: +tp4302 +a(g185 +V +tp4303 +a(g111 +Vstring +p4304 +tp4305 +a(g198 +V) +tp4306 +a(g339 +V: +tp4307 +a(g185 +V +tp4308 +a(g111 +Vstring +p4309 +tp4310 +a(g339 +V; +tp4311 +a(g185 +V\u000a +tp4312 +a(g111 +Vfunction +p4313 +tp4314 +a(g185 +V +tp4315 +a(g21 +VExtractPrefix +p4316 +tp4317 +a(g198 +V( +tp4318 +a(g111 +Vconst +p4319 +tp4320 +a(g185 +V +tp4321 +a(g18 +VFileName +p4322 +tp4323 +a(g339 +V: +tp4324 +a(g185 +V +tp4325 +a(g111 +Vstring +p4326 +tp4327 +a(g198 +V) +tp4328 +a(g339 +V: +tp4329 +a(g185 +V +tp4330 +a(g111 +Vstring +p4331 +tp4332 +a(g339 +V; +tp4333 +a(g185 +V\u000a +tp4334 +a(g111 +Vfunction +p4335 +tp4336 +a(g185 +V +tp4337 +a(g21 +VExtractSuffix +p4338 +tp4339 +a(g198 +V( +tp4340 +a(g111 +Vconst +p4341 +tp4342 +a(g185 +V +tp4343 +a(g18 +VFileName +p4344 +tp4345 +a(g339 +V: +tp4346 +a(g185 +V +tp4347 +a(g111 +Vstring +p4348 +tp4349 +a(g198 +V) +tp4350 +a(g339 +V: +tp4351 +a(g185 +V +tp4352 +a(g111 +Vstring +p4353 +tp4354 +a(g339 +V; +tp4355 +a(g185 +V\u000a\u000a +p4356 +tp4357 +a(g111 +Vfunction +p4358 +tp4359 +a(g185 +V +tp4360 +a(g21 +VIsValidFileName +p4361 +tp4362 +a(g198 +V( +tp4363 +a(g111 +Vconst +p4364 +tp4365 +a(g185 +V +tp4366 +a(g18 +VFileName +p4367 +tp4368 +a(g339 +V: +tp4369 +a(g185 +V +tp4370 +a(g111 +Vstring +p4371 +tp4372 +a(g198 +V) +tp4373 +a(g339 +V: +tp4374 +a(g185 +V +tp4375 +a(g135 +VBoolean +p4376 +tp4377 +a(g339 +V; +tp4378 +a(g185 +V\u000a +tp4379 +a(g111 +Vfunction +p4380 +tp4381 +a(g185 +V +tp4382 +a(g21 +VMakeValidFileName +p4383 +tp4384 +a(g198 +V( +tp4385 +a(g18 +VFileName +p4386 +tp4387 +a(g339 +V: +tp4388 +a(g185 +V +tp4389 +a(g111 +Vstring +p4390 +tp4391 +a(g339 +V; +tp4392 +a(g185 +V +tp4393 +a(g111 +Vconst +p4394 +tp4395 +a(g185 +V +tp4396 +a(g119 +VDefault +p4397 +tp4398 +a(g339 +V: +tp4399 +a(g185 +V +tp4400 +a(g111 +Vstring +p4401 +tp4402 +a(g185 +V +tp4403 +a(g339 +V= +tp4404 +a(g185 +V +tp4405 +a(g222 +V' +tp4406 +a(g222 +VFile +p4407 +tp4408 +a(g222 +V' +tp4409 +a(g198 +V) +tp4410 +a(g339 +V: +tp4411 +a(g185 +V +tp4412 +a(g111 +Vstring +p4413 +tp4414 +a(g339 +V; +tp4415 +a(g185 +V\u000a\u000a +p4416 +tp4417 +a(g354 +V{ Converting } +p4418 +tp4419 +a(g185 +V\u000a +tp4420 +a(g111 +Vfunction +p4421 +tp4422 +a(g185 +V +tp4423 +a(g21 +VIsValidInteger +p4424 +tp4425 +a(g198 +V( +tp4426 +a(g111 +Vconst +p4427 +tp4428 +a(g185 +V +tp4429 +a(g18 +VS +tp4430 +a(g339 +V: +tp4431 +a(g185 +V +tp4432 +a(g111 +Vstring +p4433 +tp4434 +a(g198 +V) +tp4435 +a(g339 +V: +tp4436 +a(g185 +V +tp4437 +a(g135 +VBoolean +p4438 +tp4439 +a(g339 +V; +tp4440 +a(g185 +V\u000a +tp4441 +a(g111 +Vfunction +p4442 +tp4443 +a(g185 +V +tp4444 +a(g21 +VIsValidCardinal +p4445 +tp4446 +a(g198 +V( +tp4447 +a(g111 +Vconst +p4448 +tp4449 +a(g185 +V +tp4450 +a(g18 +VS +tp4451 +a(g339 +V: +tp4452 +a(g185 +V +tp4453 +a(g111 +Vstring +p4454 +tp4455 +a(g198 +V) +tp4456 +a(g339 +V: +tp4457 +a(g185 +V +tp4458 +a(g135 +VBoolean +p4459 +tp4460 +a(g339 +V; +tp4461 +a(g185 +V\u000a\u000a +p4462 +tp4463 +a(g111 +Vfunction +p4464 +tp4465 +a(g185 +V +tp4466 +a(g21 +VStrOfBool +p4467 +tp4468 +a(g198 +V( +tp4469 +a(g18 +Vflag +p4470 +tp4471 +a(g339 +V: +tp4472 +a(g185 +V +tp4473 +a(g135 +VBoolean +p4474 +tp4475 +a(g339 +V; +tp4476 +a(g185 +V +tp4477 +a(g111 +Vconst +p4478 +tp4479 +a(g185 +V +tp4480 +a(g18 +VTrueStr +p4481 +tp4482 +a(g339 +V: +tp4483 +a(g185 +V +tp4484 +a(g111 +Vstring +p4485 +tp4486 +a(g185 +V +tp4487 +a(g339 +V= +tp4488 +a(g185 +V +tp4489 +a(g222 +V' +tp4490 +a(g222 +VTrue +p4491 +tp4492 +a(g222 +V' +tp4493 +a(g339 +V; +tp4494 +a(g185 +V\u000a +p4495 +tp4496 +a(g111 +Vconst +p4497 +tp4498 +a(g185 +V +tp4499 +a(g18 +VFalseStr +p4500 +tp4501 +a(g339 +V: +tp4502 +a(g185 +V +tp4503 +a(g111 +Vstring +p4504 +tp4505 +a(g185 +V +tp4506 +a(g339 +V= +tp4507 +a(g185 +V +tp4508 +a(g222 +V' +tp4509 +a(g222 +VFalse +p4510 +tp4511 +a(g222 +V' +tp4512 +a(g198 +V) +tp4513 +a(g339 +V: +tp4514 +a(g185 +V +tp4515 +a(g111 +Vstring +p4516 +tp4517 +a(g339 +V; +tp4518 +a(g185 +V\u000a +tp4519 +a(g111 +Vfunction +p4520 +tp4521 +a(g185 +V +tp4522 +a(g21 +VStrOfInt +p4523 +tp4524 +a(g198 +V( +tp4525 +a(g18 +Vi +tp4526 +a(g339 +V: +tp4527 +a(g185 +V +tp4528 +a(g135 +VInteger +p4529 +tp4530 +a(g198 +V) +tp4531 +a(g339 +V: +tp4532 +a(g185 +V +tp4533 +a(g111 +Vstring +p4534 +tp4535 +a(g339 +V; +tp4536 +a(g185 +V\u000a +tp4537 +a(g111 +Vfunction +p4538 +tp4539 +a(g185 +V +tp4540 +a(g21 +VCardOfStr +p4541 +tp4542 +a(g198 +V( +tp4543 +a(g111 +Vconst +p4544 +tp4545 +a(g185 +V +tp4546 +a(g18 +VS +tp4547 +a(g339 +V: +tp4548 +a(g185 +V +tp4549 +a(g111 +Vstring +p4550 +tp4551 +a(g198 +V) +tp4552 +a(g339 +V: +tp4553 +a(g185 +V +tp4554 +a(g135 +VCardinal +p4555 +tp4556 +a(g339 +V; +tp4557 +a(g185 +V\u000a\u000a +p4558 +tp4559 +a(g111 +Vfunction +p4560 +tp4561 +a(g185 +V +tp4562 +a(g21 +VHexOrd +p4563 +tp4564 +a(g198 +V( +tp4565 +a(g18 +VHex +p4566 +tp4567 +a(g339 +V: +tp4568 +a(g185 +V +tp4569 +a(g135 +VChar +p4570 +tp4571 +a(g198 +V) +tp4572 +a(g339 +V: +tp4573 +a(g185 +V +tp4574 +a(g18 +VTHex +p4575 +tp4576 +a(g339 +V; +tp4577 +a(g185 +V\u000a +tp4578 +a(g111 +Vfunction +p4579 +tp4580 +a(g185 +V +tp4581 +a(g21 +VByteOfHex +p4582 +tp4583 +a(g198 +V( +tp4584 +a(g18 +VHex +p4585 +tp4586 +a(g339 +V: +tp4587 +a(g185 +V +tp4588 +a(g18 +VTHexByteStr +p4589 +tp4590 +a(g198 +V) +tp4591 +a(g339 +V: +tp4592 +a(g185 +V +tp4593 +a(g135 +VByte +p4594 +tp4595 +a(g339 +V; +tp4596 +a(g185 +V\u000a\u000a +p4597 +tp4598 +a(g111 +Vfunction +p4599 +tp4600 +a(g185 +V +tp4601 +a(g21 +VDecOfHex +p4602 +tp4603 +a(g198 +V( +tp4604 +a(g111 +Vconst +p4605 +tp4606 +a(g185 +V +tp4607 +a(g18 +VHex +p4608 +tp4609 +a(g339 +V: +tp4610 +a(g185 +V +tp4611 +a(g111 +Vstring +p4612 +tp4613 +a(g198 +V) +tp4614 +a(g339 +V: +tp4615 +a(g185 +V +tp4616 +a(g111 +Vstring +p4617 +tp4618 +a(g339 +V; +tp4619 +a(g185 +V\u000a +tp4620 +a(g111 +Vfunction +p4621 +tp4622 +a(g185 +V +tp4623 +a(g21 +VHexOfByte +p4624 +tp4625 +a(g198 +V( +tp4626 +a(g18 +Vb +tp4627 +a(g339 +V: +tp4628 +a(g185 +V +tp4629 +a(g135 +VByte +p4630 +tp4631 +a(g198 +V) +tp4632 +a(g339 +V: +tp4633 +a(g185 +V +tp4634 +a(g18 +VTHexByteStr +p4635 +tp4636 +a(g339 +V; +tp4637 +a(g185 +V\u000a +tp4638 +a(g111 +Vfunction +p4639 +tp4640 +a(g185 +V +tp4641 +a(g21 +VHexOfCard +p4642 +tp4643 +a(g198 +V( +tp4644 +a(g18 +Vi +tp4645 +a(g339 +V: +tp4646 +a(g185 +V +tp4647 +a(g135 +VCardinal +p4648 +tp4649 +a(g198 +V) +tp4650 +a(g339 +V: +tp4651 +a(g185 +V +tp4652 +a(g111 +Vstring +p4653 +tp4654 +a(g339 +V; +tp4655 +a(g185 +V +tp4656 +a(g18 +Voverload +p4657 +tp4658 +a(g339 +V; +tp4659 +a(g185 +V\u000a +tp4660 +a(g111 +Vfunction +p4661 +tp4662 +a(g185 +V +tp4663 +a(g21 +VHexOfCard +p4664 +tp4665 +a(g198 +V( +tp4666 +a(g18 +Vi +tp4667 +a(g339 +V: +tp4668 +a(g185 +V +tp4669 +a(g135 +VCardinal +p4670 +tp4671 +a(g339 +V; +tp4672 +a(g185 +V +tp4673 +a(g18 +VDigits +p4674 +tp4675 +a(g339 +V: +tp4676 +a(g185 +V +tp4677 +a(g135 +VInteger +p4678 +tp4679 +a(g198 +V) +tp4680 +a(g339 +V: +tp4681 +a(g185 +V +tp4682 +a(g111 +Vstring +p4683 +tp4684 +a(g339 +V; +tp4685 +a(g185 +V +tp4686 +a(g18 +Voverload +p4687 +tp4688 +a(g339 +V; +tp4689 +a(g185 +V\u000a\u000a +p4690 +tp4691 +a(g111 +Vfunction +p4692 +tp4693 +a(g185 +V +tp4694 +a(g21 +VPascalHexArray +p4695 +tp4696 +a(g198 +V( +tp4697 +a(g18 +Va +tp4698 +a(g339 +V: +tp4699 +a(g185 +V +tp4700 +a(g18 +VTByteA +p4701 +tp4702 +a(g339 +V; +tp4703 +a(g185 +V +tp4704 +a(g119 +VName +p4705 +tp4706 +a(g339 +V: +tp4707 +a(g185 +V +tp4708 +a(g111 +Vstring +p4709 +tp4710 +a(g198 +V) +tp4711 +a(g339 +V: +tp4712 +a(g185 +V +tp4713 +a(g111 +Vstring +p4714 +tp4715 +a(g339 +V; +tp4716 +a(g185 +V\u000a\u000a +p4717 +tp4718 +a(g111 +Vfunction +p4719 +tp4720 +a(g185 +V +tp4721 +a(g21 +VHexOfByteA +p4722 +tp4723 +a(g198 +V( +tp4724 +a(g18 +Va +tp4725 +a(g339 +V: +tp4726 +a(g185 +V +tp4727 +a(g18 +VTByteA +p4728 +tp4729 +a(g339 +V; +tp4730 +a(g185 +V +tp4731 +a(g18 +VBlocks +p4732 +tp4733 +a(g339 +V: +tp4734 +a(g185 +V +tp4735 +a(g135 +VInteger +p4736 +tp4737 +a(g185 +V +tp4738 +a(g339 +V= +tp4739 +a(g185 +V +tp4740 +a(g314 +V1 +tp4741 +a(g339 +V; +tp4742 +a(g185 +V\u000a +p4743 +tp4744 +a(g111 +Vconst +p4745 +tp4746 +a(g185 +V +tp4747 +a(g18 +VSplitter +p4748 +tp4749 +a(g339 +V: +tp4750 +a(g185 +V +tp4751 +a(g111 +Vstring +p4752 +tp4753 +a(g185 +V +tp4754 +a(g339 +V= +tp4755 +a(g185 +V +tp4756 +a(g222 +V' +tp4757 +a(g222 +V +tp4758 +a(g222 +V' +tp4759 +a(g198 +V) +tp4760 +a(g339 +V: +tp4761 +a(g185 +V +tp4762 +a(g111 +Vstring +p4763 +tp4764 +a(g339 +V; +tp4765 +a(g185 +V\u000a +tp4766 +a(g111 +Vfunction +p4767 +tp4768 +a(g185 +V +tp4769 +a(g21 +VBinOfByteA +p4770 +tp4771 +a(g198 +V( +tp4772 +a(g18 +Va +tp4773 +a(g339 +V: +tp4774 +a(g185 +V +tp4775 +a(g18 +VTByteA +p4776 +tp4777 +a(g339 +V; +tp4778 +a(g185 +V +tp4779 +a(g18 +VBlocks +p4780 +tp4781 +a(g339 +V: +tp4782 +a(g185 +V +tp4783 +a(g135 +VInteger +p4784 +tp4785 +a(g185 +V +tp4786 +a(g339 +V= +tp4787 +a(g185 +V +tp4788 +a(g314 +V4 +tp4789 +a(g339 +V; +tp4790 +a(g185 +V\u000a +p4791 +tp4792 +a(g111 +Vconst +p4793 +tp4794 +a(g185 +V +tp4795 +a(g18 +VSplitter +p4796 +tp4797 +a(g339 +V: +tp4798 +a(g185 +V +tp4799 +a(g111 +Vstring +p4800 +tp4801 +a(g185 +V +tp4802 +a(g339 +V= +tp4803 +a(g185 +V +tp4804 +a(g222 +V' +tp4805 +a(g222 +V +tp4806 +a(g222 +V' +tp4807 +a(g198 +V) +tp4808 +a(g339 +V: +tp4809 +a(g185 +V +tp4810 +a(g111 +Vstring +p4811 +tp4812 +a(g339 +V; +tp4813 +a(g185 +V\u000a\u000a +p4814 +tp4815 +a(g111 +Vfunction +p4816 +tp4817 +a(g185 +V +tp4818 +a(g21 +VCardOfHex +p4819 +tp4820 +a(g198 +V( +tp4821 +a(g18 +VHex +p4822 +tp4823 +a(g339 +V: +tp4824 +a(g185 +V +tp4825 +a(g111 +Vstring +p4826 +tp4827 +a(g198 +V) +tp4828 +a(g339 +V: +tp4829 +a(g185 +V +tp4830 +a(g135 +VCardinal +p4831 +tp4832 +a(g339 +V; +tp4833 +a(g185 +V\u000a +tp4834 +a(g111 +Vfunction +p4835 +tp4836 +a(g185 +V +tp4837 +a(g21 +VIntOfBin +p4838 +tp4839 +a(g198 +V( +tp4840 +a(g18 +VBin +p4841 +tp4842 +a(g339 +V: +tp4843 +a(g185 +V +tp4844 +a(g111 +Vstring +p4845 +tp4846 +a(g198 +V) +tp4847 +a(g339 +V: +tp4848 +a(g185 +V +tp4849 +a(g135 +VCardinal +p4850 +tp4851 +a(g339 +V; +tp4852 +a(g185 +V\u000a\u000a +p4853 +tp4854 +a(g111 +Vfunction +p4855 +tp4856 +a(g185 +V +tp4857 +a(g21 +VBinOfIntFill +p4858 +tp4859 +a(g198 +V( +tp4860 +a(g18 +Vn +tp4861 +a(g339 +V: +tp4862 +a(g185 +V +tp4863 +a(g135 +Vcardinal +p4864 +tp4865 +a(g339 +V; +tp4866 +a(g185 +V +tp4867 +a(g18 +VMinCount +p4868 +tp4869 +a(g339 +V: +tp4870 +a(g185 +V +tp4871 +a(g135 +VInteger +p4872 +tp4873 +a(g185 +V +tp4874 +a(g339 +V= +tp4875 +a(g185 +V +tp4876 +a(g314 +V8 +tp4877 +a(g198 +V) +tp4878 +a(g339 +V: +tp4879 +a(g185 +V +tp4880 +a(g111 +Vstring +p4881 +tp4882 +a(g339 +V; +tp4883 +a(g185 +V\u000a +tp4884 +a(g111 +Vfunction +p4885 +tp4886 +a(g185 +V +tp4887 +a(g21 +VBinOfInt +p4888 +tp4889 +a(g198 +V( +tp4890 +a(g18 +Vn +tp4891 +a(g339 +V: +tp4892 +a(g185 +V +tp4893 +a(g135 +Vcardinal +p4894 +tp4895 +a(g198 +V) +tp4896 +a(g339 +V: +tp4897 +a(g185 +V +tp4898 +a(g111 +Vstring +p4899 +tp4900 +a(g339 +V; +tp4901 +a(g185 +V\u000a\u000a +p4902 +tp4903 +a(g111 +Vfunction +p4904 +tp4905 +a(g185 +V +tp4906 +a(g21 +VBaseNOfInt +p4907 +tp4908 +a(g198 +V( +tp4909 +a(g18 +VI +tp4910 +a(g339 +V: +tp4911 +a(g185 +V +tp4912 +a(g135 +VCardinal +p4913 +tp4914 +a(g339 +V; +tp4915 +a(g185 +V +tp4916 +a(g18 +VB +tp4917 +a(g339 +V: +tp4918 +a(g185 +V +tp4919 +a(g18 +VTBaseN +p4920 +tp4921 +a(g198 +V) +tp4922 +a(g339 +V: +tp4923 +a(g185 +V +tp4924 +a(g111 +Vstring +p4925 +tp4926 +a(g339 +V; +tp4927 +a(g185 +V\u000a +tp4928 +a(g111 +Vfunction +p4929 +tp4930 +a(g185 +V +tp4931 +a(g21 +VIntOfBaseN +p4932 +tp4933 +a(g198 +V( +tp4934 +a(g18 +VV +tp4935 +a(g339 +V: +tp4936 +a(g185 +V +tp4937 +a(g111 +Vstring +p4938 +tp4939 +a(g339 +V; +tp4940 +a(g185 +V +tp4941 +a(g18 +VB +tp4942 +a(g339 +V: +tp4943 +a(g185 +V +tp4944 +a(g18 +VTBaseN +p4945 +tp4946 +a(g198 +V) +tp4947 +a(g339 +V: +tp4948 +a(g185 +V +tp4949 +a(g135 +VCardinal +p4950 +tp4951 +a(g339 +V; +tp4952 +a(g185 +V\u000a\u000a +p4953 +tp4954 +a(g354 +V{ Ranges } +p4955 +tp4956 +a(g185 +V\u000a +tp4957 +a(g111 +Vfunction +p4958 +tp4959 +a(g185 +V +tp4960 +a(g21 +VKeepIn +p4961 +tp4962 +a(g198 +V( +tp4963 +a(g18 +Vi +tp4964 +a(g339 +V, +tp4965 +a(g185 +V +tp4966 +a(g18 +VBottom +p4967 +tp4968 +a(g339 +V, +tp4969 +a(g185 +V +tp4970 +a(g18 +VTop +p4971 +tp4972 +a(g339 +V: +tp4973 +a(g185 +V +tp4974 +a(g135 +VVariant +p4975 +tp4976 +a(g198 +V) +tp4977 +a(g339 +V: +tp4978 +a(g185 +V +tp4979 +a(g135 +VVariant +p4980 +tp4981 +a(g339 +V; +tp4982 +a(g185 +V\u000a +tp4983 +a(g111 +Vfunction +p4984 +tp4985 +a(g185 +V +tp4986 +a(g21 +VInRange +p4987 +tp4988 +a(g198 +V( +tp4989 +a(g18 +VValue +p4990 +tp4991 +a(g339 +V, +tp4992 +a(g185 +V +tp4993 +a(g18 +VBottom +p4994 +tp4995 +a(g339 +V, +tp4996 +a(g185 +V +tp4997 +a(g18 +VTop +p4998 +tp4999 +a(g339 +V: +tp5000 +a(g185 +V +tp5001 +a(g135 +VVariant +p5002 +tp5003 +a(g198 +V) +tp5004 +a(g339 +V: +tp5005 +a(g185 +V +tp5006 +a(g135 +VBoolean +p5007 +tp5008 +a(g339 +V; +tp5009 +a(g185 +V\u000a +tp5010 +a(g111 +Vfunction +p5011 +tp5012 +a(g185 +V +tp5013 +a(g21 +VInStrictRange +p5014 +tp5015 +a(g198 +V( +tp5016 +a(g18 +VValue +p5017 +tp5018 +a(g339 +V, +tp5019 +a(g185 +V +tp5020 +a(g18 +VBottom +p5021 +tp5022 +a(g339 +V, +tp5023 +a(g185 +V +tp5024 +a(g18 +VTop +p5025 +tp5026 +a(g339 +V: +tp5027 +a(g185 +V +tp5028 +a(g135 +VVariant +p5029 +tp5030 +a(g198 +V) +tp5031 +a(g339 +V: +tp5032 +a(g185 +V +tp5033 +a(g135 +VBoolean +p5034 +tp5035 +a(g339 +V; +tp5036 +a(g185 +V\u000a +tp5037 +a(g111 +Vfunction +p5038 +tp5039 +a(g185 +V +tp5040 +a(g21 +VMin +p5041 +tp5042 +a(g198 +V( +tp5043 +a(g111 +Vconst +p5044 +tp5045 +a(g185 +V +tp5046 +a(g18 +VA +tp5047 +a(g339 +V, +tp5048 +a(g185 +V +tp5049 +a(g18 +VB +tp5050 +a(g339 +V: +tp5051 +a(g185 +V +tp5052 +a(g135 +VInteger +p5053 +tp5054 +a(g198 +V) +tp5055 +a(g339 +V: +tp5056 +a(g185 +V +tp5057 +a(g135 +VInteger +p5058 +tp5059 +a(g339 +V; +tp5060 +a(g185 +V +tp5061 +a(g18 +Voverload +p5062 +tp5063 +a(g339 +V; +tp5064 +a(g185 +V\u000a +tp5065 +a(g111 +Vfunction +p5066 +tp5067 +a(g185 +V +tp5068 +a(g21 +VMin +p5069 +tp5070 +a(g198 +V( +tp5071 +a(g111 +Vconst +p5072 +tp5073 +a(g185 +V +tp5074 +a(g18 +VA +tp5075 +a(g339 +V: +tp5076 +a(g185 +V +tp5077 +a(g18 +VTIntA +p5078 +tp5079 +a(g198 +V) +tp5080 +a(g339 +V: +tp5081 +a(g185 +V +tp5082 +a(g135 +VInteger +p5083 +tp5084 +a(g339 +V; +tp5085 +a(g185 +V +tp5086 +a(g18 +Voverload +p5087 +tp5088 +a(g339 +V; +tp5089 +a(g185 +V\u000a +tp5090 +a(g111 +Vfunction +p5091 +tp5092 +a(g185 +V +tp5093 +a(g21 +VMax +p5094 +tp5095 +a(g198 +V( +tp5096 +a(g111 +Vconst +p5097 +tp5098 +a(g185 +V +tp5099 +a(g18 +VA +tp5100 +a(g339 +V, +tp5101 +a(g185 +V +tp5102 +a(g18 +VB +tp5103 +a(g339 +V: +tp5104 +a(g185 +V +tp5105 +a(g135 +VInteger +p5106 +tp5107 +a(g198 +V) +tp5108 +a(g339 +V: +tp5109 +a(g185 +V +tp5110 +a(g135 +VInteger +p5111 +tp5112 +a(g339 +V; +tp5113 +a(g185 +V +tp5114 +a(g18 +Voverload +p5115 +tp5116 +a(g339 +V; +tp5117 +a(g185 +V\u000a +tp5118 +a(g111 +Vfunction +p5119 +tp5120 +a(g185 +V +tp5121 +a(g21 +VMax +p5122 +tp5123 +a(g198 +V( +tp5124 +a(g111 +Vconst +p5125 +tp5126 +a(g185 +V +tp5127 +a(g18 +VA +tp5128 +a(g339 +V: +tp5129 +a(g185 +V +tp5130 +a(g18 +VTIntA +p5131 +tp5132 +a(g198 +V) +tp5133 +a(g339 +V: +tp5134 +a(g185 +V +tp5135 +a(g135 +VInteger +p5136 +tp5137 +a(g339 +V; +tp5138 +a(g185 +V +tp5139 +a(g18 +Voverload +p5140 +tp5141 +a(g339 +V; +tp5142 +a(g185 +V\u000a\u000a +p5143 +tp5144 +a(g111 +Vconst +p5145 +tp5146 +a(g185 +V\u000a +p5147 +tp5148 +a(g18 +VRangesSeparator +p5149 +tp5150 +a(g185 +V +tp5151 +a(g339 +V= +tp5152 +a(g185 +V +tp5153 +a(g222 +V' +tp5154 +a(g222 +V, +tp5155 +a(g222 +V' +tp5156 +a(g339 +V; +tp5157 +a(g185 +V\u000a +p5158 +tp5159 +a(g18 +VRangeInnerSeparator +p5160 +tp5161 +a(g185 +V +tp5162 +a(g339 +V= +tp5163 +a(g185 +V +tp5164 +a(g222 +V' +tp5165 +a(g222 +V- +tp5166 +a(g222 +V' +tp5167 +a(g339 +V; +tp5168 +a(g185 +V\u000a +p5169 +tp5170 +a(g18 +VRangeInfinite +p5171 +tp5172 +a(g185 +V +tp5173 +a(g339 +V= +tp5174 +a(g185 +V +tp5175 +a(g222 +V' +tp5176 +a(g222 +V* +tp5177 +a(g222 +V' +tp5178 +a(g339 +V; +tp5179 +a(g185 +V\u000a +p5180 +tp5181 +a(g18 +VRangeSpecialChars +p5182 +tp5183 +a(g185 +V +tp5184 +a(g339 +V= +tp5185 +a(g185 +V +tp5186 +a(g198 +V[ +tp5187 +a(g18 +VRangesSeparator +p5188 +tp5189 +a(g339 +V, +tp5190 +a(g185 +V +tp5191 +a(g18 +VRangeInnerSeparator +p5192 +tp5193 +a(g339 +V, +tp5194 +a(g185 +V +tp5195 +a(g18 +VRangeInfinite +p5196 +tp5197 +a(g198 +V] +tp5198 +a(g339 +V; +tp5199 +a(g185 +V\u000a\u000a +p5200 +tp5201 +a(g111 +Vfunction +p5202 +tp5203 +a(g185 +V +tp5204 +a(g21 +VRangesOfStr +p5205 +tp5206 +a(g198 +V( +tp5207 +a(g111 +Vconst +p5208 +tp5209 +a(g185 +V +tp5210 +a(g18 +VS +tp5211 +a(g339 +V: +tp5212 +a(g185 +V +tp5213 +a(g111 +Vstring +p5214 +tp5215 +a(g198 +V) +tp5216 +a(g339 +V: +tp5217 +a(g185 +V +tp5218 +a(g18 +VTRanges +p5219 +tp5220 +a(g339 +V; +tp5221 +a(g185 +V\u000a +tp5222 +a(g111 +Vfunction +p5223 +tp5224 +a(g185 +V +tp5225 +a(g21 +VInRanges +p5226 +tp5227 +a(g198 +V( +tp5228 +a(g18 +VRanges +p5229 +tp5230 +a(g339 +V: +tp5231 +a(g185 +V +tp5232 +a(g18 +VTRanges +p5233 +tp5234 +a(g339 +V; +tp5235 +a(g185 +V +tp5236 +a(g18 +VTestValue +p5237 +tp5238 +a(g339 +V: +tp5239 +a(g185 +V +tp5240 +a(g135 +VCardinal +p5241 +tp5242 +a(g198 +V) +tp5243 +a(g339 +V: +tp5244 +a(g185 +V +tp5245 +a(g135 +VBoolean +p5246 +tp5247 +a(g339 +V; +tp5248 +a(g185 +V\u000a\u000a +p5249 +tp5250 +a(g111 +Vfunction +p5251 +tp5252 +a(g185 +V +tp5253 +a(g21 +VSuccess +p5254 +tp5255 +a(g198 +V( +tp5256 +a(g18 +VRes +p5257 +tp5258 +a(g339 +V: +tp5259 +a(g185 +V +tp5260 +a(g135 +VInteger +p5261 +tp5262 +a(g339 +V; +tp5263 +a(g185 +V +tp5264 +a(g18 +VResultOnSuccess +p5265 +tp5266 +a(g339 +V: +tp5267 +a(g185 +V +tp5268 +a(g135 +VInteger +p5269 +tp5270 +a(g185 +V +tp5271 +a(g339 +V= +tp5272 +a(g185 +V +tp5273 +a(g18 +VERROR_SUCCESS +p5274 +tp5275 +a(g198 +V) +tp5276 +a(g339 +V: +tp5277 +a(g185 +V +tp5278 +a(g135 +VBoolean +p5279 +tp5280 +a(g339 +V; +tp5281 +a(g185 +V\u000a +tp5282 +a(g111 +Vfunction +p5283 +tp5284 +a(g185 +V +tp5285 +a(g21 +VFailure +p5286 +tp5287 +a(g198 +V( +tp5288 +a(g18 +VRes +p5289 +tp5290 +a(g339 +V: +tp5291 +a(g185 +V +tp5292 +a(g135 +VInteger +p5293 +tp5294 +a(g339 +V; +tp5295 +a(g185 +V +tp5296 +a(g18 +VResultOnSuccess +p5297 +tp5298 +a(g339 +V: +tp5299 +a(g185 +V +tp5300 +a(g135 +VInteger +p5301 +tp5302 +a(g185 +V +tp5303 +a(g339 +V= +tp5304 +a(g185 +V +tp5305 +a(g18 +VERROR_SUCCESS +p5306 +tp5307 +a(g198 +V) +tp5308 +a(g339 +V: +tp5309 +a(g185 +V +tp5310 +a(g135 +VBoolean +p5311 +tp5312 +a(g339 +V; +tp5313 +a(g185 +V\u000a\u000a +p5314 +tp5315 +a(g111 +Vfunction +p5316 +tp5317 +a(g185 +V +tp5318 +a(g21 +VExpandString +p5319 +tp5320 +a(g198 +V( +tp5321 +a(g111 +Vconst +p5322 +tp5323 +a(g185 +V +tp5324 +a(g18 +VS +tp5325 +a(g339 +V: +tp5326 +a(g185 +V +tp5327 +a(g111 +Vstring +p5328 +tp5329 +a(g198 +V) +tp5330 +a(g339 +V: +tp5331 +a(g185 +V +tp5332 +a(g111 +Vstring +p5333 +tp5334 +a(g339 +V; +tp5335 +a(g185 +V\u000a\u000a +p5336 +tp5337 +a(g354 +V{ Files } +p5338 +tp5339 +a(g185 +V\u000a +tp5340 +a(g111 +Vprocedure +p5341 +tp5342 +a(g185 +V +tp5343 +a(g21 +VDeleteFiles +p5344 +tp5345 +a(g198 +V( +tp5346 +a(g111 +Vconst +p5347 +tp5348 +a(g185 +V +tp5349 +a(g18 +VMask +p5350 +tp5351 +a(g339 +V: +tp5352 +a(g185 +V +tp5353 +a(g111 +Vstring +p5354 +tp5355 +a(g339 +V; +tp5356 +a(g185 +V +tp5357 +a(g18 +VScanSubDirs +p5358 +tp5359 +a(g339 +V: +tp5360 +a(g185 +V +tp5361 +a(g135 +VBoolean +p5362 +tp5363 +a(g185 +V +tp5364 +a(g339 +V= +tp5365 +a(g185 +V +tp5366 +a(g111 +VTrue +p5367 +tp5368 +a(g339 +V; +tp5369 +a(g185 +V\u000a +p5370 +tp5371 +a(g18 +VAttributes +p5372 +tp5373 +a(g339 +V: +tp5374 +a(g185 +V +tp5375 +a(g135 +VInteger +p5376 +tp5377 +a(g185 +V +tp5378 +a(g339 +V= +tp5379 +a(g185 +V +tp5380 +a(g18 +VfaFindEveryFile +p5381 +tp5382 +a(g198 +V) +tp5383 +a(g339 +V; +tp5384 +a(g185 +V\u000a +tp5385 +a(g111 +Vprocedure +p5386 +tp5387 +a(g185 +V +tp5388 +a(g21 +VFileNew +p5389 +tp5390 +a(g198 +V( +tp5391 +a(g111 +Vconst +p5392 +tp5393 +a(g185 +V +tp5394 +a(g18 +VFileName +p5395 +tp5396 +a(g339 +V: +tp5397 +a(g185 +V +tp5398 +a(g111 +Vstring +p5399 +tp5400 +a(g198 +V) +tp5401 +a(g339 +V; +tp5402 +a(g185 +V\u000a +tp5403 +a(g111 +Vfunction +p5404 +tp5405 +a(g185 +V +tp5406 +a(g21 +VDateTimeOfFileTime +p5407 +tp5408 +a(g198 +V( +tp5409 +a(g111 +Vconst +p5410 +tp5411 +a(g185 +V +tp5412 +a(g18 +VFileTime +p5413 +tp5414 +a(g339 +V: +tp5415 +a(g185 +V +tp5416 +a(g18 +VTFileTime +p5417 +tp5418 +a(g198 +V) +tp5419 +a(g339 +V: +tp5420 +a(g185 +V +tp5421 +a(g135 +VTDateTime +p5422 +tp5423 +a(g339 +V; +tp5424 +a(g185 +V\u000a\u000a +p5425 +tp5426 +a(g354 +V{ FileNames } +p5427 +tp5428 +a(g185 +V\u000a +tp5429 +a(g111 +Vfunction +p5430 +tp5431 +a(g185 +V +tp5432 +a(g21 +VGetFileNew +p5433 +tp5434 +a(g198 +V( +tp5435 +a(g18 +VFileName +p5436 +tp5437 +a(g339 +V: +tp5438 +a(g185 +V +tp5439 +a(g111 +Vstring +p5440 +tp5441 +a(g339 +V; +tp5442 +a(g185 +V +tp5443 +a(g18 +VNoFloppyDrives +p5444 +tp5445 +a(g339 +V: +tp5446 +a(g185 +V +tp5447 +a(g135 +VBoolean +p5448 +tp5449 +a(g185 +V +tp5450 +a(g339 +V= +tp5451 +a(g185 +V +tp5452 +a(g111 +VTrue +p5453 +tp5454 +a(g198 +V) +tp5455 +a(g339 +V: +tp5456 +a(g185 +V +tp5457 +a(g111 +Vstring +p5458 +tp5459 +a(g339 +V; +tp5460 +a(g185 +V\u000a\u000a +p5461 +tp5462 +a(g354 +V{ Finding Files } +p5463 +tp5464 +a(g185 +V\u000a +tp5465 +a(g111 +Vfunction +p5466 +tp5467 +a(g185 +V +tp5468 +a(g21 +VFindAll +p5469 +tp5470 +a(g198 +V( +tp5471 +a(g18 +VStrings +p5472 +tp5473 +a(g339 +V: +tp5474 +a(g185 +V +tp5475 +a(g18 +VTStrings +p5476 +tp5477 +a(g339 +V; +tp5478 +a(g185 +V +tp5479 +a(g111 +Vconst +p5480 +tp5481 +a(g185 +V +tp5482 +a(g18 +VMask +p5483 +tp5484 +a(g339 +V: +tp5485 +a(g185 +V +tp5486 +a(g111 +Vstring +p5487 +tp5488 +a(g339 +V; +tp5489 +a(g185 +V\u000a +p5490 +tp5491 +a(g18 +VScanSubDirs +p5492 +tp5493 +a(g339 +V: +tp5494 +a(g185 +V +tp5495 +a(g135 +VBoolean +p5496 +tp5497 +a(g185 +V +tp5498 +a(g339 +V= +tp5499 +a(g185 +V +tp5500 +a(g111 +VTrue +p5501 +tp5502 +a(g339 +V; +tp5503 +a(g185 +V +tp5504 +a(g18 +VAttributes +p5505 +tp5506 +a(g339 +V: +tp5507 +a(g185 +V +tp5508 +a(g135 +VInteger +p5509 +tp5510 +a(g185 +V +tp5511 +a(g339 +V= +tp5512 +a(g185 +V +tp5513 +a(g18 +VfaFindEveryFile +p5514 +tp5515 +a(g339 +V; +tp5516 +a(g185 +V\u000a +p5517 +tp5518 +a(g18 +VFileReturn +p5519 +tp5520 +a(g339 +V: +tp5521 +a(g185 +V +tp5522 +a(g18 +VTFileNameFunc +p5523 +tp5524 +a(g185 +V +tp5525 +a(g339 +V= +tp5526 +a(g185 +V +tp5527 +a(g111 +Vnil +p5528 +tp5529 +a(g198 +V) +tp5530 +a(g339 +V: +tp5531 +a(g185 +V +tp5532 +a(g135 +VBoolean +p5533 +tp5534 +a(g339 +V; +tp5535 +a(g185 +V\u000a +tp5536 +a(g111 +Vfunction +p5537 +tp5538 +a(g185 +V +tp5539 +a(g21 +VFindAllFirst +p5540 +tp5541 +a(g198 +V( +tp5542 +a(g111 +Vconst +p5543 +tp5544 +a(g185 +V +tp5545 +a(g18 +VMask +p5546 +tp5547 +a(g339 +V: +tp5548 +a(g185 +V +tp5549 +a(g111 +Vstring +p5550 +tp5551 +a(g339 +V; +tp5552 +a(g185 +V +tp5553 +a(g18 +VScanSubDirs +p5554 +tp5555 +a(g339 +V: +tp5556 +a(g185 +V +tp5557 +a(g135 +VBoolean +p5558 +tp5559 +a(g185 +V +tp5560 +a(g339 +V= +tp5561 +a(g185 +V +tp5562 +a(g111 +VTrue +p5563 +tp5564 +a(g339 +V; +tp5565 +a(g185 +V\u000a +p5566 +tp5567 +a(g18 +VAttributes +p5568 +tp5569 +a(g339 +V: +tp5570 +a(g185 +V +tp5571 +a(g135 +VInteger +p5572 +tp5573 +a(g185 +V +tp5574 +a(g339 +V= +tp5575 +a(g185 +V +tp5576 +a(g18 +VfaFindEveryFile +p5577 +tp5578 +a(g198 +V) +tp5579 +a(g339 +V: +tp5580 +a(g185 +V +tp5581 +a(g111 +Vstring +p5582 +tp5583 +a(g339 +V; +tp5584 +a(g185 +V\u000a\u000a +p5585 +tp5586 +a(g111 +Vfunction +p5587 +tp5588 +a(g185 +V +tp5589 +a(g21 +VFullOSInfo +p5590 +tp5591 +a(g339 +V: +tp5592 +a(g185 +V +tp5593 +a(g111 +Vstring +p5594 +tp5595 +a(g339 +V; +tp5596 +a(g185 +V\u000a +tp5597 +a(g111 +Vfunction +p5598 +tp5599 +a(g185 +V +tp5600 +a(g21 +VWin32PlatformStr +p5601 +tp5602 +a(g339 +V: +tp5603 +a(g185 +V +tp5604 +a(g111 +Vstring +p5605 +tp5606 +a(g339 +V; +tp5607 +a(g185 +V\u000a +tp5608 +a(g111 +Vfunction +p5609 +tp5610 +a(g185 +V +tp5611 +a(g21 +VWin9x +p5612 +tp5613 +a(g339 +V: +tp5614 +a(g185 +V +tp5615 +a(g135 +VBoolean +p5616 +tp5617 +a(g339 +V; +tp5618 +a(g185 +V\u000a +tp5619 +a(g111 +Vfunction +p5620 +tp5621 +a(g185 +V +tp5622 +a(g21 +VWinNT +p5623 +tp5624 +a(g339 +V: +tp5625 +a(g185 +V +tp5626 +a(g135 +VBoolean +p5627 +tp5628 +a(g339 +V; +tp5629 +a(g185 +V\u000a +tp5630 +a(g111 +Vfunction +p5631 +tp5632 +a(g185 +V +tp5633 +a(g21 +VWin2000 +p5634 +tp5635 +a(g339 +V: +tp5636 +a(g185 +V +tp5637 +a(g135 +VBoolean +p5638 +tp5639 +a(g339 +V; +tp5640 +a(g185 +V\u000a +tp5641 +a(g111 +Vfunction +p5642 +tp5643 +a(g185 +V +tp5644 +a(g21 +VWinXP +p5645 +tp5646 +a(g339 +V: +tp5647 +a(g185 +V +tp5648 +a(g135 +VBoolean +p5649 +tp5650 +a(g339 +V; +tp5651 +a(g185 +V\u000a\u000a +p5652 +tp5653 +a(g111 +Vvar +p5654 +tp5655 +a(g185 +V\u000a +p5656 +tp5657 +a(g18 +VMyDir +p5658 +tp5659 +a(g339 +V: +tp5660 +a(g185 +V +tp5661 +a(g111 +Vstring +p5662 +tp5663 +a(g185 +V +tp5664 +a(g339 +V= +tp5665 +a(g185 +V +tp5666 +a(g222 +V' +tp5667 +a(g222 +V' +tp5668 +a(g339 +V; +tp5669 +a(g185 +V\u000a +p5670 +tp5671 +a(g18 +VLastSuccessRes +p5672 +tp5673 +a(g339 +V: +tp5674 +a(g185 +V +tp5675 +a(g135 +VInteger +p5676 +tp5677 +a(g185 +V +tp5678 +a(g339 +V= +tp5679 +a(g185 +V +tp5680 +a(g314 +V0 +tp5681 +a(g339 +V; +tp5682 +a(g185 +V\u000a \u000a +p5683 +tp5684 +a(g354 +V{ Backward compatibility } +p5685 +tp5686 +a(g185 +V\u000a +tp5687 +a(g354 +V{$IFNDEF VER130} +p5688 +tp5689 +a(g185 +V\u000a +tp5690 +a(g111 +Vfunction +p5691 +tp5692 +a(g185 +V +tp5693 +a(g21 +VSameText +p5694 +tp5695 +a(g198 +V( +tp5696 +a(g111 +Vconst +p5697 +tp5698 +a(g185 +V +tp5699 +a(g18 +VS1 +p5700 +tp5701 +a(g339 +V, +tp5702 +a(g185 +V +tp5703 +a(g18 +VS2 +p5704 +tp5705 +a(g339 +V: +tp5706 +a(g185 +V +tp5707 +a(g111 +Vstring +p5708 +tp5709 +a(g198 +V) +tp5710 +a(g339 +V: +tp5711 +a(g185 +V +tp5712 +a(g135 +VBoolean +p5713 +tp5714 +a(g339 +V; +tp5715 +a(g185 +V\u000a +tp5716 +a(g354 +V{$ENDIF} +p5717 +tp5718 +a(g185 +V\u000a\u000a +p5719 +tp5720 +a(g111 +Vimplementation +p5721 +tp5722 +a(g185 +V\u000a +tp5723 +a(g354 +V{$IFNDEF VER140} +p5724 +tp5725 +a(g185 +V\u000a +tp5726 +a(g111 +Vuses +p5727 +tp5728 +a(g185 +V +tp5729 +a(g18 +VFileCtrl +p5730 +tp5731 +a(g339 +V; +tp5732 +a(g185 +V\u000a +tp5733 +a(g354 +V{$ENDIF} +p5734 +tp5735 +a(g185 +V\u000a\u000a +p5736 +tp5737 +a(g354 +V{$IFNDEF VER130} +p5738 +tp5739 +a(g185 +V\u000a +tp5740 +a(g111 +Vfunction +p5741 +tp5742 +a(g185 +V +tp5743 +a(g21 +VSameText +p5744 +tp5745 +a(g198 +V( +tp5746 +a(g111 +Vconst +p5747 +tp5748 +a(g185 +V +tp5749 +a(g18 +VS1 +p5750 +tp5751 +a(g339 +V, +tp5752 +a(g185 +V +tp5753 +a(g18 +VS2 +p5754 +tp5755 +a(g339 +V: +tp5756 +a(g185 +V +tp5757 +a(g111 +Vstring +p5758 +tp5759 +a(g198 +V) +tp5760 +a(g339 +V: +tp5761 +a(g185 +V +tp5762 +a(g135 +VBoolean +p5763 +tp5764 +a(g339 +V; +tp5765 +a(g185 +V\u000a +tp5766 +a(g111 +Vbegin +p5767 +tp5768 +a(g185 +V\u000a +p5769 +tp5770 +a(g60 +VResult +p5771 +tp5772 +a(g185 +V +tp5773 +a(g339 +V: +tp5774 +a(g339 +V= +tp5775 +a(g185 +V +tp5776 +a(g57 +VCompareText +p5777 +tp5778 +a(g198 +V( +tp5779 +a(g18 +VS1 +p5780 +tp5781 +a(g339 +V, +tp5782 +a(g185 +V +tp5783 +a(g18 +VS2 +p5784 +tp5785 +a(g198 +V) +tp5786 +a(g185 +V +tp5787 +a(g339 +V= +tp5788 +a(g185 +V +tp5789 +a(g314 +V0 +tp5790 +a(g339 +V; +tp5791 +a(g185 +V\u000a +tp5792 +a(g111 +Vend +p5793 +tp5794 +a(g339 +V; +tp5795 +a(g185 +V\u000a +tp5796 +a(g354 +V{$ENDIF} +p5797 +tp5798 +a(g185 +V\u000a\u000a +p5799 +tp5800 +a(g111 +Vprocedure +p5801 +tp5802 +a(g185 +V +tp5803 +a(g21 +VReport +p5804 +tp5805 +a(g198 +V( +tp5806 +a(g111 +Vconst +p5807 +tp5808 +a(g185 +V +tp5809 +a(g18 +VReportName +p5810 +tp5811 +a(g339 +V, +tp5812 +a(g185 +V +tp5813 +a(g18 +VText +p5814 +tp5815 +a(g339 +V: +tp5816 +a(g185 +V +tp5817 +a(g111 +Vstring +p5818 +tp5819 +a(g198 +V) +tp5820 +a(g339 +V; +tp5821 +a(g185 +V\u000a +tp5822 +a(g111 +Vvar +p5823 +tp5824 +a(g185 +V\u000a +p5825 +tp5826 +a(g18 +VF +tp5827 +a(g339 +V: +tp5828 +a(g185 +V +tp5829 +a(g135 +VTextFile +p5830 +tp5831 +a(g339 +V; +tp5832 +a(g185 +V\u000a +p5833 +tp5834 +a(g18 +VFileName +p5835 +tp5836 +a(g339 +V: +tp5837 +a(g185 +V +tp5838 +a(g111 +Vstring +p5839 +tp5840 +a(g339 +V; +tp5841 +a(g185 +V\u000a +tp5842 +a(g111 +Vbegin +p5843 +tp5844 +a(g185 +V\u000a +p5845 +tp5846 +a(g18 +VFileName +p5847 +tp5848 +a(g185 +V +tp5849 +a(g339 +V: +tp5850 +a(g339 +V= +tp5851 +a(g185 +V +tp5852 +a(g18 +VMyDir +p5853 +tp5854 +a(g185 +V +tp5855 +a(g339 +V+ +tp5856 +a(g185 +V +tp5857 +a(g18 +VReportName +p5858 +tp5859 +a(g185 +V +tp5860 +a(g339 +V+ +tp5861 +a(g185 +V +tp5862 +a(g222 +V' +tp5863 +a(g222 +V.rep +p5864 +tp5865 +a(g222 +V' +tp5866 +a(g339 +V; +tp5867 +a(g185 +V\u000a +p5868 +tp5869 +a(g18 +VAssign +p5870 +tp5871 +a(g198 +V( +tp5872 +a(g18 +VF +tp5873 +a(g339 +V, +tp5874 +a(g185 +V +tp5875 +a(g18 +VFileName +p5876 +tp5877 +a(g198 +V) +tp5878 +a(g339 +V; +tp5879 +a(g185 +V\u000a +p5880 +tp5881 +a(g111 +Vtry +p5882 +tp5883 +a(g185 +V\u000a +p5884 +tp5885 +a(g111 +Vif +p5886 +tp5887 +a(g185 +V +tp5888 +a(g111 +Vnot +p5889 +tp5890 +a(g185 +V +tp5891 +a(g57 +VFileExists +p5892 +tp5893 +a(g198 +V( +tp5894 +a(g18 +VFileName +p5895 +tp5896 +a(g198 +V) +tp5897 +a(g185 +V +tp5898 +a(g111 +Vthen +p5899 +tp5900 +a(g185 +V\u000a +p5901 +tp5902 +a(g57 +VRewrite +p5903 +tp5904 +a(g198 +V( +tp5905 +a(g18 +VF +tp5906 +a(g198 +V) +tp5907 +a(g185 +V\u000a +p5908 +tp5909 +a(g111 +Velse +p5910 +tp5911 +a(g185 +V\u000a +p5912 +tp5913 +a(g57 +VAppend +p5914 +tp5915 +a(g198 +V( +tp5916 +a(g18 +VF +tp5917 +a(g198 +V) +tp5918 +a(g339 +V; +tp5919 +a(g185 +V\u000a +p5920 +tp5921 +a(g57 +VWriteLn +p5922 +tp5923 +a(g198 +V( +tp5924 +a(g18 +VF +tp5925 +a(g339 +V, +tp5926 +a(g185 +V +tp5927 +a(g18 +VText +p5928 +tp5929 +a(g198 +V) +tp5930 +a(g339 +V; +tp5931 +a(g185 +V\u000a +p5932 +tp5933 +a(g111 +Vfinally +p5934 +tp5935 +a(g185 +V\u000a +p5936 +tp5937 +a(g57 +VClose +p5938 +tp5939 +a(g198 +V( +tp5940 +a(g18 +VF +tp5941 +a(g198 +V) +tp5942 +a(g339 +V; +tp5943 +a(g185 +V\u000a +p5944 +tp5945 +a(g111 +Vend +p5946 +tp5947 +a(g339 +V; +tp5948 +a(g185 +V\u000a +tp5949 +a(g111 +Vend +p5950 +tp5951 +a(g339 +V; +tp5952 +a(g185 +V\u000a\u000a +p5953 +tp5954 +a(g111 +Vprocedure +p5955 +tp5956 +a(g185 +V +tp5957 +a(g21 +VClearReport +p5958 +tp5959 +a(g198 +V( +tp5960 +a(g111 +Vconst +p5961 +tp5962 +a(g185 +V +tp5963 +a(g18 +VReportName +p5964 +tp5965 +a(g339 +V: +tp5966 +a(g185 +V +tp5967 +a(g111 +Vstring +p5968 +tp5969 +a(g198 +V) +tp5970 +a(g339 +V; +tp5971 +a(g185 +V\u000a +tp5972 +a(g111 +Vvar +p5973 +tp5974 +a(g185 +V\u000a +p5975 +tp5976 +a(g18 +VFileName +p5977 +tp5978 +a(g339 +V: +tp5979 +a(g185 +V +tp5980 +a(g111 +Vstring +p5981 +tp5982 +a(g339 +V; +tp5983 +a(g185 +V\u000a +tp5984 +a(g111 +Vbegin +p5985 +tp5986 +a(g185 +V\u000a +p5987 +tp5988 +a(g18 +VFileName +p5989 +tp5990 +a(g185 +V +tp5991 +a(g339 +V: +tp5992 +a(g339 +V= +tp5993 +a(g185 +V +tp5994 +a(g18 +VMyDir +p5995 +tp5996 +a(g185 +V +tp5997 +a(g339 +V+ +tp5998 +a(g185 +V +tp5999 +a(g18 +VReportName +p6000 +tp6001 +a(g185 +V +tp6002 +a(g339 +V+ +tp6003 +a(g185 +V +tp6004 +a(g222 +V' +tp6005 +a(g222 +V.rep +p6006 +tp6007 +a(g222 +V' +tp6008 +a(g339 +V; +tp6009 +a(g185 +V\u000a +p6010 +tp6011 +a(g57 +VDeleteFile +p6012 +tp6013 +a(g198 +V( +tp6014 +a(g18 +VFileName +p6015 +tp6016 +a(g198 +V) +tp6017 +a(g339 +V; +tp6018 +a(g185 +V\u000a +tp6019 +a(g111 +Vend +p6020 +tp6021 +a(g339 +V; +tp6022 +a(g185 +V\u000a\u000a +p6023 +tp6024 +a(g111 +Vprocedure +p6025 +tp6026 +a(g185 +V +tp6027 +a(g21 +VReportFmt +p6028 +tp6029 +a(g198 +V( +tp6030 +a(g111 +Vconst +p6031 +tp6032 +a(g185 +V +tp6033 +a(g18 +VReportName +p6034 +tp6035 +a(g339 +V, +tp6036 +a(g185 +V +tp6037 +a(g18 +VFmt +p6038 +tp6039 +a(g339 +V: +tp6040 +a(g185 +V +tp6041 +a(g111 +Vstring +p6042 +tp6043 +a(g339 +V; +tp6044 +a(g185 +V +tp6045 +a(g111 +Vconst +p6046 +tp6047 +a(g185 +V +tp6048 +a(g18 +VArgs +p6049 +tp6050 +a(g339 +V: +tp6051 +a(g185 +V +tp6052 +a(g111 +Varray +p6053 +tp6054 +a(g185 +V +tp6055 +a(g111 +Vof +p6056 +tp6057 +a(g185 +V +tp6058 +a(g111 +Vconst +p6059 +tp6060 +a(g198 +V) +tp6061 +a(g339 +V; +tp6062 +a(g185 +V\u000a +tp6063 +a(g111 +Vbegin +p6064 +tp6065 +a(g185 +V\u000a +p6066 +tp6067 +a(g18 +VReport +p6068 +tp6069 +a(g198 +V( +tp6070 +a(g18 +VReportName +p6071 +tp6072 +a(g339 +V, +tp6073 +a(g185 +V +tp6074 +a(g57 +VFormat +p6075 +tp6076 +a(g198 +V( +tp6077 +a(g18 +VFmt +p6078 +tp6079 +a(g339 +V, +tp6080 +a(g185 +V +tp6081 +a(g18 +VArgs +p6082 +tp6083 +a(g198 +V)) +p6084 +tp6085 +a(g339 +V; +tp6086 +a(g185 +V\u000a +tp6087 +a(g111 +Vend +p6088 +tp6089 +a(g339 +V; +tp6090 +a(g185 +V\u000a\u000a +p6091 +tp6092 +a(g111 +Vprocedure +p6093 +tp6094 +a(g185 +V +tp6095 +a(g21 +VGetParams +p6096 +tp6097 +a(g198 +V( +tp6098 +a(g18 +VStrings +p6099 +tp6100 +a(g339 +V: +tp6101 +a(g185 +V +tp6102 +a(g18 +VTStrings +p6103 +tp6104 +a(g198 +V) +tp6105 +a(g339 +V; +tp6106 +a(g185 +V\u000a +tp6107 +a(g111 +Vvar +p6108 +tp6109 +a(g185 +V\u000a +p6110 +tp6111 +a(g18 +VP +tp6112 +a(g339 +V: +tp6113 +a(g185 +V +tp6114 +a(g135 +VPChar +p6115 +tp6116 +a(g339 +V; +tp6117 +a(g185 +V\u000a +p6118 +tp6119 +a(g18 +VParam +p6120 +tp6121 +a(g339 +V: +tp6122 +a(g185 +V +tp6123 +a(g111 +Vstring +p6124 +tp6125 +a(g339 +V; +tp6126 +a(g185 +V\u000a\u000a +p6127 +tp6128 +a(g111 +Vfunction +p6129 +tp6130 +a(g185 +V +tp6131 +a(g21 +VGetParamStr +p6132 +tp6133 +a(g198 +V( +tp6134 +a(g111 +Vvar +p6135 +tp6136 +a(g185 +V +tp6137 +a(g18 +VP +tp6138 +a(g339 +V: +tp6139 +a(g185 +V +tp6140 +a(g135 +VPChar +p6141 +tp6142 +a(g339 +V; +tp6143 +a(g185 +V +tp6144 +a(g111 +Vvar +p6145 +tp6146 +a(g185 +V +tp6147 +a(g18 +VParam +p6148 +tp6149 +a(g339 +V: +tp6150 +a(g185 +V +tp6151 +a(g111 +Vstring +p6152 +tp6153 +a(g198 +V) +tp6154 +a(g339 +V: +tp6155 +a(g185 +V +tp6156 +a(g135 +VBoolean +p6157 +tp6158 +a(g339 +V; +tp6159 +a(g185 +V\u000a +p6160 +tp6161 +a(g111 +Vvar +p6162 +tp6163 +a(g185 +V\u000a +p6164 +tp6165 +a(g18 +VQuoted +p6166 +tp6167 +a(g339 +V: +tp6168 +a(g185 +V +tp6169 +a(g135 +VBoolean +p6170 +tp6171 +a(g339 +V; +tp6172 +a(g185 +V\u000a +p6173 +tp6174 +a(g111 +Vbegin +p6175 +tp6176 +a(g185 +V\u000a +p6177 +tp6178 +a(g18 +VParam +p6179 +tp6180 +a(g185 +V +tp6181 +a(g339 +V: +tp6182 +a(g339 +V= +tp6183 +a(g185 +V +tp6184 +a(g222 +V' +tp6185 +a(g222 +V' +tp6186 +a(g339 +V; +tp6187 +a(g185 +V\u000a\u000a +p6188 +tp6189 +a(g111 +Vrepeat +p6190 +tp6191 +a(g185 +V\u000a +p6192 +tp6193 +a(g111 +Vwhile +p6194 +tp6195 +a(g185 +V +tp6196 +a(g198 +V( +tp6197 +a(g18 +VP +tp6198 +a(g198 +V[ +tp6199 +a(g314 +V0 +tp6200 +a(g198 +V] +tp6201 +a(g185 +V +tp6202 +a(g339 +V< +tp6203 +a(g339 +V> +tp6204 +a(g185 +V +tp6205 +a(g264 +V#0 +p6206 +tp6207 +a(g198 +V) +tp6208 +a(g185 +V +tp6209 +a(g111 +Vand +p6210 +tp6211 +a(g185 +V +tp6212 +a(g198 +V( +tp6213 +a(g18 +VP +tp6214 +a(g198 +V[ +tp6215 +a(g314 +V0 +tp6216 +a(g198 +V] +tp6217 +a(g185 +V +tp6218 +a(g339 +V< +tp6219 +a(g339 +V= +tp6220 +a(g185 +V +tp6221 +a(g222 +V' +tp6222 +a(g222 +V +tp6223 +a(g222 +V' +tp6224 +a(g198 +V) +tp6225 +a(g185 +V +tp6226 +a(g111 +Vdo +p6227 +tp6228 +a(g185 +V\u000a +p6229 +tp6230 +a(g57 +VInc +p6231 +tp6232 +a(g198 +V( +tp6233 +a(g18 +VP +tp6234 +a(g198 +V) +tp6235 +a(g339 +V; +tp6236 +a(g185 +V\u000a\u000a +p6237 +tp6238 +a(g18 +VQuoted +p6239 +tp6240 +a(g185 +V +tp6241 +a(g339 +V: +tp6242 +a(g339 +V= +tp6243 +a(g185 +V +tp6244 +a(g111 +VFalse +p6245 +tp6246 +a(g339 +V; +tp6247 +a(g185 +V\u000a +p6248 +tp6249 +a(g111 +Vwhile +p6250 +tp6251 +a(g185 +V +tp6252 +a(g18 +VP +tp6253 +a(g198 +V[ +tp6254 +a(g314 +V0 +tp6255 +a(g198 +V] +tp6256 +a(g185 +V +tp6257 +a(g339 +V< +tp6258 +a(g339 +V> +tp6259 +a(g185 +V +tp6260 +a(g264 +V#0 +p6261 +tp6262 +a(g185 +V +tp6263 +a(g111 +Vdo +p6264 +tp6265 +a(g185 +V +tp6266 +a(g111 +Vbegin +p6267 +tp6268 +a(g185 +V\u000a +p6269 +tp6270 +a(g111 +Vif +p6271 +tp6272 +a(g185 +V +tp6273 +a(g18 +VP +tp6274 +a(g198 +V[ +tp6275 +a(g314 +V0 +tp6276 +a(g198 +V] +tp6277 +a(g185 +V +tp6278 +a(g339 +V= +tp6279 +a(g185 +V +tp6280 +a(g222 +V' +tp6281 +a(g222 +V" +tp6282 +a(g222 +V' +tp6283 +a(g185 +V +tp6284 +a(g111 +Vthen +p6285 +tp6286 +a(g185 +V +tp6287 +a(g111 +Vbegin +p6288 +tp6289 +a(g185 +V\u000a +p6290 +tp6291 +a(g18 +VQuoted +p6292 +tp6293 +a(g185 +V +tp6294 +a(g339 +V: +tp6295 +a(g339 +V= +tp6296 +a(g185 +V +tp6297 +a(g111 +Vnot +p6298 +tp6299 +a(g185 +V +tp6300 +a(g18 +VQuoted +p6301 +tp6302 +a(g339 +V; +tp6303 +a(g185 +V\u000a +p6304 +tp6305 +a(g57 +VInc +p6306 +tp6307 +a(g198 +V( +tp6308 +a(g18 +VP +tp6309 +a(g198 +V) +tp6310 +a(g339 +V; +tp6311 +a(g185 +V\u000a +p6312 +tp6313 +a(g111 +VContinue +p6314 +tp6315 +a(g339 +V; +tp6316 +a(g185 +V +tp6317 +a(g111 +Vend +p6318 +tp6319 +a(g339 +V; +tp6320 +a(g185 +V\u000a +p6321 +tp6322 +a(g111 +Vif +p6323 +tp6324 +a(g185 +V +tp6325 +a(g198 +V( +tp6326 +a(g18 +VP +tp6327 +a(g198 +V[ +tp6328 +a(g314 +V0 +tp6329 +a(g198 +V] +tp6330 +a(g185 +V +tp6331 +a(g339 +V< +tp6332 +a(g339 +V= +tp6333 +a(g185 +V +tp6334 +a(g222 +V' +tp6335 +a(g222 +V +tp6336 +a(g222 +V' +tp6337 +a(g198 +V) +tp6338 +a(g185 +V +tp6339 +a(g111 +Vand +p6340 +tp6341 +a(g185 +V +tp6342 +a(g111 +Vnot +p6343 +tp6344 +a(g185 +V +tp6345 +a(g18 +VQuoted +p6346 +tp6347 +a(g185 +V +tp6348 +a(g111 +Vthen +p6349 +tp6350 +a(g185 +V\u000a +p6351 +tp6352 +a(g111 +VBreak +p6353 +tp6354 +a(g339 +V; +tp6355 +a(g185 +V\u000a +p6356 +tp6357 +a(g18 +VParam +p6358 +tp6359 +a(g185 +V +tp6360 +a(g339 +V: +tp6361 +a(g339 +V= +tp6362 +a(g185 +V +tp6363 +a(g18 +VParam +p6364 +tp6365 +a(g185 +V +tp6366 +a(g339 +V+ +tp6367 +a(g185 +V +tp6368 +a(g18 +VP +tp6369 +a(g198 +V[ +tp6370 +a(g314 +V0 +tp6371 +a(g198 +V] +tp6372 +a(g339 +V; +tp6373 +a(g185 +V\u000a +p6374 +tp6375 +a(g57 +VInc +p6376 +tp6377 +a(g198 +V( +tp6378 +a(g18 +VP +tp6379 +a(g198 +V) +tp6380 +a(g339 +V; +tp6381 +a(g185 +V\u000a +p6382 +tp6383 +a(g111 +Vend +p6384 +tp6385 +a(g339 +V; +tp6386 +a(g185 +V\u000a +p6387 +tp6388 +a(g111 +Vuntil +p6389 +tp6390 +a(g185 +V +tp6391 +a(g198 +V( +tp6392 +a(g18 +VParam +p6393 +tp6394 +a(g185 +V +tp6395 +a(g339 +V< +tp6396 +a(g339 +V> +tp6397 +a(g185 +V +tp6398 +a(g222 +V' +tp6399 +a(g222 +V' +tp6400 +a(g198 +V) +tp6401 +a(g185 +V +tp6402 +a(g111 +Vor +p6403 +tp6404 +a(g185 +V +tp6405 +a(g198 +V( +tp6406 +a(g18 +VP +tp6407 +a(g198 +V[ +tp6408 +a(g314 +V0 +tp6409 +a(g198 +V] +tp6410 +a(g185 +V +tp6411 +a(g339 +V= +tp6412 +a(g185 +V +tp6413 +a(g264 +V#0 +p6414 +tp6415 +a(g198 +V) +tp6416 +a(g339 +V; +tp6417 +a(g185 +V\u000a\u000a +p6418 +tp6419 +a(g60 +VResult +p6420 +tp6421 +a(g185 +V +tp6422 +a(g339 +V: +tp6423 +a(g339 +V= +tp6424 +a(g185 +V +tp6425 +a(g18 +VParam +p6426 +tp6427 +a(g185 +V +tp6428 +a(g339 +V< +tp6429 +a(g339 +V> +tp6430 +a(g185 +V +tp6431 +a(g222 +V' +tp6432 +a(g222 +V' +tp6433 +a(g339 +V; +tp6434 +a(g185 +V\u000a +p6435 +tp6436 +a(g111 +Vend +p6437 +tp6438 +a(g339 +V; +tp6439 +a(g185 +V\u000a\u000a +p6440 +tp6441 +a(g111 +Vbegin +p6442 +tp6443 +a(g185 +V\u000a +p6444 +tp6445 +a(g18 +VStrings +p6446 +tp6447 +a(g339 +V. +tp6448 +a(g18 +VClear +p6449 +tp6450 +a(g339 +V; +tp6451 +a(g185 +V\u000a +p6452 +tp6453 +a(g18 +VP +tp6454 +a(g185 +V +tp6455 +a(g339 +V: +tp6456 +a(g339 +V= +tp6457 +a(g185 +V +tp6458 +a(g18 +VGetCommandLine +p6459 +tp6460 +a(g339 +V; +tp6461 +a(g185 +V\u000a +p6462 +tp6463 +a(g18 +VGetParamStr +p6464 +tp6465 +a(g198 +V( +tp6466 +a(g18 +VP +tp6467 +a(g339 +V, +tp6468 +a(g185 +V +tp6469 +a(g18 +VParam +p6470 +tp6471 +a(g198 +V) +tp6472 +a(g339 +V; +tp6473 +a(g185 +V\u000a +p6474 +tp6475 +a(g111 +Vwhile +p6476 +tp6477 +a(g185 +V +tp6478 +a(g18 +VGetParamStr +p6479 +tp6480 +a(g198 +V( +tp6481 +a(g18 +VP +tp6482 +a(g339 +V, +tp6483 +a(g185 +V +tp6484 +a(g18 +VParam +p6485 +tp6486 +a(g198 +V) +tp6487 +a(g185 +V +tp6488 +a(g111 +Vdo +p6489 +tp6490 +a(g185 +V\u000a +p6491 +tp6492 +a(g18 +VStrings +p6493 +tp6494 +a(g339 +V. +tp6495 +a(g18 +VAdd +p6496 +tp6497 +a(g198 +V( +tp6498 +a(g18 +VParam +p6499 +tp6500 +a(g198 +V) +tp6501 +a(g339 +V; +tp6502 +a(g185 +V\u000a +tp6503 +a(g111 +Vend +p6504 +tp6505 +a(g339 +V; +tp6506 +a(g185 +V\u000a\u000a +p6507 +tp6508 +a(g111 +Vfunction +p6509 +tp6510 +a(g185 +V +tp6511 +a(g21 +VGetParams +p6512 +tp6513 +a(g198 +V( +tp6514 +a(g111 +Vconst +p6515 +tp6516 +a(g185 +V +tp6517 +a(g18 +VSeparator +p6518 +tp6519 +a(g339 +V: +tp6520 +a(g185 +V +tp6521 +a(g111 +Vstring +p6522 +tp6523 +a(g185 +V +tp6524 +a(g339 +V= +tp6525 +a(g185 +V +tp6526 +a(g222 +V' +tp6527 +a(g222 +V +tp6528 +a(g222 +V' +tp6529 +a(g198 +V) +tp6530 +a(g339 +V: +tp6531 +a(g185 +V +tp6532 +a(g111 +Vstring +p6533 +tp6534 +a(g339 +V; +tp6535 +a(g185 +V\u000a +tp6536 +a(g111 +Vvar +p6537 +tp6538 +a(g185 +V\u000a +p6539 +tp6540 +a(g18 +VSL +p6541 +tp6542 +a(g339 +V: +tp6543 +a(g185 +V +tp6544 +a(g18 +VTStringList +p6545 +tp6546 +a(g339 +V; +tp6547 +a(g185 +V\u000a +tp6548 +a(g111 +Vbegin +p6549 +tp6550 +a(g185 +V\u000a +p6551 +tp6552 +a(g18 +VSL +p6553 +tp6554 +a(g185 +V +tp6555 +a(g339 +V: +tp6556 +a(g339 +V= +tp6557 +a(g185 +V +tp6558 +a(g18 +VTStringList +p6559 +tp6560 +a(g339 +V. +tp6561 +a(g18 +VCreate +p6562 +tp6563 +a(g339 +V; +tp6564 +a(g185 +V\u000a +p6565 +tp6566 +a(g18 +VGetParams +p6567 +tp6568 +a(g198 +V( +tp6569 +a(g18 +VSL +p6570 +tp6571 +a(g198 +V) +tp6572 +a(g339 +V; +tp6573 +a(g185 +V\u000a +p6574 +tp6575 +a(g60 +VResult +p6576 +tp6577 +a(g185 +V +tp6578 +a(g339 +V: +tp6579 +a(g339 +V= +tp6580 +a(g185 +V +tp6581 +a(g18 +VJoin +p6582 +tp6583 +a(g198 +V( +tp6584 +a(g18 +VSL +p6585 +tp6586 +a(g339 +V, +tp6587 +a(g185 +V +tp6588 +a(g18 +VSeparator +p6589 +tp6590 +a(g198 +V) +tp6591 +a(g339 +V; +tp6592 +a(g185 +V\u000a +p6593 +tp6594 +a(g18 +VSL +p6595 +tp6596 +a(g339 +V. +tp6597 +a(g18 +VFree +p6598 +tp6599 +a(g339 +V; +tp6600 +a(g185 +V\u000a +tp6601 +a(g111 +Vend +p6602 +tp6603 +a(g339 +V; +tp6604 +a(g185 +V\u000a\u000a +p6605 +tp6606 +a(g111 +Vfunction +p6607 +tp6608 +a(g185 +V +tp6609 +a(g21 +VSwitch +p6610 +tp6611 +a(g198 +V( +tp6612 +a(g111 +Vconst +p6613 +tp6614 +a(g185 +V +tp6615 +a(g18 +VSwitch +p6616 +tp6617 +a(g339 +V: +tp6618 +a(g185 +V +tp6619 +a(g111 +Vstring +p6620 +tp6621 +a(g339 +V; +tp6622 +a(g185 +V +tp6623 +a(g111 +Vconst +p6624 +tp6625 +a(g185 +V +tp6626 +a(g18 +VPrefixChars +p6627 +tp6628 +a(g339 +V: +tp6629 +a(g185 +V +tp6630 +a(g18 +VTCharSet +p6631 +tp6632 +a(g185 +V +tp6633 +a(g339 +V= +tp6634 +a(g185 +V +tp6635 +a(g18 +VSwitchChars +p6636 +tp6637 +a(g339 +V; +tp6638 +a(g185 +V\u000a +p6639 +tp6640 +a(g18 +VIgnoreCase +p6641 +tp6642 +a(g339 +V: +tp6643 +a(g185 +V +tp6644 +a(g135 +VBoolean +p6645 +tp6646 +a(g185 +V +tp6647 +a(g339 +V= +tp6648 +a(g185 +V +tp6649 +a(g111 +VTrue +p6650 +tp6651 +a(g198 +V) +tp6652 +a(g339 +V: +tp6653 +a(g185 +V +tp6654 +a(g135 +VBoolean +p6655 +tp6656 +a(g339 +V; +tp6657 +a(g185 +V\u000a +tp6658 +a(g7 +V//= SysUtils.FindCmdLineSwitch +p6659 +tp6660 +a(g185 +V\u000a +tp6661 +a(g111 +Vvar +p6662 +tp6663 +a(g185 +V\u000a +p6664 +tp6665 +a(g18 +Vi +tp6666 +a(g339 +V: +tp6667 +a(g185 +V +tp6668 +a(g135 +VInteger +p6669 +tp6670 +a(g339 +V; +tp6671 +a(g185 +V\u000a +p6672 +tp6673 +a(g18 +Vs +tp6674 +a(g339 +V: +tp6675 +a(g185 +V +tp6676 +a(g111 +Vstring +p6677 +tp6678 +a(g339 +V; +tp6679 +a(g185 +V\u000a +tp6680 +a(g111 +Vbegin +p6681 +tp6682 +a(g185 +V\u000a +p6683 +tp6684 +a(g60 +VResult +p6685 +tp6686 +a(g185 +V +tp6687 +a(g339 +V: +tp6688 +a(g339 +V= +tp6689 +a(g185 +V +tp6690 +a(g111 +VTrue +p6691 +tp6692 +a(g339 +V; +tp6693 +a(g185 +V\u000a\u000a +p6694 +tp6695 +a(g111 +Vfor +p6696 +tp6697 +a(g185 +V +tp6698 +a(g18 +Vi +tp6699 +a(g185 +V +tp6700 +a(g339 +V: +tp6701 +a(g339 +V= +tp6702 +a(g185 +V +tp6703 +a(g314 +V1 +tp6704 +a(g185 +V +tp6705 +a(g111 +Vto +p6706 +tp6707 +a(g185 +V +tp6708 +a(g57 +VParamCount +p6709 +tp6710 +a(g185 +V +tp6711 +a(g111 +Vdo +p6712 +tp6713 +a(g185 +V +tp6714 +a(g111 +Vbegin +p6715 +tp6716 +a(g185 +V\u000a +p6717 +tp6718 +a(g18 +Vs +tp6719 +a(g185 +V +tp6720 +a(g339 +V: +tp6721 +a(g339 +V= +tp6722 +a(g185 +V +tp6723 +a(g57 +VParamStr +p6724 +tp6725 +a(g198 +V( +tp6726 +a(g18 +Vi +tp6727 +a(g198 +V) +tp6728 +a(g339 +V; +tp6729 +a(g185 +V\u000a\u000a +p6730 +tp6731 +a(g111 +Vif +p6732 +tp6733 +a(g185 +V +tp6734 +a(g198 +V( +tp6735 +a(g18 +Vs +tp6736 +a(g185 +V +tp6737 +a(g339 +V< +tp6738 +a(g339 +V> +tp6739 +a(g185 +V +tp6740 +a(g222 +V' +tp6741 +a(g222 +V' +tp6742 +a(g198 +V) +tp6743 +a(g185 +V +tp6744 +a(g111 +Vand +p6745 +tp6746 +a(g185 +V +tp6747 +a(g198 +V( +tp6748 +a(g18 +Vs +tp6749 +a(g198 +V[ +tp6750 +a(g314 +V1 +tp6751 +a(g198 +V] +tp6752 +a(g185 +V +tp6753 +a(g111 +Vin +p6754 +tp6755 +a(g185 +V +tp6756 +a(g18 +VPrefixChars +p6757 +tp6758 +a(g198 +V) +tp6759 +a(g185 +V +tp6760 +a(g111 +Vthen +p6761 +tp6762 +a(g185 +V +tp6763 +a(g111 +Vbegin +p6764 +tp6765 +a(g185 +V\u000a +p6766 +tp6767 +a(g7 +V//i know that always s <> '', but this is saver +p6768 +tp6769 +a(g185 +V\u000a +p6770 +tp6771 +a(g18 +Vs +tp6772 +a(g185 +V +tp6773 +a(g339 +V: +tp6774 +a(g339 +V= +tp6775 +a(g185 +V +tp6776 +a(g57 +VCopy +p6777 +tp6778 +a(g198 +V( +tp6779 +a(g18 +Vs +tp6780 +a(g339 +V, +tp6781 +a(g185 +V +tp6782 +a(g314 +V2 +tp6783 +a(g339 +V, +tp6784 +a(g185 +V +tp6785 +a(g18 +VMaxInt +p6786 +tp6787 +a(g198 +V) +tp6788 +a(g339 +V; +tp6789 +a(g185 +V\u000a +p6790 +tp6791 +a(g111 +Vif +p6792 +tp6793 +a(g185 +V +tp6794 +a(g198 +V( +tp6795 +a(g18 +Vs +tp6796 +a(g185 +V +tp6797 +a(g339 +V= +tp6798 +a(g185 +V +tp6799 +a(g18 +VSwitch +p6800 +tp6801 +a(g198 +V) +tp6802 +a(g185 +V +tp6803 +a(g111 +Vor +p6804 +tp6805 +a(g185 +V +tp6806 +a(g198 +V( +tp6807 +a(g18 +VIgnoreCase +p6808 +tp6809 +a(g185 +V +tp6810 +a(g111 +Vand +p6811 +tp6812 +a(g185 +V +tp6813 +a(g198 +V( +tp6814 +a(g314 +V0 +tp6815 +a(g339 +V= +tp6816 +a(g57 +VAnsiCompareText +p6817 +tp6818 +a(g198 +V( +tp6819 +a(g18 +Vs +tp6820 +a(g339 +V, +tp6821 +a(g185 +V +tp6822 +a(g18 +VSwitch +p6823 +tp6824 +a(g198 +V))) +p6825 +tp6826 +a(g185 +V +tp6827 +a(g111 +Vthen +p6828 +tp6829 +a(g185 +V\u000a +p6830 +tp6831 +a(g111 +VExit +p6832 +tp6833 +a(g339 +V; +tp6834 +a(g185 +V\u000a +p6835 +tp6836 +a(g111 +Vend +p6837 +tp6838 +a(g339 +V; +tp6839 +a(g185 +V\u000a +p6840 +tp6841 +a(g111 +Vend +p6842 +tp6843 +a(g339 +V; +tp6844 +a(g185 +V\u000a\u000a +p6845 +tp6846 +a(g60 +VResult +p6847 +tp6848 +a(g185 +V +tp6849 +a(g339 +V: +tp6850 +a(g339 +V= +tp6851 +a(g185 +V +tp6852 +a(g111 +VFalse +p6853 +tp6854 +a(g339 +V; +tp6855 +a(g185 +V\u000a +tp6856 +a(g111 +Vend +p6857 +tp6858 +a(g339 +V; +tp6859 +a(g185 +V\u000a\u000a +p6860 +tp6861 +a(g111 +Vfunction +p6862 +tp6863 +a(g185 +V +tp6864 +a(g21 +VParamNum +p6865 +tp6866 +a(g198 +V( +tp6867 +a(g111 +Vconst +p6868 +tp6869 +a(g185 +V +tp6870 +a(g18 +VS +tp6871 +a(g339 +V: +tp6872 +a(g185 +V +tp6873 +a(g111 +Vstring +p6874 +tp6875 +a(g198 +V) +tp6876 +a(g339 +V: +tp6877 +a(g185 +V +tp6878 +a(g135 +VInteger +p6879 +tp6880 +a(g339 +V; +tp6881 +a(g185 +V\u000a +tp6882 +a(g111 +Vbegin +p6883 +tp6884 +a(g185 +V\u000a +p6885 +tp6886 +a(g111 +Vfor +p6887 +tp6888 +a(g185 +V +tp6889 +a(g60 +VResult +p6890 +tp6891 +a(g185 +V +tp6892 +a(g339 +V: +tp6893 +a(g339 +V= +tp6894 +a(g185 +V +tp6895 +a(g314 +V1 +tp6896 +a(g185 +V +tp6897 +a(g111 +Vto +p6898 +tp6899 +a(g185 +V +tp6900 +a(g57 +VParamCount +p6901 +tp6902 +a(g185 +V +tp6903 +a(g111 +Vdo +p6904 +tp6905 +a(g185 +V\u000a +p6906 +tp6907 +a(g111 +Vif +p6908 +tp6909 +a(g185 +V +tp6910 +a(g314 +V0 +tp6911 +a(g339 +V= +tp6912 +a(g57 +VAnsiCompareText +p6913 +tp6914 +a(g198 +V( +tp6915 +a(g57 +VParamStr +p6916 +tp6917 +a(g198 +V( +tp6918 +a(g60 +VResult +p6919 +tp6920 +a(g198 +V) +tp6921 +a(g339 +V, +tp6922 +a(g185 +V +tp6923 +a(g18 +VS +tp6924 +a(g198 +V) +tp6925 +a(g185 +V +tp6926 +a(g111 +Vthen +p6927 +tp6928 +a(g185 +V\u000a +p6929 +tp6930 +a(g111 +VExit +p6931 +tp6932 +a(g339 +V; +tp6933 +a(g185 +V\u000a\u000a +p6934 +tp6935 +a(g60 +VResult +p6936 +tp6937 +a(g185 +V +tp6938 +a(g339 +V: +tp6939 +a(g339 +V= +tp6940 +a(g185 +V +tp6941 +a(g314 +V0 +tp6942 +a(g339 +V; +tp6943 +a(g185 +V\u000a +tp6944 +a(g111 +Vend +p6945 +tp6946 +a(g339 +V; +tp6947 +a(g185 +V\u000a\u000a +p6948 +tp6949 +a(g111 +Vfunction +p6950 +tp6951 +a(g185 +V +tp6952 +a(g21 +VParamPrefixNum +p6953 +tp6954 +a(g198 +V( +tp6955 +a(g111 +Vconst +p6956 +tp6957 +a(g185 +V +tp6958 +a(g18 +VPrefix +p6959 +tp6960 +a(g339 +V: +tp6961 +a(g185 +V +tp6962 +a(g111 +Vstring +p6963 +tp6964 +a(g198 +V) +tp6965 +a(g339 +V: +tp6966 +a(g185 +V +tp6967 +a(g135 +VInteger +p6968 +tp6969 +a(g339 +V; +tp6970 +a(g185 +V\u000a +tp6971 +a(g111 +Vvar +p6972 +tp6973 +a(g185 +V\u000a +p6974 +tp6975 +a(g18 +VLen +p6976 +tp6977 +a(g339 +V: +tp6978 +a(g185 +V +tp6979 +a(g135 +VInteger +p6980 +tp6981 +a(g339 +V; +tp6982 +a(g185 +V\u000a +tp6983 +a(g111 +Vbegin +p6984 +tp6985 +a(g185 +V\u000a +p6986 +tp6987 +a(g18 +VLen +p6988 +tp6989 +a(g185 +V +tp6990 +a(g339 +V: +tp6991 +a(g339 +V= +tp6992 +a(g185 +V +tp6993 +a(g57 +VLength +p6994 +tp6995 +a(g198 +V( +tp6996 +a(g18 +VPrefix +p6997 +tp6998 +a(g198 +V) +tp6999 +a(g339 +V; +tp7000 +a(g185 +V\u000a +p7001 +tp7002 +a(g111 +Vfor +p7003 +tp7004 +a(g185 +V +tp7005 +a(g60 +VResult +p7006 +tp7007 +a(g185 +V +tp7008 +a(g339 +V: +tp7009 +a(g339 +V= +tp7010 +a(g185 +V +tp7011 +a(g314 +V1 +tp7012 +a(g185 +V +tp7013 +a(g111 +Vto +p7014 +tp7015 +a(g185 +V +tp7016 +a(g57 +VParamCount +p7017 +tp7018 +a(g185 +V +tp7019 +a(g111 +Vdo +p7020 +tp7021 +a(g185 +V\u000a +p7022 +tp7023 +a(g111 +Vif +p7024 +tp7025 +a(g185 +V +tp7026 +a(g314 +V0 +tp7027 +a(g339 +V= +tp7028 +a(g57 +VAnsiCompareText +p7029 +tp7030 +a(g198 +V( +tp7031 +a(g57 +VCopy +p7032 +tp7033 +a(g198 +V( +tp7034 +a(g57 +VParamStr +p7035 +tp7036 +a(g198 +V( +tp7037 +a(g60 +VResult +p7038 +tp7039 +a(g198 +V) +tp7040 +a(g339 +V, +tp7041 +a(g185 +V +tp7042 +a(g314 +V1 +tp7043 +a(g339 +V, +tp7044 +a(g185 +V +tp7045 +a(g18 +VLen +p7046 +tp7047 +a(g198 +V) +tp7048 +a(g339 +V, +tp7049 +a(g185 +V +tp7050 +a(g18 +VPrefix +p7051 +tp7052 +a(g198 +V) +tp7053 +a(g185 +V +tp7054 +a(g111 +Vthen +p7055 +tp7056 +a(g185 +V\u000a +p7057 +tp7058 +a(g111 +VExit +p7059 +tp7060 +a(g339 +V; +tp7061 +a(g185 +V\u000a\u000a +p7062 +tp7063 +a(g60 +VResult +p7064 +tp7065 +a(g185 +V +tp7066 +a(g339 +V: +tp7067 +a(g339 +V= +tp7068 +a(g185 +V +tp7069 +a(g314 +V0 +tp7070 +a(g339 +V; +tp7071 +a(g185 +V\u000a +tp7072 +a(g111 +Vend +p7073 +tp7074 +a(g339 +V; +tp7075 +a(g185 +V\u000a\u000a +p7076 +tp7077 +a(g111 +Vfunction +p7078 +tp7079 +a(g185 +V +tp7080 +a(g21 +VParam +p7081 +tp7082 +a(g198 +V( +tp7083 +a(g111 +Vconst +p7084 +tp7085 +a(g185 +V +tp7086 +a(g18 +VS +tp7087 +a(g339 +V: +tp7088 +a(g185 +V +tp7089 +a(g111 +Vstring +p7090 +tp7091 +a(g198 +V) +tp7092 +a(g339 +V: +tp7093 +a(g185 +V +tp7094 +a(g135 +VBoolean +p7095 +tp7096 +a(g339 +V; +tp7097 +a(g185 +V\u000a +tp7098 +a(g111 +Vbegin +p7099 +tp7100 +a(g185 +V\u000a +p7101 +tp7102 +a(g60 +VResult +p7103 +tp7104 +a(g185 +V +tp7105 +a(g339 +V: +tp7106 +a(g339 +V= +tp7107 +a(g185 +V +tp7108 +a(g18 +VParamNum +p7109 +tp7110 +a(g198 +V( +tp7111 +a(g18 +VS +tp7112 +a(g198 +V) +tp7113 +a(g185 +V +tp7114 +a(g339 +V> +tp7115 +a(g185 +V +tp7116 +a(g314 +V0 +tp7117 +a(g339 +V; +tp7118 +a(g185 +V\u000a +tp7119 +a(g111 +Vend +p7120 +tp7121 +a(g339 +V; +tp7122 +a(g185 +V\u000a\u000a +p7123 +tp7124 +a(g111 +Vfunction +p7125 +tp7126 +a(g185 +V +tp7127 +a(g21 +VParamPrefix +p7128 +tp7129 +a(g198 +V( +tp7130 +a(g111 +Vconst +p7131 +tp7132 +a(g185 +V +tp7133 +a(g18 +VPrefix +p7134 +tp7135 +a(g339 +V: +tp7136 +a(g185 +V +tp7137 +a(g111 +Vstring +p7138 +tp7139 +a(g198 +V) +tp7140 +a(g339 +V: +tp7141 +a(g185 +V +tp7142 +a(g135 +VBoolean +p7143 +tp7144 +a(g339 +V; +tp7145 +a(g185 +V\u000a +tp7146 +a(g111 +Vbegin +p7147 +tp7148 +a(g185 +V\u000a +p7149 +tp7150 +a(g60 +VResult +p7151 +tp7152 +a(g185 +V +tp7153 +a(g339 +V: +tp7154 +a(g339 +V= +tp7155 +a(g185 +V +tp7156 +a(g18 +VParamPrefixNum +p7157 +tp7158 +a(g198 +V( +tp7159 +a(g18 +VPrefix +p7160 +tp7161 +a(g198 +V) +tp7162 +a(g185 +V +tp7163 +a(g339 +V> +tp7164 +a(g185 +V +tp7165 +a(g314 +V0 +tp7166 +a(g339 +V; +tp7167 +a(g185 +V\u000a +tp7168 +a(g111 +Vend +p7169 +tp7170 +a(g339 +V; +tp7171 +a(g185 +V\u000a\u000a +p7172 +tp7173 +a(g111 +Vfunction +p7174 +tp7175 +a(g185 +V +tp7176 +a(g21 +VGetParam +p7177 +tp7178 +a(g198 +V( +tp7179 +a(g111 +Vconst +p7180 +tp7181 +a(g185 +V +tp7182 +a(g18 +VPrefix +p7183 +tp7184 +a(g339 +V: +tp7185 +a(g185 +V +tp7186 +a(g111 +Vstring +p7187 +tp7188 +a(g185 +V +tp7189 +a(g339 +V= +tp7190 +a(g185 +V +tp7191 +a(g222 +V' +tp7192 +a(g222 +V' +tp7193 +a(g339 +V; +tp7194 +a(g185 +V +tp7195 +a(g111 +Vconst +p7196 +tp7197 +a(g185 +V +tp7198 +a(g119 +VDefault +p7199 +tp7200 +a(g339 +V: +tp7201 +a(g185 +V +tp7202 +a(g111 +Vstring +p7203 +tp7204 +a(g185 +V +tp7205 +a(g339 +V= +tp7206 +a(g185 +V +tp7207 +a(g222 +V' +tp7208 +a(g222 +V' +tp7209 +a(g198 +V) +tp7210 +a(g339 +V: +tp7211 +a(g185 +V +tp7212 +a(g111 +Vstring +p7213 +tp7214 +a(g339 +V; +tp7215 +a(g185 +V\u000a +tp7216 +a(g111 +Vvar +p7217 +tp7218 +a(g185 +V\u000a +p7219 +tp7220 +a(g18 +Vi +tp7221 +a(g339 +V: +tp7222 +a(g185 +V +tp7223 +a(g135 +VInteger +p7224 +tp7225 +a(g339 +V; +tp7226 +a(g185 +V\u000a +tp7227 +a(g111 +Vbegin +p7228 +tp7229 +a(g185 +V\u000a +p7230 +tp7231 +a(g60 +VResult +p7232 +tp7233 +a(g185 +V +tp7234 +a(g339 +V: +tp7235 +a(g339 +V= +tp7236 +a(g185 +V +tp7237 +a(g119 +VDefault +p7238 +tp7239 +a(g339 +V; +tp7240 +a(g185 +V\u000a\u000a +p7241 +tp7242 +a(g111 +Vif +p7243 +tp7244 +a(g185 +V +tp7245 +a(g18 +VPrefix +p7246 +tp7247 +a(g185 +V +tp7248 +a(g339 +V= +tp7249 +a(g185 +V +tp7250 +a(g222 +V' +tp7251 +a(g222 +V' +tp7252 +a(g185 +V +tp7253 +a(g111 +Vthen +p7254 +tp7255 +a(g185 +V +tp7256 +a(g111 +Vbegin +p7257 +tp7258 +a(g185 +V\u000a +p7259 +tp7260 +a(g60 +VResult +p7261 +tp7262 +a(g185 +V +tp7263 +a(g339 +V: +tp7264 +a(g339 +V= +tp7265 +a(g185 +V +tp7266 +a(g57 +VParamStr +p7267 +tp7268 +a(g198 +V( +tp7269 +a(g314 +V1 +tp7270 +a(g198 +V) +tp7271 +a(g339 +V; +tp7272 +a(g185 +V\u000a +p7273 +tp7274 +a(g111 +VExit +p7275 +tp7276 +a(g339 +V; +tp7277 +a(g185 +V +tp7278 +a(g111 +Vend +p7279 +tp7280 +a(g339 +V; +tp7281 +a(g185 +V\u000a\u000a +p7282 +tp7283 +a(g18 +Vi +tp7284 +a(g185 +V +tp7285 +a(g339 +V: +tp7286 +a(g339 +V= +tp7287 +a(g185 +V +tp7288 +a(g18 +VParamPrefixNum +p7289 +tp7290 +a(g198 +V( +tp7291 +a(g18 +VPrefix +p7292 +tp7293 +a(g198 +V) +tp7294 +a(g339 +V; +tp7295 +a(g185 +V\u000a +p7296 +tp7297 +a(g111 +Vif +p7298 +tp7299 +a(g185 +V +tp7300 +a(g18 +Vi +tp7301 +a(g185 +V +tp7302 +a(g339 +V> +tp7303 +a(g185 +V +tp7304 +a(g314 +V0 +tp7305 +a(g185 +V +tp7306 +a(g111 +Vthen +p7307 +tp7308 +a(g185 +V\u000a +p7309 +tp7310 +a(g60 +VResult +p7311 +tp7312 +a(g185 +V +tp7313 +a(g339 +V: +tp7314 +a(g339 +V= +tp7315 +a(g185 +V +tp7316 +a(g57 +VCopy +p7317 +tp7318 +a(g198 +V( +tp7319 +a(g57 +VParamStr +p7320 +tp7321 +a(g198 +V( +tp7322 +a(g18 +Vi +tp7323 +a(g198 +V) +tp7324 +a(g339 +V, +tp7325 +a(g185 +V +tp7326 +a(g57 +VLength +p7327 +tp7328 +a(g198 +V( +tp7329 +a(g18 +VPrefix +p7330 +tp7331 +a(g198 +V) +tp7332 +a(g185 +V +tp7333 +a(g339 +V+ +tp7334 +a(g185 +V +tp7335 +a(g314 +V1 +tp7336 +a(g339 +V, +tp7337 +a(g185 +V +tp7338 +a(g18 +VMaxInt +p7339 +tp7340 +a(g198 +V) +tp7341 +a(g339 +V; +tp7342 +a(g185 +V\u000a +tp7343 +a(g111 +Vend +p7344 +tp7345 +a(g339 +V; +tp7346 +a(g185 +V\u000a\u000a +p7347 +tp7348 +a(g111 +Vfunction +p7349 +tp7350 +a(g185 +V +tp7351 +a(g21 +VGetMyDir +p7352 +tp7353 +a(g198 +V( +tp7354 +a(g18 +VFullPath +p7355 +tp7356 +a(g339 +V: +tp7357 +a(g185 +V +tp7358 +a(g135 +VBoolean +p7359 +tp7360 +a(g185 +V +tp7361 +a(g339 +V= +tp7362 +a(g185 +V +tp7363 +a(g111 +VFalse +p7364 +tp7365 +a(g198 +V) +tp7366 +a(g339 +V: +tp7367 +a(g185 +V +tp7368 +a(g111 +Vstring +p7369 +tp7370 +a(g339 +V; +tp7371 +a(g185 +V\u000a +tp7372 +a(g111 +Vvar +p7373 +tp7374 +a(g185 +V\u000a +p7375 +tp7376 +a(g18 +VBuffer +p7377 +tp7378 +a(g339 +V: +tp7379 +a(g185 +V +tp7380 +a(g111 +Varray +p7381 +tp7382 +a(g198 +V[ +tp7383 +a(g314 +V0 +tp7384 +a(g339 +V. +tp7385 +a(g339 +V. +tp7386 +a(g314 +V260 +p7387 +tp7388 +a(g198 +V] +tp7389 +a(g185 +V +tp7390 +a(g111 +Vof +p7391 +tp7392 +a(g185 +V +tp7393 +a(g135 +VChar +p7394 +tp7395 +a(g339 +V; +tp7396 +a(g185 +V\u000a +tp7397 +a(g111 +Vbegin +p7398 +tp7399 +a(g185 +V\u000a +p7400 +tp7401 +a(g60 +VResult +p7402 +tp7403 +a(g185 +V +tp7404 +a(g339 +V: +tp7405 +a(g339 +V= +tp7406 +a(g185 +V +tp7407 +a(g222 +V' +tp7408 +a(g222 +V' +tp7409 +a(g339 +V; +tp7410 +a(g185 +V\u000a +p7411 +tp7412 +a(g57 +VSetString +p7413 +tp7414 +a(g198 +V( +tp7415 +a(g60 +VResult +p7416 +tp7417 +a(g339 +V, +tp7418 +a(g185 +V +tp7419 +a(g18 +VBuffer +p7420 +tp7421 +a(g339 +V, +tp7422 +a(g185 +V +tp7423 +a(g57 +VGetModuleFileName +p7424 +tp7425 +a(g198 +V( +tp7426 +a(g314 +V0 +tp7427 +a(g339 +V, +tp7428 +a(g185 +V +tp7429 +a(g18 +VBuffer +p7430 +tp7431 +a(g339 +V, +tp7432 +a(g185 +V +tp7433 +a(g57 +VSizeOf +p7434 +tp7435 +a(g198 +V( +tp7436 +a(g18 +VBuffer +p7437 +tp7438 +a(g198 +V))) +p7439 +tp7440 +a(g339 +V; +tp7441 +a(g185 +V\u000a +p7442 +tp7443 +a(g111 +Vif +p7444 +tp7445 +a(g185 +V +tp7446 +a(g18 +VFullPath +p7447 +tp7448 +a(g185 +V +tp7449 +a(g111 +Vthen +p7450 +tp7451 +a(g185 +V\u000a +p7452 +tp7453 +a(g60 +VResult +p7454 +tp7455 +a(g185 +V +tp7456 +a(g339 +V: +tp7457 +a(g339 +V= +tp7458 +a(g185 +V +tp7459 +a(g18 +VGetFileNew +p7460 +tp7461 +a(g198 +V( +tp7462 +a(g60 +VResult +p7463 +tp7464 +a(g198 +V) +tp7465 +a(g339 +V; +tp7466 +a(g185 +V\u000a +p7467 +tp7468 +a(g60 +VResult +p7469 +tp7470 +a(g185 +V +tp7471 +a(g339 +V: +tp7472 +a(g339 +V= +tp7473 +a(g185 +V +tp7474 +a(g18 +VExtractPath +p7475 +tp7476 +a(g198 +V( +tp7477 +a(g60 +VResult +p7478 +tp7479 +a(g198 +V) +tp7480 +a(g339 +V; +tp7481 +a(g185 +V\u000a +tp7482 +a(g111 +Vend +p7483 +tp7484 +a(g339 +V; +tp7485 +a(g185 +V\u000a\u000a +p7486 +tp7487 +a(g111 +Vfunction +p7488 +tp7489 +a(g185 +V +tp7490 +a(g21 +VWinDir +p7491 +tp7492 +a(g339 +V: +tp7493 +a(g185 +V +tp7494 +a(g111 +Vstring +p7495 +tp7496 +a(g339 +V; +tp7497 +a(g185 +V\u000a +tp7498 +a(g111 +Vvar +p7499 +tp7500 +a(g185 +V\u000a +p7501 +tp7502 +a(g18 +VRes +p7503 +tp7504 +a(g339 +V: +tp7505 +a(g185 +V +tp7506 +a(g135 +VPChar +p7507 +tp7508 +a(g339 +V; +tp7509 +a(g185 +V\u000a +tp7510 +a(g111 +Vbegin +p7511 +tp7512 +a(g185 +V\u000a +p7513 +tp7514 +a(g60 +VResult +p7515 +tp7516 +a(g185 +V +tp7517 +a(g339 +V: +tp7518 +a(g339 +V= +tp7519 +a(g185 +V +tp7520 +a(g222 +V' +tp7521 +a(g222 +V\u005c +tp7522 +a(g222 +V' +tp7523 +a(g339 +V; +tp7524 +a(g185 +V\u000a +p7525 +tp7526 +a(g57 +VGetMem +p7527 +tp7528 +a(g198 +V( +tp7529 +a(g18 +VRes +p7530 +tp7531 +a(g339 +V, +tp7532 +a(g185 +V +tp7533 +a(g18 +VMAX_PATH +p7534 +tp7535 +a(g198 +V) +tp7536 +a(g339 +V; +tp7537 +a(g185 +V\u000a +p7538 +tp7539 +a(g18 +VGetWindowsDirectory +p7540 +tp7541 +a(g198 +V( +tp7542 +a(g18 +VRes +p7543 +tp7544 +a(g339 +V, +tp7545 +a(g185 +V +tp7546 +a(g18 +VMAX_PATH +p7547 +tp7548 +a(g198 +V) +tp7549 +a(g339 +V; +tp7550 +a(g185 +V\u000a +p7551 +tp7552 +a(g60 +VResult +p7553 +tp7554 +a(g185 +V +tp7555 +a(g339 +V: +tp7556 +a(g339 +V= +tp7557 +a(g185 +V +tp7558 +a(g18 +VRes +p7559 +tp7560 +a(g185 +V +tp7561 +a(g339 +V+ +tp7562 +a(g185 +V +tp7563 +a(g222 +V' +tp7564 +a(g222 +V\u005c +tp7565 +a(g222 +V' +tp7566 +a(g339 +V; +tp7567 +a(g185 +V\u000a +p7568 +tp7569 +a(g57 +VFreeMem +p7570 +tp7571 +a(g198 +V( +tp7572 +a(g18 +VRes +p7573 +tp7574 +a(g339 +V, +tp7575 +a(g185 +V +tp7576 +a(g18 +VMAX_PATH +p7577 +tp7578 +a(g198 +V) +tp7579 +a(g339 +V; +tp7580 +a(g185 +V\u000a +tp7581 +a(g111 +Vend +p7582 +tp7583 +a(g339 +V; +tp7584 +a(g185 +V\u000a\u000a +p7585 +tp7586 +a(g111 +Vfunction +p7587 +tp7588 +a(g185 +V +tp7589 +a(g21 +VSysDir +p7590 +tp7591 +a(g339 +V: +tp7592 +a(g185 +V +tp7593 +a(g111 +Vstring +p7594 +tp7595 +a(g339 +V; +tp7596 +a(g185 +V\u000a +tp7597 +a(g111 +Vvar +p7598 +tp7599 +a(g185 +V\u000a +p7600 +tp7601 +a(g18 +VRes +p7602 +tp7603 +a(g339 +V: +tp7604 +a(g185 +V +tp7605 +a(g135 +VPChar +p7606 +tp7607 +a(g339 +V; +tp7608 +a(g185 +V\u000a +tp7609 +a(g111 +Vbegin +p7610 +tp7611 +a(g185 +V\u000a +p7612 +tp7613 +a(g60 +VResult +p7614 +tp7615 +a(g185 +V +tp7616 +a(g339 +V: +tp7617 +a(g339 +V= +tp7618 +a(g185 +V +tp7619 +a(g222 +V' +tp7620 +a(g222 +V\u005c +tp7621 +a(g222 +V' +tp7622 +a(g339 +V; +tp7623 +a(g185 +V\u000a +p7624 +tp7625 +a(g57 +VGetMem +p7626 +tp7627 +a(g198 +V( +tp7628 +a(g18 +VRes +p7629 +tp7630 +a(g339 +V, +tp7631 +a(g185 +V +tp7632 +a(g18 +VMAX_PATH +p7633 +tp7634 +a(g198 +V) +tp7635 +a(g339 +V; +tp7636 +a(g185 +V\u000a +p7637 +tp7638 +a(g18 +VGetSystemDirectory +p7639 +tp7640 +a(g198 +V( +tp7641 +a(g18 +VRes +p7642 +tp7643 +a(g339 +V, +tp7644 +a(g185 +V +tp7645 +a(g18 +VMAX_PATH +p7646 +tp7647 +a(g198 +V) +tp7648 +a(g339 +V; +tp7649 +a(g185 +V\u000a +p7650 +tp7651 +a(g60 +VResult +p7652 +tp7653 +a(g185 +V +tp7654 +a(g339 +V: +tp7655 +a(g339 +V= +tp7656 +a(g185 +V +tp7657 +a(g18 +VRes +p7658 +tp7659 +a(g185 +V +tp7660 +a(g339 +V+ +tp7661 +a(g185 +V +tp7662 +a(g222 +V' +tp7663 +a(g222 +V\u005c +tp7664 +a(g222 +V' +tp7665 +a(g339 +V; +tp7666 +a(g185 +V\u000a +p7667 +tp7668 +a(g57 +VFreeMem +p7669 +tp7670 +a(g198 +V( +tp7671 +a(g18 +VRes +p7672 +tp7673 +a(g339 +V, +tp7674 +a(g185 +V +tp7675 +a(g18 +VMAX_PATH +p7676 +tp7677 +a(g198 +V) +tp7678 +a(g339 +V; +tp7679 +a(g185 +V\u000a +tp7680 +a(g111 +Vend +p7681 +tp7682 +a(g339 +V; +tp7683 +a(g185 +V\u000a\u000a +p7684 +tp7685 +a(g111 +Vfunction +p7686 +tp7687 +a(g185 +V +tp7688 +a(g21 +VUserName +p7689 +tp7690 +a(g339 +V: +tp7691 +a(g185 +V +tp7692 +a(g111 +Vstring +p7693 +tp7694 +a(g339 +V; +tp7695 +a(g185 +V\u000a +tp7696 +a(g111 +Vvar +p7697 +tp7698 +a(g185 +V\u000a +p7699 +tp7700 +a(g18 +VLen +p7701 +tp7702 +a(g339 +V: +tp7703 +a(g185 +V +tp7704 +a(g135 +VCardinal +p7705 +tp7706 +a(g339 +V; +tp7707 +a(g185 +V\u000a +p7708 +tp7709 +a(g18 +VRes +p7710 +tp7711 +a(g339 +V: +tp7712 +a(g185 +V +tp7713 +a(g135 +VPChar +p7714 +tp7715 +a(g339 +V; +tp7716 +a(g185 +V\u000a +tp7717 +a(g111 +Vbegin +p7718 +tp7719 +a(g185 +V\u000a +p7720 +tp7721 +a(g60 +VResult +p7722 +tp7723 +a(g185 +V +tp7724 +a(g339 +V: +tp7725 +a(g339 +V= +tp7726 +a(g185 +V +tp7727 +a(g222 +V' +tp7728 +a(g222 +V' +tp7729 +a(g339 +V; +tp7730 +a(g185 +V\u000a +p7731 +tp7732 +a(g57 +VGetMem +p7733 +tp7734 +a(g198 +V( +tp7735 +a(g18 +VRes +p7736 +tp7737 +a(g339 +V, +tp7738 +a(g185 +V +tp7739 +a(g18 +VMAX_PATH +p7740 +tp7741 +a(g198 +V) +tp7742 +a(g339 +V; +tp7743 +a(g185 +V\u000a +p7744 +tp7745 +a(g18 +VLen +p7746 +tp7747 +a(g185 +V +tp7748 +a(g339 +V: +tp7749 +a(g339 +V= +tp7750 +a(g185 +V +tp7751 +a(g18 +VMAX_PATH +p7752 +tp7753 +a(g339 +V; +tp7754 +a(g185 +V\u000a +p7755 +tp7756 +a(g18 +VGetUserName +p7757 +tp7758 +a(g198 +V( +tp7759 +a(g18 +VRes +p7760 +tp7761 +a(g339 +V, +tp7762 +a(g185 +V +tp7763 +a(g18 +VLen +p7764 +tp7765 +a(g198 +V) +tp7766 +a(g339 +V; +tp7767 +a(g185 +V\u000a +p7768 +tp7769 +a(g60 +VResult +p7770 +tp7771 +a(g185 +V +tp7772 +a(g339 +V: +tp7773 +a(g339 +V= +tp7774 +a(g185 +V +tp7775 +a(g18 +VRes +p7776 +tp7777 +a(g339 +V; +tp7778 +a(g185 +V\u000a +p7779 +tp7780 +a(g57 +VFreeMem +p7781 +tp7782 +a(g198 +V( +tp7783 +a(g18 +VRes +p7784 +tp7785 +a(g339 +V, +tp7786 +a(g185 +V +tp7787 +a(g18 +VMAX_PATH +p7788 +tp7789 +a(g198 +V) +tp7790 +a(g339 +V; +tp7791 +a(g185 +V\u000a +tp7792 +a(g111 +Vend +p7793 +tp7794 +a(g339 +V; +tp7795 +a(g185 +V\u000a\u000a +p7796 +tp7797 +a(g111 +Vfunction +p7798 +tp7799 +a(g185 +V +tp7800 +a(g21 +VFirstChar +p7801 +tp7802 +a(g198 +V( +tp7803 +a(g111 +Vconst +p7804 +tp7805 +a(g185 +V +tp7806 +a(g18 +VS +tp7807 +a(g339 +V: +tp7808 +a(g185 +V +tp7809 +a(g111 +Vstring +p7810 +tp7811 +a(g198 +V) +tp7812 +a(g339 +V: +tp7813 +a(g185 +V +tp7814 +a(g135 +VChar +p7815 +tp7816 +a(g339 +V; +tp7817 +a(g185 +V\u000a +tp7818 +a(g111 +Vbegin +p7819 +tp7820 +a(g185 +V\u000a +p7821 +tp7822 +a(g111 +Vif +p7823 +tp7824 +a(g185 +V +tp7825 +a(g18 +Vs +tp7826 +a(g185 +V +tp7827 +a(g339 +V= +tp7828 +a(g185 +V +tp7829 +a(g222 +V' +tp7830 +a(g222 +V' +tp7831 +a(g185 +V +tp7832 +a(g111 +Vthen +p7833 +tp7834 +a(g185 +V\u000a +p7835 +tp7836 +a(g60 +VResult +p7837 +tp7838 +a(g185 +V +tp7839 +a(g339 +V: +tp7840 +a(g339 +V= +tp7841 +a(g185 +V +tp7842 +a(g264 +V#0 +p7843 +tp7844 +a(g185 +V\u000a +p7845 +tp7846 +a(g111 +Velse +p7847 +tp7848 +a(g185 +V\u000a +p7849 +tp7850 +a(g60 +VResult +p7851 +tp7852 +a(g185 +V +tp7853 +a(g339 +V: +tp7854 +a(g339 +V= +tp7855 +a(g185 +V +tp7856 +a(g18 +Vs +tp7857 +a(g198 +V[ +tp7858 +a(g314 +V1 +tp7859 +a(g198 +V] +tp7860 +a(g339 +V; +tp7861 +a(g185 +V\u000a +tp7862 +a(g111 +Vend +p7863 +tp7864 +a(g339 +V; +tp7865 +a(g185 +V\u000a\u000a +p7866 +tp7867 +a(g111 +Vfunction +p7868 +tp7869 +a(g185 +V +tp7870 +a(g21 +VLastChar +p7871 +tp7872 +a(g198 +V( +tp7873 +a(g111 +Vconst +p7874 +tp7875 +a(g185 +V +tp7876 +a(g18 +VS +tp7877 +a(g339 +V: +tp7878 +a(g185 +V +tp7879 +a(g111 +Vstring +p7880 +tp7881 +a(g198 +V) +tp7882 +a(g339 +V: +tp7883 +a(g185 +V +tp7884 +a(g135 +VChar +p7885 +tp7886 +a(g339 +V; +tp7887 +a(g185 +V\u000a +tp7888 +a(g111 +Vbegin +p7889 +tp7890 +a(g185 +V\u000a +p7891 +tp7892 +a(g111 +Vif +p7893 +tp7894 +a(g185 +V +tp7895 +a(g18 +Vs +tp7896 +a(g185 +V +tp7897 +a(g339 +V= +tp7898 +a(g185 +V +tp7899 +a(g222 +V' +tp7900 +a(g222 +V' +tp7901 +a(g185 +V +tp7902 +a(g111 +Vthen +p7903 +tp7904 +a(g185 +V\u000a +p7905 +tp7906 +a(g60 +VResult +p7907 +tp7908 +a(g185 +V +tp7909 +a(g339 +V: +tp7910 +a(g339 +V= +tp7911 +a(g185 +V +tp7912 +a(g264 +V#0 +p7913 +tp7914 +a(g185 +V\u000a +p7915 +tp7916 +a(g111 +Velse +p7917 +tp7918 +a(g185 +V\u000a +p7919 +tp7920 +a(g60 +VResult +p7921 +tp7922 +a(g185 +V +tp7923 +a(g339 +V: +tp7924 +a(g339 +V= +tp7925 +a(g185 +V +tp7926 +a(g18 +Vs +tp7927 +a(g198 +V[ +tp7928 +a(g57 +VLength +p7929 +tp7930 +a(g198 +V( +tp7931 +a(g18 +Vs +tp7932 +a(g198 +V)] +p7933 +tp7934 +a(g339 +V; +tp7935 +a(g185 +V\u000a +tp7936 +a(g111 +Vend +p7937 +tp7938 +a(g339 +V; +tp7939 +a(g185 +V\u000a\u000a +p7940 +tp7941 +a(g111 +Vfunction +p7942 +tp7943 +a(g185 +V +tp7944 +a(g21 +VCharPos +p7945 +tp7946 +a(g198 +V( +tp7947 +a(g18 +VC +tp7948 +a(g339 +V: +tp7949 +a(g185 +V +tp7950 +a(g135 +VChar +p7951 +tp7952 +a(g339 +V; +tp7953 +a(g185 +V +tp7954 +a(g111 +Vconst +p7955 +tp7956 +a(g185 +V +tp7957 +a(g18 +VS +tp7958 +a(g339 +V: +tp7959 +a(g185 +V +tp7960 +a(g111 +Vstring +p7961 +tp7962 +a(g339 +V; +tp7963 +a(g185 +V +tp7964 +a(g18 +VOffset +p7965 +tp7966 +a(g339 +V: +tp7967 +a(g185 +V +tp7968 +a(g135 +VInteger +p7969 +tp7970 +a(g185 +V +tp7971 +a(g339 +V= +tp7972 +a(g185 +V +tp7973 +a(g314 +V1 +tp7974 +a(g198 +V) +tp7975 +a(g339 +V: +tp7976 +a(g185 +V +tp7977 +a(g135 +VInteger +p7978 +tp7979 +a(g339 +V; +tp7980 +a(g185 +V\u000a +tp7981 +a(g111 +Vvar +p7982 +tp7983 +a(g185 +V\u000a +p7984 +tp7985 +a(g18 +VMaxPosToSearch +p7986 +tp7987 +a(g339 +V: +tp7988 +a(g185 +V +tp7989 +a(g135 +VInteger +p7990 +tp7991 +a(g339 +V; +tp7992 +a(g185 +V\u000a +tp7993 +a(g111 +Vbegin +p7994 +tp7995 +a(g185 +V\u000a +p7996 +tp7997 +a(g60 +VResult +p7998 +tp7999 +a(g185 +V +tp8000 +a(g339 +V: +tp8001 +a(g339 +V= +tp8002 +a(g185 +V +tp8003 +a(g18 +VOffset +p8004 +tp8005 +a(g339 +V; +tp8006 +a(g185 +V\u000a +p8007 +tp8008 +a(g18 +VMaxPosToSearch +p8009 +tp8010 +a(g185 +V +tp8011 +a(g339 +V: +tp8012 +a(g339 +V= +tp8013 +a(g185 +V +tp8014 +a(g57 +VLength +p8015 +tp8016 +a(g198 +V( +tp8017 +a(g18 +VS +tp8018 +a(g198 +V) +tp8019 +a(g339 +V; +tp8020 +a(g185 +V\u000a\u000a +p8021 +tp8022 +a(g111 +Vwhile +p8023 +tp8024 +a(g185 +V +tp8025 +a(g60 +VResult +p8026 +tp8027 +a(g185 +V +tp8028 +a(g339 +V< +tp8029 +a(g339 +V= +tp8030 +a(g185 +V +tp8031 +a(g18 +VMaxPosToSearch +p8032 +tp8033 +a(g185 +V +tp8034 +a(g111 +Vdo +p8035 +tp8036 +a(g185 +V +tp8037 +a(g111 +Vbegin +p8038 +tp8039 +a(g185 +V\u000a +p8040 +tp8041 +a(g111 +Vif +p8042 +tp8043 +a(g185 +V +tp8044 +a(g18 +VS +tp8045 +a(g198 +V[ +tp8046 +a(g60 +VResult +p8047 +tp8048 +a(g198 +V] +tp8049 +a(g185 +V +tp8050 +a(g339 +V= +tp8051 +a(g185 +V +tp8052 +a(g18 +VC +tp8053 +a(g185 +V +tp8054 +a(g111 +Vthen +p8055 +tp8056 +a(g185 +V\u000a +p8057 +tp8058 +a(g111 +VExit +p8059 +tp8060 +a(g339 +V; +tp8061 +a(g185 +V\u000a +p8062 +tp8063 +a(g57 +VInc +p8064 +tp8065 +a(g198 +V( +tp8066 +a(g60 +VResult +p8067 +tp8068 +a(g198 +V) +tp8069 +a(g339 +V; +tp8070 +a(g185 +V\u000a +p8071 +tp8072 +a(g111 +Vend +p8073 +tp8074 +a(g339 +V; +tp8075 +a(g185 +V\u000a\u000a +p8076 +tp8077 +a(g60 +VResult +p8078 +tp8079 +a(g185 +V +tp8080 +a(g339 +V: +tp8081 +a(g339 +V= +tp8082 +a(g185 +V +tp8083 +a(g314 +V0 +tp8084 +a(g339 +V; +tp8085 +a(g185 +V\u000a +tp8086 +a(g111 +Vend +p8087 +tp8088 +a(g339 +V; +tp8089 +a(g185 +V\u000a\u000a +p8090 +tp8091 +a(g111 +Vfunction +p8092 +tp8093 +a(g185 +V +tp8094 +a(g21 +VCharPos +p8095 +tp8096 +a(g198 +V( +tp8097 +a(g18 +VC +tp8098 +a(g339 +V: +tp8099 +a(g185 +V +tp8100 +a(g18 +VTCharSet +p8101 +tp8102 +a(g339 +V; +tp8103 +a(g185 +V +tp8104 +a(g111 +Vconst +p8105 +tp8106 +a(g185 +V +tp8107 +a(g18 +VS +tp8108 +a(g339 +V: +tp8109 +a(g185 +V +tp8110 +a(g111 +Vstring +p8111 +tp8112 +a(g339 +V; +tp8113 +a(g185 +V +tp8114 +a(g18 +VOffset +p8115 +tp8116 +a(g339 +V: +tp8117 +a(g185 +V +tp8118 +a(g135 +VInteger +p8119 +tp8120 +a(g185 +V +tp8121 +a(g339 +V= +tp8122 +a(g185 +V +tp8123 +a(g314 +V1 +tp8124 +a(g198 +V) +tp8125 +a(g339 +V: +tp8126 +a(g185 +V +tp8127 +a(g135 +VInteger +p8128 +tp8129 +a(g339 +V; +tp8130 +a(g185 +V\u000a +tp8131 +a(g111 +Vvar +p8132 +tp8133 +a(g185 +V\u000a +p8134 +tp8135 +a(g18 +VMaxPosToSearch +p8136 +tp8137 +a(g339 +V: +tp8138 +a(g185 +V +tp8139 +a(g135 +VInteger +p8140 +tp8141 +a(g339 +V; +tp8142 +a(g185 +V\u000a +tp8143 +a(g111 +Vbegin +p8144 +tp8145 +a(g185 +V\u000a +p8146 +tp8147 +a(g60 +VResult +p8148 +tp8149 +a(g185 +V +tp8150 +a(g339 +V: +tp8151 +a(g339 +V= +tp8152 +a(g185 +V +tp8153 +a(g18 +VOffset +p8154 +tp8155 +a(g339 +V; +tp8156 +a(g185 +V\u000a +p8157 +tp8158 +a(g18 +VMaxPosToSearch +p8159 +tp8160 +a(g185 +V +tp8161 +a(g339 +V: +tp8162 +a(g339 +V= +tp8163 +a(g185 +V +tp8164 +a(g57 +VLength +p8165 +tp8166 +a(g198 +V( +tp8167 +a(g18 +VS +tp8168 +a(g198 +V) +tp8169 +a(g339 +V; +tp8170 +a(g185 +V\u000a\u000a +p8171 +tp8172 +a(g111 +Vwhile +p8173 +tp8174 +a(g185 +V +tp8175 +a(g60 +VResult +p8176 +tp8177 +a(g185 +V +tp8178 +a(g339 +V< +tp8179 +a(g339 +V= +tp8180 +a(g185 +V +tp8181 +a(g18 +VMaxPosToSearch +p8182 +tp8183 +a(g185 +V +tp8184 +a(g111 +Vdo +p8185 +tp8186 +a(g185 +V +tp8187 +a(g111 +Vbegin +p8188 +tp8189 +a(g185 +V\u000a +p8190 +tp8191 +a(g111 +Vif +p8192 +tp8193 +a(g185 +V +tp8194 +a(g18 +VS +tp8195 +a(g198 +V[ +tp8196 +a(g60 +VResult +p8197 +tp8198 +a(g198 +V] +tp8199 +a(g185 +V +tp8200 +a(g111 +Vin +p8201 +tp8202 +a(g185 +V +tp8203 +a(g18 +VC +tp8204 +a(g185 +V +tp8205 +a(g111 +Vthen +p8206 +tp8207 +a(g185 +V\u000a +p8208 +tp8209 +a(g111 +VExit +p8210 +tp8211 +a(g339 +V; +tp8212 +a(g185 +V\u000a +p8213 +tp8214 +a(g57 +VInc +p8215 +tp8216 +a(g198 +V( +tp8217 +a(g60 +VResult +p8218 +tp8219 +a(g198 +V) +tp8220 +a(g339 +V; +tp8221 +a(g185 +V\u000a +p8222 +tp8223 +a(g111 +Vend +p8224 +tp8225 +a(g339 +V; +tp8226 +a(g185 +V\u000a\u000a +p8227 +tp8228 +a(g60 +VResult +p8229 +tp8230 +a(g185 +V +tp8231 +a(g339 +V: +tp8232 +a(g339 +V= +tp8233 +a(g185 +V +tp8234 +a(g314 +V0 +tp8235 +a(g339 +V; +tp8236 +a(g185 +V\u000a +tp8237 +a(g111 +Vend +p8238 +tp8239 +a(g339 +V; +tp8240 +a(g185 +V\u000a\u000a +p8241 +tp8242 +a(g111 +Vfunction +p8243 +tp8244 +a(g185 +V +tp8245 +a(g21 +VCharPosR +p8246 +tp8247 +a(g198 +V( +tp8248 +a(g18 +VC +tp8249 +a(g339 +V: +tp8250 +a(g185 +V +tp8251 +a(g135 +VChar +p8252 +tp8253 +a(g339 +V; +tp8254 +a(g185 +V +tp8255 +a(g111 +Vconst +p8256 +tp8257 +a(g185 +V +tp8258 +a(g18 +VS +tp8259 +a(g339 +V: +tp8260 +a(g185 +V +tp8261 +a(g111 +Vstring +p8262 +tp8263 +a(g339 +V; +tp8264 +a(g185 +V +tp8265 +a(g18 +VOffset +p8266 +tp8267 +a(g339 +V: +tp8268 +a(g185 +V +tp8269 +a(g135 +VInteger +p8270 +tp8271 +a(g185 +V +tp8272 +a(g339 +V= +tp8273 +a(g185 +V +tp8274 +a(g339 +V- +tp8275 +a(g314 +V1 +tp8276 +a(g198 +V) +tp8277 +a(g339 +V: +tp8278 +a(g185 +V +tp8279 +a(g135 +VInteger +p8280 +tp8281 +a(g339 +V; +tp8282 +a(g185 +V\u000a +tp8283 +a(g111 +Vbegin +p8284 +tp8285 +a(g185 +V\u000a +p8286 +tp8287 +a(g111 +Vif +p8288 +tp8289 +a(g185 +V +tp8290 +a(g18 +VOffset +p8291 +tp8292 +a(g185 +V +tp8293 +a(g339 +V< +tp8294 +a(g185 +V +tp8295 +a(g314 +V0 +tp8296 +a(g185 +V +tp8297 +a(g111 +Vthen +p8298 +tp8299 +a(g185 +V\u000a +p8300 +tp8301 +a(g60 +VResult +p8302 +tp8303 +a(g185 +V +tp8304 +a(g339 +V: +tp8305 +a(g339 +V= +tp8306 +a(g185 +V +tp8307 +a(g57 +VLength +p8308 +tp8309 +a(g198 +V( +tp8310 +a(g18 +VS +tp8311 +a(g198 +V) +tp8312 +a(g185 +V +tp8313 +a(g339 +V+ +tp8314 +a(g185 +V +tp8315 +a(g314 +V1 +tp8316 +a(g185 +V +tp8317 +a(g339 +V- +tp8318 +a(g185 +V +tp8319 +a(g18 +VOffset +p8320 +tp8321 +a(g185 +V\u000a +p8322 +tp8323 +a(g111 +Velse +p8324 +tp8325 +a(g185 +V\u000a +p8326 +tp8327 +a(g60 +VResult +p8328 +tp8329 +a(g185 +V +tp8330 +a(g339 +V: +tp8331 +a(g339 +V= +tp8332 +a(g185 +V +tp8333 +a(g18 +VOffset +p8334 +tp8335 +a(g339 +V; +tp8336 +a(g185 +V\u000a +p8337 +tp8338 +a(g111 +Vif +p8339 +tp8340 +a(g185 +V +tp8341 +a(g60 +VResult +p8342 +tp8343 +a(g185 +V +tp8344 +a(g339 +V> +tp8345 +a(g185 +V +tp8346 +a(g57 +VLength +p8347 +tp8348 +a(g198 +V( +tp8349 +a(g18 +VS +tp8350 +a(g198 +V) +tp8351 +a(g185 +V +tp8352 +a(g111 +Vthen +p8353 +tp8354 +a(g185 +V\u000a +p8355 +tp8356 +a(g60 +VResult +p8357 +tp8358 +a(g185 +V +tp8359 +a(g339 +V: +tp8360 +a(g339 +V= +tp8361 +a(g185 +V +tp8362 +a(g57 +VLength +p8363 +tp8364 +a(g198 +V( +tp8365 +a(g18 +VS +tp8366 +a(g198 +V) +tp8367 +a(g339 +V; +tp8368 +a(g185 +V\u000a\u000a +p8369 +tp8370 +a(g111 +Vwhile +p8371 +tp8372 +a(g185 +V +tp8373 +a(g60 +VResult +p8374 +tp8375 +a(g185 +V +tp8376 +a(g339 +V> +tp8377 +a(g185 +V +tp8378 +a(g314 +V0 +tp8379 +a(g185 +V +tp8380 +a(g111 +Vdo +p8381 +tp8382 +a(g185 +V +tp8383 +a(g111 +Vbegin +p8384 +tp8385 +a(g185 +V\u000a +p8386 +tp8387 +a(g111 +Vif +p8388 +tp8389 +a(g185 +V +tp8390 +a(g18 +VS +tp8391 +a(g198 +V[ +tp8392 +a(g60 +VResult +p8393 +tp8394 +a(g198 +V] +tp8395 +a(g185 +V +tp8396 +a(g339 +V= +tp8397 +a(g185 +V +tp8398 +a(g18 +VC +tp8399 +a(g185 +V +tp8400 +a(g111 +Vthen +p8401 +tp8402 +a(g185 +V\u000a +p8403 +tp8404 +a(g111 +VExit +p8405 +tp8406 +a(g339 +V; +tp8407 +a(g185 +V\u000a +p8408 +tp8409 +a(g57 +VDec +p8410 +tp8411 +a(g198 +V( +tp8412 +a(g60 +VResult +p8413 +tp8414 +a(g198 +V) +tp8415 +a(g339 +V; +tp8416 +a(g185 +V\u000a +p8417 +tp8418 +a(g111 +Vend +p8419 +tp8420 +a(g339 +V; +tp8421 +a(g185 +V\u000a +tp8422 +a(g111 +Vend +p8423 +tp8424 +a(g339 +V; +tp8425 +a(g185 +V\u000a\u000a +p8426 +tp8427 +a(g111 +Vfunction +p8428 +tp8429 +a(g185 +V +tp8430 +a(g21 +VPosEx +p8431 +tp8432 +a(g198 +V( +tp8433 +a(g111 +Vconst +p8434 +tp8435 +a(g185 +V +tp8436 +a(g18 +VSubStr +p8437 +tp8438 +a(g339 +V, +tp8439 +a(g185 +V +tp8440 +a(g18 +VS +tp8441 +a(g339 +V: +tp8442 +a(g185 +V +tp8443 +a(g111 +Vstring +p8444 +tp8445 +a(g339 +V; +tp8446 +a(g185 +V +tp8447 +a(g18 +VOffset +p8448 +tp8449 +a(g339 +V: +tp8450 +a(g185 +V +tp8451 +a(g135 +VInteger +p8452 +tp8453 +a(g185 +V +tp8454 +a(g339 +V= +tp8455 +a(g185 +V +tp8456 +a(g314 +V1 +tp8457 +a(g198 +V) +tp8458 +a(g339 +V: +tp8459 +a(g185 +V +tp8460 +a(g135 +VInteger +p8461 +tp8462 +a(g339 +V; +tp8463 +a(g185 +V\u000a +tp8464 +a(g111 +Vvar +p8465 +tp8466 +a(g185 +V\u000a +p8467 +tp8468 +a(g18 +VMaxPosToSearch +p8469 +tp8470 +a(g339 +V, +tp8471 +a(g185 +V +tp8472 +a(g18 +VLenSubStr +p8473 +tp8474 +a(g339 +V, +tp8475 +a(g185 +V +tp8476 +a(g18 +Vi +tp8477 +a(g339 +V: +tp8478 +a(g185 +V +tp8479 +a(g135 +VInteger +p8480 +tp8481 +a(g339 +V; +tp8482 +a(g185 +V\u000a +tp8483 +a(g111 +Vbegin +p8484 +tp8485 +a(g185 +V\u000a +p8486 +tp8487 +a(g111 +Vif +p8488 +tp8489 +a(g185 +V +tp8490 +a(g18 +VSubStr +p8491 +tp8492 +a(g185 +V +tp8493 +a(g339 +V= +tp8494 +a(g185 +V +tp8495 +a(g222 +V' +tp8496 +a(g222 +V' +tp8497 +a(g185 +V +tp8498 +a(g111 +Vthen +p8499 +tp8500 +a(g185 +V +tp8501 +a(g111 +Vbegin +p8502 +tp8503 +a(g185 +V\u000a +p8504 +tp8505 +a(g60 +VResult +p8506 +tp8507 +a(g185 +V +tp8508 +a(g339 +V: +tp8509 +a(g339 +V= +tp8510 +a(g185 +V +tp8511 +a(g314 +V0 +tp8512 +a(g339 +V; +tp8513 +a(g185 +V\u000a +p8514 +tp8515 +a(g111 +VExit +p8516 +tp8517 +a(g339 +V; +tp8518 +a(g185 +V +tp8519 +a(g111 +Vend +p8520 +tp8521 +a(g339 +V; +tp8522 +a(g185 +V\u000a\u000a +p8523 +tp8524 +a(g111 +Vif +p8525 +tp8526 +a(g185 +V +tp8527 +a(g18 +VOffset +p8528 +tp8529 +a(g185 +V +tp8530 +a(g339 +V< +tp8531 +a(g185 +V +tp8532 +a(g314 +V1 +tp8533 +a(g185 +V +tp8534 +a(g111 +Vthen +p8535 +tp8536 +a(g185 +V\u000a +p8537 +tp8538 +a(g60 +VResult +p8539 +tp8540 +a(g185 +V +tp8541 +a(g339 +V: +tp8542 +a(g339 +V= +tp8543 +a(g185 +V +tp8544 +a(g314 +V1 +tp8545 +a(g185 +V\u000a +p8546 +tp8547 +a(g111 +Velse +p8548 +tp8549 +a(g185 +V\u000a +p8550 +tp8551 +a(g60 +VResult +p8552 +tp8553 +a(g185 +V +tp8554 +a(g339 +V: +tp8555 +a(g339 +V= +tp8556 +a(g185 +V +tp8557 +a(g18 +VOffset +p8558 +tp8559 +a(g339 +V; +tp8560 +a(g185 +V\u000a\u000a +p8561 +tp8562 +a(g18 +VLenSubStr +p8563 +tp8564 +a(g185 +V +tp8565 +a(g339 +V: +tp8566 +a(g339 +V= +tp8567 +a(g185 +V +tp8568 +a(g57 +VLength +p8569 +tp8570 +a(g198 +V( +tp8571 +a(g18 +VSubStr +p8572 +tp8573 +a(g198 +V) +tp8574 +a(g339 +V; +tp8575 +a(g185 +V\u000a +p8576 +tp8577 +a(g18 +VMaxPosToSearch +p8578 +tp8579 +a(g185 +V +tp8580 +a(g339 +V: +tp8581 +a(g339 +V= +tp8582 +a(g185 +V +tp8583 +a(g57 +VLength +p8584 +tp8585 +a(g198 +V( +tp8586 +a(g18 +VS +tp8587 +a(g198 +V) +tp8588 +a(g185 +V +tp8589 +a(g339 +V- +tp8590 +a(g185 +V +tp8591 +a(g18 +VLenSubStr +p8592 +tp8593 +a(g185 +V +tp8594 +a(g339 +V+ +tp8595 +a(g185 +V +tp8596 +a(g314 +V1 +tp8597 +a(g339 +V; +tp8598 +a(g185 +V\u000a\u000a +p8599 +tp8600 +a(g111 +Vwhile +p8601 +tp8602 +a(g185 +V +tp8603 +a(g60 +VResult +p8604 +tp8605 +a(g185 +V +tp8606 +a(g339 +V< +tp8607 +a(g339 +V= +tp8608 +a(g185 +V +tp8609 +a(g18 +VMaxPosToSearch +p8610 +tp8611 +a(g185 +V +tp8612 +a(g111 +Vdo +p8613 +tp8614 +a(g185 +V +tp8615 +a(g111 +Vbegin +p8616 +tp8617 +a(g185 +V\u000a +p8618 +tp8619 +a(g111 +Vif +p8620 +tp8621 +a(g185 +V +tp8622 +a(g18 +VS +tp8623 +a(g198 +V[ +tp8624 +a(g60 +VResult +p8625 +tp8626 +a(g198 +V] +tp8627 +a(g185 +V +tp8628 +a(g339 +V= +tp8629 +a(g185 +V +tp8630 +a(g18 +VSubStr +p8631 +tp8632 +a(g198 +V[ +tp8633 +a(g314 +V1 +tp8634 +a(g198 +V] +tp8635 +a(g185 +V +tp8636 +a(g111 +Vthen +p8637 +tp8638 +a(g185 +V +tp8639 +a(g111 +Vbegin +p8640 +tp8641 +a(g185 +V\u000a +p8642 +tp8643 +a(g18 +Vi +tp8644 +a(g185 +V +tp8645 +a(g339 +V: +tp8646 +a(g339 +V= +tp8647 +a(g185 +V +tp8648 +a(g314 +V1 +tp8649 +a(g339 +V; +tp8650 +a(g185 +V\u000a\u000a +p8651 +tp8652 +a(g111 +Vwhile +p8653 +tp8654 +a(g185 +V +tp8655 +a(g198 +V( +tp8656 +a(g18 +Vi +tp8657 +a(g185 +V +tp8658 +a(g339 +V< +tp8659 +a(g185 +V +tp8660 +a(g18 +VLenSubStr +p8661 +tp8662 +a(g198 +V) +tp8663 +a(g185 +V\u000a +p8664 +tp8665 +a(g111 +Vand +p8666 +tp8667 +a(g185 +V +tp8668 +a(g198 +V( +tp8669 +a(g18 +VS +tp8670 +a(g198 +V[ +tp8671 +a(g60 +VResult +p8672 +tp8673 +a(g185 +V +tp8674 +a(g339 +V+ +tp8675 +a(g185 +V +tp8676 +a(g18 +Vi +tp8677 +a(g198 +V] +tp8678 +a(g185 +V +tp8679 +a(g339 +V= +tp8680 +a(g185 +V +tp8681 +a(g18 +VSubStr +p8682 +tp8683 +a(g198 +V[ +tp8684 +a(g18 +Vi +tp8685 +a(g185 +V +tp8686 +a(g339 +V+ +tp8687 +a(g185 +V +tp8688 +a(g314 +V1 +tp8689 +a(g198 +V]) +p8690 +tp8691 +a(g185 +V +tp8692 +a(g111 +Vdo +p8693 +tp8694 +a(g185 +V\u000a +p8695 +tp8696 +a(g57 +VInc +p8697 +tp8698 +a(g198 +V( +tp8699 +a(g18 +Vi +tp8700 +a(g198 +V) +tp8701 +a(g339 +V; +tp8702 +a(g185 +V\u000a\u000a +p8703 +tp8704 +a(g111 +Vif +p8705 +tp8706 +a(g185 +V +tp8707 +a(g18 +Vi +tp8708 +a(g185 +V +tp8709 +a(g339 +V= +tp8710 +a(g185 +V +tp8711 +a(g18 +VLenSubStr +p8712 +tp8713 +a(g185 +V +tp8714 +a(g111 +Vthen +p8715 +tp8716 +a(g185 +V\u000a +p8717 +tp8718 +a(g111 +VExit +p8719 +tp8720 +a(g339 +V; +tp8721 +a(g185 +V\u000a +p8722 +tp8723 +a(g111 +Vend +p8724 +tp8725 +a(g339 +V; +tp8726 +a(g185 +V\u000a +p8727 +tp8728 +a(g57 +VInc +p8729 +tp8730 +a(g198 +V( +tp8731 +a(g60 +VResult +p8732 +tp8733 +a(g198 +V) +tp8734 +a(g339 +V; +tp8735 +a(g185 +V\u000a +p8736 +tp8737 +a(g111 +Vend +p8738 +tp8739 +a(g339 +V; +tp8740 +a(g185 +V\u000a\u000a +p8741 +tp8742 +a(g60 +VResult +p8743 +tp8744 +a(g185 +V +tp8745 +a(g339 +V: +tp8746 +a(g339 +V= +tp8747 +a(g185 +V +tp8748 +a(g314 +V0 +tp8749 +a(g339 +V; +tp8750 +a(g185 +V\u000a +tp8751 +a(g111 +Vend +p8752 +tp8753 +a(g339 +V; +tp8754 +a(g185 +V\u000a\u000a +p8755 +tp8756 +a(g111 +Vfunction +p8757 +tp8758 +a(g185 +V +tp8759 +a(g21 +VPosExText +p8760 +tp8761 +a(g198 +V( +tp8762 +a(g111 +Vconst +p8763 +tp8764 +a(g185 +V +tp8765 +a(g18 +VSubStr +p8766 +tp8767 +a(g339 +V, +tp8768 +a(g185 +V +tp8769 +a(g18 +VS +tp8770 +a(g339 +V: +tp8771 +a(g185 +V +tp8772 +a(g111 +Vstring +p8773 +tp8774 +a(g339 +V; +tp8775 +a(g185 +V +tp8776 +a(g18 +VOffset +p8777 +tp8778 +a(g339 +V: +tp8779 +a(g185 +V +tp8780 +a(g135 +VInteger +p8781 +tp8782 +a(g185 +V +tp8783 +a(g339 +V= +tp8784 +a(g185 +V +tp8785 +a(g314 +V1 +tp8786 +a(g198 +V) +tp8787 +a(g339 +V: +tp8788 +a(g185 +V +tp8789 +a(g135 +VInteger +p8790 +tp8791 +a(g339 +V; +tp8792 +a(g185 +V\u000a +tp8793 +a(g111 +Vvar +p8794 +tp8795 +a(g185 +V\u000a +p8796 +tp8797 +a(g18 +VMaxPosToSearch +p8798 +tp8799 +a(g339 +V, +tp8800 +a(g185 +V +tp8801 +a(g18 +VLenSubStr +p8802 +tp8803 +a(g339 +V, +tp8804 +a(g185 +V +tp8805 +a(g18 +Vi +tp8806 +a(g339 +V: +tp8807 +a(g185 +V +tp8808 +a(g135 +VInteger +p8809 +tp8810 +a(g339 +V; +tp8811 +a(g185 +V\u000a\u000a +p8812 +tp8813 +a(g111 +Vfunction +p8814 +tp8815 +a(g185 +V +tp8816 +a(g21 +VSameChar +p8817 +tp8818 +a(g198 +V( +tp8819 +a(g18 +Va +tp8820 +a(g339 +V, +tp8821 +a(g185 +V +tp8822 +a(g18 +Vb +tp8823 +a(g339 +V: +tp8824 +a(g185 +V +tp8825 +a(g135 +VChar +p8826 +tp8827 +a(g198 +V) +tp8828 +a(g339 +V: +tp8829 +a(g185 +V +tp8830 +a(g135 +VBoolean +p8831 +tp8832 +a(g339 +V; +tp8833 +a(g185 +V\u000a +p8834 +tp8835 +a(g111 +Vbegin +p8836 +tp8837 +a(g185 +V\u000a +p8838 +tp8839 +a(g60 +VResult +p8840 +tp8841 +a(g185 +V +tp8842 +a(g339 +V: +tp8843 +a(g339 +V= +tp8844 +a(g185 +V +tp8845 +a(g57 +VUpCase +p8846 +tp8847 +a(g198 +V( +tp8848 +a(g18 +Va +tp8849 +a(g198 +V) +tp8850 +a(g185 +V +tp8851 +a(g339 +V= +tp8852 +a(g185 +V +tp8853 +a(g57 +VUpCase +p8854 +tp8855 +a(g198 +V( +tp8856 +a(g18 +Vb +tp8857 +a(g198 +V) +tp8858 +a(g185 +V\u000a +p8859 +tp8860 +a(g111 +Vend +p8861 +tp8862 +a(g339 +V; +tp8863 +a(g185 +V\u000a\u000a +p8864 +tp8865 +a(g111 +Vbegin +p8866 +tp8867 +a(g185 +V\u000a +p8868 +tp8869 +a(g111 +Vif +p8870 +tp8871 +a(g185 +V +tp8872 +a(g18 +VSubStr +p8873 +tp8874 +a(g185 +V +tp8875 +a(g339 +V= +tp8876 +a(g185 +V +tp8877 +a(g222 +V' +tp8878 +a(g222 +V' +tp8879 +a(g185 +V +tp8880 +a(g111 +Vthen +p8881 +tp8882 +a(g185 +V +tp8883 +a(g111 +Vbegin +p8884 +tp8885 +a(g185 +V\u000a +p8886 +tp8887 +a(g60 +VResult +p8888 +tp8889 +a(g185 +V +tp8890 +a(g339 +V: +tp8891 +a(g339 +V= +tp8892 +a(g185 +V +tp8893 +a(g314 +V0 +tp8894 +a(g339 +V; +tp8895 +a(g185 +V\u000a +p8896 +tp8897 +a(g111 +VExit +p8898 +tp8899 +a(g339 +V; +tp8900 +a(g185 +V +tp8901 +a(g111 +Vend +p8902 +tp8903 +a(g339 +V; +tp8904 +a(g185 +V\u000a\u000a +p8905 +tp8906 +a(g111 +Vif +p8907 +tp8908 +a(g185 +V +tp8909 +a(g18 +VOffset +p8910 +tp8911 +a(g185 +V +tp8912 +a(g339 +V< +tp8913 +a(g185 +V +tp8914 +a(g314 +V1 +tp8915 +a(g185 +V +tp8916 +a(g111 +Vthen +p8917 +tp8918 +a(g185 +V\u000a +p8919 +tp8920 +a(g60 +VResult +p8921 +tp8922 +a(g185 +V +tp8923 +a(g339 +V: +tp8924 +a(g339 +V= +tp8925 +a(g185 +V +tp8926 +a(g314 +V1 +tp8927 +a(g185 +V\u000a +p8928 +tp8929 +a(g111 +Velse +p8930 +tp8931 +a(g185 +V\u000a +p8932 +tp8933 +a(g60 +VResult +p8934 +tp8935 +a(g185 +V +tp8936 +a(g339 +V: +tp8937 +a(g339 +V= +tp8938 +a(g185 +V +tp8939 +a(g18 +VOffset +p8940 +tp8941 +a(g339 +V; +tp8942 +a(g185 +V\u000a\u000a +p8943 +tp8944 +a(g18 +VLenSubStr +p8945 +tp8946 +a(g185 +V +tp8947 +a(g339 +V: +tp8948 +a(g339 +V= +tp8949 +a(g185 +V +tp8950 +a(g57 +VLength +p8951 +tp8952 +a(g198 +V( +tp8953 +a(g18 +VSubStr +p8954 +tp8955 +a(g198 +V) +tp8956 +a(g339 +V; +tp8957 +a(g185 +V\u000a +p8958 +tp8959 +a(g18 +VMaxPosToSearch +p8960 +tp8961 +a(g185 +V +tp8962 +a(g339 +V: +tp8963 +a(g339 +V= +tp8964 +a(g185 +V +tp8965 +a(g57 +VLength +p8966 +tp8967 +a(g198 +V( +tp8968 +a(g18 +VS +tp8969 +a(g198 +V) +tp8970 +a(g185 +V +tp8971 +a(g339 +V- +tp8972 +a(g185 +V +tp8973 +a(g18 +VLenSubStr +p8974 +tp8975 +a(g185 +V +tp8976 +a(g339 +V+ +tp8977 +a(g185 +V +tp8978 +a(g314 +V1 +tp8979 +a(g339 +V; +tp8980 +a(g185 +V\u000a\u000a +p8981 +tp8982 +a(g111 +Vwhile +p8983 +tp8984 +a(g185 +V +tp8985 +a(g60 +VResult +p8986 +tp8987 +a(g185 +V +tp8988 +a(g339 +V< +tp8989 +a(g339 +V= +tp8990 +a(g185 +V +tp8991 +a(g18 +VMaxPosToSearch +p8992 +tp8993 +a(g185 +V +tp8994 +a(g111 +Vdo +p8995 +tp8996 +a(g185 +V +tp8997 +a(g111 +Vbegin +p8998 +tp8999 +a(g185 +V\u000a +p9000 +tp9001 +a(g111 +Vif +p9002 +tp9003 +a(g185 +V +tp9004 +a(g18 +VSameChar +p9005 +tp9006 +a(g198 +V( +tp9007 +a(g18 +VS +tp9008 +a(g198 +V[ +tp9009 +a(g60 +VResult +p9010 +tp9011 +a(g198 +V] +tp9012 +a(g339 +V, +tp9013 +a(g185 +V +tp9014 +a(g18 +VSubStr +p9015 +tp9016 +a(g198 +V[ +tp9017 +a(g314 +V1 +tp9018 +a(g198 +V]) +p9019 +tp9020 +a(g185 +V +tp9021 +a(g111 +Vthen +p9022 +tp9023 +a(g185 +V +tp9024 +a(g111 +Vbegin +p9025 +tp9026 +a(g185 +V\u000a +p9027 +tp9028 +a(g18 +Vi +tp9029 +a(g185 +V +tp9030 +a(g339 +V: +tp9031 +a(g339 +V= +tp9032 +a(g185 +V +tp9033 +a(g314 +V1 +tp9034 +a(g339 +V; +tp9035 +a(g185 +V\u000a\u000a +p9036 +tp9037 +a(g111 +Vwhile +p9038 +tp9039 +a(g185 +V +tp9040 +a(g198 +V( +tp9041 +a(g18 +Vi +tp9042 +a(g185 +V +tp9043 +a(g339 +V< +tp9044 +a(g185 +V +tp9045 +a(g18 +VLenSubStr +p9046 +tp9047 +a(g198 +V) +tp9048 +a(g185 +V\u000a +p9049 +tp9050 +a(g111 +Vand +p9051 +tp9052 +a(g185 +V +tp9053 +a(g198 +V( +tp9054 +a(g18 +VSameChar +p9055 +tp9056 +a(g198 +V( +tp9057 +a(g18 +VS +tp9058 +a(g198 +V[ +tp9059 +a(g60 +VResult +p9060 +tp9061 +a(g185 +V +tp9062 +a(g339 +V+ +tp9063 +a(g185 +V +tp9064 +a(g18 +Vi +tp9065 +a(g198 +V] +tp9066 +a(g339 +V, +tp9067 +a(g185 +V +tp9068 +a(g18 +VSubStr +p9069 +tp9070 +a(g198 +V[ +tp9071 +a(g18 +Vi +tp9072 +a(g185 +V +tp9073 +a(g339 +V+ +tp9074 +a(g185 +V +tp9075 +a(g314 +V1 +tp9076 +a(g198 +V])) +p9077 +tp9078 +a(g185 +V +tp9079 +a(g111 +Vdo +p9080 +tp9081 +a(g185 +V\u000a +p9082 +tp9083 +a(g57 +VInc +p9084 +tp9085 +a(g198 +V( +tp9086 +a(g18 +Vi +tp9087 +a(g198 +V) +tp9088 +a(g339 +V; +tp9089 +a(g185 +V\u000a\u000a +p9090 +tp9091 +a(g111 +Vif +p9092 +tp9093 +a(g185 +V +tp9094 +a(g18 +Vi +tp9095 +a(g185 +V +tp9096 +a(g339 +V= +tp9097 +a(g185 +V +tp9098 +a(g18 +VLenSubStr +p9099 +tp9100 +a(g185 +V +tp9101 +a(g111 +Vthen +p9102 +tp9103 +a(g185 +V\u000a +p9104 +tp9105 +a(g111 +VExit +p9106 +tp9107 +a(g339 +V; +tp9108 +a(g185 +V\u000a +p9109 +tp9110 +a(g111 +Vend +p9111 +tp9112 +a(g339 +V; +tp9113 +a(g185 +V\u000a +p9114 +tp9115 +a(g57 +VInc +p9116 +tp9117 +a(g198 +V( +tp9118 +a(g60 +VResult +p9119 +tp9120 +a(g198 +V) +tp9121 +a(g339 +V; +tp9122 +a(g185 +V\u000a +p9123 +tp9124 +a(g111 +Vend +p9125 +tp9126 +a(g339 +V; +tp9127 +a(g185 +V\u000a\u000a +p9128 +tp9129 +a(g60 +VResult +p9130 +tp9131 +a(g185 +V +tp9132 +a(g339 +V: +tp9133 +a(g339 +V= +tp9134 +a(g185 +V +tp9135 +a(g314 +V0 +tp9136 +a(g339 +V; +tp9137 +a(g185 +V\u000a +tp9138 +a(g111 +Vend +p9139 +tp9140 +a(g339 +V; +tp9141 +a(g185 +V\u000a\u000a +p9142 +tp9143 +a(g111 +Vfunction +p9144 +tp9145 +a(g185 +V +tp9146 +a(g21 +VPosExAnsiText +p9147 +tp9148 +a(g198 +V( +tp9149 +a(g111 +Vconst +p9150 +tp9151 +a(g185 +V +tp9152 +a(g18 +VSubStr +p9153 +tp9154 +a(g339 +V, +tp9155 +a(g185 +V +tp9156 +a(g18 +VS +tp9157 +a(g339 +V: +tp9158 +a(g185 +V +tp9159 +a(g111 +Vstring +p9160 +tp9161 +a(g339 +V; +tp9162 +a(g185 +V +tp9163 +a(g18 +VOffset +p9164 +tp9165 +a(g339 +V: +tp9166 +a(g185 +V +tp9167 +a(g135 +VInteger +p9168 +tp9169 +a(g185 +V +tp9170 +a(g339 +V= +tp9171 +a(g185 +V +tp9172 +a(g314 +V1 +tp9173 +a(g198 +V) +tp9174 +a(g339 +V: +tp9175 +a(g185 +V +tp9176 +a(g135 +VInteger +p9177 +tp9178 +a(g339 +V; +tp9179 +a(g185 +V\u000a +tp9180 +a(g111 +Vvar +p9181 +tp9182 +a(g185 +V\u000a +p9183 +tp9184 +a(g18 +VMaxPosToSearch +p9185 +tp9186 +a(g339 +V, +tp9187 +a(g185 +V +tp9188 +a(g18 +VLenSubStr +p9189 +tp9190 +a(g339 +V, +tp9191 +a(g185 +V +tp9192 +a(g18 +Vi +tp9193 +a(g339 +V: +tp9194 +a(g185 +V +tp9195 +a(g135 +VInteger +p9196 +tp9197 +a(g339 +V; +tp9198 +a(g185 +V\u000a\u000a +p9199 +tp9200 +a(g111 +Vfunction +p9201 +tp9202 +a(g185 +V +tp9203 +a(g21 +VSameChar +p9204 +tp9205 +a(g198 +V( +tp9206 +a(g18 +Va +tp9207 +a(g339 +V, +tp9208 +a(g185 +V +tp9209 +a(g18 +Vb +tp9210 +a(g339 +V: +tp9211 +a(g185 +V +tp9212 +a(g135 +VChar +p9213 +tp9214 +a(g198 +V) +tp9215 +a(g339 +V: +tp9216 +a(g185 +V +tp9217 +a(g135 +VBoolean +p9218 +tp9219 +a(g339 +V; +tp9220 +a(g185 +V\u000a +p9221 +tp9222 +a(g111 +Vbegin +p9223 +tp9224 +a(g185 +V\u000a +p9225 +tp9226 +a(g60 +VResult +p9227 +tp9228 +a(g185 +V +tp9229 +a(g339 +V: +tp9230 +a(g339 +V= +tp9231 +a(g185 +V +tp9232 +a(g18 +VCharLower +p9233 +tp9234 +a(g198 +V( +tp9235 +a(g135 +VPChar +p9236 +tp9237 +a(g198 +V( +tp9238 +a(g18 +Va +tp9239 +a(g198 +V)) +p9240 +tp9241 +a(g185 +V +tp9242 +a(g339 +V= +tp9243 +a(g185 +V +tp9244 +a(g18 +VCharLower +p9245 +tp9246 +a(g198 +V( +tp9247 +a(g135 +VPChar +p9248 +tp9249 +a(g198 +V( +tp9250 +a(g18 +Vb +tp9251 +a(g198 +V)) +p9252 +tp9253 +a(g339 +V; +tp9254 +a(g185 +V\u000a +p9255 +tp9256 +a(g111 +Vend +p9257 +tp9258 +a(g339 +V; +tp9259 +a(g185 +V\u000a\u000a +p9260 +tp9261 +a(g111 +Vbegin +p9262 +tp9263 +a(g185 +V\u000a +p9264 +tp9265 +a(g111 +Vif +p9266 +tp9267 +a(g185 +V +tp9268 +a(g18 +VSubStr +p9269 +tp9270 +a(g185 +V +tp9271 +a(g339 +V= +tp9272 +a(g185 +V +tp9273 +a(g222 +V' +tp9274 +a(g222 +V' +tp9275 +a(g185 +V +tp9276 +a(g111 +Vthen +p9277 +tp9278 +a(g185 +V +tp9279 +a(g111 +Vbegin +p9280 +tp9281 +a(g185 +V\u000a +p9282 +tp9283 +a(g60 +VResult +p9284 +tp9285 +a(g185 +V +tp9286 +a(g339 +V: +tp9287 +a(g339 +V= +tp9288 +a(g185 +V +tp9289 +a(g314 +V0 +tp9290 +a(g339 +V; +tp9291 +a(g185 +V\u000a +p9292 +tp9293 +a(g111 +VExit +p9294 +tp9295 +a(g339 +V; +tp9296 +a(g185 +V +tp9297 +a(g111 +Vend +p9298 +tp9299 +a(g339 +V; +tp9300 +a(g185 +V\u000a\u000a +p9301 +tp9302 +a(g111 +Vif +p9303 +tp9304 +a(g185 +V +tp9305 +a(g18 +VOffset +p9306 +tp9307 +a(g185 +V +tp9308 +a(g339 +V< +tp9309 +a(g185 +V +tp9310 +a(g314 +V1 +tp9311 +a(g185 +V +tp9312 +a(g111 +Vthen +p9313 +tp9314 +a(g185 +V\u000a +p9315 +tp9316 +a(g60 +VResult +p9317 +tp9318 +a(g185 +V +tp9319 +a(g339 +V: +tp9320 +a(g339 +V= +tp9321 +a(g185 +V +tp9322 +a(g314 +V1 +tp9323 +a(g185 +V\u000a +p9324 +tp9325 +a(g111 +Velse +p9326 +tp9327 +a(g185 +V\u000a +p9328 +tp9329 +a(g60 +VResult +p9330 +tp9331 +a(g185 +V +tp9332 +a(g339 +V: +tp9333 +a(g339 +V= +tp9334 +a(g185 +V +tp9335 +a(g18 +VOffset +p9336 +tp9337 +a(g339 +V; +tp9338 +a(g185 +V\u000a\u000a +p9339 +tp9340 +a(g18 +VLenSubStr +p9341 +tp9342 +a(g185 +V +tp9343 +a(g339 +V: +tp9344 +a(g339 +V= +tp9345 +a(g185 +V +tp9346 +a(g57 +VLength +p9347 +tp9348 +a(g198 +V( +tp9349 +a(g18 +VSubStr +p9350 +tp9351 +a(g198 +V) +tp9352 +a(g339 +V; +tp9353 +a(g185 +V\u000a +p9354 +tp9355 +a(g18 +VMaxPosToSearch +p9356 +tp9357 +a(g185 +V +tp9358 +a(g339 +V: +tp9359 +a(g339 +V= +tp9360 +a(g185 +V +tp9361 +a(g57 +VLength +p9362 +tp9363 +a(g198 +V( +tp9364 +a(g18 +VS +tp9365 +a(g198 +V) +tp9366 +a(g185 +V +tp9367 +a(g339 +V- +tp9368 +a(g185 +V +tp9369 +a(g18 +VLenSubStr +p9370 +tp9371 +a(g185 +V +tp9372 +a(g339 +V+ +tp9373 +a(g185 +V +tp9374 +a(g314 +V1 +tp9375 +a(g339 +V; +tp9376 +a(g185 +V\u000a\u000a +p9377 +tp9378 +a(g111 +Vwhile +p9379 +tp9380 +a(g185 +V +tp9381 +a(g60 +VResult +p9382 +tp9383 +a(g185 +V +tp9384 +a(g339 +V< +tp9385 +a(g339 +V= +tp9386 +a(g185 +V +tp9387 +a(g18 +VMaxPosToSearch +p9388 +tp9389 +a(g185 +V +tp9390 +a(g111 +Vdo +p9391 +tp9392 +a(g185 +V +tp9393 +a(g111 +Vbegin +p9394 +tp9395 +a(g185 +V\u000a +p9396 +tp9397 +a(g111 +Vif +p9398 +tp9399 +a(g185 +V +tp9400 +a(g18 +VSameChar +p9401 +tp9402 +a(g198 +V( +tp9403 +a(g18 +VS +tp9404 +a(g198 +V[ +tp9405 +a(g60 +VResult +p9406 +tp9407 +a(g198 +V] +tp9408 +a(g339 +V, +tp9409 +a(g185 +V +tp9410 +a(g18 +VSubStr +p9411 +tp9412 +a(g198 +V[ +tp9413 +a(g314 +V1 +tp9414 +a(g198 +V]) +p9415 +tp9416 +a(g185 +V +tp9417 +a(g111 +Vthen +p9418 +tp9419 +a(g185 +V +tp9420 +a(g111 +Vbegin +p9421 +tp9422 +a(g185 +V\u000a +p9423 +tp9424 +a(g18 +Vi +tp9425 +a(g185 +V +tp9426 +a(g339 +V: +tp9427 +a(g339 +V= +tp9428 +a(g185 +V +tp9429 +a(g314 +V1 +tp9430 +a(g339 +V; +tp9431 +a(g185 +V\u000a\u000a +p9432 +tp9433 +a(g111 +Vwhile +p9434 +tp9435 +a(g185 +V +tp9436 +a(g198 +V( +tp9437 +a(g18 +Vi +tp9438 +a(g185 +V +tp9439 +a(g339 +V< +tp9440 +a(g185 +V +tp9441 +a(g18 +VLenSubStr +p9442 +tp9443 +a(g198 +V) +tp9444 +a(g185 +V\u000a +p9445 +tp9446 +a(g111 +Vand +p9447 +tp9448 +a(g185 +V +tp9449 +a(g198 +V( +tp9450 +a(g18 +VSameChar +p9451 +tp9452 +a(g198 +V( +tp9453 +a(g18 +VS +tp9454 +a(g198 +V[ +tp9455 +a(g60 +VResult +p9456 +tp9457 +a(g185 +V +tp9458 +a(g339 +V+ +tp9459 +a(g185 +V +tp9460 +a(g18 +Vi +tp9461 +a(g198 +V] +tp9462 +a(g339 +V, +tp9463 +a(g185 +V +tp9464 +a(g18 +VSubStr +p9465 +tp9466 +a(g198 +V[ +tp9467 +a(g18 +Vi +tp9468 +a(g185 +V +tp9469 +a(g339 +V+ +tp9470 +a(g185 +V +tp9471 +a(g314 +V1 +tp9472 +a(g198 +V])) +p9473 +tp9474 +a(g185 +V +tp9475 +a(g111 +Vdo +p9476 +tp9477 +a(g185 +V\u000a +p9478 +tp9479 +a(g57 +VInc +p9480 +tp9481 +a(g198 +V( +tp9482 +a(g18 +Vi +tp9483 +a(g198 +V) +tp9484 +a(g339 +V; +tp9485 +a(g185 +V\u000a\u000a +p9486 +tp9487 +a(g111 +Vif +p9488 +tp9489 +a(g185 +V +tp9490 +a(g18 +Vi +tp9491 +a(g185 +V +tp9492 +a(g339 +V= +tp9493 +a(g185 +V +tp9494 +a(g18 +VLenSubStr +p9495 +tp9496 +a(g185 +V +tp9497 +a(g111 +Vthen +p9498 +tp9499 +a(g185 +V\u000a +p9500 +tp9501 +a(g111 +VExit +p9502 +tp9503 +a(g339 +V; +tp9504 +a(g185 +V\u000a +p9505 +tp9506 +a(g111 +Vend +p9507 +tp9508 +a(g339 +V; +tp9509 +a(g185 +V\u000a +p9510 +tp9511 +a(g57 +VInc +p9512 +tp9513 +a(g198 +V( +tp9514 +a(g60 +VResult +p9515 +tp9516 +a(g198 +V) +tp9517 +a(g339 +V; +tp9518 +a(g185 +V\u000a +p9519 +tp9520 +a(g111 +Vend +p9521 +tp9522 +a(g339 +V; +tp9523 +a(g185 +V\u000a\u000a +p9524 +tp9525 +a(g60 +VResult +p9526 +tp9527 +a(g185 +V +tp9528 +a(g339 +V: +tp9529 +a(g339 +V= +tp9530 +a(g185 +V +tp9531 +a(g314 +V0 +tp9532 +a(g339 +V; +tp9533 +a(g185 +V\u000a +tp9534 +a(g111 +Vend +p9535 +tp9536 +a(g339 +V; +tp9537 +a(g185 +V\u000a\u000a +p9538 +tp9539 +a(g111 +Vfunction +p9540 +tp9541 +a(g185 +V +tp9542 +a(g21 +VUntilChar +p9543 +tp9544 +a(g198 +V( +tp9545 +a(g111 +Vconst +p9546 +tp9547 +a(g185 +V +tp9548 +a(g18 +VS +tp9549 +a(g339 +V: +tp9550 +a(g185 +V +tp9551 +a(g111 +Vstring +p9552 +tp9553 +a(g339 +V; +tp9554 +a(g185 +V +tp9555 +a(g18 +VBrake +p9556 +tp9557 +a(g339 +V: +tp9558 +a(g185 +V +tp9559 +a(g135 +VChar +p9560 +tp9561 +a(g198 +V) +tp9562 +a(g339 +V: +tp9563 +a(g185 +V +tp9564 +a(g111 +Vstring +p9565 +tp9566 +a(g339 +V; +tp9567 +a(g185 +V\u000a +tp9568 +a(g111 +Vvar +p9569 +tp9570 +a(g185 +V\u000a +p9571 +tp9572 +a(g18 +Vp +tp9573 +a(g339 +V: +tp9574 +a(g185 +V +tp9575 +a(g135 +VInteger +p9576 +tp9577 +a(g339 +V; +tp9578 +a(g185 +V\u000a +tp9579 +a(g111 +Vbegin +p9580 +tp9581 +a(g185 +V\u000a +p9582 +tp9583 +a(g18 +Vp +tp9584 +a(g185 +V +tp9585 +a(g339 +V: +tp9586 +a(g339 +V= +tp9587 +a(g185 +V +tp9588 +a(g18 +VCharPos +p9589 +tp9590 +a(g198 +V( +tp9591 +a(g18 +VBrake +p9592 +tp9593 +a(g339 +V, +tp9594 +a(g185 +V +tp9595 +a(g18 +VS +tp9596 +a(g198 +V) +tp9597 +a(g339 +V; +tp9598 +a(g185 +V\u000a\u000a +p9599 +tp9600 +a(g111 +Vif +p9601 +tp9602 +a(g185 +V +tp9603 +a(g18 +Vp +tp9604 +a(g185 +V +tp9605 +a(g339 +V> +tp9606 +a(g185 +V +tp9607 +a(g314 +V0 +tp9608 +a(g185 +V +tp9609 +a(g111 +Vthen +p9610 +tp9611 +a(g185 +V\u000a +p9612 +tp9613 +a(g60 +VResult +p9614 +tp9615 +a(g185 +V +tp9616 +a(g339 +V: +tp9617 +a(g339 +V= +tp9618 +a(g185 +V +tp9619 +a(g57 +VCopy +p9620 +tp9621 +a(g198 +V( +tp9622 +a(g18 +VS +tp9623 +a(g339 +V, +tp9624 +a(g185 +V +tp9625 +a(g314 +V1 +tp9626 +a(g339 +V, +tp9627 +a(g185 +V +tp9628 +a(g18 +Vp +tp9629 +a(g185 +V +tp9630 +a(g339 +V- +tp9631 +a(g185 +V +tp9632 +a(g314 +V1 +tp9633 +a(g198 +V) +tp9634 +a(g185 +V\u000a +p9635 +tp9636 +a(g111 +Velse +p9637 +tp9638 +a(g185 +V\u000a +p9639 +tp9640 +a(g60 +VResult +p9641 +tp9642 +a(g185 +V +tp9643 +a(g339 +V: +tp9644 +a(g339 +V= +tp9645 +a(g185 +V +tp9646 +a(g18 +VS +tp9647 +a(g339 +V; +tp9648 +a(g185 +V\u000a +tp9649 +a(g111 +Vend +p9650 +tp9651 +a(g339 +V; +tp9652 +a(g185 +V\u000a\u000a +p9653 +tp9654 +a(g111 +Vfunction +p9655 +tp9656 +a(g185 +V +tp9657 +a(g21 +VUntilChar +p9658 +tp9659 +a(g198 +V( +tp9660 +a(g111 +Vconst +p9661 +tp9662 +a(g185 +V +tp9663 +a(g18 +VS +tp9664 +a(g339 +V: +tp9665 +a(g185 +V +tp9666 +a(g111 +Vstring +p9667 +tp9668 +a(g339 +V; +tp9669 +a(g185 +V +tp9670 +a(g18 +VBrake +p9671 +tp9672 +a(g339 +V: +tp9673 +a(g185 +V +tp9674 +a(g18 +VTCharSet +p9675 +tp9676 +a(g198 +V) +tp9677 +a(g339 +V: +tp9678 +a(g185 +V +tp9679 +a(g111 +Vstring +p9680 +tp9681 +a(g339 +V; +tp9682 +a(g185 +V\u000a +tp9683 +a(g111 +Vvar +p9684 +tp9685 +a(g185 +V\u000a +p9686 +tp9687 +a(g18 +Vp +tp9688 +a(g339 +V: +tp9689 +a(g185 +V +tp9690 +a(g135 +VInteger +p9691 +tp9692 +a(g339 +V; +tp9693 +a(g185 +V\u000a +tp9694 +a(g111 +Vbegin +p9695 +tp9696 +a(g185 +V\u000a +p9697 +tp9698 +a(g60 +VResult +p9699 +tp9700 +a(g185 +V +tp9701 +a(g339 +V: +tp9702 +a(g339 +V= +tp9703 +a(g185 +V +tp9704 +a(g222 +V' +tp9705 +a(g222 +V' +tp9706 +a(g339 +V; +tp9707 +a(g185 +V\u000a +p9708 +tp9709 +a(g18 +Vp +tp9710 +a(g185 +V +tp9711 +a(g339 +V: +tp9712 +a(g339 +V= +tp9713 +a(g185 +V +tp9714 +a(g18 +VCharPos +p9715 +tp9716 +a(g198 +V( +tp9717 +a(g18 +VBrake +p9718 +tp9719 +a(g339 +V, +tp9720 +a(g185 +V +tp9721 +a(g18 +VS +tp9722 +a(g198 +V) +tp9723 +a(g339 +V; +tp9724 +a(g185 +V\u000a\u000a +p9725 +tp9726 +a(g111 +Vif +p9727 +tp9728 +a(g185 +V +tp9729 +a(g18 +Vp +tp9730 +a(g185 +V +tp9731 +a(g339 +V> +tp9732 +a(g185 +V +tp9733 +a(g314 +V0 +tp9734 +a(g185 +V +tp9735 +a(g111 +Vthen +p9736 +tp9737 +a(g185 +V\u000a +p9738 +tp9739 +a(g60 +VResult +p9740 +tp9741 +a(g185 +V +tp9742 +a(g339 +V: +tp9743 +a(g339 +V= +tp9744 +a(g185 +V +tp9745 +a(g57 +VCopy +p9746 +tp9747 +a(g198 +V( +tp9748 +a(g18 +VS +tp9749 +a(g339 +V, +tp9750 +a(g185 +V +tp9751 +a(g314 +V1 +tp9752 +a(g339 +V, +tp9753 +a(g185 +V +tp9754 +a(g18 +Vp +tp9755 +a(g185 +V +tp9756 +a(g339 +V- +tp9757 +a(g185 +V +tp9758 +a(g314 +V1 +tp9759 +a(g198 +V) +tp9760 +a(g185 +V\u000a +p9761 +tp9762 +a(g111 +Velse +p9763 +tp9764 +a(g185 +V\u000a +p9765 +tp9766 +a(g60 +VResult +p9767 +tp9768 +a(g185 +V +tp9769 +a(g339 +V: +tp9770 +a(g339 +V= +tp9771 +a(g185 +V +tp9772 +a(g18 +VS +tp9773 +a(g339 +V; +tp9774 +a(g185 +V\u000a +tp9775 +a(g111 +Vend +p9776 +tp9777 +a(g339 +V; +tp9778 +a(g185 +V\u000a\u000a +p9779 +tp9780 +a(g111 +Vfunction +p9781 +tp9782 +a(g185 +V +tp9783 +a(g21 +VUntilLastChar +p9784 +tp9785 +a(g198 +V( +tp9786 +a(g111 +Vconst +p9787 +tp9788 +a(g185 +V +tp9789 +a(g18 +VS +tp9790 +a(g339 +V: +tp9791 +a(g185 +V +tp9792 +a(g111 +Vstring +p9793 +tp9794 +a(g339 +V; +tp9795 +a(g185 +V +tp9796 +a(g18 +VBrake +p9797 +tp9798 +a(g339 +V: +tp9799 +a(g185 +V +tp9800 +a(g135 +VChar +p9801 +tp9802 +a(g339 +V; +tp9803 +a(g185 +V\u000a +p9804 +tp9805 +a(g18 +VIgnoreNoBrake +p9806 +tp9807 +a(g339 +V: +tp9808 +a(g185 +V +tp9809 +a(g135 +VBoolean +p9810 +tp9811 +a(g185 +V +tp9812 +a(g339 +V= +tp9813 +a(g185 +V +tp9814 +a(g111 +VTrue +p9815 +tp9816 +a(g198 +V) +tp9817 +a(g339 +V: +tp9818 +a(g185 +V +tp9819 +a(g111 +Vstring +p9820 +tp9821 +a(g339 +V; +tp9822 +a(g185 +V\u000a +tp9823 +a(g111 +Vvar +p9824 +tp9825 +a(g185 +V\u000a +p9826 +tp9827 +a(g18 +Vp +tp9828 +a(g339 +V: +tp9829 +a(g185 +V +tp9830 +a(g135 +VInteger +p9831 +tp9832 +a(g339 +V; +tp9833 +a(g185 +V\u000a +tp9834 +a(g111 +Vbegin +p9835 +tp9836 +a(g185 +V\u000a +p9837 +tp9838 +a(g60 +VResult +p9839 +tp9840 +a(g185 +V +tp9841 +a(g339 +V: +tp9842 +a(g339 +V= +tp9843 +a(g185 +V +tp9844 +a(g222 +V' +tp9845 +a(g222 +V' +tp9846 +a(g339 +V; +tp9847 +a(g185 +V\u000a +p9848 +tp9849 +a(g18 +Vp +tp9850 +a(g185 +V +tp9851 +a(g339 +V: +tp9852 +a(g339 +V= +tp9853 +a(g185 +V +tp9854 +a(g18 +VCharPosR +p9855 +tp9856 +a(g198 +V( +tp9857 +a(g18 +VBrake +p9858 +tp9859 +a(g339 +V, +tp9860 +a(g185 +V +tp9861 +a(g18 +VS +tp9862 +a(g198 +V) +tp9863 +a(g339 +V; +tp9864 +a(g185 +V\u000a\u000a +p9865 +tp9866 +a(g111 +Vif +p9867 +tp9868 +a(g185 +V +tp9869 +a(g18 +Vp +tp9870 +a(g185 +V +tp9871 +a(g339 +V> +tp9872 +a(g185 +V +tp9873 +a(g314 +V0 +tp9874 +a(g185 +V +tp9875 +a(g111 +Vthen +p9876 +tp9877 +a(g185 +V\u000a +p9878 +tp9879 +a(g60 +VResult +p9880 +tp9881 +a(g185 +V +tp9882 +a(g339 +V: +tp9883 +a(g339 +V= +tp9884 +a(g185 +V +tp9885 +a(g57 +VCopy +p9886 +tp9887 +a(g198 +V( +tp9888 +a(g18 +VS +tp9889 +a(g339 +V, +tp9890 +a(g185 +V +tp9891 +a(g314 +V1 +tp9892 +a(g339 +V, +tp9893 +a(g185 +V +tp9894 +a(g18 +Vp +tp9895 +a(g185 +V +tp9896 +a(g339 +V- +tp9897 +a(g185 +V +tp9898 +a(g314 +V1 +tp9899 +a(g198 +V) +tp9900 +a(g185 +V\u000a +p9901 +tp9902 +a(g111 +Velse +p9903 +tp9904 +a(g185 +V +tp9905 +a(g111 +Vif +p9906 +tp9907 +a(g185 +V +tp9908 +a(g18 +VIgnoreNoBrake +p9909 +tp9910 +a(g185 +V +tp9911 +a(g111 +Vthen +p9912 +tp9913 +a(g185 +V\u000a +p9914 +tp9915 +a(g60 +VResult +p9916 +tp9917 +a(g185 +V +tp9918 +a(g339 +V: +tp9919 +a(g339 +V= +tp9920 +a(g185 +V +tp9921 +a(g18 +VS +tp9922 +a(g339 +V; +tp9923 +a(g185 +V\u000a +tp9924 +a(g111 +Vend +p9925 +tp9926 +a(g339 +V; +tp9927 +a(g185 +V\u000a\u000a +p9928 +tp9929 +a(g111 +Vfunction +p9930 +tp9931 +a(g185 +V +tp9932 +a(g21 +VFromChar +p9933 +tp9934 +a(g198 +V( +tp9935 +a(g111 +Vconst +p9936 +tp9937 +a(g185 +V +tp9938 +a(g18 +VS +tp9939 +a(g339 +V: +tp9940 +a(g185 +V +tp9941 +a(g111 +Vstring +p9942 +tp9943 +a(g339 +V; +tp9944 +a(g185 +V +tp9945 +a(g18 +VBrake +p9946 +tp9947 +a(g339 +V: +tp9948 +a(g185 +V +tp9949 +a(g135 +VChar +p9950 +tp9951 +a(g198 +V) +tp9952 +a(g339 +V: +tp9953 +a(g185 +V +tp9954 +a(g111 +Vstring +p9955 +tp9956 +a(g339 +V; +tp9957 +a(g185 +V\u000a +tp9958 +a(g111 +Vvar +p9959 +tp9960 +a(g185 +V\u000a +p9961 +tp9962 +a(g18 +Vp +tp9963 +a(g339 +V: +tp9964 +a(g185 +V +tp9965 +a(g135 +VInteger +p9966 +tp9967 +a(g339 +V; +tp9968 +a(g185 +V\u000a +tp9969 +a(g111 +Vbegin +p9970 +tp9971 +a(g185 +V\u000a +p9972 +tp9973 +a(g60 +VResult +p9974 +tp9975 +a(g185 +V +tp9976 +a(g339 +V: +tp9977 +a(g339 +V= +tp9978 +a(g185 +V +tp9979 +a(g222 +V' +tp9980 +a(g222 +V' +tp9981 +a(g339 +V; +tp9982 +a(g185 +V\u000a +p9983 +tp9984 +a(g18 +Vp +tp9985 +a(g185 +V +tp9986 +a(g339 +V: +tp9987 +a(g339 +V= +tp9988 +a(g185 +V +tp9989 +a(g18 +VCharPos +p9990 +tp9991 +a(g198 +V( +tp9992 +a(g18 +VBrake +p9993 +tp9994 +a(g339 +V, +tp9995 +a(g185 +V +tp9996 +a(g18 +VS +tp9997 +a(g198 +V) +tp9998 +a(g339 +V; +tp9999 +a(g185 +V\u000a\u000a +p10000 +tp10001 +a(g111 +Vif +p10002 +tp10003 +a(g185 +V +tp10004 +a(g18 +Vp +tp10005 +a(g185 +V +tp10006 +a(g339 +V> +tp10007 +a(g185 +V +tp10008 +a(g314 +V0 +tp10009 +a(g185 +V +tp10010 +a(g111 +Vthen +p10011 +tp10012 +a(g185 +V\u000a +p10013 +tp10014 +a(g60 +VResult +p10015 +tp10016 +a(g185 +V +tp10017 +a(g339 +V: +tp10018 +a(g339 +V= +tp10019 +a(g185 +V +tp10020 +a(g57 +VCopy +p10021 +tp10022 +a(g198 +V( +tp10023 +a(g18 +VS +tp10024 +a(g339 +V, +tp10025 +a(g185 +V +tp10026 +a(g18 +Vp +tp10027 +a(g185 +V +tp10028 +a(g339 +V+ +tp10029 +a(g185 +V +tp10030 +a(g314 +V1 +tp10031 +a(g339 +V, +tp10032 +a(g185 +V +tp10033 +a(g57 +VLength +p10034 +tp10035 +a(g198 +V( +tp10036 +a(g18 +VS +tp10037 +a(g198 +V) +tp10038 +a(g185 +V +tp10039 +a(g339 +V- +tp10040 +a(g185 +V +tp10041 +a(g18 +Vp +tp10042 +a(g198 +V) +tp10043 +a(g339 +V; +tp10044 +a(g185 +V\u000a +tp10045 +a(g111 +Vend +p10046 +tp10047 +a(g339 +V; +tp10048 +a(g185 +V\u000a\u000a +p10049 +tp10050 +a(g111 +Vfunction +p10051 +tp10052 +a(g185 +V +tp10053 +a(g21 +VFromChar +p10054 +tp10055 +a(g198 +V( +tp10056 +a(g111 +Vconst +p10057 +tp10058 +a(g185 +V +tp10059 +a(g18 +VS +tp10060 +a(g339 +V: +tp10061 +a(g185 +V +tp10062 +a(g111 +Vstring +p10063 +tp10064 +a(g339 +V; +tp10065 +a(g185 +V +tp10066 +a(g18 +VBrake +p10067 +tp10068 +a(g339 +V: +tp10069 +a(g185 +V +tp10070 +a(g18 +VTCharSet +p10071 +tp10072 +a(g198 +V) +tp10073 +a(g339 +V: +tp10074 +a(g185 +V +tp10075 +a(g111 +Vstring +p10076 +tp10077 +a(g339 +V; +tp10078 +a(g185 +V\u000a +tp10079 +a(g111 +Vvar +p10080 +tp10081 +a(g185 +V\u000a +p10082 +tp10083 +a(g18 +Vp +tp10084 +a(g339 +V: +tp10085 +a(g185 +V +tp10086 +a(g135 +VInteger +p10087 +tp10088 +a(g339 +V; +tp10089 +a(g185 +V\u000a +tp10090 +a(g111 +Vbegin +p10091 +tp10092 +a(g185 +V\u000a +p10093 +tp10094 +a(g60 +VResult +p10095 +tp10096 +a(g185 +V +tp10097 +a(g339 +V: +tp10098 +a(g339 +V= +tp10099 +a(g185 +V +tp10100 +a(g222 +V' +tp10101 +a(g222 +V' +tp10102 +a(g339 +V; +tp10103 +a(g185 +V\u000a +p10104 +tp10105 +a(g18 +Vp +tp10106 +a(g185 +V +tp10107 +a(g339 +V: +tp10108 +a(g339 +V= +tp10109 +a(g185 +V +tp10110 +a(g18 +VCharPos +p10111 +tp10112 +a(g198 +V( +tp10113 +a(g18 +VBrake +p10114 +tp10115 +a(g339 +V, +tp10116 +a(g185 +V +tp10117 +a(g18 +VS +tp10118 +a(g198 +V) +tp10119 +a(g339 +V; +tp10120 +a(g185 +V\u000a\u000a +p10121 +tp10122 +a(g111 +Vif +p10123 +tp10124 +a(g185 +V +tp10125 +a(g18 +Vp +tp10126 +a(g185 +V +tp10127 +a(g339 +V> +tp10128 +a(g185 +V +tp10129 +a(g314 +V0 +tp10130 +a(g185 +V +tp10131 +a(g111 +Vthen +p10132 +tp10133 +a(g185 +V\u000a +p10134 +tp10135 +a(g60 +VResult +p10136 +tp10137 +a(g185 +V +tp10138 +a(g339 +V: +tp10139 +a(g339 +V= +tp10140 +a(g185 +V +tp10141 +a(g57 +VCopy +p10142 +tp10143 +a(g198 +V( +tp10144 +a(g18 +VS +tp10145 +a(g339 +V, +tp10146 +a(g185 +V +tp10147 +a(g18 +Vp +tp10148 +a(g185 +V +tp10149 +a(g339 +V+ +tp10150 +a(g185 +V +tp10151 +a(g314 +V1 +tp10152 +a(g339 +V, +tp10153 +a(g185 +V +tp10154 +a(g57 +VLength +p10155 +tp10156 +a(g198 +V( +tp10157 +a(g18 +VS +tp10158 +a(g198 +V) +tp10159 +a(g185 +V +tp10160 +a(g339 +V- +tp10161 +a(g185 +V +tp10162 +a(g18 +Vp +tp10163 +a(g198 +V) +tp10164 +a(g339 +V; +tp10165 +a(g185 +V\u000a +tp10166 +a(g111 +Vend +p10167 +tp10168 +a(g339 +V; +tp10169 +a(g185 +V\u000a\u000a +p10170 +tp10171 +a(g111 +Vfunction +p10172 +tp10173 +a(g185 +V +tp10174 +a(g21 +VFromLastChar +p10175 +tp10176 +a(g198 +V( +tp10177 +a(g111 +Vconst +p10178 +tp10179 +a(g185 +V +tp10180 +a(g18 +VS +tp10181 +a(g339 +V: +tp10182 +a(g185 +V +tp10183 +a(g111 +Vstring +p10184 +tp10185 +a(g339 +V; +tp10186 +a(g185 +V +tp10187 +a(g18 +VBrake +p10188 +tp10189 +a(g339 +V: +tp10190 +a(g185 +V +tp10191 +a(g135 +VChar +p10192 +tp10193 +a(g339 +V; +tp10194 +a(g185 +V\u000a +p10195 +tp10196 +a(g18 +VIgnoreNoBrake +p10197 +tp10198 +a(g339 +V: +tp10199 +a(g185 +V +tp10200 +a(g135 +VBoolean +p10201 +tp10202 +a(g185 +V +tp10203 +a(g339 +V= +tp10204 +a(g185 +V +tp10205 +a(g111 +VFalse +p10206 +tp10207 +a(g198 +V) +tp10208 +a(g339 +V: +tp10209 +a(g185 +V +tp10210 +a(g111 +Vstring +p10211 +tp10212 +a(g339 +V; +tp10213 +a(g185 +V\u000a +tp10214 +a(g111 +Vvar +p10215 +tp10216 +a(g185 +V\u000a +p10217 +tp10218 +a(g18 +Vp +tp10219 +a(g339 +V: +tp10220 +a(g185 +V +tp10221 +a(g135 +VInteger +p10222 +tp10223 +a(g339 +V; +tp10224 +a(g185 +V\u000a +tp10225 +a(g111 +Vbegin +p10226 +tp10227 +a(g185 +V\u000a +p10228 +tp10229 +a(g60 +VResult +p10230 +tp10231 +a(g185 +V +tp10232 +a(g339 +V: +tp10233 +a(g339 +V= +tp10234 +a(g185 +V +tp10235 +a(g222 +V' +tp10236 +a(g222 +V' +tp10237 +a(g339 +V; +tp10238 +a(g185 +V\u000a +p10239 +tp10240 +a(g18 +Vp +tp10241 +a(g185 +V +tp10242 +a(g339 +V: +tp10243 +a(g339 +V= +tp10244 +a(g185 +V +tp10245 +a(g18 +VCharPosR +p10246 +tp10247 +a(g198 +V( +tp10248 +a(g18 +VBrake +p10249 +tp10250 +a(g339 +V, +tp10251 +a(g185 +V +tp10252 +a(g18 +VS +tp10253 +a(g198 +V) +tp10254 +a(g339 +V; +tp10255 +a(g185 +V\u000a\u000a +p10256 +tp10257 +a(g111 +Vif +p10258 +tp10259 +a(g185 +V +tp10260 +a(g18 +Vp +tp10261 +a(g185 +V +tp10262 +a(g339 +V> +tp10263 +a(g185 +V +tp10264 +a(g314 +V0 +tp10265 +a(g185 +V +tp10266 +a(g111 +Vthen +p10267 +tp10268 +a(g185 +V\u000a +p10269 +tp10270 +a(g60 +VResult +p10271 +tp10272 +a(g185 +V +tp10273 +a(g339 +V: +tp10274 +a(g339 +V= +tp10275 +a(g185 +V +tp10276 +a(g57 +VCopy +p10277 +tp10278 +a(g198 +V( +tp10279 +a(g18 +VS +tp10280 +a(g339 +V, +tp10281 +a(g185 +V +tp10282 +a(g18 +Vp +tp10283 +a(g185 +V +tp10284 +a(g339 +V+ +tp10285 +a(g185 +V +tp10286 +a(g314 +V1 +tp10287 +a(g339 +V, +tp10288 +a(g185 +V +tp10289 +a(g57 +VLength +p10290 +tp10291 +a(g198 +V( +tp10292 +a(g18 +VS +tp10293 +a(g198 +V) +tp10294 +a(g185 +V +tp10295 +a(g339 +V- +tp10296 +a(g185 +V +tp10297 +a(g18 +Vp +tp10298 +a(g198 +V) +tp10299 +a(g185 +V\u000a +p10300 +tp10301 +a(g111 +Velse +p10302 +tp10303 +a(g185 +V +tp10304 +a(g111 +Vif +p10305 +tp10306 +a(g185 +V +tp10307 +a(g18 +VIgnoreNoBrake +p10308 +tp10309 +a(g185 +V +tp10310 +a(g111 +Vthen +p10311 +tp10312 +a(g185 +V\u000a +p10313 +tp10314 +a(g60 +VResult +p10315 +tp10316 +a(g185 +V +tp10317 +a(g339 +V: +tp10318 +a(g339 +V= +tp10319 +a(g185 +V +tp10320 +a(g18 +VS +tp10321 +a(g339 +V; +tp10322 +a(g185 +V\u000a +tp10323 +a(g111 +Vend +p10324 +tp10325 +a(g339 +V; +tp10326 +a(g185 +V\u000a\u000a +p10327 +tp10328 +a(g111 +Vfunction +p10329 +tp10330 +a(g185 +V +tp10331 +a(g21 +VBetweenChars +p10332 +tp10333 +a(g198 +V( +tp10334 +a(g111 +Vconst +p10335 +tp10336 +a(g185 +V +tp10337 +a(g18 +VS +tp10338 +a(g339 +V: +tp10339 +a(g185 +V +tp10340 +a(g111 +Vstring +p10341 +tp10342 +a(g339 +V; +tp10343 +a(g185 +V +tp10344 +a(g18 +VStart +p10345 +tp10346 +a(g339 +V, +tp10347 +a(g185 +V +tp10348 +a(g18 +VFinish +p10349 +tp10350 +a(g339 +V: +tp10351 +a(g185 +V +tp10352 +a(g135 +VChar +p10353 +tp10354 +a(g339 +V; +tp10355 +a(g185 +V\u000a +p10356 +tp10357 +a(g18 +VInclusive +p10358 +tp10359 +a(g339 +V: +tp10360 +a(g185 +V +tp10361 +a(g135 +VBoolean +p10362 +tp10363 +a(g185 +V +tp10364 +a(g339 +V= +tp10365 +a(g185 +V +tp10366 +a(g111 +VFalse +p10367 +tp10368 +a(g198 +V) +tp10369 +a(g339 +V: +tp10370 +a(g185 +V +tp10371 +a(g111 +Vstring +p10372 +tp10373 +a(g339 +V; +tp10374 +a(g185 +V\u000a +tp10375 +a(g111 +Vvar +p10376 +tp10377 +a(g185 +V\u000a +p10378 +tp10379 +a(g18 +Vp +tp10380 +a(g339 +V, +tp10381 +a(g185 +V +tp10382 +a(g18 +Vfin +p10383 +tp10384 +a(g339 +V: +tp10385 +a(g185 +V +tp10386 +a(g135 +VInteger +p10387 +tp10388 +a(g339 +V; +tp10389 +a(g185 +V\u000a +tp10390 +a(g111 +Vbegin +p10391 +tp10392 +a(g185 +V\u000a +p10393 +tp10394 +a(g60 +VResult +p10395 +tp10396 +a(g185 +V +tp10397 +a(g339 +V: +tp10398 +a(g339 +V= +tp10399 +a(g185 +V +tp10400 +a(g222 +V' +tp10401 +a(g222 +V' +tp10402 +a(g339 +V; +tp10403 +a(g185 +V\u000a\u000a +p10404 +tp10405 +a(g18 +Vp +tp10406 +a(g185 +V +tp10407 +a(g339 +V: +tp10408 +a(g339 +V= +tp10409 +a(g185 +V +tp10410 +a(g18 +VCharPos +p10411 +tp10412 +a(g198 +V( +tp10413 +a(g18 +VStart +p10414 +tp10415 +a(g339 +V, +tp10416 +a(g185 +V +tp10417 +a(g18 +VS +tp10418 +a(g198 +V) +tp10419 +a(g339 +V; +tp10420 +a(g185 +V\u000a +p10421 +tp10422 +a(g111 +Vif +p10423 +tp10424 +a(g185 +V +tp10425 +a(g18 +Vp +tp10426 +a(g185 +V +tp10427 +a(g339 +V= +tp10428 +a(g185 +V +tp10429 +a(g314 +V0 +tp10430 +a(g185 +V +tp10431 +a(g111 +Vthen +p10432 +tp10433 +a(g185 +V\u000a +p10434 +tp10435 +a(g111 +VExit +p10436 +tp10437 +a(g339 +V; +tp10438 +a(g185 +V\u000a\u000a +p10439 +tp10440 +a(g18 +Vfin +p10441 +tp10442 +a(g185 +V +tp10443 +a(g339 +V: +tp10444 +a(g339 +V= +tp10445 +a(g185 +V +tp10446 +a(g18 +VCharPos +p10447 +tp10448 +a(g198 +V( +tp10449 +a(g18 +VFinish +p10450 +tp10451 +a(g339 +V, +tp10452 +a(g185 +V +tp10453 +a(g18 +VS +tp10454 +a(g339 +V, +tp10455 +a(g185 +V +tp10456 +a(g18 +Vp +tp10457 +a(g185 +V +tp10458 +a(g339 +V+ +tp10459 +a(g185 +V +tp10460 +a(g314 +V1 +tp10461 +a(g198 +V) +tp10462 +a(g339 +V; +tp10463 +a(g185 +V\u000a +p10464 +tp10465 +a(g111 +Vif +p10466 +tp10467 +a(g185 +V +tp10468 +a(g18 +Vfin +p10469 +tp10470 +a(g185 +V +tp10471 +a(g339 +V= +tp10472 +a(g185 +V +tp10473 +a(g314 +V0 +tp10474 +a(g185 +V +tp10475 +a(g111 +Vthen +p10476 +tp10477 +a(g185 +V\u000a +p10478 +tp10479 +a(g111 +VExit +p10480 +tp10481 +a(g339 +V; +tp10482 +a(g185 +V\u000a\u000a +p10483 +tp10484 +a(g111 +Vif +p10485 +tp10486 +a(g185 +V +tp10487 +a(g111 +Vnot +p10488 +tp10489 +a(g185 +V +tp10490 +a(g18 +VInclusive +p10491 +tp10492 +a(g185 +V +tp10493 +a(g111 +Vthen +p10494 +tp10495 +a(g185 +V +tp10496 +a(g111 +Vbegin +p10497 +tp10498 +a(g185 +V\u000a +p10499 +tp10500 +a(g57 +VInc +p10501 +tp10502 +a(g198 +V( +tp10503 +a(g18 +Vp +tp10504 +a(g198 +V) +tp10505 +a(g339 +V; +tp10506 +a(g185 +V\u000a +p10507 +tp10508 +a(g57 +VDec +p10509 +tp10510 +a(g198 +V( +tp10511 +a(g18 +Vfin +p10512 +tp10513 +a(g198 +V) +tp10514 +a(g339 +V; +tp10515 +a(g185 +V\u000a +p10516 +tp10517 +a(g111 +Vend +p10518 +tp10519 +a(g339 +V; +tp10520 +a(g185 +V\u000a\u000a +p10521 +tp10522 +a(g60 +VResult +p10523 +tp10524 +a(g185 +V +tp10525 +a(g339 +V: +tp10526 +a(g339 +V= +tp10527 +a(g185 +V +tp10528 +a(g57 +VCopy +p10529 +tp10530 +a(g198 +V( +tp10531 +a(g18 +VS +tp10532 +a(g339 +V, +tp10533 +a(g185 +V +tp10534 +a(g18 +Vp +tp10535 +a(g339 +V, +tp10536 +a(g185 +V +tp10537 +a(g18 +Vfin +p10538 +tp10539 +a(g185 +V +tp10540 +a(g339 +V- +tp10541 +a(g185 +V +tp10542 +a(g18 +Vp +tp10543 +a(g185 +V +tp10544 +a(g339 +V+ +tp10545 +a(g185 +V +tp10546 +a(g314 +V1 +tp10547 +a(g198 +V) +tp10548 +a(g339 +V; +tp10549 +a(g185 +V\u000a +tp10550 +a(g111 +Vend +p10551 +tp10552 +a(g339 +V; +tp10553 +a(g185 +V\u000a\u000a +p10554 +tp10555 +a(g111 +Vfunction +p10556 +tp10557 +a(g185 +V +tp10558 +a(g21 +VUntilStr +p10559 +tp10560 +a(g198 +V( +tp10561 +a(g111 +Vconst +p10562 +tp10563 +a(g185 +V +tp10564 +a(g18 +VS +tp10565 +a(g339 +V: +tp10566 +a(g185 +V +tp10567 +a(g111 +Vstring +p10568 +tp10569 +a(g339 +V; +tp10570 +a(g185 +V +tp10571 +a(g18 +VBrake +p10572 +tp10573 +a(g339 +V: +tp10574 +a(g185 +V +tp10575 +a(g111 +Vstring +p10576 +tp10577 +a(g198 +V) +tp10578 +a(g339 +V: +tp10579 +a(g185 +V +tp10580 +a(g111 +Vstring +p10581 +tp10582 +a(g339 +V; +tp10583 +a(g185 +V\u000a +tp10584 +a(g111 +Vvar +p10585 +tp10586 +a(g185 +V\u000a +p10587 +tp10588 +a(g18 +Vp +tp10589 +a(g339 +V: +tp10590 +a(g185 +V +tp10591 +a(g135 +VInteger +p10592 +tp10593 +a(g339 +V; +tp10594 +a(g185 +V\u000a +tp10595 +a(g111 +Vbegin +p10596 +tp10597 +a(g185 +V\u000a +p10598 +tp10599 +a(g111 +Vif +p10600 +tp10601 +a(g185 +V +tp10602 +a(g57 +VLength +p10603 +tp10604 +a(g198 +V( +tp10605 +a(g18 +VBrake +p10606 +tp10607 +a(g198 +V) +tp10608 +a(g185 +V +tp10609 +a(g339 +V= +tp10610 +a(g185 +V +tp10611 +a(g314 +V1 +tp10612 +a(g185 +V +tp10613 +a(g111 +Vthen +p10614 +tp10615 +a(g185 +V +tp10616 +a(g111 +Vbegin +p10617 +tp10618 +a(g185 +V\u000a +p10619 +tp10620 +a(g60 +VResult +p10621 +tp10622 +a(g185 +V +tp10623 +a(g339 +V: +tp10624 +a(g339 +V= +tp10625 +a(g185 +V +tp10626 +a(g18 +VUntilChar +p10627 +tp10628 +a(g198 +V( +tp10629 +a(g18 +VS +tp10630 +a(g339 +V, +tp10631 +a(g185 +V +tp10632 +a(g18 +VBrake +p10633 +tp10634 +a(g198 +V[ +tp10635 +a(g314 +V1 +tp10636 +a(g198 +V]) +p10637 +tp10638 +a(g339 +V; +tp10639 +a(g185 +V\u000a +p10640 +tp10641 +a(g111 +VExit +p10642 +tp10643 +a(g339 +V; +tp10644 +a(g185 +V +tp10645 +a(g111 +Vend +p10646 +tp10647 +a(g339 +V; +tp10648 +a(g185 +V\u000a\u000a +p10649 +tp10650 +a(g18 +Vp +tp10651 +a(g185 +V +tp10652 +a(g339 +V: +tp10653 +a(g339 +V= +tp10654 +a(g185 +V +tp10655 +a(g18 +VPosEx +p10656 +tp10657 +a(g198 +V( +tp10658 +a(g18 +VBrake +p10659 +tp10660 +a(g339 +V, +tp10661 +a(g185 +V +tp10662 +a(g18 +VS +tp10663 +a(g198 +V) +tp10664 +a(g339 +V; +tp10665 +a(g185 +V\u000a\u000a +p10666 +tp10667 +a(g111 +Vif +p10668 +tp10669 +a(g185 +V +tp10670 +a(g18 +Vp +tp10671 +a(g185 +V +tp10672 +a(g339 +V> +tp10673 +a(g185 +V +tp10674 +a(g314 +V0 +tp10675 +a(g185 +V +tp10676 +a(g111 +Vthen +p10677 +tp10678 +a(g185 +V\u000a +p10679 +tp10680 +a(g60 +VResult +p10681 +tp10682 +a(g185 +V +tp10683 +a(g339 +V: +tp10684 +a(g339 +V= +tp10685 +a(g185 +V +tp10686 +a(g57 +VCopy +p10687 +tp10688 +a(g198 +V( +tp10689 +a(g18 +VS +tp10690 +a(g339 +V, +tp10691 +a(g185 +V +tp10692 +a(g314 +V1 +tp10693 +a(g339 +V, +tp10694 +a(g185 +V +tp10695 +a(g18 +Vp +tp10696 +a(g185 +V +tp10697 +a(g339 +V- +tp10698 +a(g185 +V +tp10699 +a(g314 +V1 +tp10700 +a(g198 +V) +tp10701 +a(g185 +V\u000a +p10702 +tp10703 +a(g111 +Velse +p10704 +tp10705 +a(g185 +V\u000a +p10706 +tp10707 +a(g60 +VResult +p10708 +tp10709 +a(g185 +V +tp10710 +a(g339 +V: +tp10711 +a(g339 +V= +tp10712 +a(g185 +V +tp10713 +a(g18 +VS +tp10714 +a(g339 +V; +tp10715 +a(g185 +V\u000a +tp10716 +a(g111 +Vend +p10717 +tp10718 +a(g339 +V; +tp10719 +a(g185 +V\u000a\u000a +p10720 +tp10721 +a(g111 +Vfunction +p10722 +tp10723 +a(g185 +V +tp10724 +a(g21 +VFromStr +p10725 +tp10726 +a(g198 +V( +tp10727 +a(g111 +Vconst +p10728 +tp10729 +a(g185 +V +tp10730 +a(g18 +VS +tp10731 +a(g339 +V: +tp10732 +a(g185 +V +tp10733 +a(g111 +Vstring +p10734 +tp10735 +a(g339 +V; +tp10736 +a(g185 +V +tp10737 +a(g18 +VBrake +p10738 +tp10739 +a(g339 +V: +tp10740 +a(g185 +V +tp10741 +a(g111 +Vstring +p10742 +tp10743 +a(g198 +V) +tp10744 +a(g339 +V: +tp10745 +a(g185 +V +tp10746 +a(g111 +Vstring +p10747 +tp10748 +a(g339 +V; +tp10749 +a(g185 +V\u000a +tp10750 +a(g111 +Vvar +p10751 +tp10752 +a(g185 +V\u000a +p10753 +tp10754 +a(g18 +Vp +tp10755 +a(g339 +V: +tp10756 +a(g185 +V +tp10757 +a(g135 +VInteger +p10758 +tp10759 +a(g339 +V; +tp10760 +a(g185 +V\u000a +tp10761 +a(g111 +Vbegin +p10762 +tp10763 +a(g185 +V\u000a +p10764 +tp10765 +a(g111 +Vif +p10766 +tp10767 +a(g185 +V +tp10768 +a(g57 +VLength +p10769 +tp10770 +a(g198 +V( +tp10771 +a(g18 +VBrake +p10772 +tp10773 +a(g198 +V) +tp10774 +a(g185 +V +tp10775 +a(g339 +V= +tp10776 +a(g185 +V +tp10777 +a(g314 +V1 +tp10778 +a(g185 +V +tp10779 +a(g111 +Vthen +p10780 +tp10781 +a(g185 +V +tp10782 +a(g111 +Vbegin +p10783 +tp10784 +a(g185 +V\u000a +p10785 +tp10786 +a(g60 +VResult +p10787 +tp10788 +a(g185 +V +tp10789 +a(g339 +V: +tp10790 +a(g339 +V= +tp10791 +a(g185 +V +tp10792 +a(g18 +VFromChar +p10793 +tp10794 +a(g198 +V( +tp10795 +a(g18 +VS +tp10796 +a(g339 +V, +tp10797 +a(g185 +V +tp10798 +a(g18 +VBrake +p10799 +tp10800 +a(g198 +V[ +tp10801 +a(g314 +V1 +tp10802 +a(g198 +V]) +p10803 +tp10804 +a(g339 +V; +tp10805 +a(g185 +V\u000a +p10806 +tp10807 +a(g111 +VExit +p10808 +tp10809 +a(g339 +V; +tp10810 +a(g185 +V +tp10811 +a(g111 +Vend +p10812 +tp10813 +a(g339 +V; +tp10814 +a(g185 +V\u000a\u000a +p10815 +tp10816 +a(g60 +VResult +p10817 +tp10818 +a(g185 +V +tp10819 +a(g339 +V: +tp10820 +a(g339 +V= +tp10821 +a(g185 +V +tp10822 +a(g222 +V' +tp10823 +a(g222 +V' +tp10824 +a(g339 +V; +tp10825 +a(g185 +V\u000a +p10826 +tp10827 +a(g18 +Vp +tp10828 +a(g185 +V +tp10829 +a(g339 +V: +tp10830 +a(g339 +V= +tp10831 +a(g185 +V +tp10832 +a(g18 +VPosEx +p10833 +tp10834 +a(g198 +V( +tp10835 +a(g18 +VBrake +p10836 +tp10837 +a(g339 +V, +tp10838 +a(g185 +V +tp10839 +a(g18 +Vs +tp10840 +a(g198 +V) +tp10841 +a(g339 +V; +tp10842 +a(g185 +V\u000a\u000a +p10843 +tp10844 +a(g111 +Vif +p10845 +tp10846 +a(g185 +V +tp10847 +a(g18 +Vp +tp10848 +a(g185 +V +tp10849 +a(g339 +V> +tp10850 +a(g185 +V +tp10851 +a(g314 +V0 +tp10852 +a(g185 +V +tp10853 +a(g111 +Vthen +p10854 +tp10855 +a(g185 +V +tp10856 +a(g111 +Vbegin +p10857 +tp10858 +a(g185 +V\u000a +p10859 +tp10860 +a(g57 +VInc +p10861 +tp10862 +a(g198 +V( +tp10863 +a(g18 +Vp +tp10864 +a(g339 +V, +tp10865 +a(g185 +V +tp10866 +a(g57 +VLength +p10867 +tp10868 +a(g198 +V( +tp10869 +a(g18 +VBrake +p10870 +tp10871 +a(g198 +V)) +p10872 +tp10873 +a(g339 +V; +tp10874 +a(g185 +V\u000a +p10875 +tp10876 +a(g60 +VResult +p10877 +tp10878 +a(g185 +V +tp10879 +a(g339 +V: +tp10880 +a(g339 +V= +tp10881 +a(g185 +V +tp10882 +a(g57 +VCopy +p10883 +tp10884 +a(g198 +V( +tp10885 +a(g18 +VS +tp10886 +a(g339 +V, +tp10887 +a(g185 +V +tp10888 +a(g18 +Vp +tp10889 +a(g339 +V, +tp10890 +a(g185 +V +tp10891 +a(g57 +VLength +p10892 +tp10893 +a(g198 +V( +tp10894 +a(g18 +VS +tp10895 +a(g198 +V) +tp10896 +a(g185 +V +tp10897 +a(g339 +V- +tp10898 +a(g185 +V +tp10899 +a(g18 +Vp +tp10900 +a(g185 +V +tp10901 +a(g339 +V+ +tp10902 +a(g185 +V +tp10903 +a(g314 +V1 +tp10904 +a(g198 +V) +tp10905 +a(g339 +V; +tp10906 +a(g185 +V\u000a +p10907 +tp10908 +a(g111 +Vend +p10909 +tp10910 +a(g339 +V; +tp10911 +a(g185 +V\u000a +tp10912 +a(g111 +Vend +p10913 +tp10914 +a(g339 +V; +tp10915 +a(g185 +V\u000a\u000a +p10916 +tp10917 +a(g111 +Vfunction +p10918 +tp10919 +a(g185 +V +tp10920 +a(g21 +VStringWrap +p10921 +tp10922 +a(g198 +V( +tp10923 +a(g111 +Vconst +p10924 +tp10925 +a(g185 +V +tp10926 +a(g18 +VS +tp10927 +a(g339 +V: +tp10928 +a(g185 +V +tp10929 +a(g111 +Vstring +p10930 +tp10931 +a(g339 +V; +tp10932 +a(g185 +V +tp10933 +a(g18 +VWidth +p10934 +tp10935 +a(g339 +V: +tp10936 +a(g185 +V +tp10937 +a(g135 +VInteger +p10938 +tp10939 +a(g339 +V; +tp10940 +a(g185 +V +tp10941 +a(g111 +Vconst +p10942 +tp10943 +a(g185 +V +tp10944 +a(g18 +VLineEnd +p10945 +tp10946 +a(g339 +V: +tp10947 +a(g185 +V +tp10948 +a(g111 +Vstring +p10949 +tp10950 +a(g185 +V +tp10951 +a(g339 +V= +tp10952 +a(g185 +V +tp10953 +a(g18 +VEOL +p10954 +tp10955 +a(g198 +V) +tp10956 +a(g339 +V: +tp10957 +a(g185 +V +tp10958 +a(g111 +Vstring +p10959 +tp10960 +a(g339 +V; +tp10961 +a(g185 +V\u000a +tp10962 +a(g111 +Vvar +p10963 +tp10964 +a(g185 +V\u000a +p10965 +tp10966 +a(g18 +Vi +tp10967 +a(g339 +V: +tp10968 +a(g185 +V +tp10969 +a(g135 +VInteger +p10970 +tp10971 +a(g339 +V; +tp10972 +a(g185 +V\u000a +tp10973 +a(g111 +Vbegin +p10974 +tp10975 +a(g185 +V\u000a +p10976 +tp10977 +a(g60 +VResult +p10978 +tp10979 +a(g185 +V +tp10980 +a(g339 +V: +tp10981 +a(g339 +V= +tp10982 +a(g185 +V +tp10983 +a(g222 +V' +tp10984 +a(g222 +V' +tp10985 +a(g339 +V; +tp10986 +a(g185 +V\u000a +p10987 +tp10988 +a(g111 +Vif +p10989 +tp10990 +a(g185 +V +tp10991 +a(g198 +V( +tp10992 +a(g18 +VS +tp10993 +a(g185 +V +tp10994 +a(g339 +V= +tp10995 +a(g185 +V +tp10996 +a(g222 +V' +tp10997 +a(g222 +V' +tp10998 +a(g198 +V) +tp10999 +a(g185 +V +tp11000 +a(g111 +Vor +p11001 +tp11002 +a(g185 +V +tp11003 +a(g198 +V( +tp11004 +a(g18 +VWidth +p11005 +tp11006 +a(g185 +V +tp11007 +a(g339 +V< +tp11008 +a(g185 +V +tp11009 +a(g314 +V1 +tp11010 +a(g198 +V) +tp11011 +a(g185 +V +tp11012 +a(g111 +Vthen +p11013 +tp11014 +a(g185 +V\u000a +p11015 +tp11016 +a(g111 +VExit +p11017 +tp11018 +a(g339 +V; +tp11019 +a(g185 +V\u000a\u000a +p11020 +tp11021 +a(g18 +Vi +tp11022 +a(g185 +V +tp11023 +a(g339 +V: +tp11024 +a(g339 +V= +tp11025 +a(g185 +V +tp11026 +a(g314 +V1 +tp11027 +a(g339 +V; +tp11028 +a(g185 +V\u000a +p11029 +tp11030 +a(g111 +Vwhile +p11031 +tp11032 +a(g185 +V +tp11033 +a(g111 +VTrue +p11034 +tp11035 +a(g185 +V +tp11036 +a(g111 +Vdo +p11037 +tp11038 +a(g185 +V +tp11039 +a(g111 +Vbegin +p11040 +tp11041 +a(g185 +V\u000a +p11042 +tp11043 +a(g60 +VResult +p11044 +tp11045 +a(g185 +V +tp11046 +a(g339 +V: +tp11047 +a(g339 +V= +tp11048 +a(g185 +V +tp11049 +a(g60 +VResult +p11050 +tp11051 +a(g185 +V +tp11052 +a(g339 +V+ +tp11053 +a(g185 +V +tp11054 +a(g57 +VCopy +p11055 +tp11056 +a(g198 +V( +tp11057 +a(g18 +VS +tp11058 +a(g339 +V, +tp11059 +a(g185 +V +tp11060 +a(g18 +Vi +tp11061 +a(g339 +V, +tp11062 +a(g185 +V +tp11063 +a(g18 +VWidth +p11064 +tp11065 +a(g198 +V) +tp11066 +a(g339 +V; +tp11067 +a(g185 +V\u000a +p11068 +tp11069 +a(g57 +VInc +p11070 +tp11071 +a(g198 +V( +tp11072 +a(g18 +Vi +tp11073 +a(g339 +V, +tp11074 +a(g185 +V +tp11075 +a(g18 +VWidth +p11076 +tp11077 +a(g198 +V) +tp11078 +a(g339 +V; +tp11079 +a(g185 +V\u000a +p11080 +tp11081 +a(g111 +Vif +p11082 +tp11083 +a(g185 +V +tp11084 +a(g18 +Vi +tp11085 +a(g185 +V +tp11086 +a(g339 +V< +tp11087 +a(g339 +V= +tp11088 +a(g185 +V +tp11089 +a(g57 +VLength +p11090 +tp11091 +a(g198 +V( +tp11092 +a(g18 +VS +tp11093 +a(g198 +V) +tp11094 +a(g185 +V +tp11095 +a(g111 +Vthen +p11096 +tp11097 +a(g185 +V\u000a +p11098 +tp11099 +a(g60 +VResult +p11100 +tp11101 +a(g185 +V +tp11102 +a(g339 +V: +tp11103 +a(g339 +V= +tp11104 +a(g185 +V +tp11105 +a(g60 +VResult +p11106 +tp11107 +a(g185 +V +tp11108 +a(g339 +V+ +tp11109 +a(g185 +V +tp11110 +a(g18 +VLineEnd +p11111 +tp11112 +a(g185 +V\u000a +p11113 +tp11114 +a(g111 +Velse +p11115 +tp11116 +a(g185 +V\u000a +p11117 +tp11118 +a(g111 +VExit +p11119 +tp11120 +a(g339 +V; +tp11121 +a(g185 +V\u000a +p11122 +tp11123 +a(g111 +Vend +p11124 +tp11125 +a(g339 +V; +tp11126 +a(g185 +V\u000a +tp11127 +a(g111 +Vend +p11128 +tp11129 +a(g339 +V; +tp11130 +a(g185 +V\u000a\u000a +p11131 +tp11132 +a(g111 +Vfunction +p11133 +tp11134 +a(g185 +V +tp11135 +a(g21 +VSplit +p11136 +tp11137 +a(g198 +V( +tp11138 +a(g111 +Vconst +p11139 +tp11140 +a(g185 +V +tp11141 +a(g18 +VS +tp11142 +a(g339 +V, +tp11143 +a(g185 +V +tp11144 +a(g18 +VSeparator +p11145 +tp11146 +a(g339 +V: +tp11147 +a(g185 +V +tp11148 +a(g111 +Vstring +p11149 +tp11150 +a(g339 +V; +tp11151 +a(g185 +V +tp11152 +a(g18 +VIgnoreMultiSep +p11153 +tp11154 +a(g339 +V: +tp11155 +a(g185 +V +tp11156 +a(g135 +VBoolean +p11157 +tp11158 +a(g185 +V +tp11159 +a(g339 +V= +tp11160 +a(g185 +V +tp11161 +a(g111 +VTrue +p11162 +tp11163 +a(g339 +V; +tp11164 +a(g185 +V\u000a +p11165 +tp11166 +a(g18 +VMinCount +p11167 +tp11168 +a(g339 +V: +tp11169 +a(g185 +V +tp11170 +a(g135 +VInteger +p11171 +tp11172 +a(g185 +V +tp11173 +a(g339 +V= +tp11174 +a(g185 +V +tp11175 +a(g314 +V0 +tp11176 +a(g198 +V) +tp11177 +a(g339 +V: +tp11178 +a(g185 +V +tp11179 +a(g18 +VTStrA +p11180 +tp11181 +a(g339 +V; +tp11182 +a(g185 +V\u000a +tp11183 +a(g111 +Vvar +p11184 +tp11185 +a(g185 +V\u000a +p11186 +tp11187 +a(g18 +Vp +tp11188 +a(g339 +V, +tp11189 +a(g185 +V +tp11190 +a(g18 +Vfin +p11191 +tp11192 +a(g339 +V, +tp11193 +a(g185 +V +tp11194 +a(g18 +VSepLen +p11195 +tp11196 +a(g339 +V: +tp11197 +a(g185 +V +tp11198 +a(g135 +VInteger +p11199 +tp11200 +a(g339 +V; +tp11201 +a(g185 +V\u000a\u000a +p11202 +tp11203 +a(g111 +Vprocedure +p11204 +tp11205 +a(g185 +V +tp11206 +a(g21 +VAdd +p11207 +tp11208 +a(g198 +V( +tp11209 +a(g111 +Vconst +p11210 +tp11211 +a(g185 +V +tp11212 +a(g18 +VS +tp11213 +a(g339 +V: +tp11214 +a(g185 +V +tp11215 +a(g111 +Vstring +p11216 +tp11217 +a(g198 +V) +tp11218 +a(g339 +V; +tp11219 +a(g185 +V\u000a +p11220 +tp11221 +a(g111 +Vbegin +p11222 +tp11223 +a(g185 +V\u000a +p11224 +tp11225 +a(g111 +Vif +p11226 +tp11227 +a(g185 +V +tp11228 +a(g18 +VIgnoreMultiSep +p11229 +tp11230 +a(g185 +V +tp11231 +a(g111 +Vand +p11232 +tp11233 +a(g185 +V +tp11234 +a(g198 +V( +tp11235 +a(g18 +VS +tp11236 +a(g185 +V +tp11237 +a(g339 +V= +tp11238 +a(g185 +V +tp11239 +a(g222 +V' +tp11240 +a(g222 +V' +tp11241 +a(g198 +V) +tp11242 +a(g185 +V +tp11243 +a(g111 +Vthen +p11244 +tp11245 +a(g185 +V\u000a +p11246 +tp11247 +a(g111 +VExit +p11248 +tp11249 +a(g339 +V; +tp11250 +a(g185 +V\u000a +p11251 +tp11252 +a(g57 +VSetLength +p11253 +tp11254 +a(g198 +V( +tp11255 +a(g60 +VResult +p11256 +tp11257 +a(g339 +V, +tp11258 +a(g185 +V +tp11259 +a(g57 +VLength +p11260 +tp11261 +a(g198 +V( +tp11262 +a(g60 +VResult +p11263 +tp11264 +a(g198 +V) +tp11265 +a(g185 +V +tp11266 +a(g339 +V+ +tp11267 +a(g185 +V +tp11268 +a(g314 +V1 +tp11269 +a(g198 +V) +tp11270 +a(g339 +V; +tp11271 +a(g185 +V\u000a +p11272 +tp11273 +a(g60 +VResult +p11274 +tp11275 +a(g198 +V[ +tp11276 +a(g57 +VHigh +p11277 +tp11278 +a(g198 +V( +tp11279 +a(g60 +VResult +p11280 +tp11281 +a(g198 +V)] +p11282 +tp11283 +a(g185 +V +tp11284 +a(g339 +V: +tp11285 +a(g339 +V= +tp11286 +a(g185 +V +tp11287 +a(g18 +VS +tp11288 +a(g339 +V; +tp11289 +a(g185 +V\u000a +p11290 +tp11291 +a(g111 +Vend +p11292 +tp11293 +a(g339 +V; +tp11294 +a(g185 +V\u000a\u000a +p11295 +tp11296 +a(g111 +Vbegin +p11297 +tp11298 +a(g185 +V\u000a +p11299 +tp11300 +a(g111 +Vif +p11301 +tp11302 +a(g185 +V +tp11303 +a(g18 +VS +tp11304 +a(g185 +V +tp11305 +a(g339 +V= +tp11306 +a(g185 +V +tp11307 +a(g222 +V' +tp11308 +a(g222 +V' +tp11309 +a(g185 +V +tp11310 +a(g111 +Vthen +p11311 +tp11312 +a(g185 +V +tp11313 +a(g111 +Vbegin +p11314 +tp11315 +a(g185 +V\u000a +p11316 +tp11317 +a(g111 +Vif +p11318 +tp11319 +a(g185 +V +tp11320 +a(g57 +VLength +p11321 +tp11322 +a(g198 +V( +tp11323 +a(g60 +VResult +p11324 +tp11325 +a(g198 +V) +tp11326 +a(g185 +V +tp11327 +a(g339 +V< +tp11328 +a(g185 +V +tp11329 +a(g18 +VMinCount +p11330 +tp11331 +a(g185 +V +tp11332 +a(g111 +Vthen +p11333 +tp11334 +a(g185 +V\u000a +p11335 +tp11336 +a(g57 +VSetLength +p11337 +tp11338 +a(g198 +V( +tp11339 +a(g60 +VResult +p11340 +tp11341 +a(g339 +V, +tp11342 +a(g185 +V +tp11343 +a(g18 +VMinCount +p11344 +tp11345 +a(g198 +V) +tp11346 +a(g339 +V; +tp11347 +a(g185 +V\u000a +p11348 +tp11349 +a(g111 +VExit +p11350 +tp11351 +a(g339 +V; +tp11352 +a(g185 +V +tp11353 +a(g111 +Vend +p11354 +tp11355 +a(g339 +V; +tp11356 +a(g185 +V\u000a\u000a +p11357 +tp11358 +a(g60 +VResult +p11359 +tp11360 +a(g185 +V +tp11361 +a(g339 +V: +tp11362 +a(g339 +V= +tp11363 +a(g185 +V +tp11364 +a(g111 +Vnil +p11365 +tp11366 +a(g339 +V; +tp11367 +a(g185 +V\u000a +p11368 +tp11369 +a(g18 +VSepLen +p11370 +tp11371 +a(g185 +V +tp11372 +a(g339 +V: +tp11373 +a(g339 +V= +tp11374 +a(g185 +V +tp11375 +a(g57 +VLength +p11376 +tp11377 +a(g198 +V( +tp11378 +a(g18 +VSeparator +p11379 +tp11380 +a(g198 +V) +tp11381 +a(g339 +V; +tp11382 +a(g185 +V\u000a\u000a +p11383 +tp11384 +a(g18 +Vp +tp11385 +a(g185 +V +tp11386 +a(g339 +V: +tp11387 +a(g339 +V= +tp11388 +a(g185 +V +tp11389 +a(g314 +V1 +tp11390 +a(g339 +V; +tp11391 +a(g185 +V\u000a +p11392 +tp11393 +a(g18 +Vfin +p11394 +tp11395 +a(g185 +V +tp11396 +a(g339 +V: +tp11397 +a(g339 +V= +tp11398 +a(g185 +V +tp11399 +a(g18 +VPosEx +p11400 +tp11401 +a(g198 +V( +tp11402 +a(g18 +VSeparator +p11403 +tp11404 +a(g339 +V, +tp11405 +a(g185 +V +tp11406 +a(g18 +VS +tp11407 +a(g198 +V) +tp11408 +a(g339 +V; +tp11409 +a(g185 +V\u000a +p11410 +tp11411 +a(g111 +Vwhile +p11412 +tp11413 +a(g185 +V +tp11414 +a(g18 +Vfin +p11415 +tp11416 +a(g185 +V +tp11417 +a(g339 +V> +tp11418 +a(g185 +V +tp11419 +a(g314 +V0 +tp11420 +a(g185 +V +tp11421 +a(g111 +Vdo +p11422 +tp11423 +a(g185 +V +tp11424 +a(g111 +Vbegin +p11425 +tp11426 +a(g185 +V\u000a +p11427 +tp11428 +a(g18 +VAdd +p11429 +tp11430 +a(g198 +V( +tp11431 +a(g57 +VCopy +p11432 +tp11433 +a(g198 +V( +tp11434 +a(g18 +VS +tp11435 +a(g339 +V, +tp11436 +a(g185 +V +tp11437 +a(g18 +Vp +tp11438 +a(g339 +V, +tp11439 +a(g185 +V +tp11440 +a(g18 +Vfin +p11441 +tp11442 +a(g185 +V +tp11443 +a(g339 +V- +tp11444 +a(g185 +V +tp11445 +a(g18 +Vp +tp11446 +a(g198 +V)) +p11447 +tp11448 +a(g339 +V; +tp11449 +a(g185 +V\u000a +p11450 +tp11451 +a(g18 +Vp +tp11452 +a(g185 +V +tp11453 +a(g339 +V: +tp11454 +a(g339 +V= +tp11455 +a(g185 +V +tp11456 +a(g18 +Vfin +p11457 +tp11458 +a(g185 +V +tp11459 +a(g339 +V+ +tp11460 +a(g185 +V +tp11461 +a(g18 +VSepLen +p11462 +tp11463 +a(g339 +V; +tp11464 +a(g185 +V\u000a +p11465 +tp11466 +a(g18 +Vfin +p11467 +tp11468 +a(g185 +V +tp11469 +a(g339 +V: +tp11470 +a(g339 +V= +tp11471 +a(g185 +V +tp11472 +a(g18 +VPosEx +p11473 +tp11474 +a(g198 +V( +tp11475 +a(g18 +VSeparator +p11476 +tp11477 +a(g339 +V, +tp11478 +a(g185 +V +tp11479 +a(g18 +VS +tp11480 +a(g339 +V, +tp11481 +a(g185 +V +tp11482 +a(g18 +Vp +tp11483 +a(g198 +V) +tp11484 +a(g339 +V; +tp11485 +a(g185 +V\u000a +p11486 +tp11487 +a(g111 +Vend +p11488 +tp11489 +a(g339 +V; +tp11490 +a(g185 +V\u000a +p11491 +tp11492 +a(g18 +VAdd +p11493 +tp11494 +a(g198 +V( +tp11495 +a(g57 +VCopy +p11496 +tp11497 +a(g198 +V( +tp11498 +a(g18 +VS +tp11499 +a(g339 +V, +tp11500 +a(g185 +V +tp11501 +a(g18 +Vp +tp11502 +a(g339 +V, +tp11503 +a(g185 +V +tp11504 +a(g57 +VLength +p11505 +tp11506 +a(g198 +V( +tp11507 +a(g18 +VS +tp11508 +a(g198 +V) +tp11509 +a(g185 +V +tp11510 +a(g339 +V- +tp11511 +a(g185 +V +tp11512 +a(g18 +Vp +tp11513 +a(g185 +V +tp11514 +a(g339 +V+ +tp11515 +a(g185 +V +tp11516 +a(g314 +V1 +tp11517 +a(g198 +V)) +p11518 +tp11519 +a(g339 +V; +tp11520 +a(g185 +V\u000a\u000a +p11521 +tp11522 +a(g111 +Vif +p11523 +tp11524 +a(g185 +V +tp11525 +a(g57 +VLength +p11526 +tp11527 +a(g198 +V( +tp11528 +a(g60 +VResult +p11529 +tp11530 +a(g198 +V) +tp11531 +a(g185 +V +tp11532 +a(g339 +V< +tp11533 +a(g185 +V +tp11534 +a(g18 +VMinCount +p11535 +tp11536 +a(g185 +V +tp11537 +a(g111 +Vthen +p11538 +tp11539 +a(g185 +V\u000a +p11540 +tp11541 +a(g57 +VSetLength +p11542 +tp11543 +a(g198 +V( +tp11544 +a(g60 +VResult +p11545 +tp11546 +a(g339 +V, +tp11547 +a(g185 +V +tp11548 +a(g18 +VMinCount +p11549 +tp11550 +a(g198 +V) +tp11551 +a(g339 +V; +tp11552 +a(g185 +V\u000a +tp11553 +a(g111 +Vend +p11554 +tp11555 +a(g339 +V; +tp11556 +a(g185 +V\u000a\u000a +p11557 +tp11558 +a(g111 +Vprocedure +p11559 +tp11560 +a(g185 +V +tp11561 +a(g21 +VSplit +p11562 +tp11563 +a(g198 +V( +tp11564 +a(g111 +Vconst +p11565 +tp11566 +a(g185 +V +tp11567 +a(g18 +VS +tp11568 +a(g339 +V, +tp11569 +a(g185 +V +tp11570 +a(g18 +VSeparator +p11571 +tp11572 +a(g339 +V: +tp11573 +a(g185 +V +tp11574 +a(g111 +Vstring +p11575 +tp11576 +a(g339 +V; +tp11577 +a(g185 +V +tp11578 +a(g18 +VStrings +p11579 +tp11580 +a(g339 +V: +tp11581 +a(g185 +V +tp11582 +a(g18 +VTStrings +p11583 +tp11584 +a(g339 +V; +tp11585 +a(g185 +V\u000a +p11586 +tp11587 +a(g18 +VIgnoreMultiSep +p11588 +tp11589 +a(g339 +V: +tp11590 +a(g185 +V +tp11591 +a(g135 +VBoolean +p11592 +tp11593 +a(g185 +V +tp11594 +a(g339 +V= +tp11595 +a(g185 +V +tp11596 +a(g111 +VTrue +p11597 +tp11598 +a(g198 +V) +tp11599 +a(g339 +V; +tp11600 +a(g185 +V \u000a +p11601 +tp11602 +a(g111 +Vvar +p11603 +tp11604 +a(g185 +V\u000a +p11605 +tp11606 +a(g18 +Vp +tp11607 +a(g339 +V, +tp11608 +a(g185 +V +tp11609 +a(g18 +Vfin +p11610 +tp11611 +a(g339 +V, +tp11612 +a(g185 +V +tp11613 +a(g18 +VSepLen +p11614 +tp11615 +a(g339 +V: +tp11616 +a(g185 +V +tp11617 +a(g135 +VInteger +p11618 +tp11619 +a(g339 +V; +tp11620 +a(g185 +V\u000a\u000a +p11621 +tp11622 +a(g111 +Vprocedure +p11623 +tp11624 +a(g185 +V +tp11625 +a(g21 +VAdd +p11626 +tp11627 +a(g198 +V( +tp11628 +a(g111 +Vconst +p11629 +tp11630 +a(g185 +V +tp11631 +a(g18 +VS +tp11632 +a(g339 +V: +tp11633 +a(g185 +V +tp11634 +a(g111 +Vstring +p11635 +tp11636 +a(g198 +V) +tp11637 +a(g339 +V; +tp11638 +a(g185 +V\u000a +p11639 +tp11640 +a(g111 +Vbegin +p11641 +tp11642 +a(g185 +V\u000a +p11643 +tp11644 +a(g111 +Vif +p11645 +tp11646 +a(g185 +V +tp11647 +a(g18 +VIgnoreMultiSep +p11648 +tp11649 +a(g185 +V +tp11650 +a(g111 +Vand +p11651 +tp11652 +a(g185 +V +tp11653 +a(g198 +V( +tp11654 +a(g18 +VS +tp11655 +a(g185 +V +tp11656 +a(g339 +V= +tp11657 +a(g185 +V +tp11658 +a(g222 +V' +tp11659 +a(g222 +V' +tp11660 +a(g198 +V) +tp11661 +a(g185 +V +tp11662 +a(g111 +Vthen +p11663 +tp11664 +a(g185 +V\u000a +p11665 +tp11666 +a(g111 +VExit +p11667 +tp11668 +a(g339 +V; +tp11669 +a(g185 +V\u000a +p11670 +tp11671 +a(g18 +VStrings +p11672 +tp11673 +a(g339 +V. +tp11674 +a(g18 +VAdd +p11675 +tp11676 +a(g198 +V( +tp11677 +a(g18 +VS +tp11678 +a(g198 +V) +tp11679 +a(g339 +V; +tp11680 +a(g185 +V\u000a +p11681 +tp11682 +a(g111 +Vend +p11683 +tp11684 +a(g339 +V; +tp11685 +a(g185 +V\u000a\u000a +p11686 +tp11687 +a(g111 +Vbegin +p11688 +tp11689 +a(g185 +V\u000a +p11690 +tp11691 +a(g111 +Vif +p11692 +tp11693 +a(g185 +V +tp11694 +a(g18 +VS +tp11695 +a(g185 +V +tp11696 +a(g339 +V= +tp11697 +a(g185 +V +tp11698 +a(g222 +V' +tp11699 +a(g222 +V' +tp11700 +a(g185 +V +tp11701 +a(g111 +Vthen +p11702 +tp11703 +a(g185 +V\u000a +p11704 +tp11705 +a(g111 +VExit +p11706 +tp11707 +a(g339 +V; +tp11708 +a(g185 +V\u000a\u000a +p11709 +tp11710 +a(g18 +VStrings +p11711 +tp11712 +a(g339 +V. +tp11713 +a(g18 +VBeginUpdate +p11714 +tp11715 +a(g339 +V; +tp11716 +a(g185 +V\u000a +p11717 +tp11718 +a(g18 +VSepLen +p11719 +tp11720 +a(g185 +V +tp11721 +a(g339 +V: +tp11722 +a(g339 +V= +tp11723 +a(g185 +V +tp11724 +a(g57 +VLength +p11725 +tp11726 +a(g198 +V( +tp11727 +a(g18 +VSeparator +p11728 +tp11729 +a(g198 +V) +tp11730 +a(g339 +V; +tp11731 +a(g185 +V\u000a +p11732 +tp11733 +a(g18 +Vp +tp11734 +a(g185 +V +tp11735 +a(g339 +V: +tp11736 +a(g339 +V= +tp11737 +a(g185 +V +tp11738 +a(g314 +V1 +tp11739 +a(g339 +V; +tp11740 +a(g185 +V\u000a +p11741 +tp11742 +a(g18 +Vfin +p11743 +tp11744 +a(g185 +V +tp11745 +a(g339 +V: +tp11746 +a(g339 +V= +tp11747 +a(g185 +V +tp11748 +a(g18 +VPosEx +p11749 +tp11750 +a(g198 +V( +tp11751 +a(g18 +VSeparator +p11752 +tp11753 +a(g339 +V, +tp11754 +a(g185 +V +tp11755 +a(g18 +VS +tp11756 +a(g198 +V) +tp11757 +a(g339 +V; +tp11758 +a(g185 +V\u000a +p11759 +tp11760 +a(g111 +Vwhile +p11761 +tp11762 +a(g185 +V +tp11763 +a(g18 +Vfin +p11764 +tp11765 +a(g185 +V +tp11766 +a(g339 +V> +tp11767 +a(g185 +V +tp11768 +a(g314 +V0 +tp11769 +a(g185 +V +tp11770 +a(g111 +Vdo +p11771 +tp11772 +a(g185 +V +tp11773 +a(g111 +Vbegin +p11774 +tp11775 +a(g185 +V\u000a +p11776 +tp11777 +a(g18 +VAdd +p11778 +tp11779 +a(g198 +V( +tp11780 +a(g57 +VCopy +p11781 +tp11782 +a(g198 +V( +tp11783 +a(g18 +VS +tp11784 +a(g339 +V, +tp11785 +a(g185 +V +tp11786 +a(g18 +Vp +tp11787 +a(g339 +V, +tp11788 +a(g185 +V +tp11789 +a(g18 +Vfin +p11790 +tp11791 +a(g185 +V +tp11792 +a(g339 +V- +tp11793 +a(g185 +V +tp11794 +a(g18 +Vp +tp11795 +a(g198 +V)) +p11796 +tp11797 +a(g339 +V; +tp11798 +a(g185 +V\u000a +p11799 +tp11800 +a(g18 +Vp +tp11801 +a(g185 +V +tp11802 +a(g339 +V: +tp11803 +a(g339 +V= +tp11804 +a(g185 +V +tp11805 +a(g18 +Vfin +p11806 +tp11807 +a(g185 +V +tp11808 +a(g339 +V+ +tp11809 +a(g185 +V +tp11810 +a(g18 +VSepLen +p11811 +tp11812 +a(g339 +V; +tp11813 +a(g185 +V\u000a +p11814 +tp11815 +a(g18 +Vfin +p11816 +tp11817 +a(g185 +V +tp11818 +a(g339 +V: +tp11819 +a(g339 +V= +tp11820 +a(g185 +V +tp11821 +a(g18 +VPosEx +p11822 +tp11823 +a(g198 +V( +tp11824 +a(g18 +VSeparator +p11825 +tp11826 +a(g339 +V, +tp11827 +a(g185 +V +tp11828 +a(g18 +VS +tp11829 +a(g339 +V, +tp11830 +a(g185 +V +tp11831 +a(g18 +Vp +tp11832 +a(g198 +V) +tp11833 +a(g339 +V; +tp11834 +a(g185 +V\u000a +p11835 +tp11836 +a(g111 +Vend +p11837 +tp11838 +a(g339 +V; +tp11839 +a(g185 +V\u000a +p11840 +tp11841 +a(g18 +VAdd +p11842 +tp11843 +a(g198 +V( +tp11844 +a(g57 +VCopy +p11845 +tp11846 +a(g198 +V( +tp11847 +a(g18 +VS +tp11848 +a(g339 +V, +tp11849 +a(g185 +V +tp11850 +a(g18 +Vp +tp11851 +a(g339 +V, +tp11852 +a(g185 +V +tp11853 +a(g57 +VLength +p11854 +tp11855 +a(g198 +V( +tp11856 +a(g18 +VS +tp11857 +a(g198 +V) +tp11858 +a(g185 +V +tp11859 +a(g339 +V- +tp11860 +a(g185 +V +tp11861 +a(g18 +Vp +tp11862 +a(g185 +V +tp11863 +a(g339 +V+ +tp11864 +a(g185 +V +tp11865 +a(g314 +V1 +tp11866 +a(g198 +V)) +p11867 +tp11868 +a(g339 +V; +tp11869 +a(g185 +V\u000a +p11870 +tp11871 +a(g18 +VStrings +p11872 +tp11873 +a(g339 +V. +tp11874 +a(g18 +VEndUpdate +p11875 +tp11876 +a(g339 +V; +tp11877 +a(g185 +V\u000a +tp11878 +a(g111 +Vend +p11879 +tp11880 +a(g339 +V; +tp11881 +a(g185 +V\u000a\u000a +p11882 +tp11883 +a(g111 +Vfunction +p11884 +tp11885 +a(g185 +V +tp11886 +a(g21 +VSplit +p11887 +tp11888 +a(g198 +V( +tp11889 +a(g111 +Vconst +p11890 +tp11891 +a(g185 +V +tp11892 +a(g18 +VS +tp11893 +a(g339 +V: +tp11894 +a(g185 +V +tp11895 +a(g111 +Vstring +p11896 +tp11897 +a(g339 +V; +tp11898 +a(g185 +V +tp11899 +a(g18 +VSeparators +p11900 +tp11901 +a(g339 +V: +tp11902 +a(g185 +V +tp11903 +a(g18 +VTCharSet +p11904 +tp11905 +a(g339 +V; +tp11906 +a(g185 +V\u000a +p11907 +tp11908 +a(g18 +VIgnoreMultiSep +p11909 +tp11910 +a(g339 +V: +tp11911 +a(g185 +V +tp11912 +a(g135 +VBoolean +p11913 +tp11914 +a(g185 +V +tp11915 +a(g339 +V= +tp11916 +a(g185 +V +tp11917 +a(g111 +VTrue +p11918 +tp11919 +a(g339 +V; +tp11920 +a(g185 +V +tp11921 +a(g18 +VMinCount +p11922 +tp11923 +a(g339 +V: +tp11924 +a(g185 +V +tp11925 +a(g135 +VInteger +p11926 +tp11927 +a(g185 +V +tp11928 +a(g339 +V= +tp11929 +a(g185 +V +tp11930 +a(g314 +V0 +tp11931 +a(g198 +V) +tp11932 +a(g339 +V: +tp11933 +a(g185 +V +tp11934 +a(g18 +VTStrA +p11935 +tp11936 +a(g339 +V; +tp11937 +a(g185 +V\u000a +tp11938 +a(g111 +Vvar +p11939 +tp11940 +a(g185 +V\u000a +p11941 +tp11942 +a(g18 +Vp +tp11943 +a(g339 +V, +tp11944 +a(g185 +V +tp11945 +a(g18 +Vfin +p11946 +tp11947 +a(g339 +V: +tp11948 +a(g185 +V +tp11949 +a(g135 +VInteger +p11950 +tp11951 +a(g339 +V; +tp11952 +a(g185 +V\u000a\u000a +p11953 +tp11954 +a(g111 +Vprocedure +p11955 +tp11956 +a(g185 +V +tp11957 +a(g21 +VAdd +p11958 +tp11959 +a(g198 +V( +tp11960 +a(g111 +Vconst +p11961 +tp11962 +a(g185 +V +tp11963 +a(g18 +VS +tp11964 +a(g339 +V: +tp11965 +a(g185 +V +tp11966 +a(g111 +Vstring +p11967 +tp11968 +a(g198 +V) +tp11969 +a(g339 +V; +tp11970 +a(g185 +V\u000a +p11971 +tp11972 +a(g111 +Vbegin +p11973 +tp11974 +a(g185 +V\u000a +p11975 +tp11976 +a(g111 +Vif +p11977 +tp11978 +a(g185 +V +tp11979 +a(g18 +VIgnoreMultiSep +p11980 +tp11981 +a(g185 +V +tp11982 +a(g111 +Vand +p11983 +tp11984 +a(g185 +V +tp11985 +a(g198 +V( +tp11986 +a(g18 +VS +tp11987 +a(g185 +V +tp11988 +a(g339 +V= +tp11989 +a(g185 +V +tp11990 +a(g222 +V' +tp11991 +a(g222 +V' +tp11992 +a(g198 +V) +tp11993 +a(g185 +V +tp11994 +a(g111 +Vthen +p11995 +tp11996 +a(g185 +V\u000a +p11997 +tp11998 +a(g111 +VExit +p11999 +tp12000 +a(g339 +V; +tp12001 +a(g185 +V\u000a +p12002 +tp12003 +a(g57 +VSetLength +p12004 +tp12005 +a(g198 +V( +tp12006 +a(g60 +VResult +p12007 +tp12008 +a(g339 +V, +tp12009 +a(g185 +V +tp12010 +a(g57 +VLength +p12011 +tp12012 +a(g198 +V( +tp12013 +a(g60 +VResult +p12014 +tp12015 +a(g198 +V) +tp12016 +a(g185 +V +tp12017 +a(g339 +V+ +tp12018 +a(g185 +V +tp12019 +a(g314 +V1 +tp12020 +a(g198 +V) +tp12021 +a(g339 +V; +tp12022 +a(g185 +V\u000a +p12023 +tp12024 +a(g60 +VResult +p12025 +tp12026 +a(g198 +V[ +tp12027 +a(g57 +VHigh +p12028 +tp12029 +a(g198 +V( +tp12030 +a(g60 +VResult +p12031 +tp12032 +a(g198 +V)] +p12033 +tp12034 +a(g185 +V +tp12035 +a(g339 +V: +tp12036 +a(g339 +V= +tp12037 +a(g185 +V +tp12038 +a(g18 +VS +tp12039 +a(g339 +V; +tp12040 +a(g185 +V\u000a +p12041 +tp12042 +a(g111 +Vend +p12043 +tp12044 +a(g339 +V; +tp12045 +a(g185 +V\u000a\u000a +p12046 +tp12047 +a(g111 +Vbegin +p12048 +tp12049 +a(g185 +V\u000a +p12050 +tp12051 +a(g111 +Vif +p12052 +tp12053 +a(g185 +V +tp12054 +a(g18 +VS +tp12055 +a(g185 +V +tp12056 +a(g339 +V= +tp12057 +a(g185 +V +tp12058 +a(g222 +V' +tp12059 +a(g222 +V' +tp12060 +a(g185 +V +tp12061 +a(g111 +Vthen +p12062 +tp12063 +a(g185 +V +tp12064 +a(g111 +Vbegin +p12065 +tp12066 +a(g185 +V\u000a +p12067 +tp12068 +a(g111 +Vif +p12069 +tp12070 +a(g185 +V +tp12071 +a(g57 +VLength +p12072 +tp12073 +a(g198 +V( +tp12074 +a(g60 +VResult +p12075 +tp12076 +a(g198 +V) +tp12077 +a(g185 +V +tp12078 +a(g339 +V< +tp12079 +a(g185 +V +tp12080 +a(g18 +VMinCount +p12081 +tp12082 +a(g185 +V +tp12083 +a(g111 +Vthen +p12084 +tp12085 +a(g185 +V\u000a +p12086 +tp12087 +a(g57 +VSetLength +p12088 +tp12089 +a(g198 +V( +tp12090 +a(g60 +VResult +p12091 +tp12092 +a(g339 +V, +tp12093 +a(g185 +V +tp12094 +a(g18 +VMinCount +p12095 +tp12096 +a(g198 +V) +tp12097 +a(g339 +V; +tp12098 +a(g185 +V\u000a +p12099 +tp12100 +a(g111 +VExit +p12101 +tp12102 +a(g339 +V; +tp12103 +a(g185 +V +tp12104 +a(g111 +Vend +p12105 +tp12106 +a(g339 +V; +tp12107 +a(g185 +V\u000a\u000a +p12108 +tp12109 +a(g60 +VResult +p12110 +tp12111 +a(g185 +V +tp12112 +a(g339 +V: +tp12113 +a(g339 +V= +tp12114 +a(g185 +V +tp12115 +a(g111 +Vnil +p12116 +tp12117 +a(g339 +V; +tp12118 +a(g185 +V\u000a\u000a +p12119 +tp12120 +a(g18 +Vp +tp12121 +a(g185 +V +tp12122 +a(g339 +V: +tp12123 +a(g339 +V= +tp12124 +a(g185 +V +tp12125 +a(g314 +V1 +tp12126 +a(g339 +V; +tp12127 +a(g185 +V\u000a +p12128 +tp12129 +a(g18 +Vfin +p12130 +tp12131 +a(g185 +V +tp12132 +a(g339 +V: +tp12133 +a(g339 +V= +tp12134 +a(g185 +V +tp12135 +a(g18 +VCharPos +p12136 +tp12137 +a(g198 +V( +tp12138 +a(g18 +VSeparators +p12139 +tp12140 +a(g339 +V, +tp12141 +a(g185 +V +tp12142 +a(g18 +VS +tp12143 +a(g198 +V) +tp12144 +a(g339 +V; +tp12145 +a(g185 +V\u000a +p12146 +tp12147 +a(g111 +Vwhile +p12148 +tp12149 +a(g185 +V +tp12150 +a(g18 +Vfin +p12151 +tp12152 +a(g185 +V +tp12153 +a(g339 +V> +tp12154 +a(g185 +V +tp12155 +a(g314 +V0 +tp12156 +a(g185 +V +tp12157 +a(g111 +Vdo +p12158 +tp12159 +a(g185 +V +tp12160 +a(g111 +Vbegin +p12161 +tp12162 +a(g185 +V\u000a +p12163 +tp12164 +a(g18 +VAdd +p12165 +tp12166 +a(g198 +V( +tp12167 +a(g57 +VCopy +p12168 +tp12169 +a(g198 +V( +tp12170 +a(g18 +VS +tp12171 +a(g339 +V, +tp12172 +a(g185 +V +tp12173 +a(g18 +Vp +tp12174 +a(g339 +V, +tp12175 +a(g185 +V +tp12176 +a(g18 +Vfin +p12177 +tp12178 +a(g185 +V +tp12179 +a(g339 +V- +tp12180 +a(g185 +V +tp12181 +a(g18 +Vp +tp12182 +a(g198 +V)) +p12183 +tp12184 +a(g339 +V; +tp12185 +a(g185 +V\u000a +p12186 +tp12187 +a(g18 +Vp +tp12188 +a(g185 +V +tp12189 +a(g339 +V: +tp12190 +a(g339 +V= +tp12191 +a(g185 +V +tp12192 +a(g18 +Vfin +p12193 +tp12194 +a(g185 +V +tp12195 +a(g339 +V+ +tp12196 +a(g185 +V +tp12197 +a(g314 +V1 +tp12198 +a(g339 +V; +tp12199 +a(g185 +V\u000a +p12200 +tp12201 +a(g18 +Vfin +p12202 +tp12203 +a(g185 +V +tp12204 +a(g339 +V: +tp12205 +a(g339 +V= +tp12206 +a(g185 +V +tp12207 +a(g18 +VCharPos +p12208 +tp12209 +a(g198 +V( +tp12210 +a(g18 +VSeparators +p12211 +tp12212 +a(g339 +V, +tp12213 +a(g185 +V +tp12214 +a(g18 +VS +tp12215 +a(g339 +V, +tp12216 +a(g185 +V +tp12217 +a(g18 +Vp +tp12218 +a(g198 +V) +tp12219 +a(g339 +V; +tp12220 +a(g185 +V\u000a +p12221 +tp12222 +a(g111 +Vend +p12223 +tp12224 +a(g339 +V; +tp12225 +a(g185 +V\u000a +p12226 +tp12227 +a(g18 +VAdd +p12228 +tp12229 +a(g198 +V( +tp12230 +a(g57 +VCopy +p12231 +tp12232 +a(g198 +V( +tp12233 +a(g18 +VS +tp12234 +a(g339 +V, +tp12235 +a(g185 +V +tp12236 +a(g18 +Vp +tp12237 +a(g339 +V, +tp12238 +a(g185 +V +tp12239 +a(g57 +VLength +p12240 +tp12241 +a(g198 +V( +tp12242 +a(g18 +VS +tp12243 +a(g198 +V) +tp12244 +a(g185 +V +tp12245 +a(g339 +V- +tp12246 +a(g185 +V +tp12247 +a(g18 +Vp +tp12248 +a(g185 +V +tp12249 +a(g339 +V+ +tp12250 +a(g185 +V +tp12251 +a(g314 +V1 +tp12252 +a(g198 +V)) +p12253 +tp12254 +a(g339 +V; +tp12255 +a(g185 +V\u000a\u000a +p12256 +tp12257 +a(g111 +Vif +p12258 +tp12259 +a(g185 +V +tp12260 +a(g57 +VLength +p12261 +tp12262 +a(g198 +V( +tp12263 +a(g60 +VResult +p12264 +tp12265 +a(g198 +V) +tp12266 +a(g185 +V +tp12267 +a(g339 +V< +tp12268 +a(g185 +V +tp12269 +a(g18 +VMinCount +p12270 +tp12271 +a(g185 +V +tp12272 +a(g111 +Vthen +p12273 +tp12274 +a(g185 +V\u000a +p12275 +tp12276 +a(g57 +VSetLength +p12277 +tp12278 +a(g198 +V( +tp12279 +a(g60 +VResult +p12280 +tp12281 +a(g339 +V, +tp12282 +a(g185 +V +tp12283 +a(g18 +VMinCount +p12284 +tp12285 +a(g198 +V) +tp12286 +a(g339 +V; +tp12287 +a(g185 +V\u000a +tp12288 +a(g111 +Vend +p12289 +tp12290 +a(g339 +V; +tp12291 +a(g185 +V\u000a\u000a +p12292 +tp12293 +a(g111 +Vprocedure +p12294 +tp12295 +a(g185 +V +tp12296 +a(g21 +VTileStr +p12297 +tp12298 +a(g198 +V( +tp12299 +a(g111 +Vconst +p12300 +tp12301 +a(g185 +V +tp12302 +a(g18 +VS +tp12303 +a(g339 +V: +tp12304 +a(g185 +V +tp12305 +a(g111 +Vstring +p12306 +tp12307 +a(g339 +V; +tp12308 +a(g185 +V +tp12309 +a(g18 +VBrakeStart +p12310 +tp12311 +a(g339 +V: +tp12312 +a(g185 +V +tp12313 +a(g135 +VInteger +p12314 +tp12315 +a(g339 +V; +tp12316 +a(g185 +V +tp12317 +a(g18 +VBrakeEnd +p12318 +tp12319 +a(g339 +V: +tp12320 +a(g185 +V +tp12321 +a(g135 +VInteger +p12322 +tp12323 +a(g339 +V; +tp12324 +a(g185 +V\u000a +p12325 +tp12326 +a(g18 +Vout +p12327 +tp12328 +a(g185 +V +tp12329 +a(g18 +VLeft +p12330 +tp12331 +a(g339 +V, +tp12332 +a(g185 +V +tp12333 +a(g18 +VRight +p12334 +tp12335 +a(g339 +V: +tp12336 +a(g185 +V +tp12337 +a(g111 +Vstring +p12338 +tp12339 +a(g198 +V) +tp12340 +a(g339 +V; +tp12341 +a(g185 +V\u000a +tp12342 +a(g111 +Vbegin +p12343 +tp12344 +a(g185 +V\u000a +p12345 +tp12346 +a(g18 +VLeft +p12347 +tp12348 +a(g185 +V +tp12349 +a(g339 +V: +tp12350 +a(g339 +V= +tp12351 +a(g185 +V +tp12352 +a(g57 +VCopy +p12353 +tp12354 +a(g198 +V( +tp12355 +a(g18 +VS +tp12356 +a(g339 +V, +tp12357 +a(g185 +V +tp12358 +a(g314 +V1 +tp12359 +a(g339 +V, +tp12360 +a(g185 +V +tp12361 +a(g18 +VBrakeStart +p12362 +tp12363 +a(g339 +V- +tp12364 +a(g314 +V1 +tp12365 +a(g198 +V) +tp12366 +a(g339 +V; +tp12367 +a(g185 +V\u000a +p12368 +tp12369 +a(g18 +VRight +p12370 +tp12371 +a(g185 +V +tp12372 +a(g339 +V: +tp12373 +a(g339 +V= +tp12374 +a(g185 +V +tp12375 +a(g57 +VCopy +p12376 +tp12377 +a(g198 +V( +tp12378 +a(g18 +VS +tp12379 +a(g339 +V, +tp12380 +a(g185 +V +tp12381 +a(g18 +VBrakeEnd +p12382 +tp12383 +a(g185 +V +tp12384 +a(g339 +V+ +tp12385 +a(g185 +V +tp12386 +a(g314 +V1 +tp12387 +a(g339 +V, +tp12388 +a(g185 +V +tp12389 +a(g18 +VMaxInt +p12390 +tp12391 +a(g198 +V) +tp12392 +a(g339 +V; +tp12393 +a(g185 +V\u000a +tp12394 +a(g111 +Vend +p12395 +tp12396 +a(g339 +V; +tp12397 +a(g185 +V\u000a\u000a +p12398 +tp12399 +a(g111 +Vfunction +p12400 +tp12401 +a(g185 +V +tp12402 +a(g21 +VJoin +p12403 +tp12404 +a(g198 +V( +tp12405 +a(g18 +VStrings +p12406 +tp12407 +a(g339 +V: +tp12408 +a(g185 +V +tp12409 +a(g18 +VTStrings +p12410 +tp12411 +a(g339 +V; +tp12412 +a(g185 +V +tp12413 +a(g18 +VSeparator +p12414 +tp12415 +a(g339 +V: +tp12416 +a(g185 +V +tp12417 +a(g111 +Vstring +p12418 +tp12419 +a(g185 +V +tp12420 +a(g339 +V= +tp12421 +a(g185 +V +tp12422 +a(g222 +V' +tp12423 +a(g222 +V +tp12424 +a(g222 +V' +tp12425 +a(g198 +V) +tp12426 +a(g339 +V: +tp12427 +a(g185 +V +tp12428 +a(g111 +Vstring +p12429 +tp12430 +a(g339 +V; +tp12431 +a(g185 +V\u000a +tp12432 +a(g111 +Vvar +p12433 +tp12434 +a(g185 +V\u000a +p12435 +tp12436 +a(g18 +Vi +tp12437 +a(g339 +V, +tp12438 +a(g185 +V +tp12439 +a(g18 +Vimax +p12440 +tp12441 +a(g339 +V: +tp12442 +a(g185 +V +tp12443 +a(g135 +VInteger +p12444 +tp12445 +a(g339 +V; +tp12446 +a(g185 +V\u000a +tp12447 +a(g111 +Vbegin +p12448 +tp12449 +a(g185 +V\u000a +p12450 +tp12451 +a(g60 +VResult +p12452 +tp12453 +a(g185 +V +tp12454 +a(g339 +V: +tp12455 +a(g339 +V= +tp12456 +a(g185 +V +tp12457 +a(g222 +V' +tp12458 +a(g222 +V' +tp12459 +a(g339 +V; +tp12460 +a(g185 +V\u000a +p12461 +tp12462 +a(g18 +Vimax +p12463 +tp12464 +a(g185 +V +tp12465 +a(g339 +V: +tp12466 +a(g339 +V= +tp12467 +a(g185 +V +tp12468 +a(g18 +VStrings +p12469 +tp12470 +a(g339 +V. +tp12471 +a(g18 +VCount +p12472 +tp12473 +a(g339 +V- +tp12474 +a(g314 +V1 +tp12475 +a(g339 +V; +tp12476 +a(g185 +V\u000a +p12477 +tp12478 +a(g111 +Vfor +p12479 +tp12480 +a(g185 +V +tp12481 +a(g18 +Vi +tp12482 +a(g185 +V +tp12483 +a(g339 +V: +tp12484 +a(g339 +V= +tp12485 +a(g185 +V +tp12486 +a(g314 +V0 +tp12487 +a(g185 +V +tp12488 +a(g111 +Vto +p12489 +tp12490 +a(g185 +V +tp12491 +a(g18 +Vimax +p12492 +tp12493 +a(g185 +V +tp12494 +a(g111 +Vdo +p12495 +tp12496 +a(g185 +V +tp12497 +a(g111 +Vbegin +p12498 +tp12499 +a(g185 +V\u000a +p12500 +tp12501 +a(g60 +VResult +p12502 +tp12503 +a(g185 +V +tp12504 +a(g339 +V: +tp12505 +a(g339 +V= +tp12506 +a(g185 +V +tp12507 +a(g60 +VResult +p12508 +tp12509 +a(g185 +V +tp12510 +a(g339 +V+ +tp12511 +a(g185 +V +tp12512 +a(g18 +VStrings +p12513 +tp12514 +a(g198 +V[ +tp12515 +a(g18 +Vi +tp12516 +a(g198 +V] +tp12517 +a(g339 +V; +tp12518 +a(g185 +V\u000a +p12519 +tp12520 +a(g111 +Vif +p12521 +tp12522 +a(g185 +V +tp12523 +a(g18 +Vi +tp12524 +a(g185 +V +tp12525 +a(g339 +V< +tp12526 +a(g185 +V +tp12527 +a(g18 +Vimax +p12528 +tp12529 +a(g185 +V +tp12530 +a(g111 +Vthen +p12531 +tp12532 +a(g185 +V\u000a +p12533 +tp12534 +a(g60 +VResult +p12535 +tp12536 +a(g185 +V +tp12537 +a(g339 +V: +tp12538 +a(g339 +V= +tp12539 +a(g185 +V +tp12540 +a(g60 +VResult +p12541 +tp12542 +a(g185 +V +tp12543 +a(g339 +V+ +tp12544 +a(g185 +V +tp12545 +a(g18 +VSeparator +p12546 +tp12547 +a(g339 +V; +tp12548 +a(g185 +V\u000a +p12549 +tp12550 +a(g111 +Vend +p12551 +tp12552 +a(g339 +V; +tp12553 +a(g185 +V\u000a +tp12554 +a(g111 +Vend +p12555 +tp12556 +a(g339 +V; +tp12557 +a(g185 +V\u000a\u000a +p12558 +tp12559 +a(g111 +Vfunction +p12560 +tp12561 +a(g185 +V +tp12562 +a(g21 +VJoin +p12563 +tp12564 +a(g198 +V( +tp12565 +a(g18 +VStrA +p12566 +tp12567 +a(g339 +V: +tp12568 +a(g185 +V +tp12569 +a(g18 +VTStrA +p12570 +tp12571 +a(g339 +V; +tp12572 +a(g185 +V +tp12573 +a(g18 +VSeparator +p12574 +tp12575 +a(g339 +V: +tp12576 +a(g185 +V +tp12577 +a(g111 +Vstring +p12578 +tp12579 +a(g185 +V +tp12580 +a(g339 +V= +tp12581 +a(g185 +V +tp12582 +a(g222 +V' +tp12583 +a(g222 +V +tp12584 +a(g222 +V' +tp12585 +a(g198 +V) +tp12586 +a(g339 +V: +tp12587 +a(g185 +V +tp12588 +a(g111 +Vstring +p12589 +tp12590 +a(g339 +V; +tp12591 +a(g185 +V +tp12592 +a(g18 +Voverload +p12593 +tp12594 +a(g339 +V; +tp12595 +a(g185 +V\u000a +tp12596 +a(g111 +Vvar +p12597 +tp12598 +a(g185 +V\u000a +p12599 +tp12600 +a(g18 +Vi +tp12601 +a(g339 +V: +tp12602 +a(g185 +V +tp12603 +a(g135 +VInteger +p12604 +tp12605 +a(g339 +V; +tp12606 +a(g185 +V\u000a +tp12607 +a(g111 +Vbegin +p12608 +tp12609 +a(g185 +V\u000a +p12610 +tp12611 +a(g60 +VResult +p12612 +tp12613 +a(g185 +V +tp12614 +a(g339 +V: +tp12615 +a(g339 +V= +tp12616 +a(g185 +V +tp12617 +a(g222 +V' +tp12618 +a(g222 +V' +tp12619 +a(g339 +V; +tp12620 +a(g185 +V\u000a +p12621 +tp12622 +a(g111 +Vfor +p12623 +tp12624 +a(g185 +V +tp12625 +a(g18 +Vi +tp12626 +a(g185 +V +tp12627 +a(g339 +V: +tp12628 +a(g339 +V= +tp12629 +a(g185 +V +tp12630 +a(g314 +V0 +tp12631 +a(g185 +V +tp12632 +a(g111 +Vto +p12633 +tp12634 +a(g185 +V +tp12635 +a(g57 +VHigh +p12636 +tp12637 +a(g198 +V( +tp12638 +a(g18 +VStrA +p12639 +tp12640 +a(g198 +V) +tp12641 +a(g185 +V +tp12642 +a(g111 +Vdo +p12643 +tp12644 +a(g185 +V +tp12645 +a(g111 +Vbegin +p12646 +tp12647 +a(g185 +V\u000a +p12648 +tp12649 +a(g60 +VResult +p12650 +tp12651 +a(g185 +V +tp12652 +a(g339 +V: +tp12653 +a(g339 +V= +tp12654 +a(g185 +V +tp12655 +a(g60 +VResult +p12656 +tp12657 +a(g185 +V +tp12658 +a(g339 +V+ +tp12659 +a(g185 +V +tp12660 +a(g18 +VStrA +p12661 +tp12662 +a(g198 +V[ +tp12663 +a(g18 +Vi +tp12664 +a(g198 +V] +tp12665 +a(g339 +V; +tp12666 +a(g185 +V\u000a +p12667 +tp12668 +a(g111 +Vif +p12669 +tp12670 +a(g185 +V +tp12671 +a(g18 +Vi +tp12672 +a(g185 +V +tp12673 +a(g339 +V< +tp12674 +a(g185 +V +tp12675 +a(g57 +VHigh +p12676 +tp12677 +a(g198 +V( +tp12678 +a(g18 +VStrA +p12679 +tp12680 +a(g198 +V) +tp12681 +a(g185 +V +tp12682 +a(g111 +Vthen +p12683 +tp12684 +a(g185 +V\u000a +p12685 +tp12686 +a(g60 +VResult +p12687 +tp12688 +a(g185 +V +tp12689 +a(g339 +V: +tp12690 +a(g339 +V= +tp12691 +a(g185 +V +tp12692 +a(g60 +VResult +p12693 +tp12694 +a(g185 +V +tp12695 +a(g339 +V+ +tp12696 +a(g185 +V +tp12697 +a(g18 +VSeparator +p12698 +tp12699 +a(g339 +V; +tp12700 +a(g185 +V\u000a +p12701 +tp12702 +a(g111 +Vend +p12703 +tp12704 +a(g339 +V; +tp12705 +a(g185 +V\u000a +tp12706 +a(g111 +Vend +p12707 +tp12708 +a(g339 +V; +tp12709 +a(g185 +V\u000a\u000a +p12710 +tp12711 +a(g111 +Vfunction +p12712 +tp12713 +a(g185 +V +tp12714 +a(g21 +VMulStr +p12715 +tp12716 +a(g198 +V( +tp12717 +a(g111 +Vconst +p12718 +tp12719 +a(g185 +V +tp12720 +a(g18 +VS +tp12721 +a(g339 +V: +tp12722 +a(g185 +V +tp12723 +a(g111 +Vstring +p12724 +tp12725 +a(g339 +V; +tp12726 +a(g185 +V +tp12727 +a(g18 +VCount +p12728 +tp12729 +a(g339 +V: +tp12730 +a(g185 +V +tp12731 +a(g135 +VInteger +p12732 +tp12733 +a(g198 +V) +tp12734 +a(g339 +V: +tp12735 +a(g185 +V +tp12736 +a(g111 +Vstring +p12737 +tp12738 +a(g339 +V; +tp12739 +a(g185 +V\u000a +tp12740 +a(g111 +Vvar +p12741 +tp12742 +a(g185 +V\u000a +p12743 +tp12744 +a(g18 +VP +tp12745 +a(g339 +V: +tp12746 +a(g185 +V +tp12747 +a(g135 +VPChar +p12748 +tp12749 +a(g339 +V; +tp12750 +a(g185 +V\u000a +p12751 +tp12752 +a(g18 +VLen +p12753 +tp12754 +a(g339 +V, +tp12755 +a(g185 +V +tp12756 +a(g18 +Vi +tp12757 +a(g339 +V: +tp12758 +a(g185 +V +tp12759 +a(g135 +VInteger +p12760 +tp12761 +a(g339 +V; +tp12762 +a(g185 +V\u000a +tp12763 +a(g111 +Vbegin +p12764 +tp12765 +a(g185 +V\u000a +p12766 +tp12767 +a(g60 +VResult +p12768 +tp12769 +a(g185 +V +tp12770 +a(g339 +V: +tp12771 +a(g339 +V= +tp12772 +a(g185 +V +tp12773 +a(g222 +V' +tp12774 +a(g222 +V' +tp12775 +a(g339 +V; +tp12776 +a(g185 +V\u000a +p12777 +tp12778 +a(g111 +Vif +p12779 +tp12780 +a(g185 +V +tp12781 +a(g18 +VCount +p12782 +tp12783 +a(g185 +V +tp12784 +a(g339 +V= +tp12785 +a(g185 +V +tp12786 +a(g314 +V0 +tp12787 +a(g185 +V +tp12788 +a(g111 +Vthen +p12789 +tp12790 +a(g185 +V\u000a +p12791 +tp12792 +a(g111 +VExit +p12793 +tp12794 +a(g339 +V; +tp12795 +a(g185 +V\u000a\u000a +p12796 +tp12797 +a(g18 +VLen +p12798 +tp12799 +a(g185 +V +tp12800 +a(g339 +V: +tp12801 +a(g339 +V= +tp12802 +a(g185 +V +tp12803 +a(g57 +VLength +p12804 +tp12805 +a(g198 +V( +tp12806 +a(g18 +VS +tp12807 +a(g198 +V) +tp12808 +a(g339 +V; +tp12809 +a(g185 +V\u000a +p12810 +tp12811 +a(g57 +VSetLength +p12812 +tp12813 +a(g198 +V( +tp12814 +a(g60 +VResult +p12815 +tp12816 +a(g339 +V, +tp12817 +a(g185 +V +tp12818 +a(g18 +VLen +p12819 +tp12820 +a(g185 +V +tp12821 +a(g339 +V* +tp12822 +a(g185 +V +tp12823 +a(g18 +VCount +p12824 +tp12825 +a(g198 +V) +tp12826 +a(g339 +V; +tp12827 +a(g185 +V\u000a\u000a +p12828 +tp12829 +a(g18 +VP +tp12830 +a(g185 +V +tp12831 +a(g339 +V: +tp12832 +a(g339 +V= +tp12833 +a(g185 +V +tp12834 +a(g135 +VPointer +p12835 +tp12836 +a(g198 +V( +tp12837 +a(g60 +VResult +p12838 +tp12839 +a(g198 +V) +tp12840 +a(g339 +V; +tp12841 +a(g185 +V\u000a +p12842 +tp12843 +a(g111 +Vfor +p12844 +tp12845 +a(g185 +V +tp12846 +a(g18 +Vi +tp12847 +a(g185 +V +tp12848 +a(g339 +V: +tp12849 +a(g339 +V= +tp12850 +a(g185 +V +tp12851 +a(g314 +V1 +tp12852 +a(g185 +V +tp12853 +a(g111 +Vto +p12854 +tp12855 +a(g185 +V +tp12856 +a(g18 +VCount +p12857 +tp12858 +a(g185 +V +tp12859 +a(g111 +Vdo +p12860 +tp12861 +a(g185 +V +tp12862 +a(g111 +Vbegin +p12863 +tp12864 +a(g185 +V\u000a +p12865 +tp12866 +a(g57 +VMove +p12867 +tp12868 +a(g198 +V( +tp12869 +a(g135 +VPointer +p12870 +tp12871 +a(g198 +V( +tp12872 +a(g18 +VS +tp12873 +a(g198 +V) +tp12874 +a(g339 +V^ +tp12875 +a(g339 +V, +tp12876 +a(g185 +V +tp12877 +a(g18 +VP +tp12878 +a(g339 +V^ +tp12879 +a(g339 +V, +tp12880 +a(g185 +V +tp12881 +a(g18 +VLen +p12882 +tp12883 +a(g198 +V) +tp12884 +a(g339 +V; +tp12885 +a(g185 +V\u000a +p12886 +tp12887 +a(g57 +VInc +p12888 +tp12889 +a(g198 +V( +tp12890 +a(g18 +VP +tp12891 +a(g339 +V, +tp12892 +a(g185 +V +tp12893 +a(g18 +VLen +p12894 +tp12895 +a(g198 +V) +tp12896 +a(g339 +V; +tp12897 +a(g185 +V\u000a +p12898 +tp12899 +a(g111 +Vend +p12900 +tp12901 +a(g339 +V; +tp12902 +a(g185 +V\u000a +tp12903 +a(g111 +Vend +p12904 +tp12905 +a(g339 +V; +tp12906 +a(g185 +V\u000a\u000a +p12907 +tp12908 +a(g111 +Vfunction +p12909 +tp12910 +a(g185 +V +tp12911 +a(g21 +VAlignR +p12912 +tp12913 +a(g198 +V( +tp12914 +a(g111 +Vconst +p12915 +tp12916 +a(g185 +V +tp12917 +a(g18 +VS +tp12918 +a(g339 +V: +tp12919 +a(g185 +V +tp12920 +a(g111 +Vstring +p12921 +tp12922 +a(g339 +V; +tp12923 +a(g185 +V +tp12924 +a(g18 +VWidth +p12925 +tp12926 +a(g339 +V: +tp12927 +a(g185 +V +tp12928 +a(g135 +VInteger +p12929 +tp12930 +a(g339 +V; +tp12931 +a(g185 +V +tp12932 +a(g18 +VFiller +p12933 +tp12934 +a(g339 +V: +tp12935 +a(g185 +V +tp12936 +a(g135 +VChar +p12937 +tp12938 +a(g185 +V +tp12939 +a(g339 +V= +tp12940 +a(g185 +V +tp12941 +a(g222 +V' +tp12942 +a(g222 +V +tp12943 +a(g222 +V' +tp12944 +a(g198 +V) +tp12945 +a(g339 +V: +tp12946 +a(g185 +V +tp12947 +a(g111 +Vstring +p12948 +tp12949 +a(g339 +V; +tp12950 +a(g185 +V\u000a +tp12951 +a(g111 +Vbegin +p12952 +tp12953 +a(g185 +V\u000a +p12954 +tp12955 +a(g60 +VResult +p12956 +tp12957 +a(g185 +V +tp12958 +a(g339 +V: +tp12959 +a(g339 +V= +tp12960 +a(g185 +V +tp12961 +a(g18 +VMulStr +p12962 +tp12963 +a(g198 +V( +tp12964 +a(g18 +VFiller +p12965 +tp12966 +a(g339 +V, +tp12967 +a(g185 +V +tp12968 +a(g18 +VWidth +p12969 +tp12970 +a(g185 +V +tp12971 +a(g339 +V- +tp12972 +a(g185 +V +tp12973 +a(g57 +VLength +p12974 +tp12975 +a(g198 +V( +tp12976 +a(g18 +VS +tp12977 +a(g198 +V)) +p12978 +tp12979 +a(g185 +V +tp12980 +a(g339 +V+ +tp12981 +a(g185 +V +tp12982 +a(g18 +VS +tp12983 +a(g339 +V; +tp12984 +a(g185 +V\u000a +tp12985 +a(g111 +Vend +p12986 +tp12987 +a(g339 +V; +tp12988 +a(g185 +V\u000a\u000a +p12989 +tp12990 +a(g111 +Vfunction +p12991 +tp12992 +a(g185 +V +tp12993 +a(g21 +VMaxStr +p12994 +tp12995 +a(g198 +V( +tp12996 +a(g111 +Vconst +p12997 +tp12998 +a(g185 +V +tp12999 +a(g18 +VS +tp13000 +a(g339 +V: +tp13001 +a(g185 +V +tp13002 +a(g111 +Vstring +p13003 +tp13004 +a(g339 +V; +tp13005 +a(g185 +V +tp13006 +a(g18 +VMaxLen +p13007 +tp13008 +a(g339 +V: +tp13009 +a(g185 +V +tp13010 +a(g135 +VInteger +p13011 +tp13012 +a(g198 +V) +tp13013 +a(g339 +V: +tp13014 +a(g185 +V +tp13015 +a(g111 +Vstring +p13016 +tp13017 +a(g339 +V; +tp13018 +a(g185 +V\u000a +tp13019 +a(g111 +Vvar +p13020 +tp13021 +a(g185 +V\u000a +p13022 +tp13023 +a(g18 +VLen +p13024 +tp13025 +a(g339 +V: +tp13026 +a(g185 +V +tp13027 +a(g135 +VInteger +p13028 +tp13029 +a(g339 +V; +tp13030 +a(g185 +V\u000a +tp13031 +a(g111 +Vbegin +p13032 +tp13033 +a(g185 +V\u000a +p13034 +tp13035 +a(g18 +VLen +p13036 +tp13037 +a(g185 +V +tp13038 +a(g339 +V: +tp13039 +a(g339 +V= +tp13040 +a(g185 +V +tp13041 +a(g57 +VLength +p13042 +tp13043 +a(g198 +V( +tp13044 +a(g18 +VS +tp13045 +a(g198 +V) +tp13046 +a(g339 +V; +tp13047 +a(g185 +V\u000a +p13048 +tp13049 +a(g111 +Vif +p13050 +tp13051 +a(g185 +V +tp13052 +a(g18 +VLen +p13053 +tp13054 +a(g185 +V +tp13055 +a(g339 +V< +tp13056 +a(g339 +V= +tp13057 +a(g185 +V +tp13058 +a(g18 +VMaxLen +p13059 +tp13060 +a(g185 +V +tp13061 +a(g111 +Vthen +p13062 +tp13063 +a(g185 +V +tp13064 +a(g111 +Vbegin +p13065 +tp13066 +a(g185 +V\u000a +p13067 +tp13068 +a(g60 +VResult +p13069 +tp13070 +a(g185 +V +tp13071 +a(g339 +V: +tp13072 +a(g339 +V= +tp13073 +a(g185 +V +tp13074 +a(g18 +VS +tp13075 +a(g339 +V; +tp13076 +a(g185 +V\u000a +p13077 +tp13078 +a(g111 +VExit +p13079 +tp13080 +a(g185 +V +tp13081 +a(g111 +Vend +p13082 +tp13083 +a(g339 +V; +tp13084 +a(g185 +V\u000a\u000a +p13085 +tp13086 +a(g60 +VResult +p13087 +tp13088 +a(g185 +V +tp13089 +a(g339 +V: +tp13090 +a(g339 +V= +tp13091 +a(g185 +V +tp13092 +a(g57 +VCopy +p13093 +tp13094 +a(g198 +V( +tp13095 +a(g18 +VS +tp13096 +a(g339 +V, +tp13097 +a(g185 +V +tp13098 +a(g314 +V1 +tp13099 +a(g339 +V, +tp13100 +a(g185 +V +tp13101 +a(g18 +VMaxLen +p13102 +tp13103 +a(g185 +V +tp13104 +a(g339 +V- +tp13105 +a(g185 +V +tp13106 +a(g314 +V3 +tp13107 +a(g198 +V) +tp13108 +a(g185 +V +tp13109 +a(g339 +V+ +tp13110 +a(g185 +V +tp13111 +a(g222 +V' +tp13112 +a(g222 +V... +p13113 +tp13114 +a(g222 +V' +tp13115 +a(g339 +V; +tp13116 +a(g185 +V\u000a +tp13117 +a(g111 +Vend +p13118 +tp13119 +a(g339 +V; +tp13120 +a(g185 +V\u000a\u000a +p13121 +tp13122 +a(g111 +Vfunction +p13123 +tp13124 +a(g185 +V +tp13125 +a(g21 +VTrimAll +p13126 +tp13127 +a(g198 +V( +tp13128 +a(g111 +Vconst +p13129 +tp13130 +a(g185 +V +tp13131 +a(g18 +VS +tp13132 +a(g339 +V: +tp13133 +a(g185 +V +tp13134 +a(g111 +Vstring +p13135 +tp13136 +a(g198 +V) +tp13137 +a(g339 +V: +tp13138 +a(g185 +V +tp13139 +a(g111 +Vstring +p13140 +tp13141 +a(g339 +V; +tp13142 +a(g185 +V\u000a +tp13143 +a(g111 +Vvar +p13144 +tp13145 +a(g185 +V\u000a +p13146 +tp13147 +a(g18 +Vi +tp13148 +a(g339 +V: +tp13149 +a(g185 +V +tp13150 +a(g135 +VInteger +p13151 +tp13152 +a(g339 +V; +tp13153 +a(g185 +V\u000a +tp13154 +a(g111 +Vbegin +p13155 +tp13156 +a(g185 +V\u000a +p13157 +tp13158 +a(g111 +Vfor +p13159 +tp13160 +a(g185 +V +tp13161 +a(g18 +Vi +tp13162 +a(g185 +V +tp13163 +a(g339 +V: +tp13164 +a(g339 +V= +tp13165 +a(g185 +V +tp13166 +a(g314 +V1 +tp13167 +a(g185 +V +tp13168 +a(g111 +Vto +p13169 +tp13170 +a(g185 +V +tp13171 +a(g57 +VLength +p13172 +tp13173 +a(g198 +V( +tp13174 +a(g18 +VS +tp13175 +a(g198 +V) +tp13176 +a(g185 +V +tp13177 +a(g111 +Vdo +p13178 +tp13179 +a(g185 +V\u000a +p13180 +tp13181 +a(g111 +Vif +p13182 +tp13183 +a(g185 +V +tp13184 +a(g18 +VS +tp13185 +a(g198 +V[ +tp13186 +a(g18 +Vi +tp13187 +a(g198 +V] +tp13188 +a(g185 +V +tp13189 +a(g339 +V> +tp13190 +a(g185 +V +tp13191 +a(g264 +V#32 +p13192 +tp13193 +a(g185 +V +tp13194 +a(g111 +Vthen +p13195 +tp13196 +a(g185 +V\u000a +p13197 +tp13198 +a(g60 +VResult +p13199 +tp13200 +a(g185 +V +tp13201 +a(g339 +V: +tp13202 +a(g339 +V= +tp13203 +a(g185 +V +tp13204 +a(g60 +VResult +p13205 +tp13206 +a(g185 +V +tp13207 +a(g339 +V+ +tp13208 +a(g185 +V +tp13209 +a(g18 +VS +tp13210 +a(g198 +V[ +tp13211 +a(g18 +Vi +tp13212 +a(g198 +V] +tp13213 +a(g339 +V; +tp13214 +a(g185 +V\u000a +tp13215 +a(g111 +Vend +p13216 +tp13217 +a(g339 +V; +tp13218 +a(g185 +V\u000a\u000a +p13219 +tp13220 +a(g111 +Vfunction +p13221 +tp13222 +a(g185 +V +tp13223 +a(g21 +VControlChar +p13224 +tp13225 +a(g198 +V( +tp13226 +a(g18 +VC +tp13227 +a(g339 +V: +tp13228 +a(g185 +V +tp13229 +a(g135 +VChar +p13230 +tp13231 +a(g198 +V) +tp13232 +a(g339 +V: +tp13233 +a(g185 +V +tp13234 +a(g135 +VBoolean +p13235 +tp13236 +a(g339 +V; +tp13237 +a(g185 +V\u000a +tp13238 +a(g111 +Vbegin +p13239 +tp13240 +a(g185 +V\u000a +p13241 +tp13242 +a(g60 +VResult +p13243 +tp13244 +a(g185 +V +tp13245 +a(g339 +V: +tp13246 +a(g339 +V= +tp13247 +a(g185 +V +tp13248 +a(g18 +VC +tp13249 +a(g185 +V +tp13250 +a(g111 +Vin +p13251 +tp13252 +a(g185 +V +tp13253 +a(g18 +VStrangeChars +p13254 +tp13255 +a(g339 +V; +tp13256 +a(g185 +V\u000a +tp13257 +a(g111 +Vend +p13258 +tp13259 +a(g339 +V; +tp13260 +a(g185 +V\u000a\u000a +p13261 +tp13262 +a(g111 +Vfunction +p13263 +tp13264 +a(g185 +V +tp13265 +a(g21 +VFriendlyChar +p13266 +tp13267 +a(g198 +V( +tp13268 +a(g18 +VC +tp13269 +a(g339 +V: +tp13270 +a(g185 +V +tp13271 +a(g135 +VChar +p13272 +tp13273 +a(g198 +V) +tp13274 +a(g339 +V: +tp13275 +a(g185 +V +tp13276 +a(g135 +VChar +p13277 +tp13278 +a(g339 +V; +tp13279 +a(g185 +V\u000a +tp13280 +a(g111 +Vbegin +p13281 +tp13282 +a(g185 +V\u000a +p13283 +tp13284 +a(g111 +Vcase +p13285 +tp13286 +a(g185 +V +tp13287 +a(g18 +VC +tp13288 +a(g185 +V +tp13289 +a(g111 +Vof +p13290 +tp13291 +a(g185 +V\u000a +p13292 +tp13293 +a(g264 +V#0 +p13294 +tp13295 +a(g339 +V: +tp13296 +a(g185 +V +tp13297 +a(g60 +VResult +p13298 +tp13299 +a(g185 +V +tp13300 +a(g339 +V: +tp13301 +a(g339 +V= +tp13302 +a(g185 +V +tp13303 +a(g222 +V' +tp13304 +a(g222 +V. +tp13305 +a(g222 +V' +tp13306 +a(g339 +V; +tp13307 +a(g185 +V\u000a +p13308 +tp13309 +a(g264 +V#1 +p13310 +tp13311 +a(g339 +V. +tp13312 +a(g339 +V. +tp13313 +a(g264 +V#31 +p13314 +tp13315 +a(g339 +V: +tp13316 +a(g185 +V +tp13317 +a(g60 +VResult +p13318 +tp13319 +a(g185 +V +tp13320 +a(g339 +V: +tp13321 +a(g339 +V= +tp13322 +a(g185 +V +tp13323 +a(g222 +V' +tp13324 +a(g222 +V? +tp13325 +a(g222 +V' +tp13326 +a(g339 +V; +tp13327 +a(g185 +V\u000a +p13328 +tp13329 +a(g264 +V#255 +p13330 +tp13331 +a(g339 +V: +tp13332 +a(g185 +V +tp13333 +a(g60 +VResult +p13334 +tp13335 +a(g185 +V +tp13336 +a(g339 +V: +tp13337 +a(g339 +V= +tp13338 +a(g185 +V +tp13339 +a(g222 +V' +tp13340 +a(g222 +V# +tp13341 +a(g222 +V' +tp13342 +a(g339 +V; +tp13343 +a(g185 +V\u000a +p13344 +tp13345 +a(g111 +Velse +p13346 +tp13347 +a(g185 +V\u000a +p13348 +tp13349 +a(g60 +VResult +p13350 +tp13351 +a(g185 +V +tp13352 +a(g339 +V: +tp13353 +a(g339 +V= +tp13354 +a(g185 +V +tp13355 +a(g18 +VC +tp13356 +a(g339 +V; +tp13357 +a(g185 +V\u000a +p13358 +tp13359 +a(g111 +Vend +p13360 +tp13361 +a(g339 +V; +tp13362 +a(g185 +V\u000a +tp13363 +a(g111 +Vend +p13364 +tp13365 +a(g339 +V; +tp13366 +a(g185 +V\u000a\u000a +p13367 +tp13368 +a(g111 +Vfunction +p13369 +tp13370 +a(g185 +V +tp13371 +a(g21 +VFriendlyStr +p13372 +tp13373 +a(g198 +V( +tp13374 +a(g111 +Vconst +p13375 +tp13376 +a(g185 +V +tp13377 +a(g18 +VS +tp13378 +a(g339 +V: +tp13379 +a(g185 +V +tp13380 +a(g111 +Vstring +p13381 +tp13382 +a(g198 +V) +tp13383 +a(g339 +V: +tp13384 +a(g185 +V +tp13385 +a(g111 +Vstring +p13386 +tp13387 +a(g339 +V; +tp13388 +a(g185 +V\u000a +tp13389 +a(g111 +Vvar +p13390 +tp13391 +a(g185 +V\u000a +p13392 +tp13393 +a(g18 +Vi +tp13394 +a(g339 +V: +tp13395 +a(g185 +V +tp13396 +a(g135 +VInteger +p13397 +tp13398 +a(g339 +V; +tp13399 +a(g185 +V\u000a +tp13400 +a(g111 +Vbegin +p13401 +tp13402 +a(g185 +V\u000a +p13403 +tp13404 +a(g57 +VSetLength +p13405 +tp13406 +a(g198 +V( +tp13407 +a(g60 +VResult +p13408 +tp13409 +a(g339 +V, +tp13410 +a(g185 +V +tp13411 +a(g57 +VLength +p13412 +tp13413 +a(g198 +V( +tp13414 +a(g18 +VS +tp13415 +a(g198 +V)) +p13416 +tp13417 +a(g339 +V; +tp13418 +a(g185 +V\u000a +p13419 +tp13420 +a(g111 +Vfor +p13421 +tp13422 +a(g185 +V +tp13423 +a(g18 +Vi +tp13424 +a(g185 +V +tp13425 +a(g339 +V: +tp13426 +a(g339 +V= +tp13427 +a(g185 +V +tp13428 +a(g314 +V1 +tp13429 +a(g185 +V +tp13430 +a(g111 +Vto +p13431 +tp13432 +a(g185 +V +tp13433 +a(g57 +VLength +p13434 +tp13435 +a(g198 +V( +tp13436 +a(g18 +VS +tp13437 +a(g198 +V) +tp13438 +a(g185 +V +tp13439 +a(g111 +Vdo +p13440 +tp13441 +a(g185 +V\u000a +p13442 +tp13443 +a(g60 +VResult +p13444 +tp13445 +a(g198 +V[ +tp13446 +a(g18 +Vi +tp13447 +a(g198 +V] +tp13448 +a(g185 +V +tp13449 +a(g339 +V: +tp13450 +a(g339 +V= +tp13451 +a(g185 +V +tp13452 +a(g18 +VFriendlyChar +p13453 +tp13454 +a(g198 +V( +tp13455 +a(g18 +VS +tp13456 +a(g198 +V[ +tp13457 +a(g18 +Vi +tp13458 +a(g198 +V]) +p13459 +tp13460 +a(g339 +V; +tp13461 +a(g185 +V\u000a +tp13462 +a(g111 +Vend +p13463 +tp13464 +a(g339 +V; +tp13465 +a(g185 +V\u000a\u000a +p13466 +tp13467 +a(g111 +Vfunction +p13468 +tp13469 +a(g185 +V +tp13470 +a(g21 +VFriendlyStr +p13471 +tp13472 +a(g198 +V( +tp13473 +a(g18 +Va +tp13474 +a(g339 +V: +tp13475 +a(g185 +V +tp13476 +a(g18 +VTByteA +p13477 +tp13478 +a(g198 +V) +tp13479 +a(g339 +V: +tp13480 +a(g185 +V +tp13481 +a(g111 +Vstring +p13482 +tp13483 +a(g339 +V; +tp13484 +a(g185 +V\u000a +tp13485 +a(g111 +Vvar +p13486 +tp13487 +a(g185 +V\u000a +p13488 +tp13489 +a(g18 +Vi +tp13490 +a(g339 +V: +tp13491 +a(g185 +V +tp13492 +a(g135 +VInteger +p13493 +tp13494 +a(g339 +V; +tp13495 +a(g185 +V\u000a +tp13496 +a(g111 +Vbegin +p13497 +tp13498 +a(g185 +V\u000a +p13499 +tp13500 +a(g57 +VSetLength +p13501 +tp13502 +a(g198 +V( +tp13503 +a(g60 +VResult +p13504 +tp13505 +a(g339 +V, +tp13506 +a(g185 +V +tp13507 +a(g57 +VLength +p13508 +tp13509 +a(g198 +V( +tp13510 +a(g18 +Va +tp13511 +a(g198 +V)) +p13512 +tp13513 +a(g339 +V; +tp13514 +a(g185 +V\u000a +p13515 +tp13516 +a(g111 +Vfor +p13517 +tp13518 +a(g185 +V +tp13519 +a(g18 +Vi +tp13520 +a(g185 +V +tp13521 +a(g339 +V: +tp13522 +a(g339 +V= +tp13523 +a(g185 +V +tp13524 +a(g314 +V0 +tp13525 +a(g185 +V +tp13526 +a(g111 +Vto +p13527 +tp13528 +a(g185 +V +tp13529 +a(g57 +VHigh +p13530 +tp13531 +a(g198 +V( +tp13532 +a(g18 +Va +tp13533 +a(g198 +V) +tp13534 +a(g185 +V +tp13535 +a(g111 +Vdo +p13536 +tp13537 +a(g185 +V\u000a +p13538 +tp13539 +a(g60 +VResult +p13540 +tp13541 +a(g198 +V[ +tp13542 +a(g18 +Vi +tp13543 +a(g185 +V +tp13544 +a(g339 +V+ +tp13545 +a(g185 +V +tp13546 +a(g314 +V1 +tp13547 +a(g198 +V] +tp13548 +a(g185 +V +tp13549 +a(g339 +V: +tp13550 +a(g339 +V= +tp13551 +a(g185 +V +tp13552 +a(g18 +VFriendlyChar +p13553 +tp13554 +a(g198 +V( +tp13555 +a(g135 +VChar +p13556 +tp13557 +a(g198 +V( +tp13558 +a(g18 +Va +tp13559 +a(g198 +V[ +tp13560 +a(g18 +Vi +tp13561 +a(g198 +V])) +p13562 +tp13563 +a(g339 +V; +tp13564 +a(g185 +V\u000a +tp13565 +a(g111 +Vend +p13566 +tp13567 +a(g339 +V; +tp13568 +a(g185 +V\u000a\u000a +p13569 +tp13570 +a(g111 +Vfunction +p13571 +tp13572 +a(g185 +V +tp13573 +a(g21 +VQuote +p13574 +tp13575 +a(g198 +V( +tp13576 +a(g111 +Vconst +p13577 +tp13578 +a(g185 +V +tp13579 +a(g18 +VS +tp13580 +a(g339 +V: +tp13581 +a(g185 +V +tp13582 +a(g111 +Vstring +p13583 +tp13584 +a(g339 +V; +tp13585 +a(g185 +V +tp13586 +a(g18 +VQuoter +p13587 +tp13588 +a(g339 +V: +tp13589 +a(g185 +V +tp13590 +a(g135 +VChar +p13591 +tp13592 +a(g185 +V +tp13593 +a(g339 +V= +tp13594 +a(g185 +V +tp13595 +a(g222 +V' +tp13596 +a(g222 +V" +tp13597 +a(g222 +V' +tp13598 +a(g198 +V) +tp13599 +a(g339 +V: +tp13600 +a(g185 +V +tp13601 +a(g111 +Vstring +p13602 +tp13603 +a(g339 +V; +tp13604 +a(g185 +V\u000a +tp13605 +a(g111 +Vbegin +p13606 +tp13607 +a(g185 +V\u000a +p13608 +tp13609 +a(g60 +VResult +p13610 +tp13611 +a(g185 +V +tp13612 +a(g339 +V: +tp13613 +a(g339 +V= +tp13614 +a(g185 +V +tp13615 +a(g18 +VS +tp13616 +a(g339 +V; +tp13617 +a(g185 +V\u000a\u000a +p13618 +tp13619 +a(g111 +Vif +p13620 +tp13621 +a(g185 +V +tp13622 +a(g18 +VFirstChar +p13623 +tp13624 +a(g198 +V( +tp13625 +a(g18 +VS +tp13626 +a(g198 +V) +tp13627 +a(g185 +V +tp13628 +a(g339 +V< +tp13629 +a(g339 +V> +tp13630 +a(g185 +V +tp13631 +a(g18 +VQuoter +p13632 +tp13633 +a(g185 +V +tp13634 +a(g111 +Vthen +p13635 +tp13636 +a(g185 +V\u000a +p13637 +tp13638 +a(g60 +VResult +p13639 +tp13640 +a(g185 +V +tp13641 +a(g339 +V: +tp13642 +a(g339 +V= +tp13643 +a(g185 +V +tp13644 +a(g18 +VQuoter +p13645 +tp13646 +a(g185 +V +tp13647 +a(g339 +V+ +tp13648 +a(g185 +V +tp13649 +a(g60 +VResult +p13650 +tp13651 +a(g339 +V; +tp13652 +a(g185 +V\u000a\u000a +p13653 +tp13654 +a(g111 +Vif +p13655 +tp13656 +a(g185 +V +tp13657 +a(g18 +VLastChar +p13658 +tp13659 +a(g198 +V( +tp13660 +a(g18 +VS +tp13661 +a(g198 +V) +tp13662 +a(g185 +V +tp13663 +a(g339 +V< +tp13664 +a(g339 +V> +tp13665 +a(g185 +V +tp13666 +a(g18 +VQuoter +p13667 +tp13668 +a(g185 +V +tp13669 +a(g111 +Vthen +p13670 +tp13671 +a(g185 +V\u000a +p13672 +tp13673 +a(g60 +VResult +p13674 +tp13675 +a(g185 +V +tp13676 +a(g339 +V: +tp13677 +a(g339 +V= +tp13678 +a(g185 +V +tp13679 +a(g60 +VResult +p13680 +tp13681 +a(g185 +V +tp13682 +a(g339 +V+ +tp13683 +a(g185 +V +tp13684 +a(g18 +VQuoter +p13685 +tp13686 +a(g339 +V; +tp13687 +a(g185 +V\u000a +tp13688 +a(g111 +Vend +p13689 +tp13690 +a(g339 +V; +tp13691 +a(g185 +V\u000a\u000a +p13692 +tp13693 +a(g111 +Vfunction +p13694 +tp13695 +a(g185 +V +tp13696 +a(g21 +VDeQuote +p13697 +tp13698 +a(g198 +V( +tp13699 +a(g111 +Vconst +p13700 +tp13701 +a(g185 +V +tp13702 +a(g18 +VS +tp13703 +a(g339 +V: +tp13704 +a(g185 +V +tp13705 +a(g111 +Vstring +p13706 +tp13707 +a(g198 +V) +tp13708 +a(g339 +V: +tp13709 +a(g185 +V +tp13710 +a(g111 +Vstring +p13711 +tp13712 +a(g339 +V; +tp13713 +a(g185 +V\u000a +tp13714 +a(g111 +Vbegin +p13715 +tp13716 +a(g185 +V\u000a +p13717 +tp13718 +a(g60 +VResult +p13719 +tp13720 +a(g185 +V +tp13721 +a(g339 +V: +tp13722 +a(g339 +V= +tp13723 +a(g185 +V +tp13724 +a(g222 +V' +tp13725 +a(g222 +V' +tp13726 +a(g339 +V; +tp13727 +a(g185 +V\u000a +p13728 +tp13729 +a(g111 +Vif +p13730 +tp13731 +a(g185 +V +tp13732 +a(g57 +VLength +p13733 +tp13734 +a(g198 +V( +tp13735 +a(g18 +VS +tp13736 +a(g198 +V) +tp13737 +a(g185 +V +tp13738 +a(g339 +V> +tp13739 +a(g185 +V +tp13740 +a(g314 +V2 +tp13741 +a(g185 +V +tp13742 +a(g111 +Vthen +p13743 +tp13744 +a(g185 +V\u000a +p13745 +tp13746 +a(g60 +VResult +p13747 +tp13748 +a(g185 +V +tp13749 +a(g339 +V: +tp13750 +a(g339 +V= +tp13751 +a(g185 +V +tp13752 +a(g57 +VCopy +p13753 +tp13754 +a(g198 +V( +tp13755 +a(g18 +VS +tp13756 +a(g339 +V, +tp13757 +a(g185 +V +tp13758 +a(g314 +V2 +tp13759 +a(g339 +V, +tp13760 +a(g185 +V +tp13761 +a(g57 +VLength +p13762 +tp13763 +a(g198 +V( +tp13764 +a(g18 +VS +tp13765 +a(g198 +V) +tp13766 +a(g185 +V +tp13767 +a(g339 +V- +tp13768 +a(g185 +V +tp13769 +a(g314 +V2 +tp13770 +a(g198 +V) +tp13771 +a(g339 +V; +tp13772 +a(g185 +V\u000a +tp13773 +a(g111 +Vend +p13774 +tp13775 +a(g339 +V; +tp13776 +a(g185 +V\u000a\u000a +p13777 +tp13778 +a(g111 +Vfunction +p13779 +tp13780 +a(g185 +V +tp13781 +a(g21 +VUnQuote +p13782 +tp13783 +a(g198 +V( +tp13784 +a(g111 +Vconst +p13785 +tp13786 +a(g185 +V +tp13787 +a(g18 +VS +tp13788 +a(g339 +V: +tp13789 +a(g185 +V +tp13790 +a(g111 +Vstring +p13791 +tp13792 +a(g198 +V) +tp13793 +a(g339 +V: +tp13794 +a(g185 +V +tp13795 +a(g111 +Vstring +p13796 +tp13797 +a(g339 +V; +tp13798 +a(g185 +V\u000a +tp13799 +a(g111 +Vvar +p13800 +tp13801 +a(g185 +V\u000a +p13802 +tp13803 +a(g18 +VStart +p13804 +tp13805 +a(g339 +V, +tp13806 +a(g185 +V +tp13807 +a(g18 +VLen +p13808 +tp13809 +a(g339 +V: +tp13810 +a(g185 +V +tp13811 +a(g135 +VInteger +p13812 +tp13813 +a(g339 +V; +tp13814 +a(g185 +V\u000a +tp13815 +a(g111 +Vbegin +p13816 +tp13817 +a(g185 +V\u000a +p13818 +tp13819 +a(g18 +VStart +p13820 +tp13821 +a(g185 +V +tp13822 +a(g339 +V: +tp13823 +a(g339 +V= +tp13824 +a(g185 +V +tp13825 +a(g314 +V1 +tp13826 +a(g339 +V; +tp13827 +a(g185 +V\u000a +p13828 +tp13829 +a(g18 +VLen +p13830 +tp13831 +a(g185 +V +tp13832 +a(g339 +V: +tp13833 +a(g339 +V= +tp13834 +a(g185 +V +tp13835 +a(g57 +VLength +p13836 +tp13837 +a(g198 +V( +tp13838 +a(g18 +VS +tp13839 +a(g198 +V) +tp13840 +a(g339 +V; +tp13841 +a(g185 +V\u000a\u000a +p13842 +tp13843 +a(g111 +Vif +p13844 +tp13845 +a(g185 +V +tp13846 +a(g198 +V( +tp13847 +a(g18 +VS +tp13848 +a(g185 +V +tp13849 +a(g339 +V< +tp13850 +a(g339 +V> +tp13851 +a(g185 +V +tp13852 +a(g222 +V' +tp13853 +a(g222 +V' +tp13854 +a(g198 +V) +tp13855 +a(g185 +V +tp13856 +a(g111 +Vand +p13857 +tp13858 +a(g185 +V +tp13859 +a(g198 +V( +tp13860 +a(g18 +VS +tp13861 +a(g198 +V[ +tp13862 +a(g314 +V1 +tp13863 +a(g198 +V] +tp13864 +a(g185 +V +tp13865 +a(g111 +Vin +p13866 +tp13867 +a(g185 +V +tp13868 +a(g198 +V([ +p13869 +tp13870 +a(g264 +V#0 +p13871 +tp13872 +a(g339 +V. +tp13873 +a(g339 +V. +tp13874 +a(g264 +V#32 +p13875 +tp13876 +a(g198 +V] +tp13877 +a(g185 +V +tp13878 +a(g339 +V+ +tp13879 +a(g185 +V +tp13880 +a(g18 +VQuoteChars +p13881 +tp13882 +a(g198 +V)) +p13883 +tp13884 +a(g185 +V +tp13885 +a(g111 +Vthen +p13886 +tp13887 +a(g185 +V +tp13888 +a(g111 +Vbegin +p13889 +tp13890 +a(g185 +V\u000a +p13891 +tp13892 +a(g111 +Vif +p13893 +tp13894 +a(g185 +V +tp13895 +a(g198 +V( +tp13896 +a(g18 +VLastChar +p13897 +tp13898 +a(g198 +V( +tp13899 +a(g18 +VS +tp13900 +a(g198 +V) +tp13901 +a(g185 +V +tp13902 +a(g339 +V= +tp13903 +a(g185 +V +tp13904 +a(g18 +VS +tp13905 +a(g198 +V[ +tp13906 +a(g314 +V1 +tp13907 +a(g198 +V]) +p13908 +tp13909 +a(g185 +V +tp13910 +a(g111 +Vthen +p13911 +tp13912 +a(g185 +V\u000a +p13913 +tp13914 +a(g57 +VDec +p13915 +tp13916 +a(g198 +V( +tp13917 +a(g18 +VLen +p13918 +tp13919 +a(g198 +V) +tp13920 +a(g339 +V; +tp13921 +a(g185 +V\u000a +p13922 +tp13923 +a(g57 +VInc +p13924 +tp13925 +a(g198 +V( +tp13926 +a(g18 +VStart +p13927 +tp13928 +a(g198 +V) +tp13929 +a(g339 +V; +tp13930 +a(g185 +V\u000a +p13931 +tp13932 +a(g111 +Vend +p13933 +tp13934 +a(g339 +V; +tp13935 +a(g185 +V\u000a\u000a +p13936 +tp13937 +a(g60 +VResult +p13938 +tp13939 +a(g185 +V +tp13940 +a(g339 +V: +tp13941 +a(g339 +V= +tp13942 +a(g185 +V +tp13943 +a(g57 +VCopy +p13944 +tp13945 +a(g198 +V( +tp13946 +a(g18 +VS +tp13947 +a(g339 +V, +tp13948 +a(g185 +V +tp13949 +a(g18 +VStart +p13950 +tp13951 +a(g339 +V, +tp13952 +a(g185 +V +tp13953 +a(g18 +VLen +p13954 +tp13955 +a(g185 +V +tp13956 +a(g339 +V- +tp13957 +a(g185 +V +tp13958 +a(g18 +VStart +p13959 +tp13960 +a(g185 +V +tp13961 +a(g339 +V+ +tp13962 +a(g185 +V +tp13963 +a(g314 +V1 +tp13964 +a(g198 +V) +tp13965 +a(g339 +V; +tp13966 +a(g185 +V\u000a +tp13967 +a(g111 +Vend +p13968 +tp13969 +a(g339 +V; +tp13970 +a(g185 +V\u000a\u000a +p13971 +tp13972 +a(g111 +Vfunction +p13973 +tp13974 +a(g185 +V +tp13975 +a(g21 +VStrNumerus +p13976 +tp13977 +a(g198 +V( +tp13978 +a(g111 +Vconst +p13979 +tp13980 +a(g185 +V +tp13981 +a(g18 +VValue +p13982 +tp13983 +a(g339 +V: +tp13984 +a(g185 +V +tp13985 +a(g135 +VInteger +p13986 +tp13987 +a(g339 +V; +tp13988 +a(g185 +V +tp13989 +a(g111 +Vconst +p13990 +tp13991 +a(g185 +V +tp13992 +a(g18 +VSingular +p13993 +tp13994 +a(g339 +V, +tp13995 +a(g185 +V +tp13996 +a(g18 +VPlural +p13997 +tp13998 +a(g339 +V: +tp13999 +a(g185 +V +tp14000 +a(g111 +Vstring +p14001 +tp14002 +a(g339 +V; +tp14003 +a(g185 +V\u000a +p14004 +tp14005 +a(g111 +Vconst +p14006 +tp14007 +a(g185 +V +tp14008 +a(g18 +VZero +p14009 +tp14010 +a(g339 +V: +tp14011 +a(g185 +V +tp14012 +a(g111 +Vstring +p14013 +tp14014 +a(g185 +V +tp14015 +a(g339 +V= +tp14016 +a(g185 +V +tp14017 +a(g222 +V' +tp14018 +a(g222 +V0 +tp14019 +a(g222 +V' +tp14020 +a(g198 +V) +tp14021 +a(g339 +V: +tp14022 +a(g185 +V +tp14023 +a(g111 +Vstring +p14024 +tp14025 +a(g339 +V; +tp14026 +a(g185 +V\u000a +tp14027 +a(g111 +Vbegin +p14028 +tp14029 +a(g185 +V\u000a +p14030 +tp14031 +a(g111 +Vif +p14032 +tp14033 +a(g185 +V +tp14034 +a(g57 +VAbs +p14035 +tp14036 +a(g198 +V( +tp14037 +a(g18 +VValue +p14038 +tp14039 +a(g198 +V) +tp14040 +a(g185 +V +tp14041 +a(g339 +V= +tp14042 +a(g185 +V +tp14043 +a(g314 +V1 +tp14044 +a(g185 +V +tp14045 +a(g111 +Vthen +p14046 +tp14047 +a(g185 +V\u000a +p14048 +tp14049 +a(g60 +VResult +p14050 +tp14051 +a(g185 +V +tp14052 +a(g339 +V: +tp14053 +a(g339 +V= +tp14054 +a(g185 +V +tp14055 +a(g57 +VIntToStr +p14056 +tp14057 +a(g198 +V( +tp14058 +a(g18 +VValue +p14059 +tp14060 +a(g198 +V) +tp14061 +a(g185 +V +tp14062 +a(g339 +V+ +tp14063 +a(g185 +V +tp14064 +a(g222 +V' +tp14065 +a(g222 +V +tp14066 +a(g222 +V' +tp14067 +a(g185 +V +tp14068 +a(g339 +V+ +tp14069 +a(g185 +V +tp14070 +a(g18 +VSingular +p14071 +tp14072 +a(g185 +V\u000a +p14073 +tp14074 +a(g111 +Velse +p14075 +tp14076 +a(g185 +V +tp14077 +a(g111 +Vif +p14078 +tp14079 +a(g185 +V +tp14080 +a(g18 +VValue +p14081 +tp14082 +a(g185 +V +tp14083 +a(g339 +V= +tp14084 +a(g185 +V +tp14085 +a(g314 +V0 +tp14086 +a(g185 +V +tp14087 +a(g111 +Vthen +p14088 +tp14089 +a(g185 +V\u000a +p14090 +tp14091 +a(g60 +VResult +p14092 +tp14093 +a(g185 +V +tp14094 +a(g339 +V: +tp14095 +a(g339 +V= +tp14096 +a(g185 +V +tp14097 +a(g18 +VZero +p14098 +tp14099 +a(g185 +V +tp14100 +a(g339 +V+ +tp14101 +a(g185 +V +tp14102 +a(g222 +V' +tp14103 +a(g222 +V +tp14104 +a(g222 +V' +tp14105 +a(g185 +V +tp14106 +a(g339 +V+ +tp14107 +a(g185 +V +tp14108 +a(g18 +VPlural +p14109 +tp14110 +a(g185 +V\u000a +p14111 +tp14112 +a(g111 +Velse +p14113 +tp14114 +a(g185 +V\u000a +p14115 +tp14116 +a(g60 +VResult +p14117 +tp14118 +a(g185 +V +tp14119 +a(g339 +V: +tp14120 +a(g339 +V= +tp14121 +a(g185 +V +tp14122 +a(g57 +VIntToStr +p14123 +tp14124 +a(g198 +V( +tp14125 +a(g18 +VValue +p14126 +tp14127 +a(g198 +V) +tp14128 +a(g185 +V +tp14129 +a(g339 +V+ +tp14130 +a(g185 +V +tp14131 +a(g222 +V' +tp14132 +a(g222 +V +tp14133 +a(g222 +V' +tp14134 +a(g185 +V +tp14135 +a(g339 +V+ +tp14136 +a(g185 +V +tp14137 +a(g18 +VPlural +p14138 +tp14139 +a(g339 +V; +tp14140 +a(g185 +V\u000a +tp14141 +a(g111 +Vend +p14142 +tp14143 +a(g339 +V; +tp14144 +a(g185 +V\u000a\u000a +p14145 +tp14146 +a(g111 +Vfunction +p14147 +tp14148 +a(g185 +V +tp14149 +a(g21 +VMakeStr +p14150 +tp14151 +a(g198 +V( +tp14152 +a(g111 +Vconst +p14153 +tp14154 +a(g185 +V +tp14155 +a(g18 +VItems +p14156 +tp14157 +a(g339 +V: +tp14158 +a(g185 +V +tp14159 +a(g111 +Varray +p14160 +tp14161 +a(g185 +V +tp14162 +a(g111 +Vof +p14163 +tp14164 +a(g185 +V +tp14165 +a(g111 +Vconst +p14166 +tp14167 +a(g339 +V; +tp14168 +a(g185 +V +tp14169 +a(g18 +VSeparator +p14170 +tp14171 +a(g339 +V: +tp14172 +a(g185 +V +tp14173 +a(g111 +Vstring +p14174 +tp14175 +a(g185 +V +tp14176 +a(g339 +V= +tp14177 +a(g185 +V +tp14178 +a(g222 +V' +tp14179 +a(g222 +V' +tp14180 +a(g198 +V) +tp14181 +a(g339 +V: +tp14182 +a(g185 +V +tp14183 +a(g111 +Vstring +p14184 +tp14185 +a(g339 +V; +tp14186 +a(g185 +V\u000a +tp14187 +a(g111 +Vconst +p14188 +tp14189 +a(g185 +V\u000a +p14190 +tp14191 +a(g18 +VBoolStrings +p14192 +tp14193 +a(g339 +V: +tp14194 +a(g185 +V +tp14195 +a(g111 +Varray +p14196 +tp14197 +a(g198 +V[ +tp14198 +a(g135 +VBoolean +p14199 +tp14200 +a(g198 +V] +tp14201 +a(g185 +V +tp14202 +a(g111 +Vof +p14203 +tp14204 +a(g185 +V +tp14205 +a(g111 +Vstring +p14206 +tp14207 +a(g185 +V +tp14208 +a(g339 +V= +tp14209 +a(g185 +V +tp14210 +a(g198 +V( +tp14211 +a(g222 +V' +tp14212 +a(g222 +VFalse +p14213 +tp14214 +a(g222 +V' +tp14215 +a(g339 +V, +tp14216 +a(g185 +V +tp14217 +a(g222 +V' +tp14218 +a(g222 +VTrue +p14219 +tp14220 +a(g222 +V' +tp14221 +a(g198 +V) +tp14222 +a(g339 +V; +tp14223 +a(g185 +V\u000a\u000a +p14224 +tp14225 +a(g111 +Vvar +p14226 +tp14227 +a(g185 +V\u000a +p14228 +tp14229 +a(g18 +Vi +tp14230 +a(g339 +V: +tp14231 +a(g185 +V +tp14232 +a(g135 +VInteger +p14233 +tp14234 +a(g339 +V; +tp14235 +a(g185 +V\u000a\u000a +p14236 +tp14237 +a(g111 +Vfunction +p14238 +tp14239 +a(g185 +V +tp14240 +a(g21 +VStrOfP +p14241 +tp14242 +a(g198 +V( +tp14243 +a(g18 +VP +tp14244 +a(g339 +V: +tp14245 +a(g185 +V +tp14246 +a(g135 +VPointer +p14247 +tp14248 +a(g198 +V) +tp14249 +a(g339 +V: +tp14250 +a(g185 +V +tp14251 +a(g111 +Vstring +p14252 +tp14253 +a(g339 +V; +tp14254 +a(g185 +V\u000a +p14255 +tp14256 +a(g111 +Vbegin +p14257 +tp14258 +a(g185 +V\u000a +p14259 +tp14260 +a(g111 +Vif +p14261 +tp14262 +a(g185 +V +tp14263 +a(g18 +VP +tp14264 +a(g185 +V +tp14265 +a(g339 +V= +tp14266 +a(g185 +V +tp14267 +a(g111 +Vnil +p14268 +tp14269 +a(g185 +V +tp14270 +a(g111 +Vthen +p14271 +tp14272 +a(g185 +V\u000a +p14273 +tp14274 +a(g60 +VResult +p14275 +tp14276 +a(g185 +V +tp14277 +a(g339 +V: +tp14278 +a(g339 +V= +tp14279 +a(g185 +V +tp14280 +a(g222 +V' +tp14281 +a(g222 +V[nil] +p14282 +tp14283 +a(g222 +V' +tp14284 +a(g185 +V\u000a +p14285 +tp14286 +a(g111 +Velse +p14287 +tp14288 +a(g185 +V\u000a +p14289 +tp14290 +a(g60 +VResult +p14291 +tp14292 +a(g185 +V +tp14293 +a(g339 +V: +tp14294 +a(g339 +V= +tp14295 +a(g185 +V +tp14296 +a(g222 +V' +tp14297 +a(g222 +V[ +tp14298 +a(g222 +V' +tp14299 +a(g185 +V +tp14300 +a(g339 +V+ +tp14301 +a(g185 +V +tp14302 +a(g57 +VIntToStr +p14303 +tp14304 +a(g198 +V( +tp14305 +a(g135 +VCardinal +p14306 +tp14307 +a(g198 +V( +tp14308 +a(g18 +VP +tp14309 +a(g198 +V)) +p14310 +tp14311 +a(g185 +V +tp14312 +a(g339 +V+ +tp14313 +a(g185 +V +tp14314 +a(g222 +V' +tp14315 +a(g222 +V] +tp14316 +a(g222 +V' +tp14317 +a(g339 +V; +tp14318 +a(g185 +V\u000a +p14319 +tp14320 +a(g111 +Vend +p14321 +tp14322 +a(g339 +V; +tp14323 +a(g185 +V\u000a\u000a +p14324 +tp14325 +a(g111 +Vprocedure +p14326 +tp14327 +a(g185 +V +tp14328 +a(g21 +VAdd +p14329 +tp14330 +a(g198 +V( +tp14331 +a(g111 +Vconst +p14332 +tp14333 +a(g185 +V +tp14334 +a(g18 +VS +tp14335 +a(g339 +V: +tp14336 +a(g185 +V +tp14337 +a(g111 +Vstring +p14338 +tp14339 +a(g198 +V) +tp14340 +a(g339 +V; +tp14341 +a(g185 +V\u000a +p14342 +tp14343 +a(g111 +Vbegin +p14344 +tp14345 +a(g185 +V\u000a +p14346 +tp14347 +a(g60 +VResult +p14348 +tp14349 +a(g185 +V +tp14350 +a(g339 +V: +tp14351 +a(g339 +V= +tp14352 +a(g185 +V +tp14353 +a(g60 +VResult +p14354 +tp14355 +a(g185 +V +tp14356 +a(g339 +V+ +tp14357 +a(g185 +V +tp14358 +a(g18 +Vs +tp14359 +a(g185 +V +tp14360 +a(g339 +V+ +tp14361 +a(g185 +V +tp14362 +a(g18 +VSeparator +p14363 +tp14364 +a(g339 +V; +tp14365 +a(g185 +V\u000a +p14366 +tp14367 +a(g111 +Vend +p14368 +tp14369 +a(g339 +V; +tp14370 +a(g185 +V\u000a\u000a +p14371 +tp14372 +a(g111 +Vbegin +p14373 +tp14374 +a(g185 +V\u000a +p14375 +tp14376 +a(g60 +VResult +p14377 +tp14378 +a(g185 +V +tp14379 +a(g339 +V: +tp14380 +a(g339 +V= +tp14381 +a(g185 +V +tp14382 +a(g222 +V' +tp14383 +a(g222 +V' +tp14384 +a(g339 +V; +tp14385 +a(g185 +V\u000a +p14386 +tp14387 +a(g111 +Vfor +p14388 +tp14389 +a(g185 +V +tp14390 +a(g18 +Vi +tp14391 +a(g185 +V +tp14392 +a(g339 +V: +tp14393 +a(g339 +V= +tp14394 +a(g185 +V +tp14395 +a(g314 +V0 +tp14396 +a(g185 +V +tp14397 +a(g111 +Vto +p14398 +tp14399 +a(g185 +V +tp14400 +a(g57 +VHigh +p14401 +tp14402 +a(g198 +V( +tp14403 +a(g18 +VItems +p14404 +tp14405 +a(g198 +V) +tp14406 +a(g185 +V +tp14407 +a(g111 +Vdo +p14408 +tp14409 +a(g185 +V\u000a +p14410 +tp14411 +a(g111 +Vwith +p14412 +tp14413 +a(g185 +V +tp14414 +a(g18 +VItems +p14415 +tp14416 +a(g198 +V[ +tp14417 +a(g18 +Vi +tp14418 +a(g198 +V] +tp14419 +a(g185 +V +tp14420 +a(g111 +Vdo +p14421 +tp14422 +a(g185 +V\u000a +p14423 +tp14424 +a(g111 +Vcase +p14425 +tp14426 +a(g185 +V +tp14427 +a(g18 +VVType +p14428 +tp14429 +a(g185 +V +tp14430 +a(g111 +Vof +p14431 +tp14432 +a(g185 +V\u000a +p14433 +tp14434 +a(g18 +VvtString +p14435 +tp14436 +a(g339 +V: +tp14437 +a(g185 +V +p14438 +tp14439 +a(g18 +VAdd +p14440 +tp14441 +a(g198 +V( +tp14442 +a(g18 +VVString +p14443 +tp14444 +a(g339 +V^ +tp14445 +a(g198 +V) +tp14446 +a(g339 +V; +tp14447 +a(g185 +V\u000a +p14448 +tp14449 +a(g18 +VvtInteger +p14450 +tp14451 +a(g339 +V: +tp14452 +a(g185 +V +p14453 +tp14454 +a(g18 +VAdd +p14455 +tp14456 +a(g198 +V( +tp14457 +a(g57 +VIntToStr +p14458 +tp14459 +a(g198 +V( +tp14460 +a(g18 +VVInteger +p14461 +tp14462 +a(g198 +V)) +p14463 +tp14464 +a(g339 +V; +tp14465 +a(g185 +V\u000a +p14466 +tp14467 +a(g18 +VvtBoolean +p14468 +tp14469 +a(g339 +V: +tp14470 +a(g185 +V +p14471 +tp14472 +a(g18 +VAdd +p14473 +tp14474 +a(g198 +V( +tp14475 +a(g18 +VBoolStrings +p14476 +tp14477 +a(g198 +V[ +tp14478 +a(g18 +VVBoolean +p14479 +tp14480 +a(g198 +V]) +p14481 +tp14482 +a(g339 +V; +tp14483 +a(g185 +V\u000a +p14484 +tp14485 +a(g18 +VvtChar +p14486 +tp14487 +a(g339 +V: +tp14488 +a(g185 +V +p14489 +tp14490 +a(g18 +VAdd +p14491 +tp14492 +a(g198 +V( +tp14493 +a(g18 +VVChar +p14494 +tp14495 +a(g198 +V) +tp14496 +a(g339 +V; +tp14497 +a(g185 +V\u000a +p14498 +tp14499 +a(g18 +VvtPChar +p14500 +tp14501 +a(g339 +V: +tp14502 +a(g185 +V +p14503 +tp14504 +a(g18 +VAdd +p14505 +tp14506 +a(g198 +V( +tp14507 +a(g18 +VVPChar +p14508 +tp14509 +a(g198 +V) +tp14510 +a(g339 +V; +tp14511 +a(g185 +V\u000a +p14512 +tp14513 +a(g18 +VvtExtended +p14514 +tp14515 +a(g339 +V: +tp14516 +a(g185 +V +p14517 +tp14518 +a(g18 +VAdd +p14519 +tp14520 +a(g198 +V( +tp14521 +a(g57 +VFloatToStr +p14522 +tp14523 +a(g198 +V( +tp14524 +a(g18 +VVExtended +p14525 +tp14526 +a(g339 +V^ +tp14527 +a(g198 +V)) +p14528 +tp14529 +a(g339 +V; +tp14530 +a(g185 +V\u000a +p14531 +tp14532 +a(g18 +VvtObject +p14533 +tp14534 +a(g339 +V: +tp14535 +a(g185 +V +p14536 +tp14537 +a(g111 +Vif +p14538 +tp14539 +a(g185 +V +tp14540 +a(g18 +VVObject +p14541 +tp14542 +a(g185 +V +tp14543 +a(g111 +Vis +p14544 +tp14545 +a(g185 +V +tp14546 +a(g18 +VTComponent +p14547 +tp14548 +a(g185 +V +tp14549 +a(g111 +Vthen +p14550 +tp14551 +a(g185 +V\u000a +p14552 +tp14553 +a(g18 +VAdd +p14554 +tp14555 +a(g198 +V( +tp14556 +a(g18 +VTComponent +p14557 +tp14558 +a(g198 +V( +tp14559 +a(g18 +VVObject +p14560 +tp14561 +a(g198 +V) +tp14562 +a(g339 +V. +tp14563 +a(g18 +VName +p14564 +tp14565 +a(g198 +V) +tp14566 +a(g185 +V\u000a +p14567 +tp14568 +a(g111 +Velse +p14569 +tp14570 +a(g185 +V\u000a +p14571 +tp14572 +a(g18 +VAdd +p14573 +tp14574 +a(g198 +V( +tp14575 +a(g18 +VVObject +p14576 +tp14577 +a(g339 +V. +tp14578 +a(g18 +VClassName +p14579 +tp14580 +a(g198 +V) +tp14581 +a(g339 +V; +tp14582 +a(g185 +V\u000a +p14583 +tp14584 +a(g18 +VvtClass +p14585 +tp14586 +a(g339 +V: +tp14587 +a(g185 +V +p14588 +tp14589 +a(g18 +VAdd +p14590 +tp14591 +a(g198 +V( +tp14592 +a(g18 +VVClass +p14593 +tp14594 +a(g339 +V. +tp14595 +a(g18 +VClassName +p14596 +tp14597 +a(g198 +V) +tp14598 +a(g339 +V; +tp14599 +a(g185 +V\u000a +p14600 +tp14601 +a(g18 +VvtAnsiString +p14602 +tp14603 +a(g339 +V: +tp14604 +a(g185 +V +tp14605 +a(g18 +VAdd +p14606 +tp14607 +a(g198 +V( +tp14608 +a(g111 +Vstring +p14609 +tp14610 +a(g198 +V( +tp14611 +a(g18 +VVAnsiString +p14612 +tp14613 +a(g198 +V)) +p14614 +tp14615 +a(g339 +V; +tp14616 +a(g185 +V\u000a +p14617 +tp14618 +a(g18 +VvtCurrency +p14619 +tp14620 +a(g339 +V: +tp14621 +a(g185 +V +p14622 +tp14623 +a(g18 +VAdd +p14624 +tp14625 +a(g198 +V( +tp14626 +a(g57 +VCurrToStr +p14627 +tp14628 +a(g198 +V( +tp14629 +a(g18 +VVCurrency +p14630 +tp14631 +a(g339 +V^ +tp14632 +a(g198 +V)) +p14633 +tp14634 +a(g339 +V; +tp14635 +a(g185 +V\u000a +p14636 +tp14637 +a(g18 +VvtInt64 +p14638 +tp14639 +a(g339 +V: +tp14640 +a(g185 +V +p14641 +tp14642 +a(g18 +VAdd +p14643 +tp14644 +a(g198 +V( +tp14645 +a(g57 +VIntToStr +p14646 +tp14647 +a(g198 +V( +tp14648 +a(g18 +VVInt64 +p14649 +tp14650 +a(g339 +V^ +tp14651 +a(g198 +V)) +p14652 +tp14653 +a(g339 +V; +tp14654 +a(g185 +V\u000a +p14655 +tp14656 +a(g18 +VvtVariant +p14657 +tp14658 +a(g339 +V: +tp14659 +a(g185 +V +p14660 +tp14661 +a(g18 +VAdd +p14662 +tp14663 +a(g198 +V( +tp14664 +a(g111 +Vstring +p14665 +tp14666 +a(g198 +V( +tp14667 +a(g18 +VVVariant +p14668 +tp14669 +a(g339 +V^ +tp14670 +a(g198 +V)) +p14671 +tp14672 +a(g339 +V; +tp14673 +a(g185 +V\u000a\u000a +p14674 +tp14675 +a(g18 +VvtWideChar +p14676 +tp14677 +a(g339 +V: +tp14678 +a(g185 +V +p14679 +tp14680 +a(g18 +VAdd +p14681 +tp14682 +a(g198 +V( +tp14683 +a(g18 +VVWideChar +p14684 +tp14685 +a(g198 +V) +tp14686 +a(g339 +V; +tp14687 +a(g185 +V\u000a +p14688 +tp14689 +a(g18 +VvtPWideChar +p14690 +tp14691 +a(g339 +V: +tp14692 +a(g185 +V +p14693 +tp14694 +a(g18 +VAdd +p14695 +tp14696 +a(g198 +V( +tp14697 +a(g18 +VVPWideChar +p14698 +tp14699 +a(g198 +V) +tp14700 +a(g339 +V; +tp14701 +a(g185 +V\u000a +p14702 +tp14703 +a(g18 +VvtInterface +p14704 +tp14705 +a(g339 +V: +tp14706 +a(g185 +V +p14707 +tp14708 +a(g18 +VAdd +p14709 +tp14710 +a(g198 +V( +tp14711 +a(g18 +VStrOfP +p14712 +tp14713 +a(g198 +V( +tp14714 +a(g18 +VVInterface +p14715 +tp14716 +a(g198 +V)) +p14717 +tp14718 +a(g339 +V; +tp14719 +a(g185 +V\u000a +p14720 +tp14721 +a(g18 +VvtPointer +p14722 +tp14723 +a(g339 +V: +tp14724 +a(g185 +V +p14725 +tp14726 +a(g18 +VAdd +p14727 +tp14728 +a(g198 +V( +tp14729 +a(g18 +VStrOfP +p14730 +tp14731 +a(g198 +V( +tp14732 +a(g18 +VVPointer +p14733 +tp14734 +a(g198 +V)) +p14735 +tp14736 +a(g339 +V; +tp14737 +a(g185 +V\u000a +p14738 +tp14739 +a(g18 +VvtWideString +p14740 +tp14741 +a(g339 +V: +tp14742 +a(g185 +V +tp14743 +a(g18 +VAdd +p14744 +tp14745 +a(g198 +V( +tp14746 +a(g135 +VWideString +p14747 +tp14748 +a(g198 +V( +tp14749 +a(g18 +VVWideString +p14750 +tp14751 +a(g198 +V)) +p14752 +tp14753 +a(g339 +V; +tp14754 +a(g185 +V\u000a +p14755 +tp14756 +a(g111 +Vend +p14757 +tp14758 +a(g339 +V; +tp14759 +a(g185 +V\u000a +p14760 +tp14761 +a(g111 +Vif +p14762 +tp14763 +a(g185 +V +tp14764 +a(g60 +VResult +p14765 +tp14766 +a(g185 +V +tp14767 +a(g339 +V< +tp14768 +a(g339 +V> +tp14769 +a(g185 +V +tp14770 +a(g222 +V' +tp14771 +a(g222 +V' +tp14772 +a(g185 +V +tp14773 +a(g111 +Vthen +p14774 +tp14775 +a(g185 +V\u000a +p14776 +tp14777 +a(g57 +VSetLength +p14778 +tp14779 +a(g198 +V( +tp14780 +a(g60 +Vresult +p14781 +tp14782 +a(g339 +V, +tp14783 +a(g185 +V +tp14784 +a(g57 +VLength +p14785 +tp14786 +a(g198 +V( +tp14787 +a(g60 +VResult +p14788 +tp14789 +a(g198 +V) +tp14790 +a(g185 +V +tp14791 +a(g339 +V- +tp14792 +a(g185 +V +tp14793 +a(g57 +VLength +p14794 +tp14795 +a(g198 +V( +tp14796 +a(g18 +VSeparator +p14797 +tp14798 +a(g198 +V)) +p14799 +tp14800 +a(g339 +V; +tp14801 +a(g185 +V\u000a +tp14802 +a(g111 +Vend +p14803 +tp14804 +a(g339 +V; +tp14805 +a(g185 +V\u000a\u000a +p14806 +tp14807 +a(g111 +Vprocedure +p14808 +tp14809 +a(g185 +V +tp14810 +a(g21 +VShowText +p14811 +tp14812 +a(g198 +V( +tp14813 +a(g111 +Vconst +p14814 +tp14815 +a(g185 +V +tp14816 +a(g18 +VItems +p14817 +tp14818 +a(g339 +V: +tp14819 +a(g185 +V +tp14820 +a(g111 +Varray +p14821 +tp14822 +a(g185 +V +tp14823 +a(g111 +Vof +p14824 +tp14825 +a(g185 +V +tp14826 +a(g111 +Vconst +p14827 +tp14828 +a(g339 +V; +tp14829 +a(g185 +V +tp14830 +a(g18 +VSeparator +p14831 +tp14832 +a(g339 +V: +tp14833 +a(g185 +V +tp14834 +a(g111 +Vstring +p14835 +tp14836 +a(g185 +V +tp14837 +a(g339 +V= +tp14838 +a(g185 +V +tp14839 +a(g222 +V' +tp14840 +a(g222 +V' +tp14841 +a(g198 +V) +tp14842 +a(g339 +V; +tp14843 +a(g185 +V\u000a +tp14844 +a(g111 +Vvar +p14845 +tp14846 +a(g185 +V\u000a +p14847 +tp14848 +a(g18 +VText +p14849 +tp14850 +a(g339 +V: +tp14851 +a(g185 +V +tp14852 +a(g111 +Vstring +p14853 +tp14854 +a(g339 +V; +tp14855 +a(g185 +V\u000a +tp14856 +a(g111 +Vbegin +p14857 +tp14858 +a(g185 +V\u000a +p14859 +tp14860 +a(g18 +VText +p14861 +tp14862 +a(g185 +V +tp14863 +a(g339 +V: +tp14864 +a(g339 +V= +tp14865 +a(g185 +V +tp14866 +a(g18 +VMakeStr +p14867 +tp14868 +a(g198 +V( +tp14869 +a(g18 +VItems +p14870 +tp14871 +a(g339 +V, +tp14872 +a(g185 +V +tp14873 +a(g18 +VSeparator +p14874 +tp14875 +a(g198 +V) +tp14876 +a(g339 +V; +tp14877 +a(g185 +V\u000a\u000a +p14878 +tp14879 +a(g18 +VMessageBox +p14880 +tp14881 +a(g198 +V( +tp14882 +a(g314 +V0 +tp14883 +a(g339 +V, +tp14884 +a(g185 +V +tp14885 +a(g135 +VPChar +p14886 +tp14887 +a(g198 +V( +tp14888 +a(g18 +VText +p14889 +tp14890 +a(g198 +V) +tp14891 +a(g339 +V, +tp14892 +a(g185 +V +tp14893 +a(g222 +V' +tp14894 +a(g222 +VInfo +p14895 +tp14896 +a(g222 +V' +tp14897 +a(g339 +V, +tp14898 +a(g185 +V +tp14899 +a(g18 +VMB_OK +p14900 +tp14901 +a(g185 +V +tp14902 +a(g111 +Vand +p14903 +tp14904 +a(g185 +V +tp14905 +a(g18 +VMB_APPLMODAL +p14906 +tp14907 +a(g198 +V) +tp14908 +a(g339 +V; +tp14909 +a(g185 +V\u000a +tp14910 +a(g111 +Vend +p14911 +tp14912 +a(g339 +V; +tp14913 +a(g185 +V\u000a\u000a +p14914 +tp14915 +a(g111 +Vfunction +p14916 +tp14917 +a(g185 +V +tp14918 +a(g21 +VDeleteChars +p14919 +tp14920 +a(g198 +V( +tp14921 +a(g111 +Vconst +p14922 +tp14923 +a(g185 +V +tp14924 +a(g18 +VS +tp14925 +a(g339 +V: +tp14926 +a(g185 +V +tp14927 +a(g111 +Vstring +p14928 +tp14929 +a(g339 +V; +tp14930 +a(g185 +V +tp14931 +a(g18 +VC +tp14932 +a(g339 +V: +tp14933 +a(g185 +V +tp14934 +a(g135 +VChar +p14935 +tp14936 +a(g198 +V) +tp14937 +a(g339 +V: +tp14938 +a(g185 +V +tp14939 +a(g111 +Vstring +p14940 +tp14941 +a(g339 +V; +tp14942 +a(g185 +V\u000a +tp14943 +a(g111 +Vvar +p14944 +tp14945 +a(g185 +V\u000a +p14946 +tp14947 +a(g18 +Vi +tp14948 +a(g339 +V: +tp14949 +a(g185 +V +tp14950 +a(g135 +VInteger +p14951 +tp14952 +a(g339 +V; +tp14953 +a(g185 +V\u000a +tp14954 +a(g111 +Vbegin +p14955 +tp14956 +a(g185 +V\u000a +p14957 +tp14958 +a(g60 +VResult +p14959 +tp14960 +a(g185 +V +tp14961 +a(g339 +V: +tp14962 +a(g339 +V= +tp14963 +a(g185 +V +tp14964 +a(g222 +V' +tp14965 +a(g222 +V' +tp14966 +a(g339 +V; +tp14967 +a(g185 +V\u000a +p14968 +tp14969 +a(g111 +Vfor +p14970 +tp14971 +a(g185 +V +tp14972 +a(g18 +Vi +tp14973 +a(g185 +V +tp14974 +a(g339 +V: +tp14975 +a(g339 +V= +tp14976 +a(g185 +V +tp14977 +a(g314 +V1 +tp14978 +a(g185 +V +tp14979 +a(g111 +Vto +p14980 +tp14981 +a(g185 +V +tp14982 +a(g57 +VLength +p14983 +tp14984 +a(g198 +V( +tp14985 +a(g18 +VS +tp14986 +a(g198 +V) +tp14987 +a(g185 +V +tp14988 +a(g111 +Vdo +p14989 +tp14990 +a(g185 +V\u000a +p14991 +tp14992 +a(g111 +Vif +p14993 +tp14994 +a(g185 +V +tp14995 +a(g18 +VS +tp14996 +a(g198 +V[ +tp14997 +a(g18 +Vi +tp14998 +a(g198 +V] +tp14999 +a(g185 +V +tp15000 +a(g339 +V< +tp15001 +a(g339 +V> +tp15002 +a(g185 +V +tp15003 +a(g18 +VC +tp15004 +a(g185 +V +tp15005 +a(g111 +Vthen +p15006 +tp15007 +a(g185 +V\u000a +p15008 +tp15009 +a(g60 +VResult +p15010 +tp15011 +a(g185 +V +tp15012 +a(g339 +V: +tp15013 +a(g339 +V= +tp15014 +a(g185 +V +tp15015 +a(g60 +VResult +p15016 +tp15017 +a(g185 +V +tp15018 +a(g339 +V+ +tp15019 +a(g185 +V +tp15020 +a(g18 +VS +tp15021 +a(g198 +V[ +tp15022 +a(g18 +Vi +tp15023 +a(g198 +V] +tp15024 +a(g339 +V; +tp15025 +a(g185 +V\u000a +tp15026 +a(g111 +Vend +p15027 +tp15028 +a(g339 +V; +tp15029 +a(g185 +V\u000a\u000a +p15030 +tp15031 +a(g111 +Vfunction +p15032 +tp15033 +a(g185 +V +tp15034 +a(g21 +VDeleteChars +p15035 +tp15036 +a(g198 +V( +tp15037 +a(g111 +Vconst +p15038 +tp15039 +a(g185 +V +tp15040 +a(g18 +VS +tp15041 +a(g339 +V: +tp15042 +a(g185 +V +tp15043 +a(g111 +Vstring +p15044 +tp15045 +a(g339 +V; +tp15046 +a(g185 +V +tp15047 +a(g18 +VC +tp15048 +a(g339 +V: +tp15049 +a(g185 +V +tp15050 +a(g18 +VTCharSet +p15051 +tp15052 +a(g198 +V) +tp15053 +a(g339 +V: +tp15054 +a(g185 +V +tp15055 +a(g111 +Vstring +p15056 +tp15057 +a(g339 +V; +tp15058 +a(g185 +V\u000a +tp15059 +a(g111 +Vvar +p15060 +tp15061 +a(g185 +V\u000a +p15062 +tp15063 +a(g18 +Vi +tp15064 +a(g339 +V: +tp15065 +a(g185 +V +tp15066 +a(g135 +VInteger +p15067 +tp15068 +a(g339 +V; +tp15069 +a(g185 +V\u000a +tp15070 +a(g111 +Vbegin +p15071 +tp15072 +a(g185 +V\u000a +p15073 +tp15074 +a(g60 +VResult +p15075 +tp15076 +a(g185 +V +tp15077 +a(g339 +V: +tp15078 +a(g339 +V= +tp15079 +a(g185 +V +tp15080 +a(g222 +V' +tp15081 +a(g222 +V' +tp15082 +a(g339 +V; +tp15083 +a(g185 +V\u000a +p15084 +tp15085 +a(g111 +Vfor +p15086 +tp15087 +a(g185 +V +tp15088 +a(g18 +Vi +tp15089 +a(g185 +V +tp15090 +a(g339 +V: +tp15091 +a(g339 +V= +tp15092 +a(g185 +V +tp15093 +a(g314 +V1 +tp15094 +a(g185 +V +tp15095 +a(g111 +Vto +p15096 +tp15097 +a(g185 +V +tp15098 +a(g57 +VLength +p15099 +tp15100 +a(g198 +V( +tp15101 +a(g18 +VS +tp15102 +a(g198 +V) +tp15103 +a(g185 +V +tp15104 +a(g111 +Vdo +p15105 +tp15106 +a(g185 +V\u000a +p15107 +tp15108 +a(g111 +Vif +p15109 +tp15110 +a(g185 +V +tp15111 +a(g111 +Vnot +p15112 +tp15113 +a(g185 +V +tp15114 +a(g198 +V( +tp15115 +a(g18 +VS +tp15116 +a(g198 +V[ +tp15117 +a(g18 +Vi +tp15118 +a(g198 +V] +tp15119 +a(g185 +V +tp15120 +a(g111 +Vin +p15121 +tp15122 +a(g185 +V +tp15123 +a(g18 +VC +tp15124 +a(g198 +V) +tp15125 +a(g185 +V +tp15126 +a(g111 +Vthen +p15127 +tp15128 +a(g185 +V\u000a +p15129 +tp15130 +a(g60 +VResult +p15131 +tp15132 +a(g185 +V +tp15133 +a(g339 +V: +tp15134 +a(g339 +V= +tp15135 +a(g185 +V +tp15136 +a(g60 +VResult +p15137 +tp15138 +a(g185 +V +tp15139 +a(g339 +V+ +tp15140 +a(g185 +V +tp15141 +a(g18 +VS +tp15142 +a(g198 +V[ +tp15143 +a(g18 +Vi +tp15144 +a(g198 +V] +tp15145 +a(g339 +V; +tp15146 +a(g185 +V\u000a +tp15147 +a(g111 +Vend +p15148 +tp15149 +a(g339 +V; +tp15150 +a(g185 +V\u000a\u000a +p15151 +tp15152 +a(g111 +Vfunction +p15153 +tp15154 +a(g185 +V +tp15155 +a(g21 +VExtractChars +p15156 +tp15157 +a(g198 +V( +tp15158 +a(g111 +Vconst +p15159 +tp15160 +a(g185 +V +tp15161 +a(g18 +VS +tp15162 +a(g339 +V: +tp15163 +a(g185 +V +tp15164 +a(g111 +Vstring +p15165 +tp15166 +a(g339 +V; +tp15167 +a(g185 +V +tp15168 +a(g18 +VC +tp15169 +a(g339 +V: +tp15170 +a(g185 +V +tp15171 +a(g18 +VTCharSet +p15172 +tp15173 +a(g198 +V) +tp15174 +a(g339 +V: +tp15175 +a(g185 +V +tp15176 +a(g111 +Vstring +p15177 +tp15178 +a(g339 +V; +tp15179 +a(g185 +V\u000a +tp15180 +a(g111 +Vvar +p15181 +tp15182 +a(g185 +V\u000a +p15183 +tp15184 +a(g18 +Vi +tp15185 +a(g339 +V: +tp15186 +a(g185 +V +tp15187 +a(g135 +VInteger +p15188 +tp15189 +a(g339 +V; +tp15190 +a(g185 +V\u000a +tp15191 +a(g111 +Vbegin +p15192 +tp15193 +a(g185 +V\u000a +p15194 +tp15195 +a(g60 +VResult +p15196 +tp15197 +a(g185 +V +tp15198 +a(g339 +V: +tp15199 +a(g339 +V= +tp15200 +a(g185 +V +tp15201 +a(g222 +V' +tp15202 +a(g222 +V' +tp15203 +a(g339 +V; +tp15204 +a(g185 +V\u000a +p15205 +tp15206 +a(g111 +Vfor +p15207 +tp15208 +a(g185 +V +tp15209 +a(g18 +Vi +tp15210 +a(g185 +V +tp15211 +a(g339 +V: +tp15212 +a(g339 +V= +tp15213 +a(g185 +V +tp15214 +a(g314 +V1 +tp15215 +a(g185 +V +tp15216 +a(g111 +Vto +p15217 +tp15218 +a(g185 +V +tp15219 +a(g57 +VLength +p15220 +tp15221 +a(g198 +V( +tp15222 +a(g18 +VS +tp15223 +a(g198 +V) +tp15224 +a(g185 +V +tp15225 +a(g111 +Vdo +p15226 +tp15227 +a(g185 +V\u000a +p15228 +tp15229 +a(g111 +Vif +p15230 +tp15231 +a(g185 +V +tp15232 +a(g18 +VS +tp15233 +a(g198 +V[ +tp15234 +a(g18 +Vi +tp15235 +a(g198 +V] +tp15236 +a(g185 +V +tp15237 +a(g111 +Vin +p15238 +tp15239 +a(g185 +V +tp15240 +a(g18 +VC +tp15241 +a(g185 +V +tp15242 +a(g111 +Vthen +p15243 +tp15244 +a(g185 +V\u000a +p15245 +tp15246 +a(g60 +VResult +p15247 +tp15248 +a(g185 +V +tp15249 +a(g339 +V: +tp15250 +a(g339 +V= +tp15251 +a(g185 +V +tp15252 +a(g60 +VResult +p15253 +tp15254 +a(g185 +V +tp15255 +a(g339 +V+ +tp15256 +a(g185 +V +tp15257 +a(g18 +VS +tp15258 +a(g198 +V[ +tp15259 +a(g18 +Vi +tp15260 +a(g198 +V] +tp15261 +a(g339 +V; +tp15262 +a(g185 +V\u000a +tp15263 +a(g111 +Vend +p15264 +tp15265 +a(g339 +V; +tp15266 +a(g185 +V\u000a\u000a +p15267 +tp15268 +a(g111 +Vfunction +p15269 +tp15270 +a(g185 +V +tp15271 +a(g21 +VCharCount +p15272 +tp15273 +a(g198 +V( +tp15274 +a(g111 +Vconst +p15275 +tp15276 +a(g185 +V +tp15277 +a(g18 +VS +tp15278 +a(g339 +V: +tp15279 +a(g185 +V +tp15280 +a(g111 +Vstring +p15281 +tp15282 +a(g339 +V; +tp15283 +a(g185 +V +tp15284 +a(g18 +VC +tp15285 +a(g339 +V: +tp15286 +a(g185 +V +tp15287 +a(g135 +VChar +p15288 +tp15289 +a(g198 +V) +tp15290 +a(g339 +V: +tp15291 +a(g185 +V +tp15292 +a(g135 +VInteger +p15293 +tp15294 +a(g339 +V; +tp15295 +a(g185 +V\u000a +tp15296 +a(g111 +Vvar +p15297 +tp15298 +a(g185 +V\u000a +p15299 +tp15300 +a(g18 +Vi +tp15301 +a(g339 +V: +tp15302 +a(g185 +V +tp15303 +a(g135 +VInteger +p15304 +tp15305 +a(g339 +V; +tp15306 +a(g185 +V\u000a +tp15307 +a(g111 +Vbegin +p15308 +tp15309 +a(g185 +V\u000a +p15310 +tp15311 +a(g60 +VResult +p15312 +tp15313 +a(g185 +V +tp15314 +a(g339 +V: +tp15315 +a(g339 +V= +tp15316 +a(g185 +V +tp15317 +a(g314 +V0 +tp15318 +a(g339 +V; +tp15319 +a(g185 +V\u000a +p15320 +tp15321 +a(g111 +Vfor +p15322 +tp15323 +a(g185 +V +tp15324 +a(g18 +Vi +tp15325 +a(g185 +V +tp15326 +a(g339 +V: +tp15327 +a(g339 +V= +tp15328 +a(g185 +V +tp15329 +a(g314 +V1 +tp15330 +a(g185 +V +tp15331 +a(g111 +Vto +p15332 +tp15333 +a(g185 +V +tp15334 +a(g57 +VLength +p15335 +tp15336 +a(g198 +V( +tp15337 +a(g18 +VS +tp15338 +a(g198 +V) +tp15339 +a(g185 +V +tp15340 +a(g111 +Vdo +p15341 +tp15342 +a(g185 +V\u000a +p15343 +tp15344 +a(g111 +Vif +p15345 +tp15346 +a(g185 +V +tp15347 +a(g18 +VS +tp15348 +a(g198 +V[ +tp15349 +a(g18 +Vi +tp15350 +a(g198 +V] +tp15351 +a(g185 +V +tp15352 +a(g339 +V= +tp15353 +a(g185 +V +tp15354 +a(g18 +VC +tp15355 +a(g185 +V +tp15356 +a(g111 +Vthen +p15357 +tp15358 +a(g185 +V\u000a +p15359 +tp15360 +a(g57 +VInc +p15361 +tp15362 +a(g198 +V( +tp15363 +a(g60 +VResult +p15364 +tp15365 +a(g198 +V) +tp15366 +a(g339 +V; +tp15367 +a(g185 +V\u000a +tp15368 +a(g111 +Vend +p15369 +tp15370 +a(g339 +V; +tp15371 +a(g185 +V\u000a\u000a +p15372 +tp15373 +a(g111 +Vfunction +p15374 +tp15375 +a(g185 +V +tp15376 +a(g21 +VStrAtPos +p15377 +tp15378 +a(g198 +V( +tp15379 +a(g111 +Vconst +p15380 +tp15381 +a(g185 +V +tp15382 +a(g18 +VS +tp15383 +a(g339 +V: +tp15384 +a(g185 +V +tp15385 +a(g111 +Vstring +p15386 +tp15387 +a(g339 +V; +tp15388 +a(g185 +V +tp15389 +a(g57 +VPos +p15390 +tp15391 +a(g339 +V: +tp15392 +a(g185 +V +tp15393 +a(g135 +VInteger +p15394 +tp15395 +a(g339 +V; +tp15396 +a(g185 +V +tp15397 +a(g111 +Vconst +p15398 +tp15399 +a(g185 +V +tp15400 +a(g57 +VStr +p15401 +tp15402 +a(g339 +V: +tp15403 +a(g185 +V +tp15404 +a(g111 +Vstring +p15405 +tp15406 +a(g198 +V) +tp15407 +a(g339 +V: +tp15408 +a(g185 +V +tp15409 +a(g135 +VBoolean +p15410 +tp15411 +a(g339 +V; +tp15412 +a(g185 +V\u000a +tp15413 +a(g111 +Vbegin +p15414 +tp15415 +a(g185 +V\u000a +p15416 +tp15417 +a(g60 +VResult +p15418 +tp15419 +a(g185 +V +tp15420 +a(g339 +V: +tp15421 +a(g339 +V= +tp15422 +a(g185 +V +tp15423 +a(g198 +V( +tp15424 +a(g57 +VStr +p15425 +tp15426 +a(g185 +V +tp15427 +a(g339 +V< +tp15428 +a(g339 +V> +tp15429 +a(g185 +V +tp15430 +a(g222 +V' +tp15431 +a(g222 +V' +tp15432 +a(g198 +V) +tp15433 +a(g185 +V +tp15434 +a(g111 +Vand +p15435 +tp15436 +a(g185 +V +tp15437 +a(g198 +V( +tp15438 +a(g57 +VStr +p15439 +tp15440 +a(g185 +V +tp15441 +a(g339 +V= +tp15442 +a(g185 +V +tp15443 +a(g57 +VCopy +p15444 +tp15445 +a(g198 +V( +tp15446 +a(g18 +VS +tp15447 +a(g339 +V, +tp15448 +a(g185 +V +tp15449 +a(g57 +VPos +p15450 +tp15451 +a(g339 +V, +tp15452 +a(g185 +V +tp15453 +a(g57 +VLength +p15454 +tp15455 +a(g198 +V( +tp15456 +a(g57 +VStr +p15457 +tp15458 +a(g198 +V))) +p15459 +tp15460 +a(g339 +V; +tp15461 +a(g185 +V\u000a +tp15462 +a(g111 +Vend +p15463 +tp15464 +a(g339 +V; +tp15465 +a(g185 +V\u000a\u000a +p15466 +tp15467 +a(g111 +Vfunction +p15468 +tp15469 +a(g185 +V +tp15470 +a(g21 +VTextAtPos +p15471 +tp15472 +a(g198 +V( +tp15473 +a(g111 +Vconst +p15474 +tp15475 +a(g185 +V +tp15476 +a(g18 +VS +tp15477 +a(g339 +V: +tp15478 +a(g185 +V +tp15479 +a(g111 +Vstring +p15480 +tp15481 +a(g339 +V; +tp15482 +a(g185 +V +tp15483 +a(g57 +VPos +p15484 +tp15485 +a(g339 +V: +tp15486 +a(g185 +V +tp15487 +a(g135 +VInteger +p15488 +tp15489 +a(g339 +V; +tp15490 +a(g185 +V +tp15491 +a(g111 +Vconst +p15492 +tp15493 +a(g185 +V +tp15494 +a(g18 +VText +p15495 +tp15496 +a(g339 +V: +tp15497 +a(g185 +V +tp15498 +a(g111 +Vstring +p15499 +tp15500 +a(g198 +V) +tp15501 +a(g339 +V: +tp15502 +a(g185 +V +tp15503 +a(g135 +VBoolean +p15504 +tp15505 +a(g339 +V; +tp15506 +a(g185 +V\u000a +tp15507 +a(g111 +Vbegin +p15508 +tp15509 +a(g185 +V\u000a +p15510 +tp15511 +a(g60 +VResult +p15512 +tp15513 +a(g185 +V +tp15514 +a(g339 +V: +tp15515 +a(g339 +V= +tp15516 +a(g185 +V +tp15517 +a(g198 +V( +tp15518 +a(g18 +VText +p15519 +tp15520 +a(g185 +V +tp15521 +a(g339 +V< +tp15522 +a(g339 +V> +tp15523 +a(g185 +V +tp15524 +a(g222 +V' +tp15525 +a(g222 +V' +tp15526 +a(g198 +V) +tp15527 +a(g185 +V +tp15528 +a(g111 +Vand +p15529 +tp15530 +a(g185 +V +tp15531 +a(g57 +VSameText +p15532 +tp15533 +a(g198 +V( +tp15534 +a(g18 +VText +p15535 +tp15536 +a(g339 +V, +tp15537 +a(g185 +V +tp15538 +a(g57 +VCopy +p15539 +tp15540 +a(g198 +V( +tp15541 +a(g18 +VS +tp15542 +a(g339 +V, +tp15543 +a(g185 +V +tp15544 +a(g57 +VPos +p15545 +tp15546 +a(g339 +V, +tp15547 +a(g185 +V +tp15548 +a(g57 +VLength +p15549 +tp15550 +a(g198 +V( +tp15551 +a(g18 +VText +p15552 +tp15553 +a(g198 +V))) +p15554 +tp15555 +a(g339 +V; +tp15556 +a(g185 +V\u000a +tp15557 +a(g111 +Vend +p15558 +tp15559 +a(g339 +V; +tp15560 +a(g185 +V\u000a\u000a +p15561 +tp15562 +a(g111 +Vfunction +p15563 +tp15564 +a(g185 +V +tp15565 +a(g21 +VStrAtBegin +p15566 +tp15567 +a(g198 +V( +tp15568 +a(g111 +Vconst +p15569 +tp15570 +a(g185 +V +tp15571 +a(g18 +VS +tp15572 +a(g339 +V, +tp15573 +a(g185 +V +tp15574 +a(g57 +VStr +p15575 +tp15576 +a(g339 +V: +tp15577 +a(g185 +V +tp15578 +a(g111 +Vstring +p15579 +tp15580 +a(g198 +V) +tp15581 +a(g339 +V: +tp15582 +a(g185 +V +tp15583 +a(g135 +VBoolean +p15584 +tp15585 +a(g339 +V; +tp15586 +a(g185 +V\u000a +tp15587 +a(g111 +Vbegin +p15588 +tp15589 +a(g185 +V\u000a +p15590 +tp15591 +a(g60 +VResult +p15592 +tp15593 +a(g185 +V +tp15594 +a(g339 +V: +tp15595 +a(g339 +V= +tp15596 +a(g185 +V +tp15597 +a(g18 +VStrAtPos +p15598 +tp15599 +a(g198 +V( +tp15600 +a(g18 +VS +tp15601 +a(g339 +V, +tp15602 +a(g185 +V +tp15603 +a(g314 +V1 +tp15604 +a(g339 +V, +tp15605 +a(g185 +V +tp15606 +a(g57 +VStr +p15607 +tp15608 +a(g198 +V) +tp15609 +a(g339 +V; +tp15610 +a(g185 +V\u000a +tp15611 +a(g111 +Vend +p15612 +tp15613 +a(g339 +V; +tp15614 +a(g185 +V\u000a\u000a +p15615 +tp15616 +a(g111 +Vfunction +p15617 +tp15618 +a(g185 +V +tp15619 +a(g21 +VTextAtBegin +p15620 +tp15621 +a(g198 +V( +tp15622 +a(g111 +Vconst +p15623 +tp15624 +a(g185 +V +tp15625 +a(g18 +VS +tp15626 +a(g339 +V, +tp15627 +a(g185 +V +tp15628 +a(g18 +VText +p15629 +tp15630 +a(g339 +V: +tp15631 +a(g185 +V +tp15632 +a(g111 +Vstring +p15633 +tp15634 +a(g198 +V) +tp15635 +a(g339 +V: +tp15636 +a(g185 +V +tp15637 +a(g135 +VBoolean +p15638 +tp15639 +a(g339 +V; +tp15640 +a(g185 +V\u000a +tp15641 +a(g111 +Vbegin +p15642 +tp15643 +a(g185 +V\u000a +p15644 +tp15645 +a(g60 +VResult +p15646 +tp15647 +a(g185 +V +tp15648 +a(g339 +V: +tp15649 +a(g339 +V= +tp15650 +a(g185 +V +tp15651 +a(g18 +VTextAtPos +p15652 +tp15653 +a(g198 +V( +tp15654 +a(g18 +VS +tp15655 +a(g339 +V, +tp15656 +a(g185 +V +tp15657 +a(g314 +V1 +tp15658 +a(g339 +V, +tp15659 +a(g185 +V +tp15660 +a(g18 +VText +p15661 +tp15662 +a(g198 +V) +tp15663 +a(g339 +V; +tp15664 +a(g185 +V\u000a +tp15665 +a(g111 +Vend +p15666 +tp15667 +a(g339 +V; +tp15668 +a(g185 +V\u000a\u000a +p15669 +tp15670 +a(g111 +Vfunction +p15671 +tp15672 +a(g185 +V +tp15673 +a(g21 +VCharIn +p15674 +tp15675 +a(g198 +V( +tp15676 +a(g111 +Vconst +p15677 +tp15678 +a(g185 +V +tp15679 +a(g18 +VS +tp15680 +a(g339 +V: +tp15681 +a(g185 +V +tp15682 +a(g111 +Vstring +p15683 +tp15684 +a(g339 +V; +tp15685 +a(g185 +V +tp15686 +a(g18 +VC +tp15687 +a(g339 +V: +tp15688 +a(g185 +V +tp15689 +a(g135 +VChar +p15690 +tp15691 +a(g198 +V) +tp15692 +a(g339 +V: +tp15693 +a(g185 +V +tp15694 +a(g135 +VBoolean +p15695 +tp15696 +a(g339 +V; +tp15697 +a(g185 +V\u000a +tp15698 +a(g111 +Vvar +p15699 +tp15700 +a(g185 +V\u000a +p15701 +tp15702 +a(g18 +Vi +tp15703 +a(g339 +V: +tp15704 +a(g185 +V +tp15705 +a(g135 +VInteger +p15706 +tp15707 +a(g339 +V; +tp15708 +a(g185 +V\u000a +tp15709 +a(g111 +Vbegin +p15710 +tp15711 +a(g185 +V\u000a +p15712 +tp15713 +a(g60 +VResult +p15714 +tp15715 +a(g185 +V +tp15716 +a(g339 +V: +tp15717 +a(g339 +V= +tp15718 +a(g185 +V +tp15719 +a(g111 +VTrue +p15720 +tp15721 +a(g339 +V; +tp15722 +a(g185 +V\u000a +p15723 +tp15724 +a(g111 +Vfor +p15725 +tp15726 +a(g185 +V +tp15727 +a(g18 +Vi +tp15728 +a(g185 +V +tp15729 +a(g339 +V: +tp15730 +a(g339 +V= +tp15731 +a(g185 +V +tp15732 +a(g314 +V1 +tp15733 +a(g185 +V +tp15734 +a(g111 +Vto +p15735 +tp15736 +a(g185 +V +tp15737 +a(g57 +VLength +p15738 +tp15739 +a(g198 +V( +tp15740 +a(g18 +VS +tp15741 +a(g198 +V) +tp15742 +a(g185 +V +tp15743 +a(g111 +Vdo +p15744 +tp15745 +a(g185 +V\u000a +p15746 +tp15747 +a(g111 +Vif +p15748 +tp15749 +a(g185 +V +tp15750 +a(g18 +VS +tp15751 +a(g198 +V[ +tp15752 +a(g18 +Vi +tp15753 +a(g198 +V] +tp15754 +a(g185 +V +tp15755 +a(g339 +V= +tp15756 +a(g185 +V +tp15757 +a(g18 +VC +tp15758 +a(g185 +V +tp15759 +a(g111 +Vthen +p15760 +tp15761 +a(g185 +V +tp15762 +a(g111 +VExit +p15763 +tp15764 +a(g339 +V; +tp15765 +a(g185 +V\u000a +p15766 +tp15767 +a(g60 +VResult +p15768 +tp15769 +a(g185 +V +tp15770 +a(g339 +V: +tp15771 +a(g339 +V= +tp15772 +a(g185 +V +tp15773 +a(g111 +VFalse +p15774 +tp15775 +a(g339 +V; +tp15776 +a(g185 +V\u000a +tp15777 +a(g111 +Vend +p15778 +tp15779 +a(g339 +V; +tp15780 +a(g185 +V\u000a\u000a +p15781 +tp15782 +a(g111 +Vfunction +p15783 +tp15784 +a(g185 +V +tp15785 +a(g21 +VCharIn +p15786 +tp15787 +a(g198 +V( +tp15788 +a(g111 +Vconst +p15789 +tp15790 +a(g185 +V +tp15791 +a(g18 +VS +tp15792 +a(g339 +V: +tp15793 +a(g185 +V +tp15794 +a(g111 +Vstring +p15795 +tp15796 +a(g339 +V; +tp15797 +a(g185 +V +tp15798 +a(g18 +VC +tp15799 +a(g339 +V: +tp15800 +a(g185 +V +tp15801 +a(g18 +VTCharSet +p15802 +tp15803 +a(g198 +V) +tp15804 +a(g339 +V: +tp15805 +a(g185 +V +tp15806 +a(g135 +VBoolean +p15807 +tp15808 +a(g339 +V; +tp15809 +a(g185 +V\u000a +tp15810 +a(g111 +Vvar +p15811 +tp15812 +a(g185 +V\u000a +p15813 +tp15814 +a(g18 +Vi +tp15815 +a(g339 +V: +tp15816 +a(g185 +V +tp15817 +a(g135 +VInteger +p15818 +tp15819 +a(g339 +V; +tp15820 +a(g185 +V\u000a +tp15821 +a(g111 +Vbegin +p15822 +tp15823 +a(g185 +V\u000a +p15824 +tp15825 +a(g60 +VResult +p15826 +tp15827 +a(g185 +V +tp15828 +a(g339 +V: +tp15829 +a(g339 +V= +tp15830 +a(g185 +V +tp15831 +a(g111 +VFalse +p15832 +tp15833 +a(g339 +V; +tp15834 +a(g185 +V\u000a +p15835 +tp15836 +a(g111 +Vfor +p15837 +tp15838 +a(g185 +V +tp15839 +a(g18 +Vi +tp15840 +a(g185 +V +tp15841 +a(g339 +V: +tp15842 +a(g339 +V= +tp15843 +a(g185 +V +tp15844 +a(g314 +V1 +tp15845 +a(g185 +V +tp15846 +a(g111 +Vto +p15847 +tp15848 +a(g185 +V +tp15849 +a(g57 +VLength +p15850 +tp15851 +a(g198 +V( +tp15852 +a(g18 +VS +tp15853 +a(g198 +V) +tp15854 +a(g185 +V +tp15855 +a(g111 +Vdo +p15856 +tp15857 +a(g185 +V +tp15858 +a(g111 +Vbegin +p15859 +tp15860 +a(g185 +V\u000a +p15861 +tp15862 +a(g60 +VResult +p15863 +tp15864 +a(g185 +V +tp15865 +a(g339 +V: +tp15866 +a(g339 +V= +tp15867 +a(g185 +V +tp15868 +a(g18 +VS +tp15869 +a(g198 +V[ +tp15870 +a(g18 +Vi +tp15871 +a(g198 +V] +tp15872 +a(g185 +V +tp15873 +a(g111 +Vin +p15874 +tp15875 +a(g185 +V +tp15876 +a(g18 +VC +tp15877 +a(g339 +V; +tp15878 +a(g185 +V\u000a +p15879 +tp15880 +a(g111 +Vif +p15881 +tp15882 +a(g185 +V +tp15883 +a(g60 +VResult +p15884 +tp15885 +a(g185 +V +tp15886 +a(g111 +Vthen +p15887 +tp15888 +a(g185 +V\u000a +p15889 +tp15890 +a(g111 +VExit +p15891 +tp15892 +a(g339 +V; +tp15893 +a(g185 +V\u000a +p15894 +tp15895 +a(g111 +Vend +p15896 +tp15897 +a(g339 +V; +tp15898 +a(g185 +V\u000a +tp15899 +a(g111 +Vend +p15900 +tp15901 +a(g339 +V; +tp15902 +a(g185 +V\u000a\u000a +p15903 +tp15904 +a(g111 +Vfunction +p15905 +tp15906 +a(g185 +V +tp15907 +a(g21 +VStrIn +p15908 +tp15909 +a(g198 +V( +tp15910 +a(g111 +Vconst +p15911 +tp15912 +a(g185 +V +tp15913 +a(g18 +VS +tp15914 +a(g339 +V, +tp15915 +a(g185 +V +tp15916 +a(g18 +VSubStr +p15917 +tp15918 +a(g339 +V: +tp15919 +a(g185 +V +tp15920 +a(g111 +Vstring +p15921 +tp15922 +a(g198 +V) +tp15923 +a(g339 +V: +tp15924 +a(g185 +V +tp15925 +a(g135 +VBoolean +p15926 +tp15927 +a(g339 +V; +tp15928 +a(g185 +V\u000a +tp15929 +a(g111 +Vbegin +p15930 +tp15931 +a(g185 +V\u000a +p15932 +tp15933 +a(g60 +VResult +p15934 +tp15935 +a(g185 +V +tp15936 +a(g339 +V: +tp15937 +a(g339 +V= +tp15938 +a(g185 +V +tp15939 +a(g18 +VPosEx +p15940 +tp15941 +a(g198 +V( +tp15942 +a(g18 +VSubStr +p15943 +tp15944 +a(g339 +V, +tp15945 +a(g185 +V +tp15946 +a(g18 +VS +tp15947 +a(g198 +V) +tp15948 +a(g185 +V +tp15949 +a(g339 +V> +tp15950 +a(g185 +V +tp15951 +a(g314 +V0 +tp15952 +a(g339 +V; +tp15953 +a(g185 +V\u000a +tp15954 +a(g111 +Vend +p15955 +tp15956 +a(g339 +V; +tp15957 +a(g185 +V\u000a\u000a +p15958 +tp15959 +a(g111 +Vfunction +p15960 +tp15961 +a(g185 +V +tp15962 +a(g21 +VStrIn +p15963 +tp15964 +a(g198 +V( +tp15965 +a(g18 +VSL +p15966 +tp15967 +a(g339 +V: +tp15968 +a(g185 +V +tp15969 +a(g18 +VTStrings +p15970 +tp15971 +a(g339 +V; +tp15972 +a(g185 +V +tp15973 +a(g111 +Vconst +p15974 +tp15975 +a(g185 +V +tp15976 +a(g18 +VS +tp15977 +a(g339 +V: +tp15978 +a(g185 +V +tp15979 +a(g111 +Vstring +p15980 +tp15981 +a(g198 +V) +tp15982 +a(g339 +V: +tp15983 +a(g185 +V +tp15984 +a(g135 +VBoolean +p15985 +tp15986 +a(g339 +V; +tp15987 +a(g185 +V\u000a +tp15988 +a(g111 +Vvar +p15989 +tp15990 +a(g185 +V\u000a +p15991 +tp15992 +a(g18 +Vi +tp15993 +a(g339 +V: +tp15994 +a(g185 +V +tp15995 +a(g135 +VInteger +p15996 +tp15997 +a(g339 +V; +tp15998 +a(g185 +V\u000a +tp15999 +a(g111 +Vbegin +p16000 +tp16001 +a(g185 +V\u000a +p16002 +tp16003 +a(g60 +VResult +p16004 +tp16005 +a(g185 +V +tp16006 +a(g339 +V: +tp16007 +a(g339 +V= +tp16008 +a(g185 +V +tp16009 +a(g111 +VFalse +p16010 +tp16011 +a(g339 +V; +tp16012 +a(g185 +V\u000a +p16013 +tp16014 +a(g111 +Vfor +p16015 +tp16016 +a(g185 +V +tp16017 +a(g18 +Vi +tp16018 +a(g185 +V +tp16019 +a(g339 +V: +tp16020 +a(g339 +V= +tp16021 +a(g185 +V +tp16022 +a(g314 +V0 +tp16023 +a(g185 +V +tp16024 +a(g111 +Vto +p16025 +tp16026 +a(g185 +V +tp16027 +a(g18 +VSL +p16028 +tp16029 +a(g339 +V. +tp16030 +a(g18 +VCount +p16031 +tp16032 +a(g339 +V- +tp16033 +a(g314 +V1 +tp16034 +a(g185 +V +tp16035 +a(g111 +Vdo +p16036 +tp16037 +a(g185 +V +tp16038 +a(g111 +Vbegin +p16039 +tp16040 +a(g185 +V\u000a +p16041 +tp16042 +a(g60 +VResult +p16043 +tp16044 +a(g185 +V +tp16045 +a(g339 +V: +tp16046 +a(g339 +V= +tp16047 +a(g185 +V +tp16048 +a(g198 +V( +tp16049 +a(g18 +VS +tp16050 +a(g185 +V +tp16051 +a(g339 +V= +tp16052 +a(g185 +V +tp16053 +a(g18 +VSL +p16054 +tp16055 +a(g198 +V[ +tp16056 +a(g18 +Vi +tp16057 +a(g198 +V]) +p16058 +tp16059 +a(g339 +V; +tp16060 +a(g185 +V\u000a +p16061 +tp16062 +a(g111 +Vif +p16063 +tp16064 +a(g185 +V +tp16065 +a(g60 +VResult +p16066 +tp16067 +a(g185 +V +tp16068 +a(g111 +Vthen +p16069 +tp16070 +a(g185 +V\u000a +p16071 +tp16072 +a(g111 +VExit +p16073 +tp16074 +a(g339 +V; +tp16075 +a(g185 +V\u000a +p16076 +tp16077 +a(g111 +Vend +p16078 +tp16079 +a(g339 +V; +tp16080 +a(g185 +V\u000a +tp16081 +a(g111 +Vend +p16082 +tp16083 +a(g339 +V; +tp16084 +a(g185 +V\u000a\u000a +p16085 +tp16086 +a(g111 +Vfunction +p16087 +tp16088 +a(g185 +V +tp16089 +a(g21 +VStrIn +p16090 +tp16091 +a(g198 +V( +tp16092 +a(g18 +VA +tp16093 +a(g339 +V: +tp16094 +a(g185 +V +tp16095 +a(g18 +VTStrA +p16096 +tp16097 +a(g339 +V; +tp16098 +a(g185 +V +tp16099 +a(g111 +Vconst +p16100 +tp16101 +a(g185 +V +tp16102 +a(g18 +VS +tp16103 +a(g339 +V: +tp16104 +a(g185 +V +tp16105 +a(g111 +Vstring +p16106 +tp16107 +a(g198 +V) +tp16108 +a(g339 +V: +tp16109 +a(g185 +V +tp16110 +a(g135 +VBoolean +p16111 +tp16112 +a(g339 +V; +tp16113 +a(g185 +V\u000a +tp16114 +a(g111 +Vvar +p16115 +tp16116 +a(g185 +V\u000a +p16117 +tp16118 +a(g18 +Vi +tp16119 +a(g339 +V: +tp16120 +a(g185 +V +tp16121 +a(g135 +VInteger +p16122 +tp16123 +a(g339 +V; +tp16124 +a(g185 +V\u000a +tp16125 +a(g111 +Vbegin +p16126 +tp16127 +a(g185 +V\u000a +p16128 +tp16129 +a(g60 +VResult +p16130 +tp16131 +a(g185 +V +tp16132 +a(g339 +V: +tp16133 +a(g339 +V= +tp16134 +a(g185 +V +tp16135 +a(g111 +VFalse +p16136 +tp16137 +a(g339 +V; +tp16138 +a(g185 +V\u000a +p16139 +tp16140 +a(g111 +Vfor +p16141 +tp16142 +a(g185 +V +tp16143 +a(g18 +Vi +tp16144 +a(g185 +V +tp16145 +a(g339 +V: +tp16146 +a(g339 +V= +tp16147 +a(g185 +V +tp16148 +a(g57 +VLow +p16149 +tp16150 +a(g198 +V( +tp16151 +a(g18 +VA +tp16152 +a(g198 +V) +tp16153 +a(g185 +V +tp16154 +a(g111 +Vto +p16155 +tp16156 +a(g185 +V +tp16157 +a(g57 +VHigh +p16158 +tp16159 +a(g198 +V( +tp16160 +a(g18 +VA +tp16161 +a(g198 +V) +tp16162 +a(g185 +V +tp16163 +a(g111 +Vdo +p16164 +tp16165 +a(g185 +V +tp16166 +a(g111 +Vbegin +p16167 +tp16168 +a(g185 +V\u000a +p16169 +tp16170 +a(g60 +VResult +p16171 +tp16172 +a(g185 +V +tp16173 +a(g339 +V: +tp16174 +a(g339 +V= +tp16175 +a(g185 +V +tp16176 +a(g198 +V( +tp16177 +a(g18 +VS +tp16178 +a(g185 +V +tp16179 +a(g339 +V= +tp16180 +a(g185 +V +tp16181 +a(g18 +VA +tp16182 +a(g198 +V[ +tp16183 +a(g18 +Vi +tp16184 +a(g198 +V]) +p16185 +tp16186 +a(g339 +V; +tp16187 +a(g185 +V\u000a +p16188 +tp16189 +a(g111 +Vif +p16190 +tp16191 +a(g185 +V +tp16192 +a(g60 +VResult +p16193 +tp16194 +a(g185 +V +tp16195 +a(g111 +Vthen +p16196 +tp16197 +a(g185 +V\u000a +p16198 +tp16199 +a(g111 +VExit +p16200 +tp16201 +a(g339 +V; +tp16202 +a(g185 +V\u000a +p16203 +tp16204 +a(g111 +Vend +p16205 +tp16206 +a(g339 +V; +tp16207 +a(g185 +V\u000a +tp16208 +a(g111 +Vend +p16209 +tp16210 +a(g339 +V; +tp16211 +a(g185 +V\u000a\u000a +p16212 +tp16213 +a(g111 +Vfunction +p16214 +tp16215 +a(g185 +V +tp16216 +a(g21 +VTextIn +p16217 +tp16218 +a(g198 +V( +tp16219 +a(g111 +Vconst +p16220 +tp16221 +a(g185 +V +tp16222 +a(g18 +VS +tp16223 +a(g339 +V, +tp16224 +a(g185 +V +tp16225 +a(g18 +VText +p16226 +tp16227 +a(g339 +V: +tp16228 +a(g185 +V +tp16229 +a(g111 +Vstring +p16230 +tp16231 +a(g198 +V) +tp16232 +a(g339 +V: +tp16233 +a(g185 +V +tp16234 +a(g135 +VBoolean +p16235 +tp16236 +a(g339 +V; +tp16237 +a(g185 +V\u000a +tp16238 +a(g111 +Vbegin +p16239 +tp16240 +a(g185 +V\u000a +p16241 +tp16242 +a(g60 +VResult +p16243 +tp16244 +a(g185 +V +tp16245 +a(g339 +V: +tp16246 +a(g339 +V= +tp16247 +a(g185 +V +tp16248 +a(g18 +VPosExText +p16249 +tp16250 +a(g198 +V( +tp16251 +a(g18 +VText +p16252 +tp16253 +a(g339 +V, +tp16254 +a(g185 +V +tp16255 +a(g18 +VS +tp16256 +a(g198 +V) +tp16257 +a(g185 +V +tp16258 +a(g339 +V> +tp16259 +a(g185 +V +tp16260 +a(g314 +V0 +tp16261 +a(g339 +V; +tp16262 +a(g185 +V\u000a +tp16263 +a(g111 +Vend +p16264 +tp16265 +a(g339 +V; +tp16266 +a(g185 +V\u000a\u000a +p16267 +tp16268 +a(g111 +Vfunction +p16269 +tp16270 +a(g185 +V +tp16271 +a(g21 +VTextIn +p16272 +tp16273 +a(g198 +V( +tp16274 +a(g18 +VSL +p16275 +tp16276 +a(g339 +V: +tp16277 +a(g185 +V +tp16278 +a(g18 +VTStrings +p16279 +tp16280 +a(g339 +V; +tp16281 +a(g185 +V +tp16282 +a(g111 +Vconst +p16283 +tp16284 +a(g185 +V +tp16285 +a(g18 +VText +p16286 +tp16287 +a(g339 +V: +tp16288 +a(g185 +V +tp16289 +a(g111 +Vstring +p16290 +tp16291 +a(g198 +V) +tp16292 +a(g339 +V: +tp16293 +a(g185 +V +tp16294 +a(g135 +VBoolean +p16295 +tp16296 +a(g339 +V; +tp16297 +a(g185 +V\u000a +tp16298 +a(g111 +Vvar +p16299 +tp16300 +a(g185 +V\u000a +p16301 +tp16302 +a(g18 +Vi +tp16303 +a(g339 +V: +tp16304 +a(g185 +V +tp16305 +a(g135 +VInteger +p16306 +tp16307 +a(g339 +V; +tp16308 +a(g185 +V\u000a +tp16309 +a(g111 +Vbegin +p16310 +tp16311 +a(g185 +V\u000a +p16312 +tp16313 +a(g60 +VResult +p16314 +tp16315 +a(g185 +V +tp16316 +a(g339 +V: +tp16317 +a(g339 +V= +tp16318 +a(g185 +V +tp16319 +a(g111 +VFalse +p16320 +tp16321 +a(g339 +V; +tp16322 +a(g185 +V\u000a +p16323 +tp16324 +a(g111 +Vfor +p16325 +tp16326 +a(g185 +V +tp16327 +a(g18 +Vi +tp16328 +a(g185 +V +tp16329 +a(g339 +V: +tp16330 +a(g339 +V= +tp16331 +a(g185 +V +tp16332 +a(g314 +V0 +tp16333 +a(g185 +V +tp16334 +a(g111 +Vto +p16335 +tp16336 +a(g185 +V +tp16337 +a(g18 +VSL +p16338 +tp16339 +a(g339 +V. +tp16340 +a(g18 +VCount +p16341 +tp16342 +a(g339 +V- +tp16343 +a(g314 +V1 +tp16344 +a(g185 +V +tp16345 +a(g111 +Vdo +p16346 +tp16347 +a(g185 +V +tp16348 +a(g111 +Vbegin +p16349 +tp16350 +a(g185 +V\u000a +p16351 +tp16352 +a(g60 +VResult +p16353 +tp16354 +a(g185 +V +tp16355 +a(g339 +V: +tp16356 +a(g339 +V= +tp16357 +a(g185 +V +tp16358 +a(g57 +VSameText +p16359 +tp16360 +a(g198 +V( +tp16361 +a(g18 +VText +p16362 +tp16363 +a(g339 +V, +tp16364 +a(g185 +V +tp16365 +a(g18 +VSL +p16366 +tp16367 +a(g198 +V[ +tp16368 +a(g18 +Vi +tp16369 +a(g198 +V]) +p16370 +tp16371 +a(g339 +V; +tp16372 +a(g185 +V\u000a +p16373 +tp16374 +a(g111 +Vif +p16375 +tp16376 +a(g185 +V +tp16377 +a(g60 +VResult +p16378 +tp16379 +a(g185 +V +tp16380 +a(g111 +Vthen +p16381 +tp16382 +a(g185 +V\u000a +p16383 +tp16384 +a(g111 +VExit +p16385 +tp16386 +a(g339 +V; +tp16387 +a(g185 +V\u000a +p16388 +tp16389 +a(g111 +Vend +p16390 +tp16391 +a(g339 +V; +tp16392 +a(g185 +V\u000a +tp16393 +a(g111 +Vend +p16394 +tp16395 +a(g339 +V; +tp16396 +a(g185 +V\u000a\u000a +p16397 +tp16398 +a(g111 +Vfunction +p16399 +tp16400 +a(g185 +V +tp16401 +a(g21 +VTextIn +p16402 +tp16403 +a(g198 +V( +tp16404 +a(g18 +VA +tp16405 +a(g339 +V: +tp16406 +a(g185 +V +tp16407 +a(g18 +VTStrA +p16408 +tp16409 +a(g339 +V; +tp16410 +a(g185 +V +tp16411 +a(g111 +Vconst +p16412 +tp16413 +a(g185 +V +tp16414 +a(g18 +VText +p16415 +tp16416 +a(g339 +V: +tp16417 +a(g185 +V +tp16418 +a(g111 +Vstring +p16419 +tp16420 +a(g198 +V) +tp16421 +a(g339 +V: +tp16422 +a(g185 +V +tp16423 +a(g135 +VBoolean +p16424 +tp16425 +a(g339 +V; +tp16426 +a(g185 +V\u000a +tp16427 +a(g111 +Vvar +p16428 +tp16429 +a(g185 +V\u000a +p16430 +tp16431 +a(g18 +Vi +tp16432 +a(g339 +V: +tp16433 +a(g185 +V +tp16434 +a(g135 +VInteger +p16435 +tp16436 +a(g339 +V; +tp16437 +a(g185 +V\u000a +tp16438 +a(g111 +Vbegin +p16439 +tp16440 +a(g185 +V\u000a +p16441 +tp16442 +a(g60 +VResult +p16443 +tp16444 +a(g185 +V +tp16445 +a(g339 +V: +tp16446 +a(g339 +V= +tp16447 +a(g185 +V +tp16448 +a(g111 +VFalse +p16449 +tp16450 +a(g339 +V; +tp16451 +a(g185 +V\u000a +p16452 +tp16453 +a(g111 +Vfor +p16454 +tp16455 +a(g185 +V +tp16456 +a(g18 +Vi +tp16457 +a(g185 +V +tp16458 +a(g339 +V: +tp16459 +a(g339 +V= +tp16460 +a(g185 +V +tp16461 +a(g57 +VLow +p16462 +tp16463 +a(g198 +V( +tp16464 +a(g18 +VA +tp16465 +a(g198 +V) +tp16466 +a(g185 +V +tp16467 +a(g111 +Vto +p16468 +tp16469 +a(g185 +V +tp16470 +a(g57 +VHigh +p16471 +tp16472 +a(g198 +V( +tp16473 +a(g18 +VA +tp16474 +a(g198 +V) +tp16475 +a(g185 +V +tp16476 +a(g111 +Vdo +p16477 +tp16478 +a(g185 +V +tp16479 +a(g111 +Vbegin +p16480 +tp16481 +a(g185 +V\u000a +p16482 +tp16483 +a(g60 +VResult +p16484 +tp16485 +a(g185 +V +tp16486 +a(g339 +V: +tp16487 +a(g339 +V= +tp16488 +a(g185 +V +tp16489 +a(g57 +VSameText +p16490 +tp16491 +a(g198 +V( +tp16492 +a(g18 +VText +p16493 +tp16494 +a(g339 +V, +tp16495 +a(g185 +V +tp16496 +a(g18 +VA +tp16497 +a(g198 +V[ +tp16498 +a(g18 +Vi +tp16499 +a(g198 +V]) +p16500 +tp16501 +a(g339 +V; +tp16502 +a(g185 +V\u000a +p16503 +tp16504 +a(g111 +Vif +p16505 +tp16506 +a(g185 +V +tp16507 +a(g60 +VResult +p16508 +tp16509 +a(g185 +V +tp16510 +a(g111 +Vthen +p16511 +tp16512 +a(g185 +V\u000a +p16513 +tp16514 +a(g111 +VExit +p16515 +tp16516 +a(g339 +V; +tp16517 +a(g185 +V\u000a +p16518 +tp16519 +a(g111 +Vend +p16520 +tp16521 +a(g339 +V; +tp16522 +a(g185 +V\u000a +tp16523 +a(g111 +Vend +p16524 +tp16525 +a(g339 +V; +tp16526 +a(g185 +V\u000a\u000a +p16527 +tp16528 +a(g111 +Vfunction +p16529 +tp16530 +a(g185 +V +tp16531 +a(g21 +VStrIndex +p16532 +tp16533 +a(g198 +V( +tp16534 +a(g18 +VSL +p16535 +tp16536 +a(g339 +V: +tp16537 +a(g185 +V +tp16538 +a(g18 +VTStrings +p16539 +tp16540 +a(g339 +V; +tp16541 +a(g185 +V +tp16542 +a(g111 +Vconst +p16543 +tp16544 +a(g185 +V +tp16545 +a(g18 +VS +tp16546 +a(g339 +V: +tp16547 +a(g185 +V +tp16548 +a(g111 +Vstring +p16549 +tp16550 +a(g198 +V) +tp16551 +a(g339 +V: +tp16552 +a(g185 +V +tp16553 +a(g135 +VInteger +p16554 +tp16555 +a(g339 +V; +tp16556 +a(g185 +V\u000a +tp16557 +a(g111 +Vbegin +p16558 +tp16559 +a(g185 +V\u000a +p16560 +tp16561 +a(g111 +Vfor +p16562 +tp16563 +a(g185 +V +tp16564 +a(g60 +VResult +p16565 +tp16566 +a(g185 +V +tp16567 +a(g339 +V: +tp16568 +a(g339 +V= +tp16569 +a(g185 +V +tp16570 +a(g314 +V0 +tp16571 +a(g185 +V +tp16572 +a(g111 +Vto +p16573 +tp16574 +a(g185 +V +tp16575 +a(g18 +VSL +p16576 +tp16577 +a(g339 +V. +tp16578 +a(g18 +VCount +p16579 +tp16580 +a(g339 +V- +tp16581 +a(g314 +V1 +tp16582 +a(g185 +V +tp16583 +a(g111 +Vdo +p16584 +tp16585 +a(g185 +V\u000a +p16586 +tp16587 +a(g111 +Vif +p16588 +tp16589 +a(g185 +V +tp16590 +a(g18 +VS +tp16591 +a(g185 +V +tp16592 +a(g339 +V= +tp16593 +a(g185 +V +tp16594 +a(g18 +VSL +p16595 +tp16596 +a(g198 +V[ +tp16597 +a(g60 +VResult +p16598 +tp16599 +a(g198 +V] +tp16600 +a(g185 +V +tp16601 +a(g111 +Vthen +p16602 +tp16603 +a(g185 +V\u000a +p16604 +tp16605 +a(g111 +VExit +p16606 +tp16607 +a(g339 +V; +tp16608 +a(g185 +V\u000a +p16609 +tp16610 +a(g60 +VResult +p16611 +tp16612 +a(g185 +V +tp16613 +a(g339 +V: +tp16614 +a(g339 +V= +tp16615 +a(g185 +V +tp16616 +a(g339 +V- +tp16617 +a(g314 +V1 +tp16618 +a(g339 +V; +tp16619 +a(g185 +V\u000a +tp16620 +a(g111 +Vend +p16621 +tp16622 +a(g339 +V; +tp16623 +a(g185 +V\u000a\u000a +p16624 +tp16625 +a(g111 +Vfunction +p16626 +tp16627 +a(g185 +V +tp16628 +a(g21 +VStrIndex +p16629 +tp16630 +a(g198 +V( +tp16631 +a(g18 +VA +tp16632 +a(g339 +V: +tp16633 +a(g185 +V +tp16634 +a(g18 +VTStrA +p16635 +tp16636 +a(g339 +V; +tp16637 +a(g185 +V +tp16638 +a(g111 +Vconst +p16639 +tp16640 +a(g185 +V +tp16641 +a(g18 +VS +tp16642 +a(g339 +V: +tp16643 +a(g185 +V +tp16644 +a(g111 +Vstring +p16645 +tp16646 +a(g198 +V) +tp16647 +a(g339 +V: +tp16648 +a(g185 +V +tp16649 +a(g135 +VInteger +p16650 +tp16651 +a(g339 +V; +tp16652 +a(g185 +V\u000a +tp16653 +a(g111 +Vbegin +p16654 +tp16655 +a(g185 +V\u000a +p16656 +tp16657 +a(g111 +Vfor +p16658 +tp16659 +a(g185 +V +tp16660 +a(g60 +VResult +p16661 +tp16662 +a(g185 +V +tp16663 +a(g339 +V: +tp16664 +a(g339 +V= +tp16665 +a(g185 +V +tp16666 +a(g57 +VLow +p16667 +tp16668 +a(g198 +V( +tp16669 +a(g18 +VA +tp16670 +a(g198 +V) +tp16671 +a(g185 +V +tp16672 +a(g111 +Vto +p16673 +tp16674 +a(g185 +V +tp16675 +a(g57 +VHigh +p16676 +tp16677 +a(g198 +V( +tp16678 +a(g18 +VA +tp16679 +a(g198 +V) +tp16680 +a(g185 +V +tp16681 +a(g111 +Vdo +p16682 +tp16683 +a(g185 +V\u000a +p16684 +tp16685 +a(g111 +Vif +p16686 +tp16687 +a(g185 +V +tp16688 +a(g18 +VS +tp16689 +a(g185 +V +tp16690 +a(g339 +V= +tp16691 +a(g185 +V +tp16692 +a(g18 +VA +tp16693 +a(g198 +V[ +tp16694 +a(g60 +VResult +p16695 +tp16696 +a(g198 +V] +tp16697 +a(g185 +V +tp16698 +a(g111 +Vthen +p16699 +tp16700 +a(g185 +V\u000a +p16701 +tp16702 +a(g111 +VExit +p16703 +tp16704 +a(g339 +V; +tp16705 +a(g185 +V\u000a +p16706 +tp16707 +a(g60 +VResult +p16708 +tp16709 +a(g185 +V +tp16710 +a(g339 +V: +tp16711 +a(g339 +V= +tp16712 +a(g185 +V +tp16713 +a(g339 +V- +tp16714 +a(g314 +V1 +tp16715 +a(g339 +V; +tp16716 +a(g185 +V\u000a +tp16717 +a(g111 +Vend +p16718 +tp16719 +a(g339 +V; +tp16720 +a(g185 +V\u000a\u000a +p16721 +tp16722 +a(g111 +Vfunction +p16723 +tp16724 +a(g185 +V +tp16725 +a(g21 +VTextIndex +p16726 +tp16727 +a(g198 +V( +tp16728 +a(g18 +VSL +p16729 +tp16730 +a(g339 +V: +tp16731 +a(g185 +V +tp16732 +a(g18 +VTStrings +p16733 +tp16734 +a(g339 +V; +tp16735 +a(g185 +V +tp16736 +a(g111 +Vconst +p16737 +tp16738 +a(g185 +V +tp16739 +a(g18 +VText +p16740 +tp16741 +a(g339 +V: +tp16742 +a(g185 +V +tp16743 +a(g111 +Vstring +p16744 +tp16745 +a(g198 +V) +tp16746 +a(g339 +V: +tp16747 +a(g185 +V +tp16748 +a(g135 +VInteger +p16749 +tp16750 +a(g339 +V; +tp16751 +a(g185 +V\u000a +tp16752 +a(g111 +Vbegin +p16753 +tp16754 +a(g185 +V\u000a +p16755 +tp16756 +a(g111 +Vfor +p16757 +tp16758 +a(g185 +V +tp16759 +a(g60 +VResult +p16760 +tp16761 +a(g185 +V +tp16762 +a(g339 +V: +tp16763 +a(g339 +V= +tp16764 +a(g185 +V +tp16765 +a(g314 +V0 +tp16766 +a(g185 +V +tp16767 +a(g111 +Vto +p16768 +tp16769 +a(g185 +V +tp16770 +a(g18 +VSL +p16771 +tp16772 +a(g339 +V. +tp16773 +a(g18 +VCount +p16774 +tp16775 +a(g339 +V- +tp16776 +a(g314 +V1 +tp16777 +a(g185 +V +tp16778 +a(g111 +Vdo +p16779 +tp16780 +a(g185 +V\u000a +p16781 +tp16782 +a(g111 +Vif +p16783 +tp16784 +a(g185 +V +tp16785 +a(g57 +VSameText +p16786 +tp16787 +a(g198 +V( +tp16788 +a(g18 +VText +p16789 +tp16790 +a(g339 +V, +tp16791 +a(g185 +V +tp16792 +a(g18 +VSL +p16793 +tp16794 +a(g198 +V[ +tp16795 +a(g60 +VResult +p16796 +tp16797 +a(g198 +V]) +p16798 +tp16799 +a(g185 +V +tp16800 +a(g111 +Vthen +p16801 +tp16802 +a(g185 +V\u000a +p16803 +tp16804 +a(g111 +VExit +p16805 +tp16806 +a(g339 +V; +tp16807 +a(g185 +V\u000a +p16808 +tp16809 +a(g60 +VResult +p16810 +tp16811 +a(g185 +V +tp16812 +a(g339 +V: +tp16813 +a(g339 +V= +tp16814 +a(g185 +V +tp16815 +a(g339 +V- +tp16816 +a(g314 +V1 +tp16817 +a(g339 +V; +tp16818 +a(g185 +V\u000a +tp16819 +a(g111 +Vend +p16820 +tp16821 +a(g339 +V; +tp16822 +a(g185 +V\u000a\u000a +p16823 +tp16824 +a(g111 +Vfunction +p16825 +tp16826 +a(g185 +V +tp16827 +a(g21 +VTextIndex +p16828 +tp16829 +a(g198 +V( +tp16830 +a(g18 +VA +tp16831 +a(g339 +V: +tp16832 +a(g185 +V +tp16833 +a(g18 +VTStrA +p16834 +tp16835 +a(g339 +V; +tp16836 +a(g185 +V +tp16837 +a(g111 +Vconst +p16838 +tp16839 +a(g185 +V +tp16840 +a(g18 +VText +p16841 +tp16842 +a(g339 +V: +tp16843 +a(g185 +V +tp16844 +a(g111 +Vstring +p16845 +tp16846 +a(g198 +V) +tp16847 +a(g339 +V: +tp16848 +a(g185 +V +tp16849 +a(g135 +VInteger +p16850 +tp16851 +a(g339 +V; +tp16852 +a(g185 +V\u000a +tp16853 +a(g111 +Vbegin +p16854 +tp16855 +a(g185 +V\u000a +p16856 +tp16857 +a(g111 +Vfor +p16858 +tp16859 +a(g185 +V +tp16860 +a(g60 +VResult +p16861 +tp16862 +a(g185 +V +tp16863 +a(g339 +V: +tp16864 +a(g339 +V= +tp16865 +a(g185 +V +tp16866 +a(g57 +VLow +p16867 +tp16868 +a(g198 +V( +tp16869 +a(g18 +VA +tp16870 +a(g198 +V) +tp16871 +a(g185 +V +tp16872 +a(g111 +Vto +p16873 +tp16874 +a(g185 +V +tp16875 +a(g57 +VHigh +p16876 +tp16877 +a(g198 +V( +tp16878 +a(g18 +VA +tp16879 +a(g198 +V) +tp16880 +a(g185 +V +tp16881 +a(g111 +Vdo +p16882 +tp16883 +a(g185 +V\u000a +p16884 +tp16885 +a(g111 +Vif +p16886 +tp16887 +a(g185 +V +tp16888 +a(g57 +VSameText +p16889 +tp16890 +a(g198 +V( +tp16891 +a(g18 +VText +p16892 +tp16893 +a(g339 +V, +tp16894 +a(g185 +V +tp16895 +a(g18 +VA +tp16896 +a(g198 +V[ +tp16897 +a(g60 +VResult +p16898 +tp16899 +a(g198 +V]) +p16900 +tp16901 +a(g185 +V +tp16902 +a(g111 +Vthen +p16903 +tp16904 +a(g185 +V\u000a +p16905 +tp16906 +a(g111 +VExit +p16907 +tp16908 +a(g339 +V; +tp16909 +a(g185 +V\u000a +p16910 +tp16911 +a(g60 +VResult +p16912 +tp16913 +a(g185 +V +tp16914 +a(g339 +V: +tp16915 +a(g339 +V= +tp16916 +a(g185 +V +tp16917 +a(g339 +V- +tp16918 +a(g314 +V1 +tp16919 +a(g339 +V; +tp16920 +a(g185 +V\u000a +tp16921 +a(g111 +Vend +p16922 +tp16923 +a(g339 +V; +tp16924 +a(g185 +V\u000a\u000a +p16925 +tp16926 +a(g111 +Vfunction +p16927 +tp16928 +a(g185 +V +tp16929 +a(g21 +VReplaceChars +p16930 +tp16931 +a(g198 +V( +tp16932 +a(g111 +Vconst +p16933 +tp16934 +a(g185 +V +tp16935 +a(g18 +VS +tp16936 +a(g339 +V: +tp16937 +a(g185 +V +tp16938 +a(g111 +Vstring +p16939 +tp16940 +a(g339 +V; +tp16941 +a(g185 +V +tp16942 +a(g18 +VOld +p16943 +tp16944 +a(g339 +V, +tp16945 +a(g185 +V +tp16946 +a(g111 +VNew +p16947 +tp16948 +a(g339 +V: +tp16949 +a(g185 +V +tp16950 +a(g135 +VChar +p16951 +tp16952 +a(g198 +V) +tp16953 +a(g339 +V: +tp16954 +a(g185 +V +tp16955 +a(g111 +Vstring +p16956 +tp16957 +a(g339 +V; +tp16958 +a(g185 +V\u000a +tp16959 +a(g111 +Vvar +p16960 +tp16961 +a(g185 +V\u000a +p16962 +tp16963 +a(g18 +Vi +tp16964 +a(g339 +V: +tp16965 +a(g185 +V +tp16966 +a(g135 +VInteger +p16967 +tp16968 +a(g339 +V; +tp16969 +a(g185 +V\u000a +tp16970 +a(g111 +Vbegin +p16971 +tp16972 +a(g185 +V\u000a +p16973 +tp16974 +a(g60 +VResult +p16975 +tp16976 +a(g185 +V +tp16977 +a(g339 +V: +tp16978 +a(g339 +V= +tp16979 +a(g185 +V +tp16980 +a(g18 +VS +tp16981 +a(g339 +V; +tp16982 +a(g185 +V\u000a +p16983 +tp16984 +a(g111 +Vfor +p16985 +tp16986 +a(g185 +V +tp16987 +a(g18 +Vi +tp16988 +a(g185 +V +tp16989 +a(g339 +V: +tp16990 +a(g339 +V= +tp16991 +a(g185 +V +tp16992 +a(g314 +V1 +tp16993 +a(g185 +V +tp16994 +a(g111 +Vto +p16995 +tp16996 +a(g185 +V +tp16997 +a(g57 +VLength +p16998 +tp16999 +a(g198 +V( +tp17000 +a(g60 +VResult +p17001 +tp17002 +a(g198 +V) +tp17003 +a(g185 +V +tp17004 +a(g111 +Vdo +p17005 +tp17006 +a(g185 +V\u000a +p17007 +tp17008 +a(g111 +Vif +p17009 +tp17010 +a(g185 +V +tp17011 +a(g60 +VResult +p17012 +tp17013 +a(g198 +V[ +tp17014 +a(g18 +Vi +tp17015 +a(g198 +V] +tp17016 +a(g185 +V +tp17017 +a(g339 +V= +tp17018 +a(g185 +V +tp17019 +a(g18 +VOld +p17020 +tp17021 +a(g185 +V +tp17022 +a(g111 +Vthen +p17023 +tp17024 +a(g185 +V\u000a +p17025 +tp17026 +a(g60 +VResult +p17027 +tp17028 +a(g198 +V[ +tp17029 +a(g18 +Vi +tp17030 +a(g198 +V] +tp17031 +a(g185 +V +tp17032 +a(g339 +V: +tp17033 +a(g339 +V= +tp17034 +a(g185 +V +tp17035 +a(g111 +VNew +p17036 +tp17037 +a(g339 +V; +tp17038 +a(g185 +V\u000a +tp17039 +a(g111 +Vend +p17040 +tp17041 +a(g339 +V; +tp17042 +a(g185 +V\u000a\u000a +p17043 +tp17044 +a(g111 +Vfunction +p17045 +tp17046 +a(g185 +V +tp17047 +a(g21 +VReplaceChars +p17048 +tp17049 +a(g198 +V( +tp17050 +a(g111 +Vconst +p17051 +tp17052 +a(g185 +V +tp17053 +a(g18 +VS +tp17054 +a(g339 +V: +tp17055 +a(g185 +V +tp17056 +a(g111 +Vstring +p17057 +tp17058 +a(g339 +V; +tp17059 +a(g185 +V +tp17060 +a(g18 +VOld +p17061 +tp17062 +a(g339 +V: +tp17063 +a(g185 +V +tp17064 +a(g18 +VTCharSet +p17065 +tp17066 +a(g339 +V; +tp17067 +a(g185 +V +tp17068 +a(g111 +VNew +p17069 +tp17070 +a(g339 +V: +tp17071 +a(g185 +V +tp17072 +a(g135 +VChar +p17073 +tp17074 +a(g198 +V) +tp17075 +a(g339 +V: +tp17076 +a(g185 +V +tp17077 +a(g111 +Vstring +p17078 +tp17079 +a(g339 +V; +tp17080 +a(g185 +V\u000a +tp17081 +a(g111 +Vvar +p17082 +tp17083 +a(g185 +V\u000a +p17084 +tp17085 +a(g18 +Vi +tp17086 +a(g339 +V: +tp17087 +a(g185 +V +tp17088 +a(g135 +VInteger +p17089 +tp17090 +a(g339 +V; +tp17091 +a(g185 +V\u000a +tp17092 +a(g111 +Vbegin +p17093 +tp17094 +a(g185 +V\u000a +p17095 +tp17096 +a(g60 +VResult +p17097 +tp17098 +a(g185 +V +tp17099 +a(g339 +V: +tp17100 +a(g339 +V= +tp17101 +a(g185 +V +tp17102 +a(g18 +VS +tp17103 +a(g339 +V; +tp17104 +a(g185 +V\u000a +p17105 +tp17106 +a(g111 +Vfor +p17107 +tp17108 +a(g185 +V +tp17109 +a(g18 +Vi +tp17110 +a(g185 +V +tp17111 +a(g339 +V: +tp17112 +a(g339 +V= +tp17113 +a(g185 +V +tp17114 +a(g314 +V1 +tp17115 +a(g185 +V +tp17116 +a(g111 +Vto +p17117 +tp17118 +a(g185 +V +tp17119 +a(g57 +VLength +p17120 +tp17121 +a(g198 +V( +tp17122 +a(g60 +VResult +p17123 +tp17124 +a(g198 +V) +tp17125 +a(g185 +V +tp17126 +a(g111 +Vdo +p17127 +tp17128 +a(g185 +V\u000a +p17129 +tp17130 +a(g111 +Vif +p17131 +tp17132 +a(g185 +V +tp17133 +a(g60 +VResult +p17134 +tp17135 +a(g198 +V[ +tp17136 +a(g18 +Vi +tp17137 +a(g198 +V] +tp17138 +a(g185 +V +tp17139 +a(g111 +Vin +p17140 +tp17141 +a(g185 +V +tp17142 +a(g18 +VOld +p17143 +tp17144 +a(g185 +V +tp17145 +a(g111 +Vthen +p17146 +tp17147 +a(g185 +V\u000a +p17148 +tp17149 +a(g60 +VResult +p17150 +tp17151 +a(g198 +V[ +tp17152 +a(g18 +Vi +tp17153 +a(g198 +V] +tp17154 +a(g185 +V +tp17155 +a(g339 +V: +tp17156 +a(g339 +V= +tp17157 +a(g185 +V +tp17158 +a(g111 +VNew +p17159 +tp17160 +a(g339 +V; +tp17161 +a(g185 +V\u000a +tp17162 +a(g111 +Vend +p17163 +tp17164 +a(g339 +V; +tp17165 +a(g185 +V\u000a\u000a +p17166 +tp17167 +a(g111 +Vfunction +p17168 +tp17169 +a(g185 +V +tp17170 +a(g21 +VReplace +p17171 +tp17172 +a(g198 +V( +tp17173 +a(g111 +Vconst +p17174 +tp17175 +a(g185 +V +tp17176 +a(g18 +VS +tp17177 +a(g339 +V, +tp17178 +a(g185 +V +tp17179 +a(g18 +VOld +p17180 +tp17181 +a(g339 +V, +tp17182 +a(g185 +V +tp17183 +a(g111 +VNew +p17184 +tp17185 +a(g339 +V: +tp17186 +a(g185 +V +tp17187 +a(g111 +Vstring +p17188 +tp17189 +a(g198 +V) +tp17190 +a(g339 +V: +tp17191 +a(g185 +V +tp17192 +a(g111 +Vstring +p17193 +tp17194 +a(g339 +V; +tp17195 +a(g185 +V\u000a +tp17196 +a(g111 +Vvar +p17197 +tp17198 +a(g185 +V\u000a +p17199 +tp17200 +a(g18 +Voldp +p17201 +tp17202 +a(g339 +V, +tp17203 +a(g185 +V +tp17204 +a(g18 +Vps +p17205 +tp17206 +a(g339 +V: +tp17207 +a(g185 +V +tp17208 +a(g135 +VInteger +p17209 +tp17210 +a(g339 +V; +tp17211 +a(g185 +V\u000a +tp17212 +a(g111 +Vbegin +p17213 +tp17214 +a(g185 +V\u000a +p17215 +tp17216 +a(g18 +Vps +p17217 +tp17218 +a(g185 +V +tp17219 +a(g339 +V: +tp17220 +a(g339 +V= +tp17221 +a(g185 +V +tp17222 +a(g314 +V1 +tp17223 +a(g339 +V; +tp17224 +a(g185 +V\u000a +p17225 +tp17226 +a(g60 +VResult +p17227 +tp17228 +a(g185 +V +tp17229 +a(g339 +V: +tp17230 +a(g339 +V= +tp17231 +a(g185 +V +tp17232 +a(g222 +V' +tp17233 +a(g222 +V' +tp17234 +a(g339 +V; +tp17235 +a(g185 +V\u000a +p17236 +tp17237 +a(g111 +Vwhile +p17238 +tp17239 +a(g185 +V +tp17240 +a(g111 +VTrue +p17241 +tp17242 +a(g185 +V +tp17243 +a(g111 +Vdo +p17244 +tp17245 +a(g185 +V +tp17246 +a(g111 +Vbegin +p17247 +tp17248 +a(g185 +V\u000a +p17249 +tp17250 +a(g18 +Voldp +p17251 +tp17252 +a(g185 +V +tp17253 +a(g339 +V: +tp17254 +a(g339 +V= +tp17255 +a(g185 +V +tp17256 +a(g18 +Vps +p17257 +tp17258 +a(g339 +V; +tp17259 +a(g185 +V\u000a +p17260 +tp17261 +a(g18 +Vps +p17262 +tp17263 +a(g185 +V +tp17264 +a(g339 +V: +tp17265 +a(g339 +V= +tp17266 +a(g185 +V +tp17267 +a(g18 +VPosEx +p17268 +tp17269 +a(g198 +V( +tp17270 +a(g18 +VOld +p17271 +tp17272 +a(g339 +V, +tp17273 +a(g185 +V +tp17274 +a(g18 +VS +tp17275 +a(g339 +V, +tp17276 +a(g185 +V +tp17277 +a(g18 +Voldp +p17278 +tp17279 +a(g198 +V) +tp17280 +a(g339 +V; +tp17281 +a(g185 +V\u000a +p17282 +tp17283 +a(g111 +Vif +p17284 +tp17285 +a(g185 +V +tp17286 +a(g18 +Vps +p17287 +tp17288 +a(g185 +V +tp17289 +a(g339 +V= +tp17290 +a(g185 +V +tp17291 +a(g314 +V0 +tp17292 +a(g185 +V +tp17293 +a(g111 +Vthen +p17294 +tp17295 +a(g185 +V +tp17296 +a(g111 +Vbegin +p17297 +tp17298 +a(g185 +V\u000a +p17299 +tp17300 +a(g60 +VResult +p17301 +tp17302 +a(g185 +V +tp17303 +a(g339 +V: +tp17304 +a(g339 +V= +tp17305 +a(g185 +V +tp17306 +a(g60 +VResult +p17307 +tp17308 +a(g185 +V +tp17309 +a(g339 +V+ +tp17310 +a(g185 +V +tp17311 +a(g57 +VCopy +p17312 +tp17313 +a(g198 +V( +tp17314 +a(g18 +VS +tp17315 +a(g339 +V, +tp17316 +a(g185 +V +tp17317 +a(g18 +Voldp +p17318 +tp17319 +a(g339 +V, +tp17320 +a(g185 +V +tp17321 +a(g57 +VLength +p17322 +tp17323 +a(g198 +V( +tp17324 +a(g18 +VS +tp17325 +a(g198 +V) +tp17326 +a(g185 +V +tp17327 +a(g339 +V- +tp17328 +a(g185 +V +tp17329 +a(g18 +Voldp +p17330 +tp17331 +a(g185 +V +tp17332 +a(g339 +V+ +tp17333 +a(g185 +V +tp17334 +a(g314 +V1 +tp17335 +a(g198 +V) +tp17336 +a(g339 +V; +tp17337 +a(g185 +V\u000a +p17338 +tp17339 +a(g111 +VExit +p17340 +tp17341 +a(g339 +V; +tp17342 +a(g185 +V +tp17343 +a(g111 +Vend +p17344 +tp17345 +a(g339 +V; +tp17346 +a(g185 +V\u000a +p17347 +tp17348 +a(g60 +VResult +p17349 +tp17350 +a(g185 +V +tp17351 +a(g339 +V: +tp17352 +a(g339 +V= +tp17353 +a(g185 +V +tp17354 +a(g60 +VResult +p17355 +tp17356 +a(g185 +V +tp17357 +a(g339 +V+ +tp17358 +a(g185 +V +tp17359 +a(g57 +VCopy +p17360 +tp17361 +a(g198 +V( +tp17362 +a(g18 +VS +tp17363 +a(g339 +V, +tp17364 +a(g185 +V +tp17365 +a(g18 +Voldp +p17366 +tp17367 +a(g339 +V, +tp17368 +a(g185 +V +tp17369 +a(g18 +Vps +p17370 +tp17371 +a(g185 +V +tp17372 +a(g339 +V- +tp17373 +a(g185 +V +tp17374 +a(g18 +Voldp +p17375 +tp17376 +a(g198 +V) +tp17377 +a(g185 +V +tp17378 +a(g339 +V+ +tp17379 +a(g185 +V +tp17380 +a(g111 +VNew +p17381 +tp17382 +a(g339 +V; +tp17383 +a(g185 +V\u000a +p17384 +tp17385 +a(g57 +VInc +p17386 +tp17387 +a(g198 +V( +tp17388 +a(g18 +Vps +p17389 +tp17390 +a(g339 +V, +tp17391 +a(g185 +V +tp17392 +a(g57 +VLength +p17393 +tp17394 +a(g198 +V( +tp17395 +a(g18 +VOld +p17396 +tp17397 +a(g198 +V)) +p17398 +tp17399 +a(g339 +V; +tp17400 +a(g185 +V\u000a +p17401 +tp17402 +a(g111 +Vend +p17403 +tp17404 +a(g339 +V; +tp17405 +a(g185 +V\u000a +tp17406 +a(g111 +Vend +p17407 +tp17408 +a(g339 +V; +tp17409 +a(g185 +V\u000a\u000a +p17410 +tp17411 +a(g111 +Vfunction +p17412 +tp17413 +a(g185 +V +tp17414 +a(g21 +VSLOfFile +p17415 +tp17416 +a(g198 +V( +tp17417 +a(g111 +Vconst +p17418 +tp17419 +a(g185 +V +tp17420 +a(g18 +VFileName +p17421 +tp17422 +a(g339 +V: +tp17423 +a(g185 +V +tp17424 +a(g111 +Vstring +p17425 +tp17426 +a(g198 +V) +tp17427 +a(g339 +V: +tp17428 +a(g185 +V +tp17429 +a(g18 +VTStringList +p17430 +tp17431 +a(g339 +V; +tp17432 +a(g185 +V\u000a +tp17433 +a(g111 +Vbegin +p17434 +tp17435 +a(g185 +V\u000a +p17436 +tp17437 +a(g60 +VResult +p17438 +tp17439 +a(g185 +V +tp17440 +a(g339 +V: +tp17441 +a(g339 +V= +tp17442 +a(g185 +V +tp17443 +a(g18 +VTStringList +p17444 +tp17445 +a(g339 +V. +tp17446 +a(g18 +VCreate +p17447 +tp17448 +a(g339 +V; +tp17449 +a(g185 +V\u000a +p17450 +tp17451 +a(g111 +Vif +p17452 +tp17453 +a(g185 +V +tp17454 +a(g57 +VFileExists +p17455 +tp17456 +a(g198 +V( +tp17457 +a(g18 +VFileName +p17458 +tp17459 +a(g198 +V) +tp17460 +a(g185 +V +tp17461 +a(g111 +Vthen +p17462 +tp17463 +a(g185 +V\u000a +p17464 +tp17465 +a(g60 +VResult +p17466 +tp17467 +a(g339 +V. +tp17468 +a(g18 +VLoadFromFile +p17469 +tp17470 +a(g198 +V( +tp17471 +a(g18 +VFileName +p17472 +tp17473 +a(g198 +V) +tp17474 +a(g339 +V; +tp17475 +a(g185 +V\u000a +tp17476 +a(g111 +Vend +p17477 +tp17478 +a(g339 +V; +tp17479 +a(g185 +V\u000a\u000a +p17480 +tp17481 +a(g111 +Vfunction +p17482 +tp17483 +a(g185 +V +tp17484 +a(g21 +VContainsEmptyLines +p17485 +tp17486 +a(g198 +V( +tp17487 +a(g18 +VSL +p17488 +tp17489 +a(g339 +V: +tp17490 +a(g185 +V +tp17491 +a(g18 +VTStrings +p17492 +tp17493 +a(g198 +V) +tp17494 +a(g339 +V: +tp17495 +a(g185 +V +tp17496 +a(g135 +VBoolean +p17497 +tp17498 +a(g339 +V; +tp17499 +a(g185 +V\u000a +tp17500 +a(g111 +Vbegin +p17501 +tp17502 +a(g185 +V\u000a +p17503 +tp17504 +a(g60 +VResult +p17505 +tp17506 +a(g185 +V +tp17507 +a(g339 +V: +tp17508 +a(g339 +V= +tp17509 +a(g185 +V +tp17510 +a(g18 +VStrIn +p17511 +tp17512 +a(g198 +V( +tp17513 +a(g18 +VSL +p17514 +tp17515 +a(g339 +V, +tp17516 +a(g185 +V +tp17517 +a(g222 +V' +tp17518 +a(g222 +V' +tp17519 +a(g198 +V) +tp17520 +a(g339 +V; +tp17521 +a(g185 +V\u000a +tp17522 +a(g111 +Vend +p17523 +tp17524 +a(g339 +V; +tp17525 +a(g185 +V\u000a\u000a +p17526 +tp17527 +a(g111 +Vprocedure +p17528 +tp17529 +a(g185 +V +tp17530 +a(g21 +VDeleteEmptyLines +p17531 +tp17532 +a(g198 +V( +tp17533 +a(g18 +VSL +p17534 +tp17535 +a(g339 +V: +tp17536 +a(g185 +V +tp17537 +a(g18 +VTStrings +p17538 +tp17539 +a(g198 +V) +tp17540 +a(g339 +V; +tp17541 +a(g185 +V\u000a +tp17542 +a(g111 +Vvar +p17543 +tp17544 +a(g185 +V\u000a +p17545 +tp17546 +a(g18 +Vi +tp17547 +a(g339 +V: +tp17548 +a(g185 +V +tp17549 +a(g135 +VInteger +p17550 +tp17551 +a(g339 +V; +tp17552 +a(g185 +V\u000a +tp17553 +a(g111 +Vbegin +p17554 +tp17555 +a(g185 +V\u000a +p17556 +tp17557 +a(g18 +Vi +tp17558 +a(g185 +V +tp17559 +a(g339 +V: +tp17560 +a(g339 +V= +tp17561 +a(g185 +V +tp17562 +a(g314 +V0 +tp17563 +a(g339 +V; +tp17564 +a(g185 +V\u000a +p17565 +tp17566 +a(g111 +Vwhile +p17567 +tp17568 +a(g185 +V +tp17569 +a(g18 +Vi +tp17570 +a(g185 +V +tp17571 +a(g339 +V< +tp17572 +a(g185 +V +tp17573 +a(g18 +VSL +p17574 +tp17575 +a(g339 +V. +tp17576 +a(g18 +VCount +p17577 +tp17578 +a(g185 +V +tp17579 +a(g111 +Vdo +p17580 +tp17581 +a(g185 +V +tp17582 +a(g111 +Vbegin +p17583 +tp17584 +a(g185 +V\u000a +p17585 +tp17586 +a(g111 +Vif +p17587 +tp17588 +a(g185 +V +tp17589 +a(g18 +VSL +p17590 +tp17591 +a(g198 +V[ +tp17592 +a(g18 +Vi +tp17593 +a(g198 +V] +tp17594 +a(g185 +V +tp17595 +a(g339 +V= +tp17596 +a(g185 +V +tp17597 +a(g222 +V' +tp17598 +a(g222 +V' +tp17599 +a(g185 +V +tp17600 +a(g111 +Vthen +p17601 +tp17602 +a(g185 +V\u000a +p17603 +tp17604 +a(g18 +VSL +p17605 +tp17606 +a(g339 +V. +tp17607 +a(g18 +VDelete +p17608 +tp17609 +a(g198 +V( +tp17610 +a(g18 +Vi +tp17611 +a(g198 +V) +tp17612 +a(g185 +V\u000a +p17613 +tp17614 +a(g111 +Velse +p17615 +tp17616 +a(g185 +V\u000a +p17617 +tp17618 +a(g57 +VInc +p17619 +tp17620 +a(g198 +V( +tp17621 +a(g18 +Vi +tp17622 +a(g198 +V) +tp17623 +a(g339 +V; +tp17624 +a(g185 +V\u000a +p17625 +tp17626 +a(g111 +Vend +p17627 +tp17628 +a(g339 +V; +tp17629 +a(g185 +V\u000a +tp17630 +a(g111 +Vend +p17631 +tp17632 +a(g339 +V; +tp17633 +a(g185 +V\u000a\u000a +p17634 +tp17635 +a(g111 +Vprocedure +p17636 +tp17637 +a(g185 +V +tp17638 +a(g21 +VDeleteCommentLines +p17639 +tp17640 +a(g198 +V( +tp17641 +a(g18 +VSL +p17642 +tp17643 +a(g339 +V: +tp17644 +a(g185 +V +tp17645 +a(g18 +VTStrings +p17646 +tp17647 +a(g339 +V; +tp17648 +a(g185 +V +tp17649 +a(g111 +Vconst +p17650 +tp17651 +a(g185 +V +tp17652 +a(g18 +VCommentSign +p17653 +tp17654 +a(g339 +V: +tp17655 +a(g185 +V +tp17656 +a(g111 +Vstring +p17657 +tp17658 +a(g185 +V +tp17659 +a(g339 +V= +tp17660 +a(g185 +V +tp17661 +a(g222 +V' +tp17662 +a(g222 +V// +p17663 +tp17664 +a(g222 +V' +tp17665 +a(g198 +V) +tp17666 +a(g339 +V; +tp17667 +a(g185 +V\u000a +tp17668 +a(g111 +Vvar +p17669 +tp17670 +a(g185 +V\u000a +p17671 +tp17672 +a(g18 +Vi +tp17673 +a(g339 +V: +tp17674 +a(g185 +V +tp17675 +a(g135 +VInteger +p17676 +tp17677 +a(g339 +V; +tp17678 +a(g185 +V\u000a +tp17679 +a(g111 +Vbegin +p17680 +tp17681 +a(g185 +V\u000a +p17682 +tp17683 +a(g18 +Vi +tp17684 +a(g185 +V +tp17685 +a(g339 +V: +tp17686 +a(g339 +V= +tp17687 +a(g185 +V +tp17688 +a(g314 +V0 +tp17689 +a(g339 +V; +tp17690 +a(g185 +V\u000a +p17691 +tp17692 +a(g111 +Vwhile +p17693 +tp17694 +a(g185 +V +tp17695 +a(g18 +Vi +tp17696 +a(g185 +V +tp17697 +a(g339 +V< +tp17698 +a(g185 +V +tp17699 +a(g18 +VSL +p17700 +tp17701 +a(g339 +V. +tp17702 +a(g18 +VCount +p17703 +tp17704 +a(g185 +V +tp17705 +a(g111 +Vdo +p17706 +tp17707 +a(g185 +V +tp17708 +a(g111 +Vbegin +p17709 +tp17710 +a(g185 +V\u000a +p17711 +tp17712 +a(g111 +Vif +p17713 +tp17714 +a(g185 +V +tp17715 +a(g198 +V( +tp17716 +a(g18 +VSL +p17717 +tp17718 +a(g198 +V[ +tp17719 +a(g18 +Vi +tp17720 +a(g198 +V] +tp17721 +a(g185 +V +tp17722 +a(g339 +V= +tp17723 +a(g185 +V +tp17724 +a(g222 +V' +tp17725 +a(g222 +V' +tp17726 +a(g198 +V) +tp17727 +a(g185 +V +tp17728 +a(g111 +Vor +p17729 +tp17730 +a(g185 +V +tp17731 +a(g198 +V( +tp17732 +a(g18 +VStrAtBegin +p17733 +tp17734 +a(g198 +V( +tp17735 +a(g57 +VTrimLeft +p17736 +tp17737 +a(g198 +V( +tp17738 +a(g18 +VSL +p17739 +tp17740 +a(g198 +V[ +tp17741 +a(g18 +Vi +tp17742 +a(g198 +V]) +p17743 +tp17744 +a(g339 +V, +tp17745 +a(g185 +V +tp17746 +a(g18 +VCommentSign +p17747 +tp17748 +a(g198 +V)) +p17749 +tp17750 +a(g185 +V +tp17751 +a(g111 +Vthen +p17752 +tp17753 +a(g185 +V\u000a +p17754 +tp17755 +a(g18 +VSL +p17756 +tp17757 +a(g339 +V. +tp17758 +a(g18 +VDelete +p17759 +tp17760 +a(g198 +V( +tp17761 +a(g18 +Vi +tp17762 +a(g198 +V) +tp17763 +a(g185 +V\u000a +p17764 +tp17765 +a(g111 +Velse +p17766 +tp17767 +a(g185 +V\u000a +p17768 +tp17769 +a(g57 +VInc +p17770 +tp17771 +a(g198 +V( +tp17772 +a(g18 +Vi +tp17773 +a(g198 +V) +tp17774 +a(g339 +V; +tp17775 +a(g185 +V\u000a +p17776 +tp17777 +a(g111 +Vend +p17778 +tp17779 +a(g339 +V; +tp17780 +a(g185 +V\u000a +tp17781 +a(g111 +Vend +p17782 +tp17783 +a(g339 +V; +tp17784 +a(g185 +V\u000a\u000a +p17785 +tp17786 +a(g111 +Vfunction +p17787 +tp17788 +a(g185 +V +tp17789 +a(g21 +VFindLine +p17790 +tp17791 +a(g198 +V( +tp17792 +a(g18 +VSL +p17793 +tp17794 +a(g339 +V: +tp17795 +a(g185 +V +tp17796 +a(g18 +VTStrings +p17797 +tp17798 +a(g339 +V; +tp17799 +a(g185 +V +tp17800 +a(g111 +Vconst +p17801 +tp17802 +a(g185 +V +tp17803 +a(g18 +VS +tp17804 +a(g339 +V: +tp17805 +a(g185 +V +tp17806 +a(g111 +Vstring +p17807 +tp17808 +a(g198 +V) +tp17809 +a(g339 +V: +tp17810 +a(g185 +V +tp17811 +a(g135 +VInteger +p17812 +tp17813 +a(g339 +V; +tp17814 +a(g185 +V\u000a +tp17815 +a(g111 +Vbegin +p17816 +tp17817 +a(g185 +V\u000a +p17818 +tp17819 +a(g111 +Vfor +p17820 +tp17821 +a(g185 +V +tp17822 +a(g60 +VResult +p17823 +tp17824 +a(g185 +V +tp17825 +a(g339 +V: +tp17826 +a(g339 +V= +tp17827 +a(g185 +V +tp17828 +a(g314 +V0 +tp17829 +a(g185 +V +tp17830 +a(g111 +Vto +p17831 +tp17832 +a(g185 +V +tp17833 +a(g18 +VSL +p17834 +tp17835 +a(g339 +V. +tp17836 +a(g18 +VCount +p17837 +tp17838 +a(g339 +V- +tp17839 +a(g314 +V1 +tp17840 +a(g185 +V +tp17841 +a(g111 +Vdo +p17842 +tp17843 +a(g185 +V\u000a +p17844 +tp17845 +a(g111 +Vif +p17846 +tp17847 +a(g185 +V +tp17848 +a(g18 +VTextAtBegin +p17849 +tp17850 +a(g198 +V( +tp17851 +a(g18 +VSL +p17852 +tp17853 +a(g198 +V[ +tp17854 +a(g60 +VResult +p17855 +tp17856 +a(g198 +V] +tp17857 +a(g339 +V, +tp17858 +a(g185 +V +tp17859 +a(g18 +VS +tp17860 +a(g198 +V) +tp17861 +a(g185 +V +tp17862 +a(g111 +Vthen +p17863 +tp17864 +a(g185 +V\u000a +p17865 +tp17866 +a(g111 +VExit +p17867 +tp17868 +a(g339 +V; +tp17869 +a(g185 +V\u000a +p17870 +tp17871 +a(g60 +VResult +p17872 +tp17873 +a(g185 +V +tp17874 +a(g339 +V: +tp17875 +a(g339 +V= +tp17876 +a(g185 +V +tp17877 +a(g339 +V- +tp17878 +a(g314 +V1 +tp17879 +a(g339 +V; +tp17880 +a(g185 +V\u000a +tp17881 +a(g111 +Vend +p17882 +tp17883 +a(g339 +V; +tp17884 +a(g185 +V\u000a\u000a +p17885 +tp17886 +a(g111 +Vprocedure +p17887 +tp17888 +a(g185 +V +tp17889 +a(g21 +VQuickSortSL +p17890 +tp17891 +a(g198 +V( +tp17892 +a(g18 +VSL +p17893 +tp17894 +a(g339 +V: +tp17895 +a(g185 +V +tp17896 +a(g18 +VTStringList +p17897 +tp17898 +a(g198 +V) +tp17899 +a(g339 +V; +tp17900 +a(g185 +V\u000a\u000a +p17901 +tp17902 +a(g111 +Vprocedure +p17903 +tp17904 +a(g185 +V +tp17905 +a(g21 +VSort +p17906 +tp17907 +a(g198 +V( +tp17908 +a(g18 +Vl +tp17909 +a(g339 +V, +tp17910 +a(g185 +V +tp17911 +a(g18 +Vr +tp17912 +a(g339 +V: +tp17913 +a(g185 +V +tp17914 +a(g135 +VInteger +p17915 +tp17916 +a(g198 +V) +tp17917 +a(g339 +V; +tp17918 +a(g185 +V\u000a +p17919 +tp17920 +a(g111 +Vvar +p17921 +tp17922 +a(g185 +V\u000a +p17923 +tp17924 +a(g18 +Vi +tp17925 +a(g339 +V, +tp17926 +a(g18 +Vj +tp17927 +a(g339 +V: +tp17928 +a(g185 +V +tp17929 +a(g135 +VInteger +p17930 +tp17931 +a(g339 +V; +tp17932 +a(g185 +V\u000a +p17933 +tp17934 +a(g18 +Vz +tp17935 +a(g339 +V, +tp17936 +a(g18 +Vx +tp17937 +a(g339 +V: +tp17938 +a(g185 +V +tp17939 +a(g111 +Vstring +p17940 +tp17941 +a(g339 +V; +tp17942 +a(g185 +V\u000a +p17943 +tp17944 +a(g111 +Vbegin +p17945 +tp17946 +a(g185 +V\u000a +p17947 +tp17948 +a(g18 +Vi +tp17949 +a(g185 +V +tp17950 +a(g339 +V: +tp17951 +a(g339 +V= +tp17952 +a(g185 +V +tp17953 +a(g18 +Vl +tp17954 +a(g339 +V; +tp17955 +a(g185 +V\u000a +p17956 +tp17957 +a(g18 +Vj +tp17958 +a(g185 +V +tp17959 +a(g339 +V: +tp17960 +a(g339 +V= +tp17961 +a(g185 +V +tp17962 +a(g18 +Vr +tp17963 +a(g339 +V; +tp17964 +a(g185 +V\u000a +p17965 +tp17966 +a(g18 +Vx +tp17967 +a(g185 +V +tp17968 +a(g339 +V: +tp17969 +a(g339 +V= +tp17970 +a(g185 +V +tp17971 +a(g18 +VSL +p17972 +tp17973 +a(g198 +V[( +p17974 +tp17975 +a(g18 +Vj +tp17976 +a(g185 +V +tp17977 +a(g339 +V+ +tp17978 +a(g185 +V +tp17979 +a(g18 +Vi +tp17980 +a(g198 +V) +tp17981 +a(g185 +V +tp17982 +a(g111 +Vdiv +p17983 +tp17984 +a(g185 +V +tp17985 +a(g314 +V2 +tp17986 +a(g198 +V] +tp17987 +a(g339 +V; +tp17988 +a(g185 +V\u000a +p17989 +tp17990 +a(g111 +Vrepeat +p17991 +tp17992 +a(g185 +V\u000a +p17993 +tp17994 +a(g111 +Vwhile +p17995 +tp17996 +a(g185 +V +tp17997 +a(g18 +VSL +p17998 +tp17999 +a(g198 +V[ +tp18000 +a(g18 +Vi +tp18001 +a(g198 +V] +tp18002 +a(g185 +V +tp18003 +a(g339 +V< +tp18004 +a(g185 +V +tp18005 +a(g18 +Vx +tp18006 +a(g185 +V +tp18007 +a(g111 +Vdo +p18008 +tp18009 +a(g185 +V +tp18010 +a(g57 +VInc +p18011 +tp18012 +a(g198 +V( +tp18013 +a(g18 +Vi +tp18014 +a(g198 +V) +tp18015 +a(g339 +V; +tp18016 +a(g185 +V\u000a +p18017 +tp18018 +a(g111 +Vwhile +p18019 +tp18020 +a(g185 +V +tp18021 +a(g18 +VSL +p18022 +tp18023 +a(g198 +V[ +tp18024 +a(g18 +Vj +tp18025 +a(g198 +V] +tp18026 +a(g185 +V +tp18027 +a(g339 +V> +tp18028 +a(g185 +V +tp18029 +a(g18 +Vx +tp18030 +a(g185 +V +tp18031 +a(g111 +Vdo +p18032 +tp18033 +a(g185 +V +tp18034 +a(g57 +VDec +p18035 +tp18036 +a(g198 +V( +tp18037 +a(g18 +Vj +tp18038 +a(g198 +V) +tp18039 +a(g339 +V; +tp18040 +a(g185 +V\u000a +p18041 +tp18042 +a(g111 +Vif +p18043 +tp18044 +a(g185 +V +tp18045 +a(g18 +Vi +tp18046 +a(g185 +V +tp18047 +a(g339 +V< +tp18048 +a(g339 +V= +tp18049 +a(g185 +V +tp18050 +a(g18 +Vj +tp18051 +a(g185 +V +tp18052 +a(g111 +Vthen +p18053 +tp18054 +a(g185 +V +tp18055 +a(g111 +Vbegin +p18056 +tp18057 +a(g185 +V\u000a +p18058 +tp18059 +a(g18 +Vz +tp18060 +a(g185 +V +tp18061 +a(g339 +V: +tp18062 +a(g339 +V= +tp18063 +a(g185 +V +tp18064 +a(g18 +VSL +p18065 +tp18066 +a(g198 +V[ +tp18067 +a(g18 +Vi +tp18068 +a(g198 +V] +tp18069 +a(g339 +V; +tp18070 +a(g185 +V\u000a +p18071 +tp18072 +a(g18 +VSL +p18073 +tp18074 +a(g198 +V[ +tp18075 +a(g18 +Vi +tp18076 +a(g198 +V] +tp18077 +a(g185 +V +tp18078 +a(g339 +V: +tp18079 +a(g339 +V= +tp18080 +a(g185 +V +tp18081 +a(g18 +VSL +p18082 +tp18083 +a(g198 +V[ +tp18084 +a(g18 +Vj +tp18085 +a(g198 +V] +tp18086 +a(g339 +V; +tp18087 +a(g185 +V\u000a +p18088 +tp18089 +a(g18 +VSL +p18090 +tp18091 +a(g198 +V[ +tp18092 +a(g18 +Vj +tp18093 +a(g198 +V] +tp18094 +a(g185 +V +tp18095 +a(g339 +V: +tp18096 +a(g339 +V= +tp18097 +a(g185 +V +tp18098 +a(g18 +Vz +tp18099 +a(g339 +V; +tp18100 +a(g185 +V\u000a +p18101 +tp18102 +a(g57 +VInc +p18103 +tp18104 +a(g198 +V( +tp18105 +a(g18 +Vi +tp18106 +a(g198 +V) +tp18107 +a(g339 +V; +tp18108 +a(g185 +V +tp18109 +a(g57 +VDec +p18110 +tp18111 +a(g198 +V( +tp18112 +a(g18 +Vj +tp18113 +a(g198 +V) +tp18114 +a(g339 +V; +tp18115 +a(g185 +V\u000a +p18116 +tp18117 +a(g111 +Vend +p18118 +tp18119 +a(g339 +V; +tp18120 +a(g185 +V\u000a +p18121 +tp18122 +a(g111 +Vuntil +p18123 +tp18124 +a(g185 +V +tp18125 +a(g18 +Vi +tp18126 +a(g185 +V +tp18127 +a(g339 +V> +tp18128 +a(g185 +V +tp18129 +a(g18 +Vj +tp18130 +a(g339 +V; +tp18131 +a(g185 +V\u000a +p18132 +tp18133 +a(g111 +Vif +p18134 +tp18135 +a(g185 +V +tp18136 +a(g18 +Vj +tp18137 +a(g185 +V +tp18138 +a(g339 +V> +tp18139 +a(g185 +V +tp18140 +a(g18 +Vl +tp18141 +a(g185 +V +tp18142 +a(g111 +Vthen +p18143 +tp18144 +a(g185 +V +tp18145 +a(g18 +VSort +p18146 +tp18147 +a(g198 +V( +tp18148 +a(g18 +Vl +tp18149 +a(g339 +V, +tp18150 +a(g185 +V +tp18151 +a(g18 +Vj +tp18152 +a(g198 +V) +tp18153 +a(g339 +V; +tp18154 +a(g185 +V\u000a +p18155 +tp18156 +a(g111 +Vif +p18157 +tp18158 +a(g185 +V +tp18159 +a(g18 +Vi +tp18160 +a(g185 +V +tp18161 +a(g339 +V< +tp18162 +a(g185 +V +tp18163 +a(g18 +Vr +tp18164 +a(g185 +V +tp18165 +a(g111 +Vthen +p18166 +tp18167 +a(g185 +V +tp18168 +a(g18 +VSort +p18169 +tp18170 +a(g198 +V( +tp18171 +a(g18 +Vi +tp18172 +a(g339 +V, +tp18173 +a(g185 +V +tp18174 +a(g18 +Vr +tp18175 +a(g198 +V) +tp18176 +a(g339 +V; +tp18177 +a(g185 +V\u000a +p18178 +tp18179 +a(g111 +Vend +p18180 +tp18181 +a(g339 +V; +tp18182 +a(g185 +V\u000a\u000a +p18183 +tp18184 +a(g111 +Vbegin +p18185 +tp18186 +a(g185 +V\u000a +p18187 +tp18188 +a(g111 +Vif +p18189 +tp18190 +a(g185 +V +tp18191 +a(g18 +VSL +p18192 +tp18193 +a(g339 +V. +tp18194 +a(g18 +VCount +p18195 +tp18196 +a(g185 +V +tp18197 +a(g339 +V> +tp18198 +a(g185 +V +tp18199 +a(g314 +V0 +tp18200 +a(g185 +V +tp18201 +a(g111 +Vthen +p18202 +tp18203 +a(g185 +V\u000a +p18204 +tp18205 +a(g18 +VSort +p18206 +tp18207 +a(g198 +V( +tp18208 +a(g314 +V0 +tp18209 +a(g339 +V, +tp18210 +a(g185 +V +tp18211 +a(g18 +VSL +p18212 +tp18213 +a(g339 +V. +tp18214 +a(g18 +VCount +p18215 +tp18216 +a(g339 +V- +tp18217 +a(g314 +V1 +tp18218 +a(g198 +V) +tp18219 +a(g339 +V; +tp18220 +a(g185 +V\u000a +tp18221 +a(g111 +Vend +p18222 +tp18223 +a(g339 +V; +tp18224 +a(g185 +V\u000a\u000a +p18225 +tp18226 +a(g111 +Vfunction +p18227 +tp18228 +a(g185 +V +tp18229 +a(g21 +VIncStrA +p18230 +tp18231 +a(g198 +V( +tp18232 +a(g18 +VStrA +p18233 +tp18234 +a(g339 +V: +tp18235 +a(g185 +V +tp18236 +a(g18 +VTStrA +p18237 +tp18238 +a(g198 +V) +tp18239 +a(g339 +V: +tp18240 +a(g185 +V +tp18241 +a(g135 +VInteger +p18242 +tp18243 +a(g339 +V; +tp18244 +a(g185 +V\u000a +tp18245 +a(g111 +Vbegin +p18246 +tp18247 +a(g185 +V\u000a +p18248 +tp18249 +a(g57 +VSetLength +p18250 +tp18251 +a(g198 +V( +tp18252 +a(g18 +VStrA +p18253 +tp18254 +a(g339 +V, +tp18255 +a(g185 +V +tp18256 +a(g57 +VLength +p18257 +tp18258 +a(g198 +V( +tp18259 +a(g18 +VStrA +p18260 +tp18261 +a(g198 +V) +tp18262 +a(g185 +V +tp18263 +a(g339 +V+ +tp18264 +a(g185 +V +tp18265 +a(g314 +V1 +tp18266 +a(g198 +V) +tp18267 +a(g339 +V; +tp18268 +a(g185 +V\u000a +p18269 +tp18270 +a(g60 +VResult +p18271 +tp18272 +a(g185 +V +tp18273 +a(g339 +V: +tp18274 +a(g339 +V= +tp18275 +a(g185 +V +tp18276 +a(g57 +VHigh +p18277 +tp18278 +a(g198 +V( +tp18279 +a(g18 +VStrA +p18280 +tp18281 +a(g198 +V) +tp18282 +a(g339 +V; +tp18283 +a(g185 +V\u000a +tp18284 +a(g111 +Vend +p18285 +tp18286 +a(g339 +V; +tp18287 +a(g185 +V\u000a\u000a +p18288 +tp18289 +a(g111 +Vfunction +p18290 +tp18291 +a(g185 +V +tp18292 +a(g21 +VStrOfByteA +p18293 +tp18294 +a(g198 +V( +tp18295 +a(g18 +Va +tp18296 +a(g339 +V: +tp18297 +a(g185 +V +tp18298 +a(g18 +VTByteA +p18299 +tp18300 +a(g198 +V) +tp18301 +a(g339 +V: +tp18302 +a(g185 +V +tp18303 +a(g111 +Vstring +p18304 +tp18305 +a(g339 +V; +tp18306 +a(g185 +V\u000a +tp18307 +a(g111 +Vbegin +p18308 +tp18309 +a(g185 +V\u000a +p18310 +tp18311 +a(g60 +VResult +p18312 +tp18313 +a(g185 +V +tp18314 +a(g339 +V: +tp18315 +a(g339 +V= +tp18316 +a(g185 +V +tp18317 +a(g111 +Vstring +p18318 +tp18319 +a(g198 +V( +tp18320 +a(g57 +VCopy +p18321 +tp18322 +a(g198 +V( +tp18323 +a(g18 +Va +tp18324 +a(g339 +V, +tp18325 +a(g185 +V +tp18326 +a(g314 +V0 +tp18327 +a(g339 +V, +tp18328 +a(g185 +V +tp18329 +a(g57 +VLength +p18330 +tp18331 +a(g198 +V( +tp18332 +a(g18 +Va +tp18333 +a(g198 +V))) +p18334 +tp18335 +a(g339 +V; +tp18336 +a(g185 +V\u000a +tp18337 +a(g111 +Vend +p18338 +tp18339 +a(g339 +V; +tp18340 +a(g185 +V\u000a\u000a +p18341 +tp18342 +a(g111 +Vfunction +p18343 +tp18344 +a(g185 +V +tp18345 +a(g21 +VByteAOfStr +p18346 +tp18347 +a(g198 +V( +tp18348 +a(g111 +Vconst +p18349 +tp18350 +a(g185 +V +tp18351 +a(g18 +VS +tp18352 +a(g339 +V: +tp18353 +a(g185 +V +tp18354 +a(g111 +Vstring +p18355 +tp18356 +a(g198 +V) +tp18357 +a(g339 +V: +tp18358 +a(g185 +V +tp18359 +a(g18 +VTByteA +p18360 +tp18361 +a(g339 +V; +tp18362 +a(g185 +V\u000a +tp18363 +a(g111 +Vbegin +p18364 +tp18365 +a(g185 +V\u000a +p18366 +tp18367 +a(g60 +VResult +p18368 +tp18369 +a(g185 +V +tp18370 +a(g339 +V: +tp18371 +a(g339 +V= +tp18372 +a(g185 +V +tp18373 +a(g18 +VTByteA +p18374 +tp18375 +a(g198 +V( +tp18376 +a(g57 +VCopy +p18377 +tp18378 +a(g198 +V( +tp18379 +a(g18 +VS +tp18380 +a(g339 +V, +tp18381 +a(g185 +V +tp18382 +a(g314 +V1 +tp18383 +a(g339 +V, +tp18384 +a(g185 +V +tp18385 +a(g57 +VLength +p18386 +tp18387 +a(g198 +V( +tp18388 +a(g18 +Vs +tp18389 +a(g198 +V))) +p18390 +tp18391 +a(g339 +V; +tp18392 +a(g185 +V\u000a +tp18393 +a(g111 +Vend +p18394 +tp18395 +a(g339 +V; +tp18396 +a(g185 +V\u000a\u000a +p18397 +tp18398 +a(g111 +Vfunction +p18399 +tp18400 +a(g185 +V +tp18401 +a(g21 +VByteAOfInt +p18402 +tp18403 +a(g198 +V( +tp18404 +a(g18 +Vi +tp18405 +a(g339 +V: +tp18406 +a(g185 +V +tp18407 +a(g135 +VInteger +p18408 +tp18409 +a(g198 +V) +tp18410 +a(g339 +V: +tp18411 +a(g185 +V +tp18412 +a(g18 +VTByteA +p18413 +tp18414 +a(g339 +V; +tp18415 +a(g185 +V\u000a +tp18416 +a(g111 +Vbegin +p18417 +tp18418 +a(g185 +V\u000a +p18419 +tp18420 +a(g57 +VSetLength +p18421 +tp18422 +a(g198 +V( +tp18423 +a(g60 +VResult +p18424 +tp18425 +a(g339 +V, +tp18426 +a(g185 +V +tp18427 +a(g57 +VSizeOf +p18428 +tp18429 +a(g198 +V( +tp18430 +a(g135 +VInteger +p18431 +tp18432 +a(g198 +V)) +p18433 +tp18434 +a(g339 +V; +tp18435 +a(g185 +V\u000a +p18436 +tp18437 +a(g57 +VMove +p18438 +tp18439 +a(g198 +V( +tp18440 +a(g18 +Vi +tp18441 +a(g339 +V, +tp18442 +a(g185 +V +tp18443 +a(g135 +VPointer +p18444 +tp18445 +a(g198 +V( +tp18446 +a(g60 +VResult +p18447 +tp18448 +a(g198 +V) +tp18449 +a(g339 +V^ +tp18450 +a(g339 +V, +tp18451 +a(g185 +V +tp18452 +a(g57 +VSizeOf +p18453 +tp18454 +a(g198 +V( +tp18455 +a(g135 +VInteger +p18456 +tp18457 +a(g198 +V)) +p18458 +tp18459 +a(g339 +V; +tp18460 +a(g185 +V\u000a +tp18461 +a(g111 +Vend +p18462 +tp18463 +a(g339 +V; +tp18464 +a(g185 +V\u000a\u000a +p18465 +tp18466 +a(g111 +Vfunction +p18467 +tp18468 +a(g185 +V +tp18469 +a(g21 +VIntOfByteA +p18470 +tp18471 +a(g198 +V( +tp18472 +a(g18 +VA +tp18473 +a(g339 +V: +tp18474 +a(g185 +V +tp18475 +a(g18 +VTByteA +p18476 +tp18477 +a(g198 +V) +tp18478 +a(g339 +V: +tp18479 +a(g185 +V +tp18480 +a(g135 +VInteger +p18481 +tp18482 +a(g339 +V; +tp18483 +a(g185 +V\u000a +tp18484 +a(g111 +Vbegin +p18485 +tp18486 +a(g185 +V\u000a +p18487 +tp18488 +a(g60 +VResult +p18489 +tp18490 +a(g185 +V +tp18491 +a(g339 +V: +tp18492 +a(g339 +V= +tp18493 +a(g185 +V +tp18494 +a(g314 +V0 +tp18495 +a(g339 +V; +tp18496 +a(g185 +V\u000a +p18497 +tp18498 +a(g57 +VMove +p18499 +tp18500 +a(g198 +V( +tp18501 +a(g135 +VPointer +p18502 +tp18503 +a(g198 +V( +tp18504 +a(g18 +VA +tp18505 +a(g198 +V) +tp18506 +a(g339 +V^ +tp18507 +a(g339 +V, +tp18508 +a(g185 +V +tp18509 +a(g60 +VResult +p18510 +tp18511 +a(g339 +V, +tp18512 +a(g185 +V +tp18513 +a(g57 +VMin +p18514 +tp18515 +a(g198 +V( +tp18516 +a(g57 +VLength +p18517 +tp18518 +a(g198 +V( +tp18519 +a(g18 +VA +tp18520 +a(g198 +V) +tp18521 +a(g339 +V, +tp18522 +a(g185 +V +tp18523 +a(g57 +VSizeOf +p18524 +tp18525 +a(g198 +V( +tp18526 +a(g135 +VInteger +p18527 +tp18528 +a(g198 +V))) +p18529 +tp18530 +a(g339 +V; +tp18531 +a(g185 +V\u000a +tp18532 +a(g111 +Vend +p18533 +tp18534 +a(g339 +V; +tp18535 +a(g185 +V\u000a\u000a +p18536 +tp18537 +a(g111 +Vfunction +p18538 +tp18539 +a(g185 +V +tp18540 +a(g21 +VByteAOfHex +p18541 +tp18542 +a(g198 +V( +tp18543 +a(g111 +Vconst +p18544 +tp18545 +a(g185 +V +tp18546 +a(g18 +VHex +p18547 +tp18548 +a(g339 +V: +tp18549 +a(g185 +V +tp18550 +a(g111 +Vstring +p18551 +tp18552 +a(g198 +V) +tp18553 +a(g339 +V: +tp18554 +a(g185 +V +tp18555 +a(g18 +VTByteA +p18556 +tp18557 +a(g339 +V; +tp18558 +a(g185 +V\u000a +tp18559 +a(g111 +Vvar +p18560 +tp18561 +a(g185 +V\u000a +p18562 +tp18563 +a(g18 +Vi +tp18564 +a(g339 +V: +tp18565 +a(g185 +V +tp18566 +a(g135 +VInteger +p18567 +tp18568 +a(g339 +V; +tp18569 +a(g185 +V\u000a +p18570 +tp18571 +a(g18 +Vh +tp18572 +a(g339 +V: +tp18573 +a(g185 +V +tp18574 +a(g111 +Vstring +p18575 +tp18576 +a(g339 +V; +tp18577 +a(g185 +V\u000a +tp18578 +a(g111 +Vbegin +p18579 +tp18580 +a(g185 +V\u000a +p18581 +tp18582 +a(g18 +Vh +tp18583 +a(g185 +V +tp18584 +a(g339 +V: +tp18585 +a(g339 +V= +tp18586 +a(g185 +V +tp18587 +a(g18 +VExtractChars +p18588 +tp18589 +a(g198 +V( +tp18590 +a(g18 +VHex +p18591 +tp18592 +a(g339 +V, +tp18593 +a(g185 +V +tp18594 +a(g18 +VHexadecimalChars +p18595 +tp18596 +a(g198 +V) +tp18597 +a(g339 +V; +tp18598 +a(g185 +V\u000a +p18599 +tp18600 +a(g57 +VSetLength +p18601 +tp18602 +a(g198 +V( +tp18603 +a(g60 +VResult +p18604 +tp18605 +a(g339 +V, +tp18606 +a(g185 +V +tp18607 +a(g57 +VLength +p18608 +tp18609 +a(g198 +V( +tp18610 +a(g18 +Vh +tp18611 +a(g198 +V) +tp18612 +a(g185 +V +tp18613 +a(g111 +Vdiv +p18614 +tp18615 +a(g185 +V +tp18616 +a(g314 +V2 +tp18617 +a(g198 +V) +tp18618 +a(g339 +V; +tp18619 +a(g185 +V\u000a +p18620 +tp18621 +a(g111 +Vfor +p18622 +tp18623 +a(g185 +V +tp18624 +a(g18 +Vi +tp18625 +a(g185 +V +tp18626 +a(g339 +V: +tp18627 +a(g339 +V= +tp18628 +a(g185 +V +tp18629 +a(g314 +V0 +tp18630 +a(g185 +V +tp18631 +a(g111 +Vto +p18632 +tp18633 +a(g185 +V +tp18634 +a(g57 +VHigh +p18635 +tp18636 +a(g198 +V( +tp18637 +a(g60 +VResult +p18638 +tp18639 +a(g198 +V) +tp18640 +a(g185 +V +tp18641 +a(g111 +Vdo +p18642 +tp18643 +a(g185 +V\u000a +p18644 +tp18645 +a(g60 +VResult +p18646 +tp18647 +a(g198 +V[ +tp18648 +a(g18 +Vi +tp18649 +a(g198 +V] +tp18650 +a(g185 +V +tp18651 +a(g339 +V: +tp18652 +a(g339 +V= +tp18653 +a(g185 +V +tp18654 +a(g18 +VByteOfHex +p18655 +tp18656 +a(g198 +V( +tp18657 +a(g57 +VCopy +p18658 +tp18659 +a(g198 +V( +tp18660 +a(g18 +Vh +tp18661 +a(g339 +V, +tp18662 +a(g185 +V +tp18663 +a(g198 +V( +tp18664 +a(g18 +Vi +tp18665 +a(g185 +V +tp18666 +a(g111 +Vshl +p18667 +tp18668 +a(g185 +V +tp18669 +a(g314 +V1 +tp18670 +a(g198 +V) +tp18671 +a(g185 +V +tp18672 +a(g339 +V+ +tp18673 +a(g185 +V +tp18674 +a(g314 +V1 +tp18675 +a(g339 +V, +tp18676 +a(g185 +V +tp18677 +a(g314 +V2 +tp18678 +a(g198 +V)) +p18679 +tp18680 +a(g339 +V; +tp18681 +a(g185 +V\u000a +tp18682 +a(g111 +Vend +p18683 +tp18684 +a(g339 +V; +tp18685 +a(g185 +V\u000a\u000a +p18686 +tp18687 +a(g111 +Vfunction +p18688 +tp18689 +a(g185 +V +tp18690 +a(g21 +VSizeOfFile +p18691 +tp18692 +a(g198 +V( +tp18693 +a(g111 +Vconst +p18694 +tp18695 +a(g185 +V +tp18696 +a(g18 +VFileName +p18697 +tp18698 +a(g339 +V: +tp18699 +a(g185 +V +tp18700 +a(g111 +Vstring +p18701 +tp18702 +a(g198 +V) +tp18703 +a(g339 +V: +tp18704 +a(g185 +V +tp18705 +a(g135 +VInteger +p18706 +tp18707 +a(g339 +V; +tp18708 +a(g185 +V\u000a +tp18709 +a(g111 +Vvar +p18710 +tp18711 +a(g185 +V\u000a +p18712 +tp18713 +a(g18 +VF +tp18714 +a(g339 +V: +tp18715 +a(g185 +V +tp18716 +a(g111 +Vfile +p18717 +tp18718 +a(g339 +V; +tp18719 +a(g185 +V\u000a +tp18720 +a(g111 +Vbegin +p18721 +tp18722 +a(g185 +V\u000a +p18723 +tp18724 +a(g57 +VAssignFile +p18725 +tp18726 +a(g198 +V( +tp18727 +a(g18 +VF +tp18728 +a(g339 +V, +tp18729 +a(g185 +V +tp18730 +a(g18 +VFileName +p18731 +tp18732 +a(g198 +V) +tp18733 +a(g339 +V; +tp18734 +a(g185 +V\u000a +p18735 +tp18736 +a(g354 +V{$I-} +p18737 +tp18738 +a(g57 +VReset +p18739 +tp18740 +a(g198 +V( +tp18741 +a(g18 +VF +tp18742 +a(g339 +V, +tp18743 +a(g185 +V +tp18744 +a(g314 +V1 +tp18745 +a(g198 +V) +tp18746 +a(g339 +V; +tp18747 +a(g354 +V{$I+} +p18748 +tp18749 +a(g185 +V\u000a +p18750 +tp18751 +a(g111 +Vif +p18752 +tp18753 +a(g185 +V +tp18754 +a(g57 +VIOResult +p18755 +tp18756 +a(g185 +V +tp18757 +a(g339 +V= +tp18758 +a(g185 +V +tp18759 +a(g314 +V0 +tp18760 +a(g185 +V +tp18761 +a(g111 +Vthen +p18762 +tp18763 +a(g185 +V +tp18764 +a(g111 +Vbegin +p18765 +tp18766 +a(g185 +V\u000a +p18767 +tp18768 +a(g60 +VResult +p18769 +tp18770 +a(g185 +V +tp18771 +a(g339 +V: +tp18772 +a(g339 +V= +tp18773 +a(g185 +V +tp18774 +a(g57 +VFileSize +p18775 +tp18776 +a(g198 +V( +tp18777 +a(g18 +VF +tp18778 +a(g198 +V) +tp18779 +a(g339 +V; +tp18780 +a(g185 +V\u000a +p18781 +tp18782 +a(g57 +VCloseFile +p18783 +tp18784 +a(g198 +V( +tp18785 +a(g18 +VF +tp18786 +a(g198 +V) +tp18787 +a(g339 +V; +tp18788 +a(g185 +V\u000a +p18789 +tp18790 +a(g111 +Vend +p18791 +tp18792 +a(g185 +V +tp18793 +a(g111 +Velse +p18794 +tp18795 +a(g185 +V\u000a +p18796 +tp18797 +a(g60 +VResult +p18798 +tp18799 +a(g185 +V +tp18800 +a(g339 +V: +tp18801 +a(g339 +V= +tp18802 +a(g185 +V +tp18803 +a(g314 +V0 +tp18804 +a(g339 +V; +tp18805 +a(g185 +V\u000a +tp18806 +a(g111 +Vend +p18807 +tp18808 +a(g339 +V; +tp18809 +a(g185 +V\u000a\u000a +p18810 +tp18811 +a(g111 +Vfunction +p18812 +tp18813 +a(g185 +V +tp18814 +a(g21 +VFileEx +p18815 +tp18816 +a(g198 +V( +tp18817 +a(g111 +Vconst +p18818 +tp18819 +a(g185 +V +tp18820 +a(g18 +VFileName +p18821 +tp18822 +a(g339 +V: +tp18823 +a(g185 +V +tp18824 +a(g111 +Vstring +p18825 +tp18826 +a(g339 +V; +tp18827 +a(g185 +V +tp18828 +a(g18 +VAllowFolders +p18829 +tp18830 +a(g339 +V: +tp18831 +a(g185 +V +tp18832 +a(g135 +VBoolean +p18833 +tp18834 +a(g185 +V +tp18835 +a(g339 +V= +tp18836 +a(g185 +V +tp18837 +a(g111 +VFalse +p18838 +tp18839 +a(g198 +V) +tp18840 +a(g339 +V: +tp18841 +a(g185 +V +tp18842 +a(g135 +VBoolean +p18843 +tp18844 +a(g339 +V; +tp18845 +a(g185 +V\u000a +tp18846 +a(g111 +Vvar +p18847 +tp18848 +a(g185 +V\u000a +p18849 +tp18850 +a(g18 +VFindData +p18851 +tp18852 +a(g339 +V: +tp18853 +a(g185 +V +tp18854 +a(g18 +VTWin32FindData +p18855 +tp18856 +a(g339 +V; +tp18857 +a(g185 +V\u000a +tp18858 +a(g111 +Vbegin +p18859 +tp18860 +a(g185 +V\u000a +p18861 +tp18862 +a(g111 +Vif +p18863 +tp18864 +a(g185 +V +tp18865 +a(g18 +VFileName +p18866 +tp18867 +a(g185 +V +tp18868 +a(g339 +V= +tp18869 +a(g185 +V +tp18870 +a(g222 +V' +tp18871 +a(g222 +V' +tp18872 +a(g185 +V +tp18873 +a(g111 +Vthen +p18874 +tp18875 +a(g185 +V +tp18876 +a(g111 +Vbegin +p18877 +tp18878 +a(g185 +V\u000a +p18879 +tp18880 +a(g60 +VResult +p18881 +tp18882 +a(g185 +V +tp18883 +a(g339 +V: +tp18884 +a(g339 +V= +tp18885 +a(g185 +V +tp18886 +a(g111 +VFalse +p18887 +tp18888 +a(g339 +V; +tp18889 +a(g185 +V\u000a +p18890 +tp18891 +a(g111 +VExit +p18892 +tp18893 +a(g339 +V; +tp18894 +a(g185 +V +tp18895 +a(g111 +Vend +p18896 +tp18897 +a(g339 +V; +tp18898 +a(g185 +V\u000a\u000a +p18899 +tp18900 +a(g60 +VResult +p18901 +tp18902 +a(g185 +V +tp18903 +a(g339 +V: +tp18904 +a(g339 +V= +tp18905 +a(g185 +V +tp18906 +a(g198 +V( +tp18907 +a(g18 +VAllowFolders +p18908 +tp18909 +a(g185 +V +tp18910 +a(g111 +Vand +p18911 +tp18912 +a(g185 +V +tp18913 +a(g57 +VDirectoryExists +p18914 +tp18915 +a(g198 +V( +tp18916 +a(g18 +VFileName +p18917 +tp18918 +a(g198 +V)) +p18919 +tp18920 +a(g185 +V +tp18921 +a(g111 +Vor +p18922 +tp18923 +a(g185 +V\u000a +p18924 +tp18925 +a(g198 +V( +tp18926 +a(g18 +VFindFirstFile +p18927 +tp18928 +a(g198 +V( +tp18929 +a(g135 +VPChar +p18930 +tp18931 +a(g198 +V( +tp18932 +a(g18 +VFileName +p18933 +tp18934 +a(g198 +V) +tp18935 +a(g339 +V, +tp18936 +a(g185 +V +tp18937 +a(g18 +VFindData +p18938 +tp18939 +a(g198 +V) +tp18940 +a(g185 +V +tp18941 +a(g339 +V< +tp18942 +a(g339 +V> +tp18943 +a(g185 +V +tp18944 +a(g18 +VINVALID_HANDLE_VALUE +p18945 +tp18946 +a(g198 +V) +tp18947 +a(g339 +V; +tp18948 +a(g185 +V\u000a +p18949 +tp18950 +a(g60 +VResult +p18951 +tp18952 +a(g185 +V +tp18953 +a(g339 +V: +tp18954 +a(g339 +V= +tp18955 +a(g185 +V +tp18956 +a(g60 +VResult +p18957 +tp18958 +a(g185 +V +tp18959 +a(g111 +Vand +p18960 +tp18961 +a(g185 +V +tp18962 +a(g111 +Vnot +p18963 +tp18964 +a(g185 +V +tp18965 +a(g18 +VCharIn +p18966 +tp18967 +a(g198 +V( +tp18968 +a(g18 +VFileName +p18969 +tp18970 +a(g339 +V, +tp18971 +a(g185 +V +tp18972 +a(g18 +VWildCards +p18973 +tp18974 +a(g198 +V) +tp18975 +a(g339 +V; +tp18976 +a(g185 +V\u000a +p18977 +tp18978 +a(g60 +VResult +p18979 +tp18980 +a(g185 +V +tp18981 +a(g339 +V: +tp18982 +a(g339 +V= +tp18983 +a(g185 +V +tp18984 +a(g60 +VResult +p18985 +tp18986 +a(g185 +V +tp18987 +a(g111 +Vand +p18988 +tp18989 +a(g185 +V +tp18990 +a(g198 +V( +tp18991 +a(g18 +VAllowFolders +p18992 +tp18993 +a(g185 +V\u000a +p18994 +tp18995 +a(g111 +Vor +p18996 +tp18997 +a(g185 +V +tp18998 +a(g198 +V(( +p18999 +tp19000 +a(g18 +VFindData +p19001 +tp19002 +a(g339 +V. +tp19003 +a(g18 +VdwFileAttributes +p19004 +tp19005 +a(g185 +V +tp19006 +a(g111 +Vand +p19007 +tp19008 +a(g185 +V +tp19009 +a(g18 +VFILE_ATTRIBUTE_DIRECTORY +p19010 +tp19011 +a(g198 +V) +tp19012 +a(g185 +V +tp19013 +a(g339 +V= +tp19014 +a(g185 +V +tp19015 +a(g314 +V0 +tp19016 +a(g198 +V)) +p19017 +tp19018 +a(g339 +V; +tp19019 +a(g185 +V\u000a +tp19020 +a(g111 +Vend +p19021 +tp19022 +a(g339 +V; +tp19023 +a(g185 +V\u000a\u000a +p19024 +tp19025 +a(g111 +Vfunction +p19026 +tp19027 +a(g185 +V +tp19028 +a(g21 +VLWPSolve +p19029 +tp19030 +a(g198 +V( +tp19031 +a(g111 +Vconst +p19032 +tp19033 +a(g185 +V +tp19034 +a(g18 +VDir +p19035 +tp19036 +a(g339 +V: +tp19037 +a(g185 +V +tp19038 +a(g111 +Vstring +p19039 +tp19040 +a(g198 +V) +tp19041 +a(g339 +V: +tp19042 +a(g185 +V +tp19043 +a(g111 +Vstring +p19044 +tp19045 +a(g339 +V; +tp19046 +a(g185 +V\u000a +tp19047 +a(g111 +Vbegin +p19048 +tp19049 +a(g185 +V\u000a +p19050 +tp19051 +a(g111 +Vif +p19052 +tp19053 +a(g185 +V +tp19054 +a(g198 +V( +tp19055 +a(g18 +VDir +p19056 +tp19057 +a(g185 +V +tp19058 +a(g339 +V< +tp19059 +a(g339 +V> +tp19060 +a(g185 +V +tp19061 +a(g222 +V' +tp19062 +a(g222 +V' +tp19063 +a(g198 +V) +tp19064 +a(g185 +V +tp19065 +a(g111 +Vand +p19066 +tp19067 +a(g185 +V +tp19068 +a(g198 +V( +tp19069 +a(g18 +VDir +p19070 +tp19071 +a(g198 +V[ +tp19072 +a(g57 +VLength +p19073 +tp19074 +a(g198 +V( +tp19075 +a(g18 +VDir +p19076 +tp19077 +a(g198 +V)] +p19078 +tp19079 +a(g185 +V +tp19080 +a(g339 +V= +tp19081 +a(g185 +V +tp19082 +a(g222 +V' +tp19083 +a(g222 +V\u005c +tp19084 +a(g222 +V' +tp19085 +a(g198 +V) +tp19086 +a(g185 +V +tp19087 +a(g111 +Vthen +p19088 +tp19089 +a(g185 +V +tp19090 +a(g111 +Vbegin +p19091 +tp19092 +a(g185 +V\u000a +p19093 +tp19094 +a(g60 +VResult +p19095 +tp19096 +a(g185 +V +tp19097 +a(g339 +V: +tp19098 +a(g339 +V= +tp19099 +a(g185 +V +tp19100 +a(g57 +VCopy +p19101 +tp19102 +a(g198 +V( +tp19103 +a(g18 +VDir +p19104 +tp19105 +a(g339 +V, +tp19106 +a(g185 +V +tp19107 +a(g314 +V1 +tp19108 +a(g339 +V, +tp19109 +a(g185 +V +tp19110 +a(g57 +VLength +p19111 +tp19112 +a(g198 +V( +tp19113 +a(g18 +VDir +p19114 +tp19115 +a(g198 +V) +tp19116 +a(g185 +V +tp19117 +a(g339 +V- +tp19118 +a(g185 +V +tp19119 +a(g314 +V1 +tp19120 +a(g198 +V) +tp19121 +a(g339 +V; +tp19122 +a(g185 +V\u000a +p19123 +tp19124 +a(g111 +Vend +p19125 +tp19126 +a(g185 +V +tp19127 +a(g111 +Velse +p19128 +tp19129 +a(g185 +V\u000a +p19130 +tp19131 +a(g60 +VResult +p19132 +tp19133 +a(g185 +V +tp19134 +a(g339 +V: +tp19135 +a(g339 +V= +tp19136 +a(g185 +V +tp19137 +a(g18 +VDir +p19138 +tp19139 +a(g339 +V; +tp19140 +a(g185 +V\u000a +tp19141 +a(g111 +Vend +p19142 +tp19143 +a(g339 +V; +tp19144 +a(g185 +V\u000a\u000a +p19145 +tp19146 +a(g111 +Vfunction +p19147 +tp19148 +a(g185 +V +tp19149 +a(g21 +VLWPSlash +p19150 +tp19151 +a(g198 +V( +tp19152 +a(g111 +Vconst +p19153 +tp19154 +a(g185 +V +tp19155 +a(g18 +VDir +p19156 +tp19157 +a(g339 +V: +tp19158 +a(g185 +V +tp19159 +a(g111 +Vstring +p19160 +tp19161 +a(g198 +V) +tp19162 +a(g339 +V: +tp19163 +a(g185 +V +tp19164 +a(g111 +Vstring +p19165 +tp19166 +a(g339 +V; +tp19167 +a(g185 +V\u000a +tp19168 +a(g111 +Vbegin +p19169 +tp19170 +a(g185 +V\u000a +p19171 +tp19172 +a(g111 +Vif +p19173 +tp19174 +a(g185 +V +tp19175 +a(g198 +V( +tp19176 +a(g18 +VDir +p19177 +tp19178 +a(g185 +V +tp19179 +a(g339 +V< +tp19180 +a(g339 +V> +tp19181 +a(g185 +V +tp19182 +a(g222 +V' +tp19183 +a(g222 +V' +tp19184 +a(g198 +V) +tp19185 +a(g185 +V +tp19186 +a(g111 +Vand +p19187 +tp19188 +a(g185 +V +tp19189 +a(g198 +V( +tp19190 +a(g18 +VDir +p19191 +tp19192 +a(g198 +V[ +tp19193 +a(g57 +VLength +p19194 +tp19195 +a(g198 +V( +tp19196 +a(g18 +VDir +p19197 +tp19198 +a(g198 +V)] +p19199 +tp19200 +a(g185 +V +tp19201 +a(g339 +V= +tp19202 +a(g185 +V +tp19203 +a(g222 +V' +tp19204 +a(g222 +V\u005c +tp19205 +a(g222 +V' +tp19206 +a(g198 +V) +tp19207 +a(g185 +V +tp19208 +a(g111 +Vthen +p19209 +tp19210 +a(g185 +V +tp19211 +a(g111 +Vbegin +p19212 +tp19213 +a(g185 +V\u000a +p19214 +tp19215 +a(g60 +VResult +p19216 +tp19217 +a(g185 +V +tp19218 +a(g339 +V: +tp19219 +a(g339 +V= +tp19220 +a(g185 +V +tp19221 +a(g57 +VCopy +p19222 +tp19223 +a(g198 +V( +tp19224 +a(g18 +VDir +p19225 +tp19226 +a(g339 +V, +tp19227 +a(g185 +V +tp19228 +a(g314 +V1 +tp19229 +a(g339 +V, +tp19230 +a(g185 +V +tp19231 +a(g57 +VLength +p19232 +tp19233 +a(g198 +V( +tp19234 +a(g18 +VDir +p19235 +tp19236 +a(g198 +V)) +p19237 +tp19238 +a(g339 +V; +tp19239 +a(g185 +V\u000a +p19240 +tp19241 +a(g111 +Vend +p19242 +tp19243 +a(g185 +V +tp19244 +a(g111 +Velse +p19245 +tp19246 +a(g185 +V\u000a +p19247 +tp19248 +a(g60 +VResult +p19249 +tp19250 +a(g185 +V +tp19251 +a(g339 +V: +tp19252 +a(g339 +V= +tp19253 +a(g185 +V +tp19254 +a(g18 +VDir +p19255 +tp19256 +a(g185 +V +tp19257 +a(g339 +V+ +tp19258 +a(g185 +V +tp19259 +a(g222 +V' +tp19260 +a(g222 +V\u005c +tp19261 +a(g222 +V' +tp19262 +a(g339 +V; +tp19263 +a(g185 +V\u000a +tp19264 +a(g111 +Vend +p19265 +tp19266 +a(g339 +V; +tp19267 +a(g185 +V\u000a\u000a +p19268 +tp19269 +a(g111 +Vfunction +p19270 +tp19271 +a(g185 +V +tp19272 +a(g21 +VExtractDrive +p19273 +tp19274 +a(g198 +V( +tp19275 +a(g111 +Vconst +p19276 +tp19277 +a(g185 +V +tp19278 +a(g18 +VFileName +p19279 +tp19280 +a(g339 +V: +tp19281 +a(g185 +V +tp19282 +a(g111 +Vstring +p19283 +tp19284 +a(g198 +V) +tp19285 +a(g339 +V: +tp19286 +a(g185 +V +tp19287 +a(g111 +Vstring +p19288 +tp19289 +a(g339 +V; +tp19290 +a(g185 +V\u000a +tp19291 +a(g111 +Vbegin +p19292 +tp19293 +a(g185 +V\u000a +p19294 +tp19295 +a(g60 +VResult +p19296 +tp19297 +a(g185 +V +tp19298 +a(g339 +V: +tp19299 +a(g339 +V= +tp19300 +a(g185 +V +tp19301 +a(g222 +V' +tp19302 +a(g222 +V' +tp19303 +a(g339 +V; +tp19304 +a(g185 +V\u000a +p19305 +tp19306 +a(g111 +Vif +p19307 +tp19308 +a(g185 +V +tp19309 +a(g198 +V( +tp19310 +a(g57 +VLength +p19311 +tp19312 +a(g198 +V( +tp19313 +a(g18 +VFileName +p19314 +tp19315 +a(g198 +V) +tp19316 +a(g185 +V +tp19317 +a(g339 +V> +tp19318 +a(g339 +V= +tp19319 +a(g185 +V +tp19320 +a(g314 +V2 +tp19321 +a(g198 +V) +tp19322 +a(g185 +V +tp19323 +a(g111 +Vand +p19324 +tp19325 +a(g185 +V +tp19326 +a(g198 +V( +tp19327 +a(g18 +VFileName +p19328 +tp19329 +a(g198 +V[ +tp19330 +a(g314 +V2 +tp19331 +a(g198 +V] +tp19332 +a(g185 +V +tp19333 +a(g339 +V= +tp19334 +a(g185 +V +tp19335 +a(g222 +V' +tp19336 +a(g222 +V: +tp19337 +a(g222 +V' +tp19338 +a(g198 +V) +tp19339 +a(g185 +V +tp19340 +a(g111 +Vthen +p19341 +tp19342 +a(g185 +V\u000a +p19343 +tp19344 +a(g60 +VResult +p19345 +tp19346 +a(g185 +V +tp19347 +a(g339 +V: +tp19348 +a(g339 +V= +tp19349 +a(g185 +V +tp19350 +a(g57 +VUpperCase +p19351 +tp19352 +a(g198 +V( +tp19353 +a(g18 +VFileName +p19354 +tp19355 +a(g198 +V[ +tp19356 +a(g314 +V1 +tp19357 +a(g198 +V] +tp19358 +a(g185 +V +tp19359 +a(g339 +V+ +tp19360 +a(g185 +V +tp19361 +a(g222 +V' +tp19362 +a(g222 +V:\u005c +p19363 +tp19364 +a(g222 +V' +tp19365 +a(g198 +V) +tp19366 +a(g339 +V; +tp19367 +a(g185 +V\u000a +tp19368 +a(g111 +Vend +p19369 +tp19370 +a(g339 +V; +tp19371 +a(g185 +V\u000a\u000a +p19372 +tp19373 +a(g111 +Vfunction +p19374 +tp19375 +a(g185 +V +tp19376 +a(g21 +VExtractPath +p19377 +tp19378 +a(g198 +V( +tp19379 +a(g111 +Vconst +p19380 +tp19381 +a(g185 +V +tp19382 +a(g18 +VFileName +p19383 +tp19384 +a(g339 +V: +tp19385 +a(g185 +V +tp19386 +a(g111 +Vstring +p19387 +tp19388 +a(g198 +V) +tp19389 +a(g339 +V: +tp19390 +a(g185 +V +tp19391 +a(g111 +Vstring +p19392 +tp19393 +a(g339 +V; +tp19394 +a(g185 +V\u000a +tp19395 +a(g111 +Vvar +p19396 +tp19397 +a(g185 +V\u000a +p19398 +tp19399 +a(g18 +Vp +tp19400 +a(g339 +V: +tp19401 +a(g185 +V +tp19402 +a(g135 +VInteger +p19403 +tp19404 +a(g339 +V; +tp19405 +a(g185 +V\u000a +tp19406 +a(g111 +Vbegin +p19407 +tp19408 +a(g185 +V\u000a +p19409 +tp19410 +a(g18 +Vp +tp19411 +a(g185 +V +tp19412 +a(g339 +V: +tp19413 +a(g339 +V= +tp19414 +a(g185 +V +tp19415 +a(g18 +VCharPosR +p19416 +tp19417 +a(g198 +V( +tp19418 +a(g222 +V' +tp19419 +a(g222 +V\u005c +tp19420 +a(g222 +V' +tp19421 +a(g339 +V, +tp19422 +a(g185 +V +tp19423 +a(g18 +VFileName +p19424 +tp19425 +a(g198 +V) +tp19426 +a(g339 +V; +tp19427 +a(g185 +V\u000a +p19428 +tp19429 +a(g111 +Vif +p19430 +tp19431 +a(g185 +V +tp19432 +a(g18 +VP +tp19433 +a(g185 +V +tp19434 +a(g339 +V> +tp19435 +a(g185 +V +tp19436 +a(g314 +V0 +tp19437 +a(g185 +V +tp19438 +a(g111 +Vthen +p19439 +tp19440 +a(g185 +V\u000a +p19441 +tp19442 +a(g60 +VResult +p19443 +tp19444 +a(g185 +V +tp19445 +a(g339 +V: +tp19446 +a(g339 +V= +tp19447 +a(g185 +V +tp19448 +a(g57 +VCopy +p19449 +tp19450 +a(g198 +V( +tp19451 +a(g18 +VFileName +p19452 +tp19453 +a(g339 +V, +tp19454 +a(g185 +V +tp19455 +a(g314 +V1 +tp19456 +a(g339 +V, +tp19457 +a(g185 +V +tp19458 +a(g18 +Vp +tp19459 +a(g198 +V) +tp19460 +a(g185 +V\u000a +p19461 +tp19462 +a(g111 +Velse +p19463 +tp19464 +a(g185 +V\u000a +p19465 +tp19466 +a(g60 +VResult +p19467 +tp19468 +a(g185 +V +tp19469 +a(g339 +V: +tp19470 +a(g339 +V= +tp19471 +a(g185 +V +tp19472 +a(g18 +VFileName +p19473 +tp19474 +a(g339 +V; +tp19475 +a(g185 +V\u000a +tp19476 +a(g111 +Vend +p19477 +tp19478 +a(g339 +V; +tp19479 +a(g185 +V\u000a\u000a +p19480 +tp19481 +a(g111 +Vfunction +p19482 +tp19483 +a(g185 +V +tp19484 +a(g21 +VExtractPrefix +p19485 +tp19486 +a(g198 +V( +tp19487 +a(g111 +Vconst +p19488 +tp19489 +a(g185 +V +tp19490 +a(g18 +VFileName +p19491 +tp19492 +a(g339 +V: +tp19493 +a(g185 +V +tp19494 +a(g111 +Vstring +p19495 +tp19496 +a(g198 +V) +tp19497 +a(g339 +V: +tp19498 +a(g185 +V +tp19499 +a(g111 +Vstring +p19500 +tp19501 +a(g339 +V; +tp19502 +a(g185 +V\u000a +tp19503 +a(g111 +Vbegin +p19504 +tp19505 +a(g185 +V\u000a +p19506 +tp19507 +a(g60 +VResult +p19508 +tp19509 +a(g185 +V +tp19510 +a(g339 +V: +tp19511 +a(g339 +V= +tp19512 +a(g185 +V +tp19513 +a(g18 +VUntilLastChar +p19514 +tp19515 +a(g198 +V( +tp19516 +a(g57 +VExtractFileName +p19517 +tp19518 +a(g198 +V( +tp19519 +a(g18 +VFileName +p19520 +tp19521 +a(g198 +V) +tp19522 +a(g339 +V, +tp19523 +a(g185 +V +tp19524 +a(g222 +V' +tp19525 +a(g222 +V. +tp19526 +a(g222 +V' +tp19527 +a(g198 +V) +tp19528 +a(g339 +V; +tp19529 +a(g185 +V\u000a +tp19530 +a(g111 +Vend +p19531 +tp19532 +a(g339 +V; +tp19533 +a(g185 +V\u000a\u000a +p19534 +tp19535 +a(g111 +Vfunction +p19536 +tp19537 +a(g185 +V +tp19538 +a(g21 +VExtractSuffix +p19539 +tp19540 +a(g198 +V( +tp19541 +a(g111 +Vconst +p19542 +tp19543 +a(g185 +V +tp19544 +a(g18 +VFileName +p19545 +tp19546 +a(g339 +V: +tp19547 +a(g185 +V +tp19548 +a(g111 +Vstring +p19549 +tp19550 +a(g198 +V) +tp19551 +a(g339 +V: +tp19552 +a(g185 +V +tp19553 +a(g111 +Vstring +p19554 +tp19555 +a(g339 +V; +tp19556 +a(g185 +V\u000a +tp19557 +a(g111 +Vbegin +p19558 +tp19559 +a(g185 +V\u000a +p19560 +tp19561 +a(g60 +VResult +p19562 +tp19563 +a(g185 +V +tp19564 +a(g339 +V: +tp19565 +a(g339 +V= +tp19566 +a(g185 +V +tp19567 +a(g18 +VFromLastChar +p19568 +tp19569 +a(g198 +V( +tp19570 +a(g57 +VExtractFileName +p19571 +tp19572 +a(g198 +V( +tp19573 +a(g18 +VFileName +p19574 +tp19575 +a(g198 +V) +tp19576 +a(g339 +V, +tp19577 +a(g185 +V +tp19578 +a(g222 +V' +tp19579 +a(g222 +V. +tp19580 +a(g222 +V' +tp19581 +a(g198 +V) +tp19582 +a(g339 +V; +tp19583 +a(g185 +V\u000a +tp19584 +a(g111 +Vend +p19585 +tp19586 +a(g339 +V; +tp19587 +a(g185 +V\u000a\u000a +p19588 +tp19589 +a(g111 +Vfunction +p19590 +tp19591 +a(g185 +V +tp19592 +a(g21 +VSameByteA +p19593 +tp19594 +a(g198 +V( +tp19595 +a(g111 +Vconst +p19596 +tp19597 +a(g185 +V +tp19598 +a(g18 +VA +tp19599 +a(g339 +V, +tp19600 +a(g185 +V +tp19601 +a(g18 +VB +tp19602 +a(g339 +V: +tp19603 +a(g185 +V +tp19604 +a(g18 +VTByteA +p19605 +tp19606 +a(g198 +V) +tp19607 +a(g339 +V: +tp19608 +a(g185 +V +tp19609 +a(g135 +VBoolean +p19610 +tp19611 +a(g339 +V; +tp19612 +a(g185 +V\u000a +tp19613 +a(g111 +Vbegin +p19614 +tp19615 +a(g185 +V\u000a +p19616 +tp19617 +a(g60 +VResult +p19618 +tp19619 +a(g185 +V +tp19620 +a(g339 +V: +tp19621 +a(g339 +V= +tp19622 +a(g185 +V +tp19623 +a(g198 +V( +tp19624 +a(g18 +VA +tp19625 +a(g185 +V +tp19626 +a(g339 +V= +tp19627 +a(g185 +V +tp19628 +a(g18 +VB +tp19629 +a(g198 +V) +tp19630 +a(g185 +V +tp19631 +a(g111 +Vor +p19632 +tp19633 +a(g185 +V +tp19634 +a(g198 +V(( +p19635 +tp19636 +a(g57 +VLength +p19637 +tp19638 +a(g198 +V( +tp19639 +a(g18 +VA +tp19640 +a(g198 +V) +tp19641 +a(g185 +V +tp19642 +a(g339 +V= +tp19643 +a(g185 +V +tp19644 +a(g57 +VLength +p19645 +tp19646 +a(g198 +V( +tp19647 +a(g18 +VB +tp19648 +a(g198 +V)) +p19649 +tp19650 +a(g185 +V +tp19651 +a(g111 +Vand +p19652 +tp19653 +a(g185 +V +tp19654 +a(g57 +VCompareMem +p19655 +tp19656 +a(g198 +V( +tp19657 +a(g18 +VA +tp19658 +a(g339 +V, +tp19659 +a(g185 +V +tp19660 +a(g18 +VB +tp19661 +a(g339 +V, +tp19662 +a(g185 +V +tp19663 +a(g57 +VLength +p19664 +tp19665 +a(g198 +V( +tp19666 +a(g18 +VA +tp19667 +a(g198 +V))) +p19668 +tp19669 +a(g339 +V; +tp19670 +a(g185 +V\u000a +tp19671 +a(g111 +Vend +p19672 +tp19673 +a(g339 +V; +tp19674 +a(g185 +V\u000a\u000a +p19675 +tp19676 +a(g111 +Vfunction +p19677 +tp19678 +a(g185 +V +tp19679 +a(g21 +VReverse +p19680 +tp19681 +a(g198 +V( +tp19682 +a(g18 +VA +tp19683 +a(g339 +V: +tp19684 +a(g185 +V +tp19685 +a(g18 +VTByteA +p19686 +tp19687 +a(g198 +V) +tp19688 +a(g339 +V: +tp19689 +a(g185 +V +tp19690 +a(g18 +VTByteA +p19691 +tp19692 +a(g339 +V; +tp19693 +a(g185 +V\u000a +tp19694 +a(g111 +Vvar +p19695 +tp19696 +a(g185 +V\u000a +p19697 +tp19698 +a(g18 +Vi +tp19699 +a(g339 +V: +tp19700 +a(g185 +V +tp19701 +a(g135 +VInteger +p19702 +tp19703 +a(g339 +V; +tp19704 +a(g185 +V\u000a +tp19705 +a(g111 +Vbegin +p19706 +tp19707 +a(g185 +V\u000a +p19708 +tp19709 +a(g57 +VSetLength +p19710 +tp19711 +a(g198 +V( +tp19712 +a(g60 +VResult +p19713 +tp19714 +a(g339 +V, +tp19715 +a(g185 +V +tp19716 +a(g57 +VLength +p19717 +tp19718 +a(g198 +V( +tp19719 +a(g18 +VA +tp19720 +a(g198 +V)) +p19721 +tp19722 +a(g339 +V; +tp19723 +a(g185 +V\u000a\u000a +p19724 +tp19725 +a(g111 +Vfor +p19726 +tp19727 +a(g185 +V +tp19728 +a(g18 +Vi +tp19729 +a(g185 +V +tp19730 +a(g339 +V: +tp19731 +a(g339 +V= +tp19732 +a(g185 +V +tp19733 +a(g314 +V0 +tp19734 +a(g185 +V +tp19735 +a(g111 +Vto +p19736 +tp19737 +a(g185 +V +tp19738 +a(g57 +VHigh +p19739 +tp19740 +a(g198 +V( +tp19741 +a(g18 +VA +tp19742 +a(g198 +V) +tp19743 +a(g185 +V +tp19744 +a(g111 +Vdo +p19745 +tp19746 +a(g185 +V\u000a +p19747 +tp19748 +a(g60 +VResult +p19749 +tp19750 +a(g198 +V[ +tp19751 +a(g57 +VHigh +p19752 +tp19753 +a(g198 +V( +tp19754 +a(g60 +VResult +p19755 +tp19756 +a(g198 +V) +tp19757 +a(g185 +V +tp19758 +a(g339 +V- +tp19759 +a(g185 +V +tp19760 +a(g18 +Vi +tp19761 +a(g198 +V] +tp19762 +a(g185 +V +tp19763 +a(g339 +V: +tp19764 +a(g339 +V= +tp19765 +a(g185 +V +tp19766 +a(g18 +VA +tp19767 +a(g198 +V[ +tp19768 +a(g18 +Vi +tp19769 +a(g198 +V] +tp19770 +a(g339 +V; +tp19771 +a(g185 +V\u000a +tp19772 +a(g111 +Vend +p19773 +tp19774 +a(g339 +V; +tp19775 +a(g185 +V\u000a\u000a +p19776 +tp19777 +a(g111 +Vfunction +p19778 +tp19779 +a(g185 +V +tp19780 +a(g21 +VEndian +p19781 +tp19782 +a(g198 +V( +tp19783 +a(g18 +Vi +tp19784 +a(g339 +V: +tp19785 +a(g185 +V +tp19786 +a(g135 +VInteger +p19787 +tp19788 +a(g198 +V) +tp19789 +a(g339 +V: +tp19790 +a(g185 +V +tp19791 +a(g135 +VInteger +p19792 +tp19793 +a(g339 +V; +tp19794 +a(g185 +V\u000a +tp19795 +a(g111 +Vtype +p19796 +tp19797 +a(g185 +V\u000a +p19798 +tp19799 +a(g18 +VEndianArray +p19800 +tp19801 +a(g185 +V +tp19802 +a(g339 +V= +tp19803 +a(g185 +V +tp19804 +a(g111 +Vpacked +p19805 +tp19806 +a(g185 +V +tp19807 +a(g111 +Varray +p19808 +tp19809 +a(g198 +V[ +tp19810 +a(g314 +V0 +tp19811 +a(g339 +V. +tp19812 +a(g339 +V. +tp19813 +a(g314 +V3 +tp19814 +a(g198 +V] +tp19815 +a(g185 +V +tp19816 +a(g111 +Vof +p19817 +tp19818 +a(g185 +V +tp19819 +a(g135 +VByte +p19820 +tp19821 +a(g339 +V; +tp19822 +a(g185 +V\u000a +tp19823 +a(g111 +Vvar +p19824 +tp19825 +a(g185 +V\u000a +p19826 +tp19827 +a(g18 +Va +tp19828 +a(g339 +V, +tp19829 +a(g185 +V +tp19830 +a(g18 +Vb +tp19831 +a(g339 +V: +tp19832 +a(g185 +V +tp19833 +a(g18 +VEndianArray +p19834 +tp19835 +a(g339 +V; +tp19836 +a(g185 +V\u000a +tp19837 +a(g111 +Vbegin +p19838 +tp19839 +a(g185 +V\u000a +p19840 +tp19841 +a(g18 +Va +tp19842 +a(g185 +V +tp19843 +a(g339 +V: +tp19844 +a(g339 +V= +tp19845 +a(g185 +V +tp19846 +a(g18 +VEndianArray +p19847 +tp19848 +a(g198 +V( +tp19849 +a(g18 +Vi +tp19850 +a(g198 +V) +tp19851 +a(g339 +V; +tp19852 +a(g185 +V\u000a +p19853 +tp19854 +a(g18 +Vb +tp19855 +a(g198 +V[ +tp19856 +a(g314 +V0 +tp19857 +a(g198 +V] +tp19858 +a(g185 +V +tp19859 +a(g339 +V: +tp19860 +a(g339 +V= +tp19861 +a(g185 +V +tp19862 +a(g18 +Va +tp19863 +a(g198 +V[ +tp19864 +a(g314 +V3 +tp19865 +a(g198 +V] +tp19866 +a(g339 +V; +tp19867 +a(g185 +V\u000a +p19868 +tp19869 +a(g18 +Vb +tp19870 +a(g198 +V[ +tp19871 +a(g314 +V1 +tp19872 +a(g198 +V] +tp19873 +a(g185 +V +tp19874 +a(g339 +V: +tp19875 +a(g339 +V= +tp19876 +a(g185 +V +tp19877 +a(g18 +Va +tp19878 +a(g198 +V[ +tp19879 +a(g314 +V2 +tp19880 +a(g198 +V] +tp19881 +a(g339 +V; +tp19882 +a(g185 +V\u000a +p19883 +tp19884 +a(g18 +Vb +tp19885 +a(g198 +V[ +tp19886 +a(g314 +V2 +tp19887 +a(g198 +V] +tp19888 +a(g185 +V +tp19889 +a(g339 +V: +tp19890 +a(g339 +V= +tp19891 +a(g185 +V +tp19892 +a(g18 +Va +tp19893 +a(g198 +V[ +tp19894 +a(g314 +V1 +tp19895 +a(g198 +V] +tp19896 +a(g339 +V; +tp19897 +a(g185 +V\u000a +p19898 +tp19899 +a(g18 +Vb +tp19900 +a(g198 +V[ +tp19901 +a(g314 +V3 +tp19902 +a(g198 +V] +tp19903 +a(g185 +V +tp19904 +a(g339 +V: +tp19905 +a(g339 +V= +tp19906 +a(g185 +V +tp19907 +a(g18 +Va +tp19908 +a(g198 +V[ +tp19909 +a(g314 +V0 +tp19910 +a(g198 +V] +tp19911 +a(g339 +V; +tp19912 +a(g185 +V\u000a +p19913 +tp19914 +a(g60 +VResult +p19915 +tp19916 +a(g185 +V +tp19917 +a(g339 +V: +tp19918 +a(g339 +V= +tp19919 +a(g185 +V +tp19920 +a(g135 +VInteger +p19921 +tp19922 +a(g198 +V( +tp19923 +a(g18 +Vb +tp19924 +a(g198 +V) +tp19925 +a(g339 +V; +tp19926 +a(g185 +V\u000a +tp19927 +a(g111 +Vend +p19928 +tp19929 +a(g339 +V; +tp19930 +a(g185 +V\u000a\u000a +p19931 +tp19932 +a(g111 +Vfunction +p19933 +tp19934 +a(g185 +V +tp19935 +a(g21 +VSaveByteA +p19936 +tp19937 +a(g198 +V( +tp19938 +a(g18 +VData +p19939 +tp19940 +a(g339 +V: +tp19941 +a(g185 +V +tp19942 +a(g18 +VTByteA +p19943 +tp19944 +a(g339 +V; +tp19945 +a(g185 +V +tp19946 +a(g111 +Vconst +p19947 +tp19948 +a(g185 +V +tp19949 +a(g18 +VFileName +p19950 +tp19951 +a(g339 +V: +tp19952 +a(g185 +V +tp19953 +a(g111 +Vstring +p19954 +tp19955 +a(g339 +V; +tp19956 +a(g185 +V\u000a +p19957 +tp19958 +a(g18 +VOverwrite +p19959 +tp19960 +a(g339 +V: +tp19961 +a(g185 +V +tp19962 +a(g135 +VBoolean +p19963 +tp19964 +a(g185 +V +tp19965 +a(g339 +V= +tp19966 +a(g185 +V +tp19967 +a(g111 +VTrue +p19968 +tp19969 +a(g198 +V) +tp19970 +a(g339 +V: +tp19971 +a(g185 +V +tp19972 +a(g135 +VBoolean +p19973 +tp19974 +a(g339 +V; +tp19975 +a(g185 +V\u000a +tp19976 +a(g111 +Vvar +p19977 +tp19978 +a(g185 +V\u000a +p19979 +tp19980 +a(g18 +VF +tp19981 +a(g339 +V: +tp19982 +a(g185 +V +tp19983 +a(g111 +Vfile +p19984 +tp19985 +a(g339 +V; +tp19986 +a(g185 +V\u000a +tp19987 +a(g111 +Vbegin +p19988 +tp19989 +a(g185 +V\u000a +p19990 +tp19991 +a(g111 +Vif +p19992 +tp19993 +a(g185 +V +tp19994 +a(g57 +VFileExists +p19995 +tp19996 +a(g198 +V( +tp19997 +a(g18 +VFileName +p19998 +tp19999 +a(g198 +V) +tp20000 +a(g185 +V +tp20001 +a(g111 +Vand +p20002 +tp20003 +a(g185 +V +tp20004 +a(g111 +Vnot +p20005 +tp20006 +a(g185 +V +tp20007 +a(g18 +VOverwrite +p20008 +tp20009 +a(g185 +V +tp20010 +a(g111 +Vthen +p20011 +tp20012 +a(g185 +V +tp20013 +a(g111 +Vbegin +p20014 +tp20015 +a(g185 +V\u000a +p20016 +tp20017 +a(g60 +VResult +p20018 +tp20019 +a(g185 +V +tp20020 +a(g339 +V: +tp20021 +a(g339 +V= +tp20022 +a(g185 +V +tp20023 +a(g111 +VFalse +p20024 +tp20025 +a(g339 +V; +tp20026 +a(g185 +V\u000a +p20027 +tp20028 +a(g111 +VExit +p20029 +tp20030 +a(g185 +V +tp20031 +a(g111 +Vend +p20032 +tp20033 +a(g339 +V; +tp20034 +a(g185 +V\u000a\u000a +p20035 +tp20036 +a(g57 +VAssignFile +p20037 +tp20038 +a(g198 +V( +tp20039 +a(g18 +VF +tp20040 +a(g339 +V, +tp20041 +a(g185 +V +tp20042 +a(g18 +VFileName +p20043 +tp20044 +a(g198 +V) +tp20045 +a(g339 +V; +tp20046 +a(g185 +V\u000a +p20047 +tp20048 +a(g354 +V{$I-} +p20049 +tp20050 +a(g57 +VRewrite +p20051 +tp20052 +a(g198 +V( +tp20053 +a(g18 +VF +tp20054 +a(g339 +V, +tp20055 +a(g185 +V +tp20056 +a(g314 +V1 +tp20057 +a(g198 +V) +tp20058 +a(g339 +V; +tp20059 +a(g354 +V{$I+} +p20060 +tp20061 +a(g185 +V\u000a +p20062 +tp20063 +a(g111 +Vif +p20064 +tp20065 +a(g185 +V +tp20066 +a(g57 +VIOResult +p20067 +tp20068 +a(g185 +V +tp20069 +a(g339 +V= +tp20070 +a(g185 +V +tp20071 +a(g314 +V0 +tp20072 +a(g185 +V +tp20073 +a(g111 +Vthen +p20074 +tp20075 +a(g185 +V +tp20076 +a(g111 +Vbegin +p20077 +tp20078 +a(g185 +V\u000a +p20079 +tp20080 +a(g111 +Vif +p20081 +tp20082 +a(g185 +V +tp20083 +a(g57 +VLength +p20084 +tp20085 +a(g198 +V( +tp20086 +a(g18 +VData +p20087 +tp20088 +a(g198 +V) +tp20089 +a(g185 +V +tp20090 +a(g339 +V> +tp20091 +a(g185 +V +tp20092 +a(g314 +V0 +tp20093 +a(g185 +V +tp20094 +a(g111 +Vthen +p20095 +tp20096 +a(g185 +V\u000a +p20097 +tp20098 +a(g57 +VBlockWrite +p20099 +tp20100 +a(g198 +V( +tp20101 +a(g18 +VF +tp20102 +a(g339 +V, +tp20103 +a(g185 +V +tp20104 +a(g18 +VData +p20105 +tp20106 +a(g198 +V[ +tp20107 +a(g314 +V0 +tp20108 +a(g198 +V] +tp20109 +a(g339 +V, +tp20110 +a(g185 +V +tp20111 +a(g57 +VLength +p20112 +tp20113 +a(g198 +V( +tp20114 +a(g18 +VData +p20115 +tp20116 +a(g198 +V)) +p20117 +tp20118 +a(g339 +V; +tp20119 +a(g185 +V\u000a +p20120 +tp20121 +a(g57 +VCloseFile +p20122 +tp20123 +a(g198 +V( +tp20124 +a(g18 +VF +tp20125 +a(g198 +V) +tp20126 +a(g339 +V; +tp20127 +a(g185 +V\u000a +p20128 +tp20129 +a(g60 +VResult +p20130 +tp20131 +a(g185 +V +tp20132 +a(g339 +V: +tp20133 +a(g339 +V= +tp20134 +a(g185 +V +tp20135 +a(g111 +VTrue +p20136 +tp20137 +a(g339 +V; +tp20138 +a(g185 +V\u000a +p20139 +tp20140 +a(g111 +Vend +p20141 +tp20142 +a(g185 +V +tp20143 +a(g111 +Velse +p20144 +tp20145 +a(g185 +V\u000a +p20146 +tp20147 +a(g60 +VResult +p20148 +tp20149 +a(g185 +V +tp20150 +a(g339 +V: +tp20151 +a(g339 +V= +tp20152 +a(g185 +V +tp20153 +a(g111 +VFalse +p20154 +tp20155 +a(g339 +V; +tp20156 +a(g185 +V\u000a +tp20157 +a(g111 +Vend +p20158 +tp20159 +a(g339 +V; +tp20160 +a(g185 +V\u000a\u000a +p20161 +tp20162 +a(g111 +Vfunction +p20163 +tp20164 +a(g185 +V +tp20165 +a(g21 +VLoadByteA +p20166 +tp20167 +a(g198 +V( +tp20168 +a(g111 +Vconst +p20169 +tp20170 +a(g185 +V +tp20171 +a(g18 +VFileName +p20172 +tp20173 +a(g339 +V: +tp20174 +a(g185 +V +tp20175 +a(g111 +Vstring +p20176 +tp20177 +a(g198 +V) +tp20178 +a(g339 +V: +tp20179 +a(g185 +V +tp20180 +a(g18 +VTByteA +p20181 +tp20182 +a(g339 +V; +tp20183 +a(g185 +V\u000a +tp20184 +a(g111 +Vvar +p20185 +tp20186 +a(g185 +V\u000a +p20187 +tp20188 +a(g18 +VF +tp20189 +a(g339 +V: +tp20190 +a(g185 +V +tp20191 +a(g111 +Vfile +p20192 +tp20193 +a(g339 +V; +tp20194 +a(g185 +V\u000a +tp20195 +a(g111 +Vbegin +p20196 +tp20197 +a(g185 +V\u000a +p20198 +tp20199 +a(g57 +VAssignFile +p20200 +tp20201 +a(g198 +V( +tp20202 +a(g18 +VF +tp20203 +a(g339 +V, +tp20204 +a(g185 +V +tp20205 +a(g18 +VFileName +p20206 +tp20207 +a(g198 +V) +tp20208 +a(g339 +V; +tp20209 +a(g185 +V\u000a +p20210 +tp20211 +a(g354 +V{$I-} +p20212 +tp20213 +a(g57 +VReset +p20214 +tp20215 +a(g198 +V( +tp20216 +a(g18 +VF +tp20217 +a(g339 +V, +tp20218 +a(g185 +V +tp20219 +a(g314 +V1 +tp20220 +a(g198 +V) +tp20221 +a(g339 +V; +tp20222 +a(g354 +V{$I+} +p20223 +tp20224 +a(g185 +V\u000a +p20225 +tp20226 +a(g111 +Vif +p20227 +tp20228 +a(g185 +V +tp20229 +a(g57 +VIOResult +p20230 +tp20231 +a(g185 +V +tp20232 +a(g339 +V= +tp20233 +a(g185 +V +tp20234 +a(g314 +V0 +tp20235 +a(g185 +V +tp20236 +a(g111 +Vthen +p20237 +tp20238 +a(g185 +V +tp20239 +a(g111 +Vbegin +p20240 +tp20241 +a(g185 +V\u000a +p20242 +tp20243 +a(g57 +VSetLength +p20244 +tp20245 +a(g198 +V( +tp20246 +a(g60 +VResult +p20247 +tp20248 +a(g339 +V, +tp20249 +a(g185 +V +tp20250 +a(g57 +VFileSize +p20251 +tp20252 +a(g198 +V( +tp20253 +a(g18 +VF +tp20254 +a(g198 +V)) +p20255 +tp20256 +a(g339 +V; +tp20257 +a(g185 +V\u000a +p20258 +tp20259 +a(g111 +Vif +p20260 +tp20261 +a(g185 +V +tp20262 +a(g57 +VLength +p20263 +tp20264 +a(g198 +V( +tp20265 +a(g60 +VResult +p20266 +tp20267 +a(g198 +V) +tp20268 +a(g185 +V +tp20269 +a(g339 +V> +tp20270 +a(g185 +V +tp20271 +a(g314 +V0 +tp20272 +a(g185 +V +tp20273 +a(g111 +Vthen +p20274 +tp20275 +a(g185 +V\u000a +p20276 +tp20277 +a(g57 +VBlockRead +p20278 +tp20279 +a(g198 +V( +tp20280 +a(g18 +VF +tp20281 +a(g339 +V, +tp20282 +a(g185 +V +tp20283 +a(g60 +VResult +p20284 +tp20285 +a(g198 +V[ +tp20286 +a(g314 +V0 +tp20287 +a(g198 +V] +tp20288 +a(g339 +V, +tp20289 +a(g185 +V +tp20290 +a(g57 +VFileSize +p20291 +tp20292 +a(g198 +V( +tp20293 +a(g18 +VF +tp20294 +a(g198 +V)) +p20295 +tp20296 +a(g339 +V; +tp20297 +a(g185 +V\u000a +p20298 +tp20299 +a(g57 +VCloseFile +p20300 +tp20301 +a(g198 +V( +tp20302 +a(g18 +VF +tp20303 +a(g198 +V) +tp20304 +a(g339 +V; +tp20305 +a(g185 +V\u000a +p20306 +tp20307 +a(g111 +Vend +p20308 +tp20309 +a(g185 +V +tp20310 +a(g111 +Velse +p20311 +tp20312 +a(g185 +V\u000a +p20313 +tp20314 +a(g57 +VSetLength +p20315 +tp20316 +a(g198 +V( +tp20317 +a(g60 +VResult +p20318 +tp20319 +a(g339 +V, +tp20320 +a(g185 +V +tp20321 +a(g314 +V0 +tp20322 +a(g198 +V) +tp20323 +a(g339 +V; +tp20324 +a(g185 +V\u000a +tp20325 +a(g111 +Vend +p20326 +tp20327 +a(g339 +V; +tp20328 +a(g185 +V\u000a\u000a +p20329 +tp20330 +a(g111 +Vfunction +p20331 +tp20332 +a(g185 +V +tp20333 +a(g21 +VIsValidFileName +p20334 +tp20335 +a(g198 +V( +tp20336 +a(g111 +Vconst +p20337 +tp20338 +a(g185 +V +tp20339 +a(g18 +VFileName +p20340 +tp20341 +a(g339 +V: +tp20342 +a(g185 +V +tp20343 +a(g111 +Vstring +p20344 +tp20345 +a(g198 +V) +tp20346 +a(g339 +V: +tp20347 +a(g185 +V +tp20348 +a(g135 +VBoolean +p20349 +tp20350 +a(g339 +V; +tp20351 +a(g185 +V\u000a +tp20352 +a(g111 +Vbegin +p20353 +tp20354 +a(g185 +V\u000a +p20355 +tp20356 +a(g60 +VResult +p20357 +tp20358 +a(g185 +V +tp20359 +a(g339 +V: +tp20360 +a(g339 +V= +tp20361 +a(g185 +V +tp20362 +a(g198 +V( +tp20363 +a(g18 +VFileName +p20364 +tp20365 +a(g185 +V +tp20366 +a(g339 +V< +tp20367 +a(g339 +V> +tp20368 +a(g185 +V +tp20369 +a(g222 +V' +tp20370 +a(g222 +V' +tp20371 +a(g198 +V) +tp20372 +a(g185 +V +tp20373 +a(g111 +Vand +p20374 +tp20375 +a(g185 +V +tp20376 +a(g111 +Vnot +p20377 +tp20378 +a(g185 +V +tp20379 +a(g18 +VCharIn +p20380 +tp20381 +a(g198 +V( +tp20382 +a(g18 +VFileName +p20383 +tp20384 +a(g339 +V, +tp20385 +a(g185 +V +tp20386 +a(g18 +VFileNameEnemies +p20387 +tp20388 +a(g198 +V) +tp20389 +a(g185 +V\u000a +p20390 +tp20391 +a(g111 +Vand +p20392 +tp20393 +a(g185 +V +tp20394 +a(g18 +VCharIn +p20395 +tp20396 +a(g198 +V( +tp20397 +a(g57 +VTrim +p20398 +tp20399 +a(g198 +V( +tp20400 +a(g18 +VFileName +p20401 +tp20402 +a(g198 +V) +tp20403 +a(g339 +V, +tp20404 +a(g185 +V +tp20405 +a(g18 +VAllChars +p20406 +tp20407 +a(g185 +V +tp20408 +a(g339 +V- +tp20409 +a(g185 +V +tp20410 +a(g198 +V[ +tp20411 +a(g222 +V' +tp20412 +a(g222 +V. +tp20413 +a(g222 +V' +tp20414 +a(g198 +V]) +p20415 +tp20416 +a(g339 +V; +tp20417 +a(g185 +V\u000a +tp20418 +a(g111 +Vend +p20419 +tp20420 +a(g339 +V; +tp20421 +a(g185 +V\u000a\u000a +p20422 +tp20423 +a(g111 +Vfunction +p20424 +tp20425 +a(g185 +V +tp20426 +a(g21 +VMakeValidFileName +p20427 +tp20428 +a(g198 +V( +tp20429 +a(g18 +VFileName +p20430 +tp20431 +a(g339 +V: +tp20432 +a(g185 +V +tp20433 +a(g111 +Vstring +p20434 +tp20435 +a(g339 +V; +tp20436 +a(g185 +V +tp20437 +a(g111 +Vconst +p20438 +tp20439 +a(g185 +V +tp20440 +a(g119 +VDefault +p20441 +tp20442 +a(g339 +V: +tp20443 +a(g185 +V +tp20444 +a(g111 +Vstring +p20445 +tp20446 +a(g185 +V +tp20447 +a(g339 +V= +tp20448 +a(g185 +V +tp20449 +a(g222 +V' +tp20450 +a(g222 +VFile +p20451 +tp20452 +a(g222 +V' +tp20453 +a(g198 +V) +tp20454 +a(g339 +V: +tp20455 +a(g185 +V +tp20456 +a(g111 +Vstring +p20457 +tp20458 +a(g339 +V; +tp20459 +a(g185 +V\u000a +tp20460 +a(g111 +Vbegin +p20461 +tp20462 +a(g185 +V\u000a +p20463 +tp20464 +a(g111 +Vif +p20465 +tp20466 +a(g185 +V +tp20467 +a(g18 +VFileName +p20468 +tp20469 +a(g185 +V +tp20470 +a(g339 +V= +tp20471 +a(g185 +V +tp20472 +a(g222 +V' +tp20473 +a(g222 +V' +tp20474 +a(g185 +V +tp20475 +a(g111 +Vthen +p20476 +tp20477 +a(g185 +V\u000a +p20478 +tp20479 +a(g18 +VFileName +p20480 +tp20481 +a(g185 +V +tp20482 +a(g339 +V: +tp20483 +a(g339 +V= +tp20484 +a(g185 +V +tp20485 +a(g119 +VDefault +p20486 +tp20487 +a(g339 +V; +tp20488 +a(g185 +V\u000a\u000a +p20489 +tp20490 +a(g111 +Vif +p20491 +tp20492 +a(g185 +V +tp20493 +a(g18 +VCharIn +p20494 +tp20495 +a(g198 +V( +tp20496 +a(g18 +VFileName +p20497 +tp20498 +a(g339 +V, +tp20499 +a(g185 +V +tp20500 +a(g18 +VFileNameEnemies +p20501 +tp20502 +a(g198 +V) +tp20503 +a(g185 +V +tp20504 +a(g111 +Vthen +p20505 +tp20506 +a(g185 +V\u000a +p20507 +tp20508 +a(g60 +VResult +p20509 +tp20510 +a(g185 +V +tp20511 +a(g339 +V: +tp20512 +a(g339 +V= +tp20513 +a(g185 +V +tp20514 +a(g18 +VReplaceChars +p20515 +tp20516 +a(g198 +V( +tp20517 +a(g18 +VFileName +p20518 +tp20519 +a(g339 +V, +tp20520 +a(g185 +V +tp20521 +a(g18 +VFileNameEnemies +p20522 +tp20523 +a(g339 +V, +tp20524 +a(g185 +V +tp20525 +a(g222 +V' +tp20526 +a(g222 +V_ +tp20527 +a(g222 +V' +tp20528 +a(g198 +V) +tp20529 +a(g185 +V\u000a +p20530 +tp20531 +a(g111 +Velse +p20532 +tp20533 +a(g185 +V +tp20534 +a(g111 +Vif +p20535 +tp20536 +a(g185 +V +tp20537 +a(g111 +Vnot +p20538 +tp20539 +a(g185 +V +tp20540 +a(g18 +VCharIn +p20541 +tp20542 +a(g198 +V( +tp20543 +a(g57 +VTrim +p20544 +tp20545 +a(g198 +V( +tp20546 +a(g18 +VFileName +p20547 +tp20548 +a(g198 +V) +tp20549 +a(g339 +V, +tp20550 +a(g185 +V +tp20551 +a(g18 +VAllChars +p20552 +tp20553 +a(g185 +V +tp20554 +a(g339 +V- +tp20555 +a(g185 +V +tp20556 +a(g198 +V[ +tp20557 +a(g222 +V' +tp20558 +a(g222 +V. +tp20559 +a(g222 +V' +tp20560 +a(g198 +V]) +p20561 +tp20562 +a(g185 +V +tp20563 +a(g111 +Vthen +p20564 +tp20565 +a(g185 +V\u000a +p20566 +tp20567 +a(g60 +VResult +p20568 +tp20569 +a(g185 +V +tp20570 +a(g339 +V: +tp20571 +a(g339 +V= +tp20572 +a(g185 +V +tp20573 +a(g119 +VDefault +p20574 +tp20575 +a(g185 +V\u000a +p20576 +tp20577 +a(g111 +Velse +p20578 +tp20579 +a(g185 +V\u000a +p20580 +tp20581 +a(g60 +VResult +p20582 +tp20583 +a(g185 +V +tp20584 +a(g339 +V: +tp20585 +a(g339 +V= +tp20586 +a(g185 +V +tp20587 +a(g18 +VFileName +p20588 +tp20589 +a(g339 +V; +tp20590 +a(g185 +V\u000a +tp20591 +a(g111 +Vend +p20592 +tp20593 +a(g339 +V; +tp20594 +a(g185 +V\u000a\u000a +p20595 +tp20596 +a(g111 +Vfunction +p20597 +tp20598 +a(g185 +V +tp20599 +a(g21 +VIsValidInteger +p20600 +tp20601 +a(g198 +V( +tp20602 +a(g111 +Vconst +p20603 +tp20604 +a(g185 +V +tp20605 +a(g18 +VS +tp20606 +a(g339 +V: +tp20607 +a(g185 +V +tp20608 +a(g111 +Vstring +p20609 +tp20610 +a(g198 +V) +tp20611 +a(g339 +V: +tp20612 +a(g185 +V +tp20613 +a(g135 +VBoolean +p20614 +tp20615 +a(g339 +V; +tp20616 +a(g185 +V\u000a +tp20617 +a(g354 +V{const\u000a LowInt = '2147483648';\u000a HighInt = '2147483647';\u000avar\u000a len, RealLen, i, o: Integer;\u000a c: Char;\u000abegin\u000a Result := False;\u000a if S = '' then\u000a Exit;\u000a\u000a len := Length(S);\u000a o := 1;\u000a \u000a if S[1] = '-' then begin\u000a if len = 1 then\u000a Exit;\u000a Inc(o);\u000a while (o <= len) and (S[o] = '0') do\u000a Inc(o);\u000a if o > len then\u000a Exit;\u000a if o < len then begin\u000a RealLen := len - o + 1;\u000a if RealLen > Length(LowInt) then\u000a Exit\u000a else if RealLen = Length(LowInt) then begin\u000a for i := 1 to Length(LowInt) do begin\u000a c := S[i + o - 1];\u000a if (c < '0') or (c > LowInt[i]) then\u000a Exit;\u000a if c in ['0'..Char((Byte(LowInt[i])-1))] then\u000a Break;\u000a end;\u000a Inc(o, i);\u000a end;\u000a end;\u000a end else begin\u000a while (o <= len) and (S[o] = '0') do\u000a Inc(o);\u000a if o <= len then begin\u000a RealLen := len - o + 1;\u000a if RealLen > Length(HighInt) then\u000a Exit\u000a else if RealLen = Length(HighInt) then begin\u000a for i := 1 to Length(HighInt) do begin\u000a c := S[i + o - 1];\u000a if (c < '0') or (c > HighInt[i]) then\u000a Exit;\u000a if c in ['0'..Char((Byte(HighInt[i])-1))] then\u000a Break;\u000a end;\u000a Inc(o, i);\u000a end;\u000a end;\u000a end;\u000a\u000a for i := o to len do\u000a if not (S[i] in ['0'..'9']) then\u000a Exit;\u000a\u000a Result := True; } +p20618 +tp20619 +a(g185 +V\u000a +tp20620 +a(g111 +Vvar +p20621 +tp20622 +a(g185 +V\u000a +p20623 +tp20624 +a(g18 +Vi +tp20625 +a(g339 +V: +tp20626 +a(g185 +V +tp20627 +a(g135 +VInt64 +p20628 +tp20629 +a(g339 +V; +tp20630 +a(g185 +V\u000a +tp20631 +a(g111 +Vbegin +p20632 +tp20633 +a(g185 +V\u000a +p20634 +tp20635 +a(g18 +Vi +tp20636 +a(g185 +V +tp20637 +a(g339 +V: +tp20638 +a(g339 +V= +tp20639 +a(g185 +V +tp20640 +a(g57 +VStrToInt64Def +p20641 +tp20642 +a(g198 +V( +tp20643 +a(g18 +VS +tp20644 +a(g339 +V, +tp20645 +a(g185 +V +tp20646 +a(g57 +VHigh +p20647 +tp20648 +a(g198 +V( +tp20649 +a(g135 +VInt64 +p20650 +tp20651 +a(g198 +V)) +p20652 +tp20653 +a(g339 +V; +tp20654 +a(g185 +V\u000a +p20655 +tp20656 +a(g60 +VResult +p20657 +tp20658 +a(g185 +V +tp20659 +a(g339 +V: +tp20660 +a(g339 +V= +tp20661 +a(g185 +V +tp20662 +a(g198 +V( +tp20663 +a(g18 +Vi +tp20664 +a(g185 +V +tp20665 +a(g339 +V> +tp20666 +a(g339 +V= +tp20667 +a(g185 +V +tp20668 +a(g57 +VLow +p20669 +tp20670 +a(g198 +V( +tp20671 +a(g135 +VInteger +p20672 +tp20673 +a(g198 +V)) +p20674 +tp20675 +a(g185 +V +tp20676 +a(g111 +Vand +p20677 +tp20678 +a(g185 +V +tp20679 +a(g198 +V( +tp20680 +a(g18 +Vi +tp20681 +a(g185 +V +tp20682 +a(g339 +V< +tp20683 +a(g339 +V= +tp20684 +a(g185 +V +tp20685 +a(g57 +VHigh +p20686 +tp20687 +a(g198 +V( +tp20688 +a(g135 +VInteger +p20689 +tp20690 +a(g198 +V)) +p20691 +tp20692 +a(g339 +V; +tp20693 +a(g185 +V\u000a +tp20694 +a(g111 +Vend +p20695 +tp20696 +a(g339 +V; +tp20697 +a(g185 +V\u000a\u000a +p20698 +tp20699 +a(g111 +Vfunction +p20700 +tp20701 +a(g185 +V +tp20702 +a(g21 +VIsValidCardinal +p20703 +tp20704 +a(g198 +V( +tp20705 +a(g111 +Vconst +p20706 +tp20707 +a(g185 +V +tp20708 +a(g18 +VS +tp20709 +a(g339 +V: +tp20710 +a(g185 +V +tp20711 +a(g111 +Vstring +p20712 +tp20713 +a(g198 +V) +tp20714 +a(g339 +V: +tp20715 +a(g185 +V +tp20716 +a(g135 +VBoolean +p20717 +tp20718 +a(g339 +V; +tp20719 +a(g185 +V\u000a +tp20720 +a(g354 +V{const\u000a HighCard = '4294967295';\u000avar\u000a len, RealLen, i, o: Integer;\u000abegin\u000a Result := False;\u000a if S = '' then\u000a Exit;\u000a\u000a len := Length(S);\u000a o := 1;\u000a \u000a while (o <= len) and (S[o] = '0') do\u000a Inc(o);\u000a if o <= len then begin\u000a RealLen := len - o + 1;\u000a if RealLen > Length(HighCard) then\u000a Exit\u000a else if RealLen = Length(HighCard) then begin\u000a for i := 1 to Length(HighCard) do begin\u000a if S[i + o - 1] > HighCard[i] then\u000a Exit;\u000a if S[i + o - 1] in ['0'..Char((Byte(HighCard[i])-1))] then\u000a Break;\u000a end;\u000a Inc(o, i);\u000a end;\u000a end;\u000a\u000a for i := o to len do\u000a if not (S[i] in ['0'..'9']) then\u000a Exit;\u000a\u000a Result := True; } +p20721 +tp20722 +a(g185 +V\u000a +tp20723 +a(g111 +Vvar +p20724 +tp20725 +a(g185 +V\u000a +p20726 +tp20727 +a(g18 +Vi +tp20728 +a(g339 +V: +tp20729 +a(g185 +V +tp20730 +a(g135 +VInt64 +p20731 +tp20732 +a(g339 +V; +tp20733 +a(g185 +V\u000a +tp20734 +a(g111 +Vbegin +p20735 +tp20736 +a(g185 +V\u000a +p20737 +tp20738 +a(g18 +Vi +tp20739 +a(g185 +V +tp20740 +a(g339 +V: +tp20741 +a(g339 +V= +tp20742 +a(g185 +V +tp20743 +a(g57 +VStrToInt64Def +p20744 +tp20745 +a(g198 +V( +tp20746 +a(g18 +VS +tp20747 +a(g339 +V, +tp20748 +a(g185 +V +tp20749 +a(g339 +V- +tp20750 +a(g314 +V1 +tp20751 +a(g198 +V) +tp20752 +a(g339 +V; +tp20753 +a(g185 +V\u000a +p20754 +tp20755 +a(g60 +VResult +p20756 +tp20757 +a(g185 +V +tp20758 +a(g339 +V: +tp20759 +a(g339 +V= +tp20760 +a(g185 +V +tp20761 +a(g198 +V( +tp20762 +a(g18 +Vi +tp20763 +a(g185 +V +tp20764 +a(g339 +V> +tp20765 +a(g339 +V= +tp20766 +a(g185 +V +tp20767 +a(g314 +V0 +tp20768 +a(g198 +V) +tp20769 +a(g185 +V +tp20770 +a(g111 +Vand +p20771 +tp20772 +a(g185 +V +tp20773 +a(g198 +V( +tp20774 +a(g18 +Vi +tp20775 +a(g185 +V +tp20776 +a(g339 +V< +tp20777 +a(g339 +V= +tp20778 +a(g185 +V +tp20779 +a(g57 +VHigh +p20780 +tp20781 +a(g198 +V( +tp20782 +a(g135 +VCardinal +p20783 +tp20784 +a(g198 +V)) +p20785 +tp20786 +a(g339 +V; +tp20787 +a(g185 +V\u000a +tp20788 +a(g111 +Vend +p20789 +tp20790 +a(g339 +V; +tp20791 +a(g185 +V\u000a\u000a +p20792 +tp20793 +a(g111 +Vfunction +p20794 +tp20795 +a(g185 +V +tp20796 +a(g21 +VStrOfBool +p20797 +tp20798 +a(g198 +V( +tp20799 +a(g18 +Vflag +p20800 +tp20801 +a(g339 +V: +tp20802 +a(g185 +V +tp20803 +a(g135 +VBoolean +p20804 +tp20805 +a(g339 +V; +tp20806 +a(g185 +V +tp20807 +a(g111 +Vconst +p20808 +tp20809 +a(g185 +V +tp20810 +a(g18 +VTrueStr +p20811 +tp20812 +a(g339 +V: +tp20813 +a(g185 +V +tp20814 +a(g111 +Vstring +p20815 +tp20816 +a(g185 +V +tp20817 +a(g339 +V= +tp20818 +a(g185 +V +tp20819 +a(g222 +V' +tp20820 +a(g222 +VTrue +p20821 +tp20822 +a(g222 +V' +tp20823 +a(g339 +V; +tp20824 +a(g185 +V\u000a +p20825 +tp20826 +a(g111 +Vconst +p20827 +tp20828 +a(g185 +V +tp20829 +a(g18 +VFalseStr +p20830 +tp20831 +a(g339 +V: +tp20832 +a(g185 +V +tp20833 +a(g111 +Vstring +p20834 +tp20835 +a(g185 +V +tp20836 +a(g339 +V= +tp20837 +a(g185 +V +tp20838 +a(g222 +V' +tp20839 +a(g222 +VFalse +p20840 +tp20841 +a(g222 +V' +tp20842 +a(g198 +V) +tp20843 +a(g339 +V: +tp20844 +a(g185 +V +tp20845 +a(g111 +Vstring +p20846 +tp20847 +a(g339 +V; +tp20848 +a(g185 +V\u000a +tp20849 +a(g111 +Vbegin +p20850 +tp20851 +a(g185 +V\u000a +p20852 +tp20853 +a(g111 +Vif +p20854 +tp20855 +a(g185 +V +tp20856 +a(g18 +VFlag +p20857 +tp20858 +a(g185 +V +tp20859 +a(g111 +Vthen +p20860 +tp20861 +a(g185 +V\u000a +p20862 +tp20863 +a(g60 +VResult +p20864 +tp20865 +a(g185 +V +tp20866 +a(g339 +V: +tp20867 +a(g339 +V= +tp20868 +a(g185 +V +tp20869 +a(g18 +VTrueStr +p20870 +tp20871 +a(g185 +V\u000a +p20872 +tp20873 +a(g111 +Velse +p20874 +tp20875 +a(g185 +V\u000a +p20876 +tp20877 +a(g60 +VResult +p20878 +tp20879 +a(g185 +V +tp20880 +a(g339 +V: +tp20881 +a(g339 +V= +tp20882 +a(g185 +V +tp20883 +a(g18 +VFalseStr +p20884 +tp20885 +a(g339 +V; +tp20886 +a(g185 +V\u000a +tp20887 +a(g111 +Vend +p20888 +tp20889 +a(g339 +V; +tp20890 +a(g185 +V\u000a\u000a +p20891 +tp20892 +a(g111 +Vfunction +p20893 +tp20894 +a(g185 +V +tp20895 +a(g21 +VStrOfInt +p20896 +tp20897 +a(g198 +V( +tp20898 +a(g18 +Vi +tp20899 +a(g339 +V: +tp20900 +a(g185 +V +tp20901 +a(g135 +VInteger +p20902 +tp20903 +a(g198 +V) +tp20904 +a(g339 +V: +tp20905 +a(g185 +V +tp20906 +a(g111 +Vstring +p20907 +tp20908 +a(g339 +V; +tp20909 +a(g185 +V\u000a +tp20910 +a(g111 +Vbegin +p20911 +tp20912 +a(g185 +V\u000a +tp20913 +a(g354 +V{ if i = 0 then begin\u000a Result := '0';\u000a Exit end;\u000a\u000a while i > 0 do begin\u000a Result := Char(Byte('0') + (i mod 10)) + Result;\u000a i := i div 10;\u000a end;} +p20914 +tp20915 +a(g185 +V\u000a +p20916 +tp20917 +a(g60 +VResult +p20918 +tp20919 +a(g185 +V +tp20920 +a(g339 +V: +tp20921 +a(g339 +V= +tp20922 +a(g185 +V +tp20923 +a(g57 +VIntToStr +p20924 +tp20925 +a(g198 +V( +tp20926 +a(g18 +Vi +tp20927 +a(g198 +V) +tp20928 +a(g339 +V; +tp20929 +a(g185 +V\u000a +tp20930 +a(g111 +Vend +p20931 +tp20932 +a(g339 +V; +tp20933 +a(g185 +V\u000a\u000a +p20934 +tp20935 +a(g111 +Vfunction +p20936 +tp20937 +a(g185 +V +tp20938 +a(g21 +VCardOfStr +p20939 +tp20940 +a(g198 +V( +tp20941 +a(g111 +Vconst +p20942 +tp20943 +a(g185 +V +tp20944 +a(g18 +VS +tp20945 +a(g339 +V: +tp20946 +a(g185 +V +tp20947 +a(g111 +Vstring +p20948 +tp20949 +a(g198 +V) +tp20950 +a(g339 +V: +tp20951 +a(g185 +V +tp20952 +a(g135 +VCardinal +p20953 +tp20954 +a(g339 +V; +tp20955 +a(g185 +V\u000a +tp20956 +a(g111 +Vvar +p20957 +tp20958 +a(g185 +V\u000a +p20959 +tp20960 +a(g18 +VRes +p20961 +tp20962 +a(g339 +V: +tp20963 +a(g185 +V +tp20964 +a(g135 +VInt64 +p20965 +tp20966 +a(g339 +V; +tp20967 +a(g185 +V\u000a +tp20968 +a(g111 +Vbegin +p20969 +tp20970 +a(g185 +V\u000a +p20971 +tp20972 +a(g18 +VRes +p20973 +tp20974 +a(g185 +V +tp20975 +a(g339 +V: +tp20976 +a(g339 +V= +tp20977 +a(g185 +V +tp20978 +a(g57 +VStrToInt64Def +p20979 +tp20980 +a(g198 +V( +tp20981 +a(g18 +VS +tp20982 +a(g339 +V, +tp20983 +a(g185 +V +tp20984 +a(g339 +V- +tp20985 +a(g314 +V1 +tp20986 +a(g198 +V) +tp20987 +a(g339 +V; +tp20988 +a(g185 +V\u000a +p20989 +tp20990 +a(g111 +Vif +p20991 +tp20992 +a(g185 +V +tp20993 +a(g18 +VRes +p20994 +tp20995 +a(g185 +V +tp20996 +a(g339 +V> +tp20997 +a(g185 +V +tp20998 +a(g57 +VHigh +p20999 +tp21000 +a(g198 +V( +tp21001 +a(g135 +VCardinal +p21002 +tp21003 +a(g198 +V) +tp21004 +a(g185 +V +tp21005 +a(g111 +Vthen +p21006 +tp21007 +a(g185 +V\u000a +p21008 +tp21009 +a(g18 +VRes +p21010 +tp21011 +a(g185 +V +tp21012 +a(g339 +V: +tp21013 +a(g339 +V= +tp21014 +a(g185 +V +tp21015 +a(g57 +VHigh +p21016 +tp21017 +a(g198 +V( +tp21018 +a(g135 +VCardinal +p21019 +tp21020 +a(g198 +V) +tp21021 +a(g185 +V\u000a +p21022 +tp21023 +a(g111 +Velse +p21024 +tp21025 +a(g185 +V +tp21026 +a(g111 +Vif +p21027 +tp21028 +a(g185 +V +tp21029 +a(g18 +VRes +p21030 +tp21031 +a(g185 +V +tp21032 +a(g339 +V< +tp21033 +a(g185 +V +tp21034 +a(g314 +V0 +tp21035 +a(g185 +V +tp21036 +a(g111 +Vthen +p21037 +tp21038 +a(g185 +V\u000a +p21039 +tp21040 +a(g18 +VRes +p21041 +tp21042 +a(g185 +V +tp21043 +a(g339 +V: +tp21044 +a(g339 +V= +tp21045 +a(g185 +V +tp21046 +a(g314 +V0 +tp21047 +a(g339 +V; +tp21048 +a(g185 +V\u000a +p21049 +tp21050 +a(g60 +VResult +p21051 +tp21052 +a(g185 +V +tp21053 +a(g339 +V: +tp21054 +a(g339 +V= +tp21055 +a(g185 +V +tp21056 +a(g135 +VCardinal +p21057 +tp21058 +a(g198 +V( +tp21059 +a(g18 +VRes +p21060 +tp21061 +a(g198 +V) +tp21062 +a(g339 +V; +tp21063 +a(g185 +V\u000a +tp21064 +a(g111 +Vend +p21065 +tp21066 +a(g339 +V; +tp21067 +a(g185 +V\u000a\u000a +p21068 +tp21069 +a(g111 +Vfunction +p21070 +tp21071 +a(g185 +V +tp21072 +a(g21 +VHexOrd +p21073 +tp21074 +a(g198 +V( +tp21075 +a(g18 +VHex +p21076 +tp21077 +a(g339 +V: +tp21078 +a(g185 +V +tp21079 +a(g135 +VChar +p21080 +tp21081 +a(g198 +V) +tp21082 +a(g339 +V: +tp21083 +a(g185 +V +tp21084 +a(g18 +VTHex +p21085 +tp21086 +a(g339 +V; +tp21087 +a(g185 +V\u000a +tp21088 +a(g111 +Vbegin +p21089 +tp21090 +a(g185 +V\u000a +p21091 +tp21092 +a(g111 +Vcase +p21093 +tp21094 +a(g185 +V +tp21095 +a(g18 +VHex +p21096 +tp21097 +a(g185 +V +tp21098 +a(g111 +Vof +p21099 +tp21100 +a(g185 +V\u000a +p21101 +tp21102 +a(g222 +V' +tp21103 +a(g222 +V0 +tp21104 +a(g222 +V' +tp21105 +a(g339 +V. +tp21106 +a(g339 +V. +tp21107 +a(g222 +V' +tp21108 +a(g222 +V9 +tp21109 +a(g222 +V' +tp21110 +a(g339 +V: +tp21111 +a(g185 +V\u000a +p21112 +tp21113 +a(g60 +VResult +p21114 +tp21115 +a(g185 +V +tp21116 +a(g339 +V: +tp21117 +a(g339 +V= +tp21118 +a(g185 +V +tp21119 +a(g135 +VByte +p21120 +tp21121 +a(g198 +V( +tp21122 +a(g18 +VHex +p21123 +tp21124 +a(g198 +V) +tp21125 +a(g185 +V +tp21126 +a(g339 +V- +tp21127 +a(g185 +V +tp21128 +a(g314 +V48 +p21129 +tp21130 +a(g339 +V; +tp21131 +a(g185 +V\u000a +p21132 +tp21133 +a(g222 +V' +tp21134 +a(g222 +VA +tp21135 +a(g222 +V' +tp21136 +a(g339 +V. +tp21137 +a(g339 +V. +tp21138 +a(g222 +V' +tp21139 +a(g222 +VF +tp21140 +a(g222 +V' +tp21141 +a(g339 +V: +tp21142 +a(g185 +V\u000a +p21143 +tp21144 +a(g60 +VResult +p21145 +tp21146 +a(g185 +V +tp21147 +a(g339 +V: +tp21148 +a(g339 +V= +tp21149 +a(g185 +V +tp21150 +a(g135 +VByte +p21151 +tp21152 +a(g198 +V( +tp21153 +a(g18 +VHex +p21154 +tp21155 +a(g198 +V) +tp21156 +a(g185 +V +tp21157 +a(g339 +V- +tp21158 +a(g185 +V +tp21159 +a(g314 +V55 +p21160 +tp21161 +a(g339 +V; +tp21162 +a(g185 +V\u000a +p21163 +tp21164 +a(g222 +V' +tp21165 +a(g222 +Va +tp21166 +a(g222 +V' +tp21167 +a(g339 +V. +tp21168 +a(g339 +V. +tp21169 +a(g222 +V' +tp21170 +a(g222 +Vf +tp21171 +a(g222 +V' +tp21172 +a(g339 +V: +tp21173 +a(g185 +V\u000a +p21174 +tp21175 +a(g60 +VResult +p21176 +tp21177 +a(g185 +V +tp21178 +a(g339 +V: +tp21179 +a(g339 +V= +tp21180 +a(g185 +V +tp21181 +a(g135 +VByte +p21182 +tp21183 +a(g198 +V( +tp21184 +a(g18 +VHex +p21185 +tp21186 +a(g198 +V) +tp21187 +a(g185 +V +tp21188 +a(g339 +V- +tp21189 +a(g185 +V +tp21190 +a(g314 +V87 +p21191 +tp21192 +a(g339 +V; +tp21193 +a(g185 +V\u000a +p21194 +tp21195 +a(g111 +Velse +p21196 +tp21197 +a(g185 +V\u000a +p21198 +tp21199 +a(g60 +VResult +p21200 +tp21201 +a(g185 +V +tp21202 +a(g339 +V: +tp21203 +a(g339 +V= +tp21204 +a(g185 +V +tp21205 +a(g314 +V0 +tp21206 +a(g339 +V; +tp21207 +a(g185 +V\u000a +p21208 +tp21209 +a(g111 +Vend +p21210 +tp21211 +a(g339 +V; +tp21212 +a(g185 +V\u000a +tp21213 +a(g111 +Vend +p21214 +tp21215 +a(g339 +V; +tp21216 +a(g185 +V\u000a\u000a +p21217 +tp21218 +a(g111 +Vfunction +p21219 +tp21220 +a(g185 +V +tp21221 +a(g21 +VByteOfHex +p21222 +tp21223 +a(g198 +V( +tp21224 +a(g18 +VHex +p21225 +tp21226 +a(g339 +V: +tp21227 +a(g185 +V +tp21228 +a(g18 +VTHexByteStr +p21229 +tp21230 +a(g198 +V) +tp21231 +a(g339 +V: +tp21232 +a(g185 +V +tp21233 +a(g135 +VByte +p21234 +tp21235 +a(g339 +V; +tp21236 +a(g185 +V\u000a +tp21237 +a(g111 +Vbegin +p21238 +tp21239 +a(g185 +V\u000a +p21240 +tp21241 +a(g60 +VResult +p21242 +tp21243 +a(g185 +V +tp21244 +a(g339 +V: +tp21245 +a(g339 +V= +tp21246 +a(g185 +V +tp21247 +a(g198 +V( +tp21248 +a(g18 +VHexOrd +p21249 +tp21250 +a(g198 +V( +tp21251 +a(g18 +VHex +p21252 +tp21253 +a(g198 +V[ +tp21254 +a(g314 +V1 +tp21255 +a(g198 +V]) +p21256 +tp21257 +a(g185 +V +tp21258 +a(g111 +Vshl +p21259 +tp21260 +a(g185 +V +tp21261 +a(g314 +V4 +tp21262 +a(g198 +V) +tp21263 +a(g185 +V +tp21264 +a(g339 +V+ +tp21265 +a(g185 +V +tp21266 +a(g18 +VHexOrd +p21267 +tp21268 +a(g198 +V( +tp21269 +a(g18 +VHex +p21270 +tp21271 +a(g198 +V[ +tp21272 +a(g314 +V2 +tp21273 +a(g198 +V]) +p21274 +tp21275 +a(g339 +V; +tp21276 +a(g185 +V\u000a +tp21277 +a(g111 +Vend +p21278 +tp21279 +a(g339 +V; +tp21280 +a(g185 +V\u000a\u000a +p21281 +tp21282 +a(g111 +Vfunction +p21283 +tp21284 +a(g185 +V +tp21285 +a(g21 +VDecOfHex +p21286 +tp21287 +a(g198 +V( +tp21288 +a(g111 +Vconst +p21289 +tp21290 +a(g185 +V +tp21291 +a(g18 +VHex +p21292 +tp21293 +a(g339 +V: +tp21294 +a(g185 +V +tp21295 +a(g111 +Vstring +p21296 +tp21297 +a(g198 +V) +tp21298 +a(g339 +V: +tp21299 +a(g185 +V +tp21300 +a(g111 +Vstring +p21301 +tp21302 +a(g339 +V; +tp21303 +a(g185 +V\u000a +tp21304 +a(g111 +Vbegin +p21305 +tp21306 +a(g185 +V\u000a +p21307 +tp21308 +a(g60 +VResult +p21309 +tp21310 +a(g185 +V +tp21311 +a(g339 +V: +tp21312 +a(g339 +V= +tp21313 +a(g185 +V +tp21314 +a(g57 +VIntToStr +p21315 +tp21316 +a(g198 +V( +tp21317 +a(g18 +VCardOfHex +p21318 +tp21319 +a(g198 +V( +tp21320 +a(g18 +VHex +p21321 +tp21322 +a(g198 +V)) +p21323 +tp21324 +a(g339 +V; +tp21325 +a(g185 +V\u000a +tp21326 +a(g111 +Vend +p21327 +tp21328 +a(g339 +V; +tp21329 +a(g185 +V\u000a\u000a +p21330 +tp21331 +a(g111 +Vfunction +p21332 +tp21333 +a(g185 +V +tp21334 +a(g21 +VHexOfByte +p21335 +tp21336 +a(g198 +V( +tp21337 +a(g18 +Vb +tp21338 +a(g339 +V: +tp21339 +a(g185 +V +tp21340 +a(g135 +VByte +p21341 +tp21342 +a(g198 +V) +tp21343 +a(g339 +V: +tp21344 +a(g185 +V +tp21345 +a(g18 +VTHexByteStr +p21346 +tp21347 +a(g339 +V; +tp21348 +a(g185 +V\u000a +tp21349 +a(g111 +Vbegin +p21350 +tp21351 +a(g185 +V\u000a +p21352 +tp21353 +a(g60 +VResult +p21354 +tp21355 +a(g185 +V +tp21356 +a(g339 +V: +tp21357 +a(g339 +V= +tp21358 +a(g185 +V +tp21359 +a(g18 +VHexChar +p21360 +tp21361 +a(g198 +V[( +p21362 +tp21363 +a(g18 +Vb +tp21364 +a(g185 +V +tp21365 +a(g111 +Vand +p21366 +tp21367 +a(g185 +V +tp21368 +a(g310 +V$F0 +p21369 +tp21370 +a(g198 +V) +tp21371 +a(g185 +V +tp21372 +a(g111 +Vshr +p21373 +tp21374 +a(g185 +V +tp21375 +a(g314 +V4 +tp21376 +a(g198 +V] +tp21377 +a(g185 +V\u000a +p21378 +tp21379 +a(g339 +V+ +tp21380 +a(g185 +V +tp21381 +a(g18 +VHexChar +p21382 +tp21383 +a(g198 +V[ +tp21384 +a(g185 +V +tp21385 +a(g18 +Vb +tp21386 +a(g185 +V +tp21387 +a(g111 +Vand +p21388 +tp21389 +a(g185 +V +tp21390 +a(g310 +V$0F +p21391 +tp21392 +a(g185 +V +p21393 +tp21394 +a(g198 +V] +tp21395 +a(g339 +V; +tp21396 +a(g185 +V\u000a +tp21397 +a(g111 +Vend +p21398 +tp21399 +a(g339 +V; +tp21400 +a(g185 +V\u000a\u000a +p21401 +tp21402 +a(g354 +V{function HexOfCard2(c: Cardinal): string;\u000avar\u000a Data: array[0..(1 shl 4) - 1] of Char;\u000a i: Integer;\u000abegin\u000a for i := 0 to (1 shl 4) - 1 do\u000a if i < 10 then\u000a Data[i] := Char(Ord('0') + i)\u000a else\u000a Data[i] := Char(Ord('A') + i - 10);\u000a\u000a Result := Data[(c and (((1 shl (1 shl 2)) - 1) shl (7 shl 2))) shr (7 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (6 shl 2))) shr (6 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (5 shl 2))) shr (5 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (4 shl 2))) shr (4 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (3 shl 2))) shr (3 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (2 shl 2))) shr (2 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (1 shl 2))) shr (1 shl 2)]\u000a + Data[(c and (((1 shl (1 shl 2)) - 1) shl (0 shl 2))) shr (0 shl 2)];\u000aend; } +p21403 +tp21404 +a(g185 +V\u000a\u000a +p21405 +tp21406 +a(g111 +Vfunction +p21407 +tp21408 +a(g185 +V +tp21409 +a(g21 +VHexOfCard +p21410 +tp21411 +a(g198 +V( +tp21412 +a(g18 +Vi +tp21413 +a(g339 +V: +tp21414 +a(g185 +V +tp21415 +a(g135 +VCardinal +p21416 +tp21417 +a(g198 +V) +tp21418 +a(g339 +V: +tp21419 +a(g185 +V +tp21420 +a(g111 +Vstring +p21421 +tp21422 +a(g339 +V; +tp21423 +a(g185 +V\u000a +tp21424 +a(g111 +Vvar +p21425 +tp21426 +a(g185 +V\u000a +p21427 +tp21428 +a(g18 +Va +tp21429 +a(g339 +V: +tp21430 +a(g185 +V +tp21431 +a(g135 +VCardinal +p21432 +tp21433 +a(g339 +V; +tp21434 +a(g185 +V\u000a +tp21435 +a(g111 +Vbegin +p21436 +tp21437 +a(g185 +V\u000a +p21438 +tp21439 +a(g60 +VResult +p21440 +tp21441 +a(g185 +V +tp21442 +a(g339 +V: +tp21443 +a(g339 +V= +tp21444 +a(g185 +V +tp21445 +a(g222 +V' +tp21446 +a(g222 +V' +tp21447 +a(g339 +V; +tp21448 +a(g185 +V\u000a +p21449 +tp21450 +a(g111 +Vwhile +p21451 +tp21452 +a(g185 +V +tp21453 +a(g18 +Vi +tp21454 +a(g185 +V +tp21455 +a(g339 +V> +tp21456 +a(g185 +V +tp21457 +a(g314 +V0 +tp21458 +a(g185 +V +tp21459 +a(g111 +Vdo +p21460 +tp21461 +a(g185 +V +tp21462 +a(g111 +Vbegin +p21463 +tp21464 +a(g185 +V\u000a +p21465 +tp21466 +a(g18 +Va +tp21467 +a(g185 +V +tp21468 +a(g339 +V: +tp21469 +a(g339 +V= +tp21470 +a(g185 +V +tp21471 +a(g18 +Vi +tp21472 +a(g185 +V +tp21473 +a(g111 +Vand +p21474 +tp21475 +a(g185 +V +tp21476 +a(g310 +V$F +p21477 +tp21478 +a(g339 +V; +tp21479 +a(g185 +V\u000a +p21480 +tp21481 +a(g60 +VResult +p21482 +tp21483 +a(g185 +V +tp21484 +a(g339 +V: +tp21485 +a(g339 +V= +tp21486 +a(g185 +V +tp21487 +a(g18 +VHexChar +p21488 +tp21489 +a(g198 +V[ +tp21490 +a(g18 +Va +tp21491 +a(g198 +V] +tp21492 +a(g185 +V +tp21493 +a(g339 +V+ +tp21494 +a(g185 +V +tp21495 +a(g60 +VResult +p21496 +tp21497 +a(g339 +V; +tp21498 +a(g185 +V\u000a +p21499 +tp21500 +a(g18 +Vi +tp21501 +a(g185 +V +tp21502 +a(g339 +V: +tp21503 +a(g339 +V= +tp21504 +a(g185 +V +tp21505 +a(g18 +Vi +tp21506 +a(g185 +V +tp21507 +a(g111 +Vshr +p21508 +tp21509 +a(g185 +V +tp21510 +a(g314 +V4 +tp21511 +a(g339 +V; +tp21512 +a(g185 +V\u000a +p21513 +tp21514 +a(g111 +Vend +p21515 +tp21516 +a(g339 +V; +tp21517 +a(g185 +V\u000a +tp21518 +a(g111 +Vend +p21519 +tp21520 +a(g339 +V; +tp21521 +a(g185 +V\u000a\u000a +p21522 +tp21523 +a(g111 +Vfunction +p21524 +tp21525 +a(g185 +V +tp21526 +a(g21 +VHexOfCard +p21527 +tp21528 +a(g198 +V( +tp21529 +a(g18 +Vi +tp21530 +a(g339 +V: +tp21531 +a(g185 +V +tp21532 +a(g135 +VCardinal +p21533 +tp21534 +a(g339 +V; +tp21535 +a(g185 +V +tp21536 +a(g18 +VDigits +p21537 +tp21538 +a(g339 +V: +tp21539 +a(g185 +V +tp21540 +a(g135 +VInteger +p21541 +tp21542 +a(g198 +V) +tp21543 +a(g339 +V: +tp21544 +a(g185 +V +tp21545 +a(g111 +Vstring +p21546 +tp21547 +a(g339 +V; +tp21548 +a(g185 +V\u000a +tp21549 +a(g111 +Vvar +p21550 +tp21551 +a(g185 +V\u000a +p21552 +tp21553 +a(g18 +Va +tp21554 +a(g339 +V: +tp21555 +a(g185 +V +tp21556 +a(g135 +VCardinal +p21557 +tp21558 +a(g339 +V; +tp21559 +a(g185 +V\u000a +tp21560 +a(g111 +Vbegin +p21561 +tp21562 +a(g185 +V\u000a +p21563 +tp21564 +a(g60 +VResult +p21565 +tp21566 +a(g185 +V +tp21567 +a(g339 +V: +tp21568 +a(g339 +V= +tp21569 +a(g185 +V +tp21570 +a(g222 +V' +tp21571 +a(g222 +V' +tp21572 +a(g339 +V; +tp21573 +a(g185 +V\u000a +p21574 +tp21575 +a(g111 +Vwhile +p21576 +tp21577 +a(g185 +V +tp21578 +a(g18 +Vi +tp21579 +a(g185 +V +tp21580 +a(g339 +V> +tp21581 +a(g185 +V +tp21582 +a(g314 +V0 +tp21583 +a(g185 +V +tp21584 +a(g111 +Vdo +p21585 +tp21586 +a(g185 +V +tp21587 +a(g111 +Vbegin +p21588 +tp21589 +a(g185 +V\u000a +p21590 +tp21591 +a(g18 +Va +tp21592 +a(g185 +V +tp21593 +a(g339 +V: +tp21594 +a(g339 +V= +tp21595 +a(g185 +V +tp21596 +a(g18 +Vi +tp21597 +a(g185 +V +tp21598 +a(g111 +Vand +p21599 +tp21600 +a(g185 +V +tp21601 +a(g310 +V$F +p21602 +tp21603 +a(g339 +V; +tp21604 +a(g185 +V\u000a +p21605 +tp21606 +a(g60 +VResult +p21607 +tp21608 +a(g185 +V +tp21609 +a(g339 +V: +tp21610 +a(g339 +V= +tp21611 +a(g185 +V +tp21612 +a(g18 +VHexChar +p21613 +tp21614 +a(g198 +V[ +tp21615 +a(g18 +Va +tp21616 +a(g198 +V] +tp21617 +a(g185 +V +tp21618 +a(g339 +V+ +tp21619 +a(g185 +V +tp21620 +a(g60 +VResult +p21621 +tp21622 +a(g339 +V; +tp21623 +a(g185 +V\u000a +p21624 +tp21625 +a(g18 +Vi +tp21626 +a(g185 +V +tp21627 +a(g339 +V: +tp21628 +a(g339 +V= +tp21629 +a(g185 +V +tp21630 +a(g18 +Vi +tp21631 +a(g185 +V +tp21632 +a(g111 +Vshr +p21633 +tp21634 +a(g185 +V +tp21635 +a(g314 +V4 +tp21636 +a(g339 +V; +tp21637 +a(g185 +V\u000a +p21638 +tp21639 +a(g111 +Vend +p21640 +tp21641 +a(g339 +V; +tp21642 +a(g185 +V\u000a +p21643 +tp21644 +a(g60 +VResult +p21645 +tp21646 +a(g185 +V +tp21647 +a(g339 +V: +tp21648 +a(g339 +V= +tp21649 +a(g185 +V +tp21650 +a(g18 +VMulStr +p21651 +tp21652 +a(g198 +V( +tp21653 +a(g222 +V' +tp21654 +a(g222 +V0 +tp21655 +a(g222 +V' +tp21656 +a(g339 +V, +tp21657 +a(g185 +V +tp21658 +a(g18 +VDigits +p21659 +tp21660 +a(g185 +V +tp21661 +a(g339 +V- +tp21662 +a(g185 +V +tp21663 +a(g57 +VLength +p21664 +tp21665 +a(g198 +V( +tp21666 +a(g60 +VResult +p21667 +tp21668 +a(g198 +V)) +p21669 +tp21670 +a(g185 +V +tp21671 +a(g339 +V+ +tp21672 +a(g185 +V +tp21673 +a(g60 +VResult +p21674 +tp21675 +a(g339 +V; +tp21676 +a(g185 +V\u000a +tp21677 +a(g111 +Vend +p21678 +tp21679 +a(g339 +V; +tp21680 +a(g185 +V\u000a\u000a +p21681 +tp21682 +a(g111 +Vfunction +p21683 +tp21684 +a(g185 +V +tp21685 +a(g21 +VPascalHexArray +p21686 +tp21687 +a(g198 +V( +tp21688 +a(g18 +Va +tp21689 +a(g339 +V: +tp21690 +a(g185 +V +tp21691 +a(g18 +VTByteA +p21692 +tp21693 +a(g339 +V; +tp21694 +a(g185 +V +tp21695 +a(g119 +VName +p21696 +tp21697 +a(g339 +V: +tp21698 +a(g185 +V +tp21699 +a(g111 +Vstring +p21700 +tp21701 +a(g198 +V) +tp21702 +a(g339 +V: +tp21703 +a(g185 +V +tp21704 +a(g111 +Vstring +p21705 +tp21706 +a(g339 +V; +tp21707 +a(g185 +V\u000a +tp21708 +a(g111 +Vvar +p21709 +tp21710 +a(g185 +V\u000a +p21711 +tp21712 +a(g18 +Vi +tp21713 +a(g339 +V, +tp21714 +a(g185 +V +tp21715 +a(g18 +Vlen +p21716 +tp21717 +a(g339 +V: +tp21718 +a(g185 +V +tp21719 +a(g135 +VInteger +p21720 +tp21721 +a(g339 +V; +tp21722 +a(g185 +V\u000a +tp21723 +a(g111 +Vbegin +p21724 +tp21725 +a(g185 +V\u000a +p21726 +tp21727 +a(g60 +VResult +p21728 +tp21729 +a(g185 +V +tp21730 +a(g339 +V: +tp21731 +a(g339 +V= +tp21732 +a(g185 +V +tp21733 +a(g222 +V' +tp21734 +a(g222 +Vconst +p21735 +tp21736 +a(g222 +V' +tp21737 +a(g185 +V +tp21738 +a(g339 +V+ +tp21739 +a(g185 +V +tp21740 +a(g18 +VEOL +p21741 +tp21742 +a(g185 +V +tp21743 +a(g339 +V+ +tp21744 +a(g185 +V\u000a +p21745 +tp21746 +a(g222 +V' +tp21747 +a(g222 +V +p21748 +tp21749 +a(g222 +V' +tp21750 +a(g185 +V +tp21751 +a(g339 +V+ +tp21752 +a(g185 +V +tp21753 +a(g18 +VName +p21754 +tp21755 +a(g185 +V +tp21756 +a(g339 +V+ +tp21757 +a(g185 +V +tp21758 +a(g222 +V' +tp21759 +a(g222 +V: array[0.. +p21760 +tp21761 +a(g222 +V' +tp21762 +a(g185 +V +tp21763 +a(g339 +V+ +tp21764 +a(g185 +V +tp21765 +a(g57 +VIntToStr +p21766 +tp21767 +a(g198 +V( +tp21768 +a(g57 +VHigh +p21769 +tp21770 +a(g198 +V( +tp21771 +a(g18 +Va +tp21772 +a(g198 +V)) +p21773 +tp21774 +a(g185 +V +tp21775 +a(g339 +V+ +tp21776 +a(g185 +V +tp21777 +a(g222 +V' +tp21778 +a(g222 +V] of Byte = ( +p21779 +tp21780 +a(g222 +V' +tp21781 +a(g339 +V; +tp21782 +a(g185 +V\u000a\u000a +p21783 +tp21784 +a(g18 +Vlen +p21785 +tp21786 +a(g185 +V +tp21787 +a(g339 +V: +tp21788 +a(g339 +V= +tp21789 +a(g185 +V +tp21790 +a(g57 +VLength +p21791 +tp21792 +a(g198 +V( +tp21793 +a(g18 +Va +tp21794 +a(g198 +V) +tp21795 +a(g339 +V; +tp21796 +a(g185 +V\u000a +p21797 +tp21798 +a(g111 +Vfor +p21799 +tp21800 +a(g185 +V +tp21801 +a(g18 +Vi +tp21802 +a(g185 +V +tp21803 +a(g339 +V: +tp21804 +a(g339 +V= +tp21805 +a(g185 +V +tp21806 +a(g314 +V0 +tp21807 +a(g185 +V +tp21808 +a(g111 +Vto +p21809 +tp21810 +a(g185 +V +tp21811 +a(g18 +Vlen +p21812 +tp21813 +a(g339 +V- +tp21814 +a(g314 +V1 +tp21815 +a(g185 +V +tp21816 +a(g111 +Vdo +p21817 +tp21818 +a(g185 +V +tp21819 +a(g111 +Vbegin +p21820 +tp21821 +a(g185 +V\u000a +p21822 +tp21823 +a(g111 +Vif +p21824 +tp21825 +a(g185 +V +tp21826 +a(g198 +V( +tp21827 +a(g18 +Vi +tp21828 +a(g185 +V +tp21829 +a(g111 +Vmod +p21830 +tp21831 +a(g185 +V +tp21832 +a(g314 +V19 +p21833 +tp21834 +a(g198 +V) +tp21835 +a(g185 +V +tp21836 +a(g339 +V= +tp21837 +a(g185 +V +tp21838 +a(g314 +V0 +tp21839 +a(g185 +V +tp21840 +a(g111 +Vthen +p21841 +tp21842 +a(g185 +V\u000a +p21843 +tp21844 +a(g60 +VResult +p21845 +tp21846 +a(g185 +V +tp21847 +a(g339 +V: +tp21848 +a(g339 +V= +tp21849 +a(g185 +V +tp21850 +a(g60 +VResult +p21851 +tp21852 +a(g185 +V +tp21853 +a(g339 +V+ +tp21854 +a(g185 +V +tp21855 +a(g18 +VEOL +p21856 +tp21857 +a(g185 +V +tp21858 +a(g339 +V+ +tp21859 +a(g185 +V +tp21860 +a(g222 +V' +tp21861 +a(g222 +V +p21862 +tp21863 +a(g222 +V' +tp21864 +a(g185 +V +tp21865 +a(g339 +V+ +tp21866 +a(g185 +V +tp21867 +a(g222 +V' +tp21868 +a(g222 +V +p21869 +tp21870 +a(g222 +V' +tp21871 +a(g339 +V; +tp21872 +a(g185 +V\u000a +p21873 +tp21874 +a(g60 +VResult +p21875 +tp21876 +a(g185 +V +tp21877 +a(g339 +V: +tp21878 +a(g339 +V= +tp21879 +a(g185 +V +tp21880 +a(g60 +VResult +p21881 +tp21882 +a(g185 +V +tp21883 +a(g339 +V+ +tp21884 +a(g185 +V +tp21885 +a(g222 +V' +tp21886 +a(g222 +V$ +tp21887 +a(g222 +V' +tp21888 +a(g185 +V +tp21889 +a(g339 +V+ +tp21890 +a(g185 +V +tp21891 +a(g18 +VHexOfByte +p21892 +tp21893 +a(g198 +V( +tp21894 +a(g18 +Va +tp21895 +a(g198 +V[ +tp21896 +a(g18 +Vi +tp21897 +a(g198 +V]) +p21898 +tp21899 +a(g339 +V; +tp21900 +a(g185 +V\u000a +p21901 +tp21902 +a(g111 +Vif +p21903 +tp21904 +a(g185 +V +tp21905 +a(g18 +Vi +tp21906 +a(g185 +V +tp21907 +a(g339 +V< +tp21908 +a(g185 +V +tp21909 +a(g18 +Vlen +p21910 +tp21911 +a(g339 +V- +tp21912 +a(g314 +V1 +tp21913 +a(g185 +V +tp21914 +a(g111 +Vthen +p21915 +tp21916 +a(g185 +V\u000a +p21917 +tp21918 +a(g60 +VResult +p21919 +tp21920 +a(g185 +V +tp21921 +a(g339 +V: +tp21922 +a(g339 +V= +tp21923 +a(g185 +V +tp21924 +a(g60 +VResult +p21925 +tp21926 +a(g185 +V +tp21927 +a(g339 +V+ +tp21928 +a(g185 +V +tp21929 +a(g222 +V' +tp21930 +a(g222 +V, +tp21931 +a(g222 +V' +tp21932 +a(g339 +V; +tp21933 +a(g185 +V\u000a +p21934 +tp21935 +a(g111 +Vend +p21936 +tp21937 +a(g339 +V; +tp21938 +a(g185 +V\u000a +p21939 +tp21940 +a(g60 +VResult +p21941 +tp21942 +a(g185 +V +tp21943 +a(g339 +V: +tp21944 +a(g339 +V= +tp21945 +a(g185 +V +tp21946 +a(g60 +VResult +p21947 +tp21948 +a(g185 +V +tp21949 +a(g339 +V+ +tp21950 +a(g185 +V +tp21951 +a(g18 +VEOL +p21952 +tp21953 +a(g185 +V +tp21954 +a(g339 +V+ +tp21955 +a(g185 +V +tp21956 +a(g222 +V' +tp21957 +a(g222 +V ); +p21958 +tp21959 +a(g222 +V' +tp21960 +a(g339 +V; +tp21961 +a(g185 +V\u000a +tp21962 +a(g111 +Vend +p21963 +tp21964 +a(g339 +V; +tp21965 +a(g185 +V\u000a\u000a +p21966 +tp21967 +a(g111 +Vfunction +p21968 +tp21969 +a(g185 +V +tp21970 +a(g21 +VHexOfByteA +p21971 +tp21972 +a(g198 +V( +tp21973 +a(g18 +Va +tp21974 +a(g339 +V: +tp21975 +a(g185 +V +tp21976 +a(g18 +VTByteA +p21977 +tp21978 +a(g339 +V; +tp21979 +a(g185 +V +tp21980 +a(g18 +VBlocks +p21981 +tp21982 +a(g339 +V: +tp21983 +a(g185 +V +tp21984 +a(g135 +VInteger +p21985 +tp21986 +a(g185 +V +tp21987 +a(g339 +V= +tp21988 +a(g185 +V +tp21989 +a(g314 +V1 +tp21990 +a(g339 +V; +tp21991 +a(g185 +V\u000a +p21992 +tp21993 +a(g111 +Vconst +p21994 +tp21995 +a(g185 +V +tp21996 +a(g18 +VSplitter +p21997 +tp21998 +a(g339 +V: +tp21999 +a(g185 +V +tp22000 +a(g111 +Vstring +p22001 +tp22002 +a(g185 +V +tp22003 +a(g339 +V= +tp22004 +a(g185 +V +tp22005 +a(g222 +V' +tp22006 +a(g222 +V +tp22007 +a(g222 +V' +tp22008 +a(g198 +V) +tp22009 +a(g339 +V: +tp22010 +a(g185 +V +tp22011 +a(g111 +Vstring +p22012 +tp22013 +a(g339 +V; +tp22014 +a(g185 +V\u000a +tp22015 +a(g111 +Vvar +p22016 +tp22017 +a(g185 +V\u000a +p22018 +tp22019 +a(g18 +Vi +tp22020 +a(g339 +V: +tp22021 +a(g185 +V +tp22022 +a(g135 +VInteger +p22023 +tp22024 +a(g339 +V; +tp22025 +a(g185 +V\u000a +tp22026 +a(g111 +Vbegin +p22027 +tp22028 +a(g185 +V\u000a +p22029 +tp22030 +a(g60 +VResult +p22031 +tp22032 +a(g185 +V +tp22033 +a(g339 +V: +tp22034 +a(g339 +V= +tp22035 +a(g185 +V +tp22036 +a(g222 +V' +tp22037 +a(g222 +V' +tp22038 +a(g339 +V; +tp22039 +a(g185 +V\u000a\u000a +p22040 +tp22041 +a(g111 +Vif +p22042 +tp22043 +a(g185 +V +tp22044 +a(g18 +VBlocks +p22045 +tp22046 +a(g185 +V +tp22047 +a(g339 +V> +tp22048 +a(g185 +V +tp22049 +a(g314 +V0 +tp22050 +a(g185 +V +tp22051 +a(g111 +Vthen +p22052 +tp22053 +a(g185 +V\u000a +p22054 +tp22055 +a(g111 +Vfor +p22056 +tp22057 +a(g185 +V +tp22058 +a(g18 +Vi +tp22059 +a(g185 +V +tp22060 +a(g339 +V: +tp22061 +a(g339 +V= +tp22062 +a(g185 +V +tp22063 +a(g314 +V0 +tp22064 +a(g185 +V +tp22065 +a(g111 +Vto +p22066 +tp22067 +a(g185 +V +tp22068 +a(g57 +VHigh +p22069 +tp22070 +a(g198 +V( +tp22071 +a(g18 +Va +tp22072 +a(g198 +V) +tp22073 +a(g185 +V +tp22074 +a(g111 +Vdo +p22075 +tp22076 +a(g185 +V +tp22077 +a(g111 +Vbegin +p22078 +tp22079 +a(g185 +V\u000a +p22080 +tp22081 +a(g60 +VResult +p22082 +tp22083 +a(g185 +V +tp22084 +a(g339 +V: +tp22085 +a(g339 +V= +tp22086 +a(g185 +V +tp22087 +a(g60 +VResult +p22088 +tp22089 +a(g185 +V +tp22090 +a(g339 +V+ +tp22091 +a(g185 +V +tp22092 +a(g18 +VHexOfByte +p22093 +tp22094 +a(g198 +V( +tp22095 +a(g18 +Va +tp22096 +a(g198 +V[ +tp22097 +a(g18 +Vi +tp22098 +a(g198 +V]) +p22099 +tp22100 +a(g339 +V; +tp22101 +a(g185 +V\u000a +p22102 +tp22103 +a(g111 +Vif +p22104 +tp22105 +a(g185 +V +tp22106 +a(g18 +Vi +tp22107 +a(g185 +V +tp22108 +a(g339 +V< +tp22109 +a(g185 +V +tp22110 +a(g57 +VHigh +p22111 +tp22112 +a(g198 +V( +tp22113 +a(g18 +Va +tp22114 +a(g198 +V) +tp22115 +a(g185 +V +tp22116 +a(g111 +Vthen +p22117 +tp22118 +a(g185 +V\u000a +p22119 +tp22120 +a(g111 +Vif +p22121 +tp22122 +a(g185 +V +tp22123 +a(g198 +V(( +p22124 +tp22125 +a(g18 +Vi +tp22126 +a(g339 +V+ +tp22127 +a(g314 +V1 +tp22128 +a(g198 +V) +tp22129 +a(g185 +V +tp22130 +a(g111 +Vmod +p22131 +tp22132 +a(g185 +V +tp22133 +a(g18 +VBlocks +p22134 +tp22135 +a(g198 +V) +tp22136 +a(g185 +V +tp22137 +a(g339 +V= +tp22138 +a(g185 +V +tp22139 +a(g314 +V0 +tp22140 +a(g185 +V +tp22141 +a(g111 +Vthen +p22142 +tp22143 +a(g185 +V\u000a +p22144 +tp22145 +a(g60 +VResult +p22146 +tp22147 +a(g185 +V +tp22148 +a(g339 +V: +tp22149 +a(g339 +V= +tp22150 +a(g185 +V +tp22151 +a(g60 +VResult +p22152 +tp22153 +a(g185 +V +tp22154 +a(g339 +V+ +tp22155 +a(g185 +V +tp22156 +a(g18 +VSplitter +p22157 +tp22158 +a(g339 +V; +tp22159 +a(g185 +V\u000a +p22160 +tp22161 +a(g111 +Vend +p22162 +tp22163 +a(g185 +V\u000a +p22164 +tp22165 +a(g111 +Velse +p22166 +tp22167 +a(g185 +V\u000a +p22168 +tp22169 +a(g111 +Vfor +p22170 +tp22171 +a(g185 +V +tp22172 +a(g18 +Vi +tp22173 +a(g185 +V +tp22174 +a(g339 +V: +tp22175 +a(g339 +V= +tp22176 +a(g185 +V +tp22177 +a(g314 +V0 +tp22178 +a(g185 +V +tp22179 +a(g111 +Vto +p22180 +tp22181 +a(g185 +V +tp22182 +a(g57 +VHigh +p22183 +tp22184 +a(g198 +V( +tp22185 +a(g18 +Va +tp22186 +a(g198 +V) +tp22187 +a(g185 +V +tp22188 +a(g111 +Vdo +p22189 +tp22190 +a(g185 +V\u000a +p22191 +tp22192 +a(g60 +VResult +p22193 +tp22194 +a(g185 +V +tp22195 +a(g339 +V: +tp22196 +a(g339 +V= +tp22197 +a(g185 +V +tp22198 +a(g60 +VResult +p22199 +tp22200 +a(g185 +V +tp22201 +a(g339 +V+ +tp22202 +a(g185 +V +tp22203 +a(g18 +VHexOfByte +p22204 +tp22205 +a(g198 +V( +tp22206 +a(g18 +Va +tp22207 +a(g198 +V[ +tp22208 +a(g18 +Vi +tp22209 +a(g198 +V]) +p22210 +tp22211 +a(g339 +V; +tp22212 +a(g185 +V\u000a +tp22213 +a(g111 +Vend +p22214 +tp22215 +a(g339 +V; +tp22216 +a(g185 +V\u000a\u000a +p22217 +tp22218 +a(g111 +Vfunction +p22219 +tp22220 +a(g185 +V +tp22221 +a(g21 +VBinOfByteA +p22222 +tp22223 +a(g198 +V( +tp22224 +a(g18 +Va +tp22225 +a(g339 +V: +tp22226 +a(g185 +V +tp22227 +a(g18 +VTByteA +p22228 +tp22229 +a(g339 +V; +tp22230 +a(g185 +V +tp22231 +a(g18 +VBlocks +p22232 +tp22233 +a(g339 +V: +tp22234 +a(g185 +V +tp22235 +a(g135 +VInteger +p22236 +tp22237 +a(g185 +V +tp22238 +a(g339 +V= +tp22239 +a(g185 +V +tp22240 +a(g314 +V4 +tp22241 +a(g339 +V; +tp22242 +a(g185 +V\u000a +p22243 +tp22244 +a(g111 +Vconst +p22245 +tp22246 +a(g185 +V +tp22247 +a(g18 +VSplitter +p22248 +tp22249 +a(g339 +V: +tp22250 +a(g185 +V +tp22251 +a(g111 +Vstring +p22252 +tp22253 +a(g185 +V +tp22254 +a(g339 +V= +tp22255 +a(g185 +V +tp22256 +a(g222 +V' +tp22257 +a(g222 +V +tp22258 +a(g222 +V' +tp22259 +a(g198 +V) +tp22260 +a(g339 +V: +tp22261 +a(g185 +V +tp22262 +a(g111 +Vstring +p22263 +tp22264 +a(g339 +V; +tp22265 +a(g185 +V\u000a +tp22266 +a(g111 +Vvar +p22267 +tp22268 +a(g185 +V\u000a +p22269 +tp22270 +a(g18 +Vi +tp22271 +a(g339 +V, +tp22272 +a(g185 +V +tp22273 +a(g57 +Vmax +p22274 +tp22275 +a(g339 +V: +tp22276 +a(g185 +V +tp22277 +a(g135 +VInteger +p22278 +tp22279 +a(g339 +V; +tp22280 +a(g185 +V\u000a +p22281 +tp22282 +a(g18 +VBit +p22283 +tp22284 +a(g339 +V: +tp22285 +a(g185 +V +tp22286 +a(g135 +VBoolean +p22287 +tp22288 +a(g339 +V; +tp22289 +a(g185 +V\u000a +tp22290 +a(g111 +Vbegin +p22291 +tp22292 +a(g185 +V\u000a +p22293 +tp22294 +a(g60 +VResult +p22295 +tp22296 +a(g185 +V +tp22297 +a(g339 +V: +tp22298 +a(g339 +V= +tp22299 +a(g185 +V +tp22300 +a(g222 +V' +tp22301 +a(g222 +V' +tp22302 +a(g339 +V; +tp22303 +a(g185 +V\u000a\u000a +p22304 +tp22305 +a(g111 +Vif +p22306 +tp22307 +a(g185 +V +tp22308 +a(g18 +VBlocks +p22309 +tp22310 +a(g185 +V +tp22311 +a(g339 +V> +tp22312 +a(g185 +V +tp22313 +a(g314 +V0 +tp22314 +a(g185 +V +tp22315 +a(g111 +Vthen +p22316 +tp22317 +a(g185 +V +tp22318 +a(g111 +Vbegin +p22319 +tp22320 +a(g185 +V\u000a +p22321 +tp22322 +a(g57 +Vmax +p22323 +tp22324 +a(g185 +V +tp22325 +a(g339 +V: +tp22326 +a(g339 +V= +tp22327 +a(g185 +V +tp22328 +a(g314 +V8 +tp22329 +a(g185 +V +tp22330 +a(g339 +V* +tp22331 +a(g185 +V +tp22332 +a(g198 +V( +tp22333 +a(g57 +VHigh +p22334 +tp22335 +a(g198 +V( +tp22336 +a(g18 +Va +tp22337 +a(g198 +V)) +p22338 +tp22339 +a(g185 +V +tp22340 +a(g339 +V+ +tp22341 +a(g185 +V +tp22342 +a(g314 +V7 +tp22343 +a(g339 +V; +tp22344 +a(g185 +V\u000a +p22345 +tp22346 +a(g111 +Vfor +p22347 +tp22348 +a(g185 +V +tp22349 +a(g18 +Vi +tp22350 +a(g185 +V +tp22351 +a(g339 +V: +tp22352 +a(g339 +V= +tp22353 +a(g185 +V +tp22354 +a(g314 +V0 +tp22355 +a(g185 +V +tp22356 +a(g111 +Vto +p22357 +tp22358 +a(g185 +V +tp22359 +a(g57 +Vmax +p22360 +tp22361 +a(g185 +V +tp22362 +a(g111 +Vdo +p22363 +tp22364 +a(g185 +V +tp22365 +a(g111 +Vbegin +p22366 +tp22367 +a(g185 +V\u000a +p22368 +tp22369 +a(g18 +VBit +p22370 +tp22371 +a(g185 +V +tp22372 +a(g339 +V: +tp22373 +a(g339 +V= +tp22374 +a(g185 +V +tp22375 +a(g314 +V7 +tp22376 +a(g339 +V- +tp22377 +a(g198 +V( +tp22378 +a(g18 +Vi +tp22379 +a(g185 +V +tp22380 +a(g111 +Vmod +p22381 +tp22382 +a(g185 +V +tp22383 +a(g314 +V8 +tp22384 +a(g198 +V) +tp22385 +a(g185 +V +tp22386 +a(g111 +Vin +p22387 +tp22388 +a(g185 +V +tp22389 +a(g18 +VTBitSet +p22390 +tp22391 +a(g198 +V( +tp22392 +a(g18 +Va +tp22393 +a(g198 +V[ +tp22394 +a(g18 +Vi +tp22395 +a(g185 +V +tp22396 +a(g111 +Vdiv +p22397 +tp22398 +a(g185 +V +tp22399 +a(g314 +V8 +tp22400 +a(g198 +V]) +p22401 +tp22402 +a(g339 +V; +tp22403 +a(g185 +V\u000a +p22404 +tp22405 +a(g60 +VResult +p22406 +tp22407 +a(g185 +V +tp22408 +a(g339 +V: +tp22409 +a(g339 +V= +tp22410 +a(g185 +V +tp22411 +a(g60 +VResult +p22412 +tp22413 +a(g185 +V +tp22414 +a(g339 +V+ +tp22415 +a(g185 +V +tp22416 +a(g135 +VChar +p22417 +tp22418 +a(g198 +V( +tp22419 +a(g135 +VByte +p22420 +tp22421 +a(g198 +V( +tp22422 +a(g222 +V' +tp22423 +a(g222 +V0 +tp22424 +a(g222 +V' +tp22425 +a(g198 +V) +tp22426 +a(g185 +V +tp22427 +a(g339 +V+ +tp22428 +a(g185 +V +tp22429 +a(g135 +VByte +p22430 +tp22431 +a(g198 +V( +tp22432 +a(g18 +VBit +p22433 +tp22434 +a(g198 +V)) +p22435 +tp22436 +a(g339 +V; +tp22437 +a(g185 +V\u000a +p22438 +tp22439 +a(g111 +Vif +p22440 +tp22441 +a(g185 +V +tp22442 +a(g18 +Vi +tp22443 +a(g185 +V +tp22444 +a(g339 +V< +tp22445 +a(g185 +V +tp22446 +a(g57 +Vmax +p22447 +tp22448 +a(g185 +V +tp22449 +a(g111 +Vthen +p22450 +tp22451 +a(g185 +V\u000a +p22452 +tp22453 +a(g111 +Vif +p22454 +tp22455 +a(g185 +V +tp22456 +a(g198 +V(( +p22457 +tp22458 +a(g18 +Vi +tp22459 +a(g339 +V+ +tp22460 +a(g314 +V1 +tp22461 +a(g198 +V) +tp22462 +a(g185 +V +tp22463 +a(g111 +Vmod +p22464 +tp22465 +a(g185 +V +tp22466 +a(g18 +VBlocks +p22467 +tp22468 +a(g198 +V) +tp22469 +a(g185 +V +tp22470 +a(g339 +V= +tp22471 +a(g185 +V +tp22472 +a(g314 +V0 +tp22473 +a(g185 +V +tp22474 +a(g111 +Vthen +p22475 +tp22476 +a(g185 +V\u000a +p22477 +tp22478 +a(g60 +VResult +p22479 +tp22480 +a(g185 +V +tp22481 +a(g339 +V: +tp22482 +a(g339 +V= +tp22483 +a(g185 +V +tp22484 +a(g60 +VResult +p22485 +tp22486 +a(g185 +V +tp22487 +a(g339 +V+ +tp22488 +a(g185 +V +tp22489 +a(g18 +VSplitter +p22490 +tp22491 +a(g339 +V; +tp22492 +a(g185 +V\u000a +p22493 +tp22494 +a(g111 +Vend +p22495 +tp22496 +a(g339 +V; +tp22497 +a(g185 +V\u000a +p22498 +tp22499 +a(g111 +Vend +p22500 +tp22501 +a(g185 +V +tp22502 +a(g111 +Velse +p22503 +tp22504 +a(g185 +V\u000a +p22505 +tp22506 +a(g111 +Vfor +p22507 +tp22508 +a(g185 +V +tp22509 +a(g18 +Vi +tp22510 +a(g185 +V +tp22511 +a(g339 +V: +tp22512 +a(g339 +V= +tp22513 +a(g185 +V +tp22514 +a(g314 +V0 +tp22515 +a(g185 +V +tp22516 +a(g111 +Vto +p22517 +tp22518 +a(g185 +V +tp22519 +a(g57 +VHigh +p22520 +tp22521 +a(g198 +V( +tp22522 +a(g18 +Va +tp22523 +a(g198 +V) +tp22524 +a(g185 +V +tp22525 +a(g111 +Vdo +p22526 +tp22527 +a(g185 +V\u000a +p22528 +tp22529 +a(g60 +VResult +p22530 +tp22531 +a(g185 +V +tp22532 +a(g339 +V: +tp22533 +a(g339 +V= +tp22534 +a(g185 +V +tp22535 +a(g60 +VResult +p22536 +tp22537 +a(g185 +V +tp22538 +a(g339 +V+ +tp22539 +a(g185 +V +tp22540 +a(g135 +VChar +p22541 +tp22542 +a(g198 +V( +tp22543 +a(g135 +VByte +p22544 +tp22545 +a(g198 +V( +tp22546 +a(g222 +V' +tp22547 +a(g222 +V0 +tp22548 +a(g222 +V' +tp22549 +a(g198 +V) +tp22550 +a(g185 +V +tp22551 +a(g339 +V+ +tp22552 +a(g185 +V +tp22553 +a(g18 +Va +tp22554 +a(g198 +V[ +tp22555 +a(g18 +Vi +tp22556 +a(g198 +V] +tp22557 +a(g185 +V +tp22558 +a(g111 +Vshr +p22559 +tp22560 +a(g185 +V +tp22561 +a(g198 +V( +tp22562 +a(g18 +Vi +tp22563 +a(g185 +V +tp22564 +a(g111 +Vand +p22565 +tp22566 +a(g185 +V +tp22567 +a(g314 +V8 +tp22568 +a(g198 +V)) +p22569 +tp22570 +a(g339 +V; +tp22571 +a(g185 +V\u000a +tp22572 +a(g111 +Vend +p22573 +tp22574 +a(g339 +V; +tp22575 +a(g185 +V\u000a\u000a +p22576 +tp22577 +a(g111 +Vfunction +p22578 +tp22579 +a(g185 +V +tp22580 +a(g21 +VCardOfHex +p22581 +tp22582 +a(g198 +V( +tp22583 +a(g18 +VHex +p22584 +tp22585 +a(g339 +V: +tp22586 +a(g185 +V +tp22587 +a(g111 +Vstring +p22588 +tp22589 +a(g198 +V) +tp22590 +a(g339 +V: +tp22591 +a(g185 +V +tp22592 +a(g135 +VCardinal +p22593 +tp22594 +a(g339 +V; +tp22595 +a(g185 +V\u000a +tp22596 +a(g111 +Vvar +p22597 +tp22598 +a(g185 +V\u000a +p22599 +tp22600 +a(g18 +Vi +tp22601 +a(g339 +V: +tp22602 +a(g185 +V +tp22603 +a(g135 +VInteger +p22604 +tp22605 +a(g339 +V; +tp22606 +a(g185 +V\u000a +tp22607 +a(g111 +Vbegin +p22608 +tp22609 +a(g185 +V\u000a +p22610 +tp22611 +a(g60 +VResult +p22612 +tp22613 +a(g185 +V +tp22614 +a(g339 +V: +tp22615 +a(g339 +V= +tp22616 +a(g185 +V +tp22617 +a(g314 +V0 +tp22618 +a(g339 +V; +tp22619 +a(g185 +V\u000a +p22620 +tp22621 +a(g18 +VHex +p22622 +tp22623 +a(g185 +V +tp22624 +a(g339 +V: +tp22625 +a(g339 +V= +tp22626 +a(g185 +V +tp22627 +a(g57 +VCopy +p22628 +tp22629 +a(g198 +V( +tp22630 +a(g18 +VExtractChars +p22631 +tp22632 +a(g198 +V( +tp22633 +a(g18 +VHex +p22634 +tp22635 +a(g339 +V, +tp22636 +a(g185 +V +tp22637 +a(g18 +VHexadecimalChars +p22638 +tp22639 +a(g198 +V) +tp22640 +a(g339 +V, +tp22641 +a(g185 +V +tp22642 +a(g314 +V1 +tp22643 +a(g339 +V, +tp22644 +a(g185 +V +tp22645 +a(g314 +V8 +tp22646 +a(g198 +V) +tp22647 +a(g339 +V; +tp22648 +a(g185 +V\u000a\u000a +p22649 +tp22650 +a(g111 +Vfor +p22651 +tp22652 +a(g185 +V +tp22653 +a(g18 +Vi +tp22654 +a(g185 +V +tp22655 +a(g339 +V: +tp22656 +a(g339 +V= +tp22657 +a(g185 +V +tp22658 +a(g314 +V1 +tp22659 +a(g185 +V +tp22660 +a(g111 +Vto +p22661 +tp22662 +a(g185 +V +tp22663 +a(g57 +VLength +p22664 +tp22665 +a(g198 +V( +tp22666 +a(g18 +VHex +p22667 +tp22668 +a(g198 +V) +tp22669 +a(g185 +V +tp22670 +a(g111 +Vdo +p22671 +tp22672 +a(g185 +V\u000a +p22673 +tp22674 +a(g111 +Vif +p22675 +tp22676 +a(g185 +V +tp22677 +a(g18 +VHex +p22678 +tp22679 +a(g198 +V[ +tp22680 +a(g18 +Vi +tp22681 +a(g198 +V] +tp22682 +a(g185 +V +tp22683 +a(g339 +V< +tp22684 +a(g339 +V> +tp22685 +a(g185 +V +tp22686 +a(g222 +V' +tp22687 +a(g222 +V0 +tp22688 +a(g222 +V' +tp22689 +a(g185 +V +tp22690 +a(g111 +Vthen +p22691 +tp22692 +a(g185 +V\u000a +p22693 +tp22694 +a(g57 +VInc +p22695 +tp22696 +a(g198 +V( +tp22697 +a(g60 +VResult +p22698 +tp22699 +a(g339 +V, +tp22700 +a(g185 +V +tp22701 +a(g18 +VHexOrd +p22702 +tp22703 +a(g198 +V( +tp22704 +a(g18 +VHex +p22705 +tp22706 +a(g198 +V[ +tp22707 +a(g18 +Vi +tp22708 +a(g198 +V]) +p22709 +tp22710 +a(g185 +V +tp22711 +a(g111 +Vshl +p22712 +tp22713 +a(g185 +V +tp22714 +a(g198 +V(( +p22715 +tp22716 +a(g57 +VLength +p22717 +tp22718 +a(g198 +V( +tp22719 +a(g18 +VHex +p22720 +tp22721 +a(g198 +V) +tp22722 +a(g185 +V +tp22723 +a(g339 +V- +tp22724 +a(g185 +V +tp22725 +a(g18 +Vi +tp22726 +a(g198 +V) +tp22727 +a(g185 +V +tp22728 +a(g111 +Vshl +p22729 +tp22730 +a(g185 +V +tp22731 +a(g314 +V2 +tp22732 +a(g198 +V)) +p22733 +tp22734 +a(g339 +V; +tp22735 +a(g185 +V\u000a +tp22736 +a(g111 +Vend +p22737 +tp22738 +a(g339 +V; +tp22739 +a(g185 +V\u000a\u000a +p22740 +tp22741 +a(g111 +Vfunction +p22742 +tp22743 +a(g185 +V +tp22744 +a(g21 +VIntOfBin +p22745 +tp22746 +a(g198 +V( +tp22747 +a(g18 +VBin +p22748 +tp22749 +a(g339 +V: +tp22750 +a(g185 +V +tp22751 +a(g111 +Vstring +p22752 +tp22753 +a(g198 +V) +tp22754 +a(g339 +V: +tp22755 +a(g185 +V +tp22756 +a(g135 +VCardinal +p22757 +tp22758 +a(g339 +V; +tp22759 +a(g185 +V\u000a +tp22760 +a(g111 +Vvar +p22761 +tp22762 +a(g185 +V\u000a +p22763 +tp22764 +a(g18 +Vi +tp22765 +a(g339 +V: +tp22766 +a(g185 +V +tp22767 +a(g135 +VInteger +p22768 +tp22769 +a(g339 +V; +tp22770 +a(g185 +V\u000a +tp22771 +a(g111 +Vbegin +p22772 +tp22773 +a(g185 +V\u000a +p22774 +tp22775 +a(g60 +VResult +p22776 +tp22777 +a(g185 +V +tp22778 +a(g339 +V: +tp22779 +a(g339 +V= +tp22780 +a(g185 +V +tp22781 +a(g314 +V0 +tp22782 +a(g339 +V; +tp22783 +a(g185 +V\u000a +p22784 +tp22785 +a(g18 +VBin +p22786 +tp22787 +a(g185 +V +tp22788 +a(g339 +V: +tp22789 +a(g339 +V= +tp22790 +a(g185 +V +tp22791 +a(g57 +VCopy +p22792 +tp22793 +a(g198 +V( +tp22794 +a(g18 +VExtractChars +p22795 +tp22796 +a(g198 +V( +tp22797 +a(g18 +VBin +p22798 +tp22799 +a(g339 +V, +tp22800 +a(g185 +V +tp22801 +a(g18 +VBinaryChars +p22802 +tp22803 +a(g198 +V) +tp22804 +a(g339 +V, +tp22805 +a(g185 +V +tp22806 +a(g314 +V1 +tp22807 +a(g339 +V, +tp22808 +a(g185 +V +tp22809 +a(g314 +V32 +p22810 +tp22811 +a(g198 +V) +tp22812 +a(g339 +V; +tp22813 +a(g185 +V\u000a\u000a +p22814 +tp22815 +a(g111 +Vfor +p22816 +tp22817 +a(g185 +V +tp22818 +a(g18 +Vi +tp22819 +a(g185 +V +tp22820 +a(g339 +V: +tp22821 +a(g339 +V= +tp22822 +a(g185 +V +tp22823 +a(g57 +VLength +p22824 +tp22825 +a(g198 +V( +tp22826 +a(g18 +VBin +p22827 +tp22828 +a(g198 +V) +tp22829 +a(g185 +V +tp22830 +a(g111 +Vdownto +p22831 +tp22832 +a(g185 +V +tp22833 +a(g314 +V1 +tp22834 +a(g185 +V +tp22835 +a(g111 +Vdo +p22836 +tp22837 +a(g185 +V\u000a +p22838 +tp22839 +a(g111 +Vif +p22840 +tp22841 +a(g185 +V +tp22842 +a(g18 +VBin +p22843 +tp22844 +a(g198 +V[ +tp22845 +a(g18 +Vi +tp22846 +a(g198 +V] +tp22847 +a(g185 +V +tp22848 +a(g339 +V= +tp22849 +a(g185 +V +tp22850 +a(g222 +V' +tp22851 +a(g222 +V1 +tp22852 +a(g222 +V' +tp22853 +a(g185 +V +tp22854 +a(g111 +Vthen +p22855 +tp22856 +a(g185 +V\u000a +p22857 +tp22858 +a(g57 +VInc +p22859 +tp22860 +a(g198 +V( +tp22861 +a(g60 +VResult +p22862 +tp22863 +a(g339 +V, +tp22864 +a(g185 +V +tp22865 +a(g314 +V1 +tp22866 +a(g185 +V +tp22867 +a(g111 +Vshl +p22868 +tp22869 +a(g185 +V +tp22870 +a(g198 +V( +tp22871 +a(g57 +VLength +p22872 +tp22873 +a(g198 +V( +tp22874 +a(g18 +VBin +p22875 +tp22876 +a(g198 +V) +tp22877 +a(g185 +V +tp22878 +a(g339 +V- +tp22879 +a(g185 +V +tp22880 +a(g18 +Vi +tp22881 +a(g198 +V)) +p22882 +tp22883 +a(g339 +V; +tp22884 +a(g185 +V\u000a +tp22885 +a(g111 +Vend +p22886 +tp22887 +a(g339 +V; +tp22888 +a(g185 +V\u000a\u000a +p22889 +tp22890 +a(g111 +Vfunction +p22891 +tp22892 +a(g185 +V +tp22893 +a(g21 +VBinOfInt +p22894 +tp22895 +a(g198 +V( +tp22896 +a(g18 +Vn +tp22897 +a(g339 +V: +tp22898 +a(g185 +V +tp22899 +a(g135 +VCardinal +p22900 +tp22901 +a(g198 +V) +tp22902 +a(g339 +V: +tp22903 +a(g185 +V +tp22904 +a(g111 +Vstring +p22905 +tp22906 +a(g339 +V; +tp22907 +a(g185 +V\u000a +tp22908 +a(g111 +Vvar +p22909 +tp22910 +a(g185 +V\u000a +p22911 +tp22912 +a(g18 +Va +tp22913 +a(g339 +V: +tp22914 +a(g185 +V +tp22915 +a(g135 +VInteger +p22916 +tp22917 +a(g339 +V; +tp22918 +a(g185 +V\u000a +tp22919 +a(g111 +Vbegin +p22920 +tp22921 +a(g185 +V\u000a +p22922 +tp22923 +a(g111 +Vif +p22924 +tp22925 +a(g185 +V +tp22926 +a(g18 +Vn +tp22927 +a(g185 +V +tp22928 +a(g339 +V= +tp22929 +a(g185 +V +tp22930 +a(g314 +V0 +tp22931 +a(g185 +V +tp22932 +a(g111 +Vthen +p22933 +tp22934 +a(g185 +V +tp22935 +a(g111 +Vbegin +p22936 +tp22937 +a(g185 +V\u000a +p22938 +tp22939 +a(g60 +VResult +p22940 +tp22941 +a(g185 +V +tp22942 +a(g339 +V: +tp22943 +a(g339 +V= +tp22944 +a(g185 +V +tp22945 +a(g222 +V' +tp22946 +a(g222 +V0 +tp22947 +a(g222 +V' +tp22948 +a(g339 +V; +tp22949 +a(g185 +V\u000a +p22950 +tp22951 +a(g111 +Vexit +p22952 +tp22953 +a(g339 +V; +tp22954 +a(g185 +V +tp22955 +a(g111 +Vend +p22956 +tp22957 +a(g339 +V; +tp22958 +a(g185 +V\u000a\u000a +p22959 +tp22960 +a(g60 +VResult +p22961 +tp22962 +a(g185 +V +tp22963 +a(g339 +V: +tp22964 +a(g339 +V= +tp22965 +a(g185 +V +tp22966 +a(g222 +V' +tp22967 +a(g222 +V' +tp22968 +a(g339 +V; +tp22969 +a(g185 +V\u000a +p22970 +tp22971 +a(g111 +Vwhile +p22972 +tp22973 +a(g185 +V +tp22974 +a(g18 +Vn +tp22975 +a(g185 +V +tp22976 +a(g339 +V> +tp22977 +a(g185 +V +tp22978 +a(g314 +V0 +tp22979 +a(g185 +V +tp22980 +a(g111 +Vdo +p22981 +tp22982 +a(g185 +V +tp22983 +a(g111 +Vbegin +p22984 +tp22985 +a(g185 +V\u000a +p22986 +tp22987 +a(g18 +Va +tp22988 +a(g185 +V +tp22989 +a(g339 +V: +tp22990 +a(g339 +V= +tp22991 +a(g185 +V +tp22992 +a(g18 +Vn +tp22993 +a(g185 +V +tp22994 +a(g111 +Vand +p22995 +tp22996 +a(g185 +V +tp22997 +a(g314 +V1 +tp22998 +a(g339 +V; +tp22999 +a(g185 +V\u000a +p23000 +tp23001 +a(g60 +VResult +p23002 +tp23003 +a(g185 +V +tp23004 +a(g339 +V: +tp23005 +a(g339 +V= +tp23006 +a(g185 +V +tp23007 +a(g135 +VChar +p23008 +tp23009 +a(g198 +V( +tp23010 +a(g18 +Va +tp23011 +a(g185 +V +tp23012 +a(g339 +V+ +tp23013 +a(g185 +V +tp23014 +a(g135 +VByte +p23015 +tp23016 +a(g198 +V( +tp23017 +a(g222 +V' +tp23018 +a(g222 +V0 +tp23019 +a(g222 +V' +tp23020 +a(g198 +V)) +p23021 +tp23022 +a(g185 +V +tp23023 +a(g339 +V+ +tp23024 +a(g185 +V +tp23025 +a(g60 +VResult +p23026 +tp23027 +a(g339 +V; +tp23028 +a(g185 +V\u000a +p23029 +tp23030 +a(g18 +Vn +tp23031 +a(g185 +V +tp23032 +a(g339 +V: +tp23033 +a(g339 +V= +tp23034 +a(g185 +V +tp23035 +a(g18 +Vn +tp23036 +a(g185 +V +tp23037 +a(g111 +Vshr +p23038 +tp23039 +a(g185 +V +tp23040 +a(g314 +V1 +tp23041 +a(g339 +V; +tp23042 +a(g185 +V\u000a +p23043 +tp23044 +a(g111 +Vend +p23045 +tp23046 +a(g339 +V; +tp23047 +a(g185 +V\u000a +tp23048 +a(g111 +Vend +p23049 +tp23050 +a(g339 +V; +tp23051 +a(g185 +V\u000a\u000a +p23052 +tp23053 +a(g111 +Vfunction +p23054 +tp23055 +a(g185 +V +tp23056 +a(g21 +VBinOfIntFill +p23057 +tp23058 +a(g198 +V( +tp23059 +a(g18 +Vn +tp23060 +a(g339 +V: +tp23061 +a(g185 +V +tp23062 +a(g135 +VCardinal +p23063 +tp23064 +a(g339 +V; +tp23065 +a(g185 +V +tp23066 +a(g18 +VMinCount +p23067 +tp23068 +a(g339 +V: +tp23069 +a(g185 +V +tp23070 +a(g135 +VInteger +p23071 +tp23072 +a(g185 +V +tp23073 +a(g339 +V= +tp23074 +a(g185 +V +tp23075 +a(g314 +V8 +tp23076 +a(g198 +V) +tp23077 +a(g339 +V: +tp23078 +a(g185 +V +tp23079 +a(g111 +Vstring +p23080 +tp23081 +a(g339 +V; +tp23082 +a(g185 +V\u000a +tp23083 +a(g111 +Vvar +p23084 +tp23085 +a(g185 +V\u000a +p23086 +tp23087 +a(g18 +Va +tp23088 +a(g339 +V: +tp23089 +a(g185 +V +tp23090 +a(g135 +VInteger +p23091 +tp23092 +a(g339 +V; +tp23093 +a(g185 +V\u000a +tp23094 +a(g111 +Vbegin +p23095 +tp23096 +a(g185 +V\u000a +p23097 +tp23098 +a(g111 +Vif +p23099 +tp23100 +a(g185 +V +tp23101 +a(g18 +Vn +tp23102 +a(g185 +V +tp23103 +a(g339 +V= +tp23104 +a(g185 +V +tp23105 +a(g314 +V0 +tp23106 +a(g185 +V +tp23107 +a(g111 +Vthen +p23108 +tp23109 +a(g185 +V +tp23110 +a(g111 +Vbegin +p23111 +tp23112 +a(g185 +V\u000a +p23113 +tp23114 +a(g60 +VResult +p23115 +tp23116 +a(g185 +V +tp23117 +a(g339 +V: +tp23118 +a(g339 +V= +tp23119 +a(g185 +V +tp23120 +a(g18 +VMulStr +p23121 +tp23122 +a(g198 +V( +tp23123 +a(g222 +V' +tp23124 +a(g222 +V0 +tp23125 +a(g222 +V' +tp23126 +a(g339 +V, +tp23127 +a(g185 +V +tp23128 +a(g18 +VMinCount +p23129 +tp23130 +a(g198 +V) +tp23131 +a(g339 +V; +tp23132 +a(g185 +V\u000a +p23133 +tp23134 +a(g111 +VExit +p23135 +tp23136 +a(g339 +V; +tp23137 +a(g185 +V +tp23138 +a(g111 +Vend +p23139 +tp23140 +a(g339 +V; +tp23141 +a(g185 +V\u000a\u000a +p23142 +tp23143 +a(g60 +VResult +p23144 +tp23145 +a(g185 +V +tp23146 +a(g339 +V: +tp23147 +a(g339 +V= +tp23148 +a(g185 +V +tp23149 +a(g222 +V' +tp23150 +a(g222 +V' +tp23151 +a(g339 +V; +tp23152 +a(g185 +V\u000a +p23153 +tp23154 +a(g111 +Vwhile +p23155 +tp23156 +a(g185 +V +tp23157 +a(g18 +Vn +tp23158 +a(g185 +V +tp23159 +a(g339 +V> +tp23160 +a(g185 +V +tp23161 +a(g314 +V0 +tp23162 +a(g185 +V +tp23163 +a(g111 +Vdo +p23164 +tp23165 +a(g185 +V +tp23166 +a(g111 +Vbegin +p23167 +tp23168 +a(g185 +V\u000a +p23169 +tp23170 +a(g18 +Va +tp23171 +a(g185 +V +tp23172 +a(g339 +V: +tp23173 +a(g339 +V= +tp23174 +a(g185 +V +tp23175 +a(g18 +Vn +tp23176 +a(g185 +V +tp23177 +a(g111 +Vand +p23178 +tp23179 +a(g185 +V +tp23180 +a(g314 +V1 +tp23181 +a(g339 +V; +tp23182 +a(g185 +V\u000a +p23183 +tp23184 +a(g60 +VResult +p23185 +tp23186 +a(g185 +V +tp23187 +a(g339 +V: +tp23188 +a(g339 +V= +tp23189 +a(g185 +V +tp23190 +a(g135 +VChar +p23191 +tp23192 +a(g198 +V( +tp23193 +a(g18 +Va +tp23194 +a(g185 +V +tp23195 +a(g339 +V+ +tp23196 +a(g185 +V +tp23197 +a(g135 +VByte +p23198 +tp23199 +a(g198 +V( +tp23200 +a(g222 +V' +tp23201 +a(g222 +V0 +tp23202 +a(g222 +V' +tp23203 +a(g198 +V)) +p23204 +tp23205 +a(g185 +V +tp23206 +a(g339 +V+ +tp23207 +a(g185 +V +tp23208 +a(g60 +VResult +p23209 +tp23210 +a(g339 +V; +tp23211 +a(g185 +V\u000a +p23212 +tp23213 +a(g18 +Vn +tp23214 +a(g185 +V +tp23215 +a(g339 +V: +tp23216 +a(g339 +V= +tp23217 +a(g185 +V +tp23218 +a(g18 +Vn +tp23219 +a(g185 +V +tp23220 +a(g111 +Vshr +p23221 +tp23222 +a(g185 +V +tp23223 +a(g314 +V1 +tp23224 +a(g339 +V; +tp23225 +a(g185 +V\u000a +p23226 +tp23227 +a(g111 +Vend +p23228 +tp23229 +a(g339 +V; +tp23230 +a(g185 +V\u000a +p23231 +tp23232 +a(g60 +VResult +p23233 +tp23234 +a(g185 +V +tp23235 +a(g339 +V: +tp23236 +a(g339 +V= +tp23237 +a(g185 +V +tp23238 +a(g18 +VMulStr +p23239 +tp23240 +a(g198 +V( +tp23241 +a(g222 +V' +tp23242 +a(g222 +V0 +tp23243 +a(g222 +V' +tp23244 +a(g339 +V, +tp23245 +a(g185 +V +tp23246 +a(g18 +VMinCount +p23247 +tp23248 +a(g185 +V +tp23249 +a(g339 +V- +tp23250 +a(g185 +V +tp23251 +a(g57 +VLength +p23252 +tp23253 +a(g198 +V( +tp23254 +a(g60 +VResult +p23255 +tp23256 +a(g198 +V)) +p23257 +tp23258 +a(g185 +V +tp23259 +a(g339 +V+ +tp23260 +a(g185 +V +tp23261 +a(g60 +VResult +p23262 +tp23263 +a(g339 +V; +tp23264 +a(g185 +V\u000a +tp23265 +a(g111 +Vend +p23266 +tp23267 +a(g339 +V; +tp23268 +a(g185 +V\u000a\u000a +p23269 +tp23270 +a(g111 +Vfunction +p23271 +tp23272 +a(g185 +V +tp23273 +a(g21 +VBaseNOfInt +p23274 +tp23275 +a(g198 +V( +tp23276 +a(g18 +VI +tp23277 +a(g339 +V: +tp23278 +a(g185 +V +tp23279 +a(g135 +VCardinal +p23280 +tp23281 +a(g339 +V; +tp23282 +a(g185 +V +tp23283 +a(g18 +VB +tp23284 +a(g339 +V: +tp23285 +a(g185 +V +tp23286 +a(g18 +VTBaseN +p23287 +tp23288 +a(g198 +V) +tp23289 +a(g339 +V: +tp23290 +a(g185 +V +tp23291 +a(g111 +Vstring +p23292 +tp23293 +a(g339 +V; +tp23294 +a(g185 +V\u000a +tp23295 +a(g111 +Vvar +p23296 +tp23297 +a(g185 +V\u000a +p23298 +tp23299 +a(g18 +Va +tp23300 +a(g339 +V: +tp23301 +a(g185 +V +tp23302 +a(g135 +VInteger +p23303 +tp23304 +a(g339 +V; +tp23305 +a(g185 +V\u000a +tp23306 +a(g111 +Vbegin +p23307 +tp23308 +a(g185 +V\u000a +p23309 +tp23310 +a(g111 +Vif +p23311 +tp23312 +a(g185 +V +tp23313 +a(g198 +V( +tp23314 +a(g18 +VB +tp23315 +a(g185 +V +tp23316 +a(g339 +V< +tp23317 +a(g185 +V +tp23318 +a(g314 +V2 +tp23319 +a(g198 +V) +tp23320 +a(g185 +V +tp23321 +a(g111 +Vor +p23322 +tp23323 +a(g185 +V +tp23324 +a(g198 +V( +tp23325 +a(g18 +Vi +tp23326 +a(g185 +V +tp23327 +a(g339 +V= +tp23328 +a(g185 +V +tp23329 +a(g314 +V0 +tp23330 +a(g198 +V) +tp23331 +a(g185 +V +tp23332 +a(g111 +Vthen +p23333 +tp23334 +a(g185 +V +tp23335 +a(g111 +Vbegin +p23336 +tp23337 +a(g185 +V\u000a +p23338 +tp23339 +a(g60 +VResult +p23340 +tp23341 +a(g185 +V +tp23342 +a(g339 +V: +tp23343 +a(g339 +V= +tp23344 +a(g185 +V +tp23345 +a(g222 +V' +tp23346 +a(g222 +V0 +tp23347 +a(g222 +V' +tp23348 +a(g339 +V; +tp23349 +a(g185 +V\u000a +p23350 +tp23351 +a(g111 +VExit +p23352 +tp23353 +a(g339 +V; +tp23354 +a(g185 +V +tp23355 +a(g111 +Vend +p23356 +tp23357 +a(g339 +V; +tp23358 +a(g185 +V\u000a\u000a +p23359 +tp23360 +a(g60 +VResult +p23361 +tp23362 +a(g185 +V +tp23363 +a(g339 +V: +tp23364 +a(g339 +V= +tp23365 +a(g185 +V +tp23366 +a(g222 +V' +tp23367 +a(g222 +V' +tp23368 +a(g339 +V; +tp23369 +a(g185 +V\u000a +p23370 +tp23371 +a(g111 +Vwhile +p23372 +tp23373 +a(g185 +V +tp23374 +a(g18 +Vi +tp23375 +a(g185 +V +tp23376 +a(g339 +V> +tp23377 +a(g185 +V +tp23378 +a(g314 +V0 +tp23379 +a(g185 +V +tp23380 +a(g111 +Vdo +p23381 +tp23382 +a(g185 +V +tp23383 +a(g111 +Vbegin +p23384 +tp23385 +a(g185 +V\u000a +p23386 +tp23387 +a(g18 +Va +tp23388 +a(g185 +V +tp23389 +a(g339 +V: +tp23390 +a(g339 +V= +tp23391 +a(g185 +V +tp23392 +a(g18 +Vi +tp23393 +a(g185 +V +tp23394 +a(g111 +Vmod +p23395 +tp23396 +a(g185 +V +tp23397 +a(g18 +VB +tp23398 +a(g339 +V; +tp23399 +a(g185 +V\u000a +p23400 +tp23401 +a(g60 +VResult +p23402 +tp23403 +a(g185 +V +tp23404 +a(g339 +V: +tp23405 +a(g339 +V= +tp23406 +a(g185 +V +tp23407 +a(g18 +VBaseNChar +p23408 +tp23409 +a(g198 +V[ +tp23410 +a(g18 +Va +tp23411 +a(g198 +V] +tp23412 +a(g185 +V +tp23413 +a(g339 +V+ +tp23414 +a(g185 +V +tp23415 +a(g60 +VResult +p23416 +tp23417 +a(g339 +V; +tp23418 +a(g185 +V\u000a +p23419 +tp23420 +a(g18 +Vi +tp23421 +a(g185 +V +tp23422 +a(g339 +V: +tp23423 +a(g339 +V= +tp23424 +a(g185 +V +tp23425 +a(g18 +Vi +tp23426 +a(g185 +V +tp23427 +a(g111 +Vdiv +p23428 +tp23429 +a(g185 +V +tp23430 +a(g18 +VB +tp23431 +a(g339 +V; +tp23432 +a(g185 +V\u000a +p23433 +tp23434 +a(g111 +Vend +p23435 +tp23436 +a(g339 +V; +tp23437 +a(g185 +V\u000a +tp23438 +a(g111 +Vend +p23439 +tp23440 +a(g339 +V; +tp23441 +a(g185 +V\u000a\u000a +p23442 +tp23443 +a(g111 +Vfunction +p23444 +tp23445 +a(g185 +V +tp23446 +a(g21 +VIntOfBaseN +p23447 +tp23448 +a(g198 +V( +tp23449 +a(g18 +VV +tp23450 +a(g339 +V: +tp23451 +a(g185 +V +tp23452 +a(g111 +Vstring +p23453 +tp23454 +a(g339 +V; +tp23455 +a(g185 +V +tp23456 +a(g18 +VB +tp23457 +a(g339 +V: +tp23458 +a(g185 +V +tp23459 +a(g18 +VTBaseN +p23460 +tp23461 +a(g198 +V) +tp23462 +a(g339 +V: +tp23463 +a(g185 +V +tp23464 +a(g135 +VCardinal +p23465 +tp23466 +a(g339 +V; +tp23467 +a(g185 +V\u000a +tp23468 +a(g111 +Vvar +p23469 +tp23470 +a(g185 +V\u000a +p23471 +tp23472 +a(g18 +Vi +tp23473 +a(g339 +V: +tp23474 +a(g185 +V +tp23475 +a(g135 +VInteger +p23476 +tp23477 +a(g339 +V; +tp23478 +a(g185 +V\u000a +p23479 +tp23480 +a(g18 +VF +tp23481 +a(g339 +V: +tp23482 +a(g185 +V +tp23483 +a(g135 +VCardinal +p23484 +tp23485 +a(g339 +V; +tp23486 +a(g185 +V\u000a +p23487 +tp23488 +a(g18 +Vc +tp23489 +a(g339 +V: +tp23490 +a(g185 +V +tp23491 +a(g135 +VByte +p23492 +tp23493 +a(g339 +V; +tp23494 +a(g185 +V\u000a +tp23495 +a(g111 +Vbegin +p23496 +tp23497 +a(g185 +V\u000a +p23498 +tp23499 +a(g60 +VResult +p23500 +tp23501 +a(g185 +V +tp23502 +a(g339 +V: +tp23503 +a(g339 +V= +tp23504 +a(g185 +V +tp23505 +a(g314 +V0 +tp23506 +a(g339 +V; +tp23507 +a(g185 +V\u000a +p23508 +tp23509 +a(g18 +VV +tp23510 +a(g185 +V +tp23511 +a(g339 +V: +tp23512 +a(g339 +V= +tp23513 +a(g185 +V +tp23514 +a(g18 +VTrimAll +p23515 +tp23516 +a(g198 +V( +tp23517 +a(g18 +VV +tp23518 +a(g198 +V) +tp23519 +a(g339 +V; +tp23520 +a(g185 +V\u000a +p23521 +tp23522 +a(g18 +VF +tp23523 +a(g185 +V +tp23524 +a(g339 +V: +tp23525 +a(g339 +V= +tp23526 +a(g185 +V +tp23527 +a(g314 +V1 +tp23528 +a(g339 +V; +tp23529 +a(g185 +V\u000a +p23530 +tp23531 +a(g111 +Vfor +p23532 +tp23533 +a(g185 +V +tp23534 +a(g18 +Vi +tp23535 +a(g185 +V +tp23536 +a(g339 +V: +tp23537 +a(g339 +V= +tp23538 +a(g185 +V +tp23539 +a(g57 +VLength +p23540 +tp23541 +a(g198 +V( +tp23542 +a(g18 +VV +tp23543 +a(g198 +V) +tp23544 +a(g185 +V +tp23545 +a(g111 +Vdownto +p23546 +tp23547 +a(g185 +V +tp23548 +a(g314 +V1 +tp23549 +a(g185 +V +tp23550 +a(g111 +Vdo +p23551 +tp23552 +a(g185 +V +tp23553 +a(g111 +Vbegin +p23554 +tp23555 +a(g185 +V\u000a +p23556 +tp23557 +a(g18 +Vc +tp23558 +a(g185 +V +tp23559 +a(g339 +V: +tp23560 +a(g339 +V= +tp23561 +a(g185 +V +tp23562 +a(g135 +VByte +p23563 +tp23564 +a(g198 +V( +tp23565 +a(g57 +VUpCase +p23566 +tp23567 +a(g198 +V( +tp23568 +a(g18 +VV +tp23569 +a(g198 +V[ +tp23570 +a(g18 +Vi +tp23571 +a(g198 +V])) +p23572 +tp23573 +a(g339 +V; +tp23574 +a(g185 +V\u000a +p23575 +tp23576 +a(g111 +Vcase +p23577 +tp23578 +a(g185 +V +tp23579 +a(g135 +VChar +p23580 +tp23581 +a(g198 +V( +tp23582 +a(g18 +Vc +tp23583 +a(g198 +V) +tp23584 +a(g185 +V +tp23585 +a(g111 +Vof +p23586 +tp23587 +a(g185 +V\u000a +p23588 +tp23589 +a(g222 +V' +tp23590 +a(g222 +V0 +tp23591 +a(g222 +V' +tp23592 +a(g339 +V. +tp23593 +a(g339 +V. +tp23594 +a(g222 +V' +tp23595 +a(g222 +V9 +tp23596 +a(g222 +V' +tp23597 +a(g339 +V: +tp23598 +a(g185 +V +tp23599 +a(g18 +Vc +tp23600 +a(g185 +V +tp23601 +a(g339 +V: +tp23602 +a(g339 +V= +tp23603 +a(g185 +V +tp23604 +a(g18 +Vc +tp23605 +a(g185 +V +tp23606 +a(g339 +V- +tp23607 +a(g185 +V +tp23608 +a(g314 +V48 +p23609 +tp23610 +a(g339 +V; +tp23611 +a(g185 +V\u000a +p23612 +tp23613 +a(g222 +V' +tp23614 +a(g222 +VA +tp23615 +a(g222 +V' +tp23616 +a(g339 +V. +tp23617 +a(g339 +V. +tp23618 +a(g222 +V' +tp23619 +a(g222 +VZ +tp23620 +a(g222 +V' +tp23621 +a(g339 +V: +tp23622 +a(g185 +V +tp23623 +a(g18 +Vc +tp23624 +a(g185 +V +tp23625 +a(g339 +V: +tp23626 +a(g339 +V= +tp23627 +a(g185 +V +tp23628 +a(g18 +Vc +tp23629 +a(g185 +V +tp23630 +a(g339 +V- +tp23631 +a(g185 +V +tp23632 +a(g314 +V55 +p23633 +tp23634 +a(g339 +V; +tp23635 +a(g185 +V\u000a +p23636 +tp23637 +a(g111 +Vend +p23638 +tp23639 +a(g339 +V; +tp23640 +a(g185 +V\u000a +p23641 +tp23642 +a(g111 +Vif +p23643 +tp23644 +a(g185 +V +tp23645 +a(g18 +Vc +tp23646 +a(g185 +V +tp23647 +a(g339 +V< +tp23648 +a(g185 +V +tp23649 +a(g18 +VB +tp23650 +a(g185 +V +tp23651 +a(g111 +Vthen +p23652 +tp23653 +a(g185 +V\u000a +p23654 +tp23655 +a(g60 +VResult +p23656 +tp23657 +a(g185 +V +tp23658 +a(g339 +V: +tp23659 +a(g339 +V= +tp23660 +a(g185 +V +tp23661 +a(g60 +VResult +p23662 +tp23663 +a(g185 +V +tp23664 +a(g339 +V+ +tp23665 +a(g185 +V +tp23666 +a(g135 +VByte +p23667 +tp23668 +a(g198 +V( +tp23669 +a(g18 +Vc +tp23670 +a(g198 +V) +tp23671 +a(g185 +V +tp23672 +a(g339 +V* +tp23673 +a(g185 +V +tp23674 +a(g18 +VF +tp23675 +a(g339 +V; +tp23676 +a(g185 +V\u000a +p23677 +tp23678 +a(g18 +VF +tp23679 +a(g185 +V +tp23680 +a(g339 +V: +tp23681 +a(g339 +V= +tp23682 +a(g185 +V +tp23683 +a(g18 +VF +tp23684 +a(g185 +V +tp23685 +a(g339 +V* +tp23686 +a(g185 +V +tp23687 +a(g18 +VB +tp23688 +a(g339 +V; +tp23689 +a(g185 +V\u000a +p23690 +tp23691 +a(g111 +Vend +p23692 +tp23693 +a(g339 +V; +tp23694 +a(g185 +V\u000a +tp23695 +a(g111 +Vend +p23696 +tp23697 +a(g339 +V; +tp23698 +a(g185 +V\u000a\u000a +p23699 +tp23700 +a(g111 +Vfunction +p23701 +tp23702 +a(g185 +V +tp23703 +a(g21 +VKeepIn +p23704 +tp23705 +a(g198 +V( +tp23706 +a(g18 +Vi +tp23707 +a(g339 +V, +tp23708 +a(g185 +V +tp23709 +a(g18 +VBottom +p23710 +tp23711 +a(g339 +V, +tp23712 +a(g185 +V +tp23713 +a(g18 +VTop +p23714 +tp23715 +a(g339 +V: +tp23716 +a(g185 +V +tp23717 +a(g135 +VVariant +p23718 +tp23719 +a(g198 +V) +tp23720 +a(g339 +V: +tp23721 +a(g185 +V +tp23722 +a(g135 +VVariant +p23723 +tp23724 +a(g339 +V; +tp23725 +a(g185 +V\u000a +tp23726 +a(g111 +Vbegin +p23727 +tp23728 +a(g185 +V\u000a +p23729 +tp23730 +a(g60 +VResult +p23731 +tp23732 +a(g185 +V +tp23733 +a(g339 +V: +tp23734 +a(g339 +V= +tp23735 +a(g185 +V +tp23736 +a(g18 +Vi +tp23737 +a(g339 +V; +tp23738 +a(g185 +V\u000a +p23739 +tp23740 +a(g111 +Vif +p23741 +tp23742 +a(g185 +V +tp23743 +a(g60 +VResult +p23744 +tp23745 +a(g185 +V +tp23746 +a(g339 +V> +tp23747 +a(g185 +V +tp23748 +a(g18 +VTop +p23749 +tp23750 +a(g185 +V +tp23751 +a(g111 +Vthen +p23752 +tp23753 +a(g185 +V\u000a +p23754 +tp23755 +a(g60 +VResult +p23756 +tp23757 +a(g185 +V +tp23758 +a(g339 +V: +tp23759 +a(g339 +V= +tp23760 +a(g185 +V +tp23761 +a(g18 +VTop +p23762 +tp23763 +a(g185 +V\u000a +p23764 +tp23765 +a(g111 +Velse +p23766 +tp23767 +a(g185 +V +tp23768 +a(g111 +Vif +p23769 +tp23770 +a(g185 +V +tp23771 +a(g60 +VResult +p23772 +tp23773 +a(g185 +V +tp23774 +a(g339 +V< +tp23775 +a(g185 +V +tp23776 +a(g18 +VBottom +p23777 +tp23778 +a(g185 +V +tp23779 +a(g111 +Vthen +p23780 +tp23781 +a(g185 +V\u000a +p23782 +tp23783 +a(g60 +VResult +p23784 +tp23785 +a(g185 +V +tp23786 +a(g339 +V: +tp23787 +a(g339 +V= +tp23788 +a(g185 +V +tp23789 +a(g18 +VBottom +p23790 +tp23791 +a(g339 +V; +tp23792 +a(g185 +V\u000a +tp23793 +a(g111 +Vend +p23794 +tp23795 +a(g339 +V; +tp23796 +a(g185 +V\u000a\u000a +p23797 +tp23798 +a(g111 +Vfunction +p23799 +tp23800 +a(g185 +V +tp23801 +a(g21 +VInRange +p23802 +tp23803 +a(g198 +V( +tp23804 +a(g18 +VValue +p23805 +tp23806 +a(g339 +V, +tp23807 +a(g185 +V +tp23808 +a(g18 +VBottom +p23809 +tp23810 +a(g339 +V, +tp23811 +a(g185 +V +tp23812 +a(g18 +VTop +p23813 +tp23814 +a(g339 +V: +tp23815 +a(g185 +V +tp23816 +a(g135 +VVariant +p23817 +tp23818 +a(g198 +V) +tp23819 +a(g339 +V: +tp23820 +a(g185 +V +tp23821 +a(g135 +VBoolean +p23822 +tp23823 +a(g339 +V; +tp23824 +a(g185 +V\u000a +tp23825 +a(g111 +Vbegin +p23826 +tp23827 +a(g185 +V\u000a +p23828 +tp23829 +a(g60 +VResult +p23830 +tp23831 +a(g185 +V +tp23832 +a(g339 +V: +tp23833 +a(g339 +V= +tp23834 +a(g185 +V +tp23835 +a(g198 +V( +tp23836 +a(g18 +VValue +p23837 +tp23838 +a(g185 +V +tp23839 +a(g339 +V> +tp23840 +a(g339 +V= +tp23841 +a(g185 +V +tp23842 +a(g18 +VBottom +p23843 +tp23844 +a(g198 +V) +tp23845 +a(g185 +V +tp23846 +a(g111 +Vand +p23847 +tp23848 +a(g185 +V +tp23849 +a(g198 +V( +tp23850 +a(g18 +VValue +p23851 +tp23852 +a(g185 +V +tp23853 +a(g339 +V< +tp23854 +a(g339 +V= +tp23855 +a(g185 +V +tp23856 +a(g18 +VTop +p23857 +tp23858 +a(g198 +V) +tp23859 +a(g339 +V; +tp23860 +a(g185 +V\u000a +tp23861 +a(g111 +Vend +p23862 +tp23863 +a(g339 +V; +tp23864 +a(g185 +V\u000a\u000a +p23865 +tp23866 +a(g111 +Vfunction +p23867 +tp23868 +a(g185 +V +tp23869 +a(g21 +VInStrictRange +p23870 +tp23871 +a(g198 +V( +tp23872 +a(g18 +VValue +p23873 +tp23874 +a(g339 +V, +tp23875 +a(g185 +V +tp23876 +a(g18 +VBottom +p23877 +tp23878 +a(g339 +V, +tp23879 +a(g185 +V +tp23880 +a(g18 +VTop +p23881 +tp23882 +a(g339 +V: +tp23883 +a(g185 +V +tp23884 +a(g135 +VVariant +p23885 +tp23886 +a(g198 +V) +tp23887 +a(g339 +V: +tp23888 +a(g185 +V +tp23889 +a(g135 +VBoolean +p23890 +tp23891 +a(g339 +V; +tp23892 +a(g185 +V\u000a +tp23893 +a(g111 +Vbegin +p23894 +tp23895 +a(g185 +V\u000a +p23896 +tp23897 +a(g60 +VResult +p23898 +tp23899 +a(g185 +V +tp23900 +a(g339 +V: +tp23901 +a(g339 +V= +tp23902 +a(g185 +V +tp23903 +a(g198 +V( +tp23904 +a(g18 +VValue +p23905 +tp23906 +a(g185 +V +tp23907 +a(g339 +V> +tp23908 +a(g185 +V +tp23909 +a(g18 +VBottom +p23910 +tp23911 +a(g198 +V) +tp23912 +a(g185 +V +tp23913 +a(g111 +Vand +p23914 +tp23915 +a(g185 +V +tp23916 +a(g198 +V( +tp23917 +a(g18 +VValue +p23918 +tp23919 +a(g185 +V +tp23920 +a(g339 +V< +tp23921 +a(g185 +V +tp23922 +a(g18 +VTop +p23923 +tp23924 +a(g198 +V) +tp23925 +a(g339 +V; +tp23926 +a(g185 +V\u000a +tp23927 +a(g111 +Vend +p23928 +tp23929 +a(g339 +V; +tp23930 +a(g185 +V\u000a\u000a +p23931 +tp23932 +a(g111 +Vfunction +p23933 +tp23934 +a(g185 +V +tp23935 +a(g21 +VMin +p23936 +tp23937 +a(g198 +V( +tp23938 +a(g111 +Vconst +p23939 +tp23940 +a(g185 +V +tp23941 +a(g18 +VA +tp23942 +a(g339 +V, +tp23943 +a(g185 +V +tp23944 +a(g18 +VB +tp23945 +a(g339 +V: +tp23946 +a(g185 +V +tp23947 +a(g135 +VInteger +p23948 +tp23949 +a(g198 +V) +tp23950 +a(g339 +V: +tp23951 +a(g185 +V +tp23952 +a(g135 +VInteger +p23953 +tp23954 +a(g339 +V; +tp23955 +a(g185 +V\u000a +tp23956 +a(g111 +Vbegin +p23957 +tp23958 +a(g185 +V\u000a +p23959 +tp23960 +a(g111 +Vif +p23961 +tp23962 +a(g185 +V +tp23963 +a(g18 +VA +tp23964 +a(g185 +V +tp23965 +a(g339 +V< +tp23966 +a(g185 +V +tp23967 +a(g18 +VB +tp23968 +a(g185 +V +tp23969 +a(g111 +Vthen +p23970 +tp23971 +a(g185 +V\u000a +p23972 +tp23973 +a(g60 +VResult +p23974 +tp23975 +a(g185 +V +tp23976 +a(g339 +V: +tp23977 +a(g339 +V= +tp23978 +a(g185 +V +tp23979 +a(g18 +VA +tp23980 +a(g185 +V\u000a +p23981 +tp23982 +a(g111 +Velse +p23983 +tp23984 +a(g185 +V\u000a +p23985 +tp23986 +a(g60 +VResult +p23987 +tp23988 +a(g185 +V +tp23989 +a(g339 +V: +tp23990 +a(g339 +V= +tp23991 +a(g185 +V +tp23992 +a(g18 +VB +tp23993 +a(g339 +V; +tp23994 +a(g185 +V\u000a +tp23995 +a(g111 +Vend +p23996 +tp23997 +a(g339 +V; +tp23998 +a(g185 +V\u000a\u000a +p23999 +tp24000 +a(g111 +Vfunction +p24001 +tp24002 +a(g185 +V +tp24003 +a(g21 +VMin +p24004 +tp24005 +a(g198 +V( +tp24006 +a(g111 +Vconst +p24007 +tp24008 +a(g185 +V +tp24009 +a(g18 +VA +tp24010 +a(g339 +V: +tp24011 +a(g185 +V +tp24012 +a(g18 +VTIntA +p24013 +tp24014 +a(g198 +V) +tp24015 +a(g339 +V: +tp24016 +a(g185 +V +tp24017 +a(g135 +VInteger +p24018 +tp24019 +a(g339 +V; +tp24020 +a(g185 +V\u000a +tp24021 +a(g111 +Vvar +p24022 +tp24023 +a(g185 +V\u000a +p24024 +tp24025 +a(g18 +Vi +tp24026 +a(g339 +V: +tp24027 +a(g185 +V +tp24028 +a(g135 +VInteger +p24029 +tp24030 +a(g339 +V; +tp24031 +a(g185 +V\u000a +tp24032 +a(g111 +Vbegin +p24033 +tp24034 +a(g185 +V\u000a +p24035 +tp24036 +a(g60 +VResult +p24037 +tp24038 +a(g185 +V +tp24039 +a(g339 +V: +tp24040 +a(g339 +V= +tp24041 +a(g185 +V +tp24042 +a(g314 +V0 +tp24043 +a(g339 +V; +tp24044 +a(g185 +V\u000a +p24045 +tp24046 +a(g111 +Vif +p24047 +tp24048 +a(g185 +V +tp24049 +a(g57 +VLength +p24050 +tp24051 +a(g198 +V( +tp24052 +a(g18 +VA +tp24053 +a(g198 +V) +tp24054 +a(g185 +V +tp24055 +a(g339 +V= +tp24056 +a(g185 +V +tp24057 +a(g314 +V0 +tp24058 +a(g185 +V +tp24059 +a(g111 +Vthen +p24060 +tp24061 +a(g185 +V\u000a +p24062 +tp24063 +a(g111 +VExit +p24064 +tp24065 +a(g339 +V; +tp24066 +a(g185 +V\u000a\u000a +p24067 +tp24068 +a(g60 +VResult +p24069 +tp24070 +a(g185 +V +tp24071 +a(g339 +V: +tp24072 +a(g339 +V= +tp24073 +a(g185 +V +tp24074 +a(g18 +VA +tp24075 +a(g198 +V[ +tp24076 +a(g314 +V0 +tp24077 +a(g198 +V] +tp24078 +a(g339 +V; +tp24079 +a(g185 +V\u000a +p24080 +tp24081 +a(g111 +Vfor +p24082 +tp24083 +a(g185 +V +tp24084 +a(g18 +Vi +tp24085 +a(g185 +V +tp24086 +a(g339 +V: +tp24087 +a(g339 +V= +tp24088 +a(g185 +V +tp24089 +a(g314 +V1 +tp24090 +a(g185 +V +tp24091 +a(g111 +Vto +p24092 +tp24093 +a(g185 +V +tp24094 +a(g57 +VHigh +p24095 +tp24096 +a(g198 +V( +tp24097 +a(g18 +VA +tp24098 +a(g198 +V) +tp24099 +a(g185 +V +tp24100 +a(g111 +Vdo +p24101 +tp24102 +a(g185 +V\u000a +p24103 +tp24104 +a(g111 +Vif +p24105 +tp24106 +a(g185 +V +tp24107 +a(g18 +VA +tp24108 +a(g198 +V[ +tp24109 +a(g18 +Vi +tp24110 +a(g198 +V] +tp24111 +a(g185 +V +tp24112 +a(g339 +V< +tp24113 +a(g185 +V +tp24114 +a(g60 +VResult +p24115 +tp24116 +a(g185 +V +tp24117 +a(g111 +Vthen +p24118 +tp24119 +a(g185 +V\u000a +p24120 +tp24121 +a(g60 +VResult +p24122 +tp24123 +a(g185 +V +tp24124 +a(g339 +V: +tp24125 +a(g339 +V= +tp24126 +a(g185 +V +tp24127 +a(g18 +VA +tp24128 +a(g198 +V[ +tp24129 +a(g18 +Vi +tp24130 +a(g198 +V] +tp24131 +a(g339 +V; +tp24132 +a(g185 +V\u000a +tp24133 +a(g111 +Vend +p24134 +tp24135 +a(g339 +V; +tp24136 +a(g185 +V\u000a\u000a +p24137 +tp24138 +a(g111 +Vfunction +p24139 +tp24140 +a(g185 +V +tp24141 +a(g21 +VMax +p24142 +tp24143 +a(g198 +V( +tp24144 +a(g111 +Vconst +p24145 +tp24146 +a(g185 +V +tp24147 +a(g18 +VA +tp24148 +a(g339 +V, +tp24149 +a(g185 +V +tp24150 +a(g18 +VB +tp24151 +a(g339 +V: +tp24152 +a(g185 +V +tp24153 +a(g135 +VInteger +p24154 +tp24155 +a(g198 +V) +tp24156 +a(g339 +V: +tp24157 +a(g185 +V +tp24158 +a(g135 +VInteger +p24159 +tp24160 +a(g339 +V; +tp24161 +a(g185 +V\u000a +tp24162 +a(g111 +Vbegin +p24163 +tp24164 +a(g185 +V\u000a +p24165 +tp24166 +a(g111 +Vif +p24167 +tp24168 +a(g185 +V +tp24169 +a(g18 +VA +tp24170 +a(g185 +V +tp24171 +a(g339 +V> +tp24172 +a(g185 +V +tp24173 +a(g18 +VB +tp24174 +a(g185 +V +tp24175 +a(g111 +Vthen +p24176 +tp24177 +a(g185 +V\u000a +p24178 +tp24179 +a(g60 +VResult +p24180 +tp24181 +a(g185 +V +tp24182 +a(g339 +V: +tp24183 +a(g339 +V= +tp24184 +a(g185 +V +tp24185 +a(g18 +VA +tp24186 +a(g185 +V\u000a +p24187 +tp24188 +a(g111 +Velse +p24189 +tp24190 +a(g185 +V\u000a +p24191 +tp24192 +a(g60 +VResult +p24193 +tp24194 +a(g185 +V +tp24195 +a(g339 +V: +tp24196 +a(g339 +V= +tp24197 +a(g185 +V +tp24198 +a(g18 +VB +tp24199 +a(g339 +V; +tp24200 +a(g185 +V\u000a +tp24201 +a(g111 +Vend +p24202 +tp24203 +a(g339 +V; +tp24204 +a(g185 +V\u000a\u000a +p24205 +tp24206 +a(g111 +Vfunction +p24207 +tp24208 +a(g185 +V +tp24209 +a(g21 +VMax +p24210 +tp24211 +a(g198 +V( +tp24212 +a(g111 +Vconst +p24213 +tp24214 +a(g185 +V +tp24215 +a(g18 +VA +tp24216 +a(g339 +V: +tp24217 +a(g185 +V +tp24218 +a(g18 +VTIntA +p24219 +tp24220 +a(g198 +V) +tp24221 +a(g339 +V: +tp24222 +a(g185 +V +tp24223 +a(g135 +VInteger +p24224 +tp24225 +a(g339 +V; +tp24226 +a(g185 +V\u000a +tp24227 +a(g111 +Vvar +p24228 +tp24229 +a(g185 +V\u000a +p24230 +tp24231 +a(g18 +Vi +tp24232 +a(g339 +V: +tp24233 +a(g185 +V +tp24234 +a(g135 +VInteger +p24235 +tp24236 +a(g339 +V; +tp24237 +a(g185 +V\u000a +tp24238 +a(g111 +Vbegin +p24239 +tp24240 +a(g185 +V\u000a +p24241 +tp24242 +a(g60 +VResult +p24243 +tp24244 +a(g185 +V +tp24245 +a(g339 +V: +tp24246 +a(g339 +V= +tp24247 +a(g185 +V +tp24248 +a(g314 +V0 +tp24249 +a(g339 +V; +tp24250 +a(g185 +V\u000a +p24251 +tp24252 +a(g111 +Vif +p24253 +tp24254 +a(g185 +V +tp24255 +a(g57 +VLength +p24256 +tp24257 +a(g198 +V( +tp24258 +a(g18 +VA +tp24259 +a(g198 +V) +tp24260 +a(g185 +V +tp24261 +a(g339 +V= +tp24262 +a(g185 +V +tp24263 +a(g314 +V0 +tp24264 +a(g185 +V +tp24265 +a(g111 +Vthen +p24266 +tp24267 +a(g185 +V\u000a +p24268 +tp24269 +a(g111 +VExit +p24270 +tp24271 +a(g339 +V; +tp24272 +a(g185 +V\u000a\u000a +p24273 +tp24274 +a(g60 +VResult +p24275 +tp24276 +a(g185 +V +tp24277 +a(g339 +V: +tp24278 +a(g339 +V= +tp24279 +a(g185 +V +tp24280 +a(g18 +VA +tp24281 +a(g198 +V[ +tp24282 +a(g314 +V0 +tp24283 +a(g198 +V] +tp24284 +a(g339 +V; +tp24285 +a(g185 +V\u000a +p24286 +tp24287 +a(g111 +Vfor +p24288 +tp24289 +a(g185 +V +tp24290 +a(g18 +Vi +tp24291 +a(g185 +V +tp24292 +a(g339 +V: +tp24293 +a(g339 +V= +tp24294 +a(g185 +V +tp24295 +a(g314 +V1 +tp24296 +a(g185 +V +tp24297 +a(g111 +Vto +p24298 +tp24299 +a(g185 +V +tp24300 +a(g57 +VHigh +p24301 +tp24302 +a(g198 +V( +tp24303 +a(g18 +VA +tp24304 +a(g198 +V) +tp24305 +a(g185 +V +tp24306 +a(g111 +Vdo +p24307 +tp24308 +a(g185 +V\u000a +p24309 +tp24310 +a(g111 +Vif +p24311 +tp24312 +a(g185 +V +tp24313 +a(g18 +VA +tp24314 +a(g198 +V[ +tp24315 +a(g18 +Vi +tp24316 +a(g198 +V] +tp24317 +a(g185 +V +tp24318 +a(g339 +V> +tp24319 +a(g185 +V +tp24320 +a(g60 +VResult +p24321 +tp24322 +a(g185 +V +tp24323 +a(g111 +Vthen +p24324 +tp24325 +a(g185 +V\u000a +p24326 +tp24327 +a(g60 +VResult +p24328 +tp24329 +a(g185 +V +tp24330 +a(g339 +V: +tp24331 +a(g339 +V= +tp24332 +a(g185 +V +tp24333 +a(g18 +VA +tp24334 +a(g198 +V[ +tp24335 +a(g18 +Vi +tp24336 +a(g198 +V] +tp24337 +a(g339 +V; +tp24338 +a(g185 +V\u000a +tp24339 +a(g111 +Vend +p24340 +tp24341 +a(g339 +V; +tp24342 +a(g185 +V\u000a\u000a +p24343 +tp24344 +a(g111 +Vfunction +p24345 +tp24346 +a(g185 +V +tp24347 +a(g21 +VRangesOfStr +p24348 +tp24349 +a(g198 +V( +tp24350 +a(g111 +Vconst +p24351 +tp24352 +a(g185 +V +tp24353 +a(g18 +VS +tp24354 +a(g339 +V: +tp24355 +a(g185 +V +tp24356 +a(g111 +Vstring +p24357 +tp24358 +a(g198 +V) +tp24359 +a(g339 +V: +tp24360 +a(g185 +V +tp24361 +a(g18 +VTRanges +p24362 +tp24363 +a(g339 +V; +tp24364 +a(g185 +V\u000a +tp24365 +a(g111 +Vvar +p24366 +tp24367 +a(g185 +V\u000a +p24368 +tp24369 +a(g18 +VSL +p24370 +tp24371 +a(g339 +V: +tp24372 +a(g185 +V +tp24373 +a(g18 +VTStringList +p24374 +tp24375 +a(g339 +V; +tp24376 +a(g185 +V\u000a +p24377 +tp24378 +a(g18 +Vr +tp24379 +a(g339 +V, +tp24380 +a(g185 +V +tp24381 +a(g18 +Vb +tp24382 +a(g339 +V, +tp24383 +a(g185 +V +tp24384 +a(g18 +Vt +tp24385 +a(g339 +V: +tp24386 +a(g185 +V +tp24387 +a(g111 +Vstring +p24388 +tp24389 +a(g339 +V; +tp24390 +a(g185 +V\u000a +p24391 +tp24392 +a(g18 +Vi +tp24393 +a(g339 +V, +tp24394 +a(g185 +V +tp24395 +a(g18 +Vp +tp24396 +a(g339 +V: +tp24397 +a(g185 +V +tp24398 +a(g135 +VInteger +p24399 +tp24400 +a(g339 +V; +tp24401 +a(g185 +V\u000a\u000a +p24402 +tp24403 +a(g111 +Vfunction +p24404 +tp24405 +a(g185 +V +tp24406 +a(g21 +VTryStrToCard +p24407 +tp24408 +a(g198 +V( +tp24409 +a(g111 +Vconst +p24410 +tp24411 +a(g185 +V +tp24412 +a(g18 +VS +tp24413 +a(g339 +V: +tp24414 +a(g185 +V +tp24415 +a(g111 +Vstring +p24416 +tp24417 +a(g339 +V; +tp24418 +a(g185 +V +tp24419 +a(g18 +Vout +p24420 +tp24421 +a(g185 +V +tp24422 +a(g18 +VValue +p24423 +tp24424 +a(g339 +V: +tp24425 +a(g185 +V +tp24426 +a(g135 +VCardinal +p24427 +tp24428 +a(g198 +V) +tp24429 +a(g339 +V: +tp24430 +a(g185 +V +tp24431 +a(g135 +VBoolean +p24432 +tp24433 +a(g339 +V; +tp24434 +a(g185 +V\u000a +p24435 +tp24436 +a(g111 +Vvar +p24437 +tp24438 +a(g185 +V\u000a +p24439 +tp24440 +a(g18 +VE +tp24441 +a(g339 +V: +tp24442 +a(g185 +V +tp24443 +a(g135 +VInteger +p24444 +tp24445 +a(g339 +V; +tp24446 +a(g185 +V\u000a +p24447 +tp24448 +a(g111 +Vbegin +p24449 +tp24450 +a(g185 +V\u000a +p24451 +tp24452 +a(g57 +VVal +p24453 +tp24454 +a(g198 +V( +tp24455 +a(g18 +VS +tp24456 +a(g339 +V, +tp24457 +a(g185 +V +tp24458 +a(g18 +VValue +p24459 +tp24460 +a(g339 +V, +tp24461 +a(g185 +V +tp24462 +a(g18 +VE +tp24463 +a(g198 +V) +tp24464 +a(g339 +V; +tp24465 +a(g185 +V\u000a +p24466 +tp24467 +a(g60 +VResult +p24468 +tp24469 +a(g185 +V +tp24470 +a(g339 +V: +tp24471 +a(g339 +V= +tp24472 +a(g185 +V +tp24473 +a(g18 +VE +tp24474 +a(g185 +V +tp24475 +a(g339 +V= +tp24476 +a(g185 +V +tp24477 +a(g314 +V0 +tp24478 +a(g339 +V; +tp24479 +a(g185 +V\u000a +p24480 +tp24481 +a(g111 +Vend +p24482 +tp24483 +a(g339 +V; +tp24484 +a(g185 +V\u000a\u000a +p24485 +tp24486 +a(g111 +Vbegin +p24487 +tp24488 +a(g185 +V\u000a +p24489 +tp24490 +a(g60 +VResult +p24491 +tp24492 +a(g185 +V +tp24493 +a(g339 +V: +tp24494 +a(g339 +V= +tp24495 +a(g185 +V +tp24496 +a(g111 +Vnil +p24497 +tp24498 +a(g339 +V; +tp24499 +a(g185 +V\u000a +p24500 +tp24501 +a(g18 +VSL +p24502 +tp24503 +a(g185 +V +tp24504 +a(g339 +V: +tp24505 +a(g339 +V= +tp24506 +a(g185 +V +tp24507 +a(g18 +VTStringList +p24508 +tp24509 +a(g339 +V. +tp24510 +a(g18 +VCreate +p24511 +tp24512 +a(g339 +V; +tp24513 +a(g185 +V\u000a +p24514 +tp24515 +a(g111 +Vtry +p24516 +tp24517 +a(g185 +V\u000a +p24518 +tp24519 +a(g18 +VSplit +p24520 +tp24521 +a(g198 +V( +tp24522 +a(g18 +VS +tp24523 +a(g339 +V, +tp24524 +a(g185 +V +tp24525 +a(g18 +VRangesSeparator +p24526 +tp24527 +a(g339 +V, +tp24528 +a(g185 +V +tp24529 +a(g18 +VSL +p24530 +tp24531 +a(g198 +V) +tp24532 +a(g339 +V; +tp24533 +a(g185 +V\u000a +p24534 +tp24535 +a(g57 +VSetLength +p24536 +tp24537 +a(g198 +V( +tp24538 +a(g60 +VResult +p24539 +tp24540 +a(g339 +V, +tp24541 +a(g185 +V +tp24542 +a(g18 +VSL +p24543 +tp24544 +a(g339 +V. +tp24545 +a(g18 +VCount +p24546 +tp24547 +a(g198 +V) +tp24548 +a(g339 +V; +tp24549 +a(g185 +V\u000a +p24550 +tp24551 +a(g111 +Vfor +p24552 +tp24553 +a(g185 +V +tp24554 +a(g18 +Vi +tp24555 +a(g185 +V +tp24556 +a(g339 +V: +tp24557 +a(g339 +V= +tp24558 +a(g185 +V +tp24559 +a(g314 +V0 +tp24560 +a(g185 +V +tp24561 +a(g111 +Vto +p24562 +tp24563 +a(g185 +V +tp24564 +a(g18 +VSL +p24565 +tp24566 +a(g339 +V. +tp24567 +a(g18 +VCount +p24568 +tp24569 +a(g339 +V- +tp24570 +a(g314 +V1 +tp24571 +a(g185 +V +tp24572 +a(g111 +Vdo +p24573 +tp24574 +a(g185 +V +tp24575 +a(g111 +Vbegin +p24576 +tp24577 +a(g185 +V\u000a +p24578 +tp24579 +a(g18 +Vr +tp24580 +a(g185 +V +tp24581 +a(g339 +V: +tp24582 +a(g339 +V= +tp24583 +a(g185 +V +tp24584 +a(g18 +VSL +p24585 +tp24586 +a(g198 +V[ +tp24587 +a(g18 +Vi +tp24588 +a(g198 +V] +tp24589 +a(g339 +V; +tp24590 +a(g185 +V\u000a +p24591 +tp24592 +a(g111 +Vwith +p24593 +tp24594 +a(g185 +V +tp24595 +a(g60 +VResult +p24596 +tp24597 +a(g198 +V[ +tp24598 +a(g18 +Vi +tp24599 +a(g198 +V] +tp24600 +a(g185 +V +tp24601 +a(g111 +Vdo +p24602 +tp24603 +a(g185 +V +tp24604 +a(g111 +Vbegin +p24605 +tp24606 +a(g185 +V\u000a +p24607 +tp24608 +a(g18 +Vp +tp24609 +a(g185 +V +tp24610 +a(g339 +V: +tp24611 +a(g339 +V= +tp24612 +a(g185 +V +tp24613 +a(g18 +VCharPos +p24614 +tp24615 +a(g198 +V( +tp24616 +a(g18 +VRangeInnerSeparator +p24617 +tp24618 +a(g339 +V, +tp24619 +a(g185 +V +tp24620 +a(g18 +Vr +tp24621 +a(g198 +V) +tp24622 +a(g339 +V; +tp24623 +a(g185 +V\u000a +p24624 +tp24625 +a(g18 +VSimple +p24626 +tp24627 +a(g185 +V +tp24628 +a(g339 +V: +tp24629 +a(g339 +V= +tp24630 +a(g185 +V +tp24631 +a(g18 +Vp +tp24632 +a(g185 +V +tp24633 +a(g339 +V= +tp24634 +a(g185 +V +tp24635 +a(g314 +V0 +tp24636 +a(g339 +V; +tp24637 +a(g185 +V +tp24638 +a(g7 +V// no '-' found +p24639 +tp24640 +a(g185 +V\u000a +p24641 +tp24642 +a(g111 +Vif +p24643 +tp24644 +a(g185 +V +tp24645 +a(g18 +VSimple +p24646 +tp24647 +a(g185 +V +tp24648 +a(g111 +Vthen +p24649 +tp24650 +a(g185 +V +tp24651 +a(g111 +Vbegin +p24652 +tp24653 +a(g185 +V\u000a +p24654 +tp24655 +a(g111 +Vif +p24656 +tp24657 +a(g185 +V +tp24658 +a(g18 +Vr +tp24659 +a(g185 +V +tp24660 +a(g339 +V= +tp24661 +a(g185 +V +tp24662 +a(g18 +VRangeInfinite +p24663 +tp24664 +a(g185 +V +tp24665 +a(g111 +Vthen +p24666 +tp24667 +a(g185 +V +tp24668 +a(g111 +Vbegin +p24669 +tp24670 +a(g185 +V +tp24671 +a(g7 +V// * --> *-* +p24672 +tp24673 +a(g185 +V\u000a +p24674 +tp24675 +a(g18 +VSimple +p24676 +tp24677 +a(g185 +V +tp24678 +a(g339 +V: +tp24679 +a(g339 +V= +tp24680 +a(g185 +V +tp24681 +a(g111 +VFalse +p24682 +tp24683 +a(g339 +V; +tp24684 +a(g185 +V\u000a +p24685 +tp24686 +a(g18 +VBottom +p24687 +tp24688 +a(g185 +V +tp24689 +a(g339 +V: +tp24690 +a(g339 +V= +tp24691 +a(g185 +V +tp24692 +a(g57 +VLow +p24693 +tp24694 +a(g198 +V( +tp24695 +a(g18 +VBottom +p24696 +tp24697 +a(g198 +V) +tp24698 +a(g339 +V; +tp24699 +a(g185 +V\u000a +p24700 +tp24701 +a(g18 +VTop +p24702 +tp24703 +a(g185 +V +tp24704 +a(g339 +V: +tp24705 +a(g339 +V= +tp24706 +a(g185 +V +tp24707 +a(g57 +VHigh +p24708 +tp24709 +a(g198 +V( +tp24710 +a(g18 +VTop +p24711 +tp24712 +a(g198 +V) +tp24713 +a(g339 +V; +tp24714 +a(g185 +V\u000a +p24715 +tp24716 +a(g111 +Vend +p24717 +tp24718 +a(g185 +V +tp24719 +a(g111 +Velse +p24720 +tp24721 +a(g185 +V +tp24722 +a(g111 +Vif +p24723 +tp24724 +a(g185 +V +tp24725 +a(g111 +Vnot +p24726 +tp24727 +a(g185 +V +tp24728 +a(g18 +VTryStrToCard +p24729 +tp24730 +a(g198 +V( +tp24731 +a(g18 +Vr +tp24732 +a(g339 +V, +tp24733 +a(g185 +V +tp24734 +a(g18 +VValue +p24735 +tp24736 +a(g198 +V) +tp24737 +a(g185 +V +tp24738 +a(g111 +Vthen +p24739 +tp24740 +a(g185 +V\u000a +p24741 +tp24742 +a(g111 +VBreak +p24743 +tp24744 +a(g339 +V; +tp24745 +a(g185 +V\u000a\u000a +p24746 +tp24747 +a(g111 +Vend +p24748 +tp24749 +a(g185 +V +tp24750 +a(g111 +Velse +p24751 +tp24752 +a(g185 +V +tp24753 +a(g111 +Vbegin +p24754 +tp24755 +a(g185 +V\u000a +p24756 +tp24757 +a(g18 +VTileStr +p24758 +tp24759 +a(g198 +V( +tp24760 +a(g18 +Vr +tp24761 +a(g339 +V, +tp24762 +a(g185 +V +tp24763 +a(g18 +Vp +tp24764 +a(g339 +V, +tp24765 +a(g185 +V +tp24766 +a(g18 +Vp +tp24767 +a(g339 +V, +tp24768 +a(g185 +V +tp24769 +a(g18 +Vb +tp24770 +a(g339 +V, +tp24771 +a(g185 +V +tp24772 +a(g18 +Vt +tp24773 +a(g198 +V) +tp24774 +a(g339 +V; +tp24775 +a(g185 +V\u000a\u000a +p24776 +tp24777 +a(g111 +Vif +p24778 +tp24779 +a(g185 +V +tp24780 +a(g18 +Vb +tp24781 +a(g185 +V +tp24782 +a(g339 +V= +tp24783 +a(g185 +V +tp24784 +a(g18 +VRangeInfinite +p24785 +tp24786 +a(g185 +V +tp24787 +a(g111 +Vthen +p24788 +tp24789 +a(g185 +V\u000a +p24790 +tp24791 +a(g18 +VBottom +p24792 +tp24793 +a(g185 +V +tp24794 +a(g339 +V: +tp24795 +a(g339 +V= +tp24796 +a(g185 +V +tp24797 +a(g57 +VLow +p24798 +tp24799 +a(g198 +V( +tp24800 +a(g18 +VBottom +p24801 +tp24802 +a(g198 +V) +tp24803 +a(g185 +V\u000a +p24804 +tp24805 +a(g111 +Velse +p24806 +tp24807 +a(g185 +V +tp24808 +a(g111 +Vif +p24809 +tp24810 +a(g185 +V +tp24811 +a(g111 +Vnot +p24812 +tp24813 +a(g185 +V +tp24814 +a(g18 +VTryStrToCard +p24815 +tp24816 +a(g198 +V( +tp24817 +a(g18 +Vb +tp24818 +a(g339 +V, +tp24819 +a(g185 +V +tp24820 +a(g18 +VBottom +p24821 +tp24822 +a(g198 +V) +tp24823 +a(g185 +V +tp24824 +a(g111 +Vthen +p24825 +tp24826 +a(g185 +V\u000a +p24827 +tp24828 +a(g111 +VBreak +p24829 +tp24830 +a(g339 +V; +tp24831 +a(g185 +V\u000a\u000a +p24832 +tp24833 +a(g111 +Vif +p24834 +tp24835 +a(g185 +V +tp24836 +a(g18 +Vt +tp24837 +a(g185 +V +tp24838 +a(g339 +V= +tp24839 +a(g185 +V +tp24840 +a(g18 +VRangeInfinite +p24841 +tp24842 +a(g185 +V +tp24843 +a(g111 +Vthen +p24844 +tp24845 +a(g185 +V\u000a +p24846 +tp24847 +a(g18 +VTop +p24848 +tp24849 +a(g185 +V +tp24850 +a(g339 +V: +tp24851 +a(g339 +V= +tp24852 +a(g185 +V +tp24853 +a(g57 +VHigh +p24854 +tp24855 +a(g198 +V( +tp24856 +a(g18 +VTop +p24857 +tp24858 +a(g198 +V) +tp24859 +a(g185 +V\u000a +p24860 +tp24861 +a(g111 +Velse +p24862 +tp24863 +a(g185 +V +tp24864 +a(g111 +Vif +p24865 +tp24866 +a(g185 +V +tp24867 +a(g111 +Vnot +p24868 +tp24869 +a(g185 +V +tp24870 +a(g18 +VTryStrToCard +p24871 +tp24872 +a(g198 +V( +tp24873 +a(g18 +Vt +tp24874 +a(g339 +V, +tp24875 +a(g185 +V +tp24876 +a(g18 +VTop +p24877 +tp24878 +a(g198 +V) +tp24879 +a(g185 +V +tp24880 +a(g111 +Vthen +p24881 +tp24882 +a(g185 +V\u000a +p24883 +tp24884 +a(g111 +VBreak +p24885 +tp24886 +a(g339 +V; +tp24887 +a(g185 +V\u000a +p24888 +tp24889 +a(g111 +Vif +p24890 +tp24891 +a(g185 +V +tp24892 +a(g18 +VBottom +p24893 +tp24894 +a(g185 +V +tp24895 +a(g339 +V> +tp24896 +a(g185 +V +tp24897 +a(g18 +VTop +p24898 +tp24899 +a(g185 +V +tp24900 +a(g111 +Vthen +p24901 +tp24902 +a(g185 +V +tp24903 +a(g111 +Vbegin +p24904 +tp24905 +a(g185 +V\u000a +p24906 +tp24907 +a(g18 +Vp +tp24908 +a(g185 +V +tp24909 +a(g339 +V: +tp24910 +a(g339 +V= +tp24911 +a(g185 +V +tp24912 +a(g18 +VBottom +p24913 +tp24914 +a(g339 +V; +tp24915 +a(g185 +V +tp24916 +a(g18 +VBottom +p24917 +tp24918 +a(g185 +V +tp24919 +a(g339 +V: +tp24920 +a(g339 +V= +tp24921 +a(g185 +V +tp24922 +a(g18 +VTop +p24923 +tp24924 +a(g339 +V; +tp24925 +a(g185 +V +tp24926 +a(g18 +VTop +p24927 +tp24928 +a(g185 +V +tp24929 +a(g339 +V: +tp24930 +a(g339 +V= +tp24931 +a(g185 +V +tp24932 +a(g18 +Vp +tp24933 +a(g339 +V; +tp24934 +a(g185 +V\u000a +p24935 +tp24936 +a(g111 +Vend +p24937 +tp24938 +a(g339 +V; +tp24939 +a(g185 +V\u000a +p24940 +tp24941 +a(g111 +Vend +p24942 +tp24943 +a(g339 +V; +tp24944 +a(g185 +V\u000a +p24945 +tp24946 +a(g111 +Vend +p24947 +tp24948 +a(g339 +V; +tp24949 +a(g185 +V\u000a +p24950 +tp24951 +a(g111 +Vend +p24952 +tp24953 +a(g339 +V; +tp24954 +a(g185 +V\u000a\u000a +p24955 +tp24956 +a(g111 +Vif +p24957 +tp24958 +a(g185 +V +tp24959 +a(g18 +Vi +tp24960 +a(g185 +V +tp24961 +a(g339 +V< +tp24962 +a(g339 +V> +tp24963 +a(g185 +V +tp24964 +a(g18 +VSL +p24965 +tp24966 +a(g339 +V. +tp24967 +a(g18 +VCount +p24968 +tp24969 +a(g185 +V +tp24970 +a(g111 +Vthen +p24971 +tp24972 +a(g185 +V\u000a +p24973 +tp24974 +a(g60 +VResult +p24975 +tp24976 +a(g185 +V +tp24977 +a(g339 +V: +tp24978 +a(g339 +V= +tp24979 +a(g185 +V +tp24980 +a(g111 +Vnil +p24981 +tp24982 +a(g339 +V; +tp24983 +a(g185 +V\u000a\u000a +p24984 +tp24985 +a(g111 +Vfinally +p24986 +tp24987 +a(g185 +V\u000a +p24988 +tp24989 +a(g18 +VSL +p24990 +tp24991 +a(g339 +V. +tp24992 +a(g18 +VFree +p24993 +tp24994 +a(g339 +V; +tp24995 +a(g185 +V\u000a +p24996 +tp24997 +a(g111 +Vend +p24998 +tp24999 +a(g339 +V; +tp25000 +a(g185 +V\u000a +tp25001 +a(g111 +Vend +p25002 +tp25003 +a(g339 +V; +tp25004 +a(g185 +V\u000a\u000a +p25005 +tp25006 +a(g111 +Vfunction +p25007 +tp25008 +a(g185 +V +tp25009 +a(g21 +VInRanges +p25010 +tp25011 +a(g198 +V( +tp25012 +a(g18 +VRanges +p25013 +tp25014 +a(g339 +V: +tp25015 +a(g185 +V +tp25016 +a(g18 +VTRanges +p25017 +tp25018 +a(g339 +V; +tp25019 +a(g185 +V +tp25020 +a(g18 +VTestValue +p25021 +tp25022 +a(g339 +V: +tp25023 +a(g185 +V +tp25024 +a(g135 +VCardinal +p25025 +tp25026 +a(g198 +V) +tp25027 +a(g339 +V: +tp25028 +a(g185 +V +tp25029 +a(g135 +VBoolean +p25030 +tp25031 +a(g339 +V; +tp25032 +a(g185 +V\u000a +tp25033 +a(g111 +Vvar +p25034 +tp25035 +a(g185 +V\u000a +p25036 +tp25037 +a(g18 +Vi +tp25038 +a(g339 +V: +tp25039 +a(g185 +V +tp25040 +a(g135 +VInteger +p25041 +tp25042 +a(g339 +V; +tp25043 +a(g185 +V\u000a +tp25044 +a(g111 +Vbegin +p25045 +tp25046 +a(g185 +V\u000a +p25047 +tp25048 +a(g60 +VResult +p25049 +tp25050 +a(g185 +V +tp25051 +a(g339 +V: +tp25052 +a(g339 +V= +tp25053 +a(g185 +V +tp25054 +a(g111 +VTrue +p25055 +tp25056 +a(g339 +V; +tp25057 +a(g185 +V\u000a\u000a +p25058 +tp25059 +a(g111 +Vfor +p25060 +tp25061 +a(g185 +V +tp25062 +a(g18 +Vi +tp25063 +a(g185 +V +tp25064 +a(g339 +V: +tp25065 +a(g339 +V= +tp25066 +a(g185 +V +tp25067 +a(g314 +V0 +tp25068 +a(g185 +V +tp25069 +a(g111 +Vto +p25070 +tp25071 +a(g185 +V +tp25072 +a(g57 +VHigh +p25073 +tp25074 +a(g198 +V( +tp25075 +a(g18 +VRanges +p25076 +tp25077 +a(g198 +V) +tp25078 +a(g185 +V +tp25079 +a(g111 +Vdo +p25080 +tp25081 +a(g185 +V\u000a +p25082 +tp25083 +a(g111 +Vwith +p25084 +tp25085 +a(g185 +V +tp25086 +a(g18 +VRanges +p25087 +tp25088 +a(g198 +V[ +tp25089 +a(g18 +Vi +tp25090 +a(g198 +V] +tp25091 +a(g185 +V +tp25092 +a(g111 +Vdo +p25093 +tp25094 +a(g185 +V\u000a +p25095 +tp25096 +a(g111 +Vif +p25097 +tp25098 +a(g185 +V +tp25099 +a(g18 +VSimple +p25100 +tp25101 +a(g185 +V +tp25102 +a(g111 +Vthen +p25103 +tp25104 +a(g185 +V +tp25105 +a(g111 +Vbegin +p25106 +tp25107 +a(g185 +V\u000a +p25108 +tp25109 +a(g111 +Vif +p25110 +tp25111 +a(g185 +V +tp25112 +a(g18 +VTestValue +p25113 +tp25114 +a(g185 +V +tp25115 +a(g339 +V= +tp25116 +a(g185 +V +tp25117 +a(g18 +VValue +p25118 +tp25119 +a(g185 +V +tp25120 +a(g111 +Vthen +p25121 +tp25122 +a(g185 +V\u000a +p25123 +tp25124 +a(g111 +VExit +p25125 +tp25126 +a(g339 +V; +tp25127 +a(g185 +V\u000a +p25128 +tp25129 +a(g111 +Vend +p25130 +tp25131 +a(g185 +V +tp25132 +a(g111 +Velse +p25133 +tp25134 +a(g185 +V +tp25135 +a(g111 +Vbegin +p25136 +tp25137 +a(g185 +V\u000a +p25138 +tp25139 +a(g111 +Vif +p25140 +tp25141 +a(g185 +V +tp25142 +a(g57 +VInRange +p25143 +tp25144 +a(g198 +V( +tp25145 +a(g18 +VTestValue +p25146 +tp25147 +a(g339 +V, +tp25148 +a(g185 +V +tp25149 +a(g18 +VBottom +p25150 +tp25151 +a(g339 +V, +tp25152 +a(g185 +V +tp25153 +a(g18 +VTop +p25154 +tp25155 +a(g198 +V) +tp25156 +a(g185 +V +tp25157 +a(g111 +Vthen +p25158 +tp25159 +a(g185 +V\u000a +p25160 +tp25161 +a(g111 +VExit +p25162 +tp25163 +a(g339 +V; +tp25164 +a(g185 +V\u000a +p25165 +tp25166 +a(g111 +Vend +p25167 +tp25168 +a(g339 +V; +tp25169 +a(g185 +V\u000a\u000a +p25170 +tp25171 +a(g60 +VResult +p25172 +tp25173 +a(g185 +V +tp25174 +a(g339 +V: +tp25175 +a(g339 +V= +tp25176 +a(g185 +V +tp25177 +a(g111 +VFalse +p25178 +tp25179 +a(g339 +V; +tp25180 +a(g185 +V\u000a +tp25181 +a(g111 +Vend +p25182 +tp25183 +a(g339 +V; +tp25184 +a(g185 +V\u000a\u000a +p25185 +tp25186 +a(g111 +Vprocedure +p25187 +tp25188 +a(g185 +V +tp25189 +a(g21 +VWriteSL +p25190 +tp25191 +a(g198 +V( +tp25192 +a(g18 +VStrings +p25193 +tp25194 +a(g339 +V: +tp25195 +a(g185 +V +tp25196 +a(g18 +VTStrings +p25197 +tp25198 +a(g339 +V; +tp25199 +a(g185 +V +tp25200 +a(g111 +Vconst +p25201 +tp25202 +a(g185 +V +tp25203 +a(g18 +VPrefix +p25204 +tp25205 +a(g339 +V: +tp25206 +a(g185 +V +tp25207 +a(g111 +Vstring +p25208 +tp25209 +a(g185 +V +tp25210 +a(g339 +V= +tp25211 +a(g185 +V +tp25212 +a(g222 +V' +tp25213 +a(g222 +V' +tp25214 +a(g339 +V; +tp25215 +a(g185 +V\u000a +p25216 +tp25217 +a(g111 +Vconst +p25218 +tp25219 +a(g185 +V +tp25220 +a(g18 +VSuffix +p25221 +tp25222 +a(g339 +V: +tp25223 +a(g185 +V +tp25224 +a(g111 +Vstring +p25225 +tp25226 +a(g185 +V +tp25227 +a(g339 +V= +tp25228 +a(g185 +V +tp25229 +a(g222 +V' +tp25230 +a(g222 +V' +tp25231 +a(g198 +V) +tp25232 +a(g339 +V; +tp25233 +a(g185 +V\u000a +tp25234 +a(g111 +Vvar +p25235 +tp25236 +a(g185 +V\u000a +p25237 +tp25238 +a(g18 +Vi +tp25239 +a(g339 +V: +tp25240 +a(g185 +V +tp25241 +a(g135 +VInteger +p25242 +tp25243 +a(g339 +V; +tp25244 +a(g185 +V\u000a +tp25245 +a(g111 +Vbegin +p25246 +tp25247 +a(g185 +V\u000a +p25248 +tp25249 +a(g111 +Vfor +p25250 +tp25251 +a(g185 +V +tp25252 +a(g18 +Vi +tp25253 +a(g185 +V +tp25254 +a(g339 +V: +tp25255 +a(g339 +V= +tp25256 +a(g185 +V +tp25257 +a(g314 +V0 +tp25258 +a(g185 +V +tp25259 +a(g111 +Vto +p25260 +tp25261 +a(g185 +V +tp25262 +a(g18 +VStrings +p25263 +tp25264 +a(g339 +V. +tp25265 +a(g18 +VCount +p25266 +tp25267 +a(g339 +V- +tp25268 +a(g314 +V1 +tp25269 +a(g185 +V +tp25270 +a(g111 +Vdo +p25271 +tp25272 +a(g185 +V\u000a +p25273 +tp25274 +a(g57 +VWriteLn +p25275 +tp25276 +a(g198 +V( +tp25277 +a(g18 +VPrefix +p25278 +tp25279 +a(g185 +V +tp25280 +a(g339 +V+ +tp25281 +a(g185 +V +tp25282 +a(g18 +VStrings +p25283 +tp25284 +a(g198 +V[ +tp25285 +a(g18 +Vi +tp25286 +a(g198 +V] +tp25287 +a(g185 +V +tp25288 +a(g339 +V+ +tp25289 +a(g185 +V +tp25290 +a(g18 +VSuffix +p25291 +tp25292 +a(g198 +V) +tp25293 +a(g339 +V; +tp25294 +a(g185 +V\u000a +tp25295 +a(g111 +Vend +p25296 +tp25297 +a(g339 +V; +tp25298 +a(g185 +V\u000a\u000a +p25299 +tp25300 +a(g111 +Vfunction +p25301 +tp25302 +a(g185 +V +tp25303 +a(g21 +VSuccess +p25304 +tp25305 +a(g198 +V( +tp25306 +a(g18 +VRes +p25307 +tp25308 +a(g339 +V: +tp25309 +a(g185 +V +tp25310 +a(g135 +VInteger +p25311 +tp25312 +a(g339 +V; +tp25313 +a(g185 +V +tp25314 +a(g18 +VResultOnSuccess +p25315 +tp25316 +a(g339 +V: +tp25317 +a(g185 +V +tp25318 +a(g135 +VInteger +p25319 +tp25320 +a(g185 +V +tp25321 +a(g339 +V= +tp25322 +a(g185 +V +tp25323 +a(g18 +VERROR_SUCCESS +p25324 +tp25325 +a(g198 +V) +tp25326 +a(g339 +V: +tp25327 +a(g185 +V +tp25328 +a(g135 +VBoolean +p25329 +tp25330 +a(g339 +V; +tp25331 +a(g185 +V\u000a +tp25332 +a(g111 +Vbegin +p25333 +tp25334 +a(g185 +V\u000a +p25335 +tp25336 +a(g60 +VResult +p25337 +tp25338 +a(g185 +V +tp25339 +a(g339 +V: +tp25340 +a(g339 +V= +tp25341 +a(g185 +V +tp25342 +a(g198 +V( +tp25343 +a(g18 +VRes +p25344 +tp25345 +a(g185 +V +tp25346 +a(g339 +V= +tp25347 +a(g185 +V +tp25348 +a(g18 +VResultOnSuccess +p25349 +tp25350 +a(g198 +V) +tp25351 +a(g339 +V; +tp25352 +a(g185 +V\u000a +p25353 +tp25354 +a(g18 +VLastSuccessRes +p25355 +tp25356 +a(g185 +V +tp25357 +a(g339 +V: +tp25358 +a(g339 +V= +tp25359 +a(g185 +V +tp25360 +a(g18 +VRes +p25361 +tp25362 +a(g339 +V; +tp25363 +a(g185 +V\u000a +tp25364 +a(g111 +Vend +p25365 +tp25366 +a(g339 +V; +tp25367 +a(g185 +V\u000a\u000a +p25368 +tp25369 +a(g111 +Vfunction +p25370 +tp25371 +a(g185 +V +tp25372 +a(g21 +VFailure +p25373 +tp25374 +a(g198 +V( +tp25375 +a(g18 +VRes +p25376 +tp25377 +a(g339 +V: +tp25378 +a(g185 +V +tp25379 +a(g135 +VInteger +p25380 +tp25381 +a(g339 +V; +tp25382 +a(g185 +V +tp25383 +a(g18 +VResultOnSuccess +p25384 +tp25385 +a(g339 +V: +tp25386 +a(g185 +V +tp25387 +a(g135 +VInteger +p25388 +tp25389 +a(g185 +V +tp25390 +a(g339 +V= +tp25391 +a(g185 +V +tp25392 +a(g18 +VERROR_SUCCESS +p25393 +tp25394 +a(g198 +V) +tp25395 +a(g339 +V: +tp25396 +a(g185 +V +tp25397 +a(g135 +VBoolean +p25398 +tp25399 +a(g339 +V; +tp25400 +a(g185 +V\u000a +tp25401 +a(g111 +Vbegin +p25402 +tp25403 +a(g185 +V\u000a +p25404 +tp25405 +a(g60 +VResult +p25406 +tp25407 +a(g185 +V +tp25408 +a(g339 +V: +tp25409 +a(g339 +V= +tp25410 +a(g185 +V +tp25411 +a(g111 +Vnot +p25412 +tp25413 +a(g185 +V +tp25414 +a(g18 +VSuccess +p25415 +tp25416 +a(g198 +V( +tp25417 +a(g18 +VRes +p25418 +tp25419 +a(g339 +V, +tp25420 +a(g185 +V +tp25421 +a(g18 +VResultOnSuccess +p25422 +tp25423 +a(g198 +V) +tp25424 +a(g339 +V; +tp25425 +a(g185 +V\u000a +tp25426 +a(g111 +Vend +p25427 +tp25428 +a(g339 +V; +tp25429 +a(g185 +V\u000a\u000a +p25430 +tp25431 +a(g111 +Vfunction +p25432 +tp25433 +a(g185 +V +tp25434 +a(g21 +VExpandString +p25435 +tp25436 +a(g198 +V( +tp25437 +a(g111 +Vconst +p25438 +tp25439 +a(g185 +V +tp25440 +a(g18 +VS +tp25441 +a(g339 +V: +tp25442 +a(g185 +V +tp25443 +a(g111 +Vstring +p25444 +tp25445 +a(g198 +V) +tp25446 +a(g339 +V: +tp25447 +a(g185 +V +tp25448 +a(g111 +Vstring +p25449 +tp25450 +a(g339 +V; +tp25451 +a(g185 +V\u000a +tp25452 +a(g111 +Vvar +p25453 +tp25454 +a(g185 +V\u000a +p25455 +tp25456 +a(g18 +VLen +p25457 +tp25458 +a(g339 +V: +tp25459 +a(g185 +V +tp25460 +a(g135 +VInteger +p25461 +tp25462 +a(g339 +V; +tp25463 +a(g185 +V\u000a +p25464 +tp25465 +a(g18 +VP +tp25466 +a(g339 +V, +tp25467 +a(g185 +V +tp25468 +a(g18 +VRes +p25469 +tp25470 +a(g339 +V: +tp25471 +a(g185 +V +tp25472 +a(g135 +VPChar +p25473 +tp25474 +a(g339 +V; +tp25475 +a(g185 +V\u000a +tp25476 +a(g111 +Vbegin +p25477 +tp25478 +a(g185 +V\u000a +p25479 +tp25480 +a(g60 +VResult +p25481 +tp25482 +a(g185 +V +tp25483 +a(g339 +V: +tp25484 +a(g339 +V= +tp25485 +a(g185 +V +tp25486 +a(g222 +V' +tp25487 +a(g222 +V' +tp25488 +a(g339 +V; +tp25489 +a(g185 +V\u000a +p25490 +tp25491 +a(g18 +VP +tp25492 +a(g185 +V +tp25493 +a(g339 +V: +tp25494 +a(g339 +V= +tp25495 +a(g185 +V +tp25496 +a(g135 +VPChar +p25497 +tp25498 +a(g198 +V( +tp25499 +a(g18 +VS +tp25500 +a(g198 +V) +tp25501 +a(g339 +V; +tp25502 +a(g185 +V\u000a +p25503 +tp25504 +a(g18 +VLen +p25505 +tp25506 +a(g185 +V +tp25507 +a(g339 +V: +tp25508 +a(g339 +V= +tp25509 +a(g185 +V +tp25510 +a(g18 +VExpandEnvironmentStrings +p25511 +tp25512 +a(g198 +V( +tp25513 +a(g18 +VP +tp25514 +a(g339 +V, +tp25515 +a(g185 +V +tp25516 +a(g111 +Vnil +p25517 +tp25518 +a(g339 +V, +tp25519 +a(g185 +V +tp25520 +a(g314 +V0 +tp25521 +a(g198 +V) +tp25522 +a(g339 +V; +tp25523 +a(g185 +V\u000a +p25524 +tp25525 +a(g111 +Vif +p25526 +tp25527 +a(g185 +V +tp25528 +a(g18 +VLen +p25529 +tp25530 +a(g185 +V +tp25531 +a(g339 +V= +tp25532 +a(g185 +V +tp25533 +a(g314 +V0 +tp25534 +a(g185 +V +tp25535 +a(g111 +Vthen +p25536 +tp25537 +a(g185 +V\u000a +p25538 +tp25539 +a(g111 +VExit +p25540 +tp25541 +a(g339 +V; +tp25542 +a(g185 +V\u000a\u000a +p25543 +tp25544 +a(g57 +VGetMem +p25545 +tp25546 +a(g198 +V( +tp25547 +a(g18 +VRes +p25548 +tp25549 +a(g339 +V, +tp25550 +a(g185 +V +tp25551 +a(g18 +VLen +p25552 +tp25553 +a(g198 +V) +tp25554 +a(g339 +V; +tp25555 +a(g185 +V\u000a +p25556 +tp25557 +a(g18 +VExpandEnvironmentStrings +p25558 +tp25559 +a(g198 +V( +tp25560 +a(g18 +VP +tp25561 +a(g339 +V, +tp25562 +a(g185 +V +tp25563 +a(g18 +VRes +p25564 +tp25565 +a(g339 +V, +tp25566 +a(g185 +V +tp25567 +a(g18 +VLen +p25568 +tp25569 +a(g198 +V) +tp25570 +a(g339 +V; +tp25571 +a(g185 +V\u000a\u000a +p25572 +tp25573 +a(g60 +VResult +p25574 +tp25575 +a(g185 +V +tp25576 +a(g339 +V: +tp25577 +a(g339 +V= +tp25578 +a(g185 +V +tp25579 +a(g18 +VRes +p25580 +tp25581 +a(g339 +V; +tp25582 +a(g185 +V\u000a +p25583 +tp25584 +a(g57 +VFreeMem +p25585 +tp25586 +a(g198 +V( +tp25587 +a(g18 +VRes +p25588 +tp25589 +a(g339 +V, +tp25590 +a(g185 +V +tp25591 +a(g18 +VLen +p25592 +tp25593 +a(g198 +V) +tp25594 +a(g339 +V; +tp25595 +a(g185 +V\u000a +tp25596 +a(g111 +Vend +p25597 +tp25598 +a(g339 +V; +tp25599 +a(g185 +V\u000a\u000a +p25600 +tp25601 +a(g111 +Vfunction +p25602 +tp25603 +a(g185 +V +tp25604 +a(g21 +VFindAll +p25605 +tp25606 +a(g198 +V( +tp25607 +a(g18 +VStrings +p25608 +tp25609 +a(g339 +V: +tp25610 +a(g185 +V +tp25611 +a(g18 +VTStrings +p25612 +tp25613 +a(g339 +V; +tp25614 +a(g185 +V +tp25615 +a(g111 +Vconst +p25616 +tp25617 +a(g185 +V +tp25618 +a(g18 +VMask +p25619 +tp25620 +a(g339 +V: +tp25621 +a(g185 +V +tp25622 +a(g111 +Vstring +p25623 +tp25624 +a(g339 +V; +tp25625 +a(g185 +V\u000a +p25626 +tp25627 +a(g18 +VScanSubDirs +p25628 +tp25629 +a(g339 +V: +tp25630 +a(g185 +V +tp25631 +a(g135 +VBoolean +p25632 +tp25633 +a(g185 +V +tp25634 +a(g339 +V= +tp25635 +a(g185 +V +tp25636 +a(g111 +VTrue +p25637 +tp25638 +a(g339 +V; +tp25639 +a(g185 +V +tp25640 +a(g18 +VAttributes +p25641 +tp25642 +a(g339 +V: +tp25643 +a(g185 +V +tp25644 +a(g135 +VInteger +p25645 +tp25646 +a(g185 +V +tp25647 +a(g339 +V= +tp25648 +a(g185 +V +tp25649 +a(g18 +VfaFindEveryFile +p25650 +tp25651 +a(g339 +V; +tp25652 +a(g185 +V\u000a +p25653 +tp25654 +a(g18 +VFileReturn +p25655 +tp25656 +a(g339 +V: +tp25657 +a(g185 +V +tp25658 +a(g18 +VTFileNameFunc +p25659 +tp25660 +a(g185 +V +tp25661 +a(g339 +V= +tp25662 +a(g185 +V +tp25663 +a(g111 +Vnil +p25664 +tp25665 +a(g198 +V) +tp25666 +a(g339 +V: +tp25667 +a(g185 +V +tp25668 +a(g135 +VBoolean +p25669 +tp25670 +a(g339 +V; +tp25671 +a(g185 +V\u000a +tp25672 +a(g111 +Vvar +p25673 +tp25674 +a(g185 +V\u000a +p25675 +tp25676 +a(g18 +VPath +p25677 +tp25678 +a(g339 +V, +tp25679 +a(g185 +V +tp25680 +a(g18 +VFileName +p25681 +tp25682 +a(g339 +V: +tp25683 +a(g185 +V +tp25684 +a(g111 +Vstring +p25685 +tp25686 +a(g339 +V; +tp25687 +a(g185 +V\u000a\u000a +p25688 +tp25689 +a(g111 +Vprocedure +p25690 +tp25691 +a(g185 +V +tp25692 +a(g21 +VScanDir +p25693 +tp25694 +a(g198 +V( +tp25695 +a(g111 +Vconst +p25696 +tp25697 +a(g185 +V +tp25698 +a(g18 +VPath +p25699 +tp25700 +a(g339 +V, +tp25701 +a(g185 +V +tp25702 +a(g18 +VFileName +p25703 +tp25704 +a(g339 +V: +tp25705 +a(g185 +V +tp25706 +a(g111 +Vstring +p25707 +tp25708 +a(g198 +V) +tp25709 +a(g339 +V; +tp25710 +a(g185 +V\u000a +p25711 +tp25712 +a(g111 +Vvar +p25713 +tp25714 +a(g185 +V\u000a +p25715 +tp25716 +a(g18 +VPSR +p25717 +tp25718 +a(g339 +V: +tp25719 +a(g185 +V +tp25720 +a(g18 +VTSearchRec +p25721 +tp25722 +a(g339 +V; +tp25723 +a(g185 +V\u000a +p25724 +tp25725 +a(g18 +VRes +p25726 +tp25727 +a(g339 +V: +tp25728 +a(g185 +V +tp25729 +a(g135 +VInteger +p25730 +tp25731 +a(g339 +V; +tp25732 +a(g185 +V\u000a\u000a +p25733 +tp25734 +a(g111 +Vprocedure +p25735 +tp25736 +a(g185 +V +tp25737 +a(g21 +VAdd +p25738 +tp25739 +a(g198 +V( +tp25740 +a(g111 +Vconst +p25741 +tp25742 +a(g185 +V +tp25743 +a(g18 +VS +tp25744 +a(g339 +V: +tp25745 +a(g185 +V +tp25746 +a(g111 +Vstring +p25747 +tp25748 +a(g198 +V) +tp25749 +a(g339 +V; +tp25750 +a(g185 +V\u000a +p25751 +tp25752 +a(g111 +Vbegin +p25753 +tp25754 +a(g185 +V\u000a +p25755 +tp25756 +a(g111 +Vif +p25757 +tp25758 +a(g185 +V +tp25759 +a(g18 +VS +tp25760 +a(g185 +V +tp25761 +a(g339 +V< +tp25762 +a(g339 +V> +tp25763 +a(g185 +V +tp25764 +a(g222 +V' +tp25765 +a(g222 +V' +tp25766 +a(g185 +V +tp25767 +a(g111 +Vthen +p25768 +tp25769 +a(g185 +V\u000a +p25770 +tp25771 +a(g18 +VStrings +p25772 +tp25773 +a(g339 +V. +tp25774 +a(g18 +VAdd +p25775 +tp25776 +a(g198 +V( +tp25777 +a(g18 +VS +tp25778 +a(g198 +V) +tp25779 +a(g339 +V; +tp25780 +a(g185 +V\u000a +p25781 +tp25782 +a(g111 +Vend +p25783 +tp25784 +a(g339 +V; +tp25785 +a(g185 +V\u000a\u000a +p25786 +tp25787 +a(g111 +Vbegin +p25788 +tp25789 +a(g185 +V\u000a +p25790 +tp25791 +a(g18 +VRes +p25792 +tp25793 +a(g185 +V +tp25794 +a(g339 +V: +tp25795 +a(g339 +V= +tp25796 +a(g185 +V +tp25797 +a(g57 +VFindFirst +p25798 +tp25799 +a(g198 +V( +tp25800 +a(g18 +VPath +p25801 +tp25802 +a(g185 +V +tp25803 +a(g339 +V+ +tp25804 +a(g185 +V +tp25805 +a(g18 +VFileName +p25806 +tp25807 +a(g339 +V, +tp25808 +a(g185 +V +tp25809 +a(g18 +VAttributes +p25810 +tp25811 +a(g339 +V, +tp25812 +a(g185 +V +tp25813 +a(g18 +VPSR +p25814 +tp25815 +a(g198 +V) +tp25816 +a(g339 +V; +tp25817 +a(g185 +V\u000a +p25818 +tp25819 +a(g111 +Vwhile +p25820 +tp25821 +a(g185 +V +tp25822 +a(g18 +VSuccess +p25823 +tp25824 +a(g198 +V( +tp25825 +a(g18 +VRes +p25826 +tp25827 +a(g339 +V, +tp25828 +a(g185 +V +tp25829 +a(g314 +V0 +tp25830 +a(g198 +V) +tp25831 +a(g185 +V +tp25832 +a(g111 +Vdo +p25833 +tp25834 +a(g185 +V +tp25835 +a(g111 +Vbegin +p25836 +tp25837 +a(g185 +V\u000a +p25838 +tp25839 +a(g111 +Vif +p25840 +tp25841 +a(g185 +V +tp25842 +a(g57 +VAssigned +p25843 +tp25844 +a(g198 +V( +tp25845 +a(g18 +VFileReturn +p25846 +tp25847 +a(g198 +V) +tp25848 +a(g185 +V +tp25849 +a(g111 +Vthen +p25850 +tp25851 +a(g185 +V\u000a +p25852 +tp25853 +a(g18 +VAdd +p25854 +tp25855 +a(g198 +V( +tp25856 +a(g18 +VFileReturn +p25857 +tp25858 +a(g198 +V( +tp25859 +a(g18 +VPath +p25860 +tp25861 +a(g185 +V +tp25862 +a(g339 +V+ +tp25863 +a(g185 +V +tp25864 +a(g18 +VPSR +p25865 +tp25866 +a(g339 +V. +tp25867 +a(g18 +VName +p25868 +tp25869 +a(g198 +V)) +p25870 +tp25871 +a(g185 +V\u000a +p25872 +tp25873 +a(g111 +Velse +p25874 +tp25875 +a(g185 +V\u000a +p25876 +tp25877 +a(g18 +VAdd +p25878 +tp25879 +a(g198 +V( +tp25880 +a(g18 +VPath +p25881 +tp25882 +a(g185 +V +tp25883 +a(g339 +V+ +tp25884 +a(g185 +V +tp25885 +a(g18 +VPSR +p25886 +tp25887 +a(g339 +V. +tp25888 +a(g18 +VName +p25889 +tp25890 +a(g198 +V) +tp25891 +a(g339 +V; +tp25892 +a(g185 +V\u000a +p25893 +tp25894 +a(g18 +VRes +p25895 +tp25896 +a(g185 +V +tp25897 +a(g339 +V: +tp25898 +a(g339 +V= +tp25899 +a(g185 +V +tp25900 +a(g57 +VFindNext +p25901 +tp25902 +a(g198 +V( +tp25903 +a(g18 +VPSR +p25904 +tp25905 +a(g198 +V) +tp25906 +a(g339 +V; +tp25907 +a(g185 +V\u000a +p25908 +tp25909 +a(g111 +Vend +p25910 +tp25911 +a(g339 +V; +tp25912 +a(g185 +V\u000a +p25913 +tp25914 +a(g57 +VFindClose +p25915 +tp25916 +a(g198 +V( +tp25917 +a(g18 +VPSR +p25918 +tp25919 +a(g198 +V) +tp25920 +a(g339 +V; +tp25921 +a(g185 +V\u000a +p25922 +tp25923 +a(g111 +Vif +p25924 +tp25925 +a(g185 +V +tp25926 +a(g111 +Vnot +p25927 +tp25928 +a(g185 +V +tp25929 +a(g18 +VScanSubDirs +p25930 +tp25931 +a(g185 +V +tp25932 +a(g111 +Vthen +p25933 +tp25934 +a(g185 +V\u000a +p25935 +tp25936 +a(g111 +VExit +p25937 +tp25938 +a(g339 +V; +tp25939 +a(g185 +V\u000a\u000a +p25940 +tp25941 +a(g18 +VRes +p25942 +tp25943 +a(g185 +V +tp25944 +a(g339 +V: +tp25945 +a(g339 +V= +tp25946 +a(g185 +V +tp25947 +a(g57 +VFindFirst +p25948 +tp25949 +a(g198 +V( +tp25950 +a(g18 +VPath +p25951 +tp25952 +a(g185 +V +tp25953 +a(g339 +V+ +tp25954 +a(g185 +V +tp25955 +a(g222 +V' +tp25956 +a(g222 +V* +tp25957 +a(g222 +V' +tp25958 +a(g339 +V, +tp25959 +a(g185 +V +tp25960 +a(g18 +VfaDirectory +p25961 +tp25962 +a(g339 +V, +tp25963 +a(g185 +V +tp25964 +a(g18 +VPSR +p25965 +tp25966 +a(g198 +V) +tp25967 +a(g339 +V; +tp25968 +a(g185 +V\u000a +p25969 +tp25970 +a(g111 +Vwhile +p25971 +tp25972 +a(g185 +V +tp25973 +a(g18 +VSuccess +p25974 +tp25975 +a(g198 +V( +tp25976 +a(g18 +VRes +p25977 +tp25978 +a(g339 +V, +tp25979 +a(g185 +V +tp25980 +a(g314 +V0 +tp25981 +a(g198 +V) +tp25982 +a(g185 +V +tp25983 +a(g111 +Vdo +p25984 +tp25985 +a(g185 +V +tp25986 +a(g111 +Vbegin +p25987 +tp25988 +a(g185 +V\u000a +p25989 +tp25990 +a(g111 +Vif +p25991 +tp25992 +a(g185 +V +tp25993 +a(g198 +V( +tp25994 +a(g18 +VPSR +p25995 +tp25996 +a(g339 +V. +tp25997 +a(g18 +VAttr +p25998 +tp25999 +a(g185 +V +tp26000 +a(g111 +Vand +p26001 +tp26002 +a(g185 +V +tp26003 +a(g18 +VfaDirectory +p26004 +tp26005 +a(g185 +V +tp26006 +a(g339 +V> +tp26007 +a(g185 +V +tp26008 +a(g314 +V0 +tp26009 +a(g198 +V) +tp26010 +a(g185 +V\u000a +p26011 +tp26012 +a(g111 +Vand +p26013 +tp26014 +a(g185 +V +tp26015 +a(g198 +V( +tp26016 +a(g18 +VPSR +p26017 +tp26018 +a(g339 +V. +tp26019 +a(g18 +VName +p26020 +tp26021 +a(g185 +V +tp26022 +a(g339 +V< +tp26023 +a(g339 +V> +tp26024 +a(g185 +V +tp26025 +a(g222 +V' +tp26026 +a(g222 +V. +tp26027 +a(g222 +V' +tp26028 +a(g198 +V) +tp26029 +a(g185 +V +tp26030 +a(g111 +Vand +p26031 +tp26032 +a(g185 +V +tp26033 +a(g198 +V( +tp26034 +a(g18 +VPSR +p26035 +tp26036 +a(g339 +V. +tp26037 +a(g18 +VName +p26038 +tp26039 +a(g185 +V +tp26040 +a(g339 +V< +tp26041 +a(g339 +V> +tp26042 +a(g185 +V +tp26043 +a(g222 +V' +tp26044 +a(g222 +V.. +p26045 +tp26046 +a(g222 +V' +tp26047 +a(g198 +V) +tp26048 +a(g185 +V +tp26049 +a(g111 +Vthen +p26050 +tp26051 +a(g185 +V\u000a +p26052 +tp26053 +a(g18 +VScanDir +p26054 +tp26055 +a(g198 +V( +tp26056 +a(g18 +VPath +p26057 +tp26058 +a(g185 +V +tp26059 +a(g339 +V+ +tp26060 +a(g185 +V +tp26061 +a(g18 +VPSR +p26062 +tp26063 +a(g339 +V. +tp26064 +a(g18 +VName +p26065 +tp26066 +a(g185 +V +tp26067 +a(g339 +V+ +tp26068 +a(g185 +V +tp26069 +a(g222 +V' +tp26070 +a(g222 +V\u005c +tp26071 +a(g222 +V' +tp26072 +a(g339 +V, +tp26073 +a(g185 +V +tp26074 +a(g18 +VFileName +p26075 +tp26076 +a(g198 +V) +tp26077 +a(g339 +V; +tp26078 +a(g185 +V\u000a +p26079 +tp26080 +a(g18 +VRes +p26081 +tp26082 +a(g185 +V +tp26083 +a(g339 +V: +tp26084 +a(g339 +V= +tp26085 +a(g185 +V +tp26086 +a(g57 +VFindNext +p26087 +tp26088 +a(g198 +V( +tp26089 +a(g18 +VPSR +p26090 +tp26091 +a(g198 +V) +tp26092 +a(g339 +V; +tp26093 +a(g185 +V\u000a +p26094 +tp26095 +a(g111 +Vend +p26096 +tp26097 +a(g339 +V; +tp26098 +a(g185 +V\u000a +p26099 +tp26100 +a(g57 +VFindClose +p26101 +tp26102 +a(g198 +V( +tp26103 +a(g18 +VPSR +p26104 +tp26105 +a(g198 +V) +tp26106 +a(g339 +V; +tp26107 +a(g185 +V\u000a +p26108 +tp26109 +a(g111 +Vend +p26110 +tp26111 +a(g339 +V; +tp26112 +a(g185 +V\u000a\u000a +p26113 +tp26114 +a(g111 +Vbegin +p26115 +tp26116 +a(g185 +V\u000a +p26117 +tp26118 +a(g18 +VStrings +p26119 +tp26120 +a(g339 +V. +tp26121 +a(g18 +VClear +p26122 +tp26123 +a(g339 +V; +tp26124 +a(g185 +V\u000a +p26125 +tp26126 +a(g18 +VPath +p26127 +tp26128 +a(g185 +V +tp26129 +a(g339 +V: +tp26130 +a(g339 +V= +tp26131 +a(g185 +V +tp26132 +a(g18 +VExtractPath +p26133 +tp26134 +a(g198 +V( +tp26135 +a(g18 +VMask +p26136 +tp26137 +a(g198 +V) +tp26138 +a(g339 +V; +tp26139 +a(g185 +V\u000a +p26140 +tp26141 +a(g18 +VFileName +p26142 +tp26143 +a(g185 +V +tp26144 +a(g339 +V: +tp26145 +a(g339 +V= +tp26146 +a(g185 +V +tp26147 +a(g57 +VExtractFileName +p26148 +tp26149 +a(g198 +V( +tp26150 +a(g18 +VMask +p26151 +tp26152 +a(g198 +V) +tp26153 +a(g339 +V; +tp26154 +a(g185 +V\u000a +p26155 +tp26156 +a(g18 +VScanDir +p26157 +tp26158 +a(g198 +V( +tp26159 +a(g18 +VPath +p26160 +tp26161 +a(g339 +V, +tp26162 +a(g185 +V +tp26163 +a(g18 +VFileName +p26164 +tp26165 +a(g198 +V) +tp26166 +a(g339 +V; +tp26167 +a(g185 +V\u000a +p26168 +tp26169 +a(g60 +VResult +p26170 +tp26171 +a(g185 +V +tp26172 +a(g339 +V: +tp26173 +a(g339 +V= +tp26174 +a(g185 +V +tp26175 +a(g18 +VStrings +p26176 +tp26177 +a(g339 +V. +tp26178 +a(g18 +VCount +p26179 +tp26180 +a(g185 +V +tp26181 +a(g339 +V> +tp26182 +a(g185 +V +tp26183 +a(g314 +V0 +tp26184 +a(g339 +V; +tp26185 +a(g185 +V\u000a +tp26186 +a(g111 +Vend +p26187 +tp26188 +a(g339 +V; +tp26189 +a(g185 +V\u000a\u000a +p26190 +tp26191 +a(g111 +Vfunction +p26192 +tp26193 +a(g185 +V +tp26194 +a(g21 +VFindAllFirst +p26195 +tp26196 +a(g198 +V( +tp26197 +a(g111 +Vconst +p26198 +tp26199 +a(g185 +V +tp26200 +a(g18 +VMask +p26201 +tp26202 +a(g339 +V: +tp26203 +a(g185 +V +tp26204 +a(g111 +Vstring +p26205 +tp26206 +a(g339 +V; +tp26207 +a(g185 +V +tp26208 +a(g18 +VScanSubDirs +p26209 +tp26210 +a(g339 +V: +tp26211 +a(g185 +V +tp26212 +a(g135 +VBoolean +p26213 +tp26214 +a(g185 +V +tp26215 +a(g339 +V= +tp26216 +a(g185 +V +tp26217 +a(g111 +VTrue +p26218 +tp26219 +a(g339 +V; +tp26220 +a(g185 +V\u000a +p26221 +tp26222 +a(g18 +VAttributes +p26223 +tp26224 +a(g339 +V: +tp26225 +a(g185 +V +tp26226 +a(g135 +VInteger +p26227 +tp26228 +a(g185 +V +tp26229 +a(g339 +V= +tp26230 +a(g185 +V +tp26231 +a(g18 +VfaFindEveryFile +p26232 +tp26233 +a(g198 +V) +tp26234 +a(g339 +V: +tp26235 +a(g185 +V +tp26236 +a(g111 +Vstring +p26237 +tp26238 +a(g339 +V; +tp26239 +a(g185 +V\u000a +tp26240 +a(g111 +Vvar +p26241 +tp26242 +a(g185 +V\u000a +p26243 +tp26244 +a(g18 +VPath +p26245 +tp26246 +a(g339 +V, +tp26247 +a(g185 +V +tp26248 +a(g18 +VFileName +p26249 +tp26250 +a(g339 +V: +tp26251 +a(g185 +V +tp26252 +a(g111 +Vstring +p26253 +tp26254 +a(g339 +V; +tp26255 +a(g185 +V\u000a\u000a +p26256 +tp26257 +a(g111 +Vfunction +p26258 +tp26259 +a(g185 +V +tp26260 +a(g21 +VScanDir +p26261 +tp26262 +a(g198 +V( +tp26263 +a(g111 +Vconst +p26264 +tp26265 +a(g185 +V +tp26266 +a(g18 +VPath +p26267 +tp26268 +a(g339 +V, +tp26269 +a(g185 +V +tp26270 +a(g18 +VFileName +p26271 +tp26272 +a(g339 +V: +tp26273 +a(g185 +V +tp26274 +a(g111 +Vstring +p26275 +tp26276 +a(g198 +V) +tp26277 +a(g339 +V: +tp26278 +a(g185 +V +tp26279 +a(g135 +VBoolean +p26280 +tp26281 +a(g339 +V; +tp26282 +a(g185 +V\u000a +p26283 +tp26284 +a(g111 +Vvar +p26285 +tp26286 +a(g185 +V\u000a +p26287 +tp26288 +a(g18 +VPSR +p26289 +tp26290 +a(g339 +V: +tp26291 +a(g185 +V +tp26292 +a(g18 +VTSearchRec +p26293 +tp26294 +a(g339 +V; +tp26295 +a(g185 +V\u000a +p26296 +tp26297 +a(g18 +VRes +p26298 +tp26299 +a(g339 +V: +tp26300 +a(g185 +V +tp26301 +a(g135 +VInteger +p26302 +tp26303 +a(g339 +V; +tp26304 +a(g185 +V\u000a +p26305 +tp26306 +a(g111 +Vbegin +p26307 +tp26308 +a(g185 +V\u000a +p26309 +tp26310 +a(g60 +VResult +p26311 +tp26312 +a(g185 +V +tp26313 +a(g339 +V: +tp26314 +a(g339 +V= +tp26315 +a(g185 +V +tp26316 +a(g111 +VFalse +p26317 +tp26318 +a(g339 +V; +tp26319 +a(g185 +V\u000a +p26320 +tp26321 +a(g111 +Vif +p26322 +tp26323 +a(g185 +V +tp26324 +a(g18 +VSuccess +p26325 +tp26326 +a(g198 +V( +tp26327 +a(g57 +VFindFirst +p26328 +tp26329 +a(g198 +V( +tp26330 +a(g18 +VPath +p26331 +tp26332 +a(g185 +V +tp26333 +a(g339 +V+ +tp26334 +a(g185 +V +tp26335 +a(g18 +VFileName +p26336 +tp26337 +a(g339 +V, +tp26338 +a(g185 +V +tp26339 +a(g18 +VAttributes +p26340 +tp26341 +a(g339 +V, +tp26342 +a(g185 +V +tp26343 +a(g18 +VPSR +p26344 +tp26345 +a(g198 +V) +tp26346 +a(g339 +V, +tp26347 +a(g185 +V +tp26348 +a(g314 +V0 +tp26349 +a(g198 +V) +tp26350 +a(g185 +V +tp26351 +a(g111 +Vthen +p26352 +tp26353 +a(g185 +V +tp26354 +a(g111 +Vbegin +p26355 +tp26356 +a(g185 +V\u000a +p26357 +tp26358 +a(g18 +VFindAllFirst +p26359 +tp26360 +a(g185 +V +tp26361 +a(g339 +V: +tp26362 +a(g339 +V= +tp26363 +a(g185 +V +tp26364 +a(g18 +VPath +p26365 +tp26366 +a(g185 +V +tp26367 +a(g339 +V+ +tp26368 +a(g185 +V +tp26369 +a(g18 +VPSR +p26370 +tp26371 +a(g339 +V. +tp26372 +a(g18 +VName +p26373 +tp26374 +a(g339 +V; +tp26375 +a(g185 +V\u000a +p26376 +tp26377 +a(g60 +VResult +p26378 +tp26379 +a(g185 +V +tp26380 +a(g339 +V: +tp26381 +a(g339 +V= +tp26382 +a(g185 +V +tp26383 +a(g111 +VTrue +p26384 +tp26385 +a(g339 +V; +tp26386 +a(g185 +V\u000a +p26387 +tp26388 +a(g57 +VFindClose +p26389 +tp26390 +a(g198 +V( +tp26391 +a(g18 +VPSR +p26392 +tp26393 +a(g198 +V) +tp26394 +a(g339 +V; +tp26395 +a(g185 +V\u000a +p26396 +tp26397 +a(g111 +VExit +p26398 +tp26399 +a(g339 +V; +tp26400 +a(g185 +V +tp26401 +a(g111 +Vend +p26402 +tp26403 +a(g339 +V; +tp26404 +a(g185 +V\u000a +p26405 +tp26406 +a(g111 +Vif +p26407 +tp26408 +a(g185 +V +tp26409 +a(g111 +Vnot +p26410 +tp26411 +a(g185 +V +tp26412 +a(g18 +VScanSubDirs +p26413 +tp26414 +a(g185 +V +tp26415 +a(g111 +Vthen +p26416 +tp26417 +a(g185 +V\u000a +p26418 +tp26419 +a(g111 +VExit +p26420 +tp26421 +a(g339 +V; +tp26422 +a(g185 +V\u000a\u000a +p26423 +tp26424 +a(g18 +VRes +p26425 +tp26426 +a(g185 +V +tp26427 +a(g339 +V: +tp26428 +a(g339 +V= +tp26429 +a(g185 +V +tp26430 +a(g57 +VFindFirst +p26431 +tp26432 +a(g198 +V( +tp26433 +a(g18 +VPath +p26434 +tp26435 +a(g185 +V +tp26436 +a(g339 +V+ +tp26437 +a(g185 +V +tp26438 +a(g222 +V' +tp26439 +a(g222 +V* +tp26440 +a(g222 +V' +tp26441 +a(g339 +V, +tp26442 +a(g185 +V +tp26443 +a(g18 +VfaDirectory +p26444 +tp26445 +a(g339 +V, +tp26446 +a(g185 +V +tp26447 +a(g18 +VPSR +p26448 +tp26449 +a(g198 +V) +tp26450 +a(g339 +V; +tp26451 +a(g185 +V\u000a +p26452 +tp26453 +a(g111 +Vwhile +p26454 +tp26455 +a(g185 +V +tp26456 +a(g111 +Vnot +p26457 +tp26458 +a(g185 +V +tp26459 +a(g60 +VResult +p26460 +tp26461 +a(g185 +V +tp26462 +a(g111 +Vand +p26463 +tp26464 +a(g185 +V +tp26465 +a(g18 +VSuccess +p26466 +tp26467 +a(g198 +V( +tp26468 +a(g18 +VRes +p26469 +tp26470 +a(g339 +V, +tp26471 +a(g185 +V +tp26472 +a(g314 +V0 +tp26473 +a(g198 +V) +tp26474 +a(g185 +V +tp26475 +a(g111 +Vdo +p26476 +tp26477 +a(g185 +V +tp26478 +a(g111 +Vbegin +p26479 +tp26480 +a(g185 +V\u000a +p26481 +tp26482 +a(g111 +Vif +p26483 +tp26484 +a(g185 +V +tp26485 +a(g198 +V( +tp26486 +a(g18 +VPSR +p26487 +tp26488 +a(g339 +V. +tp26489 +a(g18 +VAttr +p26490 +tp26491 +a(g185 +V +tp26492 +a(g111 +Vand +p26493 +tp26494 +a(g185 +V +tp26495 +a(g18 +VfaDirectory +p26496 +tp26497 +a(g185 +V +tp26498 +a(g339 +V> +tp26499 +a(g185 +V +tp26500 +a(g314 +V0 +tp26501 +a(g198 +V) +tp26502 +a(g185 +V\u000a +p26503 +tp26504 +a(g111 +Vand +p26505 +tp26506 +a(g185 +V +tp26507 +a(g198 +V( +tp26508 +a(g18 +VPSR +p26509 +tp26510 +a(g339 +V. +tp26511 +a(g18 +VName +p26512 +tp26513 +a(g185 +V +tp26514 +a(g339 +V< +tp26515 +a(g339 +V> +tp26516 +a(g185 +V +tp26517 +a(g222 +V' +tp26518 +a(g222 +V. +tp26519 +a(g222 +V' +tp26520 +a(g198 +V) +tp26521 +a(g185 +V +tp26522 +a(g111 +Vand +p26523 +tp26524 +a(g185 +V +tp26525 +a(g198 +V( +tp26526 +a(g18 +VPSR +p26527 +tp26528 +a(g339 +V. +tp26529 +a(g18 +VName +p26530 +tp26531 +a(g185 +V +tp26532 +a(g339 +V< +tp26533 +a(g339 +V> +tp26534 +a(g185 +V +tp26535 +a(g222 +V' +tp26536 +a(g222 +V.. +p26537 +tp26538 +a(g222 +V' +tp26539 +a(g198 +V) +tp26540 +a(g185 +V +tp26541 +a(g111 +Vthen +p26542 +tp26543 +a(g185 +V\u000a +p26544 +tp26545 +a(g60 +VResult +p26546 +tp26547 +a(g185 +V +tp26548 +a(g339 +V: +tp26549 +a(g339 +V= +tp26550 +a(g185 +V +tp26551 +a(g18 +VScanDir +p26552 +tp26553 +a(g198 +V( +tp26554 +a(g18 +VPath +p26555 +tp26556 +a(g185 +V +tp26557 +a(g339 +V+ +tp26558 +a(g185 +V +tp26559 +a(g18 +VPSR +p26560 +tp26561 +a(g339 +V. +tp26562 +a(g18 +VName +p26563 +tp26564 +a(g185 +V +tp26565 +a(g339 +V+ +tp26566 +a(g185 +V +tp26567 +a(g222 +V' +tp26568 +a(g222 +V\u005c +tp26569 +a(g222 +V' +tp26570 +a(g339 +V, +tp26571 +a(g185 +V +tp26572 +a(g18 +VFileName +p26573 +tp26574 +a(g198 +V) +tp26575 +a(g339 +V; +tp26576 +a(g185 +V\u000a +p26577 +tp26578 +a(g18 +VRes +p26579 +tp26580 +a(g185 +V +tp26581 +a(g339 +V: +tp26582 +a(g339 +V= +tp26583 +a(g185 +V +tp26584 +a(g57 +VFindNext +p26585 +tp26586 +a(g198 +V( +tp26587 +a(g18 +VPSR +p26588 +tp26589 +a(g198 +V) +tp26590 +a(g339 +V; +tp26591 +a(g185 +V\u000a +p26592 +tp26593 +a(g111 +Vend +p26594 +tp26595 +a(g339 +V; +tp26596 +a(g185 +V\u000a +p26597 +tp26598 +a(g57 +VFindClose +p26599 +tp26600 +a(g198 +V( +tp26601 +a(g18 +VPSR +p26602 +tp26603 +a(g198 +V) +tp26604 +a(g339 +V; +tp26605 +a(g185 +V\u000a +p26606 +tp26607 +a(g111 +Vend +p26608 +tp26609 +a(g339 +V; +tp26610 +a(g185 +V\u000a +tp26611 +a(g111 +Vbegin +p26612 +tp26613 +a(g185 +V\u000a +p26614 +tp26615 +a(g60 +VResult +p26616 +tp26617 +a(g185 +V +tp26618 +a(g339 +V: +tp26619 +a(g339 +V= +tp26620 +a(g185 +V +tp26621 +a(g222 +V' +tp26622 +a(g222 +V' +tp26623 +a(g339 +V; +tp26624 +a(g185 +V\u000a +p26625 +tp26626 +a(g18 +VPath +p26627 +tp26628 +a(g185 +V +tp26629 +a(g339 +V: +tp26630 +a(g339 +V= +tp26631 +a(g185 +V +tp26632 +a(g18 +VExtractPath +p26633 +tp26634 +a(g198 +V( +tp26635 +a(g18 +VMask +p26636 +tp26637 +a(g198 +V) +tp26638 +a(g339 +V; +tp26639 +a(g185 +V\u000a +p26640 +tp26641 +a(g18 +VFileName +p26642 +tp26643 +a(g185 +V +tp26644 +a(g339 +V: +tp26645 +a(g339 +V= +tp26646 +a(g185 +V +tp26647 +a(g57 +VExtractFileName +p26648 +tp26649 +a(g198 +V( +tp26650 +a(g18 +VMask +p26651 +tp26652 +a(g198 +V) +tp26653 +a(g339 +V; +tp26654 +a(g185 +V\u000a +p26655 +tp26656 +a(g18 +VScanDir +p26657 +tp26658 +a(g198 +V( +tp26659 +a(g18 +VPath +p26660 +tp26661 +a(g339 +V, +tp26662 +a(g185 +V +tp26663 +a(g18 +VFileName +p26664 +tp26665 +a(g198 +V) +tp26666 +a(g339 +V; +tp26667 +a(g185 +V\u000a +tp26668 +a(g111 +Vend +p26669 +tp26670 +a(g339 +V; +tp26671 +a(g185 +V\u000a\u000a +p26672 +tp26673 +a(g111 +Vprocedure +p26674 +tp26675 +a(g185 +V +tp26676 +a(g21 +VDeleteFiles +p26677 +tp26678 +a(g198 +V( +tp26679 +a(g111 +Vconst +p26680 +tp26681 +a(g185 +V +tp26682 +a(g18 +VMask +p26683 +tp26684 +a(g339 +V: +tp26685 +a(g185 +V +tp26686 +a(g111 +Vstring +p26687 +tp26688 +a(g339 +V; +tp26689 +a(g185 +V +tp26690 +a(g18 +VScanSubDirs +p26691 +tp26692 +a(g339 +V: +tp26693 +a(g185 +V +tp26694 +a(g135 +VBoolean +p26695 +tp26696 +a(g185 +V +tp26697 +a(g339 +V= +tp26698 +a(g185 +V +tp26699 +a(g111 +VTrue +p26700 +tp26701 +a(g339 +V; +tp26702 +a(g185 +V\u000a +p26703 +tp26704 +a(g18 +VAttributes +p26705 +tp26706 +a(g339 +V: +tp26707 +a(g185 +V +tp26708 +a(g135 +VInteger +p26709 +tp26710 +a(g185 +V +tp26711 +a(g339 +V= +tp26712 +a(g185 +V +tp26713 +a(g18 +VfaFindEveryFile +p26714 +tp26715 +a(g198 +V) +tp26716 +a(g339 +V; +tp26717 +a(g185 +V\u000a +tp26718 +a(g111 +Vvar +p26719 +tp26720 +a(g185 +V\u000a +p26721 +tp26722 +a(g18 +VPath +p26723 +tp26724 +a(g339 +V, +tp26725 +a(g185 +V +tp26726 +a(g18 +VFileName +p26727 +tp26728 +a(g339 +V: +tp26729 +a(g185 +V +tp26730 +a(g111 +Vstring +p26731 +tp26732 +a(g339 +V; +tp26733 +a(g185 +V\u000a\u000a +p26734 +tp26735 +a(g111 +Vprocedure +p26736 +tp26737 +a(g185 +V +tp26738 +a(g21 +VScanDir +p26739 +tp26740 +a(g198 +V( +tp26741 +a(g111 +Vconst +p26742 +tp26743 +a(g185 +V +tp26744 +a(g18 +VPath +p26745 +tp26746 +a(g339 +V, +tp26747 +a(g185 +V +tp26748 +a(g18 +VFileName +p26749 +tp26750 +a(g339 +V: +tp26751 +a(g185 +V +tp26752 +a(g111 +Vstring +p26753 +tp26754 +a(g198 +V) +tp26755 +a(g339 +V; +tp26756 +a(g185 +V\u000a +p26757 +tp26758 +a(g111 +Vvar +p26759 +tp26760 +a(g185 +V\u000a +p26761 +tp26762 +a(g18 +VPSR +p26763 +tp26764 +a(g339 +V: +tp26765 +a(g185 +V +tp26766 +a(g18 +VTSearchRec +p26767 +tp26768 +a(g339 +V; +tp26769 +a(g185 +V\u000a +p26770 +tp26771 +a(g18 +VRes +p26772 +tp26773 +a(g339 +V: +tp26774 +a(g185 +V +tp26775 +a(g135 +VInteger +p26776 +tp26777 +a(g339 +V; +tp26778 +a(g185 +V\u000a\u000a +p26779 +tp26780 +a(g111 +Vprocedure +p26781 +tp26782 +a(g185 +V +tp26783 +a(g21 +VTryDeleteFile +p26784 +tp26785 +a(g198 +V( +tp26786 +a(g111 +Vconst +p26787 +tp26788 +a(g185 +V +tp26789 +a(g18 +VFileName +p26790 +tp26791 +a(g339 +V: +tp26792 +a(g185 +V +tp26793 +a(g111 +Vstring +p26794 +tp26795 +a(g198 +V) +tp26796 +a(g339 +V; +tp26797 +a(g185 +V\u000a +p26798 +tp26799 +a(g111 +Vbegin +p26800 +tp26801 +a(g185 +V\u000a +p26802 +tp26803 +a(g111 +Vtry +p26804 +tp26805 +a(g185 +V\u000a +p26806 +tp26807 +a(g57 +VDeleteFile +p26808 +tp26809 +a(g198 +V( +tp26810 +a(g18 +VPath +p26811 +tp26812 +a(g185 +V +tp26813 +a(g339 +V+ +tp26814 +a(g185 +V +tp26815 +a(g18 +VPSR +p26816 +tp26817 +a(g339 +V. +tp26818 +a(g18 +VName +p26819 +tp26820 +a(g198 +V) +tp26821 +a(g339 +V; +tp26822 +a(g185 +V\u000a +p26823 +tp26824 +a(g111 +Vexcept +p26825 +tp26826 +a(g185 +V\u000a +p26827 +tp26828 +a(g111 +Vend +p26829 +tp26830 +a(g339 +V; +tp26831 +a(g185 +V\u000a +p26832 +tp26833 +a(g111 +Vend +p26834 +tp26835 +a(g339 +V; +tp26836 +a(g185 +V\u000a\u000a +p26837 +tp26838 +a(g111 +Vbegin +p26839 +tp26840 +a(g185 +V\u000a +p26841 +tp26842 +a(g18 +VRes +p26843 +tp26844 +a(g185 +V +tp26845 +a(g339 +V: +tp26846 +a(g339 +V= +tp26847 +a(g185 +V +tp26848 +a(g57 +VFindFirst +p26849 +tp26850 +a(g198 +V( +tp26851 +a(g18 +VPath +p26852 +tp26853 +a(g185 +V +tp26854 +a(g339 +V+ +tp26855 +a(g185 +V +tp26856 +a(g18 +VFileName +p26857 +tp26858 +a(g339 +V, +tp26859 +a(g185 +V +tp26860 +a(g18 +VAttributes +p26861 +tp26862 +a(g339 +V, +tp26863 +a(g185 +V +tp26864 +a(g18 +VPSR +p26865 +tp26866 +a(g198 +V) +tp26867 +a(g339 +V; +tp26868 +a(g185 +V\u000a +p26869 +tp26870 +a(g111 +Vwhile +p26871 +tp26872 +a(g185 +V +tp26873 +a(g18 +VSuccess +p26874 +tp26875 +a(g198 +V( +tp26876 +a(g18 +VRes +p26877 +tp26878 +a(g339 +V, +tp26879 +a(g185 +V +tp26880 +a(g314 +V0 +tp26881 +a(g198 +V) +tp26882 +a(g185 +V +tp26883 +a(g111 +Vdo +p26884 +tp26885 +a(g185 +V +tp26886 +a(g111 +Vbegin +p26887 +tp26888 +a(g185 +V\u000a +p26889 +tp26890 +a(g18 +VTryDeleteFile +p26891 +tp26892 +a(g198 +V( +tp26893 +a(g18 +VPath +p26894 +tp26895 +a(g185 +V +tp26896 +a(g339 +V+ +tp26897 +a(g185 +V +tp26898 +a(g18 +VPSR +p26899 +tp26900 +a(g339 +V. +tp26901 +a(g18 +VName +p26902 +tp26903 +a(g198 +V) +tp26904 +a(g339 +V; +tp26905 +a(g185 +V\u000a +p26906 +tp26907 +a(g18 +VRes +p26908 +tp26909 +a(g185 +V +tp26910 +a(g339 +V: +tp26911 +a(g339 +V= +tp26912 +a(g185 +V +tp26913 +a(g57 +VFindNext +p26914 +tp26915 +a(g198 +V( +tp26916 +a(g18 +VPSR +p26917 +tp26918 +a(g198 +V) +tp26919 +a(g339 +V; +tp26920 +a(g185 +V\u000a +p26921 +tp26922 +a(g111 +Vend +p26923 +tp26924 +a(g339 +V; +tp26925 +a(g185 +V\u000a +p26926 +tp26927 +a(g57 +VFindClose +p26928 +tp26929 +a(g198 +V( +tp26930 +a(g18 +VPSR +p26931 +tp26932 +a(g198 +V) +tp26933 +a(g339 +V; +tp26934 +a(g185 +V\u000a +p26935 +tp26936 +a(g111 +Vif +p26937 +tp26938 +a(g185 +V +tp26939 +a(g111 +Vnot +p26940 +tp26941 +a(g185 +V +tp26942 +a(g18 +VScanSubDirs +p26943 +tp26944 +a(g185 +V +tp26945 +a(g111 +Vthen +p26946 +tp26947 +a(g185 +V\u000a +p26948 +tp26949 +a(g111 +VExit +p26950 +tp26951 +a(g339 +V; +tp26952 +a(g185 +V\u000a\u000a +p26953 +tp26954 +a(g18 +VRes +p26955 +tp26956 +a(g185 +V +tp26957 +a(g339 +V: +tp26958 +a(g339 +V= +tp26959 +a(g185 +V +tp26960 +a(g57 +VFindFirst +p26961 +tp26962 +a(g198 +V( +tp26963 +a(g18 +VPath +p26964 +tp26965 +a(g185 +V +tp26966 +a(g339 +V+ +tp26967 +a(g185 +V +tp26968 +a(g222 +V' +tp26969 +a(g222 +V* +tp26970 +a(g222 +V' +tp26971 +a(g339 +V, +tp26972 +a(g185 +V +tp26973 +a(g18 +VfaDirectory +p26974 +tp26975 +a(g339 +V, +tp26976 +a(g185 +V +tp26977 +a(g18 +VPSR +p26978 +tp26979 +a(g198 +V) +tp26980 +a(g339 +V; +tp26981 +a(g185 +V\u000a +p26982 +tp26983 +a(g111 +Vwhile +p26984 +tp26985 +a(g185 +V +tp26986 +a(g18 +VSuccess +p26987 +tp26988 +a(g198 +V( +tp26989 +a(g18 +VRes +p26990 +tp26991 +a(g339 +V, +tp26992 +a(g185 +V +tp26993 +a(g314 +V0 +tp26994 +a(g198 +V) +tp26995 +a(g185 +V +tp26996 +a(g111 +Vdo +p26997 +tp26998 +a(g185 +V +tp26999 +a(g111 +Vbegin +p27000 +tp27001 +a(g185 +V\u000a +p27002 +tp27003 +a(g111 +Vif +p27004 +tp27005 +a(g185 +V +tp27006 +a(g198 +V( +tp27007 +a(g18 +VPSR +p27008 +tp27009 +a(g339 +V. +tp27010 +a(g18 +VAttr +p27011 +tp27012 +a(g185 +V +tp27013 +a(g111 +Vand +p27014 +tp27015 +a(g185 +V +tp27016 +a(g18 +VfaDirectory +p27017 +tp27018 +a(g185 +V +tp27019 +a(g339 +V> +tp27020 +a(g185 +V +tp27021 +a(g314 +V0 +tp27022 +a(g198 +V) +tp27023 +a(g185 +V\u000a +p27024 +tp27025 +a(g111 +Vand +p27026 +tp27027 +a(g185 +V +tp27028 +a(g198 +V( +tp27029 +a(g18 +VPSR +p27030 +tp27031 +a(g339 +V. +tp27032 +a(g18 +VName +p27033 +tp27034 +a(g185 +V +tp27035 +a(g339 +V< +tp27036 +a(g339 +V> +tp27037 +a(g185 +V +tp27038 +a(g222 +V' +tp27039 +a(g222 +V. +tp27040 +a(g222 +V' +tp27041 +a(g198 +V) +tp27042 +a(g185 +V +tp27043 +a(g111 +Vand +p27044 +tp27045 +a(g185 +V +tp27046 +a(g198 +V( +tp27047 +a(g18 +VPSR +p27048 +tp27049 +a(g339 +V. +tp27050 +a(g18 +VName +p27051 +tp27052 +a(g185 +V +tp27053 +a(g339 +V< +tp27054 +a(g339 +V> +tp27055 +a(g185 +V +tp27056 +a(g222 +V' +tp27057 +a(g222 +V.. +p27058 +tp27059 +a(g222 +V' +tp27060 +a(g198 +V) +tp27061 +a(g185 +V +tp27062 +a(g111 +Vthen +p27063 +tp27064 +a(g185 +V +tp27065 +a(g111 +Vbegin +p27066 +tp27067 +a(g185 +V\u000a +p27068 +tp27069 +a(g18 +VScanDir +p27070 +tp27071 +a(g198 +V( +tp27072 +a(g18 +VPath +p27073 +tp27074 +a(g185 +V +tp27075 +a(g339 +V+ +tp27076 +a(g185 +V +tp27077 +a(g18 +VPSR +p27078 +tp27079 +a(g339 +V. +tp27080 +a(g18 +VName +p27081 +tp27082 +a(g185 +V +tp27083 +a(g339 +V+ +tp27084 +a(g185 +V +tp27085 +a(g222 +V' +tp27086 +a(g222 +V\u005c +tp27087 +a(g222 +V' +tp27088 +a(g339 +V, +tp27089 +a(g185 +V +tp27090 +a(g18 +VFileName +p27091 +tp27092 +a(g198 +V) +tp27093 +a(g339 +V; +tp27094 +a(g185 +V\u000a +p27095 +tp27096 +a(g18 +VTryDeleteFile +p27097 +tp27098 +a(g198 +V( +tp27099 +a(g18 +VPath +p27100 +tp27101 +a(g185 +V +tp27102 +a(g339 +V+ +tp27103 +a(g185 +V +tp27104 +a(g18 +VPSR +p27105 +tp27106 +a(g339 +V. +tp27107 +a(g18 +VName +p27108 +tp27109 +a(g198 +V) +tp27110 +a(g339 +V; +tp27111 +a(g185 +V\u000a +p27112 +tp27113 +a(g111 +Vend +p27114 +tp27115 +a(g339 +V; +tp27116 +a(g185 +V\u000a +p27117 +tp27118 +a(g18 +VRes +p27119 +tp27120 +a(g185 +V +tp27121 +a(g339 +V: +tp27122 +a(g339 +V= +tp27123 +a(g185 +V +tp27124 +a(g57 +VFindNext +p27125 +tp27126 +a(g198 +V( +tp27127 +a(g18 +VPSR +p27128 +tp27129 +a(g198 +V) +tp27130 +a(g339 +V; +tp27131 +a(g185 +V\u000a +p27132 +tp27133 +a(g111 +Vend +p27134 +tp27135 +a(g339 +V; +tp27136 +a(g185 +V\u000a +p27137 +tp27138 +a(g57 +VFindClose +p27139 +tp27140 +a(g198 +V( +tp27141 +a(g18 +VPSR +p27142 +tp27143 +a(g198 +V) +tp27144 +a(g339 +V; +tp27145 +a(g185 +V\u000a +p27146 +tp27147 +a(g111 +Vend +p27148 +tp27149 +a(g339 +V; +tp27150 +a(g185 +V\u000a +tp27151 +a(g111 +Vbegin +p27152 +tp27153 +a(g185 +V\u000a +p27154 +tp27155 +a(g18 +VPath +p27156 +tp27157 +a(g185 +V +tp27158 +a(g339 +V: +tp27159 +a(g339 +V= +tp27160 +a(g185 +V +tp27161 +a(g18 +VExtractPath +p27162 +tp27163 +a(g198 +V( +tp27164 +a(g18 +VMask +p27165 +tp27166 +a(g198 +V) +tp27167 +a(g339 +V; +tp27168 +a(g185 +V\u000a +p27169 +tp27170 +a(g18 +VFileName +p27171 +tp27172 +a(g185 +V +tp27173 +a(g339 +V: +tp27174 +a(g339 +V= +tp27175 +a(g185 +V +tp27176 +a(g57 +VExtractFileName +p27177 +tp27178 +a(g198 +V( +tp27179 +a(g18 +VMask +p27180 +tp27181 +a(g198 +V) +tp27182 +a(g339 +V; +tp27183 +a(g185 +V\u000a +p27184 +tp27185 +a(g18 +VScanDir +p27186 +tp27187 +a(g198 +V( +tp27188 +a(g18 +VPath +p27189 +tp27190 +a(g339 +V, +tp27191 +a(g185 +V +tp27192 +a(g18 +VFileName +p27193 +tp27194 +a(g198 +V) +tp27195 +a(g339 +V; +tp27196 +a(g185 +V\u000a +tp27197 +a(g111 +Vend +p27198 +tp27199 +a(g339 +V; +tp27200 +a(g185 +V\u000a\u000a +p27201 +tp27202 +a(g111 +Vfunction +p27203 +tp27204 +a(g185 +V +tp27205 +a(g21 +VGetFileNew +p27206 +tp27207 +a(g198 +V( +tp27208 +a(g18 +VFileName +p27209 +tp27210 +a(g339 +V: +tp27211 +a(g185 +V +tp27212 +a(g111 +Vstring +p27213 +tp27214 +a(g339 +V; +tp27215 +a(g185 +V +tp27216 +a(g18 +VNoFloppyDrives +p27217 +tp27218 +a(g339 +V: +tp27219 +a(g185 +V +tp27220 +a(g135 +VBoolean +p27221 +tp27222 +a(g185 +V +tp27223 +a(g339 +V= +tp27224 +a(g185 +V +tp27225 +a(g111 +VTrue +p27226 +tp27227 +a(g198 +V) +tp27228 +a(g339 +V: +tp27229 +a(g185 +V +tp27230 +a(g111 +Vstring +p27231 +tp27232 +a(g339 +V; +tp27233 +a(g185 +V\u000a +tp27234 +a(g111 +Vvar +p27235 +tp27236 +a(g185 +V\u000a +p27237 +tp27238 +a(g18 +VDrive +p27239 +tp27240 +a(g339 +V: +tp27241 +a(g185 +V +tp27242 +a(g111 +Vstring +p27243 +tp27244 +a(g339 +V; +tp27245 +a(g185 +V\u000a +p27246 +tp27247 +a(g18 +Vpf +p27248 +tp27249 +a(g339 +V, +tp27250 +a(g185 +V +tp27251 +a(g18 +Vpd +p27252 +tp27253 +a(g339 +V, +tp27254 +a(g185 +V +tp27255 +a(g18 +VLen +p27256 +tp27257 +a(g339 +V: +tp27258 +a(g185 +V +tp27259 +a(g135 +VInteger +p27260 +tp27261 +a(g339 +V; +tp27262 +a(g185 +V\u000a +p27263 +tp27264 +a(g18 +VPSR +p27265 +tp27266 +a(g339 +V: +tp27267 +a(g185 +V +tp27268 +a(g18 +VTSearchRec +p27269 +tp27270 +a(g339 +V; +tp27271 +a(g185 +V\u000a +tp27272 +a(g111 +Vbegin +p27273 +tp27274 +a(g185 +V\u000a +p27275 +tp27276 +a(g60 +VResult +p27277 +tp27278 +a(g185 +V +tp27279 +a(g339 +V: +tp27280 +a(g339 +V= +tp27281 +a(g185 +V +tp27282 +a(g222 +V' +tp27283 +a(g222 +V' +tp27284 +a(g339 +V; +tp27285 +a(g185 +V\u000a +p27286 +tp27287 +a(g18 +VFileName +p27288 +tp27289 +a(g185 +V +tp27290 +a(g339 +V: +tp27291 +a(g339 +V= +tp27292 +a(g185 +V +tp27293 +a(g57 +VTrim +p27294 +tp27295 +a(g198 +V( +tp27296 +a(g18 +VFileName +p27297 +tp27298 +a(g198 +V) +tp27299 +a(g339 +V; +tp27300 +a(g185 +V\u000a +p27301 +tp27302 +a(g111 +Vif +p27303 +tp27304 +a(g185 +V +tp27305 +a(g57 +VLength +p27306 +tp27307 +a(g198 +V( +tp27308 +a(g18 +VFileName +p27309 +tp27310 +a(g198 +V) +tp27311 +a(g185 +V +tp27312 +a(g339 +V< +tp27313 +a(g185 +V +tp27314 +a(g314 +V2 +tp27315 +a(g185 +V +tp27316 +a(g111 +Vthen +p27317 +tp27318 +a(g185 +V\u000a +p27319 +tp27320 +a(g111 +VExit +p27321 +tp27322 +a(g339 +V; +tp27323 +a(g185 +V\u000a\u000a +p27324 +tp27325 +a(g18 +VDrive +p27326 +tp27327 +a(g185 +V +tp27328 +a(g339 +V: +tp27329 +a(g339 +V= +tp27330 +a(g185 +V +tp27331 +a(g18 +VExtractDrive +p27332 +tp27333 +a(g198 +V( +tp27334 +a(g18 +VFileName +p27335 +tp27336 +a(g198 +V) +tp27337 +a(g339 +V; +tp27338 +a(g185 +V\u000a +p27339 +tp27340 +a(g111 +Vif +p27341 +tp27342 +a(g185 +V +tp27343 +a(g111 +Vnot +p27344 +tp27345 +a(g185 +V +tp27346 +a(g57 +VDirectoryExists +p27347 +tp27348 +a(g198 +V( +tp27349 +a(g18 +VDrive +p27350 +tp27351 +a(g198 +V) +tp27352 +a(g185 +V +tp27353 +a(g111 +Vthen +p27354 +tp27355 +a(g185 +V\u000a +p27356 +tp27357 +a(g111 +VExit +p27358 +tp27359 +a(g339 +V; +tp27360 +a(g185 +V\u000a\u000a +p27361 +tp27362 +a(g111 +Vif +p27363 +tp27364 +a(g185 +V +tp27365 +a(g18 +VNoFloppyDrives +p27366 +tp27367 +a(g185 +V +tp27368 +a(g111 +Vand +p27369 +tp27370 +a(g185 +V +tp27371 +a(g198 +V( +tp27372 +a(g18 +VDrive +p27373 +tp27374 +a(g198 +V[ +tp27375 +a(g314 +V1 +tp27376 +a(g198 +V] +tp27377 +a(g185 +V +tp27378 +a(g111 +Vin +p27379 +tp27380 +a(g185 +V +tp27381 +a(g198 +V[ +tp27382 +a(g222 +V' +tp27383 +a(g222 +VA +tp27384 +a(g222 +V' +tp27385 +a(g339 +V, +tp27386 +a(g185 +V +tp27387 +a(g222 +V' +tp27388 +a(g222 +VB +tp27389 +a(g222 +V' +tp27390 +a(g198 +V]) +p27391 +tp27392 +a(g185 +V +tp27393 +a(g111 +Vthen +p27394 +tp27395 +a(g185 +V\u000a +p27396 +tp27397 +a(g111 +VExit +p27398 +tp27399 +a(g339 +V; +tp27400 +a(g185 +V\u000a\u000a +p27401 +tp27402 +a(g18 +VLen +p27403 +tp27404 +a(g185 +V +tp27405 +a(g339 +V: +tp27406 +a(g339 +V= +tp27407 +a(g185 +V +tp27408 +a(g57 +VLength +p27409 +tp27410 +a(g198 +V( +tp27411 +a(g18 +VFileName +p27412 +tp27413 +a(g198 +V) +tp27414 +a(g339 +V; +tp27415 +a(g185 +V\u000a +p27416 +tp27417 +a(g60 +VResult +p27418 +tp27419 +a(g185 +V +tp27420 +a(g339 +V: +tp27421 +a(g339 +V= +tp27422 +a(g185 +V +tp27423 +a(g18 +VDrive +p27424 +tp27425 +a(g339 +V; +tp27426 +a(g185 +V\u000a +p27427 +tp27428 +a(g18 +Vpf +p27429 +tp27430 +a(g185 +V +tp27431 +a(g339 +V: +tp27432 +a(g339 +V= +tp27433 +a(g185 +V +tp27434 +a(g57 +VLength +p27435 +tp27436 +a(g198 +V( +tp27437 +a(g18 +VDrive +p27438 +tp27439 +a(g198 +V) +tp27440 +a(g185 +V +tp27441 +a(g339 +V+ +tp27442 +a(g185 +V +tp27443 +a(g314 +V1 +tp27444 +a(g339 +V; +tp27445 +a(g185 +V\u000a +p27446 +tp27447 +a(g111 +Vwhile +p27448 +tp27449 +a(g185 +V +tp27450 +a(g18 +Vpf +p27451 +tp27452 +a(g185 +V +tp27453 +a(g339 +V< +tp27454 +a(g339 +V= +tp27455 +a(g185 +V +tp27456 +a(g18 +VLen +p27457 +tp27458 +a(g185 +V +tp27459 +a(g111 +Vdo +p27460 +tp27461 +a(g185 +V +tp27462 +a(g111 +Vbegin +p27463 +tp27464 +a(g185 +V\u000a +p27465 +tp27466 +a(g111 +Vif +p27467 +tp27468 +a(g185 +V +tp27469 +a(g18 +VFileName +p27470 +tp27471 +a(g198 +V[ +tp27472 +a(g18 +Vpf +p27473 +tp27474 +a(g198 +V] +tp27475 +a(g185 +V +tp27476 +a(g339 +V= +tp27477 +a(g185 +V +tp27478 +a(g222 +V' +tp27479 +a(g222 +V\u005c +tp27480 +a(g222 +V' +tp27481 +a(g185 +V +tp27482 +a(g111 +Vthen +p27483 +tp27484 +a(g185 +V +tp27485 +a(g111 +Vbegin +p27486 +tp27487 +a(g185 +V\u000a +p27488 +tp27489 +a(g60 +VResult +p27490 +tp27491 +a(g185 +V +tp27492 +a(g339 +V: +tp27493 +a(g339 +V= +tp27494 +a(g185 +V +tp27495 +a(g60 +VResult +p27496 +tp27497 +a(g185 +V +tp27498 +a(g339 +V+ +tp27499 +a(g185 +V +tp27500 +a(g222 +V' +tp27501 +a(g222 +V\u005c +tp27502 +a(g222 +V' +tp27503 +a(g339 +V; +tp27504 +a(g185 +V\u000a +p27505 +tp27506 +a(g57 +VInc +p27507 +tp27508 +a(g198 +V( +tp27509 +a(g18 +Vpf +p27510 +tp27511 +a(g198 +V) +tp27512 +a(g339 +V; +tp27513 +a(g185 +V\u000a +p27514 +tp27515 +a(g111 +VContinue +p27516 +tp27517 +a(g339 +V; +tp27518 +a(g185 +V +tp27519 +a(g111 +Vend +p27520 +tp27521 +a(g339 +V; +tp27522 +a(g185 +V\u000a\u000a +p27523 +tp27524 +a(g18 +Vpd +p27525 +tp27526 +a(g185 +V +tp27527 +a(g339 +V: +tp27528 +a(g339 +V= +tp27529 +a(g185 +V +tp27530 +a(g18 +VCharPos +p27531 +tp27532 +a(g198 +V( +tp27533 +a(g222 +V' +tp27534 +a(g222 +V\u005c +tp27535 +a(g222 +V' +tp27536 +a(g339 +V, +tp27537 +a(g185 +V +tp27538 +a(g18 +VFileName +p27539 +tp27540 +a(g339 +V, +tp27541 +a(g185 +V +tp27542 +a(g18 +Vpf +p27543 +tp27544 +a(g198 +V) +tp27545 +a(g339 +V; +tp27546 +a(g185 +V\u000a +p27547 +tp27548 +a(g111 +Vif +p27549 +tp27550 +a(g185 +V +tp27551 +a(g18 +Vpd +p27552 +tp27553 +a(g185 +V +tp27554 +a(g339 +V= +tp27555 +a(g185 +V +tp27556 +a(g314 +V0 +tp27557 +a(g185 +V +tp27558 +a(g111 +Vthen +p27559 +tp27560 +a(g185 +V +tp27561 +a(g111 +Vbegin +p27562 +tp27563 +a(g185 +V\u000a +p27564 +tp27565 +a(g111 +Vif +p27566 +tp27567 +a(g185 +V +tp27568 +a(g314 +V0 +tp27569 +a(g339 +V= +tp27570 +a(g57 +VFindFirst +p27571 +tp27572 +a(g198 +V( +tp27573 +a(g60 +VResult +p27574 +tp27575 +a(g185 +V +tp27576 +a(g339 +V+ +tp27577 +a(g185 +V +tp27578 +a(g57 +VCopy +p27579 +tp27580 +a(g198 +V( +tp27581 +a(g18 +VFileName +p27582 +tp27583 +a(g339 +V, +tp27584 +a(g185 +V +tp27585 +a(g18 +Vpf +p27586 +tp27587 +a(g339 +V, +tp27588 +a(g185 +V +tp27589 +a(g18 +VMaxInt +p27590 +tp27591 +a(g198 +V) +tp27592 +a(g339 +V, +tp27593 +a(g185 +V +tp27594 +a(g18 +VfaFindEveryFile +p27595 +tp27596 +a(g339 +V, +tp27597 +a(g185 +V +tp27598 +a(g18 +VPSR +p27599 +tp27600 +a(g198 +V) +tp27601 +a(g185 +V +tp27602 +a(g111 +Vthen +p27603 +tp27604 +a(g185 +V +tp27605 +a(g111 +Vbegin +p27606 +tp27607 +a(g185 +V\u000a +p27608 +tp27609 +a(g60 +VResult +p27610 +tp27611 +a(g185 +V +tp27612 +a(g339 +V: +tp27613 +a(g339 +V= +tp27614 +a(g185 +V +tp27615 +a(g60 +VResult +p27616 +tp27617 +a(g185 +V +tp27618 +a(g339 +V+ +tp27619 +a(g185 +V +tp27620 +a(g18 +VPSR +p27621 +tp27622 +a(g339 +V. +tp27623 +a(g18 +VName +p27624 +tp27625 +a(g339 +V; +tp27626 +a(g185 +V\u000a +p27627 +tp27628 +a(g111 +VBreak +p27629 +tp27630 +a(g339 +V; +tp27631 +a(g185 +V +tp27632 +a(g111 +Vend +p27633 +tp27634 +a(g185 +V +tp27635 +a(g111 +Velse +p27636 +tp27637 +a(g185 +V +tp27638 +a(g111 +Vbegin +p27639 +tp27640 +a(g185 +V\u000a +p27641 +tp27642 +a(g57 +VFindClose +p27643 +tp27644 +a(g198 +V( +tp27645 +a(g18 +VPSR +p27646 +tp27647 +a(g198 +V) +tp27648 +a(g339 +V; +tp27649 +a(g185 +V\u000a +p27650 +tp27651 +a(g111 +Vif +p27652 +tp27653 +a(g185 +V +tp27654 +a(g314 +V0 +tp27655 +a(g339 +V= +tp27656 +a(g57 +VFindFirst +p27657 +tp27658 +a(g198 +V( +tp27659 +a(g60 +VResult +p27660 +tp27661 +a(g185 +V +tp27662 +a(g339 +V+ +tp27663 +a(g185 +V +tp27664 +a(g57 +VCopy +p27665 +tp27666 +a(g198 +V( +tp27667 +a(g18 +VFileName +p27668 +tp27669 +a(g339 +V, +tp27670 +a(g185 +V +tp27671 +a(g18 +Vpf +p27672 +tp27673 +a(g339 +V, +tp27674 +a(g185 +V +tp27675 +a(g18 +VMaxInt +p27676 +tp27677 +a(g198 +V) +tp27678 +a(g339 +V, +tp27679 +a(g185 +V +tp27680 +a(g18 +VfaDirectory +p27681 +tp27682 +a(g339 +V, +tp27683 +a(g185 +V +tp27684 +a(g18 +VPSR +p27685 +tp27686 +a(g198 +V) +tp27687 +a(g185 +V +tp27688 +a(g111 +Vthen +p27689 +tp27690 +a(g185 +V\u000a +p27691 +tp27692 +a(g60 +VResult +p27693 +tp27694 +a(g185 +V +tp27695 +a(g339 +V: +tp27696 +a(g339 +V= +tp27697 +a(g185 +V +tp27698 +a(g60 +VResult +p27699 +tp27700 +a(g185 +V +tp27701 +a(g339 +V+ +tp27702 +a(g185 +V +tp27703 +a(g18 +VPSR +p27704 +tp27705 +a(g339 +V. +tp27706 +a(g18 +VName +p27707 +tp27708 +a(g185 +V +tp27709 +a(g339 +V+ +tp27710 +a(g185 +V +tp27711 +a(g222 +V' +tp27712 +a(g222 +V\u005c +tp27713 +a(g222 +V' +tp27714 +a(g185 +V\u000a +p27715 +tp27716 +a(g111 +Velse +p27717 +tp27718 +a(g185 +V\u000a +p27719 +tp27720 +a(g60 +VResult +p27721 +tp27722 +a(g185 +V +tp27723 +a(g339 +V: +tp27724 +a(g339 +V= +tp27725 +a(g185 +V +tp27726 +a(g222 +V' +tp27727 +a(g222 +V' +tp27728 +a(g339 +V; +tp27729 +a(g185 +V\u000a +p27730 +tp27731 +a(g57 +VFindClose +p27732 +tp27733 +a(g198 +V( +tp27734 +a(g18 +VPSR +p27735 +tp27736 +a(g198 +V) +tp27737 +a(g339 +V; +tp27738 +a(g185 +V\u000a +p27739 +tp27740 +a(g111 +Vif +p27741 +tp27742 +a(g185 +V +tp27743 +a(g60 +VResult +p27744 +tp27745 +a(g185 +V +tp27746 +a(g339 +V= +tp27747 +a(g185 +V +tp27748 +a(g222 +V' +tp27749 +a(g222 +V' +tp27750 +a(g185 +V +tp27751 +a(g111 +Vthen +p27752 +tp27753 +a(g185 +V\u000a +p27754 +tp27755 +a(g111 +VBreak +p27756 +tp27757 +a(g339 +V; +tp27758 +a(g185 +V\u000a +p27759 +tp27760 +a(g111 +Vend +p27761 +tp27762 +a(g339 +V; +tp27763 +a(g185 +V\u000a +p27764 +tp27765 +a(g111 +Vend +p27766 +tp27767 +a(g339 +V; +tp27768 +a(g185 +V\u000a\u000a +p27769 +tp27770 +a(g111 +Vif +p27771 +tp27772 +a(g185 +V +tp27773 +a(g314 +V0 +tp27774 +a(g339 +V= +tp27775 +a(g57 +VFindFirst +p27776 +tp27777 +a(g198 +V( +tp27778 +a(g60 +VResult +p27779 +tp27780 +a(g185 +V +tp27781 +a(g339 +V+ +tp27782 +a(g185 +V +tp27783 +a(g57 +VCopy +p27784 +tp27785 +a(g198 +V( +tp27786 +a(g18 +VFileName +p27787 +tp27788 +a(g339 +V, +tp27789 +a(g185 +V +tp27790 +a(g18 +Vpf +p27791 +tp27792 +a(g339 +V, +tp27793 +a(g185 +V +tp27794 +a(g18 +Vpd +p27795 +tp27796 +a(g185 +V +tp27797 +a(g339 +V- +tp27798 +a(g185 +V +tp27799 +a(g18 +Vpf +p27800 +tp27801 +a(g198 +V) +tp27802 +a(g339 +V, +tp27803 +a(g185 +V +tp27804 +a(g18 +VfaDirectory +p27805 +tp27806 +a(g339 +V, +tp27807 +a(g185 +V +tp27808 +a(g18 +VPSR +p27809 +tp27810 +a(g198 +V) +tp27811 +a(g185 +V +tp27812 +a(g111 +Vthen +p27813 +tp27814 +a(g185 +V\u000a +p27815 +tp27816 +a(g60 +VResult +p27817 +tp27818 +a(g185 +V +tp27819 +a(g339 +V: +tp27820 +a(g339 +V= +tp27821 +a(g185 +V +tp27822 +a(g60 +VResult +p27823 +tp27824 +a(g185 +V +tp27825 +a(g339 +V+ +tp27826 +a(g185 +V +tp27827 +a(g18 +VPSR +p27828 +tp27829 +a(g339 +V. +tp27830 +a(g18 +VName +p27831 +tp27832 +a(g185 +V +tp27833 +a(g339 +V+ +tp27834 +a(g185 +V +tp27835 +a(g222 +V' +tp27836 +a(g222 +V\u005c +tp27837 +a(g222 +V' +tp27838 +a(g185 +V\u000a +p27839 +tp27840 +a(g111 +Velse +p27841 +tp27842 +a(g185 +V\u000a +p27843 +tp27844 +a(g60 +VResult +p27845 +tp27846 +a(g185 +V +tp27847 +a(g339 +V: +tp27848 +a(g339 +V= +tp27849 +a(g185 +V +tp27850 +a(g222 +V' +tp27851 +a(g222 +V' +tp27852 +a(g339 +V; +tp27853 +a(g185 +V\u000a +p27854 +tp27855 +a(g57 +VFindClose +p27856 +tp27857 +a(g198 +V( +tp27858 +a(g18 +VPSR +p27859 +tp27860 +a(g198 +V) +tp27861 +a(g339 +V; +tp27862 +a(g185 +V\u000a +p27863 +tp27864 +a(g111 +Vif +p27865 +tp27866 +a(g185 +V +tp27867 +a(g60 +VResult +p27868 +tp27869 +a(g185 +V +tp27870 +a(g339 +V= +tp27871 +a(g185 +V +tp27872 +a(g222 +V' +tp27873 +a(g222 +V' +tp27874 +a(g185 +V +tp27875 +a(g111 +Vthen +p27876 +tp27877 +a(g185 +V\u000a +p27878 +tp27879 +a(g111 +VBreak +p27880 +tp27881 +a(g339 +V; +tp27882 +a(g185 +V\u000a\u000a +p27883 +tp27884 +a(g18 +Vpf +p27885 +tp27886 +a(g185 +V +tp27887 +a(g339 +V: +tp27888 +a(g339 +V= +tp27889 +a(g185 +V +tp27890 +a(g18 +Vpd +p27891 +tp27892 +a(g185 +V +tp27893 +a(g339 +V+ +tp27894 +a(g185 +V +tp27895 +a(g314 +V1 +tp27896 +a(g339 +V; +tp27897 +a(g185 +V\u000a +p27898 +tp27899 +a(g111 +Vend +p27900 +tp27901 +a(g339 +V; +tp27902 +a(g185 +V\u000a\u000a +p27903 +tp27904 +a(g111 +Vif +p27905 +tp27906 +a(g185 +V +tp27907 +a(g198 +V( +tp27908 +a(g60 +VResult +p27909 +tp27910 +a(g185 +V +tp27911 +a(g339 +V< +tp27912 +a(g339 +V> +tp27913 +a(g185 +V +tp27914 +a(g222 +V' +tp27915 +a(g222 +V' +tp27916 +a(g198 +V) +tp27917 +a(g185 +V +tp27918 +a(g111 +Vand +p27919 +tp27920 +a(g185 +V +tp27921 +a(g111 +Vnot +p27922 +tp27923 +a(g185 +V +tp27924 +a(g18 +VFileEx +p27925 +tp27926 +a(g198 +V( +tp27927 +a(g60 +VResult +p27928 +tp27929 +a(g339 +V, +tp27930 +a(g185 +V +tp27931 +a(g111 +VTrue +p27932 +tp27933 +a(g198 +V) +tp27934 +a(g185 +V +tp27935 +a(g111 +Vthen +p27936 +tp27937 +a(g185 +V\u000a +p27938 +tp27939 +a(g60 +VResult +p27940 +tp27941 +a(g185 +V +tp27942 +a(g339 +V: +tp27943 +a(g339 +V= +tp27944 +a(g185 +V +tp27945 +a(g222 +V' +tp27946 +a(g222 +V' +tp27947 +a(g339 +V; +tp27948 +a(g185 +V\u000a +tp27949 +a(g111 +Vend +p27950 +tp27951 +a(g339 +V; +tp27952 +a(g185 +V\u000a\u000a +p27953 +tp27954 +a(g111 +Vfunction +p27955 +tp27956 +a(g185 +V +tp27957 +a(g21 +VDateTimeOfFileTime +p27958 +tp27959 +a(g198 +V( +tp27960 +a(g111 +Vconst +p27961 +tp27962 +a(g185 +V +tp27963 +a(g18 +VFileTime +p27964 +tp27965 +a(g339 +V: +tp27966 +a(g185 +V +tp27967 +a(g18 +VTFileTime +p27968 +tp27969 +a(g198 +V) +tp27970 +a(g339 +V: +tp27971 +a(g185 +V +tp27972 +a(g135 +VTDateTime +p27973 +tp27974 +a(g339 +V; +tp27975 +a(g185 +V\u000a +tp27976 +a(g111 +Vvar +p27977 +tp27978 +a(g185 +V\u000a +p27979 +tp27980 +a(g18 +VLocalFileTime +p27981 +tp27982 +a(g339 +V: +tp27983 +a(g185 +V +tp27984 +a(g18 +VTFileTime +p27985 +tp27986 +a(g339 +V; +tp27987 +a(g185 +V\u000a +p27988 +tp27989 +a(g18 +VRes +p27990 +tp27991 +a(g339 +V: +tp27992 +a(g185 +V +tp27993 +a(g135 +VInteger +p27994 +tp27995 +a(g339 +V; +tp27996 +a(g185 +V\u000a +tp27997 +a(g111 +Vbegin +p27998 +tp27999 +a(g185 +V\u000a +p28000 +tp28001 +a(g60 +VResult +p28002 +tp28003 +a(g185 +V +tp28004 +a(g339 +V: +tp28005 +a(g339 +V= +tp28006 +a(g185 +V +tp28007 +a(g314 +V0 +tp28008 +a(g339 +V; +tp28009 +a(g185 +V\u000a\u000a +p28010 +tp28011 +a(g18 +VFileTimeToLocalFileTime +p28012 +tp28013 +a(g198 +V( +tp28014 +a(g18 +VFileTime +p28015 +tp28016 +a(g339 +V, +tp28017 +a(g185 +V +tp28018 +a(g18 +VLocalFileTime +p28019 +tp28020 +a(g198 +V) +tp28021 +a(g339 +V; +tp28022 +a(g185 +V\u000a +p28023 +tp28024 +a(g111 +Vif +p28025 +tp28026 +a(g185 +V +tp28027 +a(g111 +Vnot +p28028 +tp28029 +a(g185 +V +tp28030 +a(g18 +VFileTimeToDosDateTime +p28031 +tp28032 +a(g198 +V( +tp28033 +a(g18 +VLocalFileTime +p28034 +tp28035 +a(g339 +V, +tp28036 +a(g185 +V +tp28037 +a(g18 +VLongRec +p28038 +tp28039 +a(g198 +V( +tp28040 +a(g18 +VRes +p28041 +tp28042 +a(g198 +V) +tp28043 +a(g339 +V. +tp28044 +a(g18 +VHi +p28045 +tp28046 +a(g339 +V, +tp28047 +a(g185 +V\u000a +p28048 +tp28049 +a(g18 +VLongRec +p28050 +tp28051 +a(g198 +V( +tp28052 +a(g18 +VRes +p28053 +tp28054 +a(g198 +V) +tp28055 +a(g339 +V. +tp28056 +a(g18 +VLo +p28057 +tp28058 +a(g198 +V) +tp28059 +a(g185 +V +tp28060 +a(g111 +Vthen +p28061 +tp28062 +a(g185 +V\u000a +p28063 +tp28064 +a(g18 +VRes +p28065 +tp28066 +a(g185 +V +tp28067 +a(g339 +V: +tp28068 +a(g339 +V= +tp28069 +a(g185 +V +tp28070 +a(g339 +V- +tp28071 +a(g314 +V1 +tp28072 +a(g339 +V; +tp28073 +a(g185 +V\u000a\u000a +p28074 +tp28075 +a(g111 +Vif +p28076 +tp28077 +a(g185 +V +tp28078 +a(g198 +V( +tp28079 +a(g18 +VRes +p28080 +tp28081 +a(g185 +V +tp28082 +a(g339 +V= +tp28083 +a(g185 +V +tp28084 +a(g339 +V- +tp28085 +a(g314 +V1 +tp28086 +a(g198 +V) +tp28087 +a(g185 +V +tp28088 +a(g111 +Vor +p28089 +tp28090 +a(g185 +V +tp28091 +a(g198 +V( +tp28092 +a(g18 +VRes +p28093 +tp28094 +a(g185 +V +tp28095 +a(g339 +V= +tp28096 +a(g185 +V +tp28097 +a(g314 +V0 +tp28098 +a(g198 +V) +tp28099 +a(g185 +V +tp28100 +a(g111 +Vthen +p28101 +tp28102 +a(g185 +V\u000a +p28103 +tp28104 +a(g111 +VExit +p28105 +tp28106 +a(g339 +V; +tp28107 +a(g185 +V\u000a +p28108 +tp28109 +a(g111 +Vtry +p28110 +tp28111 +a(g185 +V\u000a +p28112 +tp28113 +a(g60 +VResult +p28114 +tp28115 +a(g185 +V +tp28116 +a(g339 +V: +tp28117 +a(g339 +V= +tp28118 +a(g185 +V +tp28119 +a(g57 +VFileDateToDateTime +p28120 +tp28121 +a(g198 +V( +tp28122 +a(g18 +VRes +p28123 +tp28124 +a(g198 +V) +tp28125 +a(g339 +V; +tp28126 +a(g185 +V\u000a +p28127 +tp28128 +a(g111 +Vexcept +p28129 +tp28130 +a(g185 +V\u000a +p28131 +tp28132 +a(g111 +Vend +p28133 +tp28134 +a(g339 +V; +tp28135 +a(g185 +V\u000a +tp28136 +a(g111 +Vend +p28137 +tp28138 +a(g339 +V; +tp28139 +a(g185 +V\u000a\u000a +p28140 +tp28141 +a(g111 +Vprocedure +p28142 +tp28143 +a(g185 +V +tp28144 +a(g21 +VFileNew +p28145 +tp28146 +a(g198 +V( +tp28147 +a(g111 +Vconst +p28148 +tp28149 +a(g185 +V +tp28150 +a(g18 +VFileName +p28151 +tp28152 +a(g339 +V: +tp28153 +a(g185 +V +tp28154 +a(g111 +Vstring +p28155 +tp28156 +a(g198 +V) +tp28157 +a(g339 +V; +tp28158 +a(g185 +V\u000a +tp28159 +a(g111 +Vvar +p28160 +tp28161 +a(g185 +V\u000a +p28162 +tp28163 +a(g18 +VHandle +p28164 +tp28165 +a(g339 +V: +tp28166 +a(g185 +V +tp28167 +a(g135 +VInteger +p28168 +tp28169 +a(g339 +V; +tp28170 +a(g185 +V\u000a +tp28171 +a(g111 +Vbegin +p28172 +tp28173 +a(g185 +V\u000a +p28174 +tp28175 +a(g18 +VHandle +p28176 +tp28177 +a(g185 +V +tp28178 +a(g339 +V: +tp28179 +a(g339 +V= +tp28180 +a(g185 +V +tp28181 +a(g57 +VFileCreate +p28182 +tp28183 +a(g198 +V( +tp28184 +a(g18 +VFileName +p28185 +tp28186 +a(g198 +V) +tp28187 +a(g339 +V; +tp28188 +a(g185 +V\u000a +p28189 +tp28190 +a(g57 +VFileClose +p28191 +tp28192 +a(g198 +V( +tp28193 +a(g18 +VHandle +p28194 +tp28195 +a(g198 +V) +tp28196 +a(g339 +V; +tp28197 +a(g185 +V\u000a +tp28198 +a(g111 +Vend +p28199 +tp28200 +a(g339 +V; +tp28201 +a(g185 +V\u000a\u000a +p28202 +tp28203 +a(g111 +Vfunction +p28204 +tp28205 +a(g185 +V +tp28206 +a(g21 +VWin32PlatformStr +p28207 +tp28208 +a(g339 +V: +tp28209 +a(g185 +V +tp28210 +a(g111 +Vstring +p28211 +tp28212 +a(g339 +V; +tp28213 +a(g185 +V\u000a +tp28214 +a(g111 +Vconst +p28215 +tp28216 +a(g185 +V\u000a +p28217 +tp28218 +a(g18 +VPlatformStrings +p28219 +tp28220 +a(g339 +V: +tp28221 +a(g185 +V +tp28222 +a(g111 +Varray +p28223 +tp28224 +a(g198 +V[ +tp28225 +a(g18 +VVER_PLATFORM_WIN32s +p28226 +tp28227 +a(g339 +V. +tp28228 +a(g339 +V. +tp28229 +a(g18 +VVER_PLATFORM_WIN32_NT +p28230 +tp28231 +a(g198 +V] +tp28232 +a(g185 +V +tp28233 +a(g111 +Vof +p28234 +tp28235 +a(g185 +V +tp28236 +a(g111 +Vstring +p28237 +tp28238 +a(g185 +V +tp28239 +a(g339 +V= +tp28240 +a(g185 +V\u000a +p28241 +tp28242 +a(g198 +V( +tp28243 +a(g222 +V' +tp28244 +a(g222 +VVER_PLATFORM_WIN32s +p28245 +tp28246 +a(g222 +V' +tp28247 +a(g339 +V, +tp28248 +a(g185 +V +tp28249 +a(g222 +V' +tp28250 +a(g222 +VVER_PLATFORM_WIN32_WINDOWS +p28251 +tp28252 +a(g222 +V' +tp28253 +a(g339 +V, +tp28254 +a(g185 +V +tp28255 +a(g222 +V' +tp28256 +a(g222 +VVER_PLATFORM_WIN32_NT +p28257 +tp28258 +a(g222 +V' +tp28259 +a(g198 +V) +tp28260 +a(g339 +V; +tp28261 +a(g185 +V\u000a +tp28262 +a(g111 +Vbegin +p28263 +tp28264 +a(g185 +V\u000a +p28265 +tp28266 +a(g60 +VResult +p28267 +tp28268 +a(g185 +V +tp28269 +a(g339 +V: +tp28270 +a(g339 +V= +tp28271 +a(g185 +V +tp28272 +a(g18 +VPlatformStrings +p28273 +tp28274 +a(g198 +V[ +tp28275 +a(g18 +VWin32Platform +p28276 +tp28277 +a(g198 +V] +tp28278 +a(g339 +V; +tp28279 +a(g185 +V\u000a +tp28280 +a(g111 +Vend +p28281 +tp28282 +a(g339 +V; +tp28283 +a(g185 +V\u000a\u000a +p28284 +tp28285 +a(g111 +Vfunction +p28286 +tp28287 +a(g185 +V +tp28288 +a(g21 +VFullOSInfo +p28289 +tp28290 +a(g339 +V: +tp28291 +a(g185 +V +tp28292 +a(g111 +Vstring +p28293 +tp28294 +a(g339 +V; +tp28295 +a(g185 +V\u000a +tp28296 +a(g111 +Vbegin +p28297 +tp28298 +a(g185 +V\u000a +p28299 +tp28300 +a(g60 +VResult +p28301 +tp28302 +a(g185 +V +tp28303 +a(g339 +V: +tp28304 +a(g339 +V= +tp28305 +a(g185 +V +tp28306 +a(g57 +VFormat +p28307 +tp28308 +a(g198 +V( +tp28309 +a(g185 +V\u000a +p28310 +tp28311 +a(g222 +V' +tp28312 +a(g222 +VPlatform: %s +p28313 +tp28314 +a(g222 +V' +tp28315 +a(g185 +V +tp28316 +a(g339 +V+ +tp28317 +a(g185 +V +tp28318 +a(g18 +VEOL +p28319 +tp28320 +a(g185 +V +tp28321 +a(g339 +V+ +tp28322 +a(g185 +V\u000a +p28323 +tp28324 +a(g222 +V' +tp28325 +a(g222 +VVersion: %d.%d Build %d +p28326 +tp28327 +a(g222 +V' +tp28328 +a(g185 +V +tp28329 +a(g339 +V+ +tp28330 +a(g185 +V +tp28331 +a(g18 +VEOL +p28332 +tp28333 +a(g185 +V +tp28334 +a(g339 +V+ +tp28335 +a(g185 +V\u000a +p28336 +tp28337 +a(g222 +V' +tp28338 +a(g222 +VCSD: %s +p28339 +tp28340 +a(g222 +V' +tp28341 +a(g339 +V, +tp28342 +a(g185 +V\u000a +p28343 +tp28344 +a(g198 +V[ +tp28345 +a(g185 +V\u000a +p28346 +tp28347 +a(g18 +VWin32PlatformStr +p28348 +tp28349 +a(g339 +V, +tp28350 +a(g185 +V\u000a +p28351 +tp28352 +a(g18 +VWin32MajorVersion +p28353 +tp28354 +a(g339 +V, +tp28355 +a(g185 +V +tp28356 +a(g18 +VWin32MinorVersion +p28357 +tp28358 +a(g339 +V, +tp28359 +a(g185 +V +tp28360 +a(g18 +VWin32BuildNumber +p28361 +tp28362 +a(g339 +V, +tp28363 +a(g185 +V\u000a +p28364 +tp28365 +a(g18 +VWin32CSDVersion +p28366 +tp28367 +a(g185 +V\u000a +p28368 +tp28369 +a(g198 +V] +tp28370 +a(g185 +V\u000a +p28371 +tp28372 +a(g198 +V) +tp28373 +a(g339 +V; +tp28374 +a(g185 +V\u000a +tp28375 +a(g111 +Vend +p28376 +tp28377 +a(g339 +V; +tp28378 +a(g185 +V\u000a\u000a +p28379 +tp28380 +a(g111 +Vfunction +p28381 +tp28382 +a(g185 +V +tp28383 +a(g21 +VWin9x +p28384 +tp28385 +a(g339 +V: +tp28386 +a(g185 +V +tp28387 +a(g135 +VBoolean +p28388 +tp28389 +a(g339 +V; +tp28390 +a(g185 +V\u000a +tp28391 +a(g111 +Vbegin +p28392 +tp28393 +a(g185 +V\u000a +p28394 +tp28395 +a(g60 +VResult +p28396 +tp28397 +a(g185 +V +tp28398 +a(g339 +V: +tp28399 +a(g339 +V= +tp28400 +a(g185 +V +tp28401 +a(g18 +VWin32Platform +p28402 +tp28403 +a(g185 +V +tp28404 +a(g339 +V= +tp28405 +a(g185 +V +tp28406 +a(g18 +VVER_PLATFORM_WIN32_WINDOWS +p28407 +tp28408 +a(g339 +V; +tp28409 +a(g185 +V\u000a +tp28410 +a(g111 +Vend +p28411 +tp28412 +a(g339 +V; +tp28413 +a(g185 +V\u000a\u000a +p28414 +tp28415 +a(g111 +Vfunction +p28416 +tp28417 +a(g185 +V +tp28418 +a(g21 +VWinNT +p28419 +tp28420 +a(g339 +V: +tp28421 +a(g185 +V +tp28422 +a(g135 +VBoolean +p28423 +tp28424 +a(g339 +V; +tp28425 +a(g185 +V\u000a +tp28426 +a(g111 +Vbegin +p28427 +tp28428 +a(g185 +V\u000a +p28429 +tp28430 +a(g60 +VResult +p28431 +tp28432 +a(g185 +V +tp28433 +a(g339 +V: +tp28434 +a(g339 +V= +tp28435 +a(g185 +V +tp28436 +a(g18 +VWin32Platform +p28437 +tp28438 +a(g185 +V +tp28439 +a(g339 +V= +tp28440 +a(g185 +V +tp28441 +a(g18 +VVER_PLATFORM_WIN32_NT +p28442 +tp28443 +a(g339 +V; +tp28444 +a(g185 +V\u000a +tp28445 +a(g111 +Vend +p28446 +tp28447 +a(g339 +V; +tp28448 +a(g185 +V\u000a\u000a +p28449 +tp28450 +a(g111 +Vfunction +p28451 +tp28452 +a(g185 +V +tp28453 +a(g21 +VWin2000 +p28454 +tp28455 +a(g339 +V: +tp28456 +a(g185 +V +tp28457 +a(g135 +VBoolean +p28458 +tp28459 +a(g339 +V; +tp28460 +a(g185 +V\u000a +tp28461 +a(g111 +Vbegin +p28462 +tp28463 +a(g185 +V\u000a +p28464 +tp28465 +a(g60 +VResult +p28466 +tp28467 +a(g185 +V +tp28468 +a(g339 +V: +tp28469 +a(g339 +V= +tp28470 +a(g185 +V +tp28471 +a(g198 +V( +tp28472 +a(g18 +VWin32Platform +p28473 +tp28474 +a(g185 +V +tp28475 +a(g339 +V= +tp28476 +a(g185 +V +tp28477 +a(g18 +VVER_PLATFORM_WIN32_NT +p28478 +tp28479 +a(g198 +V) +tp28480 +a(g185 +V\u000a +p28481 +tp28482 +a(g111 +Vand +p28483 +tp28484 +a(g185 +V +tp28485 +a(g198 +V( +tp28486 +a(g18 +VWin32MajorVersion +p28487 +tp28488 +a(g185 +V +tp28489 +a(g339 +V= +tp28490 +a(g185 +V +tp28491 +a(g314 +V4 +tp28492 +a(g198 +V) +tp28493 +a(g339 +V; +tp28494 +a(g185 +V\u000a +tp28495 +a(g111 +Vend +p28496 +tp28497 +a(g339 +V; +tp28498 +a(g185 +V\u000a\u000a +p28499 +tp28500 +a(g111 +Vfunction +p28501 +tp28502 +a(g185 +V +tp28503 +a(g21 +VWinXP +p28504 +tp28505 +a(g339 +V: +tp28506 +a(g185 +V +tp28507 +a(g135 +VBoolean +p28508 +tp28509 +a(g339 +V; +tp28510 +a(g185 +V\u000a +tp28511 +a(g111 +Vbegin +p28512 +tp28513 +a(g185 +V\u000a +p28514 +tp28515 +a(g60 +VResult +p28516 +tp28517 +a(g185 +V +tp28518 +a(g339 +V: +tp28519 +a(g339 +V= +tp28520 +a(g185 +V +tp28521 +a(g18 +VWin32MajorVersion +p28522 +tp28523 +a(g185 +V +tp28524 +a(g339 +V> +tp28525 +a(g339 +V= +tp28526 +a(g185 +V +tp28527 +a(g314 +V5 +tp28528 +a(g339 +V; +tp28529 +a(g185 +V\u000a +tp28530 +a(g111 +Vend +p28531 +tp28532 +a(g339 +V; +tp28533 +a(g185 +V\u000a\u000a +p28534 +tp28535 +a(g111 +Vinitialization +p28536 +tp28537 +a(g185 +V\u000a +p28538 +tp28539 +a(g18 +VMyDir +p28540 +tp28541 +a(g185 +V +tp28542 +a(g339 +V: +tp28543 +a(g339 +V= +tp28544 +a(g185 +V +tp28545 +a(g18 +VGetMyDir +p28546 +tp28547 +a(g339 +V; +tp28548 +a(g185 +V\u000a\u000a +p28549 +tp28550 +a(g111 +Vend +p28551 +tp28552 +a(g339 +V. +tp28553 +a(g185 +V\u000a\u000a +p28554 +tp28555 +a(g111 +Vunit +p28556 +tp28557 +a(g185 +V +tp28558 +a(g18 +VFifoStream +p28559 +tp28560 +a(g339 +V; +tp28561 +a(g185 +V\u000a\u000a +p28562 +tp28563 +a(g111 +Vinterface +p28564 +tp28565 +a(g185 +V\u000a\u000a +p28566 +tp28567 +a(g111 +Vuses +p28568 +tp28569 +a(g185 +V +tp28570 +a(g18 +VClasses +p28571 +tp28572 +a(g339 +V, +tp28573 +a(g185 +V +tp28574 +a(g18 +Vwindows +p28575 +tp28576 +a(g339 +V, +tp28577 +a(g185 +V +tp28578 +a(g18 +VDialogs +p28579 +tp28580 +a(g339 +V; +tp28581 +a(g185 +V\u000a\u000a +p28582 +tp28583 +a(g111 +Vconst +p28584 +tp28585 +a(g185 +V\u000a +p28586 +tp28587 +a(g18 +VDefaultChunksize +p28588 +tp28589 +a(g185 +V +tp28590 +a(g339 +V= +tp28591 +a(g185 +V +tp28592 +a(g314 +V32768 +p28593 +tp28594 +a(g339 +V; +tp28595 +a(g185 +V +tp28596 +a(g7 +V// 32kb per chunk as default. +p28597 +tp28598 +a(g185 +V\u000a\u000a +p28599 +tp28600 +a(g111 +Vtype +p28601 +tp28602 +a(g185 +V\u000a +p28603 +tp28604 +a(g18 +VPMemChunk +p28605 +tp28606 +a(g185 +V +tp28607 +a(g339 +V= +tp28608 +a(g185 +V +tp28609 +a(g339 +V^ +tp28610 +a(g18 +VTMemChunk +p28611 +tp28612 +a(g339 +V; +tp28613 +a(g185 +V\u000a +p28614 +tp28615 +a(g18 +VTMemChunk +p28616 +tp28617 +a(g185 +V +tp28618 +a(g339 +V= +tp28619 +a(g185 +V +tp28620 +a(g111 +Vrecord +p28621 +tp28622 +a(g185 +V\u000a +p28623 +tp28624 +a(g18 +VFilled +p28625 +tp28626 +a(g339 +V: +tp28627 +a(g185 +V +tp28628 +a(g135 +VLongword +p28629 +tp28630 +a(g339 +V; +tp28631 +a(g185 +V\u000a +p28632 +tp28633 +a(g57 +VRead +p28634 +tp28635 +a(g339 +V: +tp28636 +a(g185 +V +tp28637 +a(g135 +VLongword +p28638 +tp28639 +a(g339 +V; +tp28640 +a(g185 +V\u000a +p28641 +tp28642 +a(g18 +VData +p28643 +tp28644 +a(g339 +V: +tp28645 +a(g185 +V +tp28646 +a(g135 +Vpointer +p28647 +tp28648 +a(g339 +V; +tp28649 +a(g185 +V\u000a +p28650 +tp28651 +a(g111 +Vend +p28652 +tp28653 +a(g339 +V; +tp28654 +a(g185 +V\u000a\u000a +p28655 +tp28656 +a(g18 +VTFifo +p28657 +tp28658 +a(g185 +V +tp28659 +a(g339 +V= +tp28660 +a(g185 +V +tp28661 +a(g111 +Vclass +p28662 +tp28663 +a(g185 +V\u000a +p28664 +tp28665 +a(g119 +Vprivate +p28666 +tp28667 +a(g185 +V\u000a +p28668 +tp28669 +a(g18 +VFBuffers +p28670 +tp28671 +a(g339 +V: +tp28672 +a(g185 +V +tp28673 +a(g18 +VTList +p28674 +tp28675 +a(g339 +V; +tp28676 +a(g185 +V\u000a +p28677 +tp28678 +a(g18 +VFChunksize +p28679 +tp28680 +a(g339 +V: +tp28681 +a(g185 +V +tp28682 +a(g135 +VLongword +p28683 +tp28684 +a(g339 +V; +tp28685 +a(g185 +V\u000a +p28686 +tp28687 +a(g18 +VFCritSect +p28688 +tp28689 +a(g339 +V: +tp28690 +a(g185 +V +tp28691 +a(g18 +VTRTLCriticalSection +p28692 +tp28693 +a(g339 +V; +tp28694 +a(g185 +V\u000a +p28695 +tp28696 +a(g18 +VFIsWinNT +p28697 +tp28698 +a(g339 +V: +tp28699 +a(g185 +V +tp28700 +a(g135 +Vboolean +p28701 +tp28702 +a(g339 +V; +tp28703 +a(g185 +V\u000a +p28704 +tp28705 +a(g18 +VFBytesInFifo +p28706 +tp28707 +a(g339 +V: +tp28708 +a(g185 +V +tp28709 +a(g135 +VLongWord +p28710 +tp28711 +a(g339 +V; +tp28712 +a(g185 +V\u000a +p28713 +tp28714 +a(g119 +Vprotected +p28715 +tp28716 +a(g185 +V\u000a +p28717 +tp28718 +a(g111 +Vfunction +p28719 +tp28720 +a(g185 +V +tp28721 +a(g21 +VGetBytesInFifo +p28722 +tp28723 +a(g339 +V: +tp28724 +a(g185 +V +tp28725 +a(g135 +VLongWord +p28726 +tp28727 +a(g339 +V; +tp28728 +a(g185 +V\u000a +p28729 +tp28730 +a(g119 +Vpublic +p28731 +tp28732 +a(g185 +V\u000a +p28733 +tp28734 +a(g111 +Vconstructor +p28735 +tp28736 +a(g185 +V +tp28737 +a(g21 +VCreate +p28738 +tp28739 +a(g339 +V; +tp28740 +a(g185 +V\u000a +p28741 +tp28742 +a(g111 +Vdestructor +p28743 +tp28744 +a(g185 +V +tp28745 +a(g21 +VDestroy +p28746 +tp28747 +a(g339 +V; +tp28748 +a(g185 +V +tp28749 +a(g119 +Voverride +p28750 +tp28751 +a(g339 +V; +tp28752 +a(g185 +V\u000a +p28753 +tp28754 +a(g111 +Vprocedure +p28755 +tp28756 +a(g185 +V +tp28757 +a(g21 +VWrite +p28758 +tp28759 +a(g198 +V( +tp28760 +a(g18 +VData +p28761 +tp28762 +a(g339 +V: +tp28763 +a(g185 +V +tp28764 +a(g135 +Vpointer +p28765 +tp28766 +a(g339 +V; +tp28767 +a(g185 +V +tp28768 +a(g18 +VSize +p28769 +tp28770 +a(g339 +V: +tp28771 +a(g185 +V +tp28772 +a(g135 +VLongWord +p28773 +tp28774 +a(g198 +V) +tp28775 +a(g339 +V; +tp28776 +a(g185 +V\u000a +p28777 +tp28778 +a(g111 +Vprocedure +p28779 +tp28780 +a(g185 +V +tp28781 +a(g21 +VRead +p28782 +tp28783 +a(g198 +V( +tp28784 +a(g18 +VBuff +p28785 +tp28786 +a(g339 +V: +tp28787 +a(g185 +V +tp28788 +a(g135 +Vpointer +p28789 +tp28790 +a(g339 +V; +tp28791 +a(g185 +V +tp28792 +a(g111 +Vvar +p28793 +tp28794 +a(g185 +V +tp28795 +a(g18 +VReqSize +p28796 +tp28797 +a(g339 +V: +tp28798 +a(g185 +V +tp28799 +a(g135 +VLongWord +p28800 +tp28801 +a(g198 +V) +tp28802 +a(g339 +V; +tp28803 +a(g185 +V\u000a +p28804 +tp28805 +a(g111 +Vprocedure +p28806 +tp28807 +a(g185 +V +tp28808 +a(g21 +VPeekData +p28809 +tp28810 +a(g198 +V( +tp28811 +a(g18 +VBuff +p28812 +tp28813 +a(g339 +V: +tp28814 +a(g185 +V +tp28815 +a(g135 +Vpointer +p28816 +tp28817 +a(g339 +V; +tp28818 +a(g185 +V +tp28819 +a(g111 +Vvar +p28820 +tp28821 +a(g185 +V +tp28822 +a(g18 +VReqSize +p28823 +tp28824 +a(g339 +V: +tp28825 +a(g185 +V +tp28826 +a(g135 +VLongWord +p28827 +tp28828 +a(g198 +V) +tp28829 +a(g339 +V; +tp28830 +a(g185 +V\u000a +p28831 +tp28832 +a(g119 +Vpublished +p28833 +tp28834 +a(g185 +V\u000a +p28835 +tp28836 +a(g111 +Vproperty +p28837 +tp28838 +a(g185 +V +tp28839 +a(g103 +VBytesInFifo +p28840 +tp28841 +a(g339 +V: +tp28842 +a(g185 +V +tp28843 +a(g135 +VLongWord +p28844 +tp28845 +a(g185 +V +tp28846 +a(g119 +Vread +p28847 +tp28848 +a(g185 +V +tp28849 +a(g21 +VFBytesInFifo +p28850 +tp28851 +a(g339 +V; +tp28852 +a(g185 +V\u000a +p28853 +tp28854 +a(g111 +Vend +p28855 +tp28856 +a(g339 +V; +tp28857 +a(g185 +V\u000a\u000a +p28858 +tp28859 +a(g111 +Vimplementation +p28860 +tp28861 +a(g185 +V\u000a\u000a +p28862 +tp28863 +a(g111 +Vconstructor +p28864 +tp28865 +a(g185 +V +tp28866 +a(g106 +VTFifo +p28867 +tp28868 +a(g339 +V. +tp28869 +a(g21 +VCreate +p28870 +tp28871 +a(g339 +V; +tp28872 +a(g185 +V\u000a +tp28873 +a(g111 +Vbegin +p28874 +tp28875 +a(g185 +V\u000a +p28876 +tp28877 +a(g111 +Vinherited +p28878 +tp28879 +a(g339 +V; +tp28880 +a(g185 +V\u000a +p28881 +tp28882 +a(g18 +VFBuffers +p28883 +tp28884 +a(g185 +V +tp28885 +a(g339 +V: +tp28886 +a(g339 +V= +tp28887 +a(g185 +V +tp28888 +a(g18 +VTList +p28889 +tp28890 +a(g339 +V. +tp28891 +a(g18 +VCreate +p28892 +tp28893 +a(g339 +V; +tp28894 +a(g185 +V\u000a +p28895 +tp28896 +a(g7 +V// set default chunksize... +p28897 +tp28898 +a(g185 +V\u000a +p28899 +tp28900 +a(g18 +VFChunksize +p28901 +tp28902 +a(g185 +V +tp28903 +a(g339 +V: +tp28904 +a(g339 +V= +tp28905 +a(g185 +V +tp28906 +a(g18 +VDefaultChunksize +p28907 +tp28908 +a(g339 +V; +tp28909 +a(g185 +V\u000a +p28910 +tp28911 +a(g18 +VInitializeCriticalSection +p28912 +tp28913 +a(g198 +V( +tp28914 +a(g18 +VFCritSect +p28915 +tp28916 +a(g198 +V) +tp28917 +a(g339 +V; +tp28918 +a(g185 +V\u000a +tp28919 +a(g111 +Vend +p28920 +tp28921 +a(g339 +V; +tp28922 +a(g185 +V\u000a\u000a +p28923 +tp28924 +a(g111 +Vdestructor +p28925 +tp28926 +a(g185 +V +tp28927 +a(g106 +VTFifo +p28928 +tp28929 +a(g339 +V. +tp28930 +a(g21 +VDestroy +p28931 +tp28932 +a(g339 +V; +tp28933 +a(g185 +V\u000a +tp28934 +a(g111 +Vvar +p28935 +tp28936 +a(g185 +V\u000a +p28937 +tp28938 +a(g18 +VI +tp28939 +a(g339 +V: +tp28940 +a(g185 +V +tp28941 +a(g135 +VInteger +p28942 +tp28943 +a(g339 +V; +tp28944 +a(g185 +V\u000a +tp28945 +a(g111 +Vbegin +p28946 +tp28947 +a(g185 +V\u000a +p28948 +tp28949 +a(g18 +VEnterCriticalSection +p28950 +tp28951 +a(g198 +V( +tp28952 +a(g18 +VFCritSect +p28953 +tp28954 +a(g198 +V) +tp28955 +a(g339 +V; +tp28956 +a(g185 +V\u000a +p28957 +tp28958 +a(g111 +Vfor +p28959 +tp28960 +a(g185 +V +tp28961 +a(g18 +VI +tp28962 +a(g185 +V +tp28963 +a(g339 +V: +tp28964 +a(g339 +V= +tp28965 +a(g185 +V +tp28966 +a(g314 +V0 +tp28967 +a(g185 +V +tp28968 +a(g111 +Vto +p28969 +tp28970 +a(g185 +V +tp28971 +a(g18 +VFBuffers +p28972 +tp28973 +a(g339 +V. +tp28974 +a(g18 +Vcount +p28975 +tp28976 +a(g185 +V +tp28977 +a(g339 +V- +tp28978 +a(g185 +V +tp28979 +a(g314 +V1 +tp28980 +a(g185 +V +tp28981 +a(g111 +Vdo +p28982 +tp28983 +a(g185 +V\u000a +p28984 +tp28985 +a(g111 +Vbegin +p28986 +tp28987 +a(g185 +V\u000a +p28988 +tp28989 +a(g57 +VFreeMem +p28990 +tp28991 +a(g198 +V( +tp28992 +a(g18 +VPMemChunk +p28993 +tp28994 +a(g198 +V( +tp28995 +a(g18 +VFbuffers +p28996 +tp28997 +a(g198 +V[ +tp28998 +a(g18 +VI +tp28999 +a(g198 +V]) +p29000 +tp29001 +a(g339 +V. +tp29002 +a(g18 +VData +p29003 +tp29004 +a(g198 +V) +tp29005 +a(g339 +V; +tp29006 +a(g185 +V\u000a +p29007 +tp29008 +a(g111 +VDispose +p29009 +tp29010 +a(g198 +V( +tp29011 +a(g18 +VPMemChunk +p29012 +tp29013 +a(g198 +V( +tp29014 +a(g18 +VFbuffers +p29015 +tp29016 +a(g198 +V[ +tp29017 +a(g18 +VI +tp29018 +a(g198 +V])) +p29019 +tp29020 +a(g339 +V; +tp29021 +a(g185 +V\u000a +p29022 +tp29023 +a(g111 +Vend +p29024 +tp29025 +a(g339 +V; +tp29026 +a(g185 +V\u000a +p29027 +tp29028 +a(g18 +VFBuffers +p29029 +tp29030 +a(g339 +V. +tp29031 +a(g18 +VClear +p29032 +tp29033 +a(g339 +V; +tp29034 +a(g185 +V\u000a +p29035 +tp29036 +a(g18 +VFBuffers +p29037 +tp29038 +a(g339 +V. +tp29039 +a(g18 +VFree +p29040 +tp29041 +a(g339 +V; +tp29042 +a(g185 +V\u000a +p29043 +tp29044 +a(g18 +VLeaveCriticalSection +p29045 +tp29046 +a(g198 +V( +tp29047 +a(g18 +VFCritSect +p29048 +tp29049 +a(g198 +V) +tp29050 +a(g339 +V; +tp29051 +a(g185 +V\u000a\u000a +p29052 +tp29053 +a(g18 +VDeleteCriticalSection +p29054 +tp29055 +a(g198 +V( +tp29056 +a(g18 +VFCritSect +p29057 +tp29058 +a(g198 +V) +tp29059 +a(g339 +V; +tp29060 +a(g185 +V\u000a +p29061 +tp29062 +a(g111 +Vinherited +p29063 +tp29064 +a(g339 +V; +tp29065 +a(g185 +V\u000a +tp29066 +a(g111 +Vend +p29067 +tp29068 +a(g339 +V; +tp29069 +a(g185 +V\u000a\u000a +p29070 +tp29071 +a(g111 +Vfunction +p29072 +tp29073 +a(g185 +V +tp29074 +a(g106 +VTFifo +p29075 +tp29076 +a(g339 +V. +tp29077 +a(g21 +VGetBytesInFifo +p29078 +tp29079 +a(g339 +V: +tp29080 +a(g185 +V +tp29081 +a(g135 +VLongWord +p29082 +tp29083 +a(g339 +V; +tp29084 +a(g185 +V\u000a +tp29085 +a(g111 +Vbegin +p29086 +tp29087 +a(g185 +V\u000a +p29088 +tp29089 +a(g60 +VResult +p29090 +tp29091 +a(g185 +V +tp29092 +a(g339 +V: +tp29093 +a(g339 +V= +tp29094 +a(g185 +V +tp29095 +a(g314 +V0 +tp29096 +a(g339 +V; +tp29097 +a(g185 +V\u000a +p29098 +tp29099 +a(g111 +Vif +p29100 +tp29101 +a(g185 +V +tp29102 +a(g18 +VFBuffers +p29103 +tp29104 +a(g339 +V. +tp29105 +a(g18 +VCount +p29106 +tp29107 +a(g185 +V +tp29108 +a(g339 +V= +tp29109 +a(g185 +V +tp29110 +a(g314 +V0 +tp29111 +a(g185 +V +tp29112 +a(g111 +Vthen +p29113 +tp29114 +a(g185 +V\u000a +p29115 +tp29116 +a(g111 +Vbegin +p29117 +tp29118 +a(g185 +V\u000a +p29119 +tp29120 +a(g111 +Vexit +p29121 +tp29122 +a(g339 +V; +tp29123 +a(g185 +V\u000a +p29124 +tp29125 +a(g111 +Vend +p29126 +tp29127 +a(g185 +V\u000a +p29128 +tp29129 +a(g111 +Velse +p29130 +tp29131 +a(g185 +V\u000a +p29132 +tp29133 +a(g111 +Vbegin +p29134 +tp29135 +a(g185 +V\u000a +p29136 +tp29137 +a(g111 +Vif +p29138 +tp29139 +a(g185 +V +tp29140 +a(g18 +VFBuffers +p29141 +tp29142 +a(g339 +V. +tp29143 +a(g18 +VCount +p29144 +tp29145 +a(g185 +V +tp29146 +a(g339 +V> +tp29147 +a(g185 +V +tp29148 +a(g314 +V1 +tp29149 +a(g185 +V +tp29150 +a(g111 +Vthen +p29151 +tp29152 +a(g185 +V\u000a +p29153 +tp29154 +a(g57 +VInc +p29155 +tp29156 +a(g198 +V( +tp29157 +a(g60 +VResult +p29158 +tp29159 +a(g339 +V, +tp29160 +a(g185 +V +tp29161 +a(g198 +V( +tp29162 +a(g18 +VFBuffers +p29163 +tp29164 +a(g339 +V. +tp29165 +a(g18 +VCount +p29166 +tp29167 +a(g185 +V +tp29168 +a(g339 +V- +tp29169 +a(g185 +V +tp29170 +a(g314 +V1 +tp29171 +a(g198 +V) +tp29172 +a(g185 +V +tp29173 +a(g339 +V* +tp29174 +a(g185 +V +tp29175 +a(g18 +VFChunkSize +p29176 +tp29177 +a(g198 +V) +tp29178 +a(g339 +V; +tp29179 +a(g185 +V\u000a +p29180 +tp29181 +a(g57 +VInc +p29182 +tp29183 +a(g198 +V( +tp29184 +a(g60 +VResult +p29185 +tp29186 +a(g339 +V, +tp29187 +a(g185 +V +tp29188 +a(g18 +VPMemChunk +p29189 +tp29190 +a(g198 +V( +tp29191 +a(g18 +VFBuffers +p29192 +tp29193 +a(g198 +V[ +tp29194 +a(g18 +VFbuffers +p29195 +tp29196 +a(g339 +V. +tp29197 +a(g18 +VCount +p29198 +tp29199 +a(g185 +V +tp29200 +a(g339 +V- +tp29201 +a(g185 +V +tp29202 +a(g314 +V1 +tp29203 +a(g198 +V]) +p29204 +tp29205 +a(g339 +V. +tp29206 +a(g18 +VFilled +p29207 +tp29208 +a(g198 +V) +tp29209 +a(g339 +V; +tp29210 +a(g185 +V\u000a +p29211 +tp29212 +a(g57 +VDec +p29213 +tp29214 +a(g198 +V( +tp29215 +a(g60 +VResult +p29216 +tp29217 +a(g339 +V, +tp29218 +a(g185 +V +tp29219 +a(g18 +VPMemChunk +p29220 +tp29221 +a(g198 +V( +tp29222 +a(g18 +VFBuffers +p29223 +tp29224 +a(g198 +V[ +tp29225 +a(g314 +V0 +tp29226 +a(g198 +V]) +p29227 +tp29228 +a(g339 +V. +tp29229 +a(g18 +VRead +p29230 +tp29231 +a(g198 +V) +tp29232 +a(g339 +V; +tp29233 +a(g185 +V\u000a +p29234 +tp29235 +a(g111 +Vend +p29236 +tp29237 +a(g339 +V; +tp29238 +a(g185 +V\u000a +tp29239 +a(g111 +Vend +p29240 +tp29241 +a(g339 +V; +tp29242 +a(g185 +V\u000a\u000a +p29243 +tp29244 +a(g111 +Vprocedure +p29245 +tp29246 +a(g185 +V +tp29247 +a(g106 +VTFifo +p29248 +tp29249 +a(g339 +V. +tp29250 +a(g21 +VWrite +p29251 +tp29252 +a(g198 +V( +tp29253 +a(g18 +VData +p29254 +tp29255 +a(g339 +V: +tp29256 +a(g185 +V +tp29257 +a(g135 +Vpointer +p29258 +tp29259 +a(g339 +V; +tp29260 +a(g185 +V +tp29261 +a(g18 +VSize +p29262 +tp29263 +a(g339 +V: +tp29264 +a(g185 +V +tp29265 +a(g135 +VLongWord +p29266 +tp29267 +a(g198 +V) +tp29268 +a(g339 +V; +tp29269 +a(g185 +V\u000a +tp29270 +a(g111 +Vvar +p29271 +tp29272 +a(g185 +V\u000a +p29273 +tp29274 +a(g18 +VPrivpointer +p29275 +tp29276 +a(g339 +V: +tp29277 +a(g185 +V +tp29278 +a(g135 +Vpointer +p29279 +tp29280 +a(g339 +V; +tp29281 +a(g185 +V\u000a +p29282 +tp29283 +a(g18 +VPrivSize +p29284 +tp29285 +a(g339 +V: +tp29286 +a(g185 +V +tp29287 +a(g135 +VLongWord +p29288 +tp29289 +a(g339 +V; +tp29290 +a(g185 +V\u000a +p29291 +tp29292 +a(g18 +VChunk +p29293 +tp29294 +a(g339 +V: +tp29295 +a(g185 +V +tp29296 +a(g18 +VPMemChunk +p29297 +tp29298 +a(g339 +V; +tp29299 +a(g185 +V\u000a +p29300 +tp29301 +a(g18 +VPosInChunk +p29302 +tp29303 +a(g339 +V: +tp29304 +a(g185 +V +tp29305 +a(g135 +Vpointer +p29306 +tp29307 +a(g339 +V; +tp29308 +a(g185 +V\u000a +tp29309 +a(g111 +Vbegin +p29310 +tp29311 +a(g185 +V\u000a +p29312 +tp29313 +a(g111 +Vif +p29314 +tp29315 +a(g185 +V +tp29316 +a(g135 +VLongWord +p29317 +tp29318 +a(g198 +V( +tp29319 +a(g18 +VData +p29320 +tp29321 +a(g198 +V) +tp29322 +a(g185 +V +tp29323 +a(g339 +V= +tp29324 +a(g185 +V +tp29325 +a(g314 +V0 +tp29326 +a(g185 +V +tp29327 +a(g111 +Vthen +p29328 +tp29329 +a(g185 +V\u000a +p29330 +tp29331 +a(g111 +Vbegin +p29332 +tp29333 +a(g185 +V\u000a +p29334 +tp29335 +a(g7 +V// null pointer? somebody is trying to fool us, get out... +p29336 +tp29337 +a(g185 +V\u000a +p29338 +tp29339 +a(g111 +VExit +p29340 +tp29341 +a(g339 +V; +tp29342 +a(g185 +V\u000a +p29343 +tp29344 +a(g111 +Vend +p29345 +tp29346 +a(g339 +V; +tp29347 +a(g185 +V\u000a +p29348 +tp29349 +a(g18 +VEnterCriticalSection +p29350 +tp29351 +a(g198 +V( +tp29352 +a(g18 +VFCritSect +p29353 +tp29354 +a(g198 +V) +tp29355 +a(g339 +V; +tp29356 +a(g185 +V\u000a +p29357 +tp29358 +a(g18 +VPrivPointer +p29359 +tp29360 +a(g185 +V +tp29361 +a(g339 +V: +tp29362 +a(g339 +V= +tp29363 +a(g185 +V +tp29364 +a(g18 +VData +p29365 +tp29366 +a(g339 +V; +tp29367 +a(g185 +V\u000a +p29368 +tp29369 +a(g18 +VPrivSize +p29370 +tp29371 +a(g185 +V +tp29372 +a(g339 +V: +tp29373 +a(g339 +V= +tp29374 +a(g185 +V +tp29375 +a(g314 +V0 +tp29376 +a(g339 +V; +tp29377 +a(g185 +V\u000a +p29378 +tp29379 +a(g7 +V// are already buffers there? +p29380 +tp29381 +a(g185 +V\u000a +p29382 +tp29383 +a(g111 +Vif +p29384 +tp29385 +a(g185 +V +tp29386 +a(g18 +VFBuffers +p29387 +tp29388 +a(g339 +V. +tp29389 +a(g18 +Vcount +p29390 +tp29391 +a(g185 +V +tp29392 +a(g339 +V> +tp29393 +a(g185 +V +tp29394 +a(g314 +V0 +tp29395 +a(g185 +V +tp29396 +a(g111 +Vthen +p29397 +tp29398 +a(g185 +V\u000a +p29399 +tp29400 +a(g111 +Vbegin +p29401 +tp29402 +a(g185 +V\u000a +p29403 +tp29404 +a(g7 +V// is the last one of them not completely filled? +p29405 +tp29406 +a(g185 +V\u000a +p29407 +tp29408 +a(g111 +Vif +p29409 +tp29410 +a(g185 +V +tp29411 +a(g18 +VPMemChunk +p29412 +tp29413 +a(g198 +V( +tp29414 +a(g18 +VFBuffers +p29415 +tp29416 +a(g198 +V[ +tp29417 +a(g18 +VFBuffers +p29418 +tp29419 +a(g339 +V. +tp29420 +a(g18 +Vcount +p29421 +tp29422 +a(g185 +V +tp29423 +a(g339 +V- +tp29424 +a(g185 +V +tp29425 +a(g314 +V1 +tp29426 +a(g198 +V]) +p29427 +tp29428 +a(g339 +V. +tp29429 +a(g18 +Vfilled +p29430 +tp29431 +a(g185 +V +tp29432 +a(g339 +V< +tp29433 +a(g185 +V +tp29434 +a(g18 +VFChunksize +p29435 +tp29436 +a(g185 +V +tp29437 +a(g111 +Vthen +p29438 +tp29439 +a(g185 +V\u000a +p29440 +tp29441 +a(g7 +V// not completely filled, so fill up the buffer. +p29442 +tp29443 +a(g185 +V\u000a +p29444 +tp29445 +a(g111 +Vbegin +p29446 +tp29447 +a(g185 +V\u000a +p29448 +tp29449 +a(g18 +VChunk +p29450 +tp29451 +a(g185 +V +tp29452 +a(g339 +V: +tp29453 +a(g339 +V= +tp29454 +a(g185 +V +tp29455 +a(g18 +VPMemChunk +p29456 +tp29457 +a(g198 +V( +tp29458 +a(g18 +VFBuffers +p29459 +tp29460 +a(g198 +V[ +tp29461 +a(g18 +VFBuffers +p29462 +tp29463 +a(g339 +V. +tp29464 +a(g18 +Vcount +p29465 +tp29466 +a(g185 +V +tp29467 +a(g339 +V- +tp29468 +a(g185 +V +tp29469 +a(g314 +V1 +tp29470 +a(g198 +V]) +p29471 +tp29472 +a(g339 +V; +tp29473 +a(g185 +V\u000a +p29474 +tp29475 +a(g7 +V// fetch chunkdata. +p29476 +tp29477 +a(g185 +V\u000a +p29478 +tp29479 +a(g18 +VPosInChunk +p29480 +tp29481 +a(g185 +V +tp29482 +a(g339 +V: +tp29483 +a(g339 +V= +tp29484 +a(g185 +V +tp29485 +a(g18 +VChunk +p29486 +tp29487 +a(g339 +V. +tp29488 +a(g18 +VData +p29489 +tp29490 +a(g339 +V; +tp29491 +a(g185 +V\u000a +p29492 +tp29493 +a(g7 +V// move to current fill pos... +p29494 +tp29495 +a(g185 +V\u000a +p29496 +tp29497 +a(g57 +VInc +p29498 +tp29499 +a(g198 +V( +tp29500 +a(g135 +VLongWord +p29501 +tp29502 +a(g198 +V( +tp29503 +a(g18 +VPosInChunk +p29504 +tp29505 +a(g198 +V) +tp29506 +a(g339 +V, +tp29507 +a(g185 +V +tp29508 +a(g18 +VChunk +p29509 +tp29510 +a(g339 +V. +tp29511 +a(g18 +VFilled +p29512 +tp29513 +a(g198 +V) +tp29514 +a(g339 +V; +tp29515 +a(g185 +V\u000a +p29516 +tp29517 +a(g7 +V// can we fill the chunk completely? +p29518 +tp29519 +a(g185 +V\u000a +p29520 +tp29521 +a(g111 +Vif +p29522 +tp29523 +a(g185 +V +tp29524 +a(g18 +VSize +p29525 +tp29526 +a(g185 +V +tp29527 +a(g339 +V> +tp29528 +a(g185 +V +tp29529 +a(g18 +VFChunksize +p29530 +tp29531 +a(g185 +V +tp29532 +a(g339 +V- +tp29533 +a(g185 +V +tp29534 +a(g18 +VChunk +p29535 +tp29536 +a(g339 +V. +tp29537 +a(g18 +VFilled +p29538 +tp29539 +a(g185 +V +tp29540 +a(g111 +Vthen +p29541 +tp29542 +a(g185 +V\u000a +p29543 +tp29544 +a(g111 +Vbegin +p29545 +tp29546 +a(g185 +V\u000a +p29547 +tp29548 +a(g7 +V// yes we can. +p29549 +tp29550 +a(g185 +V\u000a +p29551 +tp29552 +a(g57 +VMove +p29553 +tp29554 +a(g198 +V( +tp29555 +a(g18 +VPrivPointer +p29556 +tp29557 +a(g339 +V^ +tp29558 +a(g339 +V, +tp29559 +a(g185 +V +tp29560 +a(g18 +VPosInChunk +p29561 +tp29562 +a(g339 +V^ +tp29563 +a(g339 +V, +tp29564 +a(g185 +V +tp29565 +a(g18 +VFChunksize +p29566 +tp29567 +a(g185 +V +tp29568 +a(g339 +V- +tp29569 +a(g185 +V +tp29570 +a(g18 +VChunk +p29571 +tp29572 +a(g339 +V. +tp29573 +a(g18 +VFilled +p29574 +tp29575 +a(g198 +V) +tp29576 +a(g339 +V; +tp29577 +a(g185 +V\u000a +p29578 +tp29579 +a(g57 +VInc +p29580 +tp29581 +a(g198 +V( +tp29582 +a(g18 +VPrivSize +p29583 +tp29584 +a(g339 +V, +tp29585 +a(g185 +V +tp29586 +a(g18 +VFChunksize +p29587 +tp29588 +a(g185 +V +tp29589 +a(g339 +V- +tp29590 +a(g185 +V +tp29591 +a(g18 +VChunk +p29592 +tp29593 +a(g339 +V. +tp29594 +a(g18 +VFilled +p29595 +tp29596 +a(g198 +V) +tp29597 +a(g339 +V; +tp29598 +a(g185 +V\u000a +p29599 +tp29600 +a(g57 +VInc +p29601 +tp29602 +a(g198 +V( +tp29603 +a(g135 +VLongWord +p29604 +tp29605 +a(g198 +V( +tp29606 +a(g18 +VPrivPointer +p29607 +tp29608 +a(g198 +V) +tp29609 +a(g339 +V, +tp29610 +a(g185 +V +tp29611 +a(g18 +VFChunksize +p29612 +tp29613 +a(g185 +V +tp29614 +a(g339 +V- +tp29615 +a(g185 +V +tp29616 +a(g18 +VChunk +p29617 +tp29618 +a(g339 +V. +tp29619 +a(g18 +VFilled +p29620 +tp29621 +a(g198 +V) +tp29622 +a(g339 +V; +tp29623 +a(g185 +V\u000a +p29624 +tp29625 +a(g18 +VChunk +p29626 +tp29627 +a(g339 +V. +tp29628 +a(g18 +VFilled +p29629 +tp29630 +a(g185 +V +tp29631 +a(g339 +V: +tp29632 +a(g339 +V= +tp29633 +a(g185 +V +tp29634 +a(g18 +VFChunkSize +p29635 +tp29636 +a(g339 +V; +tp29637 +a(g185 +V\u000a +p29638 +tp29639 +a(g111 +Vend +p29640 +tp29641 +a(g185 +V\u000a +p29642 +tp29643 +a(g111 +Velse +p29644 +tp29645 +a(g185 +V\u000a +p29646 +tp29647 +a(g7 +V// we have to less data for filling the chunk completely, +p29648 +tp29649 +a(g185 +V\u000a +p29650 +tp29651 +a(g7 +V// just put everything in. +p29652 +tp29653 +a(g185 +V\u000a +p29654 +tp29655 +a(g111 +Vbegin +p29656 +tp29657 +a(g185 +V\u000a +p29658 +tp29659 +a(g57 +VMove +p29660 +tp29661 +a(g198 +V( +tp29662 +a(g18 +VPrivPointer +p29663 +tp29664 +a(g339 +V^ +tp29665 +a(g339 +V, +tp29666 +a(g185 +V +tp29667 +a(g18 +VPosInChunk +p29668 +tp29669 +a(g339 +V^ +tp29670 +a(g339 +V, +tp29671 +a(g185 +V +tp29672 +a(g18 +VSize +p29673 +tp29674 +a(g198 +V) +tp29675 +a(g339 +V; +tp29676 +a(g185 +V\u000a +p29677 +tp29678 +a(g57 +VInc +p29679 +tp29680 +a(g198 +V( +tp29681 +a(g18 +VPrivSize +p29682 +tp29683 +a(g339 +V, +tp29684 +a(g185 +V +tp29685 +a(g18 +VSize +p29686 +tp29687 +a(g198 +V) +tp29688 +a(g339 +V; +tp29689 +a(g185 +V\u000a +p29690 +tp29691 +a(g57 +VInc +p29692 +tp29693 +a(g198 +V( +tp29694 +a(g18 +VChunk +p29695 +tp29696 +a(g339 +V. +tp29697 +a(g18 +VFilled +p29698 +tp29699 +a(g339 +V, +tp29700 +a(g185 +V +tp29701 +a(g18 +VSize +p29702 +tp29703 +a(g198 +V) +tp29704 +a(g339 +V; +tp29705 +a(g185 +V\u000a +p29706 +tp29707 +a(g111 +Vend +p29708 +tp29709 +a(g339 +V; +tp29710 +a(g185 +V\u000a +p29711 +tp29712 +a(g111 +Vend +p29713 +tp29714 +a(g339 +V; +tp29715 +a(g185 +V\u000a +p29716 +tp29717 +a(g111 +Vend +p29718 +tp29719 +a(g339 +V; +tp29720 +a(g185 +V\u000a +p29721 +tp29722 +a(g7 +V// as long as we have remaining stuff put it into new chunks. +p29723 +tp29724 +a(g185 +V\u000a +p29725 +tp29726 +a(g111 +Vwhile +p29727 +tp29728 +a(g185 +V +tp29729 +a(g198 +V( +tp29730 +a(g18 +VPrivSize +p29731 +tp29732 +a(g185 +V +tp29733 +a(g339 +V< +tp29734 +a(g185 +V +tp29735 +a(g18 +VSize +p29736 +tp29737 +a(g198 +V) +tp29738 +a(g185 +V +tp29739 +a(g111 +Vdo +p29740 +tp29741 +a(g185 +V\u000a +p29742 +tp29743 +a(g111 +Vbegin +p29744 +tp29745 +a(g185 +V\u000a +p29746 +tp29747 +a(g111 +Vnew +p29748 +tp29749 +a(g198 +V( +tp29750 +a(g18 +VChunk +p29751 +tp29752 +a(g198 +V) +tp29753 +a(g339 +V; +tp29754 +a(g185 +V\u000a +p29755 +tp29756 +a(g57 +VGetMem +p29757 +tp29758 +a(g198 +V( +tp29759 +a(g18 +VChunk +p29760 +tp29761 +a(g339 +V. +tp29762 +a(g18 +VData +p29763 +tp29764 +a(g339 +V, +tp29765 +a(g185 +V +tp29766 +a(g18 +VFChunksize +p29767 +tp29768 +a(g198 +V) +tp29769 +a(g339 +V; +tp29770 +a(g185 +V\u000a +p29771 +tp29772 +a(g18 +VChunk +p29773 +tp29774 +a(g339 +V. +tp29775 +a(g18 +VRead +p29776 +tp29777 +a(g185 +V +tp29778 +a(g339 +V: +tp29779 +a(g339 +V= +tp29780 +a(g185 +V +tp29781 +a(g314 +V0 +tp29782 +a(g339 +V; +tp29783 +a(g185 +V\u000a +p29784 +tp29785 +a(g7 +V// can we fill an entire chunk with the remaining data? +p29786 +tp29787 +a(g185 +V\u000a +p29788 +tp29789 +a(g111 +Vif +p29790 +tp29791 +a(g185 +V +tp29792 +a(g18 +VPrivsize +p29793 +tp29794 +a(g185 +V +tp29795 +a(g339 +V+ +tp29796 +a(g185 +V +tp29797 +a(g18 +VFChunksize +p29798 +tp29799 +a(g185 +V +tp29800 +a(g339 +V< +tp29801 +a(g185 +V +tp29802 +a(g18 +VSize +p29803 +tp29804 +a(g185 +V +tp29805 +a(g111 +Vthen +p29806 +tp29807 +a(g185 +V\u000a +p29808 +tp29809 +a(g111 +Vbegin +p29810 +tp29811 +a(g185 +V\u000a +p29812 +tp29813 +a(g7 +V// yes we can, so put the stuff in. +p29814 +tp29815 +a(g185 +V\u000a +p29816 +tp29817 +a(g57 +VMove +p29818 +tp29819 +a(g198 +V( +tp29820 +a(g18 +VPrivpointer +p29821 +tp29822 +a(g339 +V^ +tp29823 +a(g339 +V, +tp29824 +a(g185 +V +tp29825 +a(g18 +VChunk +p29826 +tp29827 +a(g339 +V. +tp29828 +a(g18 +VData +p29829 +tp29830 +a(g339 +V^ +tp29831 +a(g339 +V, +tp29832 +a(g185 +V +tp29833 +a(g18 +VFChunksize +p29834 +tp29835 +a(g198 +V) +tp29836 +a(g339 +V; +tp29837 +a(g185 +V\u000a +p29838 +tp29839 +a(g57 +VInc +p29840 +tp29841 +a(g198 +V( +tp29842 +a(g135 +VLongWord +p29843 +tp29844 +a(g198 +V( +tp29845 +a(g18 +VPrivPointer +p29846 +tp29847 +a(g198 +V) +tp29848 +a(g339 +V, +tp29849 +a(g185 +V +tp29850 +a(g18 +VFChunksize +p29851 +tp29852 +a(g198 +V) +tp29853 +a(g339 +V; +tp29854 +a(g185 +V\u000a +p29855 +tp29856 +a(g57 +VInc +p29857 +tp29858 +a(g198 +V( +tp29859 +a(g18 +VPrivSize +p29860 +tp29861 +a(g339 +V, +tp29862 +a(g185 +V +tp29863 +a(g18 +VFChunksize +p29864 +tp29865 +a(g198 +V) +tp29866 +a(g339 +V; +tp29867 +a(g185 +V\u000a +p29868 +tp29869 +a(g18 +VChunk +p29870 +tp29871 +a(g339 +V. +tp29872 +a(g18 +VFilled +p29873 +tp29874 +a(g185 +V +tp29875 +a(g339 +V: +tp29876 +a(g339 +V= +tp29877 +a(g185 +V +tp29878 +a(g18 +VFChunksize +p29879 +tp29880 +a(g339 +V; +tp29881 +a(g185 +V\u000a +p29882 +tp29883 +a(g111 +Vend +p29884 +tp29885 +a(g185 +V\u000a +p29886 +tp29887 +a(g111 +Velse +p29888 +tp29889 +a(g185 +V +tp29890 +a(g7 +V// we have to less data to fill the entire chunk, just put the remaining stuff in. +p29891 +tp29892 +a(g185 +V\u000a +p29893 +tp29894 +a(g111 +Vbegin +p29895 +tp29896 +a(g185 +V\u000a +p29897 +tp29898 +a(g57 +VMove +p29899 +tp29900 +a(g198 +V( +tp29901 +a(g18 +VPrivpointer +p29902 +tp29903 +a(g339 +V^ +tp29904 +a(g339 +V, +tp29905 +a(g185 +V +tp29906 +a(g18 +VChunk +p29907 +tp29908 +a(g339 +V. +tp29909 +a(g18 +VData +p29910 +tp29911 +a(g339 +V^ +tp29912 +a(g339 +V, +tp29913 +a(g185 +V +tp29914 +a(g18 +VSize +p29915 +tp29916 +a(g185 +V +tp29917 +a(g339 +V- +tp29918 +a(g185 +V +tp29919 +a(g18 +VPrivsize +p29920 +tp29921 +a(g198 +V) +tp29922 +a(g339 +V; +tp29923 +a(g185 +V\u000a +p29924 +tp29925 +a(g18 +VChunk +p29926 +tp29927 +a(g339 +V. +tp29928 +a(g18 +VFilled +p29929 +tp29930 +a(g185 +V +tp29931 +a(g339 +V: +tp29932 +a(g339 +V= +tp29933 +a(g185 +V +tp29934 +a(g18 +VSize +p29935 +tp29936 +a(g185 +V +tp29937 +a(g339 +V- +tp29938 +a(g185 +V +tp29939 +a(g18 +VPrivsize +p29940 +tp29941 +a(g339 +V; +tp29942 +a(g185 +V\u000a +p29943 +tp29944 +a(g57 +VInc +p29945 +tp29946 +a(g198 +V( +tp29947 +a(g18 +VPrivSize +p29948 +tp29949 +a(g339 +V, +tp29950 +a(g185 +V +tp29951 +a(g18 +VSize +p29952 +tp29953 +a(g185 +V +tp29954 +a(g339 +V- +tp29955 +a(g185 +V +tp29956 +a(g18 +VPrivsize +p29957 +tp29958 +a(g198 +V) +tp29959 +a(g339 +V; +tp29960 +a(g185 +V\u000a +p29961 +tp29962 +a(g111 +Vend +p29963 +tp29964 +a(g339 +V; +tp29965 +a(g185 +V\u000a +p29966 +tp29967 +a(g18 +VFbuffers +p29968 +tp29969 +a(g339 +V. +tp29970 +a(g18 +VAdd +p29971 +tp29972 +a(g198 +V( +tp29973 +a(g18 +VChunk +p29974 +tp29975 +a(g198 +V) +tp29976 +a(g339 +V; +tp29977 +a(g185 +V\u000a +p29978 +tp29979 +a(g111 +Vend +p29980 +tp29981 +a(g339 +V; +tp29982 +a(g185 +V\u000a +p29983 +tp29984 +a(g111 +Vif +p29985 +tp29986 +a(g185 +V +tp29987 +a(g18 +VSize +p29988 +tp29989 +a(g185 +V +tp29990 +a(g339 +V< +tp29991 +a(g339 +V> +tp29992 +a(g185 +V +tp29993 +a(g18 +VPrivsize +p29994 +tp29995 +a(g185 +V +tp29996 +a(g111 +Vthen +p29997 +tp29998 +a(g185 +V\u000a +p29999 +tp30000 +a(g18 +VShowmessage +p30001 +tp30002 +a(g198 +V( +tp30003 +a(g222 +V' +tp30004 +a(g222 +Vmiscalculation in TFifo.write +p30005 +tp30006 +a(g222 +V' +tp30007 +a(g198 +V) +tp30008 +a(g339 +V; +tp30009 +a(g185 +V\u000a +p30010 +tp30011 +a(g18 +VFBytesInFifo +p30012 +tp30013 +a(g185 +V +tp30014 +a(g339 +V: +tp30015 +a(g339 +V= +tp30016 +a(g185 +V +tp30017 +a(g18 +VGetBytesInFifo +p30018 +tp30019 +a(g339 +V; +tp30020 +a(g185 +V\u000a +p30021 +tp30022 +a(g18 +VLeaveCriticalSection +p30023 +tp30024 +a(g198 +V( +tp30025 +a(g18 +VFCritSect +p30026 +tp30027 +a(g198 +V) +tp30028 +a(g339 +V; +tp30029 +a(g185 +V\u000a +tp30030 +a(g111 +Vend +p30031 +tp30032 +a(g339 +V; +tp30033 +a(g185 +V\u000a\u000a +p30034 +tp30035 +a(g111 +Vprocedure +p30036 +tp30037 +a(g185 +V +tp30038 +a(g106 +VTFifo +p30039 +tp30040 +a(g339 +V. +tp30041 +a(g21 +VRead +p30042 +tp30043 +a(g198 +V( +tp30044 +a(g18 +VBuff +p30045 +tp30046 +a(g339 +V: +tp30047 +a(g185 +V +tp30048 +a(g135 +Vpointer +p30049 +tp30050 +a(g339 +V; +tp30051 +a(g185 +V +tp30052 +a(g111 +Vvar +p30053 +tp30054 +a(g185 +V +tp30055 +a(g18 +VReqSize +p30056 +tp30057 +a(g339 +V: +tp30058 +a(g185 +V +tp30059 +a(g135 +VLongWord +p30060 +tp30061 +a(g198 +V) +tp30062 +a(g339 +V; +tp30063 +a(g185 +V\u000a +tp30064 +a(g111 +Vvar +p30065 +tp30066 +a(g185 +V\u000a +p30067 +tp30068 +a(g18 +VPrivSize +p30069 +tp30070 +a(g339 +V: +tp30071 +a(g185 +V +tp30072 +a(g135 +VInteger +p30073 +tp30074 +a(g339 +V; +tp30075 +a(g185 +V\u000a +p30076 +tp30077 +a(g18 +VPrivpos +p30078 +tp30079 +a(g339 +V: +tp30080 +a(g185 +V +tp30081 +a(g135 +Vpointer +p30082 +tp30083 +a(g339 +V; +tp30084 +a(g185 +V\u000a +p30085 +tp30086 +a(g18 +VChunk +p30087 +tp30088 +a(g339 +V: +tp30089 +a(g185 +V +tp30090 +a(g18 +VPMemChunk +p30091 +tp30092 +a(g339 +V; +tp30093 +a(g185 +V\u000a +p30094 +tp30095 +a(g18 +VChunkPos +p30096 +tp30097 +a(g339 +V: +tp30098 +a(g185 +V +tp30099 +a(g135 +Vpointer +p30100 +tp30101 +a(g339 +V; +tp30102 +a(g185 +V\u000a +tp30103 +a(g111 +Vbegin +p30104 +tp30105 +a(g185 +V\u000a +p30106 +tp30107 +a(g111 +Vif +p30108 +tp30109 +a(g185 +V +tp30110 +a(g135 +VLongWord +p30111 +tp30112 +a(g198 +V( +tp30113 +a(g18 +VBuff +p30114 +tp30115 +a(g198 +V) +tp30116 +a(g185 +V +tp30117 +a(g339 +V= +tp30118 +a(g185 +V +tp30119 +a(g314 +V0 +tp30120 +a(g185 +V +tp30121 +a(g111 +Vthen +p30122 +tp30123 +a(g185 +V\u000a +p30124 +tp30125 +a(g111 +Vbegin +p30126 +tp30127 +a(g185 +V\u000a +p30128 +tp30129 +a(g7 +V// null pointer? somebody is trying to fool us, get out... +p30130 +tp30131 +a(g185 +V\u000a +p30132 +tp30133 +a(g111 +VExit +p30134 +tp30135 +a(g339 +V; +tp30136 +a(g185 +V\u000a +p30137 +tp30138 +a(g111 +Vend +p30139 +tp30140 +a(g339 +V; +tp30141 +a(g185 +V\u000a +p30142 +tp30143 +a(g18 +VEnterCriticalSection +p30144 +tp30145 +a(g198 +V( +tp30146 +a(g18 +VFCritSect +p30147 +tp30148 +a(g198 +V) +tp30149 +a(g339 +V; +tp30150 +a(g185 +V\u000a +p30151 +tp30152 +a(g18 +VPrivSize +p30153 +tp30154 +a(g185 +V +tp30155 +a(g339 +V: +tp30156 +a(g339 +V= +tp30157 +a(g185 +V +tp30158 +a(g314 +V0 +tp30159 +a(g339 +V; +tp30160 +a(g185 +V\u000a +p30161 +tp30162 +a(g18 +VPrivpos +p30163 +tp30164 +a(g185 +V +tp30165 +a(g339 +V: +tp30166 +a(g339 +V= +tp30167 +a(g185 +V +tp30168 +a(g18 +VBuff +p30169 +tp30170 +a(g339 +V; +tp30171 +a(g185 +V\u000a +p30172 +tp30173 +a(g111 +Vwhile +p30174 +tp30175 +a(g185 +V +tp30176 +a(g18 +VFBuffers +p30177 +tp30178 +a(g339 +V. +tp30179 +a(g18 +VCount +p30180 +tp30181 +a(g185 +V +tp30182 +a(g339 +V> +tp30183 +a(g185 +V +tp30184 +a(g314 +V0 +tp30185 +a(g185 +V +tp30186 +a(g111 +Vdo +p30187 +tp30188 +a(g185 +V\u000a +p30189 +tp30190 +a(g111 +Vbegin +p30191 +tp30192 +a(g185 +V\u000a +p30193 +tp30194 +a(g18 +VChunk +p30195 +tp30196 +a(g185 +V +tp30197 +a(g339 +V: +tp30198 +a(g339 +V= +tp30199 +a(g185 +V +tp30200 +a(g18 +VPMemChunk +p30201 +tp30202 +a(g198 +V( +tp30203 +a(g18 +VFBuffers +p30204 +tp30205 +a(g198 +V[ +tp30206 +a(g314 +V0 +tp30207 +a(g198 +V]) +p30208 +tp30209 +a(g339 +V; +tp30210 +a(g185 +V\u000a +p30211 +tp30212 +a(g18 +VChunkPos +p30213 +tp30214 +a(g185 +V +tp30215 +a(g339 +V: +tp30216 +a(g339 +V= +tp30217 +a(g185 +V +tp30218 +a(g18 +VChunk +p30219 +tp30220 +a(g339 +V. +tp30221 +a(g18 +Vdata +p30222 +tp30223 +a(g339 +V; +tp30224 +a(g185 +V\u000a +p30225 +tp30226 +a(g57 +VInc +p30227 +tp30228 +a(g198 +V( +tp30229 +a(g135 +VLongWord +p30230 +tp30231 +a(g198 +V( +tp30232 +a(g18 +VChunkPos +p30233 +tp30234 +a(g198 +V) +tp30235 +a(g339 +V, +tp30236 +a(g185 +V +tp30237 +a(g18 +VChunk +p30238 +tp30239 +a(g339 +V. +tp30240 +a(g18 +VRead +p30241 +tp30242 +a(g198 +V) +tp30243 +a(g339 +V; +tp30244 +a(g185 +V\u000a +p30245 +tp30246 +a(g7 +V// does the remaining part of the chunk fit into the buffer? +p30247 +tp30248 +a(g185 +V\u000a +p30249 +tp30250 +a(g111 +Vif +p30251 +tp30252 +a(g185 +V +tp30253 +a(g18 +VPrivSize +p30254 +tp30255 +a(g185 +V +tp30256 +a(g339 +V+ +tp30257 +a(g185 +V +tp30258 +a(g198 +V( +tp30259 +a(g18 +VChunk +p30260 +tp30261 +a(g339 +V. +tp30262 +a(g18 +VFilled +p30263 +tp30264 +a(g185 +V +tp30265 +a(g339 +V- +tp30266 +a(g185 +V +tp30267 +a(g18 +VChunk +p30268 +tp30269 +a(g339 +V. +tp30270 +a(g18 +Vread +p30271 +tp30272 +a(g198 +V) +tp30273 +a(g185 +V +tp30274 +a(g339 +V< +tp30275 +a(g185 +V +tp30276 +a(g18 +VReqSize +p30277 +tp30278 +a(g185 +V +tp30279 +a(g111 +Vthen +p30280 +tp30281 +a(g185 +V\u000a +p30282 +tp30283 +a(g111 +Vbegin +p30284 +tp30285 +a(g185 +V +tp30286 +a(g7 +V// yep, it fits +p30287 +tp30288 +a(g185 +V\u000a +p30289 +tp30290 +a(g57 +VMove +p30291 +tp30292 +a(g198 +V( +tp30293 +a(g18 +VChunkPos +p30294 +tp30295 +a(g339 +V^ +tp30296 +a(g339 +V, +tp30297 +a(g185 +V +tp30298 +a(g18 +VPrivpos +p30299 +tp30300 +a(g339 +V^ +tp30301 +a(g339 +V, +tp30302 +a(g185 +V +tp30303 +a(g18 +VChunk +p30304 +tp30305 +a(g339 +V. +tp30306 +a(g18 +VFilled +p30307 +tp30308 +a(g185 +V +tp30309 +a(g339 +V- +tp30310 +a(g185 +V +tp30311 +a(g18 +VChunk +p30312 +tp30313 +a(g339 +V. +tp30314 +a(g18 +Vread +p30315 +tp30316 +a(g198 +V) +tp30317 +a(g339 +V; +tp30318 +a(g185 +V\u000a +p30319 +tp30320 +a(g57 +VInc +p30321 +tp30322 +a(g198 +V( +tp30323 +a(g18 +VPrivSize +p30324 +tp30325 +a(g339 +V, +tp30326 +a(g185 +V +tp30327 +a(g18 +VChunk +p30328 +tp30329 +a(g339 +V. +tp30330 +a(g18 +VFilled +p30331 +tp30332 +a(g185 +V +tp30333 +a(g339 +V- +tp30334 +a(g185 +V +tp30335 +a(g18 +VChunk +p30336 +tp30337 +a(g339 +V. +tp30338 +a(g18 +Vread +p30339 +tp30340 +a(g198 +V) +tp30341 +a(g339 +V; +tp30342 +a(g185 +V\u000a +p30343 +tp30344 +a(g57 +VFreeMem +p30345 +tp30346 +a(g198 +V( +tp30347 +a(g18 +VChunk +p30348 +tp30349 +a(g339 +V. +tp30350 +a(g18 +VData +p30351 +tp30352 +a(g198 +V) +tp30353 +a(g339 +V; +tp30354 +a(g185 +V\u000a +p30355 +tp30356 +a(g111 +VDispose +p30357 +tp30358 +a(g198 +V( +tp30359 +a(g18 +VChunk +p30360 +tp30361 +a(g198 +V) +tp30362 +a(g339 +V; +tp30363 +a(g185 +V\u000a +p30364 +tp30365 +a(g18 +VFBuffers +p30366 +tp30367 +a(g339 +V. +tp30368 +a(g18 +VDelete +p30369 +tp30370 +a(g198 +V( +tp30371 +a(g314 +V0 +tp30372 +a(g198 +V) +tp30373 +a(g339 +V; +tp30374 +a(g185 +V\u000a +p30375 +tp30376 +a(g111 +Vend +p30377 +tp30378 +a(g185 +V\u000a +p30379 +tp30380 +a(g111 +Velse +p30381 +tp30382 +a(g185 +V +tp30383 +a(g7 +V// remaining part didn't fit, get as much as we can and increment the +p30384 +tp30385 +a(g185 +V\u000a +p30386 +tp30387 +a(g7 +V// read attribute. +p30388 +tp30389 +a(g185 +V\u000a +p30390 +tp30391 +a(g111 +Vbegin +p30392 +tp30393 +a(g185 +V\u000a +p30394 +tp30395 +a(g57 +VMove +p30396 +tp30397 +a(g198 +V( +tp30398 +a(g18 +VChunkPos +p30399 +tp30400 +a(g339 +V^ +tp30401 +a(g339 +V, +tp30402 +a(g185 +V +tp30403 +a(g18 +VPrivpos +p30404 +tp30405 +a(g339 +V^ +tp30406 +a(g339 +V, +tp30407 +a(g185 +V +tp30408 +a(g18 +VReqSize +p30409 +tp30410 +a(g185 +V +tp30411 +a(g339 +V- +tp30412 +a(g185 +V +tp30413 +a(g18 +VPrivSize +p30414 +tp30415 +a(g198 +V) +tp30416 +a(g339 +V; +tp30417 +a(g185 +V\u000a +p30418 +tp30419 +a(g57 +VInc +p30420 +tp30421 +a(g198 +V( +tp30422 +a(g18 +VChunk +p30423 +tp30424 +a(g339 +V. +tp30425 +a(g18 +Vread +p30426 +tp30427 +a(g339 +V, +tp30428 +a(g185 +V +tp30429 +a(g18 +VReqSize +p30430 +tp30431 +a(g185 +V +tp30432 +a(g339 +V- +tp30433 +a(g185 +V +tp30434 +a(g18 +VPrivSize +p30435 +tp30436 +a(g198 +V) +tp30437 +a(g339 +V; +tp30438 +a(g185 +V\u000a +p30439 +tp30440 +a(g57 +VInc +p30441 +tp30442 +a(g198 +V( +tp30443 +a(g18 +VPrivSize +p30444 +tp30445 +a(g339 +V, +tp30446 +a(g185 +V +tp30447 +a(g18 +VReqSize +p30448 +tp30449 +a(g185 +V +tp30450 +a(g339 +V- +tp30451 +a(g185 +V +tp30452 +a(g18 +VPrivSize +p30453 +tp30454 +a(g198 +V) +tp30455 +a(g339 +V; +tp30456 +a(g185 +V\u000a +p30457 +tp30458 +a(g7 +V// as we filled the buffer, we'll have to break here. +p30459 +tp30460 +a(g185 +V\u000a +p30461 +tp30462 +a(g111 +Vbreak +p30463 +tp30464 +a(g339 +V; +tp30465 +a(g185 +V\u000a +p30466 +tp30467 +a(g111 +Vend +p30468 +tp30469 +a(g339 +V; +tp30470 +a(g185 +V\u000a +p30471 +tp30472 +a(g111 +Vend +p30473 +tp30474 +a(g339 +V; +tp30475 +a(g185 +V\u000a +p30476 +tp30477 +a(g18 +VFBytesInFifo +p30478 +tp30479 +a(g185 +V +tp30480 +a(g339 +V: +tp30481 +a(g339 +V= +tp30482 +a(g185 +V +tp30483 +a(g18 +VGetBytesInFifo +p30484 +tp30485 +a(g339 +V; +tp30486 +a(g185 +V\u000a +p30487 +tp30488 +a(g18 +VLeaveCriticalSection +p30489 +tp30490 +a(g198 +V( +tp30491 +a(g18 +VFCritSect +p30492 +tp30493 +a(g198 +V) +tp30494 +a(g339 +V; +tp30495 +a(g185 +V\u000a +p30496 +tp30497 +a(g18 +VReqSize +p30498 +tp30499 +a(g185 +V +tp30500 +a(g339 +V: +tp30501 +a(g339 +V= +tp30502 +a(g185 +V +tp30503 +a(g18 +VPrivSize +p30504 +tp30505 +a(g339 +V; +tp30506 +a(g185 +V\u000a +tp30507 +a(g111 +Vend +p30508 +tp30509 +a(g339 +V; +tp30510 +a(g185 +V\u000a\u000a +p30511 +tp30512 +a(g7 +V// read Data from Stream without removing it from the Stream... +p30513 +tp30514 +a(g185 +V\u000a\u000a +p30515 +tp30516 +a(g111 +Vprocedure +p30517 +tp30518 +a(g185 +V +tp30519 +a(g106 +VTFifo +p30520 +tp30521 +a(g339 +V. +tp30522 +a(g21 +VPeekData +p30523 +tp30524 +a(g198 +V( +tp30525 +a(g18 +VBuff +p30526 +tp30527 +a(g339 +V: +tp30528 +a(g185 +V +tp30529 +a(g135 +Vpointer +p30530 +tp30531 +a(g339 +V; +tp30532 +a(g185 +V +tp30533 +a(g111 +Vvar +p30534 +tp30535 +a(g185 +V +tp30536 +a(g18 +VReqSize +p30537 +tp30538 +a(g339 +V: +tp30539 +a(g185 +V +tp30540 +a(g135 +VLongWord +p30541 +tp30542 +a(g198 +V) +tp30543 +a(g339 +V; +tp30544 +a(g185 +V\u000a +tp30545 +a(g111 +Vvar +p30546 +tp30547 +a(g185 +V\u000a +p30548 +tp30549 +a(g18 +VPrivSize +p30550 +tp30551 +a(g339 +V: +tp30552 +a(g185 +V +tp30553 +a(g135 +VInteger +p30554 +tp30555 +a(g339 +V; +tp30556 +a(g185 +V\u000a +p30557 +tp30558 +a(g18 +VPrivpos +p30559 +tp30560 +a(g339 +V: +tp30561 +a(g185 +V +tp30562 +a(g135 +Vpointer +p30563 +tp30564 +a(g339 +V; +tp30565 +a(g185 +V\u000a +p30566 +tp30567 +a(g18 +VChunk +p30568 +tp30569 +a(g339 +V: +tp30570 +a(g185 +V +tp30571 +a(g18 +VPMemChunk +p30572 +tp30573 +a(g339 +V; +tp30574 +a(g185 +V\u000a +p30575 +tp30576 +a(g18 +VChunkPos +p30577 +tp30578 +a(g339 +V: +tp30579 +a(g185 +V +tp30580 +a(g135 +Vpointer +p30581 +tp30582 +a(g339 +V; +tp30583 +a(g185 +V\u000a +p30584 +tp30585 +a(g18 +VChunkNr +p30586 +tp30587 +a(g339 +V: +tp30588 +a(g185 +V +tp30589 +a(g135 +VInteger +p30590 +tp30591 +a(g339 +V; +tp30592 +a(g185 +V\u000a +tp30593 +a(g111 +Vbegin +p30594 +tp30595 +a(g185 +V\u000a +p30596 +tp30597 +a(g111 +Vif +p30598 +tp30599 +a(g185 +V +tp30600 +a(g135 +VLongWord +p30601 +tp30602 +a(g198 +V( +tp30603 +a(g18 +VBuff +p30604 +tp30605 +a(g198 +V) +tp30606 +a(g185 +V +tp30607 +a(g339 +V= +tp30608 +a(g185 +V +tp30609 +a(g314 +V0 +tp30610 +a(g185 +V +tp30611 +a(g111 +Vthen +p30612 +tp30613 +a(g185 +V\u000a +p30614 +tp30615 +a(g111 +Vbegin +p30616 +tp30617 +a(g185 +V\u000a +p30618 +tp30619 +a(g7 +V// null pointer? somebody is trying to fool us, get out... +p30620 +tp30621 +a(g185 +V\u000a +p30622 +tp30623 +a(g111 +VExit +p30624 +tp30625 +a(g339 +V; +tp30626 +a(g185 +V\u000a +p30627 +tp30628 +a(g111 +Vend +p30629 +tp30630 +a(g339 +V; +tp30631 +a(g185 +V\u000a +p30632 +tp30633 +a(g18 +VEnterCriticalSection +p30634 +tp30635 +a(g198 +V( +tp30636 +a(g18 +VFCritSect +p30637 +tp30638 +a(g198 +V) +tp30639 +a(g339 +V; +tp30640 +a(g185 +V\u000a +p30641 +tp30642 +a(g18 +VPrivSize +p30643 +tp30644 +a(g185 +V +tp30645 +a(g339 +V: +tp30646 +a(g339 +V= +tp30647 +a(g185 +V +tp30648 +a(g314 +V0 +tp30649 +a(g339 +V; +tp30650 +a(g185 +V\u000a +p30651 +tp30652 +a(g18 +VPrivpos +p30653 +tp30654 +a(g185 +V +tp30655 +a(g339 +V: +tp30656 +a(g339 +V= +tp30657 +a(g185 +V +tp30658 +a(g18 +VBuff +p30659 +tp30660 +a(g339 +V; +tp30661 +a(g185 +V\u000a +p30662 +tp30663 +a(g18 +VChunkNr +p30664 +tp30665 +a(g185 +V +tp30666 +a(g339 +V: +tp30667 +a(g339 +V= +tp30668 +a(g185 +V +tp30669 +a(g314 +V0 +tp30670 +a(g339 +V; +tp30671 +a(g185 +V\u000a +p30672 +tp30673 +a(g111 +Vwhile +p30674 +tp30675 +a(g185 +V +tp30676 +a(g18 +VFBuffers +p30677 +tp30678 +a(g339 +V. +tp30679 +a(g18 +VCount +p30680 +tp30681 +a(g185 +V +tp30682 +a(g339 +V> +tp30683 +a(g185 +V +tp30684 +a(g18 +VChunkNr +p30685 +tp30686 +a(g185 +V +tp30687 +a(g111 +Vdo +p30688 +tp30689 +a(g185 +V\u000a +p30690 +tp30691 +a(g111 +Vbegin +p30692 +tp30693 +a(g185 +V\u000a +p30694 +tp30695 +a(g18 +VChunk +p30696 +tp30697 +a(g185 +V +tp30698 +a(g339 +V: +tp30699 +a(g339 +V= +tp30700 +a(g185 +V +tp30701 +a(g18 +VPMemChunk +p30702 +tp30703 +a(g198 +V( +tp30704 +a(g18 +VFBuffers +p30705 +tp30706 +a(g198 +V[ +tp30707 +a(g18 +VChunkNr +p30708 +tp30709 +a(g198 +V]) +p30710 +tp30711 +a(g339 +V; +tp30712 +a(g185 +V\u000a +p30713 +tp30714 +a(g18 +VChunkPos +p30715 +tp30716 +a(g185 +V +tp30717 +a(g339 +V: +tp30718 +a(g339 +V= +tp30719 +a(g185 +V +tp30720 +a(g18 +VChunk +p30721 +tp30722 +a(g339 +V. +tp30723 +a(g18 +Vdata +p30724 +tp30725 +a(g339 +V; +tp30726 +a(g185 +V\u000a +p30727 +tp30728 +a(g57 +VInc +p30729 +tp30730 +a(g198 +V( +tp30731 +a(g135 +VLongWord +p30732 +tp30733 +a(g198 +V( +tp30734 +a(g18 +VChunkPos +p30735 +tp30736 +a(g198 +V) +tp30737 +a(g339 +V, +tp30738 +a(g185 +V +tp30739 +a(g18 +VChunk +p30740 +tp30741 +a(g339 +V. +tp30742 +a(g18 +VRead +p30743 +tp30744 +a(g198 +V) +tp30745 +a(g339 +V; +tp30746 +a(g185 +V\u000a +p30747 +tp30748 +a(g7 +V// does the remaining part of the chunk fit into the buffer? +p30749 +tp30750 +a(g185 +V\u000a +p30751 +tp30752 +a(g111 +Vif +p30753 +tp30754 +a(g185 +V +tp30755 +a(g18 +VPrivSize +p30756 +tp30757 +a(g185 +V +tp30758 +a(g339 +V+ +tp30759 +a(g185 +V +tp30760 +a(g198 +V( +tp30761 +a(g18 +VChunk +p30762 +tp30763 +a(g339 +V. +tp30764 +a(g18 +VFilled +p30765 +tp30766 +a(g185 +V +tp30767 +a(g339 +V- +tp30768 +a(g185 +V +tp30769 +a(g18 +VChunk +p30770 +tp30771 +a(g339 +V. +tp30772 +a(g18 +Vread +p30773 +tp30774 +a(g198 +V) +tp30775 +a(g185 +V +tp30776 +a(g339 +V< +tp30777 +a(g185 +V +tp30778 +a(g18 +VReqSize +p30779 +tp30780 +a(g185 +V +tp30781 +a(g111 +Vthen +p30782 +tp30783 +a(g185 +V\u000a +p30784 +tp30785 +a(g111 +Vbegin +p30786 +tp30787 +a(g185 +V +tp30788 +a(g7 +V// yep, it fits +p30789 +tp30790 +a(g185 +V\u000a +p30791 +tp30792 +a(g57 +VMove +p30793 +tp30794 +a(g198 +V( +tp30795 +a(g18 +VChunkPos +p30796 +tp30797 +a(g339 +V^ +tp30798 +a(g339 +V, +tp30799 +a(g185 +V +tp30800 +a(g18 +VPrivpos +p30801 +tp30802 +a(g339 +V^ +tp30803 +a(g339 +V, +tp30804 +a(g185 +V +tp30805 +a(g18 +VChunk +p30806 +tp30807 +a(g339 +V. +tp30808 +a(g18 +VFilled +p30809 +tp30810 +a(g185 +V +tp30811 +a(g339 +V- +tp30812 +a(g185 +V +tp30813 +a(g18 +VChunk +p30814 +tp30815 +a(g339 +V. +tp30816 +a(g18 +Vread +p30817 +tp30818 +a(g198 +V) +tp30819 +a(g339 +V; +tp30820 +a(g185 +V\u000a +p30821 +tp30822 +a(g57 +VInc +p30823 +tp30824 +a(g198 +V( +tp30825 +a(g18 +VPrivSize +p30826 +tp30827 +a(g339 +V, +tp30828 +a(g185 +V +tp30829 +a(g18 +VChunk +p30830 +tp30831 +a(g339 +V. +tp30832 +a(g18 +VFilled +p30833 +tp30834 +a(g185 +V +tp30835 +a(g339 +V- +tp30836 +a(g185 +V +tp30837 +a(g18 +VChunk +p30838 +tp30839 +a(g339 +V. +tp30840 +a(g18 +Vread +p30841 +tp30842 +a(g198 +V) +tp30843 +a(g339 +V; +tp30844 +a(g185 +V\u000a +p30845 +tp30846 +a(g57 +VInc +p30847 +tp30848 +a(g198 +V( +tp30849 +a(g18 +VChunkNr +p30850 +tp30851 +a(g198 +V) +tp30852 +a(g339 +V; +tp30853 +a(g185 +V\u000a +p30854 +tp30855 +a(g111 +Vend +p30856 +tp30857 +a(g185 +V\u000a +p30858 +tp30859 +a(g111 +Velse +p30860 +tp30861 +a(g185 +V +tp30862 +a(g7 +V// remaining part didn't fit, get as much as we can and increment the +p30863 +tp30864 +a(g185 +V\u000a +p30865 +tp30866 +a(g7 +V// read attribute. +p30867 +tp30868 +a(g185 +V\u000a +p30869 +tp30870 +a(g111 +Vbegin +p30871 +tp30872 +a(g185 +V\u000a +p30873 +tp30874 +a(g57 +VMove +p30875 +tp30876 +a(g198 +V( +tp30877 +a(g18 +VChunkPos +p30878 +tp30879 +a(g339 +V^ +tp30880 +a(g339 +V, +tp30881 +a(g185 +V +tp30882 +a(g18 +VPrivpos +p30883 +tp30884 +a(g339 +V^ +tp30885 +a(g339 +V, +tp30886 +a(g185 +V +tp30887 +a(g18 +VReqSize +p30888 +tp30889 +a(g185 +V +tp30890 +a(g339 +V- +tp30891 +a(g185 +V +tp30892 +a(g18 +VPrivSize +p30893 +tp30894 +a(g198 +V) +tp30895 +a(g339 +V; +tp30896 +a(g185 +V\u000a +p30897 +tp30898 +a(g57 +VInc +p30899 +tp30900 +a(g198 +V( +tp30901 +a(g18 +VPrivSize +p30902 +tp30903 +a(g339 +V, +tp30904 +a(g185 +V +tp30905 +a(g18 +VReqSize +p30906 +tp30907 +a(g185 +V +tp30908 +a(g339 +V- +tp30909 +a(g185 +V +tp30910 +a(g18 +VPrivSize +p30911 +tp30912 +a(g198 +V) +tp30913 +a(g339 +V; +tp30914 +a(g185 +V\u000a +p30915 +tp30916 +a(g7 +V// as we filled the buffer, we'll have to break here. +p30917 +tp30918 +a(g185 +V\u000a +p30919 +tp30920 +a(g111 +Vbreak +p30921 +tp30922 +a(g339 +V; +tp30923 +a(g185 +V\u000a +p30924 +tp30925 +a(g111 +Vend +p30926 +tp30927 +a(g339 +V; +tp30928 +a(g185 +V\u000a +p30929 +tp30930 +a(g111 +Vend +p30931 +tp30932 +a(g339 +V; +tp30933 +a(g185 +V\u000a +p30934 +tp30935 +a(g18 +VLeaveCriticalSection +p30936 +tp30937 +a(g198 +V( +tp30938 +a(g18 +VFCritSect +p30939 +tp30940 +a(g198 +V) +tp30941 +a(g339 +V; +tp30942 +a(g185 +V\u000a +p30943 +tp30944 +a(g18 +VReqSize +p30945 +tp30946 +a(g185 +V +tp30947 +a(g339 +V: +tp30948 +a(g339 +V= +tp30949 +a(g185 +V +tp30950 +a(g18 +VPrivSize +p30951 +tp30952 +a(g339 +V; +tp30953 +a(g185 +V\u000a +tp30954 +a(g111 +Vend +p30955 +tp30956 +a(g339 +V; +tp30957 +a(g185 +V\u000a\u000a +p30958 +tp30959 +a(g111 +Vend +p30960 +tp30961 +a(g339 +V. +tp30962 +a(g185 +V\u000a +tp30963 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.rb b/tests/examplefiles/output/example.rb new file mode 100644 index 0000000..3cf3cc9 --- /dev/null +++ b/tests/examplefiles/output/example.rb @@ -0,0 +1,36346 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Pervasive' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsS'Constant' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g11 +g12 +((ltRp18 +sg14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g14 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g11 +g12 +((ltRp35 +sg14 +g22 +sbsg11 +g12 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g11 +g12 +((ltRp40 +sg14 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g11 +g12 +((ltRp56 +sg14 +g43 +sbsg15 +g2 +(g3 +g4 +(g42 +g15 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g43 +sbsg14 +g19 +sS'Pseudo' +p60 +g2 +(g3 +g4 +(g42 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g43 +sbsS'Attribute' +p64 +g2 +(g3 +g4 +(g42 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g43 +sbsS'Label' +p68 +g2 +(g3 +g4 +(g42 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g43 +sbsS'Blubb' +p72 +g2 +(g3 +g4 +(g42 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g43 +sbsS'Entity' +p76 +g2 +(g3 +g4 +(g42 +g76 +ttRp77 +(dp78 +g11 +g12 +((ltRp79 +sg14 +g43 +sbsS'Builtin' +p80 +g2 +(g3 +g4 +(g42 +g80 +ttRp81 +(dp82 +g11 +g12 +((lp83 +g2 +(g3 +g4 +(g42 +g80 +g60 +ttRp84 +(dp85 +g11 +g12 +((ltRp86 +sg14 +g81 +sbatRp87 +sg60 +g84 +sg14 +g43 +sbsS'Other' +p88 +g2 +(g3 +g4 +(g42 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g43 +sbsS'Identifier' +p92 +g2 +(g3 +g4 +(g42 +g92 +ttRp93 +(dp94 +g11 +g12 +((ltRp95 +sg14 +g43 +sbsS'Variable' +p96 +g2 +(g3 +g4 +(g42 +g96 +ttRp97 +(dp98 +g14 +g43 +sS'Global' +p99 +g2 +(g3 +g4 +(g42 +g96 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g97 +sbsS'Instance' +p103 +g2 +(g3 +g4 +(g42 +g96 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g97 +sbsS'Anonymous' +p107 +g2 +(g3 +g4 +(g42 +g96 +g107 +ttRp108 +(dp109 +g11 +g12 +((ltRp110 +sg14 +g97 +sbsg11 +g12 +((lp111 +g108 +ag104 +ag100 +ag2 +(g3 +g4 +(g42 +g96 +S'Class' +p112 +ttRp113 +(dp114 +g11 +g12 +((ltRp115 +sg14 +g97 +sbatRp116 +sg112 +g113 +sbsg11 +g12 +((lp117 +g2 +(g3 +g4 +(g42 +S'Decorator' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g43 +sbag65 +ag57 +ag61 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g43 +sbag93 +ag81 +ag97 +ag89 +ag73 +ag77 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p126 +ttRp127 +(dp128 +g11 +g12 +((ltRp129 +sg14 +g43 +sbag69 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g112 +ttRp130 +(dp131 +g11 +g12 +((ltRp132 +sg14 +g43 +sbatRp133 +sg126 +g127 +sg112 +g130 +sg118 +g119 +sg122 +g123 +sbsg5 +g6 +sS'Generic' +p134 +g2 +(g3 +g4 +(g134 +ttRp135 +(dp136 +S'Prompt' +p137 +g2 +(g3 +g4 +(g134 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g135 +sbsg14 +g19 +sS'Deleted' +p141 +g2 +(g3 +g4 +(g134 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g135 +sbsS'Traceback' +p145 +g2 +(g3 +g4 +(g134 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g135 +sbsS'Emph' +p149 +g2 +(g3 +g4 +(g134 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g135 +sbsS'Output' +p153 +g2 +(g3 +g4 +(g134 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g135 +sbsS'Subheading' +p157 +g2 +(g3 +g4 +(g134 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g135 +sbsS'Error' +p161 +g2 +(g3 +g4 +(g134 +g161 +ttRp162 +(dp163 +g11 +g12 +((ltRp164 +sg14 +g135 +sbsg11 +g12 +((lp165 +g154 +ag150 +ag162 +ag158 +ag146 +ag142 +ag2 +(g3 +g4 +(g134 +S'Heading' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Inserted' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Strong' +p174 +ttRp175 +(dp176 +g11 +g12 +((ltRp177 +sg14 +g135 +sbag138 +atRp178 +sg174 +g175 +sg170 +g171 +sg166 +g167 +sbsS'Text' +p179 +g2 +(g3 +g4 +(g179 +ttRp180 +(dp181 +g11 +g12 +((lp182 +g2 +(g3 +g4 +(g179 +S'Symbol' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g180 +sbag2 +(g3 +g4 +(g179 +S'Whitespace' +p187 +ttRp188 +(dp189 +g11 +g12 +((ltRp190 +sg14 +g180 +sbatRp191 +sg183 +g184 +sg187 +g188 +sg14 +g19 +sbsS'Punctuation' +p192 +g2 +(g3 +g4 +(g192 +ttRp193 +(dp194 +g11 +g12 +((lp195 +g2 +(g3 +g4 +(g192 +S'Indicator' +p196 +ttRp197 +(dp198 +g11 +g12 +((ltRp199 +sg14 +g193 +sbatRp200 +sg196 +g197 +sg14 +g19 +sbsS'Token' +p201 +g19 +sS'Number' +p202 +g2 +(g3 +g4 +(S'Literal' +p203 +g202 +ttRp204 +(dp205 +S'Bin' +p206 +g2 +(g3 +g4 +(g203 +g202 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g204 +sbsS'Binary' +p210 +g2 +(g3 +g4 +(g203 +g202 +g210 +ttRp211 +(dp212 +g11 +g12 +((ltRp213 +sg14 +g204 +sbsg14 +g2 +(g3 +g4 +(g203 +ttRp214 +(dp215 +S'String' +p216 +g2 +(g3 +g4 +(g203 +g216 +ttRp217 +(dp218 +S'Regex' +p219 +g2 +(g3 +g4 +(g203 +g216 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g217 +sbsS'Interpol' +p223 +g2 +(g3 +g4 +(g203 +g216 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g217 +sbsS'Regexp' +p227 +g2 +(g3 +g4 +(g203 +g216 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g217 +sbsg14 +g214 +sS'Heredoc' +p231 +g2 +(g3 +g4 +(g203 +g216 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g217 +sbsS'Double' +p235 +g2 +(g3 +g4 +(g203 +g216 +g235 +ttRp236 +(dp237 +g11 +g12 +((ltRp238 +sg14 +g217 +sbsg183 +g2 +(g3 +g4 +(g203 +g216 +g183 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g217 +sbsS'Escape' +p242 +g2 +(g3 +g4 +(g203 +g216 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g217 +sbsS'Character' +p246 +g2 +(g3 +g4 +(g203 +g216 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g217 +sbsS'Interp' +p250 +g2 +(g3 +g4 +(g203 +g216 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g217 +sbsS'Backtick' +p254 +g2 +(g3 +g4 +(g203 +g216 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g217 +sbsS'Char' +p258 +g2 +(g3 +g4 +(g203 +g216 +g258 +ttRp259 +(dp260 +g11 +g12 +((ltRp261 +sg14 +g217 +sbsg28 +g2 +(g3 +g4 +(g203 +g216 +g28 +ttRp262 +(dp263 +g11 +g12 +((ltRp264 +sg14 +g217 +sbsg88 +g2 +(g3 +g4 +(g203 +g216 +g88 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g217 +sbsS'Doc' +p268 +g2 +(g3 +g4 +(g203 +g216 +g268 +ttRp269 +(dp270 +g11 +g12 +((ltRp271 +sg14 +g217 +sbsg11 +g12 +((lp272 +g265 +ag2 +(g3 +g4 +(g203 +g216 +S'Atom' +p273 +ttRp274 +(dp275 +g11 +g12 +((ltRp276 +sg14 +g217 +sbag236 +ag259 +ag251 +ag269 +ag232 +ag255 +ag224 +ag239 +ag228 +ag220 +ag262 +ag247 +ag243 +atRp277 +sg273 +g274 +sbsg14 +g19 +sg202 +g204 +sS'Scalar' +p278 +g2 +(g3 +g4 +(g203 +g278 +ttRp279 +(dp280 +g11 +g12 +((lp281 +g2 +(g3 +g4 +(g203 +g278 +S'Plain' +p282 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g279 +sbatRp286 +sg14 +g214 +sg282 +g283 +sbsg88 +g2 +(g3 +g4 +(g203 +g88 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g214 +sbsS'Date' +p290 +g2 +(g3 +g4 +(g203 +g290 +ttRp291 +(dp292 +g11 +g12 +((ltRp293 +sg14 +g214 +sbsg11 +g12 +((lp294 +g291 +ag217 +ag287 +ag204 +ag279 +atRp295 +sbsS'Decimal' +p296 +g2 +(g3 +g4 +(g203 +g202 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g204 +sbsS'Float' +p300 +g2 +(g3 +g4 +(g203 +g202 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g204 +sbsS'Hex' +p304 +g2 +(g3 +g4 +(g203 +g202 +g304 +ttRp305 +(dp306 +g11 +g12 +((ltRp307 +sg14 +g204 +sbsS'Integer' +p308 +g2 +(g3 +g4 +(g203 +g202 +g308 +ttRp309 +(dp310 +g11 +g12 +((lp311 +g2 +(g3 +g4 +(g203 +g202 +g308 +S'Long' +p312 +ttRp313 +(dp314 +g11 +g12 +((ltRp315 +sg14 +g309 +sbatRp316 +sg312 +g313 +sg14 +g204 +sbsS'Octal' +p317 +g2 +(g3 +g4 +(g203 +g202 +g317 +ttRp318 +(dp319 +g11 +g12 +((ltRp320 +sg14 +g204 +sbsg11 +g12 +((lp321 +g207 +ag211 +ag318 +ag297 +ag2 +(g3 +g4 +(g203 +g202 +S'Oct' +p322 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g204 +sbag309 +ag301 +ag305 +atRp326 +sg322 +g323 +sbsg203 +g214 +sg88 +g2 +(g3 +g4 +(g88 +ttRp327 +(dp328 +g11 +g12 +((ltRp329 +sg14 +g19 +sbsg161 +g2 +(g3 +g4 +(g161 +ttRp330 +(dp331 +g11 +g12 +((ltRp332 +sg14 +g19 +sbsS'Operator' +p333 +g2 +(g3 +g4 +(g333 +ttRp334 +(dp335 +g11 +g12 +((lp336 +g2 +(g3 +g4 +(g333 +S'Word' +p337 +ttRp338 +(dp339 +g11 +g12 +((ltRp340 +sg14 +g334 +sbatRp341 +sg337 +g338 +sg14 +g19 +sbsg11 +g12 +((lp342 +g22 +ag330 +ag135 +ag180 +ag43 +ag193 +ag6 +ag214 +ag334 +ag327 +atRp343 +sg216 +g217 +sbsg122 +g2 +(g3 +g4 +(g5 +g122 +ttRp344 +(dp345 +g11 +g12 +((ltRp346 +sg14 +g6 +sbsg60 +g2 +(g3 +g4 +(g5 +g60 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Reserved' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsS'Declaration' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g11 +g12 +((ltRp357 +sg14 +g6 +sbsg96 +g2 +(g3 +g4 +(g5 +g96 +ttRp358 +(dp359 +g11 +g12 +((ltRp360 +sg14 +g6 +sbsg11 +g12 +((lp361 +g16 +ag351 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g11 +g12 +((ltRp365 +sg14 +g6 +sbag9 +ag355 +ag358 +ag344 +ag347 +atRp366 +sg362 +g363 +sbVmodule +p367 +tp368 +a(g180 +V +tp369 +a(g123 +VCodeRay +p370 +tp371 +a(g180 +V\u000a +p372 +tp373 +a(g6 +Vmodule +p374 +tp375 +a(g180 +V +tp376 +a(g123 +VScanners +p377 +tp378 +a(g180 +V\u000a\u000a +p379 +tp380 +a(g6 +Vclass +p381 +tp382 +a(g180 +V +tp383 +a(g130 +VRuby +p384 +tp385 +a(g180 +V +tp386 +a(g334 +V< +tp387 +a(g180 +V +tp388 +a(g57 +VScanner +p389 +tp390 +a(g180 +V\u000a\u000a +p391 +tp392 +a(g57 +VRESERVED_WORDS +p393 +tp394 +a(g180 +V +tp395 +a(g334 +V= +tp396 +a(g180 +V +tp397 +a(g334 +V[ +tp398 +a(g180 +V\u000a +p399 +tp400 +a(g262 +V'and' +p401 +tp402 +a(g193 +V, +tp403 +a(g180 +V +tp404 +a(g262 +V'def' +p405 +tp406 +a(g193 +V, +tp407 +a(g180 +V +tp408 +a(g262 +V'end' +p409 +tp410 +a(g193 +V, +tp411 +a(g180 +V +tp412 +a(g262 +V'in' +p413 +tp414 +a(g193 +V, +tp415 +a(g180 +V +tp416 +a(g262 +V'or' +p417 +tp418 +a(g193 +V, +tp419 +a(g180 +V +tp420 +a(g262 +V'unless' +p421 +tp422 +a(g193 +V, +tp423 +a(g180 +V +tp424 +a(g262 +V'begin' +p425 +tp426 +a(g193 +V, +tp427 +a(g180 +V\u000a +p428 +tp429 +a(g262 +V'defined?' +p430 +tp431 +a(g193 +V, +tp432 +a(g180 +V +tp433 +a(g262 +V'ensure' +p434 +tp435 +a(g193 +V, +tp436 +a(g180 +V +tp437 +a(g262 +V'module' +p438 +tp439 +a(g193 +V, +tp440 +a(g180 +V +tp441 +a(g262 +V'redo' +p442 +tp443 +a(g193 +V, +tp444 +a(g180 +V +tp445 +a(g262 +V'super' +p446 +tp447 +a(g193 +V, +tp448 +a(g180 +V +tp449 +a(g262 +V'until' +p450 +tp451 +a(g193 +V, +tp452 +a(g180 +V\u000a +p453 +tp454 +a(g262 +V'BEGIN' +p455 +tp456 +a(g193 +V, +tp457 +a(g180 +V +tp458 +a(g262 +V'break' +p459 +tp460 +a(g193 +V, +tp461 +a(g180 +V +tp462 +a(g262 +V'do' +p463 +tp464 +a(g193 +V, +tp465 +a(g180 +V +tp466 +a(g262 +V'next' +p467 +tp468 +a(g193 +V, +tp469 +a(g180 +V +tp470 +a(g262 +V'rescue' +p471 +tp472 +a(g193 +V, +tp473 +a(g180 +V +tp474 +a(g262 +V'then' +p475 +tp476 +a(g193 +V, +tp477 +a(g180 +V\u000a +p478 +tp479 +a(g262 +V'when' +p480 +tp481 +a(g193 +V, +tp482 +a(g180 +V +tp483 +a(g262 +V'END' +p484 +tp485 +a(g193 +V, +tp486 +a(g180 +V +tp487 +a(g262 +V'case' +p488 +tp489 +a(g193 +V, +tp490 +a(g180 +V +tp491 +a(g262 +V'else' +p492 +tp493 +a(g193 +V, +tp494 +a(g180 +V +tp495 +a(g262 +V'for' +p496 +tp497 +a(g193 +V, +tp498 +a(g180 +V +tp499 +a(g262 +V'retry' +p500 +tp501 +a(g193 +V, +tp502 +a(g180 +V\u000a +p503 +tp504 +a(g262 +V'while' +p505 +tp506 +a(g193 +V, +tp507 +a(g180 +V +tp508 +a(g262 +V'alias' +p509 +tp510 +a(g193 +V, +tp511 +a(g180 +V +tp512 +a(g262 +V'class' +p513 +tp514 +a(g193 +V, +tp515 +a(g180 +V +tp516 +a(g262 +V'elsif' +p517 +tp518 +a(g193 +V, +tp519 +a(g180 +V +tp520 +a(g262 +V'if' +p521 +tp522 +a(g193 +V, +tp523 +a(g180 +V +tp524 +a(g262 +V'not' +p525 +tp526 +a(g193 +V, +tp527 +a(g180 +V +tp528 +a(g262 +V'return' +p529 +tp530 +a(g193 +V, +tp531 +a(g180 +V\u000a +p532 +tp533 +a(g262 +V'undef' +p534 +tp535 +a(g193 +V, +tp536 +a(g180 +V +tp537 +a(g262 +V'yield' +p538 +tp539 +a(g193 +V, +tp540 +a(g180 +V\u000a +p541 +tp542 +a(g334 +V] +tp543 +a(g180 +V\u000a\u000a +p544 +tp545 +a(g57 +VDEF_KEYWORDS +p546 +tp547 +a(g180 +V +tp548 +a(g334 +V= +tp549 +a(g180 +V +tp550 +a(g334 +V[ +tp551 +a(g262 +V'def' +p552 +tp553 +a(g334 +V] +tp554 +a(g180 +V\u000a +p555 +tp556 +a(g57 +VMODULE_KEYWORDS +p557 +tp558 +a(g180 +V +tp559 +a(g334 +V= +tp560 +a(g180 +V +tp561 +a(g334 +V[ +tp562 +a(g262 +V'class' +p563 +tp564 +a(g193 +V, +tp565 +a(g180 +V +tp566 +a(g262 +V'module' +p567 +tp568 +a(g334 +V] +tp569 +a(g180 +V\u000a +p570 +tp571 +a(g57 +VDEF_NEW_STATE +p572 +tp573 +a(g180 +V +tp574 +a(g334 +V= +tp575 +a(g180 +V +tp576 +a(g57 +VWordList +p577 +tp578 +a(g334 +V. +tp579 +a(g43 +Vnew +p580 +tp581 +a(g193 +V( +tp582 +a(g239 +V:initial +p583 +tp584 +a(g193 +V) +tp585 +a(g334 +V. +tp586 +a(g180 +V\u000a +p587 +tp588 +a(g43 +Vadd +p589 +tp590 +a(g193 +V( +tp591 +a(g57 +VDEF_KEYWORDS +p592 +tp593 +a(g193 +V, +tp594 +a(g180 +V +tp595 +a(g239 +V:def_expected +p596 +tp597 +a(g193 +V) +tp598 +a(g334 +V. +tp599 +a(g180 +V\u000a +p600 +tp601 +a(g43 +Vadd +p602 +tp603 +a(g193 +V( +tp604 +a(g57 +VMODULE_KEYWORDS +p605 +tp606 +a(g193 +V, +tp607 +a(g180 +V +tp608 +a(g239 +V:module_expected +p609 +tp610 +a(g193 +V) +tp611 +a(g180 +V\u000a\u000a +p612 +tp613 +a(g57 +VWORDS_ALLOWING_REGEXP +p614 +tp615 +a(g180 +V +tp616 +a(g334 +V= +tp617 +a(g180 +V +tp618 +a(g334 +V[ +tp619 +a(g180 +V\u000a +p620 +tp621 +a(g262 +V'and' +p622 +tp623 +a(g193 +V, +tp624 +a(g180 +V +tp625 +a(g262 +V'or' +p626 +tp627 +a(g193 +V, +tp628 +a(g180 +V +tp629 +a(g262 +V'not' +p630 +tp631 +a(g193 +V, +tp632 +a(g180 +V +tp633 +a(g262 +V'while' +p634 +tp635 +a(g193 +V, +tp636 +a(g180 +V +tp637 +a(g262 +V'until' +p638 +tp639 +a(g193 +V, +tp640 +a(g180 +V +tp641 +a(g262 +V'unless' +p642 +tp643 +a(g193 +V, +tp644 +a(g180 +V +tp645 +a(g262 +V'if' +p646 +tp647 +a(g193 +V, +tp648 +a(g180 +V +tp649 +a(g262 +V'elsif' +p650 +tp651 +a(g193 +V, +tp652 +a(g180 +V +tp653 +a(g262 +V'when' +p654 +tp655 +a(g180 +V\u000a +p656 +tp657 +a(g334 +V] +tp658 +a(g180 +V\u000a +p659 +tp660 +a(g57 +VREGEXP_ALLOWED +p661 +tp662 +a(g180 +V +tp663 +a(g334 +V= +tp664 +a(g180 +V +tp665 +a(g57 +VWordList +p666 +tp667 +a(g334 +V. +tp668 +a(g43 +Vnew +p669 +tp670 +a(g193 +V( +tp671 +a(g347 +Vfalse +p672 +tp673 +a(g193 +V) +tp674 +a(g334 +V. +tp675 +a(g180 +V\u000a +p676 +tp677 +a(g43 +Vadd +p678 +tp679 +a(g193 +V( +tp680 +a(g57 +VWORDS_ALLOWING_REGEXP +p681 +tp682 +a(g193 +V, +tp683 +a(g180 +V +tp684 +a(g239 +V:set +p685 +tp686 +a(g193 +V) +tp687 +a(g180 +V\u000a\u000a +p688 +tp689 +a(g57 +VPREDEFINED_CONSTANTS +p690 +tp691 +a(g180 +V +tp692 +a(g334 +V= +tp693 +a(g180 +V +tp694 +a(g334 +V[ +tp695 +a(g180 +V\u000a +p696 +tp697 +a(g262 +V'nil' +p698 +tp699 +a(g193 +V, +tp700 +a(g180 +V +tp701 +a(g262 +V'true' +p702 +tp703 +a(g193 +V, +tp704 +a(g180 +V +tp705 +a(g262 +V'false' +p706 +tp707 +a(g193 +V, +tp708 +a(g180 +V +tp709 +a(g262 +V'self' +p710 +tp711 +a(g193 +V, +tp712 +a(g180 +V\u000a +p713 +tp714 +a(g262 +V'DATA' +p715 +tp716 +a(g193 +V, +tp717 +a(g180 +V +tp718 +a(g262 +V'ARGV' +p719 +tp720 +a(g193 +V, +tp721 +a(g180 +V +tp722 +a(g262 +V'ARGF' +p723 +tp724 +a(g193 +V, +tp725 +a(g180 +V +tp726 +a(g262 +V'__FILE__' +p727 +tp728 +a(g193 +V, +tp729 +a(g180 +V +tp730 +a(g262 +V'__LINE__' +p731 +tp732 +a(g193 +V, +tp733 +a(g180 +V\u000a +p734 +tp735 +a(g334 +V] +tp736 +a(g180 +V\u000a\u000a +p737 +tp738 +a(g57 +VIDENT_KIND +p739 +tp740 +a(g180 +V +tp741 +a(g334 +V= +tp742 +a(g180 +V +tp743 +a(g57 +VWordList +p744 +tp745 +a(g334 +V. +tp746 +a(g43 +Vnew +p747 +tp748 +a(g193 +V( +tp749 +a(g239 +V:ident +p750 +tp751 +a(g193 +V) +tp752 +a(g334 +V. +tp753 +a(g180 +V\u000a +p754 +tp755 +a(g43 +Vadd +p756 +tp757 +a(g193 +V( +tp758 +a(g57 +VRESERVED_WORDS +p759 +tp760 +a(g193 +V, +tp761 +a(g180 +V +tp762 +a(g239 +V:reserved +p763 +tp764 +a(g193 +V) +tp765 +a(g334 +V. +tp766 +a(g180 +V\u000a +p767 +tp768 +a(g43 +Vadd +p769 +tp770 +a(g193 +V( +tp771 +a(g57 +VPREDEFINED_CONSTANTS +p772 +tp773 +a(g193 +V, +tp774 +a(g180 +V +tp775 +a(g239 +V:pre_constant +p776 +tp777 +a(g193 +V) +tp778 +a(g180 +V\u000a\u000a +p779 +tp780 +a(g57 +VMETHOD_NAME +p781 +tp782 +a(g180 +V +tp783 +a(g334 +V= +tp784 +a(g180 +V +tp785 +a(g220 +V/ +tp786 +a(g220 +V +tp787 +a(g224 +V#{ +p788 +tp789 +a(g57 +VIDENT +p790 +tp791 +a(g224 +V} +tp792 +a(g220 +V [?!]? +p793 +tp794 +a(g220 +V/xo +p795 +tp796 +a(g180 +V\u000a +p797 +tp798 +a(g57 +VMETHOD_NAME_EX +p799 +tp800 +a(g180 +V +tp801 +a(g334 +V= +tp802 +a(g180 +V +tp803 +a(g220 +V/ +tp804 +a(g220 +V\u000a +p805 +tp806 +a(g224 +V#{ +p807 +tp808 +a(g57 +VMETHOD_NAME +p809 +tp810 +a(g224 +V} +tp811 +a(g220 +V +p812 +tp813 +a(g220 +V# +tp814 +a(g220 +V common methods: split, foo=, empty?, gsub!\u000a | +p815 +tp816 +a(g220 +V\u005c +tp817 +a(g220 +V* +tp818 +a(g220 +V\u005c +tp819 +a(g220 +V*? +p820 +tp821 +a(g220 +V# +tp822 +a(g220 +V multiplication and power\u000a | [-+~]@? +p823 +tp824 +a(g220 +V# +tp825 +a(g220 +V plus, minus\u000a | [ +p826 +tp827 +a(g220 +V\u005c/ +p828 +tp829 +a(g220 +V%&|^`] +p830 +tp831 +a(g220 +V# +tp832 +a(g220 +V division, modulo or format strings, &and, |or, ^xor, `system`\u000a | +p833 +tp834 +a(g220 +V\u005c +tp835 +a(g220 +V[ +tp836 +a(g220 +V\u005c +tp837 +a(g220 +V]=? +p838 +tp839 +a(g220 +V# +tp840 +a(g220 +V array getter and setter\u000a | <=?>? | >=? +p841 +tp842 +a(g220 +V# +tp843 +a(g220 +V comparison, rocket operator\u000a | << | >> +p844 +tp845 +a(g220 +V# +tp846 +a(g220 +V append or shift left, shift right\u000a | ===? +p847 +tp848 +a(g220 +V# +tp849 +a(g220 +V simple equality and case equality\u000a +p850 +tp851 +a(g220 +V/ox +p852 +tp853 +a(g180 +V\u000a +p854 +tp855 +a(g57 +VGLOBAL_VARIABLE +p856 +tp857 +a(g180 +V +tp858 +a(g334 +V= +tp859 +a(g180 +V +tp860 +a(g220 +V/ +tp861 +a(g220 +V +tp862 +a(g220 +V\u005c +tp863 +a(g220 +V$ (?: +p864 +tp865 +a(g224 +V#{ +p866 +tp867 +a(g57 +VIDENT +p868 +tp869 +a(g224 +V} +tp870 +a(g220 +V | +p871 +tp872 +a(g220 +V\u005c +tp873 +a(g220 +Vd+ | [~&+`'= +p874 +tp875 +a(g220 +V\u005c/ +p876 +tp877 +a(g220 +V,;_.<>!@0$?*":F +p878 +tp879 +a(g220 +V\u005c\u005c +p880 +tp881 +a(g220 +V] | -[a-zA-Z_0-9] ) +p882 +tp883 +a(g220 +V/ox +p884 +tp885 +a(g180 +V\u000a\u000a +p886 +tp887 +a(g57 +VDOUBLEQ +p888 +tp889 +a(g180 +V +tp890 +a(g334 +V= +tp891 +a(g180 +V +tp892 +a(g220 +V/ +tp893 +a(g220 +V " [^" +p894 +tp895 +a(g220 +V\u005c +tp896 +a(g220 +V# +tp897 +a(g220 +V\u005c\u005c +p898 +tp899 +a(g220 +V]* (?: (?: +p900 +tp901 +a(g220 +V\u005c +tp902 +a(g220 +V# +tp903 +a(g220 +V\u005c +tp904 +a(g220 +V{.*? +p905 +tp906 +a(g220 +V\u005c +tp907 +a(g220 +V} | +p908 +tp909 +a(g220 +V\u005c +tp910 +a(g220 +V# +tp911 +a(g220 +V(?:$")? | +p912 +tp913 +a(g220 +V\u005c\u005c +p914 +tp915 +a(g220 +V. ) [^" +p916 +tp917 +a(g220 +V\u005c +tp918 +a(g220 +V# +tp919 +a(g220 +V\u005c\u005c +p920 +tp921 +a(g220 +V]* )* "? +p922 +tp923 +a(g220 +V/ox +p924 +tp925 +a(g180 +V\u000a +p926 +tp927 +a(g57 +VSINGLEQ +p928 +tp929 +a(g180 +V +tp930 +a(g334 +V= +tp931 +a(g180 +V +tp932 +a(g220 +V/ +tp933 +a(g220 +V ' [^' +p934 +tp935 +a(g220 +V\u005c\u005c +p936 +tp937 +a(g220 +V]* (?: +p938 +tp939 +a(g220 +V\u005c\u005c +p940 +tp941 +a(g220 +V. [^' +p942 +tp943 +a(g220 +V\u005c\u005c +p944 +tp945 +a(g220 +V]* )* '? +p946 +tp947 +a(g220 +V/ox +p948 +tp949 +a(g180 +V\u000a +p950 +tp951 +a(g57 +VSTRING +p952 +tp953 +a(g180 +V +p954 +tp955 +a(g334 +V= +tp956 +a(g180 +V +tp957 +a(g220 +V/ +tp958 +a(g220 +V +tp959 +a(g224 +V#{ +p960 +tp961 +a(g57 +VSINGLEQ +p962 +tp963 +a(g224 +V} +tp964 +a(g220 +V | +p965 +tp966 +a(g224 +V#{ +p967 +tp968 +a(g57 +VDOUBLEQ +p969 +tp970 +a(g224 +V} +tp971 +a(g220 +V +tp972 +a(g220 +V/ox +p973 +tp974 +a(g180 +V\u000a +p975 +tp976 +a(g57 +VSHELL +p977 +tp978 +a(g180 +V +p979 +tp980 +a(g334 +V= +tp981 +a(g180 +V +tp982 +a(g220 +V/ +tp983 +a(g220 +V ` [^` +p984 +tp985 +a(g220 +V\u005c +tp986 +a(g220 +V# +tp987 +a(g220 +V\u005c\u005c +p988 +tp989 +a(g220 +V]* (?: (?: +p990 +tp991 +a(g220 +V\u005c +tp992 +a(g220 +V# +tp993 +a(g220 +V\u005c +tp994 +a(g220 +V{.*? +p995 +tp996 +a(g220 +V\u005c +tp997 +a(g220 +V} | +p998 +tp999 +a(g220 +V\u005c +tp1000 +a(g220 +V# +tp1001 +a(g220 +V(?:$`)? | +p1002 +tp1003 +a(g220 +V\u005c\u005c +p1004 +tp1005 +a(g220 +V. ) [^` +p1006 +tp1007 +a(g220 +V\u005c +tp1008 +a(g220 +V# +tp1009 +a(g220 +V\u005c\u005c +p1010 +tp1011 +a(g220 +V]* )* `? +p1012 +tp1013 +a(g220 +V/ox +p1014 +tp1015 +a(g180 +V\u000a +p1016 +tp1017 +a(g57 +VREGEXP +p1018 +tp1019 +a(g180 +V +p1020 +tp1021 +a(g334 +V= +tp1022 +a(g180 +V +tp1023 +a(g220 +V/ +tp1024 +a(g220 +V +tp1025 +a(g220 +V\u005c/ +p1026 +tp1027 +a(g220 +V [^ +p1028 +tp1029 +a(g220 +V\u005c/ +p1030 +tp1031 +a(g220 +V\u005c +tp1032 +a(g220 +V# +tp1033 +a(g220 +V\u005c\u005c +p1034 +tp1035 +a(g220 +V]* (?: (?: +p1036 +tp1037 +a(g220 +V\u005c +tp1038 +a(g220 +V# +tp1039 +a(g220 +V\u005c +tp1040 +a(g220 +V{.*? +p1041 +tp1042 +a(g220 +V\u005c +tp1043 +a(g220 +V} | +p1044 +tp1045 +a(g220 +V\u005c +tp1046 +a(g220 +V# +tp1047 +a(g220 +V(?:$ +p1048 +tp1049 +a(g220 +V\u005c/ +p1050 +tp1051 +a(g220 +V)? | +p1052 +tp1053 +a(g220 +V\u005c\u005c +p1054 +tp1055 +a(g220 +V. ) [^ +p1056 +tp1057 +a(g220 +V\u005c/ +p1058 +tp1059 +a(g220 +V\u005c +tp1060 +a(g220 +V# +tp1061 +a(g220 +V\u005c\u005c +p1062 +tp1063 +a(g220 +V]* )* +p1064 +tp1065 +a(g220 +V\u005c/ +p1066 +tp1067 +a(g220 +V? +p1068 +tp1069 +a(g220 +V/ox +p1070 +tp1071 +a(g180 +V\u000a\u000a +p1072 +tp1073 +a(g57 +VDECIMAL +p1074 +tp1075 +a(g180 +V +tp1076 +a(g334 +V= +tp1077 +a(g180 +V +tp1078 +a(g220 +V/ +tp1079 +a(g220 +V\u005c +tp1080 +a(g220 +Vd+(?:_ +p1081 +tp1082 +a(g220 +V\u005c +tp1083 +a(g220 +Vd+)* +p1084 +tp1085 +a(g220 +V/ +tp1086 +a(g180 +V +p1087 +tp1088 +a(g29 +V# doesn't recognize 09 as octal error +p1089 +tp1090 +a(g180 +V\u000a +p1091 +tp1092 +a(g57 +VOCTAL +p1093 +tp1094 +a(g180 +V +tp1095 +a(g334 +V= +tp1096 +a(g180 +V +tp1097 +a(g220 +V/ +tp1098 +a(g220 +V0_?[0-7]+(?:_[0-7]+)* +p1099 +tp1100 +a(g220 +V/ +tp1101 +a(g180 +V\u000a +p1102 +tp1103 +a(g57 +VHEXADECIMAL +p1104 +tp1105 +a(g180 +V +tp1106 +a(g334 +V= +tp1107 +a(g180 +V +tp1108 +a(g220 +V/ +tp1109 +a(g220 +V0x[0-9A-Fa-f]+(?:_[0-9A-Fa-f]+)* +p1110 +tp1111 +a(g220 +V/ +tp1112 +a(g180 +V\u000a +p1113 +tp1114 +a(g57 +VBINARY +p1115 +tp1116 +a(g180 +V +tp1117 +a(g334 +V= +tp1118 +a(g180 +V +tp1119 +a(g220 +V/ +tp1120 +a(g220 +V0b[01]+(?:_[01]+)* +p1121 +tp1122 +a(g220 +V/ +tp1123 +a(g180 +V\u000a\u000a +p1124 +tp1125 +a(g57 +VEXPONENT +p1126 +tp1127 +a(g180 +V +tp1128 +a(g334 +V= +tp1129 +a(g180 +V +tp1130 +a(g220 +V/ +tp1131 +a(g220 +V [eE] [+-]? +p1132 +tp1133 +a(g224 +V#{ +p1134 +tp1135 +a(g57 +VDECIMAL +p1136 +tp1137 +a(g224 +V} +tp1138 +a(g220 +V +tp1139 +a(g220 +V/ox +p1140 +tp1141 +a(g180 +V\u000a +p1142 +tp1143 +a(g57 +VFLOAT +p1144 +tp1145 +a(g180 +V +tp1146 +a(g334 +V= +tp1147 +a(g180 +V +tp1148 +a(g220 +V/ +tp1149 +a(g220 +V +tp1150 +a(g224 +V#{ +p1151 +tp1152 +a(g57 +VDECIMAL +p1153 +tp1154 +a(g224 +V} +tp1155 +a(g220 +V (?: +p1156 +tp1157 +a(g224 +V#{ +p1158 +tp1159 +a(g57 +VEXPONENT +p1160 +tp1161 +a(g224 +V} +tp1162 +a(g220 +V | +p1163 +tp1164 +a(g220 +V\u005c +tp1165 +a(g220 +V. +p1166 +tp1167 +a(g224 +V#{ +p1168 +tp1169 +a(g57 +VDECIMAL +p1170 +tp1171 +a(g224 +V} +tp1172 +a(g220 +V +tp1173 +a(g224 +V#{ +p1174 +tp1175 +a(g57 +VEXPONENT +p1176 +tp1177 +a(g224 +V} +tp1178 +a(g220 +V? ) +p1179 +tp1180 +a(g220 +V/ +tp1181 +a(g180 +V\u000a +p1182 +tp1183 +a(g57 +VINTEGER +p1184 +tp1185 +a(g180 +V +tp1186 +a(g334 +V= +tp1187 +a(g180 +V +tp1188 +a(g220 +V/ +tp1189 +a(g224 +V#{ +p1190 +tp1191 +a(g57 +VOCTAL +p1192 +tp1193 +a(g224 +V} +tp1194 +a(g220 +V| +tp1195 +a(g224 +V#{ +p1196 +tp1197 +a(g57 +VHEXADECIMAL +p1198 +tp1199 +a(g224 +V} +tp1200 +a(g220 +V| +tp1201 +a(g224 +V#{ +p1202 +tp1203 +a(g57 +VBINARY +p1204 +tp1205 +a(g224 +V} +tp1206 +a(g220 +V| +tp1207 +a(g224 +V#{ +p1208 +tp1209 +a(g57 +VDECIMAL +p1210 +tp1211 +a(g224 +V} +tp1212 +a(g220 +V/ +tp1213 +a(g180 +V\u000a\u000a +p1214 +tp1215 +a(g6 +Vdef +p1216 +tp1217 +a(g180 +V +tp1218 +a(g46 +Vreset +p1219 +tp1220 +a(g180 +V\u000a +p1221 +tp1222 +a(g6 +Vsuper +p1223 +tp1224 +a(g180 +V\u000a +p1225 +tp1226 +a(g104 +V@regexp_allowed +p1227 +tp1228 +a(g180 +V +tp1229 +a(g334 +V= +tp1230 +a(g180 +V +tp1231 +a(g347 +Vfalse +p1232 +tp1233 +a(g180 +V\u000a +p1234 +tp1235 +a(g6 +Vend +p1236 +tp1237 +a(g180 +V\u000a\u000a +p1238 +tp1239 +a(g6 +Vdef +p1240 +tp1241 +a(g180 +V +tp1242 +a(g46 +Vnext_token +p1243 +tp1244 +a(g180 +V\u000a +p1245 +tp1246 +a(g6 +Vreturn +p1247 +tp1248 +a(g180 +V +tp1249 +a(g6 +Vif +p1250 +tp1251 +a(g180 +V +tp1252 +a(g104 +V@scanner +p1253 +tp1254 +a(g334 +V. +tp1255 +a(g43 +Veos? +p1256 +tp1257 +a(g180 +V\u000a\u000a +p1258 +tp1259 +a(g43 +Vkind +p1260 +tp1261 +a(g180 +V +tp1262 +a(g334 +V= +tp1263 +a(g180 +V +tp1264 +a(g239 +V:error +p1265 +tp1266 +a(g180 +V\u000a +p1267 +tp1268 +a(g6 +Vif +p1269 +tp1270 +a(g180 +V +tp1271 +a(g104 +V@scanner +p1272 +tp1273 +a(g334 +V. +tp1274 +a(g43 +Vscan +p1275 +tp1276 +a(g193 +V( +tp1277 +a(g220 +V/ +tp1278 +a(g220 +V\u005c +tp1279 +a(g220 +Vs+ +p1280 +tp1281 +a(g220 +V/ +tp1282 +a(g193 +V) +tp1283 +a(g180 +V +p1284 +tp1285 +a(g29 +V# in every state +p1286 +tp1287 +a(g180 +V\u000a +p1288 +tp1289 +a(g43 +Vkind +p1290 +tp1291 +a(g180 +V +tp1292 +a(g334 +V= +tp1293 +a(g180 +V +tp1294 +a(g239 +V:space +p1295 +tp1296 +a(g180 +V\u000a +p1297 +tp1298 +a(g104 +V@regexp_allowed +p1299 +tp1300 +a(g180 +V +tp1301 +a(g334 +V= +tp1302 +a(g180 +V +tp1303 +a(g239 +V:set +p1304 +tp1305 +a(g180 +V +tp1306 +a(g6 +Vif +p1307 +tp1308 +a(g180 +V +tp1309 +a(g104 +V@regexp_allowed +p1310 +tp1311 +a(g180 +V +tp1312 +a(g338 +Vor +p1313 +tp1314 +a(g180 +V +tp1315 +a(g104 +V@scanner +p1316 +tp1317 +a(g334 +V. +tp1318 +a(g43 +Vmatched +p1319 +tp1320 +a(g334 +V. +tp1321 +a(g43 +Vindex +p1322 +tp1323 +a(g193 +V( +tp1324 +a(g259 +V?\u005cn +p1325 +tp1326 +a(g193 +V) +tp1327 +a(g180 +V +p1328 +tp1329 +a(g29 +V# delayed flag setting +p1330 +tp1331 +a(g180 +V\u000a\u000a +p1332 +tp1333 +a(g6 +Velsif +p1334 +tp1335 +a(g180 +V +tp1336 +a(g104 +V@state +p1337 +tp1338 +a(g180 +V +tp1339 +a(g334 +V== +p1340 +tp1341 +a(g180 +V +tp1342 +a(g239 +V:def_expected +p1343 +tp1344 +a(g180 +V\u000a +p1345 +tp1346 +a(g6 +Vif +p1347 +tp1348 +a(g180 +V +tp1349 +a(g104 +V@scanner +p1350 +tp1351 +a(g334 +V. +tp1352 +a(g43 +Vscan +p1353 +tp1354 +a(g193 +V( +tp1355 +a(g220 +V/ +tp1356 +a(g220 +V (?: (?: +p1357 +tp1358 +a(g224 +V#{ +p1359 +tp1360 +a(g57 +VIDENT +p1361 +tp1362 +a(g224 +V} +tp1363 +a(g220 +V(?: +p1364 +tp1365 +a(g220 +V\u005c +tp1366 +a(g220 +V.|::))* | (?:@@?|$)? +p1367 +tp1368 +a(g224 +V#{ +p1369 +tp1370 +a(g57 +VIDENT +p1371 +tp1372 +a(g224 +V} +tp1373 +a(g220 +V(?: +p1374 +tp1375 +a(g220 +V\u005c +tp1376 +a(g220 +V.|::) ) +p1377 +tp1378 +a(g224 +V#{ +p1379 +tp1380 +a(g57 +VMETHOD_NAME_EX +p1381 +tp1382 +a(g224 +V} +tp1383 +a(g220 +V +tp1384 +a(g220 +V/ox +p1385 +tp1386 +a(g193 +V) +tp1387 +a(g180 +V\u000a +p1388 +tp1389 +a(g43 +Vkind +p1390 +tp1391 +a(g180 +V +tp1392 +a(g334 +V= +tp1393 +a(g180 +V +tp1394 +a(g239 +V:method +p1395 +tp1396 +a(g180 +V\u000a +p1397 +tp1398 +a(g104 +V@state +p1399 +tp1400 +a(g180 +V +tp1401 +a(g334 +V= +tp1402 +a(g180 +V +tp1403 +a(g239 +V:initial +p1404 +tp1405 +a(g180 +V\u000a +p1406 +tp1407 +a(g6 +Velse +p1408 +tp1409 +a(g180 +V\u000a +p1410 +tp1411 +a(g104 +V@scanner +p1412 +tp1413 +a(g334 +V. +tp1414 +a(g43 +Vgetch +p1415 +tp1416 +a(g180 +V\u000a +p1417 +tp1418 +a(g6 +Vend +p1419 +tp1420 +a(g180 +V\u000a +p1421 +tp1422 +a(g104 +V@state +p1423 +tp1424 +a(g180 +V +tp1425 +a(g334 +V= +tp1426 +a(g180 +V +tp1427 +a(g239 +V:initial +p1428 +tp1429 +a(g180 +V\u000a\u000a +p1430 +tp1431 +a(g6 +Velsif +p1432 +tp1433 +a(g180 +V +tp1434 +a(g104 +V@state +p1435 +tp1436 +a(g180 +V +tp1437 +a(g334 +V== +p1438 +tp1439 +a(g180 +V +tp1440 +a(g239 +V:module_expected +p1441 +tp1442 +a(g180 +V\u000a +p1443 +tp1444 +a(g6 +Vif +p1445 +tp1446 +a(g180 +V +tp1447 +a(g104 +V@scanner +p1448 +tp1449 +a(g334 +V. +tp1450 +a(g43 +Vscan +p1451 +tp1452 +a(g193 +V( +tp1453 +a(g220 +V/ +tp1454 +a(g220 +V<< +p1455 +tp1456 +a(g220 +V/ +tp1457 +a(g193 +V) +tp1458 +a(g180 +V\u000a +p1459 +tp1460 +a(g43 +Vkind +p1461 +tp1462 +a(g180 +V +tp1463 +a(g334 +V= +tp1464 +a(g180 +V +tp1465 +a(g239 +V:operator +p1466 +tp1467 +a(g180 +V\u000a +p1468 +tp1469 +a(g6 +Velse +p1470 +tp1471 +a(g180 +V\u000a +p1472 +tp1473 +a(g6 +Vif +p1474 +tp1475 +a(g180 +V +tp1476 +a(g104 +V@scanner +p1477 +tp1478 +a(g334 +V. +tp1479 +a(g43 +Vscan +p1480 +tp1481 +a(g193 +V( +tp1482 +a(g220 +V/ +tp1483 +a(g220 +V (?: +p1484 +tp1485 +a(g224 +V#{ +p1486 +tp1487 +a(g57 +VIDENT +p1488 +tp1489 +a(g224 +V} +tp1490 +a(g220 +V (?: +p1491 +tp1492 +a(g220 +V\u005c +tp1493 +a(g220 +V.|::))* +p1494 +tp1495 +a(g224 +V#{ +p1496 +tp1497 +a(g57 +VIDENT +p1498 +tp1499 +a(g224 +V} +tp1500 +a(g220 +V +tp1501 +a(g220 +V/ox +p1502 +tp1503 +a(g193 +V) +tp1504 +a(g180 +V\u000a +p1505 +tp1506 +a(g43 +Vkind +p1507 +tp1508 +a(g180 +V +tp1509 +a(g334 +V= +tp1510 +a(g180 +V +tp1511 +a(g239 +V:method +p1512 +tp1513 +a(g180 +V\u000a +p1514 +tp1515 +a(g6 +Velse +p1516 +tp1517 +a(g180 +V\u000a +p1518 +tp1519 +a(g104 +V@scanner +p1520 +tp1521 +a(g334 +V. +tp1522 +a(g43 +Vgetch +p1523 +tp1524 +a(g180 +V\u000a +p1525 +tp1526 +a(g6 +Vend +p1527 +tp1528 +a(g180 +V\u000a +p1529 +tp1530 +a(g104 +V@state +p1531 +tp1532 +a(g180 +V +tp1533 +a(g334 +V= +tp1534 +a(g180 +V +tp1535 +a(g239 +V:initial +p1536 +tp1537 +a(g180 +V\u000a +p1538 +tp1539 +a(g6 +Vend +p1540 +tp1541 +a(g180 +V\u000a\u000a +p1542 +tp1543 +a(g6 +Velsif +p1544 +tp1545 +a(g180 +V +tp1546 +a(g29 +V# state == :initial +p1547 +tp1548 +a(g180 +V\u000a +p1549 +tp1550 +a(g29 +V# IDENTIFIERS, KEYWORDS +p1551 +tp1552 +a(g180 +V\u000a +p1553 +tp1554 +a(g6 +Vif +p1555 +tp1556 +a(g180 +V +tp1557 +a(g104 +V@scanner +p1558 +tp1559 +a(g334 +V. +tp1560 +a(g43 +Vscan +p1561 +tp1562 +a(g193 +V( +tp1563 +a(g57 +VGLOBAL_VARIABLE +p1564 +tp1565 +a(g193 +V) +tp1566 +a(g180 +V\u000a +p1567 +tp1568 +a(g43 +Vkind +p1569 +tp1570 +a(g180 +V +tp1571 +a(g334 +V= +tp1572 +a(g180 +V +tp1573 +a(g239 +V:global_variable +p1574 +tp1575 +a(g180 +V\u000a +p1576 +tp1577 +a(g6 +Velsif +p1578 +tp1579 +a(g180 +V +tp1580 +a(g104 +V@scanner +p1581 +tp1582 +a(g334 +V. +tp1583 +a(g43 +Vscan +p1584 +tp1585 +a(g193 +V( +tp1586 +a(g220 +V/ +tp1587 +a(g220 +V @@ +p1588 +tp1589 +a(g224 +V#{ +p1590 +tp1591 +a(g57 +VIDENT +p1592 +tp1593 +a(g224 +V} +tp1594 +a(g220 +V +tp1595 +a(g220 +V/ox +p1596 +tp1597 +a(g193 +V) +tp1598 +a(g180 +V\u000a +p1599 +tp1600 +a(g43 +Vkind +p1601 +tp1602 +a(g180 +V +tp1603 +a(g334 +V= +tp1604 +a(g180 +V +tp1605 +a(g239 +V:class_variable +p1606 +tp1607 +a(g180 +V\u000a +p1608 +tp1609 +a(g6 +Velsif +p1610 +tp1611 +a(g180 +V +tp1612 +a(g104 +V@scanner +p1613 +tp1614 +a(g334 +V. +tp1615 +a(g43 +Vscan +p1616 +tp1617 +a(g193 +V( +tp1618 +a(g220 +V/ +tp1619 +a(g220 +V @ +p1620 +tp1621 +a(g224 +V#{ +p1622 +tp1623 +a(g57 +VIDENT +p1624 +tp1625 +a(g224 +V} +tp1626 +a(g220 +V +tp1627 +a(g220 +V/ox +p1628 +tp1629 +a(g193 +V) +tp1630 +a(g180 +V\u000a +p1631 +tp1632 +a(g43 +Vkind +p1633 +tp1634 +a(g180 +V +tp1635 +a(g334 +V= +tp1636 +a(g180 +V +tp1637 +a(g239 +V:instance_variable +p1638 +tp1639 +a(g180 +V\u000a +p1640 +tp1641 +a(g6 +Velsif +p1642 +tp1643 +a(g180 +V +tp1644 +a(g104 +V@scanner +p1645 +tp1646 +a(g334 +V. +tp1647 +a(g43 +Vscan +p1648 +tp1649 +a(g193 +V( +tp1650 +a(g220 +V/ +tp1651 +a(g220 +V __END__ +p1652 +tp1653 +a(g220 +V\u005c +tp1654 +a(g220 +Vn ( (?! +p1655 +tp1656 +a(g220 +V\u005c +tp1657 +a(g220 +V# +tp1658 +a(g220 +VCODE +p1659 +tp1660 +a(g220 +V\u005c +tp1661 +a(g220 +V# +tp1662 +a(g220 +V) .* )? | +p1663 +tp1664 +a(g220 +V\u005c +tp1665 +a(g220 +V# +tp1666 +a(g220 +V[^ +p1667 +tp1668 +a(g220 +V\u005c +tp1669 +a(g220 +Vn]* | =begin(?= +p1670 +tp1671 +a(g220 +V\u005c +tp1672 +a(g220 +Vs).*? +p1673 +tp1674 +a(g220 +V\u005c +tp1675 +a(g220 +Vn=end(?= +p1676 +tp1677 +a(g220 +V\u005c +tp1678 +a(g220 +Vs| +p1679 +tp1680 +a(g220 +V\u005c +tp1681 +a(g220 +Vz)(?:[^ +p1682 +tp1683 +a(g220 +V\u005c +tp1684 +a(g220 +Vn]*)? +p1685 +tp1686 +a(g220 +V/mx +p1687 +tp1688 +a(g193 +V) +tp1689 +a(g180 +V\u000a +p1690 +tp1691 +a(g43 +Vkind +p1692 +tp1693 +a(g180 +V +tp1694 +a(g334 +V= +tp1695 +a(g180 +V +tp1696 +a(g239 +V:comment +p1697 +tp1698 +a(g180 +V\u000a +p1699 +tp1700 +a(g6 +Velsif +p1701 +tp1702 +a(g180 +V +tp1703 +a(g104 +V@scanner +p1704 +tp1705 +a(g334 +V. +tp1706 +a(g43 +Vscan +p1707 +tp1708 +a(g193 +V( +tp1709 +a(g57 +VMETHOD_NAME +p1710 +tp1711 +a(g193 +V) +tp1712 +a(g180 +V\u000a +p1713 +tp1714 +a(g6 +Vif +p1715 +tp1716 +a(g180 +V +tp1717 +a(g104 +V@last_token_dot +p1718 +tp1719 +a(g180 +V\u000a +p1720 +tp1721 +a(g43 +Vkind +p1722 +tp1723 +a(g180 +V +tp1724 +a(g334 +V= +tp1725 +a(g180 +V +tp1726 +a(g239 +V:ident +p1727 +tp1728 +a(g180 +V\u000a +p1729 +tp1730 +a(g6 +Velse +p1731 +tp1732 +a(g180 +V\u000a +p1733 +tp1734 +a(g43 +Vmatched +p1735 +tp1736 +a(g180 +V +tp1737 +a(g334 +V= +tp1738 +a(g180 +V +tp1739 +a(g104 +V@scanner +p1740 +tp1741 +a(g334 +V. +tp1742 +a(g43 +Vmatched +p1743 +tp1744 +a(g180 +V\u000a +p1745 +tp1746 +a(g43 +Vkind +p1747 +tp1748 +a(g180 +V +tp1749 +a(g334 +V= +tp1750 +a(g180 +V +tp1751 +a(g57 +VIDENT_KIND +p1752 +tp1753 +a(g334 +V[ +tp1754 +a(g43 +Vmatched +p1755 +tp1756 +a(g334 +V] +tp1757 +a(g180 +V\u000a +p1758 +tp1759 +a(g6 +Vif +p1760 +tp1761 +a(g180 +V +tp1762 +a(g43 +Vkind +p1763 +tp1764 +a(g180 +V +tp1765 +a(g334 +V== +p1766 +tp1767 +a(g180 +V +tp1768 +a(g239 +V:ident +p1769 +tp1770 +a(g180 +V +tp1771 +a(g338 +Vand +p1772 +tp1773 +a(g180 +V +tp1774 +a(g43 +Vmatched +p1775 +tp1776 +a(g180 +V +tp1777 +a(g334 +V=~ +p1778 +tp1779 +a(g180 +V +tp1780 +a(g220 +V/ +tp1781 +a(g220 +V^[A-Z] +p1782 +tp1783 +a(g220 +V/ +tp1784 +a(g180 +V\u000a +p1785 +tp1786 +a(g43 +Vkind +p1787 +tp1788 +a(g180 +V +tp1789 +a(g334 +V= +tp1790 +a(g180 +V +tp1791 +a(g239 +V:constant +p1792 +tp1793 +a(g180 +V\u000a +p1794 +tp1795 +a(g6 +Velsif +p1796 +tp1797 +a(g180 +V +tp1798 +a(g43 +Vkind +p1799 +tp1800 +a(g180 +V +tp1801 +a(g334 +V== +p1802 +tp1803 +a(g180 +V +tp1804 +a(g239 +V:reserved +p1805 +tp1806 +a(g180 +V\u000a +p1807 +tp1808 +a(g104 +V@state +p1809 +tp1810 +a(g180 +V +tp1811 +a(g334 +V= +tp1812 +a(g180 +V +tp1813 +a(g57 +VDEF_NEW_STATE +p1814 +tp1815 +a(g334 +V[ +tp1816 +a(g43 +Vmatched +p1817 +tp1818 +a(g334 +V] +tp1819 +a(g180 +V\u000a +p1820 +tp1821 +a(g104 +V@regexp_allowed +p1822 +tp1823 +a(g180 +V +tp1824 +a(g334 +V= +tp1825 +a(g180 +V +tp1826 +a(g57 +VREGEXP_ALLOWED +p1827 +tp1828 +a(g334 +V[ +tp1829 +a(g43 +Vmatched +p1830 +tp1831 +a(g334 +V] +tp1832 +a(g180 +V\u000a +p1833 +tp1834 +a(g6 +Vend +p1835 +tp1836 +a(g180 +V\u000a +p1837 +tp1838 +a(g6 +Vend +p1839 +tp1840 +a(g180 +V\u000a\u000a +p1841 +tp1842 +a(g6 +Velsif +p1843 +tp1844 +a(g180 +V +tp1845 +a(g104 +V@scanner +p1846 +tp1847 +a(g334 +V. +tp1848 +a(g43 +Vscan +p1849 +tp1850 +a(g193 +V( +tp1851 +a(g57 +VSTRING +p1852 +tp1853 +a(g193 +V) +tp1854 +a(g180 +V\u000a +p1855 +tp1856 +a(g43 +Vkind +p1857 +tp1858 +a(g180 +V +tp1859 +a(g334 +V= +tp1860 +a(g180 +V +tp1861 +a(g239 +V:string +p1862 +tp1863 +a(g180 +V\u000a +p1864 +tp1865 +a(g6 +Velsif +p1866 +tp1867 +a(g180 +V +tp1868 +a(g104 +V@scanner +p1869 +tp1870 +a(g334 +V. +tp1871 +a(g43 +Vscan +p1872 +tp1873 +a(g193 +V( +tp1874 +a(g57 +VSHELL +p1875 +tp1876 +a(g193 +V) +tp1877 +a(g180 +V\u000a +p1878 +tp1879 +a(g43 +Vkind +p1880 +tp1881 +a(g180 +V +tp1882 +a(g334 +V= +tp1883 +a(g180 +V +tp1884 +a(g239 +V:shell +p1885 +tp1886 +a(g180 +V\u000a +p1887 +tp1888 +a(g6 +Velsif +p1889 +tp1890 +a(g180 +V +tp1891 +a(g104 +V@scanner +p1892 +tp1893 +a(g334 +V. +tp1894 +a(g43 +Vscan +p1895 +tp1896 +a(g193 +V( +tp1897 +a(g220 +V/ +tp1898 +a(g220 +V<<\u000a (?:\u000a ([a-zA-Z_0-9]+)\u000a (?: .*? ^ +p1899 +tp1900 +a(g220 +V\u005c +tp1901 +a(g220 +V1$ | .* )\u000a |\u000a -([a-zA-Z_0-9]+)\u000a (?: .*? ^ +p1902 +tp1903 +a(g220 +V\u005c +tp1904 +a(g220 +Vs* +p1905 +tp1906 +a(g220 +V\u005c +tp1907 +a(g220 +V2$ | .* )\u000a |\u000a ([" +p1908 +tp1909 +a(g220 +V\u005c +tp1910 +a(g220 +V'`]) (.+?) +p1911 +tp1912 +a(g220 +V\u005c +tp1913 +a(g220 +V3\u000a (?: .*? ^ +p1914 +tp1915 +a(g220 +V\u005c +tp1916 +a(g220 +V4$ | .* )\u000a |\u000a - ([" +p1917 +tp1918 +a(g220 +V\u005c +tp1919 +a(g220 +V'`]) (.+?) +p1920 +tp1921 +a(g220 +V\u005c +tp1922 +a(g220 +V5\u000a (?: .*? ^ +p1923 +tp1924 +a(g220 +V\u005c +tp1925 +a(g220 +Vs* +p1926 +tp1927 +a(g220 +V\u005c +tp1928 +a(g220 +V6$ | .* )\u000a )\u000a +p1929 +tp1930 +a(g220 +V/mxo +p1931 +tp1932 +a(g193 +V) +tp1933 +a(g180 +V\u000a +p1934 +tp1935 +a(g43 +Vkind +p1936 +tp1937 +a(g180 +V +tp1938 +a(g334 +V= +tp1939 +a(g180 +V +tp1940 +a(g239 +V:string +p1941 +tp1942 +a(g180 +V\u000a +p1943 +tp1944 +a(g6 +Velsif +p1945 +tp1946 +a(g180 +V +tp1947 +a(g104 +V@scanner +p1948 +tp1949 +a(g334 +V. +tp1950 +a(g43 +Vscan +p1951 +tp1952 +a(g193 +V( +tp1953 +a(g220 +V/ +tp1954 +a(g220 +V\u005c/ +p1955 +tp1956 +a(g220 +V/ +tp1957 +a(g193 +V) +tp1958 +a(g180 +V +tp1959 +a(g338 +Vand +p1960 +tp1961 +a(g180 +V +tp1962 +a(g104 +V@regexp_allowed +p1963 +tp1964 +a(g180 +V\u000a +p1965 +tp1966 +a(g104 +V@scanner +p1967 +tp1968 +a(g334 +V. +tp1969 +a(g43 +Vunscan +p1970 +tp1971 +a(g180 +V\u000a +p1972 +tp1973 +a(g104 +V@scanner +p1974 +tp1975 +a(g334 +V. +tp1976 +a(g43 +Vscan +p1977 +tp1978 +a(g193 +V( +tp1979 +a(g57 +VREGEXP +p1980 +tp1981 +a(g193 +V) +tp1982 +a(g180 +V\u000a +p1983 +tp1984 +a(g43 +Vkind +p1985 +tp1986 +a(g180 +V +tp1987 +a(g334 +V= +tp1988 +a(g180 +V +tp1989 +a(g239 +V:regexp +p1990 +tp1991 +a(g220 +V\u000a/% +p1992 +tp1993 +a(g220 +V(?:[Qqxrw](?: +p1994 +tp1995 +a(g220 +V\u005c +tp1996 +a(g220 +V([^) +p1997 +tp1998 +a(g220 +V# +tp1999 +a(g220 +V\u005c\u005c +p2000 +tp2001 +a(g220 +V\u005c\u005c +p2002 +tp2003 +a(g220 +V]*(?:(?: +p2004 +tp2005 +a(g220 +V# +tp2006 +a(g220 +V\u005c +tp2007 +a(g220 +V{.*? +p2008 +tp2009 +a(g220 +V\u005c +tp2010 +a(g220 +V}| +p2011 +tp2012 +a(g220 +V# +tp2013 +a(g220 +V| +tp2014 +a(g220 +V\u005c\u005c +p2015 +tp2016 +a(g220 +V\u005c\u005c +p2017 +tp2018 +a(g220 +V.)[^) +p2019 +tp2020 +a(g220 +V# +tp2021 +a(g220 +V\u005c\u005c +p2022 +tp2023 +a(g220 +V\u005c\u005c +p2024 +tp2025 +a(g220 +V]*)* +p2026 +tp2027 +a(g220 +V\u005c +tp2028 +a(g220 +V)?| +p2029 +tp2030 +a(g220 +V\u005c +tp2031 +a(g220 +V[[^ +p2032 +tp2033 +a(g220 +V\u005c +tp2034 +a(g220 +V] +tp2035 +a(g220 +V# +tp2036 +a(g220 +V\u005c\u005c +p2037 +tp2038 +a(g220 +V\u005c\u005c +p2039 +tp2040 +a(g220 +V]*(?:(?: +p2041 +tp2042 +a(g220 +V# +tp2043 +a(g220 +V\u005c +tp2044 +a(g220 +V{.*? +p2045 +tp2046 +a(g220 +V\u005c +tp2047 +a(g220 +V}| +p2048 +tp2049 +a(g220 +V# +tp2050 +a(g220 +V| +tp2051 +a(g220 +V\u005c\u005c +p2052 +tp2053 +a(g220 +V\u005c\u005c +p2054 +tp2055 +a(g220 +V.)[^ +p2056 +tp2057 +a(g220 +V\u005c +tp2058 +a(g220 +V] +tp2059 +a(g220 +V# +tp2060 +a(g220 +V\u005c\u005c +p2061 +tp2062 +a(g220 +V\u005c\u005c +p2063 +tp2064 +a(g220 +V]*)* +p2065 +tp2066 +a(g220 +V\u005c +tp2067 +a(g220 +V]?| +p2068 +tp2069 +a(g220 +V\u005c +tp2070 +a(g220 +V{[^} +p2071 +tp2072 +a(g220 +V# +tp2073 +a(g220 +V\u005c\u005c +p2074 +tp2075 +a(g220 +V\u005c\u005c +p2076 +tp2077 +a(g220 +V]*(?:(?: +p2078 +tp2079 +a(g220 +V# +tp2080 +a(g220 +V\u005c +tp2081 +a(g220 +V{.*? +p2082 +tp2083 +a(g220 +V\u005c +tp2084 +a(g220 +V}| +p2085 +tp2086 +a(g220 +V# +tp2087 +a(g220 +V| +tp2088 +a(g220 +V\u005c\u005c +p2089 +tp2090 +a(g220 +V\u005c\u005c +p2091 +tp2092 +a(g220 +V.)[^} +p2093 +tp2094 +a(g220 +V# +tp2095 +a(g220 +V\u005c\u005c +p2096 +tp2097 +a(g220 +V\u005c\u005c +p2098 +tp2099 +a(g220 +V]*)* +p2100 +tp2101 +a(g220 +V\u005c +tp2102 +a(g220 +V}?|<[^> +p2103 +tp2104 +a(g220 +V# +tp2105 +a(g220 +V\u005c\u005c +p2106 +tp2107 +a(g220 +V\u005c\u005c +p2108 +tp2109 +a(g220 +V]*(?:(?: +p2110 +tp2111 +a(g220 +V# +tp2112 +a(g220 +V\u005c +tp2113 +a(g220 +V{.*? +p2114 +tp2115 +a(g220 +V\u005c +tp2116 +a(g220 +V}| +p2117 +tp2118 +a(g220 +V# +tp2119 +a(g220 +V| +tp2120 +a(g220 +V\u005c\u005c +p2121 +tp2122 +a(g220 +V\u005c\u005c +p2123 +tp2124 +a(g220 +V.)[^> +p2125 +tp2126 +a(g220 +V# +tp2127 +a(g220 +V\u005c\u005c +p2128 +tp2129 +a(g220 +V\u005c\u005c +p2130 +tp2131 +a(g220 +V]*)*>?|([^a-zA-Z +p2132 +tp2133 +a(g220 +V\u005c\u005c +p2134 +tp2135 +a(g220 +V\u005c\u005c +p2136 +tp2137 +a(g220 +V])(?:(?! +p2138 +tp2139 +a(g220 +V\u005c +tp2140 +a(g220 +V1)[^ +p2141 +tp2142 +a(g220 +V# +tp2143 +a(g220 +V\u005c\u005c +p2144 +tp2145 +a(g220 +V\u005c\u005c +p2146 +tp2147 +a(g220 +V])*(?:(?: +p2148 +tp2149 +a(g220 +V# +tp2150 +a(g220 +V\u005c +tp2151 +a(g220 +V{.*? +p2152 +tp2153 +a(g220 +V\u005c +tp2154 +a(g220 +V}| +p2155 +tp2156 +a(g220 +V# +tp2157 +a(g220 +V| +tp2158 +a(g220 +V\u005c\u005c +p2159 +tp2160 +a(g220 +V\u005c\u005c +p2161 +tp2162 +a(g220 +V.)(?:(?! +p2163 +tp2164 +a(g220 +V\u005c +tp2165 +a(g220 +V1)[^ +p2166 +tp2167 +a(g220 +V# +tp2168 +a(g220 +V\u005c\u005c +p2169 +tp2170 +a(g220 +V\u005c\u005c +p2171 +tp2172 +a(g220 +V])*)* +p2173 +tp2174 +a(g220 +V\u005c +tp2175 +a(g220 +V1?)| +p2176 +tp2177 +a(g220 +V\u005c +tp2178 +a(g220 +V([^) +p2179 +tp2180 +a(g220 +V# +tp2181 +a(g220 +V\u005c\u005c +p2182 +tp2183 +a(g220 +V\u005c\u005c +p2184 +tp2185 +a(g220 +V]*(?:(?: +p2186 +tp2187 +a(g220 +V# +tp2188 +a(g220 +V\u005c +tp2189 +a(g220 +V{.*? +p2190 +tp2191 +a(g220 +V\u005c +tp2192 +a(g220 +V}| +p2193 +tp2194 +a(g220 +V# +tp2195 +a(g220 +V| +tp2196 +a(g220 +V\u005c\u005c +p2197 +tp2198 +a(g220 +V\u005c\u005c +p2199 +tp2200 +a(g220 +V.)[^) +p2201 +tp2202 +a(g220 +V# +tp2203 +a(g220 +V\u005c\u005c +p2204 +tp2205 +a(g220 +V\u005c\u005c +p2206 +tp2207 +a(g220 +V]*)* +p2208 +tp2209 +a(g220 +V\u005c +tp2210 +a(g220 +V)?| +p2211 +tp2212 +a(g220 +V\u005c +tp2213 +a(g220 +V[[^ +p2214 +tp2215 +a(g220 +V\u005c +tp2216 +a(g220 +V] +tp2217 +a(g220 +V# +tp2218 +a(g220 +V\u005c\u005c +p2219 +tp2220 +a(g220 +V\u005c\u005c +p2221 +tp2222 +a(g220 +V]*(?:(?: +p2223 +tp2224 +a(g220 +V# +tp2225 +a(g220 +V\u005c +tp2226 +a(g220 +V{.*? +p2227 +tp2228 +a(g220 +V\u005c +tp2229 +a(g220 +V}| +p2230 +tp2231 +a(g220 +V# +tp2232 +a(g220 +V| +tp2233 +a(g220 +V\u005c\u005c +p2234 +tp2235 +a(g220 +V\u005c\u005c +p2236 +tp2237 +a(g220 +V.)[^ +p2238 +tp2239 +a(g220 +V\u005c +tp2240 +a(g220 +V] +tp2241 +a(g220 +V# +tp2242 +a(g220 +V\u005c\u005c +p2243 +tp2244 +a(g220 +V\u005c\u005c +p2245 +tp2246 +a(g220 +V]*)* +p2247 +tp2248 +a(g220 +V\u005c +tp2249 +a(g220 +V]?| +p2250 +tp2251 +a(g220 +V\u005c +tp2252 +a(g220 +V{[^} +p2253 +tp2254 +a(g220 +V# +tp2255 +a(g220 +V\u005c\u005c +p2256 +tp2257 +a(g220 +V\u005c\u005c +p2258 +tp2259 +a(g220 +V]*(?:(?: +p2260 +tp2261 +a(g220 +V# +tp2262 +a(g220 +V\u005c +tp2263 +a(g220 +V{.*? +p2264 +tp2265 +a(g220 +V\u005c +tp2266 +a(g220 +V}| +p2267 +tp2268 +a(g220 +V# +tp2269 +a(g220 +V| +tp2270 +a(g220 +V\u005c\u005c +p2271 +tp2272 +a(g220 +V\u005c\u005c +p2273 +tp2274 +a(g220 +V.)[^} +p2275 +tp2276 +a(g220 +V# +tp2277 +a(g220 +V\u005c\u005c +p2278 +tp2279 +a(g220 +V\u005c\u005c +p2280 +tp2281 +a(g220 +V]*)* +p2282 +tp2283 +a(g220 +V\u005c +tp2284 +a(g220 +V}?|<[^> +p2285 +tp2286 +a(g220 +V# +tp2287 +a(g220 +V\u005c\u005c +p2288 +tp2289 +a(g220 +V\u005c\u005c +p2290 +tp2291 +a(g220 +V]*(?:(?: +p2292 +tp2293 +a(g220 +V# +tp2294 +a(g220 +V\u005c +tp2295 +a(g220 +V{.*? +p2296 +tp2297 +a(g220 +V\u005c +tp2298 +a(g220 +V}| +p2299 +tp2300 +a(g220 +V# +tp2301 +a(g220 +V| +tp2302 +a(g220 +V\u005c\u005c +p2303 +tp2304 +a(g220 +V\u005c\u005c +p2305 +tp2306 +a(g220 +V.)[^> +p2307 +tp2308 +a(g220 +V# +tp2309 +a(g220 +V\u005c\u005c +p2310 +tp2311 +a(g220 +V\u005c\u005c +p2312 +tp2313 +a(g220 +V]*)*>?|([^a-zA-Z +p2314 +tp2315 +a(g220 +V\u005c +tp2316 +a(g220 +Vs +tp2317 +a(g220 +V\u005c\u005c +p2318 +tp2319 +a(g220 +V\u005c\u005c +p2320 +tp2321 +a(g220 +V])(?:(?! +p2322 +tp2323 +a(g220 +V\u005c +tp2324 +a(g220 +V2)[^ +p2325 +tp2326 +a(g220 +V# +tp2327 +a(g220 +V\u005c\u005c +p2328 +tp2329 +a(g220 +V\u005c\u005c +p2330 +tp2331 +a(g220 +V])*(?:(?: +p2332 +tp2333 +a(g220 +V# +tp2334 +a(g220 +V\u005c +tp2335 +a(g220 +V{.*? +p2336 +tp2337 +a(g220 +V\u005c +tp2338 +a(g220 +V}| +p2339 +tp2340 +a(g220 +V# +tp2341 +a(g220 +V| +tp2342 +a(g220 +V\u005c\u005c +p2343 +tp2344 +a(g220 +V\u005c\u005c +p2345 +tp2346 +a(g220 +V.)(?:(?! +p2347 +tp2348 +a(g220 +V\u005c +tp2349 +a(g220 +V2)[^ +p2350 +tp2351 +a(g220 +V# +tp2352 +a(g220 +V\u005c\u005c +p2353 +tp2354 +a(g220 +V\u005c\u005c +p2355 +tp2356 +a(g220 +V])*)* +p2357 +tp2358 +a(g220 +V\u005c +tp2359 +a(g220 +V2?| +p2360 +tp2361 +a(g220 +V\u005c\u005c +p2362 +tp2363 +a(g220 +V\u005c\u005c +p2364 +tp2365 +a(g220 +V[^ +p2366 +tp2367 +a(g220 +V# +tp2368 +a(g220 +V\u005c\u005c +p2369 +tp2370 +a(g220 +V\u005c\u005c +p2371 +tp2372 +a(g220 +V]*(?:(?: +p2373 +tp2374 +a(g220 +V# +tp2375 +a(g220 +V\u005c +tp2376 +a(g220 +V{.*? +p2377 +tp2378 +a(g220 +V\u005c +tp2379 +a(g220 +V}| +p2380 +tp2381 +a(g220 +V# +tp2382 +a(g220 +V)[^ +p2383 +tp2384 +a(g220 +V# +tp2385 +a(g220 +V\u005c\u005c +p2386 +tp2387 +a(g220 +V\u005c\u005c +p2388 +tp2389 +a(g220 +V]*)* +p2390 +tp2391 +a(g220 +V\u005c\u005c +p2392 +tp2393 +a(g220 +V\u005c\u005c +p2394 +tp2395 +a(g220 +V?) +p2396 +tp2397 +a(g220 +V/ +tp2398 +a(g180 +V\u000a +p2399 +tp2400 +a(g6 +Velsif +p2401 +tp2402 +a(g180 +V +tp2403 +a(g104 +V@scanner +p2404 +tp2405 +a(g334 +V. +tp2406 +a(g43 +Vscan +p2407 +tp2408 +a(g193 +V( +tp2409 +a(g220 +V/ +tp2410 +a(g220 +V:(?: +p2411 +tp2412 +a(g224 +V#{ +p2413 +tp2414 +a(g57 +VGLOBAL_VARIABLE +p2415 +tp2416 +a(g224 +V} +tp2417 +a(g220 +V| +tp2418 +a(g224 +V#{ +p2419 +tp2420 +a(g57 +VMETHOD_NAME_EX +p2421 +tp2422 +a(g224 +V} +tp2423 +a(g220 +V| +tp2424 +a(g224 +V#{ +p2425 +tp2426 +a(g57 +VSTRING +p2427 +tp2428 +a(g224 +V} +tp2429 +a(g220 +V) +tp2430 +a(g220 +V/ox +p2431 +tp2432 +a(g193 +V) +tp2433 +a(g180 +V\u000a +p2434 +tp2435 +a(g43 +Vkind +p2436 +tp2437 +a(g180 +V +tp2438 +a(g334 +V= +tp2439 +a(g180 +V +tp2440 +a(g239 +V:symbol +p2441 +tp2442 +a(g180 +V\u000a +p2443 +tp2444 +a(g6 +Velsif +p2445 +tp2446 +a(g180 +V +tp2447 +a(g104 +V@scanner +p2448 +tp2449 +a(g334 +V. +tp2450 +a(g43 +Vscan +p2451 +tp2452 +a(g193 +V( +tp2453 +a(g220 +V/ +tp2454 +a(g220 +V\u000a +p2455 +tp2456 +a(g220 +V\u005c +tp2457 +a(g220 +V? (?:\u000a [^ +p2458 +tp2459 +a(g220 +V\u005c +tp2460 +a(g220 +Vs +tp2461 +a(g220 +V\u005c\u005c +p2462 +tp2463 +a(g220 +V]\u000a |\u000a +p2464 +tp2465 +a(g220 +V\u005c\u005c +p2466 +tp2467 +a(g220 +V (?:M- +p2468 +tp2469 +a(g220 +V\u005c\u005c +p2470 +tp2471 +a(g220 +VC-|C- +p2472 +tp2473 +a(g220 +V\u005c\u005c +p2474 +tp2475 +a(g220 +VM-|M- +p2476 +tp2477 +a(g220 +V\u005c\u005c +p2478 +tp2479 +a(g220 +Vc|c +p2480 +tp2481 +a(g220 +V\u005c\u005c +p2482 +tp2483 +a(g220 +VM-|c|C-|M-))? (?: +p2484 +tp2485 +a(g220 +V\u005c\u005c +p2486 +tp2487 +a(g220 +V (?: . | [0-7]{3} | x[0-9A-Fa-f][0-9A-Fa-f] )\u000a )\u000a +p2488 +tp2489 +a(g220 +V/mox +p2490 +tp2491 +a(g193 +V) +tp2492 +a(g180 +V\u000a +p2493 +tp2494 +a(g43 +Vkind +p2495 +tp2496 +a(g180 +V +tp2497 +a(g334 +V= +tp2498 +a(g180 +V +tp2499 +a(g239 +V:integer +p2500 +tp2501 +a(g180 +V\u000a\u000a +p2502 +tp2503 +a(g6 +Velsif +p2504 +tp2505 +a(g180 +V +tp2506 +a(g104 +V@scanner +p2507 +tp2508 +a(g334 +V. +tp2509 +a(g43 +Vscan +p2510 +tp2511 +a(g193 +V( +tp2512 +a(g220 +V/ +tp2513 +a(g220 +V [-+* +p2514 +tp2515 +a(g220 +V\u005c/ +p2516 +tp2517 +a(g220 +V%=<>;,|&!() +p2518 +tp2519 +a(g220 +V\u005c +tp2520 +a(g220 +V[ +tp2521 +a(g220 +V\u005c +tp2522 +a(g220 +V]{}~?] | +p2523 +tp2524 +a(g220 +V\u005c +tp2525 +a(g220 +V. +tp2526 +a(g220 +V\u005c +tp2527 +a(g220 +V.? +p2528 +tp2529 +a(g220 +V\u005c +tp2530 +a(g220 +V.? | ::? +p2531 +tp2532 +a(g220 +V/x +p2533 +tp2534 +a(g193 +V) +tp2535 +a(g180 +V\u000a +p2536 +tp2537 +a(g43 +Vkind +p2538 +tp2539 +a(g180 +V +tp2540 +a(g334 +V= +tp2541 +a(g180 +V +tp2542 +a(g239 +V:operator +p2543 +tp2544 +a(g180 +V\u000a +p2545 +tp2546 +a(g104 +V@regexp_allowed +p2547 +tp2548 +a(g180 +V +tp2549 +a(g334 +V= +tp2550 +a(g180 +V +tp2551 +a(g239 +V:set +p2552 +tp2553 +a(g180 +V +tp2554 +a(g6 +Vif +p2555 +tp2556 +a(g180 +V +tp2557 +a(g104 +V@scanner +p2558 +tp2559 +a(g334 +V. +tp2560 +a(g43 +Vmatched +p2561 +tp2562 +a(g334 +V[ +tp2563 +a(g334 +V- +tp2564 +a(g309 +V1 +tp2565 +a(g193 +V, +tp2566 +a(g309 +V1 +tp2567 +a(g334 +V] +tp2568 +a(g180 +V +tp2569 +a(g334 +V=~ +p2570 +tp2571 +a(g180 +V +tp2572 +a(g220 +V/ +tp2573 +a(g220 +V[~=!<>|&^, +p2574 +tp2575 +a(g220 +V\u005c +tp2576 +a(g220 +V( +tp2577 +a(g220 +V\u005c +tp2578 +a(g220 +V[+ +p2579 +tp2580 +a(g220 +V\u005c +tp2581 +a(g220 +V- +tp2582 +a(g220 +V\u005c/ +p2583 +tp2584 +a(g220 +V\u005c +tp2585 +a(g220 +V*%] +p2586 +tp2587 +a(g220 +V\u005c +tp2588 +a(g220 +Vz +tp2589 +a(g220 +V/ +tp2590 +a(g180 +V\u000a +p2591 +tp2592 +a(g6 +Velsif +p2593 +tp2594 +a(g180 +V +tp2595 +a(g104 +V@scanner +p2596 +tp2597 +a(g334 +V. +tp2598 +a(g43 +Vscan +p2599 +tp2600 +a(g193 +V( +tp2601 +a(g57 +VFLOAT +p2602 +tp2603 +a(g193 +V) +tp2604 +a(g180 +V\u000a +p2605 +tp2606 +a(g43 +Vkind +p2607 +tp2608 +a(g180 +V +tp2609 +a(g334 +V= +tp2610 +a(g180 +V +tp2611 +a(g239 +V:float +p2612 +tp2613 +a(g180 +V\u000a +p2614 +tp2615 +a(g6 +Velsif +p2616 +tp2617 +a(g180 +V +tp2618 +a(g104 +V@scanner +p2619 +tp2620 +a(g334 +V. +tp2621 +a(g43 +Vscan +p2622 +tp2623 +a(g193 +V( +tp2624 +a(g57 +VINTEGER +p2625 +tp2626 +a(g193 +V) +tp2627 +a(g180 +V\u000a +p2628 +tp2629 +a(g43 +Vkind +p2630 +tp2631 +a(g180 +V +tp2632 +a(g334 +V= +tp2633 +a(g180 +V +tp2634 +a(g239 +V:integer +p2635 +tp2636 +a(g180 +V\u000a +p2637 +tp2638 +a(g6 +Velse +p2639 +tp2640 +a(g180 +V\u000a +p2641 +tp2642 +a(g104 +V@scanner +p2643 +tp2644 +a(g334 +V. +tp2645 +a(g43 +Vgetch +p2646 +tp2647 +a(g180 +V\u000a +p2648 +tp2649 +a(g6 +Vend +p2650 +tp2651 +a(g180 +V\u000a +p2652 +tp2653 +a(g6 +Vend +p2654 +tp2655 +a(g180 +V\u000a\u000a +p2656 +tp2657 +a(g43 +Vtoken +p2658 +tp2659 +a(g180 +V +tp2660 +a(g334 +V= +tp2661 +a(g180 +V +tp2662 +a(g57 +VToken +p2663 +tp2664 +a(g334 +V. +tp2665 +a(g43 +Vnew +p2666 +tp2667 +a(g180 +V +tp2668 +a(g104 +V@scanner +p2669 +tp2670 +a(g334 +V. +tp2671 +a(g43 +Vmatched +p2672 +tp2673 +a(g193 +V, +tp2674 +a(g180 +V +tp2675 +a(g43 +Vkind +p2676 +tp2677 +a(g180 +V\u000a\u000a +p2678 +tp2679 +a(g6 +Vif +p2680 +tp2681 +a(g180 +V +tp2682 +a(g43 +Vkind +p2683 +tp2684 +a(g180 +V +tp2685 +a(g334 +V== +p2686 +tp2687 +a(g180 +V +tp2688 +a(g239 +V:regexp +p2689 +tp2690 +a(g180 +V\u000a +p2691 +tp2692 +a(g43 +Vtoken +p2693 +tp2694 +a(g334 +V. +tp2695 +a(g43 +Vtext +p2696 +tp2697 +a(g180 +V +tp2698 +a(g334 +V<< +p2699 +tp2700 +a(g180 +V +tp2701 +a(g104 +V@scanner +p2702 +tp2703 +a(g334 +V. +tp2704 +a(g43 +Vscan +p2705 +tp2706 +a(g193 +V( +tp2707 +a(g220 +V/ +tp2708 +a(g220 +V[eimnosux]* +p2709 +tp2710 +a(g220 +V/ +tp2711 +a(g193 +V) +tp2712 +a(g180 +V\u000a +p2713 +tp2714 +a(g6 +Vend +p2715 +tp2716 +a(g180 +V\u000a\u000a +p2717 +tp2718 +a(g104 +V@regexp_allowed +p2719 +tp2720 +a(g180 +V +tp2721 +a(g334 +V= +tp2722 +a(g180 +V +tp2723 +a(g193 +V( +tp2724 +a(g104 +V@regexp_allowed +p2725 +tp2726 +a(g180 +V +tp2727 +a(g334 +V== +p2728 +tp2729 +a(g180 +V +tp2730 +a(g239 +V:set +p2731 +tp2732 +a(g193 +V) +tp2733 +a(g180 +V +p2734 +tp2735 +a(g29 +V# delayed flag setting +p2736 +tp2737 +a(g180 +V\u000a\u000a +p2738 +tp2739 +a(g43 +Vtoken +p2740 +tp2741 +a(g180 +V\u000a +p2742 +tp2743 +a(g6 +Vend +p2744 +tp2745 +a(g180 +V\u000a +tp2746 +a(g6 +Vend +p2747 +tp2748 +a(g180 +V\u000a\u000a +p2749 +tp2750 +a(g43 +Vregister +p2751 +tp2752 +a(g180 +V +tp2753 +a(g57 +VRuby +p2754 +tp2755 +a(g193 +V, +tp2756 +a(g180 +V +tp2757 +a(g262 +V'ruby' +p2758 +tp2759 +a(g193 +V, +tp2760 +a(g180 +V +tp2761 +a(g262 +V'rb' +p2762 +tp2763 +a(g180 +V\u000a\u000a +p2764 +tp2765 +a(g6 +Vend +p2766 +tp2767 +a(g180 +V\u000a +tp2768 +a(g6 +Vend +p2769 +tp2770 +a(g180 +V\u000a +tp2771 +a(g6 +Vclass +p2772 +tp2773 +a(g180 +V +tp2774 +a(g130 +VSet +p2775 +tp2776 +a(g180 +V\u000a +p2777 +tp2778 +a(g347 +Vinclude +p2779 +tp2780 +a(g180 +V +tp2781 +a(g57 +VEnumerable +p2782 +tp2783 +a(g180 +V\u000a\u000a +p2784 +tp2785 +a(g29 +V# Creates a new set containing the given objects. +p2786 +tp2787 +a(g180 +V\u000a +p2788 +tp2789 +a(g6 +Vdef +p2790 +tp2791 +a(g180 +V +tp2792 +a(g130 +Vself +p2793 +tp2794 +a(g334 +V. +tp2795 +a(g46 +V[] +p2796 +tp2797 +a(g193 +V( +tp2798 +a(g334 +V* +tp2799 +a(g43 +Vary +p2800 +tp2801 +a(g193 +V) +tp2802 +a(g180 +V\u000a +p2803 +tp2804 +a(g347 +Vnew +p2805 +tp2806 +a(g193 +V( +tp2807 +a(g43 +Vary +p2808 +tp2809 +a(g193 +V) +tp2810 +a(g180 +V\u000a +p2811 +tp2812 +a(g6 +Vend +p2813 +tp2814 +a(g180 +V\u000a\u000a +p2815 +tp2816 +a(g29 +V# Creates a new set containing the elements of the given enumerable +p2817 +tp2818 +a(g180 +V\u000a +p2819 +tp2820 +a(g29 +V# object. +p2821 +tp2822 +a(g180 +V\u000a +p2823 +tp2824 +a(g29 +V# +tp2825 +a(g180 +V\u000a +p2826 +tp2827 +a(g29 +V# If a block is given, the elements of enum are preprocessed by the +p2828 +tp2829 +a(g180 +V\u000a +p2830 +tp2831 +a(g29 +V# given block. +p2832 +tp2833 +a(g180 +V\u000a +p2834 +tp2835 +a(g6 +Vdef +p2836 +tp2837 +a(g180 +V +tp2838 +a(g46 +Vinitialize +p2839 +tp2840 +a(g193 +V( +tp2841 +a(g43 +Venum +p2842 +tp2843 +a(g180 +V +tp2844 +a(g334 +V= +tp2845 +a(g180 +V +tp2846 +a(g347 +Vnil +p2847 +tp2848 +a(g193 +V, +tp2849 +a(g180 +V +tp2850 +a(g334 +V& +tp2851 +a(g43 +Vblock +p2852 +tp2853 +a(g193 +V) +tp2854 +a(g180 +V +tp2855 +a(g29 +V# :yields: o +p2856 +tp2857 +a(g180 +V\u000a +p2858 +tp2859 +a(g104 +V@hash +p2860 +tp2861 +a(g180 +V +tp2862 +a(g334 +V|| +p2863 +tp2864 +a(g334 +V= +tp2865 +a(g180 +V +tp2866 +a(g57 +VHash +p2867 +tp2868 +a(g334 +V. +tp2869 +a(g43 +Vnew +p2870 +tp2871 +a(g180 +V\u000a\u000a +p2872 +tp2873 +a(g43 +Venum +p2874 +tp2875 +a(g334 +V. +tp2876 +a(g43 +Vnil? +p2877 +tp2878 +a(g180 +V +tp2879 +a(g338 +Vand +p2880 +tp2881 +a(g180 +V +tp2882 +a(g6 +Vreturn +p2883 +tp2884 +a(g180 +V\u000a\u000a +p2885 +tp2886 +a(g6 +Vif +p2887 +tp2888 +a(g180 +V +tp2889 +a(g43 +Vblock +p2890 +tp2891 +a(g180 +V\u000a +p2892 +tp2893 +a(g43 +Venum +p2894 +tp2895 +a(g334 +V. +tp2896 +a(g43 +Veach +p2897 +tp2898 +a(g180 +V +tp2899 +a(g193 +V{ +tp2900 +a(g180 +V +tp2901 +a(g334 +V| +tp2902 +a(g43 +Vo +tp2903 +a(g334 +V| +tp2904 +a(g180 +V +tp2905 +a(g43 +Vadd +p2906 +tp2907 +a(g193 +V( +tp2908 +a(g43 +Vblock +p2909 +tp2910 +a(g334 +V[ +tp2911 +a(g43 +Vo +tp2912 +a(g334 +V] +tp2913 +a(g193 +V) +tp2914 +a(g180 +V +tp2915 +a(g193 +V} +tp2916 +a(g180 +V\u000a +p2917 +tp2918 +a(g6 +Velse +p2919 +tp2920 +a(g180 +V\u000a +p2921 +tp2922 +a(g43 +Vmerge +p2923 +tp2924 +a(g193 +V( +tp2925 +a(g43 +Venum +p2926 +tp2927 +a(g193 +V) +tp2928 +a(g180 +V\u000a +p2929 +tp2930 +a(g6 +Vend +p2931 +tp2932 +a(g180 +V\u000a +p2933 +tp2934 +a(g6 +Vend +p2935 +tp2936 +a(g180 +V\u000a\u000a +p2937 +tp2938 +a(g29 +V# Copy internal hash. +p2939 +tp2940 +a(g180 +V\u000a +p2941 +tp2942 +a(g6 +Vdef +p2943 +tp2944 +a(g180 +V +tp2945 +a(g46 +Vinitialize_copy +p2946 +tp2947 +a(g193 +V( +tp2948 +a(g43 +Vorig +p2949 +tp2950 +a(g193 +V) +tp2951 +a(g180 +V\u000a +p2952 +tp2953 +a(g104 +V@hash +p2954 +tp2955 +a(g180 +V +tp2956 +a(g334 +V= +tp2957 +a(g180 +V +tp2958 +a(g43 +Vorig +p2959 +tp2960 +a(g334 +V. +tp2961 +a(g43 +Vinstance_eval +p2962 +tp2963 +a(g193 +V{ +tp2964 +a(g104 +V@hash +p2965 +tp2966 +a(g193 +V} +tp2967 +a(g334 +V. +tp2968 +a(g43 +Vdup +p2969 +tp2970 +a(g180 +V\u000a +p2971 +tp2972 +a(g6 +Vend +p2973 +tp2974 +a(g180 +V\u000a\u000a +p2975 +tp2976 +a(g29 +V# Returns the number of elements. +p2977 +tp2978 +a(g180 +V\u000a +p2979 +tp2980 +a(g6 +Vdef +p2981 +tp2982 +a(g180 +V +tp2983 +a(g46 +Vsize +p2984 +tp2985 +a(g180 +V\u000a +p2986 +tp2987 +a(g104 +V@hash +p2988 +tp2989 +a(g334 +V. +tp2990 +a(g43 +Vsize +p2991 +tp2992 +a(g180 +V\u000a +p2993 +tp2994 +a(g6 +Vend +p2995 +tp2996 +a(g180 +V\u000a +p2997 +tp2998 +a(g6 +Valias +p2999 +tp3000 +a(g180 +V +tp3001 +a(g43 +Vlength +p3002 +tp3003 +a(g180 +V +tp3004 +a(g43 +Vsize +p3005 +tp3006 +a(g180 +V\u000a\u000a +p3007 +tp3008 +a(g29 +V# Returns true if the set contains no elements. +p3009 +tp3010 +a(g180 +V\u000a +p3011 +tp3012 +a(g6 +Vdef +p3013 +tp3014 +a(g180 +V +tp3015 +a(g46 +Vempty? +p3016 +tp3017 +a(g180 +V\u000a +p3018 +tp3019 +a(g104 +V@hash +p3020 +tp3021 +a(g334 +V. +tp3022 +a(g43 +Vempty? +p3023 +tp3024 +a(g180 +V\u000a +p3025 +tp3026 +a(g6 +Vend +p3027 +tp3028 +a(g180 +V\u000a\u000a +p3029 +tp3030 +a(g29 +V# Removes all elements and returns self. +p3031 +tp3032 +a(g180 +V\u000a +p3033 +tp3034 +a(g6 +Vdef +p3035 +tp3036 +a(g180 +V +tp3037 +a(g46 +Vclear +p3038 +tp3039 +a(g180 +V\u000a +p3040 +tp3041 +a(g104 +V@hash +p3042 +tp3043 +a(g334 +V. +tp3044 +a(g43 +Vclear +p3045 +tp3046 +a(g180 +V\u000a +p3047 +tp3048 +a(g81 +Vself +p3049 +tp3050 +a(g180 +V\u000a +p3051 +tp3052 +a(g6 +Vend +p3053 +tp3054 +a(g180 +V\u000a\u000a +p3055 +tp3056 +a(g29 +V# Replaces the contents of the set with the contents of the given +p3057 +tp3058 +a(g180 +V\u000a +p3059 +tp3060 +a(g29 +V# enumerable object and returns self. +p3061 +tp3062 +a(g180 +V\u000a +p3063 +tp3064 +a(g6 +Vdef +p3065 +tp3066 +a(g180 +V +tp3067 +a(g46 +Vreplace +p3068 +tp3069 +a(g193 +V( +tp3070 +a(g43 +Venum +p3071 +tp3072 +a(g193 +V) +tp3073 +a(g180 +V\u000a +p3074 +tp3075 +a(g6 +Vif +p3076 +tp3077 +a(g180 +V +tp3078 +a(g43 +Venum +p3079 +tp3080 +a(g334 +V. +tp3081 +a(g43 +Vclass +p3082 +tp3083 +a(g180 +V +tp3084 +a(g334 +V== +p3085 +tp3086 +a(g180 +V +tp3087 +a(g81 +Vself +p3088 +tp3089 +a(g334 +V. +tp3090 +a(g43 +Vclass +p3091 +tp3092 +a(g180 +V\u000a +p3093 +tp3094 +a(g104 +V@hash +p3095 +tp3096 +a(g334 +V. +tp3097 +a(g43 +Vreplace +p3098 +tp3099 +a(g193 +V( +tp3100 +a(g43 +Venum +p3101 +tp3102 +a(g334 +V. +tp3103 +a(g43 +Vinstance_eval +p3104 +tp3105 +a(g180 +V +tp3106 +a(g193 +V{ +tp3107 +a(g180 +V +tp3108 +a(g104 +V@hash +p3109 +tp3110 +a(g180 +V +tp3111 +a(g193 +V} +tp3112 +a(g193 +V) +tp3113 +a(g180 +V\u000a +p3114 +tp3115 +a(g6 +Velse +p3116 +tp3117 +a(g180 +V\u000a +p3118 +tp3119 +a(g43 +Venum +p3120 +tp3121 +a(g334 +V. +tp3122 +a(g43 +Vis_a? +p3123 +tp3124 +a(g193 +V( +tp3125 +a(g57 +VEnumerable +p3126 +tp3127 +a(g193 +V) +tp3128 +a(g180 +V +tp3129 +a(g338 +Vor +p3130 +tp3131 +a(g180 +V +tp3132 +a(g6 +Vraise +p3133 +tp3134 +a(g180 +V +tp3135 +a(g57 +VArgumentError +p3136 +tp3137 +a(g193 +V, +tp3138 +a(g180 +V +tp3139 +a(g236 +V" +tp3140 +a(g236 +Vvalue must be enumerable +p3141 +tp3142 +a(g236 +V" +tp3143 +a(g180 +V\u000a +p3144 +tp3145 +a(g43 +Vclear +p3146 +tp3147 +a(g180 +V\u000a +p3148 +tp3149 +a(g43 +Venum +p3150 +tp3151 +a(g334 +V. +tp3152 +a(g43 +Veach +p3153 +tp3154 +a(g180 +V +tp3155 +a(g193 +V{ +tp3156 +a(g180 +V +tp3157 +a(g334 +V| +tp3158 +a(g43 +Vo +tp3159 +a(g334 +V| +tp3160 +a(g180 +V +tp3161 +a(g43 +Vadd +p3162 +tp3163 +a(g193 +V( +tp3164 +a(g43 +Vo +tp3165 +a(g193 +V) +tp3166 +a(g180 +V +tp3167 +a(g193 +V} +tp3168 +a(g180 +V\u000a +p3169 +tp3170 +a(g6 +Vend +p3171 +tp3172 +a(g180 +V\u000a\u000a +p3173 +tp3174 +a(g81 +Vself +p3175 +tp3176 +a(g180 +V\u000a +p3177 +tp3178 +a(g6 +Vend +p3179 +tp3180 +a(g180 +V\u000a\u000a +p3181 +tp3182 +a(g29 +V# Converts the set to an array. The order of elements is uncertain. +p3183 +tp3184 +a(g180 +V\u000a +p3185 +tp3186 +a(g6 +Vdef +p3187 +tp3188 +a(g180 +V +tp3189 +a(g46 +Vto_a +p3190 +tp3191 +a(g180 +V\u000a +p3192 +tp3193 +a(g104 +V@hash +p3194 +tp3195 +a(g334 +V. +tp3196 +a(g43 +Vkeys +p3197 +tp3198 +a(g180 +V\u000a +p3199 +tp3200 +a(g6 +Vend +p3201 +tp3202 +a(g180 +V\u000a\u000a +p3203 +tp3204 +a(g6 +Vdef +p3205 +tp3206 +a(g180 +V +tp3207 +a(g46 +Vflatten_merge +p3208 +tp3209 +a(g193 +V( +tp3210 +a(g43 +Vset +p3211 +tp3212 +a(g193 +V, +tp3213 +a(g180 +V +tp3214 +a(g43 +Vseen +p3215 +tp3216 +a(g180 +V +tp3217 +a(g334 +V= +tp3218 +a(g180 +V +tp3219 +a(g57 +VSet +p3220 +tp3221 +a(g334 +V. +tp3222 +a(g43 +Vnew +p3223 +tp3224 +a(g193 +V) +tp3225 +a(g180 +V\u000a +p3226 +tp3227 +a(g43 +Vset +p3228 +tp3229 +a(g334 +V. +tp3230 +a(g43 +Veach +p3231 +tp3232 +a(g180 +V +tp3233 +a(g193 +V{ +tp3234 +a(g180 +V +tp3235 +a(g334 +V| +tp3236 +a(g43 +Ve +tp3237 +a(g334 +V| +tp3238 +a(g180 +V\u000a +p3239 +tp3240 +a(g6 +Vif +p3241 +tp3242 +a(g180 +V +tp3243 +a(g43 +Ve +tp3244 +a(g334 +V. +tp3245 +a(g43 +Vis_a? +p3246 +tp3247 +a(g193 +V( +tp3248 +a(g57 +VSet +p3249 +tp3250 +a(g193 +V) +tp3251 +a(g180 +V\u000a +p3252 +tp3253 +a(g6 +Vif +p3254 +tp3255 +a(g180 +V +tp3256 +a(g43 +Vseen +p3257 +tp3258 +a(g334 +V. +tp3259 +a(g43 +Vinclude? +p3260 +tp3261 +a(g193 +V( +tp3262 +a(g43 +Ve_id +p3263 +tp3264 +a(g180 +V +tp3265 +a(g334 +V= +tp3266 +a(g180 +V +tp3267 +a(g43 +Ve +tp3268 +a(g334 +V. +tp3269 +a(g43 +Vobject_id +p3270 +tp3271 +a(g193 +V) +tp3272 +a(g180 +V\u000a +p3273 +tp3274 +a(g6 +Vraise +p3275 +tp3276 +a(g180 +V +tp3277 +a(g57 +VArgumentError +p3278 +tp3279 +a(g193 +V, +tp3280 +a(g180 +V +tp3281 +a(g236 +V" +tp3282 +a(g236 +Vtried to flatten recursive Set +p3283 +tp3284 +a(g236 +V" +tp3285 +a(g180 +V\u000a +p3286 +tp3287 +a(g6 +Vend +p3288 +tp3289 +a(g180 +V\u000a\u000a +p3290 +tp3291 +a(g43 +Vseen +p3292 +tp3293 +a(g334 +V. +tp3294 +a(g43 +Vadd +p3295 +tp3296 +a(g193 +V( +tp3297 +a(g43 +Ve_id +p3298 +tp3299 +a(g193 +V) +tp3300 +a(g180 +V\u000a +p3301 +tp3302 +a(g43 +Vflatten_merge +p3303 +tp3304 +a(g193 +V( +tp3305 +a(g43 +Ve +tp3306 +a(g193 +V, +tp3307 +a(g180 +V +tp3308 +a(g43 +Vseen +p3309 +tp3310 +a(g193 +V) +tp3311 +a(g180 +V\u000a +p3312 +tp3313 +a(g43 +Vseen +p3314 +tp3315 +a(g334 +V. +tp3316 +a(g43 +Vdelete +p3317 +tp3318 +a(g193 +V( +tp3319 +a(g43 +Ve_id +p3320 +tp3321 +a(g193 +V) +tp3322 +a(g180 +V\u000a +p3323 +tp3324 +a(g6 +Velse +p3325 +tp3326 +a(g180 +V\u000a +p3327 +tp3328 +a(g43 +Vadd +p3329 +tp3330 +a(g193 +V( +tp3331 +a(g43 +Ve +tp3332 +a(g193 +V) +tp3333 +a(g180 +V\u000a +p3334 +tp3335 +a(g6 +Vend +p3336 +tp3337 +a(g180 +V\u000a +p3338 +tp3339 +a(g193 +V} +tp3340 +a(g180 +V\u000a\u000a +p3341 +tp3342 +a(g81 +Vself +p3343 +tp3344 +a(g180 +V\u000a +p3345 +tp3346 +a(g6 +Vend +p3347 +tp3348 +a(g180 +V\u000a +p3349 +tp3350 +a(g347 +Vprotected +p3351 +tp3352 +a(g180 +V +tp3353 +a(g239 +V:flatten_merge +p3354 +tp3355 +a(g180 +V\u000a\u000a +p3356 +tp3357 +a(g29 +V# Returns a new set that is a copy of the set, flattening each +p3358 +tp3359 +a(g180 +V\u000a +p3360 +tp3361 +a(g29 +V# containing set recursively. +p3362 +tp3363 +a(g180 +V\u000a +p3364 +tp3365 +a(g6 +Vdef +p3366 +tp3367 +a(g180 +V +tp3368 +a(g46 +Vflatten +p3369 +tp3370 +a(g180 +V\u000a +p3371 +tp3372 +a(g81 +Vself +p3373 +tp3374 +a(g334 +V. +tp3375 +a(g43 +Vclass +p3376 +tp3377 +a(g334 +V. +tp3378 +a(g43 +Vnew +p3379 +tp3380 +a(g334 +V. +tp3381 +a(g43 +Vflatten_merge +p3382 +tp3383 +a(g193 +V( +tp3384 +a(g81 +Vself +p3385 +tp3386 +a(g193 +V) +tp3387 +a(g180 +V\u000a +p3388 +tp3389 +a(g6 +Vend +p3390 +tp3391 +a(g180 +V\u000a\u000a +p3392 +tp3393 +a(g29 +V# Equivalent to Set#flatten, but replaces the receiver with the +p3394 +tp3395 +a(g180 +V\u000a +p3396 +tp3397 +a(g29 +V# result in place. Returns nil if no modifications were made. +p3398 +tp3399 +a(g180 +V\u000a +p3400 +tp3401 +a(g6 +Vdef +p3402 +tp3403 +a(g180 +V +tp3404 +a(g46 +Vflatten! +p3405 +tp3406 +a(g180 +V\u000a +p3407 +tp3408 +a(g6 +Vif +p3409 +tp3410 +a(g180 +V +tp3411 +a(g43 +Vdetect +p3412 +tp3413 +a(g180 +V +tp3414 +a(g193 +V{ +tp3415 +a(g180 +V +tp3416 +a(g334 +V| +tp3417 +a(g43 +Ve +tp3418 +a(g334 +V| +tp3419 +a(g180 +V +tp3420 +a(g43 +Ve +tp3421 +a(g334 +V. +tp3422 +a(g43 +Vis_a? +p3423 +tp3424 +a(g193 +V( +tp3425 +a(g57 +VSet +p3426 +tp3427 +a(g193 +V) +tp3428 +a(g180 +V +tp3429 +a(g193 +V} +tp3430 +a(g180 +V\u000a +p3431 +tp3432 +a(g43 +Vreplace +p3433 +tp3434 +a(g193 +V( +tp3435 +a(g43 +Vflatten +p3436 +tp3437 +a(g193 +V( +tp3438 +a(g193 +V) +tp3439 +a(g193 +V) +tp3440 +a(g180 +V\u000a +p3441 +tp3442 +a(g6 +Velse +p3443 +tp3444 +a(g180 +V\u000a +p3445 +tp3446 +a(g347 +Vnil +p3447 +tp3448 +a(g180 +V\u000a +p3449 +tp3450 +a(g6 +Vend +p3451 +tp3452 +a(g180 +V\u000a +p3453 +tp3454 +a(g6 +Vend +p3455 +tp3456 +a(g180 +V\u000a\u000a +p3457 +tp3458 +a(g29 +V# Returns true if the set contains the given object. +p3459 +tp3460 +a(g180 +V\u000a +p3461 +tp3462 +a(g6 +Vdef +p3463 +tp3464 +a(g180 +V +tp3465 +a(g46 +Vinclude? +p3466 +tp3467 +a(g193 +V( +tp3468 +a(g43 +Vo +tp3469 +a(g193 +V) +tp3470 +a(g180 +V\u000a +p3471 +tp3472 +a(g104 +V@hash +p3473 +tp3474 +a(g334 +V. +tp3475 +a(g43 +Vinclude? +p3476 +tp3477 +a(g193 +V( +tp3478 +a(g43 +Vo +tp3479 +a(g193 +V) +tp3480 +a(g180 +V\u000a +p3481 +tp3482 +a(g6 +Vend +p3483 +tp3484 +a(g180 +V\u000a +p3485 +tp3486 +a(g6 +Valias +p3487 +tp3488 +a(g180 +V +tp3489 +a(g43 +Vmember? +p3490 +tp3491 +a(g180 +V +tp3492 +a(g347 +Vinclude +p3493 +tp3494 +a(g193 +V? +tp3495 +a(g180 +V\u000a\u000a +p3496 +tp3497 +a(g29 +V# Returns true if the set is a superset of the given set. +p3498 +tp3499 +a(g180 +V\u000a +p3500 +tp3501 +a(g6 +Vdef +p3502 +tp3503 +a(g180 +V +tp3504 +a(g46 +Vsuperset? +p3505 +tp3506 +a(g193 +V( +tp3507 +a(g43 +Vset +p3508 +tp3509 +a(g193 +V) +tp3510 +a(g180 +V\u000a +p3511 +tp3512 +a(g43 +Vset +p3513 +tp3514 +a(g334 +V. +tp3515 +a(g43 +Vis_a? +p3516 +tp3517 +a(g193 +V( +tp3518 +a(g57 +VSet +p3519 +tp3520 +a(g193 +V) +tp3521 +a(g180 +V +tp3522 +a(g338 +Vor +p3523 +tp3524 +a(g180 +V +tp3525 +a(g6 +Vraise +p3526 +tp3527 +a(g180 +V +tp3528 +a(g57 +VArgumentError +p3529 +tp3530 +a(g193 +V, +tp3531 +a(g180 +V +tp3532 +a(g236 +V" +tp3533 +a(g236 +Vvalue must be a set +p3534 +tp3535 +a(g236 +V" +tp3536 +a(g180 +V\u000a +p3537 +tp3538 +a(g6 +Vreturn +p3539 +tp3540 +a(g180 +V +tp3541 +a(g347 +Vfalse +p3542 +tp3543 +a(g180 +V +tp3544 +a(g6 +Vif +p3545 +tp3546 +a(g180 +V +tp3547 +a(g43 +Vsize +p3548 +tp3549 +a(g180 +V +tp3550 +a(g334 +V< +tp3551 +a(g180 +V +tp3552 +a(g43 +Vset +p3553 +tp3554 +a(g334 +V. +tp3555 +a(g43 +Vsize +p3556 +tp3557 +a(g180 +V\u000a +p3558 +tp3559 +a(g43 +Vset +p3560 +tp3561 +a(g334 +V. +tp3562 +a(g43 +Vall? +p3563 +tp3564 +a(g180 +V +tp3565 +a(g193 +V{ +tp3566 +a(g180 +V +tp3567 +a(g334 +V| +tp3568 +a(g43 +Vo +tp3569 +a(g334 +V| +tp3570 +a(g180 +V +tp3571 +a(g347 +Vinclude +p3572 +tp3573 +a(g193 +V? +tp3574 +a(g193 +V( +tp3575 +a(g43 +Vo +tp3576 +a(g193 +V) +tp3577 +a(g180 +V +tp3578 +a(g193 +V} +tp3579 +a(g180 +V\u000a +p3580 +tp3581 +a(g6 +Vend +p3582 +tp3583 +a(g180 +V\u000a\u000a +p3584 +tp3585 +a(g29 +V# Returns true if the set is a proper superset of the given set. +p3586 +tp3587 +a(g180 +V\u000a +p3588 +tp3589 +a(g6 +Vdef +p3590 +tp3591 +a(g180 +V +tp3592 +a(g46 +Vproper_superset? +p3593 +tp3594 +a(g193 +V( +tp3595 +a(g43 +Vset +p3596 +tp3597 +a(g193 +V) +tp3598 +a(g180 +V\u000a +p3599 +tp3600 +a(g43 +Vset +p3601 +tp3602 +a(g334 +V. +tp3603 +a(g43 +Vis_a? +p3604 +tp3605 +a(g193 +V( +tp3606 +a(g57 +VSet +p3607 +tp3608 +a(g193 +V) +tp3609 +a(g180 +V +tp3610 +a(g338 +Vor +p3611 +tp3612 +a(g180 +V +tp3613 +a(g6 +Vraise +p3614 +tp3615 +a(g180 +V +tp3616 +a(g57 +VArgumentError +p3617 +tp3618 +a(g193 +V, +tp3619 +a(g180 +V +tp3620 +a(g236 +V" +tp3621 +a(g236 +Vvalue must be a set +p3622 +tp3623 +a(g236 +V" +tp3624 +a(g180 +V\u000a +p3625 +tp3626 +a(g6 +Vreturn +p3627 +tp3628 +a(g180 +V +tp3629 +a(g347 +Vfalse +p3630 +tp3631 +a(g180 +V +tp3632 +a(g6 +Vif +p3633 +tp3634 +a(g180 +V +tp3635 +a(g43 +Vsize +p3636 +tp3637 +a(g180 +V +tp3638 +a(g334 +V< +tp3639 +a(g334 +V= +tp3640 +a(g180 +V +tp3641 +a(g43 +Vset +p3642 +tp3643 +a(g334 +V. +tp3644 +a(g43 +Vsize +p3645 +tp3646 +a(g180 +V\u000a +p3647 +tp3648 +a(g43 +Vset +p3649 +tp3650 +a(g334 +V. +tp3651 +a(g43 +Vall? +p3652 +tp3653 +a(g180 +V +tp3654 +a(g193 +V{ +tp3655 +a(g180 +V +tp3656 +a(g334 +V| +tp3657 +a(g43 +Vo +tp3658 +a(g334 +V| +tp3659 +a(g180 +V +tp3660 +a(g347 +Vinclude +p3661 +tp3662 +a(g193 +V? +tp3663 +a(g193 +V( +tp3664 +a(g43 +Vo +tp3665 +a(g193 +V) +tp3666 +a(g180 +V +tp3667 +a(g193 +V} +tp3668 +a(g180 +V\u000a +p3669 +tp3670 +a(g6 +Vend +p3671 +tp3672 +a(g180 +V\u000a\u000a +p3673 +tp3674 +a(g29 +V# Returns true if the set is a subset of the given set. +p3675 +tp3676 +a(g180 +V\u000a +p3677 +tp3678 +a(g6 +Vdef +p3679 +tp3680 +a(g180 +V +tp3681 +a(g46 +Vsubset? +p3682 +tp3683 +a(g193 +V( +tp3684 +a(g43 +Vset +p3685 +tp3686 +a(g193 +V) +tp3687 +a(g180 +V\u000a +p3688 +tp3689 +a(g43 +Vset +p3690 +tp3691 +a(g334 +V. +tp3692 +a(g43 +Vis_a? +p3693 +tp3694 +a(g193 +V( +tp3695 +a(g57 +VSet +p3696 +tp3697 +a(g193 +V) +tp3698 +a(g180 +V +tp3699 +a(g338 +Vor +p3700 +tp3701 +a(g180 +V +tp3702 +a(g6 +Vraise +p3703 +tp3704 +a(g180 +V +tp3705 +a(g57 +VArgumentError +p3706 +tp3707 +a(g193 +V, +tp3708 +a(g180 +V +tp3709 +a(g236 +V" +tp3710 +a(g236 +Vvalue must be a set +p3711 +tp3712 +a(g236 +V" +tp3713 +a(g180 +V\u000a +p3714 +tp3715 +a(g6 +Vreturn +p3716 +tp3717 +a(g180 +V +tp3718 +a(g347 +Vfalse +p3719 +tp3720 +a(g180 +V +tp3721 +a(g6 +Vif +p3722 +tp3723 +a(g180 +V +tp3724 +a(g43 +Vset +p3725 +tp3726 +a(g334 +V. +tp3727 +a(g43 +Vsize +p3728 +tp3729 +a(g180 +V +tp3730 +a(g334 +V< +tp3731 +a(g180 +V +tp3732 +a(g43 +Vsize +p3733 +tp3734 +a(g180 +V\u000a +p3735 +tp3736 +a(g43 +Vall? +p3737 +tp3738 +a(g180 +V +tp3739 +a(g193 +V{ +tp3740 +a(g180 +V +tp3741 +a(g334 +V| +tp3742 +a(g43 +Vo +tp3743 +a(g334 +V| +tp3744 +a(g180 +V +tp3745 +a(g43 +Vset +p3746 +tp3747 +a(g334 +V. +tp3748 +a(g43 +Vinclude? +p3749 +tp3750 +a(g193 +V( +tp3751 +a(g43 +Vo +tp3752 +a(g193 +V) +tp3753 +a(g180 +V +tp3754 +a(g193 +V} +tp3755 +a(g180 +V\u000a +p3756 +tp3757 +a(g6 +Vend +p3758 +tp3759 +a(g180 +V\u000a\u000a +p3760 +tp3761 +a(g29 +V# Returns true if the set is a proper subset of the given set. +p3762 +tp3763 +a(g180 +V\u000a +p3764 +tp3765 +a(g6 +Vdef +p3766 +tp3767 +a(g180 +V +tp3768 +a(g46 +Vproper_subset? +p3769 +tp3770 +a(g193 +V( +tp3771 +a(g43 +Vset +p3772 +tp3773 +a(g193 +V) +tp3774 +a(g180 +V\u000a +p3775 +tp3776 +a(g43 +Vset +p3777 +tp3778 +a(g334 +V. +tp3779 +a(g43 +Vis_a? +p3780 +tp3781 +a(g193 +V( +tp3782 +a(g57 +VSet +p3783 +tp3784 +a(g193 +V) +tp3785 +a(g180 +V +tp3786 +a(g338 +Vor +p3787 +tp3788 +a(g180 +V +tp3789 +a(g6 +Vraise +p3790 +tp3791 +a(g180 +V +tp3792 +a(g57 +VArgumentError +p3793 +tp3794 +a(g193 +V, +tp3795 +a(g180 +V +tp3796 +a(g236 +V" +tp3797 +a(g236 +Vvalue must be a set +p3798 +tp3799 +a(g236 +V" +tp3800 +a(g180 +V\u000a +p3801 +tp3802 +a(g6 +Vreturn +p3803 +tp3804 +a(g180 +V +tp3805 +a(g347 +Vfalse +p3806 +tp3807 +a(g180 +V +tp3808 +a(g6 +Vif +p3809 +tp3810 +a(g180 +V +tp3811 +a(g43 +Vset +p3812 +tp3813 +a(g334 +V. +tp3814 +a(g43 +Vsize +p3815 +tp3816 +a(g180 +V +tp3817 +a(g334 +V< +tp3818 +a(g334 +V= +tp3819 +a(g180 +V +tp3820 +a(g43 +Vsize +p3821 +tp3822 +a(g180 +V\u000a +p3823 +tp3824 +a(g43 +Vall? +p3825 +tp3826 +a(g180 +V +tp3827 +a(g193 +V{ +tp3828 +a(g180 +V +tp3829 +a(g334 +V| +tp3830 +a(g43 +Vo +tp3831 +a(g334 +V| +tp3832 +a(g180 +V +tp3833 +a(g43 +Vset +p3834 +tp3835 +a(g334 +V. +tp3836 +a(g43 +Vinclude? +p3837 +tp3838 +a(g193 +V( +tp3839 +a(g43 +Vo +tp3840 +a(g193 +V) +tp3841 +a(g180 +V +tp3842 +a(g193 +V} +tp3843 +a(g180 +V\u000a +p3844 +tp3845 +a(g6 +Vend +p3846 +tp3847 +a(g180 +V\u000a\u000a +p3848 +tp3849 +a(g29 +V# Calls the given block once for each element in the set, passing +p3850 +tp3851 +a(g180 +V\u000a +p3852 +tp3853 +a(g29 +V# the element as parameter. +p3854 +tp3855 +a(g180 +V\u000a +p3856 +tp3857 +a(g6 +Vdef +p3858 +tp3859 +a(g180 +V +tp3860 +a(g46 +Veach +p3861 +tp3862 +a(g180 +V\u000a +p3863 +tp3864 +a(g104 +V@hash +p3865 +tp3866 +a(g334 +V. +tp3867 +a(g43 +Veach_key +p3868 +tp3869 +a(g180 +V +tp3870 +a(g193 +V{ +tp3871 +a(g180 +V +tp3872 +a(g334 +V| +tp3873 +a(g43 +Vo +tp3874 +a(g334 +V| +tp3875 +a(g180 +V +tp3876 +a(g6 +Vyield +p3877 +tp3878 +a(g193 +V( +tp3879 +a(g43 +Vo +tp3880 +a(g193 +V) +tp3881 +a(g180 +V +tp3882 +a(g193 +V} +tp3883 +a(g180 +V\u000a +p3884 +tp3885 +a(g81 +Vself +p3886 +tp3887 +a(g180 +V\u000a +p3888 +tp3889 +a(g6 +Vend +p3890 +tp3891 +a(g180 +V\u000a\u000a +p3892 +tp3893 +a(g29 +V# Adds the given object to the set and returns self. Use +merge+ to +p3894 +tp3895 +a(g180 +V\u000a +p3896 +tp3897 +a(g29 +V# add several elements at once. +p3898 +tp3899 +a(g180 +V\u000a +p3900 +tp3901 +a(g6 +Vdef +p3902 +tp3903 +a(g180 +V +tp3904 +a(g46 +Vadd +p3905 +tp3906 +a(g193 +V( +tp3907 +a(g43 +Vo +tp3908 +a(g193 +V) +tp3909 +a(g180 +V\u000a +p3910 +tp3911 +a(g104 +V@hash +p3912 +tp3913 +a(g334 +V[ +tp3914 +a(g43 +Vo +tp3915 +a(g334 +V] +tp3916 +a(g180 +V +tp3917 +a(g334 +V= +tp3918 +a(g180 +V +tp3919 +a(g347 +Vtrue +p3920 +tp3921 +a(g180 +V\u000a +p3922 +tp3923 +a(g81 +Vself +p3924 +tp3925 +a(g180 +V\u000a +p3926 +tp3927 +a(g6 +Vend +p3928 +tp3929 +a(g180 +V\u000a +p3930 +tp3931 +a(g6 +Valias +p3932 +tp3933 +a(g180 +V +tp3934 +a(g334 +V<< +p3935 +tp3936 +a(g180 +V +tp3937 +a(g43 +Vadd +p3938 +tp3939 +a(g180 +V\u000a\u000a +p3940 +tp3941 +a(g29 +V# Adds the given object to the set and returns self. If the +p3942 +tp3943 +a(g180 +V\u000a +p3944 +tp3945 +a(g29 +V# object is already in the set, returns nil. +p3946 +tp3947 +a(g180 +V\u000a +p3948 +tp3949 +a(g6 +Vdef +p3950 +tp3951 +a(g180 +V +tp3952 +a(g46 +Vadd? +p3953 +tp3954 +a(g193 +V( +tp3955 +a(g43 +Vo +tp3956 +a(g193 +V) +tp3957 +a(g180 +V\u000a +p3958 +tp3959 +a(g6 +Vif +p3960 +tp3961 +a(g180 +V +tp3962 +a(g347 +Vinclude +p3963 +tp3964 +a(g193 +V? +tp3965 +a(g193 +V( +tp3966 +a(g43 +Vo +tp3967 +a(g193 +V) +tp3968 +a(g180 +V\u000a +p3969 +tp3970 +a(g347 +Vnil +p3971 +tp3972 +a(g180 +V\u000a +p3973 +tp3974 +a(g6 +Velse +p3975 +tp3976 +a(g180 +V\u000a +p3977 +tp3978 +a(g43 +Vadd +p3979 +tp3980 +a(g193 +V( +tp3981 +a(g43 +Vo +tp3982 +a(g193 +V) +tp3983 +a(g180 +V\u000a +p3984 +tp3985 +a(g6 +Vend +p3986 +tp3987 +a(g180 +V\u000a +p3988 +tp3989 +a(g6 +Vend +p3990 +tp3991 +a(g180 +V\u000a\u000a +p3992 +tp3993 +a(g29 +V# Deletes the given object from the set and returns self. Use +subtract+ to +p3994 +tp3995 +a(g180 +V\u000a +p3996 +tp3997 +a(g29 +V# delete several items at once. +p3998 +tp3999 +a(g180 +V\u000a +p4000 +tp4001 +a(g6 +Vdef +p4002 +tp4003 +a(g180 +V +tp4004 +a(g46 +Vdelete +p4005 +tp4006 +a(g193 +V( +tp4007 +a(g43 +Vo +tp4008 +a(g193 +V) +tp4009 +a(g180 +V\u000a +p4010 +tp4011 +a(g104 +V@hash +p4012 +tp4013 +a(g334 +V. +tp4014 +a(g43 +Vdelete +p4015 +tp4016 +a(g193 +V( +tp4017 +a(g43 +Vo +tp4018 +a(g193 +V) +tp4019 +a(g180 +V\u000a +p4020 +tp4021 +a(g81 +Vself +p4022 +tp4023 +a(g180 +V\u000a +p4024 +tp4025 +a(g6 +Vend +p4026 +tp4027 +a(g180 +V\u000a\u000a +p4028 +tp4029 +a(g29 +V# Deletes the given object from the set and returns self. If the +p4030 +tp4031 +a(g180 +V\u000a +p4032 +tp4033 +a(g29 +V# object is not in the set, returns nil. +p4034 +tp4035 +a(g180 +V\u000a +p4036 +tp4037 +a(g6 +Vdef +p4038 +tp4039 +a(g180 +V +tp4040 +a(g46 +Vdelete? +p4041 +tp4042 +a(g193 +V( +tp4043 +a(g43 +Vo +tp4044 +a(g193 +V) +tp4045 +a(g180 +V\u000a +p4046 +tp4047 +a(g6 +Vif +p4048 +tp4049 +a(g180 +V +tp4050 +a(g347 +Vinclude +p4051 +tp4052 +a(g193 +V? +tp4053 +a(g193 +V( +tp4054 +a(g43 +Vo +tp4055 +a(g193 +V) +tp4056 +a(g180 +V\u000a +p4057 +tp4058 +a(g43 +Vdelete +p4059 +tp4060 +a(g193 +V( +tp4061 +a(g43 +Vo +tp4062 +a(g193 +V) +tp4063 +a(g180 +V\u000a +p4064 +tp4065 +a(g6 +Velse +p4066 +tp4067 +a(g180 +V\u000a +p4068 +tp4069 +a(g347 +Vnil +p4070 +tp4071 +a(g180 +V\u000a +p4072 +tp4073 +a(g6 +Vend +p4074 +tp4075 +a(g180 +V\u000a +p4076 +tp4077 +a(g6 +Vend +p4078 +tp4079 +a(g180 +V\u000a\u000a +p4080 +tp4081 +a(g29 +V# Deletes every element of the set for which block evaluates to +p4082 +tp4083 +a(g180 +V\u000a +p4084 +tp4085 +a(g29 +V# true, and returns self. +p4086 +tp4087 +a(g180 +V\u000a +p4088 +tp4089 +a(g6 +Vdef +p4090 +tp4091 +a(g180 +V +tp4092 +a(g46 +Vdelete_if +p4093 +tp4094 +a(g180 +V\u000a +p4095 +tp4096 +a(g104 +V@hash +p4097 +tp4098 +a(g334 +V. +tp4099 +a(g43 +Vdelete_if +p4100 +tp4101 +a(g180 +V +tp4102 +a(g193 +V{ +tp4103 +a(g180 +V +tp4104 +a(g334 +V| +tp4105 +a(g43 +Vo +tp4106 +a(g193 +V, +tp4107 +a(g334 +V| +tp4108 +a(g180 +V +tp4109 +a(g6 +Vyield +p4110 +tp4111 +a(g193 +V( +tp4112 +a(g43 +Vo +tp4113 +a(g193 +V) +tp4114 +a(g180 +V +tp4115 +a(g193 +V} +tp4116 +a(g180 +V\u000a +p4117 +tp4118 +a(g81 +Vself +p4119 +tp4120 +a(g180 +V\u000a +p4121 +tp4122 +a(g6 +Vend +p4123 +tp4124 +a(g180 +V\u000a\u000a +p4125 +tp4126 +a(g29 +V# Do collect() destructively. +p4127 +tp4128 +a(g180 +V\u000a +p4129 +tp4130 +a(g6 +Vdef +p4131 +tp4132 +a(g180 +V +tp4133 +a(g46 +Vcollect! +p4134 +tp4135 +a(g180 +V\u000a +p4136 +tp4137 +a(g43 +Vset +p4138 +tp4139 +a(g180 +V +tp4140 +a(g334 +V= +tp4141 +a(g180 +V +tp4142 +a(g81 +Vself +p4143 +tp4144 +a(g334 +V. +tp4145 +a(g43 +Vclass +p4146 +tp4147 +a(g334 +V. +tp4148 +a(g43 +Vnew +p4149 +tp4150 +a(g180 +V\u000a +p4151 +tp4152 +a(g43 +Veach +p4153 +tp4154 +a(g180 +V +tp4155 +a(g193 +V{ +tp4156 +a(g180 +V +tp4157 +a(g334 +V| +tp4158 +a(g43 +Vo +tp4159 +a(g334 +V| +tp4160 +a(g180 +V +tp4161 +a(g43 +Vset +p4162 +tp4163 +a(g180 +V +tp4164 +a(g334 +V<< +p4165 +tp4166 +a(g180 +V +tp4167 +a(g6 +Vyield +p4168 +tp4169 +a(g193 +V( +tp4170 +a(g43 +Vo +tp4171 +a(g193 +V) +tp4172 +a(g180 +V +tp4173 +a(g193 +V} +tp4174 +a(g180 +V\u000a +p4175 +tp4176 +a(g43 +Vreplace +p4177 +tp4178 +a(g193 +V( +tp4179 +a(g43 +Vset +p4180 +tp4181 +a(g193 +V) +tp4182 +a(g180 +V\u000a +p4183 +tp4184 +a(g6 +Vend +p4185 +tp4186 +a(g180 +V\u000a +p4187 +tp4188 +a(g6 +Valias +p4189 +tp4190 +a(g180 +V +tp4191 +a(g43 +Vmap! +p4192 +tp4193 +a(g180 +V +tp4194 +a(g43 +Vcollect! +p4195 +tp4196 +a(g180 +V\u000a\u000a +p4197 +tp4198 +a(g29 +V# Equivalent to Set#delete_if, but returns nil if no changes were +p4199 +tp4200 +a(g180 +V\u000a +p4201 +tp4202 +a(g29 +V# made. +p4203 +tp4204 +a(g180 +V\u000a +p4205 +tp4206 +a(g6 +Vdef +p4207 +tp4208 +a(g180 +V +tp4209 +a(g46 +Vreject! +p4210 +tp4211 +a(g180 +V\u000a +p4212 +tp4213 +a(g43 +Vn +tp4214 +a(g180 +V +tp4215 +a(g334 +V= +tp4216 +a(g180 +V +tp4217 +a(g43 +Vsize +p4218 +tp4219 +a(g180 +V\u000a +p4220 +tp4221 +a(g43 +Vdelete_if +p4222 +tp4223 +a(g180 +V +tp4224 +a(g193 +V{ +tp4225 +a(g180 +V +tp4226 +a(g334 +V| +tp4227 +a(g43 +Vo +tp4228 +a(g334 +V| +tp4229 +a(g180 +V +tp4230 +a(g6 +Vyield +p4231 +tp4232 +a(g193 +V( +tp4233 +a(g43 +Vo +tp4234 +a(g193 +V) +tp4235 +a(g180 +V +tp4236 +a(g193 +V} +tp4237 +a(g180 +V\u000a +p4238 +tp4239 +a(g43 +Vsize +p4240 +tp4241 +a(g180 +V +tp4242 +a(g334 +V== +p4243 +tp4244 +a(g180 +V +tp4245 +a(g43 +Vn +tp4246 +a(g180 +V +tp4247 +a(g193 +V? +tp4248 +a(g180 +V +tp4249 +a(g347 +Vnil +p4250 +tp4251 +a(g180 +V +tp4252 +a(g193 +V: +tp4253 +a(g180 +V +tp4254 +a(g81 +Vself +p4255 +tp4256 +a(g180 +V\u000a +p4257 +tp4258 +a(g6 +Vend +p4259 +tp4260 +a(g180 +V\u000a\u000a +p4261 +tp4262 +a(g29 +V# Merges the elements of the given enumerable object to the set and +p4263 +tp4264 +a(g180 +V\u000a +p4265 +tp4266 +a(g29 +V# returns self. +p4267 +tp4268 +a(g180 +V\u000a +p4269 +tp4270 +a(g6 +Vdef +p4271 +tp4272 +a(g180 +V +tp4273 +a(g46 +Vmerge +p4274 +tp4275 +a(g193 +V( +tp4276 +a(g43 +Venum +p4277 +tp4278 +a(g193 +V) +tp4279 +a(g180 +V\u000a +p4280 +tp4281 +a(g6 +Vif +p4282 +tp4283 +a(g180 +V +tp4284 +a(g43 +Venum +p4285 +tp4286 +a(g334 +V. +tp4287 +a(g43 +Vis_a? +p4288 +tp4289 +a(g193 +V( +tp4290 +a(g57 +VSet +p4291 +tp4292 +a(g193 +V) +tp4293 +a(g180 +V\u000a +p4294 +tp4295 +a(g104 +V@hash +p4296 +tp4297 +a(g334 +V. +tp4298 +a(g43 +Vupdate +p4299 +tp4300 +a(g193 +V( +tp4301 +a(g43 +Venum +p4302 +tp4303 +a(g334 +V. +tp4304 +a(g43 +Vinstance_eval +p4305 +tp4306 +a(g180 +V +tp4307 +a(g193 +V{ +tp4308 +a(g180 +V +tp4309 +a(g104 +V@hash +p4310 +tp4311 +a(g180 +V +tp4312 +a(g193 +V} +tp4313 +a(g193 +V) +tp4314 +a(g180 +V\u000a +p4315 +tp4316 +a(g6 +Velse +p4317 +tp4318 +a(g180 +V\u000a +p4319 +tp4320 +a(g43 +Venum +p4321 +tp4322 +a(g334 +V. +tp4323 +a(g43 +Vis_a? +p4324 +tp4325 +a(g193 +V( +tp4326 +a(g57 +VEnumerable +p4327 +tp4328 +a(g193 +V) +tp4329 +a(g180 +V +tp4330 +a(g338 +Vor +p4331 +tp4332 +a(g180 +V +tp4333 +a(g6 +Vraise +p4334 +tp4335 +a(g180 +V +tp4336 +a(g57 +VArgumentError +p4337 +tp4338 +a(g193 +V, +tp4339 +a(g180 +V +tp4340 +a(g236 +V" +tp4341 +a(g236 +Vvalue must be enumerable +p4342 +tp4343 +a(g236 +V" +tp4344 +a(g180 +V\u000a +p4345 +tp4346 +a(g43 +Venum +p4347 +tp4348 +a(g334 +V. +tp4349 +a(g43 +Veach +p4350 +tp4351 +a(g180 +V +tp4352 +a(g193 +V{ +tp4353 +a(g180 +V +tp4354 +a(g334 +V| +tp4355 +a(g43 +Vo +tp4356 +a(g334 +V| +tp4357 +a(g180 +V +tp4358 +a(g43 +Vadd +p4359 +tp4360 +a(g193 +V( +tp4361 +a(g43 +Vo +tp4362 +a(g193 +V) +tp4363 +a(g180 +V +tp4364 +a(g193 +V} +tp4365 +a(g180 +V\u000a +p4366 +tp4367 +a(g6 +Vend +p4368 +tp4369 +a(g180 +V\u000a\u000a +p4370 +tp4371 +a(g81 +Vself +p4372 +tp4373 +a(g180 +V\u000a +p4374 +tp4375 +a(g6 +Vend +p4376 +tp4377 +a(g180 +V\u000a\u000a +p4378 +tp4379 +a(g29 +V# Deletes every element that appears in the given enumerable object +p4380 +tp4381 +a(g180 +V\u000a +p4382 +tp4383 +a(g29 +V# and returns self. +p4384 +tp4385 +a(g180 +V\u000a +p4386 +tp4387 +a(g6 +Vdef +p4388 +tp4389 +a(g180 +V +tp4390 +a(g46 +Vsubtract +p4391 +tp4392 +a(g193 +V( +tp4393 +a(g43 +Venum +p4394 +tp4395 +a(g193 +V) +tp4396 +a(g180 +V\u000a +p4397 +tp4398 +a(g43 +Venum +p4399 +tp4400 +a(g334 +V. +tp4401 +a(g43 +Vis_a? +p4402 +tp4403 +a(g193 +V( +tp4404 +a(g57 +VEnumerable +p4405 +tp4406 +a(g193 +V) +tp4407 +a(g180 +V +tp4408 +a(g338 +Vor +p4409 +tp4410 +a(g180 +V +tp4411 +a(g6 +Vraise +p4412 +tp4413 +a(g180 +V +tp4414 +a(g57 +VArgumentError +p4415 +tp4416 +a(g193 +V, +tp4417 +a(g180 +V +tp4418 +a(g236 +V" +tp4419 +a(g236 +Vvalue must be enumerable +p4420 +tp4421 +a(g236 +V" +tp4422 +a(g180 +V\u000a +p4423 +tp4424 +a(g43 +Venum +p4425 +tp4426 +a(g334 +V. +tp4427 +a(g43 +Veach +p4428 +tp4429 +a(g180 +V +tp4430 +a(g193 +V{ +tp4431 +a(g180 +V +tp4432 +a(g334 +V| +tp4433 +a(g43 +Vo +tp4434 +a(g334 +V| +tp4435 +a(g180 +V +tp4436 +a(g43 +Vdelete +p4437 +tp4438 +a(g193 +V( +tp4439 +a(g43 +Vo +tp4440 +a(g193 +V) +tp4441 +a(g180 +V +tp4442 +a(g193 +V} +tp4443 +a(g180 +V\u000a +p4444 +tp4445 +a(g81 +Vself +p4446 +tp4447 +a(g180 +V\u000a +p4448 +tp4449 +a(g6 +Vend +p4450 +tp4451 +a(g180 +V\u000a\u000a +p4452 +tp4453 +a(g29 +V# Returns a new set built by merging the set and the elements of the +p4454 +tp4455 +a(g180 +V\u000a +p4456 +tp4457 +a(g29 +V# given enumerable object. +p4458 +tp4459 +a(g180 +V\u000a +p4460 +tp4461 +a(g6 +Vdef +p4462 +tp4463 +a(g180 +V +tp4464 +a(g46 +V| +tp4465 +a(g193 +V( +tp4466 +a(g43 +Venum +p4467 +tp4468 +a(g193 +V) +tp4469 +a(g180 +V\u000a +p4470 +tp4471 +a(g43 +Venum +p4472 +tp4473 +a(g334 +V. +tp4474 +a(g43 +Vis_a? +p4475 +tp4476 +a(g193 +V( +tp4477 +a(g57 +VEnumerable +p4478 +tp4479 +a(g193 +V) +tp4480 +a(g180 +V +tp4481 +a(g338 +Vor +p4482 +tp4483 +a(g180 +V +tp4484 +a(g6 +Vraise +p4485 +tp4486 +a(g180 +V +tp4487 +a(g57 +VArgumentError +p4488 +tp4489 +a(g193 +V, +tp4490 +a(g180 +V +tp4491 +a(g236 +V" +tp4492 +a(g236 +Vvalue must be enumerable +p4493 +tp4494 +a(g236 +V" +tp4495 +a(g180 +V\u000a +p4496 +tp4497 +a(g81 +Vdup +p4498 +tp4499 +a(g334 +V. +tp4500 +a(g43 +Vmerge +p4501 +tp4502 +a(g193 +V( +tp4503 +a(g43 +Venum +p4504 +tp4505 +a(g193 +V) +tp4506 +a(g180 +V\u000a +p4507 +tp4508 +a(g6 +Vend +p4509 +tp4510 +a(g180 +V\u000a +p4511 +tp4512 +a(g6 +Valias +p4513 +tp4514 +a(g180 +V +tp4515 +a(g334 +V+ +tp4516 +a(g180 +V +tp4517 +a(g334 +V| +tp4518 +a(g180 +V +p4519 +tp4520 +a(g29 +V## +p4521 +tp4522 +a(g180 +V\u000a +p4523 +tp4524 +a(g6 +Valias +p4525 +tp4526 +a(g180 +V +tp4527 +a(g43 +Vunion +p4528 +tp4529 +a(g180 +V +tp4530 +a(g334 +V| +tp4531 +a(g180 +V +p4532 +tp4533 +a(g29 +V## +p4534 +tp4535 +a(g180 +V\u000a\u000a +p4536 +tp4537 +a(g29 +V# Returns a new set built by duplicating the set, removing every +p4538 +tp4539 +a(g180 +V\u000a +p4540 +tp4541 +a(g29 +V# element that appears in the given enumerable object. +p4542 +tp4543 +a(g180 +V\u000a +p4544 +tp4545 +a(g6 +Vdef +p4546 +tp4547 +a(g180 +V +tp4548 +a(g46 +V- +tp4549 +a(g193 +V( +tp4550 +a(g43 +Venum +p4551 +tp4552 +a(g193 +V) +tp4553 +a(g180 +V\u000a +p4554 +tp4555 +a(g43 +Venum +p4556 +tp4557 +a(g334 +V. +tp4558 +a(g43 +Vis_a? +p4559 +tp4560 +a(g193 +V( +tp4561 +a(g57 +VEnumerable +p4562 +tp4563 +a(g193 +V) +tp4564 +a(g180 +V +tp4565 +a(g338 +Vor +p4566 +tp4567 +a(g180 +V +tp4568 +a(g6 +Vraise +p4569 +tp4570 +a(g180 +V +tp4571 +a(g57 +VArgumentError +p4572 +tp4573 +a(g193 +V, +tp4574 +a(g180 +V +tp4575 +a(g236 +V" +tp4576 +a(g236 +Vvalue must be enumerable +p4577 +tp4578 +a(g236 +V" +tp4579 +a(g180 +V\u000a +p4580 +tp4581 +a(g81 +Vdup +p4582 +tp4583 +a(g334 +V. +tp4584 +a(g43 +Vsubtract +p4585 +tp4586 +a(g193 +V( +tp4587 +a(g43 +Venum +p4588 +tp4589 +a(g193 +V) +tp4590 +a(g180 +V\u000a +p4591 +tp4592 +a(g6 +Vend +p4593 +tp4594 +a(g180 +V\u000a +p4595 +tp4596 +a(g6 +Valias +p4597 +tp4598 +a(g180 +V +tp4599 +a(g43 +Vdifference +p4600 +tp4601 +a(g180 +V +tp4602 +a(g334 +V- +tp4603 +a(g180 +V +tp4604 +a(g29 +V## +p4605 +tp4606 +a(g180 +V\u000a\u000a +p4607 +tp4608 +a(g29 +V# Returns a new array containing elements common to the set and the +p4609 +tp4610 +a(g180 +V\u000a +p4611 +tp4612 +a(g29 +V# given enumerable object. +p4613 +tp4614 +a(g180 +V\u000a +p4615 +tp4616 +a(g6 +Vdef +p4617 +tp4618 +a(g180 +V +tp4619 +a(g46 +V& +tp4620 +a(g193 +V( +tp4621 +a(g43 +Venum +p4622 +tp4623 +a(g193 +V) +tp4624 +a(g180 +V\u000a +p4625 +tp4626 +a(g43 +Venum +p4627 +tp4628 +a(g334 +V. +tp4629 +a(g43 +Vis_a? +p4630 +tp4631 +a(g193 +V( +tp4632 +a(g57 +VEnumerable +p4633 +tp4634 +a(g193 +V) +tp4635 +a(g180 +V +tp4636 +a(g338 +Vor +p4637 +tp4638 +a(g180 +V +tp4639 +a(g6 +Vraise +p4640 +tp4641 +a(g180 +V +tp4642 +a(g57 +VArgumentError +p4643 +tp4644 +a(g193 +V, +tp4645 +a(g180 +V +tp4646 +a(g236 +V" +tp4647 +a(g236 +Vvalue must be enumerable +p4648 +tp4649 +a(g236 +V" +tp4650 +a(g180 +V\u000a +p4651 +tp4652 +a(g43 +Vn +tp4653 +a(g180 +V +tp4654 +a(g334 +V= +tp4655 +a(g180 +V +tp4656 +a(g81 +Vself +p4657 +tp4658 +a(g334 +V. +tp4659 +a(g43 +Vclass +p4660 +tp4661 +a(g334 +V. +tp4662 +a(g43 +Vnew +p4663 +tp4664 +a(g180 +V\u000a +p4665 +tp4666 +a(g43 +Venum +p4667 +tp4668 +a(g334 +V. +tp4669 +a(g43 +Veach +p4670 +tp4671 +a(g180 +V +tp4672 +a(g193 +V{ +tp4673 +a(g180 +V +tp4674 +a(g334 +V| +tp4675 +a(g43 +Vo +tp4676 +a(g334 +V| +tp4677 +a(g180 +V +tp4678 +a(g43 +Vn +tp4679 +a(g334 +V. +tp4680 +a(g43 +Vadd +p4681 +tp4682 +a(g193 +V( +tp4683 +a(g43 +Vo +tp4684 +a(g193 +V) +tp4685 +a(g180 +V +tp4686 +a(g6 +Vif +p4687 +tp4688 +a(g180 +V +tp4689 +a(g347 +Vinclude +p4690 +tp4691 +a(g193 +V? +tp4692 +a(g193 +V( +tp4693 +a(g43 +Vo +tp4694 +a(g193 +V) +tp4695 +a(g180 +V +tp4696 +a(g193 +V} +tp4697 +a(g180 +V\u000a +p4698 +tp4699 +a(g43 +Vn +tp4700 +a(g180 +V\u000a +p4701 +tp4702 +a(g6 +Vend +p4703 +tp4704 +a(g180 +V\u000a +p4705 +tp4706 +a(g6 +Valias +p4707 +tp4708 +a(g180 +V +tp4709 +a(g43 +Vintersection +p4710 +tp4711 +a(g180 +V +tp4712 +a(g334 +V& +tp4713 +a(g180 +V +tp4714 +a(g29 +V## +p4715 +tp4716 +a(g180 +V\u000a\u000a +p4717 +tp4718 +a(g29 +V# Returns a new array containing elements exclusive between the set +p4719 +tp4720 +a(g180 +V\u000a +p4721 +tp4722 +a(g29 +V# and the given enumerable object. (set ^ enum) is equivalent to +p4723 +tp4724 +a(g180 +V\u000a +p4725 +tp4726 +a(g29 +V# ((set | enum) - (set & enum)). +p4727 +tp4728 +a(g180 +V\u000a +p4729 +tp4730 +a(g6 +Vdef +p4731 +tp4732 +a(g180 +V +tp4733 +a(g46 +V^ +tp4734 +a(g193 +V( +tp4735 +a(g43 +Venum +p4736 +tp4737 +a(g193 +V) +tp4738 +a(g180 +V\u000a +p4739 +tp4740 +a(g43 +Venum +p4741 +tp4742 +a(g334 +V. +tp4743 +a(g43 +Vis_a? +p4744 +tp4745 +a(g193 +V( +tp4746 +a(g57 +VEnumerable +p4747 +tp4748 +a(g193 +V) +tp4749 +a(g180 +V +tp4750 +a(g338 +Vor +p4751 +tp4752 +a(g180 +V +tp4753 +a(g6 +Vraise +p4754 +tp4755 +a(g180 +V +tp4756 +a(g57 +VArgumentError +p4757 +tp4758 +a(g193 +V, +tp4759 +a(g180 +V +tp4760 +a(g236 +V" +tp4761 +a(g236 +Vvalue must be enumerable +p4762 +tp4763 +a(g236 +V" +tp4764 +a(g180 +V\u000a +p4765 +tp4766 +a(g43 +Vn +tp4767 +a(g180 +V +tp4768 +a(g334 +V= +tp4769 +a(g180 +V +tp4770 +a(g81 +Vdup +p4771 +tp4772 +a(g180 +V\u000a +p4773 +tp4774 +a(g43 +Venum +p4775 +tp4776 +a(g334 +V. +tp4777 +a(g43 +Veach +p4778 +tp4779 +a(g180 +V +tp4780 +a(g193 +V{ +tp4781 +a(g180 +V +tp4782 +a(g334 +V| +tp4783 +a(g43 +Vo +tp4784 +a(g334 +V| +tp4785 +a(g180 +V +tp4786 +a(g6 +Vif +p4787 +tp4788 +a(g180 +V +tp4789 +a(g43 +Vn +tp4790 +a(g334 +V. +tp4791 +a(g43 +Vinclude? +p4792 +tp4793 +a(g193 +V( +tp4794 +a(g43 +Vo +tp4795 +a(g193 +V) +tp4796 +a(g180 +V +tp4797 +a(g6 +Vthen +p4798 +tp4799 +a(g180 +V +tp4800 +a(g43 +Vn +tp4801 +a(g334 +V. +tp4802 +a(g43 +Vdelete +p4803 +tp4804 +a(g193 +V( +tp4805 +a(g43 +Vo +tp4806 +a(g193 +V) +tp4807 +a(g180 +V +tp4808 +a(g6 +Velse +p4809 +tp4810 +a(g180 +V +tp4811 +a(g43 +Vn +tp4812 +a(g334 +V. +tp4813 +a(g43 +Vadd +p4814 +tp4815 +a(g193 +V( +tp4816 +a(g43 +Vo +tp4817 +a(g193 +V) +tp4818 +a(g180 +V +tp4819 +a(g6 +Vend +p4820 +tp4821 +a(g180 +V +tp4822 +a(g193 +V} +tp4823 +a(g180 +V\u000a +p4824 +tp4825 +a(g43 +Vn +tp4826 +a(g180 +V\u000a +p4827 +tp4828 +a(g6 +Vend +p4829 +tp4830 +a(g180 +V\u000a\u000a +p4831 +tp4832 +a(g29 +V# Returns true if two sets are equal. The equality of each couple +p4833 +tp4834 +a(g180 +V\u000a +p4835 +tp4836 +a(g29 +V# of elements is defined according to Object#eql?. +p4837 +tp4838 +a(g180 +V\u000a +p4839 +tp4840 +a(g6 +Vdef +p4841 +tp4842 +a(g180 +V +tp4843 +a(g46 +V== +p4844 +tp4845 +a(g193 +V( +tp4846 +a(g43 +Vset +p4847 +tp4848 +a(g193 +V) +tp4849 +a(g180 +V\u000a +p4850 +tp4851 +a(g81 +Vequal? +p4852 +tp4853 +a(g193 +V( +tp4854 +a(g43 +Vset +p4855 +tp4856 +a(g193 +V) +tp4857 +a(g180 +V +tp4858 +a(g338 +Vand +p4859 +tp4860 +a(g180 +V +tp4861 +a(g6 +Vreturn +p4862 +tp4863 +a(g180 +V +tp4864 +a(g347 +Vtrue +p4865 +tp4866 +a(g180 +V\u000a\u000a +p4867 +tp4868 +a(g43 +Vset +p4869 +tp4870 +a(g334 +V. +tp4871 +a(g43 +Vis_a? +p4872 +tp4873 +a(g193 +V( +tp4874 +a(g57 +VSet +p4875 +tp4876 +a(g193 +V) +tp4877 +a(g180 +V +tp4878 +a(g334 +V&& +p4879 +tp4880 +a(g180 +V +tp4881 +a(g43 +Vsize +p4882 +tp4883 +a(g180 +V +tp4884 +a(g334 +V== +p4885 +tp4886 +a(g180 +V +tp4887 +a(g43 +Vset +p4888 +tp4889 +a(g334 +V. +tp4890 +a(g43 +Vsize +p4891 +tp4892 +a(g180 +V +tp4893 +a(g338 +Vor +p4894 +tp4895 +a(g180 +V +tp4896 +a(g6 +Vreturn +p4897 +tp4898 +a(g180 +V +tp4899 +a(g347 +Vfalse +p4900 +tp4901 +a(g180 +V\u000a\u000a +p4902 +tp4903 +a(g81 +Vhash +p4904 +tp4905 +a(g180 +V +tp4906 +a(g334 +V= +tp4907 +a(g180 +V +tp4908 +a(g104 +V@hash +p4909 +tp4910 +a(g334 +V. +tp4911 +a(g43 +Vdup +p4912 +tp4913 +a(g180 +V\u000a +p4914 +tp4915 +a(g43 +Vset +p4916 +tp4917 +a(g334 +V. +tp4918 +a(g43 +Vall? +p4919 +tp4920 +a(g180 +V +tp4921 +a(g193 +V{ +tp4922 +a(g180 +V +tp4923 +a(g334 +V| +tp4924 +a(g43 +Vo +tp4925 +a(g334 +V| +tp4926 +a(g180 +V +tp4927 +a(g81 +Vhash +p4928 +tp4929 +a(g334 +V. +tp4930 +a(g43 +Vinclude? +p4931 +tp4932 +a(g193 +V( +tp4933 +a(g43 +Vo +tp4934 +a(g193 +V) +tp4935 +a(g180 +V +tp4936 +a(g193 +V} +tp4937 +a(g180 +V\u000a +p4938 +tp4939 +a(g6 +Vend +p4940 +tp4941 +a(g180 +V\u000a\u000a +p4942 +tp4943 +a(g6 +Vdef +p4944 +tp4945 +a(g180 +V +tp4946 +a(g46 +Vhash +p4947 +tp4948 +a(g180 +V +tp4949 +a(g29 +V# :nodoc: +p4950 +tp4951 +a(g180 +V\u000a +p4952 +tp4953 +a(g104 +V@hash +p4954 +tp4955 +a(g334 +V. +tp4956 +a(g43 +Vhash +p4957 +tp4958 +a(g180 +V\u000a +p4959 +tp4960 +a(g6 +Vend +p4961 +tp4962 +a(g180 +V\u000a\u000a +p4963 +tp4964 +a(g6 +Vdef +p4965 +tp4966 +a(g180 +V +tp4967 +a(g46 +Veql? +p4968 +tp4969 +a(g193 +V( +tp4970 +a(g43 +Vo +tp4971 +a(g193 +V) +tp4972 +a(g180 +V +tp4973 +a(g29 +V# :nodoc: +p4974 +tp4975 +a(g180 +V\u000a +p4976 +tp4977 +a(g6 +Vreturn +p4978 +tp4979 +a(g180 +V +tp4980 +a(g347 +Vfalse +p4981 +tp4982 +a(g180 +V +tp4983 +a(g6 +Vunless +p4984 +tp4985 +a(g180 +V +tp4986 +a(g43 +Vo +tp4987 +a(g334 +V. +tp4988 +a(g43 +Vis_a? +p4989 +tp4990 +a(g193 +V( +tp4991 +a(g57 +VSet +p4992 +tp4993 +a(g193 +V) +tp4994 +a(g180 +V\u000a +p4995 +tp4996 +a(g104 +V@hash +p4997 +tp4998 +a(g334 +V. +tp4999 +a(g43 +Veql? +p5000 +tp5001 +a(g193 +V( +tp5002 +a(g43 +Vo +tp5003 +a(g334 +V. +tp5004 +a(g43 +Vinstance_eval +p5005 +tp5006 +a(g193 +V{ +tp5007 +a(g104 +V@hash +p5008 +tp5009 +a(g193 +V} +tp5010 +a(g193 +V) +tp5011 +a(g180 +V\u000a +p5012 +tp5013 +a(g6 +Vend +p5014 +tp5015 +a(g180 +V\u000a\u000a +p5016 +tp5017 +a(g29 +V# Classifies the set by the return value of the given block and +p5018 +tp5019 +a(g180 +V\u000a +p5020 +tp5021 +a(g29 +V# returns a hash of {value => set of elements} pairs. The block is +p5022 +tp5023 +a(g180 +V\u000a +p5024 +tp5025 +a(g29 +V# called once for each element of the set, passing the element as +p5026 +tp5027 +a(g180 +V\u000a +p5028 +tp5029 +a(g29 +V# parameter. +p5030 +tp5031 +a(g180 +V\u000a +p5032 +tp5033 +a(g29 +V# +tp5034 +a(g180 +V\u000a +p5035 +tp5036 +a(g29 +V# e.g.: +p5037 +tp5038 +a(g180 +V\u000a +p5039 +tp5040 +a(g29 +V# +tp5041 +a(g180 +V\u000a +p5042 +tp5043 +a(g29 +V# require 'set' +p5044 +tp5045 +a(g180 +V\u000a +p5046 +tp5047 +a(g29 +V# files = Set.new(Dir.glob("*.rb")) +p5048 +tp5049 +a(g180 +V\u000a +p5050 +tp5051 +a(g29 +V# hash = files.classify { |f| File.mtime(f).year } +p5052 +tp5053 +a(g180 +V\u000a +p5054 +tp5055 +a(g29 +V# p hash # => {2000=>#, +p5056 +tp5057 +a(g180 +V\u000a +p5058 +tp5059 +a(g29 +V# # 2001=>#, +p5060 +tp5061 +a(g180 +V\u000a +p5062 +tp5063 +a(g29 +V# # 2002=>#} +p5064 +tp5065 +a(g180 +V\u000a +p5066 +tp5067 +a(g6 +Vdef +p5068 +tp5069 +a(g180 +V +tp5070 +a(g46 +Vclassify +p5071 +tp5072 +a(g180 +V +tp5073 +a(g29 +V# :yields: o +p5074 +tp5075 +a(g180 +V\u000a +p5076 +tp5077 +a(g43 +Vh +tp5078 +a(g180 +V +tp5079 +a(g334 +V= +tp5080 +a(g180 +V +tp5081 +a(g193 +V{ +tp5082 +a(g193 +V} +tp5083 +a(g180 +V\u000a\u000a +p5084 +tp5085 +a(g43 +Veach +p5086 +tp5087 +a(g180 +V +tp5088 +a(g193 +V{ +tp5089 +a(g180 +V +tp5090 +a(g334 +V| +tp5091 +a(g43 +Vi +tp5092 +a(g334 +V| +tp5093 +a(g180 +V\u000a +p5094 +tp5095 +a(g43 +Vx +tp5096 +a(g180 +V +tp5097 +a(g334 +V= +tp5098 +a(g180 +V +tp5099 +a(g6 +Vyield +p5100 +tp5101 +a(g193 +V( +tp5102 +a(g43 +Vi +tp5103 +a(g193 +V) +tp5104 +a(g180 +V\u000a +p5105 +tp5106 +a(g193 +V( +tp5107 +a(g43 +Vh +tp5108 +a(g334 +V[ +tp5109 +a(g43 +Vx +tp5110 +a(g334 +V] +tp5111 +a(g180 +V +tp5112 +a(g334 +V|| +p5113 +tp5114 +a(g334 +V= +tp5115 +a(g180 +V +tp5116 +a(g81 +Vself +p5117 +tp5118 +a(g334 +V. +tp5119 +a(g43 +Vclass +p5120 +tp5121 +a(g334 +V. +tp5122 +a(g43 +Vnew +p5123 +tp5124 +a(g193 +V) +tp5125 +a(g334 +V. +tp5126 +a(g43 +Vadd +p5127 +tp5128 +a(g193 +V( +tp5129 +a(g43 +Vi +tp5130 +a(g193 +V) +tp5131 +a(g180 +V\u000a +p5132 +tp5133 +a(g193 +V} +tp5134 +a(g180 +V\u000a\u000a +p5135 +tp5136 +a(g43 +Vh +tp5137 +a(g180 +V\u000a +p5138 +tp5139 +a(g6 +Vend +p5140 +tp5141 +a(g180 +V\u000a\u000a +p5142 +tp5143 +a(g29 +V# Divides the set into a set of subsets according to the commonality +p5144 +tp5145 +a(g180 +V\u000a +p5146 +tp5147 +a(g29 +V# defined by the given block. +p5148 +tp5149 +a(g180 +V\u000a +p5150 +tp5151 +a(g29 +V# +tp5152 +a(g180 +V\u000a +p5153 +tp5154 +a(g29 +V# If the arity of the block is 2, elements o1 and o2 are in common +p5155 +tp5156 +a(g180 +V\u000a +p5157 +tp5158 +a(g29 +V# if block.call(o1, o2) is true. Otherwise, elements o1 and o2 are +p5159 +tp5160 +a(g180 +V\u000a +p5161 +tp5162 +a(g29 +V# in common if block.call(o1) == block.call(o2). +p5163 +tp5164 +a(g180 +V\u000a +p5165 +tp5166 +a(g29 +V# +tp5167 +a(g180 +V\u000a +p5168 +tp5169 +a(g29 +V# e.g.: +p5170 +tp5171 +a(g180 +V\u000a +p5172 +tp5173 +a(g29 +V# +tp5174 +a(g180 +V\u000a +p5175 +tp5176 +a(g29 +V# require 'set' +p5177 +tp5178 +a(g180 +V\u000a +p5179 +tp5180 +a(g29 +V# numbers = Set[1, 3, 4, 6, 9, 10, 11] +p5181 +tp5182 +a(g180 +V\u000a +p5183 +tp5184 +a(g29 +V# set = numbers.divide { |i,j| (i - j).abs == 1 } +p5185 +tp5186 +a(g180 +V\u000a +p5187 +tp5188 +a(g29 +V# p set # => #, +p5189 +tp5190 +a(g180 +V\u000a +p5191 +tp5192 +a(g29 +V# # #, +p5193 +tp5194 +a(g180 +V\u000a +p5195 +tp5196 +a(g29 +V# # #, +p5197 +tp5198 +a(g180 +V\u000a +p5199 +tp5200 +a(g29 +V# # #}> +p5201 +tp5202 +a(g180 +V\u000a +p5203 +tp5204 +a(g6 +Vdef +p5205 +tp5206 +a(g180 +V +tp5207 +a(g46 +Vdivide +p5208 +tp5209 +a(g193 +V( +tp5210 +a(g334 +V& +tp5211 +a(g43 +Vfunc +p5212 +tp5213 +a(g193 +V) +tp5214 +a(g180 +V\u000a +p5215 +tp5216 +a(g6 +Vif +p5217 +tp5218 +a(g180 +V +tp5219 +a(g43 +Vfunc +p5220 +tp5221 +a(g334 +V. +tp5222 +a(g43 +Varity +p5223 +tp5224 +a(g180 +V +tp5225 +a(g334 +V== +p5226 +tp5227 +a(g180 +V +tp5228 +a(g309 +V2 +tp5229 +a(g180 +V\u000a +p5230 +tp5231 +a(g81 +Vrequire +p5232 +tp5233 +a(g180 +V +tp5234 +a(g262 +V'tsort' +p5235 +tp5236 +a(g180 +V\u000a\u000a +p5237 +tp5238 +a(g6 +Vclass +p5239 +tp5240 +a(g180 +V +tp5241 +a(g334 +V<< +p5242 +tp5243 +a(g180 +V +tp5244 +a(g43 +Vdig +p5245 +tp5246 +a(g180 +V +tp5247 +a(g334 +V= +tp5248 +a(g180 +V +tp5249 +a(g193 +V{ +tp5250 +a(g193 +V} +tp5251 +a(g180 +V +p5252 +tp5253 +a(g29 +V# :nodoc: +p5254 +tp5255 +a(g180 +V\u000a +p5256 +tp5257 +a(g347 +Vinclude +p5258 +tp5259 +a(g180 +V +tp5260 +a(g57 +VTSort +p5261 +tp5262 +a(g180 +V\u000a\u000a +p5263 +tp5264 +a(g6 +Valias +p5265 +tp5266 +a(g180 +V +tp5267 +a(g43 +Vtsort_each_node +p5268 +tp5269 +a(g180 +V +tp5270 +a(g43 +Veach_key +p5271 +tp5272 +a(g180 +V\u000a +p5273 +tp5274 +a(g6 +Vdef +p5275 +tp5276 +a(g180 +V +tp5277 +a(g46 +Vtsort_each_child +p5278 +tp5279 +a(g193 +V( +tp5280 +a(g43 +Vnode +p5281 +tp5282 +a(g193 +V, +tp5283 +a(g180 +V +tp5284 +a(g334 +V& +tp5285 +a(g43 +Vblock +p5286 +tp5287 +a(g193 +V) +tp5288 +a(g180 +V\u000a +p5289 +tp5290 +a(g43 +Vfetch +p5291 +tp5292 +a(g193 +V( +tp5293 +a(g43 +Vnode +p5294 +tp5295 +a(g193 +V) +tp5296 +a(g334 +V. +tp5297 +a(g43 +Veach +p5298 +tp5299 +a(g193 +V( +tp5300 +a(g334 +V& +tp5301 +a(g43 +Vblock +p5302 +tp5303 +a(g193 +V) +tp5304 +a(g180 +V\u000a +p5305 +tp5306 +a(g6 +Vend +p5307 +tp5308 +a(g180 +V\u000a +p5309 +tp5310 +a(g6 +Vend +p5311 +tp5312 +a(g180 +V\u000a\u000a +p5313 +tp5314 +a(g43 +Veach +p5315 +tp5316 +a(g180 +V +tp5317 +a(g193 +V{ +tp5318 +a(g180 +V +tp5319 +a(g334 +V| +tp5320 +a(g43 +Vu +tp5321 +a(g334 +V| +tp5322 +a(g180 +V\u000a +p5323 +tp5324 +a(g43 +Vdig +p5325 +tp5326 +a(g334 +V[ +tp5327 +a(g43 +Vu +tp5328 +a(g334 +V] +tp5329 +a(g180 +V +tp5330 +a(g334 +V= +tp5331 +a(g180 +V +tp5332 +a(g43 +Va +tp5333 +a(g180 +V +tp5334 +a(g334 +V= +tp5335 +a(g180 +V +tp5336 +a(g334 +V[ +tp5337 +a(g334 +V] +tp5338 +a(g180 +V\u000a +p5339 +tp5340 +a(g43 +Veach +p5341 +tp5342 +a(g193 +V{ +tp5343 +a(g180 +V +tp5344 +a(g334 +V| +tp5345 +a(g43 +Vv +tp5346 +a(g334 +V| +tp5347 +a(g180 +V +tp5348 +a(g43 +Vfunc +p5349 +tp5350 +a(g334 +V. +tp5351 +a(g43 +Vcall +p5352 +tp5353 +a(g193 +V( +tp5354 +a(g43 +Vu +tp5355 +a(g193 +V, +tp5356 +a(g180 +V +tp5357 +a(g43 +Vv +tp5358 +a(g193 +V) +tp5359 +a(g180 +V +tp5360 +a(g338 +Vand +p5361 +tp5362 +a(g180 +V +tp5363 +a(g43 +Va +tp5364 +a(g180 +V +tp5365 +a(g334 +V<< +p5366 +tp5367 +a(g180 +V +tp5368 +a(g43 +Vv +tp5369 +a(g180 +V +tp5370 +a(g193 +V} +tp5371 +a(g180 +V\u000a +p5372 +tp5373 +a(g193 +V} +tp5374 +a(g180 +V\u000a\u000a +p5375 +tp5376 +a(g43 +Vset +p5377 +tp5378 +a(g180 +V +tp5379 +a(g334 +V= +tp5380 +a(g180 +V +tp5381 +a(g57 +VSet +p5382 +tp5383 +a(g334 +V. +tp5384 +a(g43 +Vnew +p5385 +tp5386 +a(g193 +V( +tp5387 +a(g193 +V) +tp5388 +a(g180 +V\u000a +p5389 +tp5390 +a(g43 +Vdig +p5391 +tp5392 +a(g334 +V. +tp5393 +a(g43 +Veach_strongly_connected_component +p5394 +tp5395 +a(g180 +V +tp5396 +a(g193 +V{ +tp5397 +a(g180 +V +tp5398 +a(g334 +V| +tp5399 +a(g43 +Vcss +p5400 +tp5401 +a(g334 +V| +tp5402 +a(g180 +V\u000a +p5403 +tp5404 +a(g43 +Vset +p5405 +tp5406 +a(g334 +V. +tp5407 +a(g43 +Vadd +p5408 +tp5409 +a(g193 +V( +tp5410 +a(g81 +Vself +p5411 +tp5412 +a(g334 +V. +tp5413 +a(g43 +Vclass +p5414 +tp5415 +a(g334 +V. +tp5416 +a(g43 +Vnew +p5417 +tp5418 +a(g193 +V( +tp5419 +a(g43 +Vcss +p5420 +tp5421 +a(g193 +V) +tp5422 +a(g193 +V) +tp5423 +a(g180 +V\u000a +p5424 +tp5425 +a(g193 +V} +tp5426 +a(g180 +V\u000a +p5427 +tp5428 +a(g43 +Vset +p5429 +tp5430 +a(g180 +V\u000a +p5431 +tp5432 +a(g6 +Velse +p5433 +tp5434 +a(g180 +V\u000a +p5435 +tp5436 +a(g57 +VSet +p5437 +tp5438 +a(g334 +V. +tp5439 +a(g43 +Vnew +p5440 +tp5441 +a(g193 +V( +tp5442 +a(g43 +Vclassify +p5443 +tp5444 +a(g193 +V( +tp5445 +a(g334 +V& +tp5446 +a(g43 +Vfunc +p5447 +tp5448 +a(g193 +V) +tp5449 +a(g334 +V. +tp5450 +a(g43 +Vvalues +p5451 +tp5452 +a(g193 +V) +tp5453 +a(g180 +V\u000a +p5454 +tp5455 +a(g6 +Vend +p5456 +tp5457 +a(g180 +V\u000a +p5458 +tp5459 +a(g6 +Vend +p5460 +tp5461 +a(g180 +V\u000a\u000a +p5462 +tp5463 +a(g57 +VInspectKey +p5464 +tp5465 +a(g180 +V +tp5466 +a(g334 +V= +tp5467 +a(g180 +V +tp5468 +a(g239 +V:__inspect_key__ +p5469 +tp5470 +a(g180 +V +p5471 +tp5472 +a(g29 +V# :nodoc: +p5473 +tp5474 +a(g180 +V\u000a\u000a +p5475 +tp5476 +a(g29 +V# Returns a string containing a human-readable representation of the +p5477 +tp5478 +a(g180 +V\u000a +p5479 +tp5480 +a(g29 +V# set. ("#") +p5481 +tp5482 +a(g180 +V\u000a +p5483 +tp5484 +a(g6 +Vdef +p5485 +tp5486 +a(g180 +V +tp5487 +a(g46 +Vinspect +p5488 +tp5489 +a(g180 +V\u000a +p5490 +tp5491 +a(g43 +Vids +p5492 +tp5493 +a(g180 +V +tp5494 +a(g334 +V= +tp5495 +a(g180 +V +tp5496 +a(g193 +V( +tp5497 +a(g57 +VThread +p5498 +tp5499 +a(g334 +V. +tp5500 +a(g43 +Vcurrent +p5501 +tp5502 +a(g334 +V[ +tp5503 +a(g57 +VInspectKey +p5504 +tp5505 +a(g334 +V] +tp5506 +a(g180 +V +tp5507 +a(g334 +V|| +p5508 +tp5509 +a(g334 +V= +tp5510 +a(g180 +V +tp5511 +a(g334 +V[ +tp5512 +a(g334 +V] +tp5513 +a(g193 +V) +tp5514 +a(g180 +V\u000a\u000a +p5515 +tp5516 +a(g6 +Vif +p5517 +tp5518 +a(g180 +V +tp5519 +a(g43 +Vids +p5520 +tp5521 +a(g334 +V. +tp5522 +a(g43 +Vinclude? +p5523 +tp5524 +a(g193 +V( +tp5525 +a(g81 +Vobject_id +p5526 +tp5527 +a(g193 +V) +tp5528 +a(g180 +V\u000a +p5529 +tp5530 +a(g6 +Vreturn +p5531 +tp5532 +a(g180 +V +tp5533 +a(g81 +Vsprintf +p5534 +tp5535 +a(g193 +V( +tp5536 +a(g262 +V'#<%s: {...}>' +p5537 +tp5538 +a(g193 +V, +tp5539 +a(g180 +V +tp5540 +a(g81 +Vself +p5541 +tp5542 +a(g334 +V. +tp5543 +a(g43 +Vclass +p5544 +tp5545 +a(g334 +V. +tp5546 +a(g43 +Vname +p5547 +tp5548 +a(g193 +V) +tp5549 +a(g180 +V\u000a +p5550 +tp5551 +a(g6 +Vend +p5552 +tp5553 +a(g180 +V\u000a\u000a +p5554 +tp5555 +a(g6 +Vbegin +p5556 +tp5557 +a(g180 +V\u000a +p5558 +tp5559 +a(g43 +Vids +p5560 +tp5561 +a(g180 +V +tp5562 +a(g334 +V<< +p5563 +tp5564 +a(g180 +V +tp5565 +a(g81 +Vobject_id +p5566 +tp5567 +a(g180 +V\u000a +p5568 +tp5569 +a(g6 +Vreturn +p5570 +tp5571 +a(g180 +V +tp5572 +a(g81 +Vsprintf +p5573 +tp5574 +a(g193 +V( +tp5575 +a(g262 +V'#<%s: {%s}>' +p5576 +tp5577 +a(g193 +V, +tp5578 +a(g180 +V +tp5579 +a(g81 +Vself +p5580 +tp5581 +a(g334 +V. +tp5582 +a(g43 +Vclass +p5583 +tp5584 +a(g193 +V, +tp5585 +a(g180 +V +tp5586 +a(g81 +Vto_a +p5587 +tp5588 +a(g334 +V. +tp5589 +a(g43 +Vinspect +p5590 +tp5591 +a(g334 +V[ +tp5592 +a(g309 +V1 +tp5593 +a(g334 +V. +tp5594 +a(g43 +V. +tp5595 +a(g334 +V- +tp5596 +a(g309 +V2 +tp5597 +a(g334 +V] +tp5598 +a(g193 +V) +tp5599 +a(g180 +V\u000a +p5600 +tp5601 +a(g6 +Vensure +p5602 +tp5603 +a(g180 +V\u000a +p5604 +tp5605 +a(g43 +Vids +p5606 +tp5607 +a(g334 +V. +tp5608 +a(g43 +Vpop +p5609 +tp5610 +a(g180 +V\u000a +p5611 +tp5612 +a(g6 +Vend +p5613 +tp5614 +a(g180 +V\u000a +p5615 +tp5616 +a(g6 +Vend +p5617 +tp5618 +a(g180 +V\u000a\u000a +p5619 +tp5620 +a(g6 +Vdef +p5621 +tp5622 +a(g180 +V +tp5623 +a(g46 +Vpretty_print +p5624 +tp5625 +a(g193 +V( +tp5626 +a(g43 +Vpp +p5627 +tp5628 +a(g193 +V) +tp5629 +a(g180 +V +tp5630 +a(g29 +V# :nodoc: +p5631 +tp5632 +a(g180 +V\u000a +p5633 +tp5634 +a(g43 +Vpp +p5635 +tp5636 +a(g334 +V. +tp5637 +a(g43 +Vtext +p5638 +tp5639 +a(g180 +V +tp5640 +a(g81 +Vsprintf +p5641 +tp5642 +a(g193 +V( +tp5643 +a(g262 +V'#<%s: {' +p5644 +tp5645 +a(g193 +V, +tp5646 +a(g180 +V +tp5647 +a(g81 +Vself +p5648 +tp5649 +a(g334 +V. +tp5650 +a(g43 +Vclass +p5651 +tp5652 +a(g334 +V. +tp5653 +a(g43 +Vname +p5654 +tp5655 +a(g193 +V) +tp5656 +a(g180 +V\u000a +p5657 +tp5658 +a(g43 +Vpp +p5659 +tp5660 +a(g334 +V. +tp5661 +a(g43 +Vnest +p5662 +tp5663 +a(g193 +V( +tp5664 +a(g309 +V1 +tp5665 +a(g193 +V) +tp5666 +a(g180 +V +tp5667 +a(g193 +V{ +tp5668 +a(g180 +V\u000a +p5669 +tp5670 +a(g43 +Vpp +p5671 +tp5672 +a(g334 +V. +tp5673 +a(g43 +Vseplist +p5674 +tp5675 +a(g193 +V( +tp5676 +a(g81 +Vself +p5677 +tp5678 +a(g193 +V) +tp5679 +a(g180 +V +tp5680 +a(g193 +V{ +tp5681 +a(g180 +V +tp5682 +a(g334 +V| +tp5683 +a(g43 +Vo +tp5684 +a(g334 +V| +tp5685 +a(g180 +V\u000a +p5686 +tp5687 +a(g43 +Vpp +p5688 +tp5689 +a(g334 +V. +tp5690 +a(g43 +Vpp +p5691 +tp5692 +a(g180 +V +tp5693 +a(g43 +Vo +tp5694 +a(g180 +V\u000a +p5695 +tp5696 +a(g193 +V} +tp5697 +a(g180 +V\u000a +p5698 +tp5699 +a(g193 +V} +tp5700 +a(g180 +V\u000a +p5701 +tp5702 +a(g43 +Vpp +p5703 +tp5704 +a(g334 +V. +tp5705 +a(g43 +Vtext +p5706 +tp5707 +a(g180 +V +tp5708 +a(g236 +V" +tp5709 +a(g236 +V}> +p5710 +tp5711 +a(g236 +V" +tp5712 +a(g180 +V\u000a +p5713 +tp5714 +a(g6 +Vend +p5715 +tp5716 +a(g180 +V\u000a\u000a +p5717 +tp5718 +a(g6 +Vdef +p5719 +tp5720 +a(g180 +V +tp5721 +a(g46 +Vpretty_print_cycle +p5722 +tp5723 +a(g193 +V( +tp5724 +a(g43 +Vpp +p5725 +tp5726 +a(g193 +V) +tp5727 +a(g180 +V +tp5728 +a(g29 +V# :nodoc: +p5729 +tp5730 +a(g180 +V\u000a +p5731 +tp5732 +a(g43 +Vpp +p5733 +tp5734 +a(g334 +V. +tp5735 +a(g43 +Vtext +p5736 +tp5737 +a(g180 +V +tp5738 +a(g81 +Vsprintf +p5739 +tp5740 +a(g193 +V( +tp5741 +a(g262 +V'#<%s: {%s}>' +p5742 +tp5743 +a(g193 +V, +tp5744 +a(g180 +V +tp5745 +a(g81 +Vself +p5746 +tp5747 +a(g334 +V. +tp5748 +a(g43 +Vclass +p5749 +tp5750 +a(g334 +V. +tp5751 +a(g43 +Vname +p5752 +tp5753 +a(g193 +V, +tp5754 +a(g180 +V +tp5755 +a(g43 +Vempty? +p5756 +tp5757 +a(g180 +V +tp5758 +a(g193 +V? +tp5759 +a(g180 +V +tp5760 +a(g262 +V'' +p5761 +tp5762 +a(g180 +V +tp5763 +a(g193 +V: +tp5764 +a(g180 +V +tp5765 +a(g262 +V'...' +p5766 +tp5767 +a(g193 +V) +tp5768 +a(g180 +V\u000a +p5769 +tp5770 +a(g6 +Vend +p5771 +tp5772 +a(g180 +V\u000a +tp5773 +a(g6 +Vend +p5774 +tp5775 +a(g180 +V\u000a\u000a +p5776 +tp5777 +a(g29 +V# SortedSet implements a set which elements are sorted in order. See Set. +p5778 +tp5779 +a(g180 +V\u000a +tp5780 +a(g6 +Vclass +p5781 +tp5782 +a(g180 +V +tp5783 +a(g130 +VSortedSet +p5784 +tp5785 +a(g180 +V +tp5786 +a(g334 +V< +tp5787 +a(g180 +V +tp5788 +a(g57 +VSet +p5789 +tp5790 +a(g180 +V\u000a +p5791 +tp5792 +a(g113 +V@@setup +p5793 +tp5794 +a(g180 +V +tp5795 +a(g334 +V= +tp5796 +a(g180 +V +tp5797 +a(g347 +Vfalse +p5798 +tp5799 +a(g180 +V\u000a\u000a +p5800 +tp5801 +a(g6 +Vclass +p5802 +tp5803 +a(g180 +V +tp5804 +a(g334 +V<< +p5805 +tp5806 +a(g180 +V +tp5807 +a(g81 +Vself +p5808 +tp5809 +a(g180 +V\u000a +p5810 +tp5811 +a(g6 +Vdef +p5812 +tp5813 +a(g180 +V +tp5814 +a(g46 +V[] +p5815 +tp5816 +a(g193 +V( +tp5817 +a(g334 +V* +tp5818 +a(g43 +Vary +p5819 +tp5820 +a(g193 +V) +tp5821 +a(g180 +V +tp5822 +a(g29 +V# :nodoc: +p5823 +tp5824 +a(g180 +V\u000a +p5825 +tp5826 +a(g347 +Vnew +p5827 +tp5828 +a(g193 +V( +tp5829 +a(g43 +Vary +p5830 +tp5831 +a(g193 +V) +tp5832 +a(g180 +V\u000a +p5833 +tp5834 +a(g6 +Vend +p5835 +tp5836 +a(g180 +V\u000a\u000a +p5837 +tp5838 +a(g6 +Vdef +p5839 +tp5840 +a(g180 +V +tp5841 +a(g46 +Vsetup +p5842 +tp5843 +a(g180 +V +tp5844 +a(g29 +V# :nodoc: +p5845 +tp5846 +a(g180 +V\u000a +p5847 +tp5848 +a(g113 +V@@setup +p5849 +tp5850 +a(g180 +V +tp5851 +a(g338 +Vand +p5852 +tp5853 +a(g180 +V +tp5854 +a(g6 +Vreturn +p5855 +tp5856 +a(g180 +V\u000a\u000a +p5857 +tp5858 +a(g6 +Vbegin +p5859 +tp5860 +a(g180 +V\u000a +p5861 +tp5862 +a(g81 +Vrequire +p5863 +tp5864 +a(g180 +V +tp5865 +a(g262 +V'rbtree' +p5866 +tp5867 +a(g180 +V\u000a\u000a +p5868 +tp5869 +a(g81 +Vmodule_eval +p5870 +tp5871 +a(g180 +V +tp5872 +a(g265 +V%{ +p5873 +tp5874 +a(g265 +V\u000a def initialize(*args, &block)\u000a @hash = RBTree.new\u000a super\u000a end\u000a +p5875 +tp5876 +a(g265 +V} +tp5877 +a(g180 +V\u000a +p5878 +tp5879 +a(g6 +Vrescue +p5880 +tp5881 +a(g180 +V +tp5882 +a(g57 +VLoadError +p5883 +tp5884 +a(g180 +V\u000a +p5885 +tp5886 +a(g81 +Vmodule_eval +p5887 +tp5888 +a(g180 +V +tp5889 +a(g265 +V%{ +p5890 +tp5891 +a(g265 +V\u000a def initialize(*args, &block)\u000a @keys = nil\u000a super\u000a end\u000a\u000a def clear\u000a @keys = nil\u000a super\u000a end\u000a\u000a def replace(enum)\u000a @keys = nil\u000a super\u000a end\u000a\u000a def add(o)\u000a @keys = nil\u000a @hash[o] = true\u000a self\u000a end\u000a alias << add\u000a\u000a def delete(o)\u000a @keys = nil\u000a @hash.delete(o)\u000a self\u000a end\u000a\u000a def delete_if\u000a n = @hash.size\u000a @hash.delete_if +p5892 +tp5893 +a(g265 +V{ +tp5894 +a(g265 +V |o,| yield(o) +p5895 +tp5896 +a(g265 +V} +tp5897 +a(g265 +V\u000a @keys = nil if @hash.size != n\u000a self\u000a end\u000a\u000a def merge(enum)\u000a @keys = nil\u000a super\u000a end\u000a\u000a def each\u000a to_a.each +p5898 +tp5899 +a(g265 +V{ +tp5900 +a(g265 +V |o| yield(o) +p5901 +tp5902 +a(g265 +V} +tp5903 +a(g265 +V\u000a end\u000a\u000a def to_a\u000a (@keys = @hash.keys).sort! unless @keys\u000a @keys\u000a end\u000a +p5904 +tp5905 +a(g265 +V} +tp5906 +a(g180 +V\u000a +p5907 +tp5908 +a(g6 +Vend +p5909 +tp5910 +a(g180 +V\u000a\u000a +p5911 +tp5912 +a(g113 +V@@setup +p5913 +tp5914 +a(g180 +V +tp5915 +a(g334 +V= +tp5916 +a(g180 +V +tp5917 +a(g347 +Vtrue +p5918 +tp5919 +a(g180 +V\u000a +p5920 +tp5921 +a(g6 +Vend +p5922 +tp5923 +a(g180 +V\u000a +p5924 +tp5925 +a(g6 +Vend +p5926 +tp5927 +a(g180 +V\u000a\u000a +p5928 +tp5929 +a(g6 +Vdef +p5930 +tp5931 +a(g180 +V +tp5932 +a(g46 +Vinitialize +p5933 +tp5934 +a(g193 +V( +tp5935 +a(g334 +V* +tp5936 +a(g43 +Vargs +p5937 +tp5938 +a(g193 +V, +tp5939 +a(g180 +V +tp5940 +a(g334 +V& +tp5941 +a(g43 +Vblock +p5942 +tp5943 +a(g193 +V) +tp5944 +a(g180 +V +tp5945 +a(g29 +V# :nodoc: +p5946 +tp5947 +a(g180 +V\u000a +p5948 +tp5949 +a(g57 +VSortedSet +p5950 +tp5951 +a(g334 +V. +tp5952 +a(g43 +Vsetup +p5953 +tp5954 +a(g180 +V\u000a +p5955 +tp5956 +a(g347 +Vinitialize +p5957 +tp5958 +a(g193 +V( +tp5959 +a(g334 +V* +tp5960 +a(g43 +Vargs +p5961 +tp5962 +a(g193 +V, +tp5963 +a(g180 +V +tp5964 +a(g334 +V& +tp5965 +a(g43 +Vblock +p5966 +tp5967 +a(g193 +V) +tp5968 +a(g180 +V\u000a +p5969 +tp5970 +a(g6 +Vend +p5971 +tp5972 +a(g180 +V\u000a +tp5973 +a(g6 +Vend +p5974 +tp5975 +a(g180 +V\u000a\u000a +p5976 +tp5977 +a(g6 +Vmodule +p5978 +tp5979 +a(g180 +V +tp5980 +a(g123 +VEnumerable +p5981 +tp5982 +a(g180 +V\u000a +p5983 +tp5984 +a(g29 +V# Makes a set from the enumerable object with given arguments. +p5985 +tp5986 +a(g180 +V\u000a +p5987 +tp5988 +a(g6 +Vdef +p5989 +tp5990 +a(g180 +V +tp5991 +a(g46 +Vto_set +p5992 +tp5993 +a(g193 +V( +tp5994 +a(g43 +Vklass +p5995 +tp5996 +a(g180 +V +tp5997 +a(g334 +V= +tp5998 +a(g180 +V +tp5999 +a(g57 +VSet +p6000 +tp6001 +a(g193 +V, +tp6002 +a(g180 +V +tp6003 +a(g334 +V* +tp6004 +a(g43 +Vargs +p6005 +tp6006 +a(g193 +V, +tp6007 +a(g180 +V +tp6008 +a(g334 +V& +tp6009 +a(g43 +Vblock +p6010 +tp6011 +a(g193 +V) +tp6012 +a(g180 +V\u000a +p6013 +tp6014 +a(g43 +Vklass +p6015 +tp6016 +a(g334 +V. +tp6017 +a(g43 +Vnew +p6018 +tp6019 +a(g193 +V( +tp6020 +a(g81 +Vself +p6021 +tp6022 +a(g193 +V, +tp6023 +a(g180 +V +tp6024 +a(g334 +V* +tp6025 +a(g43 +Vargs +p6026 +tp6027 +a(g193 +V, +tp6028 +a(g180 +V +tp6029 +a(g334 +V& +tp6030 +a(g43 +Vblock +p6031 +tp6032 +a(g193 +V) +tp6033 +a(g180 +V\u000a +p6034 +tp6035 +a(g6 +Vend +p6036 +tp6037 +a(g180 +V\u000a +tp6038 +a(g6 +Vend +p6039 +tp6040 +a(g180 +V\u000a\u000a +p6041 +tp6042 +a(g29 +V# =begin +p6043 +tp6044 +a(g180 +V\u000a +tp6045 +a(g29 +V# == RestricedSet class +p6046 +tp6047 +a(g180 +V\u000a +tp6048 +a(g29 +V# RestricedSet implements a set with restrictions defined by a given +p6049 +tp6050 +a(g180 +V\u000a +tp6051 +a(g29 +V# block. +p6052 +tp6053 +a(g180 +V\u000a +tp6054 +a(g29 +V# +tp6055 +a(g180 +V\u000a +tp6056 +a(g29 +V# === Super class +p6057 +tp6058 +a(g180 +V\u000a +tp6059 +a(g29 +V# Set +p6060 +tp6061 +a(g180 +V\u000a +tp6062 +a(g29 +V# +tp6063 +a(g180 +V\u000a +tp6064 +a(g29 +V# === Class Methods +p6065 +tp6066 +a(g180 +V\u000a +tp6067 +a(g29 +V# --- RestricedSet::new(enum = nil) { |o| ... } +p6068 +tp6069 +a(g180 +V\u000a +tp6070 +a(g29 +V# --- RestricedSet::new(enum = nil) { |rset, o| ... } +p6071 +tp6072 +a(g180 +V\u000a +tp6073 +a(g29 +V# Creates a new restricted set containing the elements of the given +p6074 +tp6075 +a(g180 +V\u000a +tp6076 +a(g29 +V# enumerable object. Restrictions are defined by the given block. +p6077 +tp6078 +a(g180 +V\u000a +tp6079 +a(g29 +V# +tp6080 +a(g180 +V\u000a +tp6081 +a(g29 +V# If the block's arity is 2, it is called with the RestrictedSet +p6082 +tp6083 +a(g180 +V\u000a +tp6084 +a(g29 +V# itself and an object to see if the object is allowed to be put in +p6085 +tp6086 +a(g180 +V\u000a +tp6087 +a(g29 +V# the set. +p6088 +tp6089 +a(g180 +V\u000a +tp6090 +a(g29 +V# +tp6091 +a(g180 +V\u000a +tp6092 +a(g29 +V# Otherwise, the block is called with an object to see if the object +p6093 +tp6094 +a(g180 +V\u000a +tp6095 +a(g29 +V# is allowed to be put in the set. +p6096 +tp6097 +a(g180 +V\u000a +tp6098 +a(g29 +V# +tp6099 +a(g180 +V\u000a +tp6100 +a(g29 +V# === Instance Methods +p6101 +tp6102 +a(g180 +V\u000a +tp6103 +a(g29 +V# --- restriction_proc +p6104 +tp6105 +a(g180 +V\u000a +tp6106 +a(g29 +V# Returns the restriction procedure of the set. +p6107 +tp6108 +a(g180 +V\u000a +tp6109 +a(g29 +V# +tp6110 +a(g180 +V\u000a +tp6111 +a(g29 +V# =end +p6112 +tp6113 +a(g180 +V\u000a +tp6114 +a(g29 +V# +tp6115 +a(g180 +V\u000a +tp6116 +a(g29 +V# class RestricedSet < Set +p6117 +tp6118 +a(g180 +V\u000a +tp6119 +a(g29 +V# def initialize(*args, &block) +p6120 +tp6121 +a(g180 +V\u000a +tp6122 +a(g29 +V# @proc = block or raise ArgumentError, "missing a block" +p6123 +tp6124 +a(g180 +V\u000a +tp6125 +a(g29 +V# +tp6126 +a(g180 +V\u000a +tp6127 +a(g29 +V# if @proc.arity == 2 +p6128 +tp6129 +a(g180 +V\u000a +tp6130 +a(g29 +V# instance_eval %{ +p6131 +tp6132 +a(g180 +V\u000a +tp6133 +a(g29 +V# def add(o) +p6134 +tp6135 +a(g180 +V\u000a +tp6136 +a(g29 +V# @hash[o] = true if @proc.call(self, o) +p6137 +tp6138 +a(g180 +V\u000a +tp6139 +a(g29 +V# self +p6140 +tp6141 +a(g180 +V\u000a +tp6142 +a(g29 +V# end +p6143 +tp6144 +a(g180 +V\u000a +tp6145 +a(g29 +V# alias << add +p6146 +tp6147 +a(g180 +V\u000a +tp6148 +a(g29 +V# +tp6149 +a(g180 +V\u000a +tp6150 +a(g29 +V# def add?(o) +p6151 +tp6152 +a(g180 +V\u000a +tp6153 +a(g29 +V# if include?(o) || !@proc.call(self, o) +p6154 +tp6155 +a(g180 +V\u000a +tp6156 +a(g29 +V# nil +p6157 +tp6158 +a(g180 +V\u000a +tp6159 +a(g29 +V# else +p6160 +tp6161 +a(g180 +V\u000a +tp6162 +a(g29 +V# @hash[o] = true +p6163 +tp6164 +a(g180 +V\u000a +tp6165 +a(g29 +V# self +p6166 +tp6167 +a(g180 +V\u000a +tp6168 +a(g29 +V# end +p6169 +tp6170 +a(g180 +V\u000a +tp6171 +a(g29 +V# end +p6172 +tp6173 +a(g180 +V\u000a +tp6174 +a(g29 +V# +tp6175 +a(g180 +V\u000a +tp6176 +a(g29 +V# def replace(enum) +p6177 +tp6178 +a(g180 +V\u000a +tp6179 +a(g29 +V# enum.is_a?(Enumerable) or raise ArgumentError, "value must be enumerable" +p6180 +tp6181 +a(g180 +V\u000a +tp6182 +a(g29 +V# clear +p6183 +tp6184 +a(g180 +V\u000a +tp6185 +a(g29 +V# enum.each { |o| add(o) } +p6186 +tp6187 +a(g180 +V\u000a +tp6188 +a(g29 +V# +tp6189 +a(g180 +V\u000a +tp6190 +a(g29 +V# self +p6191 +tp6192 +a(g180 +V\u000a +tp6193 +a(g29 +V# end +p6194 +tp6195 +a(g180 +V\u000a +tp6196 +a(g29 +V# +tp6197 +a(g180 +V\u000a +tp6198 +a(g29 +V# def merge(enum) +p6199 +tp6200 +a(g180 +V\u000a +tp6201 +a(g29 +V# enum.is_a?(Enumerable) or raise ArgumentError, "value must be enumerable" +p6202 +tp6203 +a(g180 +V\u000a +tp6204 +a(g29 +V# enum.each { |o| add(o) } +p6205 +tp6206 +a(g180 +V\u000a +tp6207 +a(g29 +V# +tp6208 +a(g180 +V\u000a +tp6209 +a(g29 +V# self +p6210 +tp6211 +a(g180 +V\u000a +tp6212 +a(g29 +V# end +p6213 +tp6214 +a(g180 +V\u000a +tp6215 +a(g29 +V# } +p6216 +tp6217 +a(g180 +V\u000a +tp6218 +a(g29 +V# else +p6219 +tp6220 +a(g180 +V\u000a +tp6221 +a(g29 +V# instance_eval %{ +p6222 +tp6223 +a(g180 +V\u000a +tp6224 +a(g29 +V# def add(o) +p6225 +tp6226 +a(g180 +V\u000a +tp6227 +a(g29 +V# if @proc.call(o) +p6228 +tp6229 +a(g180 +V\u000a +tp6230 +a(g29 +V# @hash[o] = true +p6231 +tp6232 +a(g180 +V\u000a +tp6233 +a(g29 +V# end +p6234 +tp6235 +a(g180 +V\u000a +tp6236 +a(g29 +V# self +p6237 +tp6238 +a(g180 +V\u000a +tp6239 +a(g29 +V# end +p6240 +tp6241 +a(g180 +V\u000a +tp6242 +a(g29 +V# alias << add +p6243 +tp6244 +a(g180 +V\u000a +tp6245 +a(g29 +V# +tp6246 +a(g180 +V\u000a +tp6247 +a(g29 +V# def add?(o) +p6248 +tp6249 +a(g180 +V\u000a +tp6250 +a(g29 +V# if include?(o) || !@proc.call(o) +p6251 +tp6252 +a(g180 +V\u000a +tp6253 +a(g29 +V# nil +p6254 +tp6255 +a(g180 +V\u000a +tp6256 +a(g29 +V# else +p6257 +tp6258 +a(g180 +V\u000a +tp6259 +a(g29 +V# @hash[o] = true +p6260 +tp6261 +a(g180 +V\u000a +tp6262 +a(g29 +V# self +p6263 +tp6264 +a(g180 +V\u000a +tp6265 +a(g29 +V# end +p6266 +tp6267 +a(g180 +V\u000a +tp6268 +a(g29 +V# end +p6269 +tp6270 +a(g180 +V\u000a +tp6271 +a(g29 +V# } +p6272 +tp6273 +a(g180 +V\u000a +tp6274 +a(g29 +V# end +p6275 +tp6276 +a(g180 +V\u000a +tp6277 +a(g29 +V# +tp6278 +a(g180 +V\u000a +tp6279 +a(g29 +V# super(*args) +p6280 +tp6281 +a(g180 +V\u000a +tp6282 +a(g29 +V# end +p6283 +tp6284 +a(g180 +V\u000a +tp6285 +a(g29 +V# +tp6286 +a(g180 +V\u000a +tp6287 +a(g29 +V# def restriction_proc +p6288 +tp6289 +a(g180 +V\u000a +tp6290 +a(g29 +V# @proc +p6291 +tp6292 +a(g180 +V\u000a +tp6293 +a(g29 +V# end +p6294 +tp6295 +a(g180 +V\u000a +tp6296 +a(g29 +V# end +p6297 +tp6298 +a(g180 +V\u000a\u000a +p6299 +tp6300 +a(g6 +Vif +p6301 +tp6302 +a(g180 +V +tp6303 +a(g100 +V$0 +p6304 +tp6305 +a(g180 +V +tp6306 +a(g334 +V== +p6307 +tp6308 +a(g180 +V +tp6309 +a(g84 +V__FILE__ +p6310 +tp6311 +a(g180 +V\u000a +p6312 +tp6313 +a(g81 +Veval +p6314 +tp6315 +a(g180 +V +tp6316 +a(g57 +VDATA +p6317 +tp6318 +a(g334 +V. +tp6319 +a(g43 +Vread +p6320 +tp6321 +a(g193 +V, +tp6322 +a(g180 +V +tp6323 +a(g347 +Vnil +p6324 +tp6325 +a(g193 +V, +tp6326 +a(g180 +V +tp6327 +a(g100 +V$0 +p6328 +tp6329 +a(g193 +V, +tp6330 +a(g180 +V +tp6331 +a(g84 +V__LINE__ +p6332 +tp6333 +a(g334 +V+ +tp6334 +a(g309 +V4 +tp6335 +a(g180 +V\u000a +tp6336 +a(g6 +Vend +p6337 +tp6338 +a(g180 +V\u000a\u000a +p6339 +tp6340 +a(g29 +V# = rweb - CGI Support Library +p6341 +tp6342 +a(g180 +V\u000a +tp6343 +a(g29 +V# +tp6344 +a(g180 +V\u000a +tp6345 +a(g29 +V# Author:: Johannes Barre (mailto:rweb@igels.net) +p6346 +tp6347 +a(g180 +V\u000a +tp6348 +a(g29 +V# Copyright:: Copyright (c) 2003, 04 by Johannes Barre +p6349 +tp6350 +a(g180 +V\u000a +tp6351 +a(g29 +V# License:: GNU Lesser General Public License (COPYING, http://www.gnu.org/copyleft/lesser.html) +p6352 +tp6353 +a(g180 +V\u000a +tp6354 +a(g29 +V# Version:: 0.1.0 +p6355 +tp6356 +a(g180 +V\u000a +tp6357 +a(g29 +V# CVS-ID:: $Id: example.rb 39 2005-11-05 03:33:55Z murphy $ +p6358 +tp6359 +a(g180 +V\u000a +tp6360 +a(g29 +V# +tp6361 +a(g180 +V\u000a +tp6362 +a(g29 +V# == What is Rweb? +p6363 +tp6364 +a(g180 +V\u000a +tp6365 +a(g29 +V# Rweb is a replacement for the cgi class included in the ruby distribution. +p6366 +tp6367 +a(g180 +V\u000a +tp6368 +a(g29 +V# +tp6369 +a(g180 +V\u000a +tp6370 +a(g29 +V# == How to use +p6371 +tp6372 +a(g180 +V\u000a +tp6373 +a(g29 +V# +tp6374 +a(g180 +V\u000a +tp6375 +a(g29 +V# === Basics +p6376 +tp6377 +a(g180 +V\u000a +tp6378 +a(g29 +V# +tp6379 +a(g180 +V\u000a +tp6380 +a(g29 +V# This class is made to be as easy as possible to use. An example: +p6381 +tp6382 +a(g180 +V\u000a +tp6383 +a(g29 +V# +tp6384 +a(g180 +V\u000a +tp6385 +a(g29 +V# require "rweb" +p6386 +tp6387 +a(g180 +V\u000a +tp6388 +a(g29 +V# +tp6389 +a(g180 +V\u000a +tp6390 +a(g29 +V# web = Rweb.new +p6391 +tp6392 +a(g180 +V\u000a +tp6393 +a(g29 +V# web.out do +p6394 +tp6395 +a(g180 +V\u000a +tp6396 +a(g29 +V# web.puts "Hello world!" +p6397 +tp6398 +a(g180 +V\u000a +tp6399 +a(g29 +V# end +p6400 +tp6401 +a(g180 +V\u000a +tp6402 +a(g29 +V# +tp6403 +a(g180 +V\u000a +tp6404 +a(g29 +V# The visitor will get a simple "Hello World!" in his browser. Please notice, +p6405 +tp6406 +a(g180 +V\u000a +tp6407 +a(g29 +V# that won't set html-tags for you, so you should better do something like this: +p6408 +tp6409 +a(g180 +V\u000a +tp6410 +a(g29 +V# +tp6411 +a(g180 +V\u000a +tp6412 +a(g29 +V# require "rweb" +p6413 +tp6414 +a(g180 +V\u000a +tp6415 +a(g29 +V# +tp6416 +a(g180 +V\u000a +tp6417 +a(g29 +V# web = Rweb.new +p6418 +tp6419 +a(g180 +V\u000a +tp6420 +a(g29 +V# web.out do +p6421 +tp6422 +a(g180 +V\u000a +tp6423 +a(g29 +V# web.puts "Hello world!" +p6424 +tp6425 +a(g180 +V\u000a +tp6426 +a(g29 +V# end +p6427 +tp6428 +a(g180 +V\u000a +tp6429 +a(g29 +V# +tp6430 +a(g180 +V\u000a +tp6431 +a(g29 +V# === Set headers +p6432 +tp6433 +a(g180 +V\u000a +tp6434 +a(g29 +V# Of course, it's also possible to tell the browser, that the content of this +p6435 +tp6436 +a(g180 +V\u000a +tp6437 +a(g29 +V# page is plain text instead of html code: +p6438 +tp6439 +a(g180 +V\u000a +tp6440 +a(g29 +V# +tp6441 +a(g180 +V\u000a +tp6442 +a(g29 +V# require "rweb" +p6443 +tp6444 +a(g180 +V\u000a +tp6445 +a(g29 +V# +tp6446 +a(g180 +V\u000a +tp6447 +a(g29 +V# web = Rweb.new +p6448 +tp6449 +a(g180 +V\u000a +tp6450 +a(g29 +V# web.out do +p6451 +tp6452 +a(g180 +V\u000a +tp6453 +a(g29 +V# web.header("content-type: text/plain") +p6454 +tp6455 +a(g180 +V\u000a +tp6456 +a(g29 +V# web.puts "Hello plain world!" +p6457 +tp6458 +a(g180 +V\u000a +tp6459 +a(g29 +V# end +p6460 +tp6461 +a(g180 +V\u000a +tp6462 +a(g29 +V# +tp6463 +a(g180 +V\u000a +tp6464 +a(g29 +V# Please remember, headers can't be set after the page content has been send. +p6465 +tp6466 +a(g180 +V\u000a +tp6467 +a(g29 +V# You have to set all nessessary headers before the first puts oder print. It's +p6468 +tp6469 +a(g180 +V\u000a +tp6470 +a(g29 +V# possible to cache the content until everything is complete. Doing it this +p6471 +tp6472 +a(g180 +V\u000a +tp6473 +a(g29 +V# way, you can set headers everywhere. +p6474 +tp6475 +a(g180 +V\u000a +tp6476 +a(g29 +V# +tp6477 +a(g180 +V\u000a +tp6478 +a(g29 +V# If you set a header twice, the second header will replace the first one. The +p6479 +tp6480 +a(g180 +V\u000a +tp6481 +a(g29 +V# header name is not casesensitive, it will allways converted in to the +p6482 +tp6483 +a(g180 +V\u000a +tp6484 +a(g29 +V# capitalised form suggested by the w3c (http://w3.org) +p6485 +tp6486 +a(g180 +V\u000a +tp6487 +a(g29 +V# +tp6488 +a(g180 +V\u000a +tp6489 +a(g29 +V# === Set cookies +p6490 +tp6491 +a(g180 +V\u000a +tp6492 +a(g29 +V# Setting cookies is quite easy: +p6493 +tp6494 +a(g180 +V\u000a +tp6495 +a(g29 +V# include 'rweb' +p6496 +tp6497 +a(g180 +V\u000a +tp6498 +a(g29 +V# +tp6499 +a(g180 +V\u000a +tp6500 +a(g29 +V# web = Rweb.new +p6501 +tp6502 +a(g180 +V\u000a +tp6503 +a(g29 +V# Cookie.new("Visits", web.cookies['visits'].to_i +1) +p6504 +tp6505 +a(g180 +V\u000a +tp6506 +a(g29 +V# web.out do +p6507 +tp6508 +a(g180 +V\u000a +tp6509 +a(g29 +V# web.puts "Welcome back! You visited this page #{web.cookies['visits'].to_i +1} times" +p6510 +tp6511 +a(g180 +V\u000a +tp6512 +a(g29 +V# end +p6513 +tp6514 +a(g180 +V\u000a +tp6515 +a(g29 +V# +tp6516 +a(g180 +V\u000a +tp6517 +a(g29 +V# See the class Cookie for more details. +p6518 +tp6519 +a(g180 +V\u000a +tp6520 +a(g29 +V# +tp6521 +a(g180 +V\u000a +tp6522 +a(g29 +V# === Get form and cookie values +p6523 +tp6524 +a(g180 +V\u000a +tp6525 +a(g29 +V# There are four ways to submit data from the browser to the server and your +p6526 +tp6527 +a(g180 +V\u000a +tp6528 +a(g29 +V# ruby script: via GET, POST, cookies and file upload. Rweb doesn't support +p6529 +tp6530 +a(g180 +V\u000a +tp6531 +a(g29 +V# file upload by now. +p6532 +tp6533 +a(g180 +V\u000a +tp6534 +a(g29 +V# +tp6535 +a(g180 +V\u000a +tp6536 +a(g29 +V# include 'rweb' +p6537 +tp6538 +a(g180 +V\u000a +tp6539 +a(g29 +V# +tp6540 +a(g180 +V\u000a +tp6541 +a(g29 +V# web = Rweb.new +p6542 +tp6543 +a(g180 +V\u000a +tp6544 +a(g29 +V# web.out do +p6545 +tp6546 +a(g180 +V\u000a +tp6547 +a(g29 +V# web.print "action: #{web.get['action']} " +p6548 +tp6549 +a(g180 +V\u000a +tp6550 +a(g29 +V# web.puts "The value of the cookie 'visits' is #{web.cookies['visits']}" +p6551 +tp6552 +a(g180 +V\u000a +tp6553 +a(g29 +V# web.puts "The post parameter 'test['x']' is #{web.post['test']['x']}" +p6554 +tp6555 +a(g180 +V\u000a +tp6556 +a(g29 +V# end +p6557 +tp6558 +a(g180 +V\u000a\u000a +p6559 +tp6560 +a(g57 +VRWEB_VERSION +p6561 +tp6562 +a(g180 +V +tp6563 +a(g334 +V= +tp6564 +a(g180 +V +tp6565 +a(g236 +V" +tp6566 +a(g236 +V0.1.0 +p6567 +tp6568 +a(g236 +V" +tp6569 +a(g180 +V\u000a +tp6570 +a(g57 +VRWEB +p6571 +tp6572 +a(g180 +V +tp6573 +a(g334 +V= +tp6574 +a(g180 +V +tp6575 +a(g236 +V" +tp6576 +a(g236 +Vrweb/ +p6577 +tp6578 +a(g224 +V#{ +p6579 +tp6580 +a(g57 +VRWEB_VERSION +p6581 +tp6582 +a(g224 +V} +tp6583 +a(g236 +V" +tp6584 +a(g180 +V\u000a\u000a +p6585 +tp6586 +a(g29 +V#require 'rwebcookie' -> edit by bunny :-) +p6587 +tp6588 +a(g180 +V\u000a\u000a +p6589 +tp6590 +a(g6 +Vclass +p6591 +tp6592 +a(g180 +V +tp6593 +a(g130 +VRweb +p6594 +tp6595 +a(g180 +V\u000a +p6596 +tp6597 +a(g29 +V# All parameter submitted via the GET method are available in attribute +p6598 +tp6599 +a(g180 +V\u000a +p6600 +tp6601 +a(g29 +V# get. This is Hash, where every parameter is available as a key-value +p6602 +tp6603 +a(g180 +V\u000a +p6604 +tp6605 +a(g29 +V# pair. +p6606 +tp6607 +a(g180 +V\u000a +p6608 +tp6609 +a(g29 +V# +tp6610 +a(g180 +V\u000a +p6611 +tp6612 +a(g29 +V# If your input tag has a name like this one, it's value will be available +p6613 +tp6614 +a(g180 +V\u000a +p6615 +tp6616 +a(g29 +V# as web.get["fieldname"] +p6617 +tp6618 +a(g180 +V\u000a +p6619 +tp6620 +a(g29 +V# +p6621 +tp6622 +a(g180 +V\u000a +p6623 +tp6624 +a(g29 +V# You can submit values as a Hash +p6625 +tp6626 +a(g180 +V\u000a +p6627 +tp6628 +a(g29 +V# +p6629 +tp6630 +a(g180 +V\u000a +p6631 +tp6632 +a(g29 +V# +p6633 +tp6634 +a(g180 +V\u000a +p6635 +tp6636 +a(g29 +V# will be available as +p6637 +tp6638 +a(g180 +V\u000a +p6639 +tp6640 +a(g29 +V# web.get["text"]["index"] +p6641 +tp6642 +a(g180 +V\u000a +p6643 +tp6644 +a(g29 +V# web.get["text"]["index2"] +p6645 +tp6646 +a(g180 +V\u000a +p6647 +tp6648 +a(g29 +V# Integers are also possible +p6649 +tp6650 +a(g180 +V\u000a +p6651 +tp6652 +a(g29 +V# +p6653 +tp6654 +a(g180 +V\u000a +p6655 +tp6656 +a(g29 +V# +p6677 +tp6678 +a(g180 +V\u000a +p6679 +tp6680 +a(g29 +V# +p6681 +tp6682 +a(g180 +V\u000a +p6683 +tp6684 +a(g29 +V# will be available as +p6685 +tp6686 +a(g180 +V\u000a +p6687 +tp6688 +a(g29 +V# web.get["int"][0] # First Field +p6689 +tp6690 +a(g180 +V\u000a +p6691 +tp6692 +a(g29 +V# web.get["int"][1] # Second one +p6693 +tp6694 +a(g180 +V\u000a +p6695 +tp6696 +a(g29 +V# Please notice, this doesn'd work like you might expect: +p6697 +tp6698 +a(g180 +V\u000a +p6699 +tp6700 +a(g29 +V# +p6701 +tp6702 +a(g180 +V\u000a +p6703 +tp6704 +a(g29 +V# It will not be available as web.get["text"]["index"] but +p6705 +tp6706 +a(g180 +V\u000a +p6707 +tp6708 +a(g29 +V# web.get["text[index]"] +p6709 +tp6710 +a(g180 +V\u000a +p6711 +tp6712 +a(g347 +Vattr_reader +p6713 +tp6714 +a(g180 +V +tp6715 +a(g239 +V:get +p6716 +tp6717 +a(g180 +V\u000a\u000a +p6718 +tp6719 +a(g29 +V# All parameters submitted via POST are available in the attribute post. It +p6720 +tp6721 +a(g180 +V\u000a +p6722 +tp6723 +a(g29 +V# works like the get attribute. +p6724 +tp6725 +a(g180 +V\u000a +p6726 +tp6727 +a(g29 +V# +p6728 +tp6729 +a(g180 +V\u000a +p6730 +tp6731 +a(g29 +V# will be available as +p6732 +tp6733 +a(g180 +V\u000a +p6734 +tp6735 +a(g29 +V# web.post["text"][0] +p6736 +tp6737 +a(g180 +V\u000a +p6738 +tp6739 +a(g347 +Vattr_reader +p6740 +tp6741 +a(g180 +V +tp6742 +a(g239 +V:post +p6743 +tp6744 +a(g180 +V\u000a\u000a +p6745 +tp6746 +a(g29 +V# All cookies submitted by the browser are available in cookies. This is a +p6747 +tp6748 +a(g180 +V\u000a +p6749 +tp6750 +a(g29 +V# Hash, where every cookie is a key-value pair. +p6751 +tp6752 +a(g180 +V\u000a +p6753 +tp6754 +a(g347 +Vattr_reader +p6755 +tp6756 +a(g180 +V +tp6757 +a(g239 +V:cookies +p6758 +tp6759 +a(g180 +V\u000a\u000a +p6760 +tp6761 +a(g29 +V# The name of the browser identification is submitted as USER_AGENT and +p6762 +tp6763 +a(g180 +V\u000a +p6764 +tp6765 +a(g29 +V# available in this attribute. +p6766 +tp6767 +a(g180 +V\u000a +p6768 +tp6769 +a(g347 +Vattr_reader +p6770 +tp6771 +a(g180 +V +tp6772 +a(g239 +V:user_agent +p6773 +tp6774 +a(g180 +V\u000a\u000a +p6775 +tp6776 +a(g29 +V# The IP address of the client. +p6777 +tp6778 +a(g180 +V\u000a +p6779 +tp6780 +a(g347 +Vattr_reader +p6781 +tp6782 +a(g180 +V +tp6783 +a(g239 +V:remote_addr +p6784 +tp6785 +a(g180 +V\u000a\u000a +p6786 +tp6787 +a(g29 +V# Creates a new Rweb object. This should only done once. You can set various +p6788 +tp6789 +a(g180 +V\u000a +p6790 +tp6791 +a(g29 +V# options via the settings hash. +p6792 +tp6793 +a(g180 +V\u000a +p6794 +tp6795 +a(g29 +V# +tp6796 +a(g180 +V\u000a +p6797 +tp6798 +a(g29 +V# "cache" => true: Everything you script send to the client will be cached +p6799 +tp6800 +a(g180 +V\u000a +p6801 +tp6802 +a(g29 +V# until the end of the out block or until flush is called. This way, you +p6803 +tp6804 +a(g180 +V\u000a +p6805 +tp6806 +a(g29 +V# can modify headers and cookies even after printing something to the client. +p6807 +tp6808 +a(g180 +V\u000a +p6809 +tp6810 +a(g29 +V# +tp6811 +a(g180 +V\u000a +p6812 +tp6813 +a(g29 +V# "safe" => level: Changes the $SAFE attribute. By default, $SAFE will be set +p6814 +tp6815 +a(g180 +V\u000a +p6816 +tp6817 +a(g29 +V# to 1. If $SAFE is already higher than this value, it won't be changed. +p6818 +tp6819 +a(g180 +V\u000a +p6820 +tp6821 +a(g29 +V# +tp6822 +a(g180 +V\u000a +p6823 +tp6824 +a(g29 +V# "silend" => true: Normaly, Rweb adds automaticly a header like this +p6825 +tp6826 +a(g180 +V\u000a +p6827 +tp6828 +a(g29 +V# "X-Powered-By: Rweb/x.x.x (Ruby/y.y.y)". With the silend option you can +p6829 +tp6830 +a(g180 +V\u000a +p6831 +tp6832 +a(g29 +V# suppress this. +p6833 +tp6834 +a(g180 +V\u000a +p6835 +tp6836 +a(g6 +Vdef +p6837 +tp6838 +a(g180 +V +tp6839 +a(g46 +Vinitialize +p6840 +tp6841 +a(g180 +V +tp6842 +a(g193 +V( +tp6843 +a(g43 +Vsettings +p6844 +tp6845 +a(g180 +V +tp6846 +a(g334 +V= +tp6847 +a(g180 +V +tp6848 +a(g193 +V{ +tp6849 +a(g193 +V} +tp6850 +a(g193 +V) +tp6851 +a(g180 +V\u000a +p6852 +tp6853 +a(g29 +V# {{{ +p6854 +tp6855 +a(g180 +V\u000a +p6856 +tp6857 +a(g104 +V@header +p6858 +tp6859 +a(g180 +V +tp6860 +a(g334 +V= +tp6861 +a(g180 +V +tp6862 +a(g193 +V{ +tp6863 +a(g193 +V} +tp6864 +a(g180 +V\u000a +p6865 +tp6866 +a(g104 +V@cookies +p6867 +tp6868 +a(g180 +V +tp6869 +a(g334 +V= +tp6870 +a(g180 +V +tp6871 +a(g193 +V{ +tp6872 +a(g193 +V} +tp6873 +a(g180 +V\u000a +p6874 +tp6875 +a(g104 +V@get +p6876 +tp6877 +a(g180 +V +tp6878 +a(g334 +V= +tp6879 +a(g180 +V +tp6880 +a(g193 +V{ +tp6881 +a(g193 +V} +tp6882 +a(g180 +V\u000a +p6883 +tp6884 +a(g104 +V@post +p6885 +tp6886 +a(g180 +V +tp6887 +a(g334 +V= +tp6888 +a(g180 +V +tp6889 +a(g193 +V{ +tp6890 +a(g193 +V} +tp6891 +a(g180 +V\u000a\u000a +p6892 +tp6893 +a(g29 +V# Internal attributes +p6894 +tp6895 +a(g180 +V\u000a +p6896 +tp6897 +a(g104 +V@status +p6898 +tp6899 +a(g180 +V +tp6900 +a(g334 +V= +tp6901 +a(g180 +V +tp6902 +a(g347 +Vnil +p6903 +tp6904 +a(g180 +V\u000a +p6905 +tp6906 +a(g104 +V@reasonPhrase +p6907 +tp6908 +a(g180 +V +tp6909 +a(g334 +V= +tp6910 +a(g180 +V +tp6911 +a(g347 +Vnil +p6912 +tp6913 +a(g180 +V\u000a +p6914 +tp6915 +a(g104 +V@setcookies +p6916 +tp6917 +a(g180 +V +tp6918 +a(g334 +V= +tp6919 +a(g180 +V +tp6920 +a(g334 +V[ +tp6921 +a(g334 +V] +tp6922 +a(g180 +V\u000a +p6923 +tp6924 +a(g104 +V@output_started +p6925 +tp6926 +a(g180 +V +tp6927 +a(g334 +V= +tp6928 +a(g180 +V +tp6929 +a(g347 +Vfalse +p6930 +tp6931 +a(g193 +V; +tp6932 +a(g180 +V\u000a +p6933 +tp6934 +a(g104 +V@output_allowed +p6935 +tp6936 +a(g180 +V +tp6937 +a(g334 +V= +tp6938 +a(g180 +V +tp6939 +a(g347 +Vfalse +p6940 +tp6941 +a(g193 +V; +tp6942 +a(g180 +V\u000a\u000a +p6943 +tp6944 +a(g104 +V@mod_ruby +p6945 +tp6946 +a(g180 +V +tp6947 +a(g334 +V= +tp6948 +a(g180 +V +tp6949 +a(g347 +Vfalse +p6950 +tp6951 +a(g180 +V\u000a +p6952 +tp6953 +a(g104 +V@env +p6954 +tp6955 +a(g180 +V +tp6956 +a(g334 +V= +tp6957 +a(g180 +V +tp6958 +a(g57 +VENV +p6959 +tp6960 +a(g334 +V. +tp6961 +a(g43 +Vto_hash +p6962 +tp6963 +a(g180 +V\u000a\u000a +p6964 +tp6965 +a(g6 +Vif +p6966 +tp6967 +a(g180 +V +tp6968 +a(g43 +Vdefined? +p6969 +tp6970 +a(g193 +V( +tp6971 +a(g57 +VMOD_RUBY +p6972 +tp6973 +a(g193 +V) +tp6974 +a(g180 +V\u000a +p6975 +tp6976 +a(g104 +V@output_method +p6977 +tp6978 +a(g180 +V +tp6979 +a(g334 +V= +tp6980 +a(g180 +V +tp6981 +a(g236 +V" +tp6982 +a(g236 +Vmod_ruby +p6983 +tp6984 +a(g236 +V" +tp6985 +a(g180 +V\u000a +p6986 +tp6987 +a(g104 +V@mod_ruby +p6988 +tp6989 +a(g180 +V +tp6990 +a(g334 +V= +tp6991 +a(g180 +V +tp6992 +a(g347 +Vtrue +p6993 +tp6994 +a(g180 +V\u000a +p6995 +tp6996 +a(g6 +Velsif +p6997 +tp6998 +a(g180 +V +tp6999 +a(g104 +V@env +p7000 +tp7001 +a(g334 +V[ +tp7002 +a(g262 +V'SERVER_SOFTWARE' +p7003 +tp7004 +a(g334 +V] +tp7005 +a(g180 +V +tp7006 +a(g334 +V=~ +p7007 +tp7008 +a(g180 +V +tp7009 +a(g220 +V/ +tp7010 +a(g220 +V^Microsoft-IIS +p7011 +tp7012 +a(g220 +V/i +p7013 +tp7014 +a(g180 +V\u000a +p7015 +tp7016 +a(g104 +V@output_method +p7017 +tp7018 +a(g180 +V +tp7019 +a(g334 +V= +tp7020 +a(g180 +V +tp7021 +a(g236 +V" +tp7022 +a(g236 +Vnph +p7023 +tp7024 +a(g236 +V" +tp7025 +a(g180 +V\u000a +p7026 +tp7027 +a(g6 +Velse +p7028 +tp7029 +a(g180 +V\u000a +p7030 +tp7031 +a(g104 +V@output_method +p7032 +tp7033 +a(g180 +V +tp7034 +a(g334 +V= +tp7035 +a(g180 +V +tp7036 +a(g236 +V" +tp7037 +a(g236 +Vph +p7038 +tp7039 +a(g236 +V" +tp7040 +a(g180 +V\u000a +p7041 +tp7042 +a(g6 +Vend +p7043 +tp7044 +a(g180 +V\u000a\u000a +p7045 +tp7046 +a(g6 +Vunless +p7047 +tp7048 +a(g180 +V +tp7049 +a(g43 +Vsettings +p7050 +tp7051 +a(g334 +V. +tp7052 +a(g43 +Vis_a? +p7053 +tp7054 +a(g193 +V( +tp7055 +a(g57 +VHash +p7056 +tp7057 +a(g193 +V) +tp7058 +a(g180 +V\u000a +p7059 +tp7060 +a(g6 +Vraise +p7061 +tp7062 +a(g180 +V +tp7063 +a(g57 +VTypeError +p7064 +tp7065 +a(g193 +V, +tp7066 +a(g180 +V +tp7067 +a(g236 +V" +tp7068 +a(g236 +Vsettings must be a Hash +p7069 +tp7070 +a(g236 +V" +tp7071 +a(g180 +V\u000a +p7072 +tp7073 +a(g6 +Vend +p7074 +tp7075 +a(g180 +V\u000a +p7076 +tp7077 +a(g104 +V@settings +p7078 +tp7079 +a(g180 +V +tp7080 +a(g334 +V= +tp7081 +a(g180 +V +tp7082 +a(g43 +Vsettings +p7083 +tp7084 +a(g180 +V\u000a\u000a +p7085 +tp7086 +a(g6 +Vunless +p7087 +tp7088 +a(g180 +V +tp7089 +a(g104 +V@settings +p7090 +tp7091 +a(g334 +V. +tp7092 +a(g43 +Vhas_key? +p7093 +tp7094 +a(g193 +V( +tp7095 +a(g236 +V" +tp7096 +a(g236 +Vsafe +p7097 +tp7098 +a(g236 +V" +tp7099 +a(g193 +V) +tp7100 +a(g180 +V\u000a +p7101 +tp7102 +a(g104 +V@settings +p7103 +tp7104 +a(g334 +V[ +tp7105 +a(g236 +V" +tp7106 +a(g236 +Vsafe +p7107 +tp7108 +a(g236 +V" +tp7109 +a(g334 +V] +tp7110 +a(g180 +V +tp7111 +a(g334 +V= +tp7112 +a(g180 +V +tp7113 +a(g309 +V1 +tp7114 +a(g180 +V\u000a +p7115 +tp7116 +a(g6 +Vend +p7117 +tp7118 +a(g180 +V\u000a\u000a +p7119 +tp7120 +a(g6 +Vif +p7121 +tp7122 +a(g180 +V +tp7123 +a(g100 +V$SAFE +p7124 +tp7125 +a(g180 +V +tp7126 +a(g334 +V< +tp7127 +a(g180 +V +tp7128 +a(g104 +V@settings +p7129 +tp7130 +a(g334 +V[ +tp7131 +a(g236 +V" +tp7132 +a(g236 +Vsafe +p7133 +tp7134 +a(g236 +V" +tp7135 +a(g334 +V] +tp7136 +a(g180 +V\u000a +p7137 +tp7138 +a(g100 +V$SAFE +p7139 +tp7140 +a(g180 +V +tp7141 +a(g334 +V= +tp7142 +a(g180 +V +tp7143 +a(g104 +V@settings +p7144 +tp7145 +a(g334 +V[ +tp7146 +a(g236 +V" +tp7147 +a(g236 +Vsafe +p7148 +tp7149 +a(g236 +V" +tp7150 +a(g334 +V] +tp7151 +a(g180 +V\u000a +p7152 +tp7153 +a(g6 +Vend +p7154 +tp7155 +a(g180 +V\u000a\u000a +p7156 +tp7157 +a(g6 +Vunless +p7158 +tp7159 +a(g180 +V +tp7160 +a(g104 +V@settings +p7161 +tp7162 +a(g334 +V. +tp7163 +a(g43 +Vhas_key? +p7164 +tp7165 +a(g193 +V( +tp7166 +a(g236 +V" +tp7167 +a(g236 +Vcache +p7168 +tp7169 +a(g236 +V" +tp7170 +a(g193 +V) +tp7171 +a(g180 +V\u000a +p7172 +tp7173 +a(g104 +V@settings +p7174 +tp7175 +a(g334 +V[ +tp7176 +a(g236 +V" +tp7177 +a(g236 +Vcache +p7178 +tp7179 +a(g236 +V" +tp7180 +a(g334 +V] +tp7181 +a(g180 +V +tp7182 +a(g334 +V= +tp7183 +a(g180 +V +tp7184 +a(g347 +Vfalse +p7185 +tp7186 +a(g180 +V\u000a +p7187 +tp7188 +a(g6 +Vend +p7189 +tp7190 +a(g180 +V\u000a\u000a +p7191 +tp7192 +a(g29 +V# mod_ruby sets no QUERY_STRING variable, if no GET-Parameters are given +p7193 +tp7194 +a(g180 +V\u000a +p7195 +tp7196 +a(g6 +Vunless +p7197 +tp7198 +a(g180 +V +tp7199 +a(g104 +V@env +p7200 +tp7201 +a(g334 +V. +tp7202 +a(g43 +Vhas_key? +p7203 +tp7204 +a(g193 +V( +tp7205 +a(g236 +V" +tp7206 +a(g236 +VQUERY_STRING +p7207 +tp7208 +a(g236 +V" +tp7209 +a(g193 +V) +tp7210 +a(g180 +V\u000a +p7211 +tp7212 +a(g104 +V@env +p7213 +tp7214 +a(g334 +V[ +tp7215 +a(g236 +V" +tp7216 +a(g236 +VQUERY_STRING +p7217 +tp7218 +a(g236 +V" +tp7219 +a(g334 +V] +tp7220 +a(g180 +V +tp7221 +a(g334 +V= +tp7222 +a(g180 +V +tp7223 +a(g236 +V" +tp7224 +a(g236 +V" +tp7225 +a(g180 +V\u000a +p7226 +tp7227 +a(g6 +Vend +p7228 +tp7229 +a(g180 +V\u000a\u000a +p7230 +tp7231 +a(g29 +V# Now we split the QUERY_STRING by the seperators & and ; or, if +p7232 +tp7233 +a(g180 +V\u000a +p7234 +tp7235 +a(g29 +V# specified, settings['get seperator'] +p7236 +tp7237 +a(g180 +V\u000a +p7238 +tp7239 +a(g6 +Vunless +p7240 +tp7241 +a(g180 +V +tp7242 +a(g104 +V@settings +p7243 +tp7244 +a(g334 +V. +tp7245 +a(g43 +Vhas_key? +p7246 +tp7247 +a(g193 +V( +tp7248 +a(g236 +V" +tp7249 +a(g236 +Vget seperator +p7250 +tp7251 +a(g236 +V" +tp7252 +a(g193 +V) +tp7253 +a(g180 +V\u000a +p7254 +tp7255 +a(g43 +Vget_args +p7256 +tp7257 +a(g180 +V +tp7258 +a(g334 +V= +tp7259 +a(g180 +V +tp7260 +a(g104 +V@env +p7261 +tp7262 +a(g334 +V[ +tp7263 +a(g262 +V'QUERY_STRING' +p7264 +tp7265 +a(g334 +V] +tp7266 +a(g334 +V. +tp7267 +a(g43 +Vsplit +p7268 +tp7269 +a(g193 +V( +tp7270 +a(g220 +V/ +tp7271 +a(g220 +V[&;] +p7272 +tp7273 +a(g220 +V/ +tp7274 +a(g193 +V) +tp7275 +a(g180 +V\u000a +p7276 +tp7277 +a(g6 +Velse +p7278 +tp7279 +a(g180 +V\u000a +p7280 +tp7281 +a(g43 +Vget_args +p7282 +tp7283 +a(g180 +V +tp7284 +a(g334 +V= +tp7285 +a(g180 +V +tp7286 +a(g104 +V@env +p7287 +tp7288 +a(g334 +V[ +tp7289 +a(g262 +V'QUERY_STRING' +p7290 +tp7291 +a(g334 +V] +tp7292 +a(g334 +V. +tp7293 +a(g43 +Vsplit +p7294 +tp7295 +a(g193 +V( +tp7296 +a(g104 +V@settings +p7297 +tp7298 +a(g334 +V[ +tp7299 +a(g262 +V'get seperator' +p7300 +tp7301 +a(g334 +V] +tp7302 +a(g193 +V) +tp7303 +a(g180 +V\u000a +p7304 +tp7305 +a(g6 +Vend +p7306 +tp7307 +a(g180 +V\u000a\u000a +p7308 +tp7309 +a(g43 +Vget_args +p7310 +tp7311 +a(g334 +V. +tp7312 +a(g43 +Veach +p7313 +tp7314 +a(g180 +V +tp7315 +a(g6 +Vdo +p7316 +tp7317 +a(g180 +V +tp7318 +a(g334 +V| +tp7319 +a(g180 +V +tp7320 +a(g43 +Varg +p7321 +tp7322 +a(g180 +V +tp7323 +a(g334 +V| +tp7324 +a(g180 +V\u000a +p7325 +tp7326 +a(g43 +Varg_key +p7327 +tp7328 +a(g193 +V, +tp7329 +a(g180 +V +tp7330 +a(g43 +Varg_val +p7331 +tp7332 +a(g180 +V +tp7333 +a(g334 +V= +tp7334 +a(g180 +V +tp7335 +a(g43 +Varg +p7336 +tp7337 +a(g334 +V. +tp7338 +a(g43 +Vsplit +p7339 +tp7340 +a(g193 +V( +tp7341 +a(g220 +V/ +tp7342 +a(g220 +V= +tp7343 +a(g220 +V/ +tp7344 +a(g193 +V, +tp7345 +a(g180 +V +tp7346 +a(g309 +V2 +tp7347 +a(g193 +V) +tp7348 +a(g180 +V\u000a +p7349 +tp7350 +a(g43 +Varg_key +p7351 +tp7352 +a(g180 +V +tp7353 +a(g334 +V= +tp7354 +a(g180 +V +tp7355 +a(g57 +VRweb +p7356 +tp7357 +a(g334 +V:: +p7358 +tp7359 +a(g43 +Vunescape +p7360 +tp7361 +a(g193 +V( +tp7362 +a(g43 +Varg_key +p7363 +tp7364 +a(g193 +V) +tp7365 +a(g180 +V\u000a +p7366 +tp7367 +a(g43 +Varg_val +p7368 +tp7369 +a(g180 +V +tp7370 +a(g334 +V= +tp7371 +a(g180 +V +tp7372 +a(g57 +VRweb +p7373 +tp7374 +a(g334 +V:: +p7375 +tp7376 +a(g43 +Vunescape +p7377 +tp7378 +a(g193 +V( +tp7379 +a(g43 +Varg_val +p7380 +tp7381 +a(g193 +V) +tp7382 +a(g180 +V\u000a\u000a +p7383 +tp7384 +a(g29 +V# Parse names like name[0], name['text'] or name[] +p7385 +tp7386 +a(g180 +V\u000a +p7387 +tp7388 +a(g43 +Vpattern +p7389 +tp7390 +a(g180 +V +tp7391 +a(g334 +V= +tp7392 +a(g180 +V +tp7393 +a(g220 +V/ +tp7394 +a(g220 +V^(.+) +p7395 +tp7396 +a(g220 +V\u005c +tp7397 +a(g220 +V[("[^ +p7398 +tp7399 +a(g220 +V\u005c +tp7400 +a(g220 +V]]*"|'[^ +p7401 +tp7402 +a(g220 +V\u005c +tp7403 +a(g220 +V]]*'|[0-9]*) +p7404 +tp7405 +a(g220 +V\u005c +tp7406 +a(g220 +V]$ +p7407 +tp7408 +a(g220 +V/ +tp7409 +a(g180 +V\u000a +p7410 +tp7411 +a(g43 +Vkeys +p7412 +tp7413 +a(g180 +V +tp7414 +a(g334 +V= +tp7415 +a(g180 +V +tp7416 +a(g334 +V[ +tp7417 +a(g334 +V] +tp7418 +a(g180 +V\u000a +p7419 +tp7420 +a(g6 +Vwhile +p7421 +tp7422 +a(g180 +V +tp7423 +a(g43 +Vmatch +p7424 +tp7425 +a(g180 +V +tp7426 +a(g334 +V= +tp7427 +a(g180 +V +tp7428 +a(g43 +Vpattern +p7429 +tp7430 +a(g334 +V. +tp7431 +a(g43 +Vmatch +p7432 +tp7433 +a(g193 +V( +tp7434 +a(g43 +Varg_key +p7435 +tp7436 +a(g193 +V) +tp7437 +a(g180 +V\u000a +p7438 +tp7439 +a(g43 +Varg_key +p7440 +tp7441 +a(g180 +V +tp7442 +a(g334 +V= +tp7443 +a(g180 +V +tp7444 +a(g43 +Vmatch +p7445 +tp7446 +a(g334 +V[ +tp7447 +a(g309 +V1 +tp7448 +a(g334 +V] +tp7449 +a(g180 +V\u000a +p7450 +tp7451 +a(g43 +Vkeys +p7452 +tp7453 +a(g180 +V +tp7454 +a(g334 +V= +tp7455 +a(g180 +V +tp7456 +a(g334 +V[ +tp7457 +a(g43 +Vmatch +p7458 +tp7459 +a(g334 +V[ +tp7460 +a(g309 +V2 +tp7461 +a(g334 +V] +tp7462 +a(g334 +V] +tp7463 +a(g180 +V +tp7464 +a(g334 +V+ +tp7465 +a(g180 +V +tp7466 +a(g43 +Vkeys +p7467 +tp7468 +a(g180 +V\u000a +p7469 +tp7470 +a(g6 +Vend +p7471 +tp7472 +a(g180 +V\u000a +p7473 +tp7474 +a(g43 +Vkeys +p7475 +tp7476 +a(g180 +V +tp7477 +a(g334 +V= +tp7478 +a(g180 +V +tp7479 +a(g334 +V[ +tp7480 +a(g43 +Varg_key +p7481 +tp7482 +a(g334 +V] +tp7483 +a(g180 +V +tp7484 +a(g334 +V+ +tp7485 +a(g180 +V +tp7486 +a(g43 +Vkeys +p7487 +tp7488 +a(g180 +V\u000a\u000a +p7489 +tp7490 +a(g43 +Vakt +p7491 +tp7492 +a(g180 +V +tp7493 +a(g334 +V= +tp7494 +a(g180 +V +tp7495 +a(g104 +V@get +p7496 +tp7497 +a(g180 +V\u000a +p7498 +tp7499 +a(g43 +Vlast +p7500 +tp7501 +a(g180 +V +tp7502 +a(g334 +V= +tp7503 +a(g180 +V +tp7504 +a(g347 +Vnil +p7505 +tp7506 +a(g180 +V\u000a +p7507 +tp7508 +a(g43 +Vlastkey +p7509 +tp7510 +a(g180 +V +tp7511 +a(g334 +V= +tp7512 +a(g180 +V +tp7513 +a(g347 +Vnil +p7514 +tp7515 +a(g180 +V\u000a +p7516 +tp7517 +a(g43 +Vkeys +p7518 +tp7519 +a(g334 +V. +tp7520 +a(g43 +Veach +p7521 +tp7522 +a(g180 +V +tp7523 +a(g6 +Vdo +p7524 +tp7525 +a(g180 +V +tp7526 +a(g334 +V| +tp7527 +a(g43 +Vkey +p7528 +tp7529 +a(g334 +V| +tp7530 +a(g180 +V\u000a +p7531 +tp7532 +a(g6 +Vif +p7533 +tp7534 +a(g180 +V +tp7535 +a(g43 +Vkey +p7536 +tp7537 +a(g180 +V +tp7538 +a(g334 +V== +p7539 +tp7540 +a(g180 +V +tp7541 +a(g236 +V" +tp7542 +a(g236 +V" +tp7543 +a(g180 +V\u000a +p7544 +tp7545 +a(g29 +V# No key specified (like in "test[]"), so we use the +p7546 +tp7547 +a(g180 +V\u000a +p7548 +tp7549 +a(g29 +V# lowerst unused Integer as key +p7550 +tp7551 +a(g180 +V\u000a +p7552 +tp7553 +a(g43 +Vkey +p7554 +tp7555 +a(g180 +V +tp7556 +a(g334 +V= +tp7557 +a(g180 +V +tp7558 +a(g309 +V0 +tp7559 +a(g180 +V\u000a +p7560 +tp7561 +a(g6 +Vwhile +p7562 +tp7563 +a(g180 +V +tp7564 +a(g43 +Vakt +p7565 +tp7566 +a(g334 +V. +tp7567 +a(g43 +Vhas_key? +p7568 +tp7569 +a(g193 +V( +tp7570 +a(g43 +Vkey +p7571 +tp7572 +a(g193 +V) +tp7573 +a(g180 +V\u000a +p7574 +tp7575 +a(g43 +Vkey +p7576 +tp7577 +a(g180 +V +tp7578 +a(g334 +V+= +p7579 +tp7580 +a(g180 +V +tp7581 +a(g309 +V1 +tp7582 +a(g180 +V\u000a +p7583 +tp7584 +a(g6 +Vend +p7585 +tp7586 +a(g180 +V\u000a +p7587 +tp7588 +a(g6 +Velsif +p7589 +tp7590 +a(g220 +V /^ +p7591 +tp7592 +a(g220 +V[0-9]*$ +p7593 +tp7594 +a(g220 +V/ +tp7595 +a(g180 +V +tp7596 +a(g334 +V=~ +p7597 +tp7598 +a(g180 +V +tp7599 +a(g43 +Vkey +p7600 +tp7601 +a(g180 +V\u000a +p7602 +tp7603 +a(g29 +V# If the index is numerical convert it to an Integer +p7604 +tp7605 +a(g180 +V\u000a +p7606 +tp7607 +a(g43 +Vkey +p7608 +tp7609 +a(g180 +V +tp7610 +a(g334 +V= +tp7611 +a(g180 +V +tp7612 +a(g43 +Vkey +p7613 +tp7614 +a(g334 +V. +tp7615 +a(g43 +Vto_i +p7616 +tp7617 +a(g180 +V\u000a +p7618 +tp7619 +a(g6 +Velsif +p7620 +tp7621 +a(g180 +V +tp7622 +a(g43 +Vkey +p7623 +tp7624 +a(g334 +V[ +tp7625 +a(g309 +V0 +tp7626 +a(g334 +V] +tp7627 +a(g334 +V. +tp7628 +a(g43 +Vchr +p7629 +tp7630 +a(g180 +V +tp7631 +a(g334 +V== +p7632 +tp7633 +a(g180 +V +tp7634 +a(g236 +V" +tp7635 +a(g236 +V' +tp7636 +a(g236 +V" +tp7637 +a(g180 +V +tp7638 +a(g334 +V|| +p7639 +tp7640 +a(g180 +V +tp7641 +a(g43 +Vkey +p7642 +tp7643 +a(g334 +V[ +tp7644 +a(g309 +V0 +tp7645 +a(g334 +V] +tp7646 +a(g334 +V. +tp7647 +a(g43 +Vchr +p7648 +tp7649 +a(g180 +V +tp7650 +a(g334 +V== +p7651 +tp7652 +a(g180 +V +tp7653 +a(g262 +V'"' +p7654 +tp7655 +a(g180 +V\u000a +p7656 +tp7657 +a(g43 +Vkey +p7658 +tp7659 +a(g180 +V +tp7660 +a(g334 +V= +tp7661 +a(g180 +V +tp7662 +a(g43 +Vkey +p7663 +tp7664 +a(g334 +V[ +tp7665 +a(g309 +V1 +tp7666 +a(g193 +V, +tp7667 +a(g180 +V +tp7668 +a(g43 +Vkey +p7669 +tp7670 +a(g334 +V. +tp7671 +a(g43 +Vlength +p7672 +tp7673 +a(g193 +V( +tp7674 +a(g193 +V) +tp7675 +a(g180 +V +tp7676 +a(g334 +V- +tp7677 +a(g309 +V2 +tp7678 +a(g334 +V] +tp7679 +a(g180 +V\u000a +p7680 +tp7681 +a(g6 +Vend +p7682 +tp7683 +a(g180 +V\u000a +p7684 +tp7685 +a(g6 +Vif +p7686 +tp7687 +a(g180 +V +tp7688 +a(g334 +V! +tp7689 +a(g43 +Vakt +p7690 +tp7691 +a(g334 +V. +tp7692 +a(g43 +Vhas_key? +p7693 +tp7694 +a(g193 +V( +tp7695 +a(g43 +Vkey +p7696 +tp7697 +a(g193 +V) +tp7698 +a(g180 +V +tp7699 +a(g334 +V|| +p7700 +tp7701 +a(g180 +V +tp7702 +a(g334 +V! +tp7703 +a(g43 +Vakt +p7704 +tp7705 +a(g334 +V[ +tp7706 +a(g43 +Vkey +p7707 +tp7708 +a(g334 +V] +tp7709 +a(g334 +V. +tp7710 +a(g43 +Vclass +p7711 +tp7712 +a(g180 +V +tp7713 +a(g334 +V== +p7714 +tp7715 +a(g180 +V +tp7716 +a(g57 +VHash +p7717 +tp7718 +a(g180 +V\u000a +p7719 +tp7720 +a(g29 +V# create an empty Hash if there isn't already one +p7721 +tp7722 +a(g180 +V\u000a +p7723 +tp7724 +a(g43 +Vakt +p7725 +tp7726 +a(g334 +V[ +tp7727 +a(g43 +Vkey +p7728 +tp7729 +a(g334 +V] +tp7730 +a(g180 +V +tp7731 +a(g334 +V= +tp7732 +a(g180 +V +tp7733 +a(g193 +V{ +tp7734 +a(g193 +V} +tp7735 +a(g180 +V\u000a +p7736 +tp7737 +a(g6 +Vend +p7738 +tp7739 +a(g180 +V\u000a +p7740 +tp7741 +a(g43 +Vlast +p7742 +tp7743 +a(g180 +V +tp7744 +a(g334 +V= +tp7745 +a(g180 +V +tp7746 +a(g43 +Vakt +p7747 +tp7748 +a(g180 +V\u000a +p7749 +tp7750 +a(g43 +Vlastkey +p7751 +tp7752 +a(g180 +V +tp7753 +a(g334 +V= +tp7754 +a(g180 +V +tp7755 +a(g43 +Vkey +p7756 +tp7757 +a(g180 +V\u000a +p7758 +tp7759 +a(g43 +Vakt +p7760 +tp7761 +a(g180 +V +tp7762 +a(g334 +V= +tp7763 +a(g180 +V +tp7764 +a(g43 +Vakt +p7765 +tp7766 +a(g334 +V[ +tp7767 +a(g43 +Vkey +p7768 +tp7769 +a(g334 +V] +tp7770 +a(g180 +V\u000a +p7771 +tp7772 +a(g6 +Vend +p7773 +tp7774 +a(g180 +V\u000a +p7775 +tp7776 +a(g43 +Vlast +p7777 +tp7778 +a(g334 +V[ +tp7779 +a(g43 +Vlastkey +p7780 +tp7781 +a(g334 +V] +tp7782 +a(g180 +V +tp7783 +a(g334 +V= +tp7784 +a(g180 +V +tp7785 +a(g43 +Varg_val +p7786 +tp7787 +a(g180 +V\u000a +p7788 +tp7789 +a(g6 +Vend +p7790 +tp7791 +a(g180 +V\u000a\u000a +p7792 +tp7793 +a(g6 +Vif +p7794 +tp7795 +a(g180 +V +tp7796 +a(g104 +V@env +p7797 +tp7798 +a(g334 +V[ +tp7799 +a(g262 +V'REQUEST_METHOD' +p7800 +tp7801 +a(g334 +V] +tp7802 +a(g180 +V +tp7803 +a(g334 +V== +p7804 +tp7805 +a(g180 +V +tp7806 +a(g236 +V" +tp7807 +a(g236 +VPOST +p7808 +tp7809 +a(g236 +V" +tp7810 +a(g180 +V\u000a +p7811 +tp7812 +a(g6 +Vif +p7813 +tp7814 +a(g180 +V +tp7815 +a(g104 +V@env +p7816 +tp7817 +a(g334 +V. +tp7818 +a(g43 +Vhas_key? +p7819 +tp7820 +a(g193 +V( +tp7821 +a(g236 +V" +tp7822 +a(g236 +VCONTENT_TYPE +p7823 +tp7824 +a(g236 +V" +tp7825 +a(g193 +V) +tp7826 +a(g180 +V +tp7827 +a(g334 +V&& +p7828 +tp7829 +a(g180 +V +tp7830 +a(g104 +V@env +p7831 +tp7832 +a(g334 +V[ +tp7833 +a(g262 +V'CONTENT_TYPE' +p7834 +tp7835 +a(g334 +V] +tp7836 +a(g180 +V +tp7837 +a(g334 +V== +p7838 +tp7839 +a(g180 +V +tp7840 +a(g236 +V" +tp7841 +a(g236 +Vapplication/x-www-form-urlencoded +p7842 +tp7843 +a(g236 +V" +tp7844 +a(g180 +V +tp7845 +a(g334 +V&& +p7846 +tp7847 +a(g180 +V +tp7848 +a(g104 +V@env +p7849 +tp7850 +a(g334 +V. +tp7851 +a(g43 +Vhas_key? +p7852 +tp7853 +a(g193 +V( +tp7854 +a(g262 +V'CONTENT_LENGTH' +p7855 +tp7856 +a(g193 +V) +tp7857 +a(g180 +V\u000a +p7858 +tp7859 +a(g6 +Vunless +p7860 +tp7861 +a(g180 +V +tp7862 +a(g104 +V@settings +p7863 +tp7864 +a(g334 +V. +tp7865 +a(g43 +Vhas_key? +p7866 +tp7867 +a(g193 +V( +tp7868 +a(g236 +V" +tp7869 +a(g236 +Vpost seperator +p7870 +tp7871 +a(g236 +V" +tp7872 +a(g193 +V) +tp7873 +a(g180 +V\u000a +p7874 +tp7875 +a(g43 +Vpost_args +p7876 +tp7877 +a(g180 +V +tp7878 +a(g334 +V= +tp7879 +a(g180 +V +tp7880 +a(g100 +V$stdin +p7881 +tp7882 +a(g334 +V. +tp7883 +a(g43 +Vread +p7884 +tp7885 +a(g193 +V( +tp7886 +a(g104 +V@env +p7887 +tp7888 +a(g334 +V[ +tp7889 +a(g262 +V'CONTENT_LENGTH' +p7890 +tp7891 +a(g334 +V] +tp7892 +a(g334 +V. +tp7893 +a(g43 +Vto_i +p7894 +tp7895 +a(g193 +V) +tp7896 +a(g334 +V. +tp7897 +a(g43 +Vsplit +p7898 +tp7899 +a(g193 +V( +tp7900 +a(g220 +V/ +tp7901 +a(g220 +V[&;] +p7902 +tp7903 +a(g220 +V/ +tp7904 +a(g193 +V) +tp7905 +a(g180 +V\u000a +p7906 +tp7907 +a(g6 +Velse +p7908 +tp7909 +a(g180 +V\u000a +p7910 +tp7911 +a(g43 +Vpost_args +p7912 +tp7913 +a(g180 +V +tp7914 +a(g334 +V= +tp7915 +a(g180 +V +tp7916 +a(g100 +V$stdin +p7917 +tp7918 +a(g334 +V. +tp7919 +a(g43 +Vread +p7920 +tp7921 +a(g193 +V( +tp7922 +a(g104 +V@env +p7923 +tp7924 +a(g334 +V[ +tp7925 +a(g262 +V'CONTENT_LENGTH' +p7926 +tp7927 +a(g334 +V] +tp7928 +a(g334 +V. +tp7929 +a(g43 +Vto_i +p7930 +tp7931 +a(g193 +V) +tp7932 +a(g334 +V. +tp7933 +a(g43 +Vsplit +p7934 +tp7935 +a(g193 +V( +tp7936 +a(g104 +V@settings +p7937 +tp7938 +a(g334 +V[ +tp7939 +a(g262 +V'post seperator' +p7940 +tp7941 +a(g334 +V] +tp7942 +a(g193 +V) +tp7943 +a(g180 +V\u000a +p7944 +tp7945 +a(g6 +Vend +p7946 +tp7947 +a(g180 +V\u000a +p7948 +tp7949 +a(g43 +Vpost_args +p7950 +tp7951 +a(g334 +V. +tp7952 +a(g43 +Veach +p7953 +tp7954 +a(g180 +V +tp7955 +a(g6 +Vdo +p7956 +tp7957 +a(g180 +V +tp7958 +a(g334 +V| +tp7959 +a(g180 +V +tp7960 +a(g43 +Varg +p7961 +tp7962 +a(g180 +V +tp7963 +a(g334 +V| +tp7964 +a(g180 +V\u000a +p7965 +tp7966 +a(g43 +Varg_key +p7967 +tp7968 +a(g193 +V, +tp7969 +a(g180 +V +tp7970 +a(g43 +Varg_val +p7971 +tp7972 +a(g180 +V +tp7973 +a(g334 +V= +tp7974 +a(g180 +V +tp7975 +a(g43 +Varg +p7976 +tp7977 +a(g334 +V. +tp7978 +a(g43 +Vsplit +p7979 +tp7980 +a(g193 +V( +tp7981 +a(g220 +V/ +tp7982 +a(g220 +V= +tp7983 +a(g220 +V/ +tp7984 +a(g193 +V, +tp7985 +a(g180 +V +tp7986 +a(g309 +V2 +tp7987 +a(g193 +V) +tp7988 +a(g180 +V\u000a +p7989 +tp7990 +a(g43 +Varg_key +p7991 +tp7992 +a(g180 +V +tp7993 +a(g334 +V= +tp7994 +a(g180 +V +tp7995 +a(g57 +VRweb +p7996 +tp7997 +a(g334 +V:: +p7998 +tp7999 +a(g43 +Vunescape +p8000 +tp8001 +a(g193 +V( +tp8002 +a(g43 +Varg_key +p8003 +tp8004 +a(g193 +V) +tp8005 +a(g180 +V\u000a +p8006 +tp8007 +a(g43 +Varg_val +p8008 +tp8009 +a(g180 +V +tp8010 +a(g334 +V= +tp8011 +a(g180 +V +tp8012 +a(g57 +VRweb +p8013 +tp8014 +a(g334 +V:: +p8015 +tp8016 +a(g43 +Vunescape +p8017 +tp8018 +a(g193 +V( +tp8019 +a(g43 +Varg_val +p8020 +tp8021 +a(g193 +V) +tp8022 +a(g180 +V\u000a\u000a +p8023 +tp8024 +a(g29 +V# Parse names like name[0], name['text'] or name[] +p8025 +tp8026 +a(g180 +V\u000a +p8027 +tp8028 +a(g43 +Vpattern +p8029 +tp8030 +a(g180 +V +tp8031 +a(g334 +V= +tp8032 +a(g180 +V +tp8033 +a(g220 +V/ +tp8034 +a(g220 +V^(.+) +p8035 +tp8036 +a(g220 +V\u005c +tp8037 +a(g220 +V[("[^ +p8038 +tp8039 +a(g220 +V\u005c +tp8040 +a(g220 +V]]*"|'[^ +p8041 +tp8042 +a(g220 +V\u005c +tp8043 +a(g220 +V]]*'|[0-9]*) +p8044 +tp8045 +a(g220 +V\u005c +tp8046 +a(g220 +V]$ +p8047 +tp8048 +a(g220 +V/ +tp8049 +a(g180 +V\u000a +p8050 +tp8051 +a(g43 +Vkeys +p8052 +tp8053 +a(g180 +V +tp8054 +a(g334 +V= +tp8055 +a(g180 +V +tp8056 +a(g334 +V[ +tp8057 +a(g334 +V] +tp8058 +a(g180 +V\u000a +p8059 +tp8060 +a(g6 +Vwhile +p8061 +tp8062 +a(g180 +V +tp8063 +a(g43 +Vmatch +p8064 +tp8065 +a(g180 +V +tp8066 +a(g334 +V= +tp8067 +a(g180 +V +tp8068 +a(g43 +Vpattern +p8069 +tp8070 +a(g334 +V. +tp8071 +a(g43 +Vmatch +p8072 +tp8073 +a(g193 +V( +tp8074 +a(g43 +Varg_key +p8075 +tp8076 +a(g193 +V) +tp8077 +a(g180 +V\u000a +p8078 +tp8079 +a(g43 +Varg_key +p8080 +tp8081 +a(g180 +V +tp8082 +a(g334 +V= +tp8083 +a(g180 +V +tp8084 +a(g43 +Vmatch +p8085 +tp8086 +a(g334 +V[ +tp8087 +a(g309 +V1 +tp8088 +a(g334 +V] +tp8089 +a(g180 +V\u000a +p8090 +tp8091 +a(g43 +Vkeys +p8092 +tp8093 +a(g180 +V +tp8094 +a(g334 +V= +tp8095 +a(g180 +V +tp8096 +a(g334 +V[ +tp8097 +a(g43 +Vmatch +p8098 +tp8099 +a(g334 +V[ +tp8100 +a(g309 +V2 +tp8101 +a(g334 +V] +tp8102 +a(g334 +V] +tp8103 +a(g180 +V +tp8104 +a(g334 +V+ +tp8105 +a(g180 +V +tp8106 +a(g43 +Vkeys +p8107 +tp8108 +a(g180 +V\u000a +p8109 +tp8110 +a(g6 +Vend +p8111 +tp8112 +a(g180 +V\u000a +p8113 +tp8114 +a(g43 +Vkeys +p8115 +tp8116 +a(g180 +V +tp8117 +a(g334 +V= +tp8118 +a(g180 +V +tp8119 +a(g334 +V[ +tp8120 +a(g43 +Varg_key +p8121 +tp8122 +a(g334 +V] +tp8123 +a(g180 +V +tp8124 +a(g334 +V+ +tp8125 +a(g180 +V +tp8126 +a(g43 +Vkeys +p8127 +tp8128 +a(g180 +V\u000a\u000a +p8129 +tp8130 +a(g43 +Vakt +p8131 +tp8132 +a(g180 +V +tp8133 +a(g334 +V= +tp8134 +a(g180 +V +tp8135 +a(g104 +V@post +p8136 +tp8137 +a(g180 +V\u000a +p8138 +tp8139 +a(g43 +Vlast +p8140 +tp8141 +a(g180 +V +tp8142 +a(g334 +V= +tp8143 +a(g180 +V +tp8144 +a(g347 +Vnil +p8145 +tp8146 +a(g180 +V\u000a +p8147 +tp8148 +a(g43 +Vlastkey +p8149 +tp8150 +a(g180 +V +tp8151 +a(g334 +V= +tp8152 +a(g180 +V +tp8153 +a(g347 +Vnil +p8154 +tp8155 +a(g180 +V\u000a +p8156 +tp8157 +a(g43 +Vkeys +p8158 +tp8159 +a(g334 +V. +tp8160 +a(g43 +Veach +p8161 +tp8162 +a(g180 +V +tp8163 +a(g6 +Vdo +p8164 +tp8165 +a(g180 +V +tp8166 +a(g334 +V| +tp8167 +a(g43 +Vkey +p8168 +tp8169 +a(g334 +V| +tp8170 +a(g180 +V\u000a +p8171 +tp8172 +a(g6 +Vif +p8173 +tp8174 +a(g180 +V +tp8175 +a(g43 +Vkey +p8176 +tp8177 +a(g180 +V +tp8178 +a(g334 +V== +p8179 +tp8180 +a(g180 +V +tp8181 +a(g236 +V" +tp8182 +a(g236 +V" +tp8183 +a(g180 +V\u000a +p8184 +tp8185 +a(g29 +V# No key specified (like in "test[]"), so we use +p8186 +tp8187 +a(g180 +V\u000a +p8188 +tp8189 +a(g29 +V# the lowerst unused Integer as key +p8190 +tp8191 +a(g180 +V\u000a +p8192 +tp8193 +a(g43 +Vkey +p8194 +tp8195 +a(g180 +V +tp8196 +a(g334 +V= +tp8197 +a(g180 +V +tp8198 +a(g309 +V0 +tp8199 +a(g180 +V\u000a +p8200 +tp8201 +a(g6 +Vwhile +p8202 +tp8203 +a(g180 +V +tp8204 +a(g43 +Vakt +p8205 +tp8206 +a(g334 +V. +tp8207 +a(g43 +Vhas_key? +p8208 +tp8209 +a(g193 +V( +tp8210 +a(g43 +Vkey +p8211 +tp8212 +a(g193 +V) +tp8213 +a(g180 +V\u000a +p8214 +tp8215 +a(g43 +Vkey +p8216 +tp8217 +a(g180 +V +tp8218 +a(g334 +V+= +p8219 +tp8220 +a(g180 +V +tp8221 +a(g309 +V1 +tp8222 +a(g180 +V\u000a +p8223 +tp8224 +a(g6 +Vend +p8225 +tp8226 +a(g180 +V\u000a +p8227 +tp8228 +a(g6 +Velsif +p8229 +tp8230 +a(g220 +V /^ +p8231 +tp8232 +a(g220 +V[0-9]*$ +p8233 +tp8234 +a(g220 +V/ +tp8235 +a(g180 +V +tp8236 +a(g334 +V=~ +p8237 +tp8238 +a(g180 +V +tp8239 +a(g43 +Vkey +p8240 +tp8241 +a(g180 +V\u000a +p8242 +tp8243 +a(g29 +V# If the index is numerical convert it to an Integer +p8244 +tp8245 +a(g180 +V\u000a +p8246 +tp8247 +a(g43 +Vkey +p8248 +tp8249 +a(g180 +V +tp8250 +a(g334 +V= +tp8251 +a(g180 +V +tp8252 +a(g43 +Vkey +p8253 +tp8254 +a(g334 +V. +tp8255 +a(g43 +Vto_i +p8256 +tp8257 +a(g180 +V\u000a +p8258 +tp8259 +a(g6 +Velsif +p8260 +tp8261 +a(g180 +V +tp8262 +a(g43 +Vkey +p8263 +tp8264 +a(g334 +V[ +tp8265 +a(g309 +V0 +tp8266 +a(g334 +V] +tp8267 +a(g334 +V. +tp8268 +a(g43 +Vchr +p8269 +tp8270 +a(g180 +V +tp8271 +a(g334 +V== +p8272 +tp8273 +a(g180 +V +tp8274 +a(g236 +V" +tp8275 +a(g236 +V' +tp8276 +a(g236 +V" +tp8277 +a(g180 +V +tp8278 +a(g334 +V|| +p8279 +tp8280 +a(g180 +V +tp8281 +a(g43 +Vkey +p8282 +tp8283 +a(g334 +V[ +tp8284 +a(g309 +V0 +tp8285 +a(g334 +V] +tp8286 +a(g334 +V. +tp8287 +a(g43 +Vchr +p8288 +tp8289 +a(g180 +V +tp8290 +a(g334 +V== +p8291 +tp8292 +a(g180 +V +tp8293 +a(g262 +V'"' +p8294 +tp8295 +a(g180 +V\u000a +p8296 +tp8297 +a(g43 +Vkey +p8298 +tp8299 +a(g180 +V +tp8300 +a(g334 +V= +tp8301 +a(g180 +V +tp8302 +a(g43 +Vkey +p8303 +tp8304 +a(g334 +V[ +tp8305 +a(g309 +V1 +tp8306 +a(g193 +V, +tp8307 +a(g180 +V +tp8308 +a(g43 +Vkey +p8309 +tp8310 +a(g334 +V. +tp8311 +a(g43 +Vlength +p8312 +tp8313 +a(g193 +V( +tp8314 +a(g193 +V) +tp8315 +a(g180 +V +tp8316 +a(g334 +V- +tp8317 +a(g309 +V2 +tp8318 +a(g334 +V] +tp8319 +a(g180 +V\u000a +p8320 +tp8321 +a(g6 +Vend +p8322 +tp8323 +a(g180 +V\u000a +p8324 +tp8325 +a(g6 +Vif +p8326 +tp8327 +a(g180 +V +tp8328 +a(g334 +V! +tp8329 +a(g43 +Vakt +p8330 +tp8331 +a(g334 +V. +tp8332 +a(g43 +Vhas_key? +p8333 +tp8334 +a(g193 +V( +tp8335 +a(g43 +Vkey +p8336 +tp8337 +a(g193 +V) +tp8338 +a(g180 +V +tp8339 +a(g334 +V|| +p8340 +tp8341 +a(g180 +V +tp8342 +a(g334 +V! +tp8343 +a(g43 +Vakt +p8344 +tp8345 +a(g334 +V[ +tp8346 +a(g43 +Vkey +p8347 +tp8348 +a(g334 +V] +tp8349 +a(g334 +V. +tp8350 +a(g43 +Vclass +p8351 +tp8352 +a(g180 +V +tp8353 +a(g334 +V== +p8354 +tp8355 +a(g180 +V +tp8356 +a(g57 +VHash +p8357 +tp8358 +a(g180 +V\u000a +p8359 +tp8360 +a(g29 +V# create an empty Hash if there isn't already one +p8361 +tp8362 +a(g180 +V\u000a +p8363 +tp8364 +a(g43 +Vakt +p8365 +tp8366 +a(g334 +V[ +tp8367 +a(g43 +Vkey +p8368 +tp8369 +a(g334 +V] +tp8370 +a(g180 +V +tp8371 +a(g334 +V= +tp8372 +a(g180 +V +tp8373 +a(g193 +V{ +tp8374 +a(g193 +V} +tp8375 +a(g180 +V\u000a +p8376 +tp8377 +a(g6 +Vend +p8378 +tp8379 +a(g180 +V\u000a +p8380 +tp8381 +a(g43 +Vlast +p8382 +tp8383 +a(g180 +V +tp8384 +a(g334 +V= +tp8385 +a(g180 +V +tp8386 +a(g43 +Vakt +p8387 +tp8388 +a(g180 +V\u000a +p8389 +tp8390 +a(g43 +Vlastkey +p8391 +tp8392 +a(g180 +V +tp8393 +a(g334 +V= +tp8394 +a(g180 +V +tp8395 +a(g43 +Vkey +p8396 +tp8397 +a(g180 +V\u000a +p8398 +tp8399 +a(g43 +Vakt +p8400 +tp8401 +a(g180 +V +tp8402 +a(g334 +V= +tp8403 +a(g180 +V +tp8404 +a(g43 +Vakt +p8405 +tp8406 +a(g334 +V[ +tp8407 +a(g43 +Vkey +p8408 +tp8409 +a(g334 +V] +tp8410 +a(g180 +V\u000a +p8411 +tp8412 +a(g6 +Vend +p8413 +tp8414 +a(g180 +V\u000a +p8415 +tp8416 +a(g43 +Vlast +p8417 +tp8418 +a(g334 +V[ +tp8419 +a(g43 +Vlastkey +p8420 +tp8421 +a(g334 +V] +tp8422 +a(g180 +V +tp8423 +a(g334 +V= +tp8424 +a(g180 +V +tp8425 +a(g43 +Varg_val +p8426 +tp8427 +a(g180 +V\u000a +p8428 +tp8429 +a(g6 +Vend +p8430 +tp8431 +a(g180 +V\u000a +p8432 +tp8433 +a(g6 +Velse +p8434 +tp8435 +a(g180 +V\u000a +p8436 +tp8437 +a(g29 +V# Maybe we should print a warning here? +p8438 +tp8439 +a(g180 +V\u000a +p8440 +tp8441 +a(g100 +V$stderr +p8442 +tp8443 +a(g334 +V. +tp8444 +a(g43 +Vprint +p8445 +tp8446 +a(g193 +V( +tp8447 +a(g236 +V" +tp8448 +a(g236 +VUnidentified form data recived and discarded. +p8449 +tp8450 +a(g236 +V" +tp8451 +a(g193 +V) +tp8452 +a(g180 +V\u000a +p8453 +tp8454 +a(g6 +Vend +p8455 +tp8456 +a(g180 +V\u000a +p8457 +tp8458 +a(g6 +Vend +p8459 +tp8460 +a(g180 +V\u000a\u000a +p8461 +tp8462 +a(g6 +Vif +p8463 +tp8464 +a(g180 +V +tp8465 +a(g104 +V@env +p8466 +tp8467 +a(g334 +V. +tp8468 +a(g43 +Vhas_key? +p8469 +tp8470 +a(g193 +V( +tp8471 +a(g236 +V" +tp8472 +a(g236 +VHTTP_COOKIE +p8473 +tp8474 +a(g236 +V" +tp8475 +a(g193 +V) +tp8476 +a(g180 +V\u000a +p8477 +tp8478 +a(g43 +Vcookie +p8479 +tp8480 +a(g180 +V +tp8481 +a(g334 +V= +tp8482 +a(g180 +V +tp8483 +a(g104 +V@env +p8484 +tp8485 +a(g334 +V[ +tp8486 +a(g262 +V'HTTP_COOKIE' +p8487 +tp8488 +a(g334 +V] +tp8489 +a(g334 +V. +tp8490 +a(g43 +Vsplit +p8491 +tp8492 +a(g193 +V( +tp8493 +a(g220 +V/ +tp8494 +a(g220 +V; ? +p8495 +tp8496 +a(g220 +V/ +tp8497 +a(g193 +V) +tp8498 +a(g180 +V\u000a +p8499 +tp8500 +a(g43 +Vcookie +p8501 +tp8502 +a(g334 +V. +tp8503 +a(g43 +Veach +p8504 +tp8505 +a(g180 +V +tp8506 +a(g6 +Vdo +p8507 +tp8508 +a(g180 +V +tp8509 +a(g334 +V| +tp8510 +a(g180 +V +tp8511 +a(g43 +Vc +tp8512 +a(g180 +V +tp8513 +a(g334 +V| +tp8514 +a(g180 +V\u000a +p8515 +tp8516 +a(g43 +Vcookie_key +p8517 +tp8518 +a(g193 +V, +tp8519 +a(g180 +V +tp8520 +a(g43 +Vcookie_val +p8521 +tp8522 +a(g180 +V +tp8523 +a(g334 +V= +tp8524 +a(g180 +V +tp8525 +a(g43 +Vc +tp8526 +a(g334 +V. +tp8527 +a(g43 +Vsplit +p8528 +tp8529 +a(g193 +V( +tp8530 +a(g220 +V/ +tp8531 +a(g220 +V= +tp8532 +a(g220 +V/ +tp8533 +a(g193 +V, +tp8534 +a(g180 +V +tp8535 +a(g309 +V2 +tp8536 +a(g193 +V) +tp8537 +a(g180 +V\u000a\u000a +p8538 +tp8539 +a(g104 +V@cookies +p8540 +tp8541 +a(g180 +V +tp8542 +a(g334 +V[ +tp8543 +a(g57 +VRweb +p8544 +tp8545 +a(g334 +V:: +p8546 +tp8547 +a(g43 +Vunescape +p8548 +tp8549 +a(g193 +V( +tp8550 +a(g43 +Vcookie_key +p8551 +tp8552 +a(g193 +V) +tp8553 +a(g334 +V] +tp8554 +a(g180 +V +tp8555 +a(g334 +V= +tp8556 +a(g180 +V +tp8557 +a(g57 +VRweb +p8558 +tp8559 +a(g334 +V:: +p8560 +tp8561 +a(g43 +Vunescape +p8562 +tp8563 +a(g193 +V( +tp8564 +a(g43 +Vcookie_val +p8565 +tp8566 +a(g193 +V) +tp8567 +a(g180 +V\u000a +p8568 +tp8569 +a(g6 +Vend +p8570 +tp8571 +a(g180 +V\u000a +p8572 +tp8573 +a(g6 +Vend +p8574 +tp8575 +a(g180 +V\u000a\u000a +p8576 +tp8577 +a(g6 +Vif +p8578 +tp8579 +a(g180 +V +tp8580 +a(g43 +Vdefined? +p8581 +tp8582 +a(g193 +V( +tp8583 +a(g104 +V@env +p8584 +tp8585 +a(g334 +V[ +tp8586 +a(g262 +V'HTTP_USER_AGENT' +p8587 +tp8588 +a(g334 +V] +tp8589 +a(g193 +V) +tp8590 +a(g180 +V\u000a +p8591 +tp8592 +a(g104 +V@user_agent +p8593 +tp8594 +a(g180 +V +tp8595 +a(g334 +V= +tp8596 +a(g180 +V +tp8597 +a(g104 +V@env +p8598 +tp8599 +a(g334 +V[ +tp8600 +a(g262 +V'HTTP_USER_AGENT' +p8601 +tp8602 +a(g334 +V] +tp8603 +a(g180 +V\u000a +p8604 +tp8605 +a(g6 +Velse +p8606 +tp8607 +a(g180 +V\u000a +p8608 +tp8609 +a(g104 +V@user_agent +p8610 +tp8611 +a(g180 +V +tp8612 +a(g334 +V= +tp8613 +a(g180 +V +tp8614 +a(g347 +Vnil +p8615 +tp8616 +a(g193 +V; +tp8617 +a(g180 +V\u000a +p8618 +tp8619 +a(g6 +Vend +p8620 +tp8621 +a(g180 +V\u000a\u000a +p8622 +tp8623 +a(g6 +Vif +p8624 +tp8625 +a(g180 +V +tp8626 +a(g43 +Vdefined? +p8627 +tp8628 +a(g193 +V( +tp8629 +a(g104 +V@env +p8630 +tp8631 +a(g334 +V[ +tp8632 +a(g262 +V'REMOTE_ADDR' +p8633 +tp8634 +a(g334 +V] +tp8635 +a(g193 +V) +tp8636 +a(g180 +V\u000a +p8637 +tp8638 +a(g104 +V@remote_addr +p8639 +tp8640 +a(g180 +V +tp8641 +a(g334 +V= +tp8642 +a(g180 +V +tp8643 +a(g104 +V@env +p8644 +tp8645 +a(g334 +V[ +tp8646 +a(g262 +V'REMOTE_ADDR' +p8647 +tp8648 +a(g334 +V] +tp8649 +a(g180 +V\u000a +p8650 +tp8651 +a(g6 +Velse +p8652 +tp8653 +a(g180 +V\u000a +p8654 +tp8655 +a(g104 +V@remote_addr +p8656 +tp8657 +a(g180 +V +tp8658 +a(g334 +V= +tp8659 +a(g180 +V +tp8660 +a(g347 +Vnil +p8661 +tp8662 +a(g180 +V\u000a +p8663 +tp8664 +a(g6 +Vend +p8665 +tp8666 +a(g180 +V\u000a +p8667 +tp8668 +a(g29 +V# }}} +p8669 +tp8670 +a(g180 +V\u000a +p8671 +tp8672 +a(g6 +Vend +p8673 +tp8674 +a(g180 +V\u000a\u000a +p8675 +tp8676 +a(g29 +V# Prints a String to the client. If caching is enabled, the String will +p8677 +tp8678 +a(g180 +V\u000a +p8679 +tp8680 +a(g29 +V# buffered until the end of the out block ends. +p8681 +tp8682 +a(g180 +V\u000a +p8683 +tp8684 +a(g6 +Vdef +p8685 +tp8686 +a(g180 +V +tp8687 +a(g46 +Vprint +p8688 +tp8689 +a(g193 +V( +tp8690 +a(g43 +Vstr +p8691 +tp8692 +a(g180 +V +tp8693 +a(g334 +V= +tp8694 +a(g180 +V +tp8695 +a(g236 +V" +tp8696 +a(g236 +V" +tp8697 +a(g193 +V) +tp8698 +a(g180 +V\u000a +p8699 +tp8700 +a(g29 +V# {{{ +p8701 +tp8702 +a(g180 +V\u000a +p8703 +tp8704 +a(g6 +Vunless +p8705 +tp8706 +a(g180 +V +tp8707 +a(g104 +V@output_allowed +p8708 +tp8709 +a(g180 +V\u000a +p8710 +tp8711 +a(g6 +Vraise +p8712 +tp8713 +a(g180 +V +tp8714 +a(g236 +V" +tp8715 +a(g236 +VYou just can write to output inside of a Rweb::out-block +p8716 +tp8717 +a(g236 +V" +tp8718 +a(g180 +V\u000a +p8719 +tp8720 +a(g6 +Vend +p8721 +tp8722 +a(g180 +V\u000a\u000a +p8723 +tp8724 +a(g6 +Vif +p8725 +tp8726 +a(g180 +V +tp8727 +a(g104 +V@settings +p8728 +tp8729 +a(g334 +V[ +tp8730 +a(g236 +V" +tp8731 +a(g236 +Vcache +p8732 +tp8733 +a(g236 +V" +tp8734 +a(g334 +V] +tp8735 +a(g180 +V\u000a +p8736 +tp8737 +a(g104 +V@buffer +p8738 +tp8739 +a(g180 +V +tp8740 +a(g334 +V+= +p8741 +tp8742 +a(g180 +V +tp8743 +a(g334 +V[ +tp8744 +a(g43 +Vstr +p8745 +tp8746 +a(g334 +V. +tp8747 +a(g43 +Vto_s +p8748 +tp8749 +a(g334 +V] +tp8750 +a(g180 +V\u000a +p8751 +tp8752 +a(g6 +Velse +p8753 +tp8754 +a(g180 +V\u000a +p8755 +tp8756 +a(g6 +Vunless +p8757 +tp8758 +a(g180 +V +tp8759 +a(g104 +V@output_started +p8760 +tp8761 +a(g180 +V\u000a +p8762 +tp8763 +a(g43 +VsendHeaders +p8764 +tp8765 +a(g180 +V\u000a +p8766 +tp8767 +a(g6 +Vend +p8768 +tp8769 +a(g180 +V\u000a +p8770 +tp8771 +a(g100 +V$stdout +p8772 +tp8773 +a(g334 +V. +tp8774 +a(g43 +Vprint +p8775 +tp8776 +a(g193 +V( +tp8777 +a(g43 +Vstr +p8778 +tp8779 +a(g193 +V) +tp8780 +a(g180 +V\u000a +p8781 +tp8782 +a(g6 +Vend +p8783 +tp8784 +a(g180 +V\u000a +p8785 +tp8786 +a(g347 +Vnil +p8787 +tp8788 +a(g180 +V\u000a +p8789 +tp8790 +a(g29 +V# }}} +p8791 +tp8792 +a(g180 +V\u000a +p8793 +tp8794 +a(g6 +Vend +p8795 +tp8796 +a(g180 +V\u000a\u000a +p8797 +tp8798 +a(g29 +V# Prints a String to the client and adds a line break at the end. Please +p8799 +tp8800 +a(g180 +V\u000a +p8801 +tp8802 +a(g29 +V# remember, that a line break is not visible in HTML, use the
    HTML-Tag +p8803 +tp8804 +a(g180 +V\u000a +p8805 +tp8806 +a(g29 +V# for this. If caching is enabled, the String will buffered until the end +p8807 +tp8808 +a(g180 +V\u000a +p8809 +tp8810 +a(g29 +V# of the out block ends. +p8811 +tp8812 +a(g180 +V\u000a +p8813 +tp8814 +a(g6 +Vdef +p8815 +tp8816 +a(g180 +V +tp8817 +a(g46 +Vputs +p8818 +tp8819 +a(g193 +V( +tp8820 +a(g43 +Vstr +p8821 +tp8822 +a(g180 +V +tp8823 +a(g334 +V= +tp8824 +a(g180 +V +tp8825 +a(g236 +V" +tp8826 +a(g236 +V" +tp8827 +a(g193 +V) +tp8828 +a(g180 +V\u000a +p8829 +tp8830 +a(g29 +V# {{{ +p8831 +tp8832 +a(g180 +V\u000a +p8833 +tp8834 +a(g81 +Vself +p8835 +tp8836 +a(g334 +V. +tp8837 +a(g43 +Vprint +p8838 +tp8839 +a(g193 +V( +tp8840 +a(g43 +Vstr +p8841 +tp8842 +a(g180 +V +tp8843 +a(g334 +V+ +tp8844 +a(g180 +V +tp8845 +a(g236 +V" +tp8846 +a(g243 +V\u005cn +p8847 +tp8848 +a(g236 +V" +tp8849 +a(g193 +V) +tp8850 +a(g180 +V\u000a +p8851 +tp8852 +a(g29 +V# }}} +p8853 +tp8854 +a(g180 +V\u000a +p8855 +tp8856 +a(g6 +Vend +p8857 +tp8858 +a(g180 +V\u000a\u000a +p8859 +tp8860 +a(g29 +V# Alias to print. +p8861 +tp8862 +a(g180 +V\u000a +p8863 +tp8864 +a(g6 +Vdef +p8865 +tp8866 +a(g180 +V +tp8867 +a(g46 +Vwrite +p8868 +tp8869 +a(g193 +V( +tp8870 +a(g43 +Vstr +p8871 +tp8872 +a(g180 +V +tp8873 +a(g334 +V= +tp8874 +a(g180 +V +tp8875 +a(g236 +V" +tp8876 +a(g236 +V" +tp8877 +a(g193 +V) +tp8878 +a(g180 +V\u000a +p8879 +tp8880 +a(g29 +V# {{{ +p8881 +tp8882 +a(g180 +V\u000a +p8883 +tp8884 +a(g81 +Vself +p8885 +tp8886 +a(g334 +V. +tp8887 +a(g43 +Vprint +p8888 +tp8889 +a(g193 +V( +tp8890 +a(g43 +Vstr +p8891 +tp8892 +a(g193 +V) +tp8893 +a(g180 +V\u000a +p8894 +tp8895 +a(g29 +V# }}} +p8896 +tp8897 +a(g180 +V\u000a +p8898 +tp8899 +a(g6 +Vend +p8900 +tp8901 +a(g180 +V\u000a\u000a +p8902 +tp8903 +a(g29 +V# If caching is enabled, all cached data are send to the cliend and the +p8904 +tp8905 +a(g180 +V\u000a +p8906 +tp8907 +a(g29 +V# cache emptied. +p8908 +tp8909 +a(g180 +V\u000a +p8910 +tp8911 +a(g6 +Vdef +p8912 +tp8913 +a(g180 +V +tp8914 +a(g46 +Vflush +p8915 +tp8916 +a(g180 +V\u000a +p8917 +tp8918 +a(g29 +V# {{{ +p8919 +tp8920 +a(g180 +V\u000a +p8921 +tp8922 +a(g6 +Vunless +p8923 +tp8924 +a(g180 +V +tp8925 +a(g104 +V@output_allowed +p8926 +tp8927 +a(g180 +V\u000a +p8928 +tp8929 +a(g6 +Vraise +p8930 +tp8931 +a(g180 +V +tp8932 +a(g236 +V" +tp8933 +a(g236 +VYou can't use flush outside of a Rweb::out-block +p8934 +tp8935 +a(g236 +V" +tp8936 +a(g180 +V\u000a +p8937 +tp8938 +a(g6 +Vend +p8939 +tp8940 +a(g180 +V\u000a +p8941 +tp8942 +a(g43 +Vbuffer +p8943 +tp8944 +a(g180 +V +tp8945 +a(g334 +V= +tp8946 +a(g180 +V +tp8947 +a(g104 +V@buffer +p8948 +tp8949 +a(g334 +V. +tp8950 +a(g43 +Vjoin +p8951 +tp8952 +a(g180 +V\u000a\u000a +p8953 +tp8954 +a(g6 +Vunless +p8955 +tp8956 +a(g180 +V +tp8957 +a(g104 +V@output_started +p8958 +tp8959 +a(g180 +V\u000a +p8960 +tp8961 +a(g43 +VsendHeaders +p8962 +tp8963 +a(g180 +V\u000a +p8964 +tp8965 +a(g6 +Vend +p8966 +tp8967 +a(g180 +V\u000a +p8968 +tp8969 +a(g100 +V$stdout +p8970 +tp8971 +a(g334 +V. +tp8972 +a(g43 +Vprint +p8973 +tp8974 +a(g193 +V( +tp8975 +a(g43 +Vbuffer +p8976 +tp8977 +a(g193 +V) +tp8978 +a(g180 +V\u000a\u000a +p8979 +tp8980 +a(g104 +V@buffer +p8981 +tp8982 +a(g180 +V +tp8983 +a(g334 +V= +tp8984 +a(g180 +V +tp8985 +a(g334 +V[ +tp8986 +a(g334 +V] +tp8987 +a(g180 +V\u000a +p8988 +tp8989 +a(g29 +V# }}} +p8990 +tp8991 +a(g180 +V\u000a +p8992 +tp8993 +a(g6 +Vend +p8994 +tp8995 +a(g180 +V\u000a\u000a +p8996 +tp8997 +a(g29 +V# Sends one or more header to the client. All headers are cached just +p8998 +tp8999 +a(g180 +V\u000a +p9000 +tp9001 +a(g29 +V# before body data are send to the client. If the same header are set +p9002 +tp9003 +a(g180 +V\u000a +p9004 +tp9005 +a(g29 +V# twice, only the last value is send. +p9006 +tp9007 +a(g180 +V\u000a +p9008 +tp9009 +a(g29 +V# +tp9010 +a(g180 +V\u000a +p9011 +tp9012 +a(g29 +V# Example: +p9013 +tp9014 +a(g180 +V\u000a +p9015 +tp9016 +a(g29 +V# web.header("Last-Modified: Mon, 16 Feb 2004 20:15:41 GMT") +p9017 +tp9018 +a(g180 +V\u000a +p9019 +tp9020 +a(g29 +V# web.header("Location: http://www.ruby-lang.org") +p9021 +tp9022 +a(g180 +V\u000a +p9023 +tp9024 +a(g29 +V# +tp9025 +a(g180 +V\u000a +p9026 +tp9027 +a(g29 +V# You can specify more than one header at the time by doing something like +p9028 +tp9029 +a(g180 +V\u000a +p9030 +tp9031 +a(g29 +V# this: +p9032 +tp9033 +a(g180 +V\u000a +p9034 +tp9035 +a(g29 +V# web.header("Content-Type: text/plain\u005cnContent-Length: 383") +p9036 +tp9037 +a(g180 +V\u000a +p9038 +tp9039 +a(g29 +V# or +p9040 +tp9041 +a(g180 +V\u000a +p9042 +tp9043 +a(g29 +V# web.header(["Content-Type: text/plain", "Content-Length: 383"]) +p9044 +tp9045 +a(g180 +V\u000a +p9046 +tp9047 +a(g6 +Vdef +p9048 +tp9049 +a(g180 +V +tp9050 +a(g46 +Vheader +p9051 +tp9052 +a(g193 +V( +tp9053 +a(g43 +Vstr +p9054 +tp9055 +a(g193 +V) +tp9056 +a(g180 +V\u000a +p9057 +tp9058 +a(g29 +V# {{{ +p9059 +tp9060 +a(g180 +V\u000a +p9061 +tp9062 +a(g6 +Vif +p9063 +tp9064 +a(g180 +V +tp9065 +a(g104 +V@output_started +p9066 +tp9067 +a(g180 +V\u000a +p9068 +tp9069 +a(g6 +Vraise +p9070 +tp9071 +a(g180 +V +tp9072 +a(g236 +V" +tp9073 +a(g236 +VHTTP-Headers are already send. You can't change them after output has started! +p9074 +tp9075 +a(g236 +V" +tp9076 +a(g180 +V\u000a +p9077 +tp9078 +a(g6 +Vend +p9079 +tp9080 +a(g180 +V\u000a +p9081 +tp9082 +a(g6 +Vunless +p9083 +tp9084 +a(g180 +V +tp9085 +a(g104 +V@output_allowed +p9086 +tp9087 +a(g180 +V\u000a +p9088 +tp9089 +a(g6 +Vraise +p9090 +tp9091 +a(g180 +V +tp9092 +a(g236 +V" +tp9093 +a(g236 +VYou just can set headers inside of a Rweb::out-block +p9094 +tp9095 +a(g236 +V" +tp9096 +a(g180 +V\u000a +p9097 +tp9098 +a(g6 +Vend +p9099 +tp9100 +a(g180 +V\u000a +p9101 +tp9102 +a(g6 +Vif +p9103 +tp9104 +a(g180 +V +tp9105 +a(g43 +Vstr +p9106 +tp9107 +a(g334 +V. +tp9108 +a(g43 +Vis_a? +p9109 +tp9110 +a(g81 +VArray +p9111 +tp9112 +a(g180 +V\u000a +p9113 +tp9114 +a(g43 +Vstr +p9115 +tp9116 +a(g334 +V. +tp9117 +a(g43 +Veach +p9118 +tp9119 +a(g180 +V +tp9120 +a(g6 +Vdo +p9121 +tp9122 +a(g180 +V +tp9123 +a(g334 +V| +tp9124 +a(g180 +V +tp9125 +a(g43 +Vvalue +p9126 +tp9127 +a(g180 +V +tp9128 +a(g334 +V| +tp9129 +a(g180 +V\u000a +p9130 +tp9131 +a(g81 +Vself +p9132 +tp9133 +a(g334 +V. +tp9134 +a(g43 +Vheader +p9135 +tp9136 +a(g193 +V( +tp9137 +a(g43 +Vvalue +p9138 +tp9139 +a(g193 +V) +tp9140 +a(g180 +V\u000a +p9141 +tp9142 +a(g6 +Vend +p9143 +tp9144 +a(g180 +V\u000a\u000a +p9145 +tp9146 +a(g6 +Velsif +p9147 +tp9148 +a(g180 +V +tp9149 +a(g43 +Vstr +p9150 +tp9151 +a(g334 +V. +tp9152 +a(g43 +Vsplit +p9153 +tp9154 +a(g193 +V( +tp9155 +a(g220 +V/ +tp9156 +a(g220 +V\u005c +tp9157 +a(g220 +Vn +tp9158 +a(g220 +V/ +tp9159 +a(g193 +V) +tp9160 +a(g334 +V. +tp9161 +a(g43 +Vlength +p9162 +tp9163 +a(g180 +V +tp9164 +a(g334 +V> +tp9165 +a(g180 +V +tp9166 +a(g309 +V1 +tp9167 +a(g180 +V\u000a +p9168 +tp9169 +a(g43 +Vstr +p9170 +tp9171 +a(g334 +V. +tp9172 +a(g43 +Vsplit +p9173 +tp9174 +a(g193 +V( +tp9175 +a(g220 +V/ +tp9176 +a(g220 +V\u005c +tp9177 +a(g220 +Vn +tp9178 +a(g220 +V/ +tp9179 +a(g193 +V) +tp9180 +a(g334 +V. +tp9181 +a(g43 +Veach +p9182 +tp9183 +a(g180 +V +tp9184 +a(g6 +Vdo +p9185 +tp9186 +a(g180 +V +tp9187 +a(g334 +V| +tp9188 +a(g180 +V +tp9189 +a(g43 +Vvalue +p9190 +tp9191 +a(g180 +V +tp9192 +a(g334 +V| +tp9193 +a(g180 +V\u000a +p9194 +tp9195 +a(g81 +Vself +p9196 +tp9197 +a(g334 +V. +tp9198 +a(g43 +Vheader +p9199 +tp9200 +a(g193 +V( +tp9201 +a(g43 +Vvalue +p9202 +tp9203 +a(g193 +V) +tp9204 +a(g180 +V\u000a +p9205 +tp9206 +a(g6 +Vend +p9207 +tp9208 +a(g180 +V\u000a\u000a +p9209 +tp9210 +a(g6 +Velsif +p9211 +tp9212 +a(g180 +V +tp9213 +a(g43 +Vstr +p9214 +tp9215 +a(g334 +V. +tp9216 +a(g43 +Vis_a? +p9217 +tp9218 +a(g180 +V +tp9219 +a(g81 +VString +p9220 +tp9221 +a(g180 +V\u000a +p9222 +tp9223 +a(g43 +Vstr +p9224 +tp9225 +a(g334 +V. +tp9226 +a(g43 +Vgsub! +p9227 +tp9228 +a(g193 +V( +tp9229 +a(g220 +V/ +tp9230 +a(g220 +V\u005c +tp9231 +a(g220 +Vr +tp9232 +a(g220 +V/ +tp9233 +a(g193 +V, +tp9234 +a(g180 +V +tp9235 +a(g236 +V" +tp9236 +a(g236 +V" +tp9237 +a(g193 +V) +tp9238 +a(g180 +V\u000a\u000a +p9239 +tp9240 +a(g6 +Vif +p9241 +tp9242 +a(g180 +V +tp9243 +a(g193 +V( +tp9244 +a(g43 +Vstr +p9245 +tp9246 +a(g180 +V +tp9247 +a(g334 +V=~ +p9248 +tp9249 +a(g180 +V +tp9250 +a(g220 +V/ +tp9251 +a(g220 +V^HTTP +p9252 +tp9253 +a(g220 +V\u005c/ +p9254 +tp9255 +a(g220 +V1 +tp9256 +a(g220 +V\u005c +tp9257 +a(g220 +V.[01] [0-9]{3} ?.*$ +p9258 +tp9259 +a(g220 +V/ +tp9260 +a(g193 +V) +tp9261 +a(g180 +V +tp9262 +a(g334 +V== +p9263 +tp9264 +a(g180 +V +tp9265 +a(g309 +V0 +tp9266 +a(g180 +V\u000a +p9267 +tp9268 +a(g43 +Vpattern +p9269 +tp9270 +a(g180 +V +tp9271 +a(g334 +V= +tp9272 +a(g180 +V +tp9273 +a(g220 +V/ +tp9274 +a(g220 +V^HTTP +p9275 +tp9276 +a(g220 +V\u005c/ +p9277 +tp9278 +a(g220 +V1.[01] ([0-9]{3}) ?(.*)$ +p9279 +tp9280 +a(g220 +V/ +tp9281 +a(g180 +V\u000a\u000a +p9282 +tp9283 +a(g43 +Vresult +p9284 +tp9285 +a(g180 +V +tp9286 +a(g334 +V= +tp9287 +a(g180 +V +tp9288 +a(g43 +Vpattern +p9289 +tp9290 +a(g334 +V. +tp9291 +a(g43 +Vmatch +p9292 +tp9293 +a(g193 +V( +tp9294 +a(g43 +Vstr +p9295 +tp9296 +a(g193 +V) +tp9297 +a(g180 +V\u000a +p9298 +tp9299 +a(g81 +Vself +p9300 +tp9301 +a(g334 +V. +tp9302 +a(g43 +Vsetstatus +p9303 +tp9304 +a(g193 +V( +tp9305 +a(g43 +Vresult +p9306 +tp9307 +a(g334 +V[ +tp9308 +a(g309 +V0 +tp9309 +a(g334 +V] +tp9310 +a(g193 +V, +tp9311 +a(g180 +V +tp9312 +a(g43 +Vresult +p9313 +tp9314 +a(g334 +V[ +tp9315 +a(g309 +V1 +tp9316 +a(g334 +V] +tp9317 +a(g193 +V) +tp9318 +a(g180 +V\u000a +p9319 +tp9320 +a(g6 +Velsif +p9321 +tp9322 +a(g180 +V +tp9323 +a(g193 +V( +tp9324 +a(g43 +Vstr +p9325 +tp9326 +a(g180 +V +tp9327 +a(g334 +V=~ +p9328 +tp9329 +a(g180 +V +tp9330 +a(g220 +V/ +tp9331 +a(g220 +V^status: [0-9]{3} ?.*$ +p9332 +tp9333 +a(g220 +V/i +p9334 +tp9335 +a(g193 +V) +tp9336 +a(g180 +V +tp9337 +a(g334 +V== +p9338 +tp9339 +a(g180 +V +tp9340 +a(g309 +V0 +tp9341 +a(g180 +V\u000a +p9342 +tp9343 +a(g43 +Vpattern +p9344 +tp9345 +a(g180 +V +tp9346 +a(g334 +V= +tp9347 +a(g180 +V +tp9348 +a(g220 +V/ +tp9349 +a(g220 +V^status: ([0-9]{3}) ?(.*)$ +p9350 +tp9351 +a(g220 +V/i +p9352 +tp9353 +a(g180 +V\u000a\u000a +p9354 +tp9355 +a(g43 +Vresult +p9356 +tp9357 +a(g180 +V +tp9358 +a(g334 +V= +tp9359 +a(g180 +V +tp9360 +a(g43 +Vpattern +p9361 +tp9362 +a(g334 +V. +tp9363 +a(g43 +Vmatch +p9364 +tp9365 +a(g193 +V( +tp9366 +a(g43 +Vstr +p9367 +tp9368 +a(g193 +V) +tp9369 +a(g180 +V\u000a +p9370 +tp9371 +a(g81 +Vself +p9372 +tp9373 +a(g334 +V. +tp9374 +a(g43 +Vsetstatus +p9375 +tp9376 +a(g193 +V( +tp9377 +a(g43 +Vresult +p9378 +tp9379 +a(g334 +V[ +tp9380 +a(g309 +V0 +tp9381 +a(g334 +V] +tp9382 +a(g193 +V, +tp9383 +a(g180 +V +tp9384 +a(g43 +Vresult +p9385 +tp9386 +a(g334 +V[ +tp9387 +a(g309 +V1 +tp9388 +a(g334 +V] +tp9389 +a(g193 +V) +tp9390 +a(g180 +V\u000a +p9391 +tp9392 +a(g6 +Velse +p9393 +tp9394 +a(g180 +V\u000a +p9395 +tp9396 +a(g43 +Va +tp9397 +a(g180 +V +tp9398 +a(g334 +V= +tp9399 +a(g180 +V +tp9400 +a(g43 +Vstr +p9401 +tp9402 +a(g334 +V. +tp9403 +a(g43 +Vsplit +p9404 +tp9405 +a(g193 +V( +tp9406 +a(g220 +V/ +tp9407 +a(g220 +V: ? +p9408 +tp9409 +a(g220 +V/ +tp9410 +a(g193 +V, +tp9411 +a(g180 +V +tp9412 +a(g309 +V2 +tp9413 +a(g193 +V) +tp9414 +a(g180 +V\u000a\u000a +p9415 +tp9416 +a(g104 +V@header +p9417 +tp9418 +a(g334 +V[ +tp9419 +a(g43 +Va +tp9420 +a(g334 +V[ +tp9421 +a(g309 +V0 +tp9422 +a(g334 +V] +tp9423 +a(g334 +V. +tp9424 +a(g43 +Vdowncase +p9425 +tp9426 +a(g334 +V] +tp9427 +a(g180 +V +tp9428 +a(g334 +V= +tp9429 +a(g180 +V +tp9430 +a(g43 +Va +tp9431 +a(g334 +V[ +tp9432 +a(g309 +V1 +tp9433 +a(g334 +V] +tp9434 +a(g180 +V\u000a +p9435 +tp9436 +a(g6 +Vend +p9437 +tp9438 +a(g180 +V\u000a +p9439 +tp9440 +a(g6 +Vend +p9441 +tp9442 +a(g180 +V\u000a +p9443 +tp9444 +a(g29 +V# }}} +p9445 +tp9446 +a(g180 +V\u000a +p9447 +tp9448 +a(g6 +Vend +p9449 +tp9450 +a(g180 +V\u000a\u000a +p9451 +tp9452 +a(g29 +V# Changes the status of this page. There are several codes like "200 OK", +p9453 +tp9454 +a(g180 +V\u000a +p9455 +tp9456 +a(g29 +V# "302 Found", "404 Not Found" or "500 Internal Server Error". A list of +p9457 +tp9458 +a(g180 +V\u000a +p9459 +tp9460 +a(g29 +V# all codes is available at +p9461 +tp9462 +a(g180 +V\u000a +p9463 +tp9464 +a(g29 +V# http://www.w3.org/Protocols/rfc2616/rfc2616-sec10.html#sec10 +p9465 +tp9466 +a(g180 +V\u000a +p9467 +tp9468 +a(g29 +V# +tp9469 +a(g180 +V\u000a +p9470 +tp9471 +a(g29 +V# You can just send the code number, the reason phrase will be added +p9472 +tp9473 +a(g180 +V\u000a +p9474 +tp9475 +a(g29 +V# automaticly with the recommendations from the w3c if not specified. If +p9476 +tp9477 +a(g180 +V\u000a +p9478 +tp9479 +a(g29 +V# you set the status twice or more, only the last status will be send. +p9480 +tp9481 +a(g180 +V\u000a +p9482 +tp9483 +a(g29 +V# Examples: +p9484 +tp9485 +a(g180 +V\u000a +p9486 +tp9487 +a(g29 +V# web.status("401 Unauthorized") +p9488 +tp9489 +a(g180 +V\u000a +p9490 +tp9491 +a(g29 +V# web.status("410 Sad but true, this lonely page is gone :(") +p9492 +tp9493 +a(g180 +V\u000a +p9494 +tp9495 +a(g29 +V# web.status(206) +p9496 +tp9497 +a(g180 +V\u000a +p9498 +tp9499 +a(g29 +V# web.status("400") +p9500 +tp9501 +a(g180 +V\u000a +p9502 +tp9503 +a(g29 +V# +tp9504 +a(g180 +V\u000a +p9505 +tp9506 +a(g29 +V# The default status is "200 OK". If a "Location" header is set, the +p9507 +tp9508 +a(g180 +V\u000a +p9509 +tp9510 +a(g29 +V# default status is "302 Found". +p9511 +tp9512 +a(g180 +V\u000a +p9513 +tp9514 +a(g6 +Vdef +p9515 +tp9516 +a(g180 +V +tp9517 +a(g46 +Vstatus +p9518 +tp9519 +a(g193 +V( +tp9520 +a(g43 +Vstr +p9521 +tp9522 +a(g193 +V) +tp9523 +a(g180 +V\u000a +p9524 +tp9525 +a(g29 +V# {{{ +p9526 +tp9527 +a(g180 +V\u000a +p9528 +tp9529 +a(g6 +Vif +p9530 +tp9531 +a(g180 +V +tp9532 +a(g104 +V@output_started +p9533 +tp9534 +a(g180 +V\u000a +p9535 +tp9536 +a(g6 +Vraise +p9537 +tp9538 +a(g180 +V +tp9539 +a(g236 +V" +tp9540 +a(g236 +VHTTP-Headers are already send. You can't change them after output has started! +p9541 +tp9542 +a(g236 +V" +tp9543 +a(g180 +V\u000a +p9544 +tp9545 +a(g6 +Vend +p9546 +tp9547 +a(g180 +V\u000a +p9548 +tp9549 +a(g6 +Vunless +p9550 +tp9551 +a(g180 +V +tp9552 +a(g104 +V@output_allowed +p9553 +tp9554 +a(g180 +V\u000a +p9555 +tp9556 +a(g6 +Vraise +p9557 +tp9558 +a(g180 +V +tp9559 +a(g236 +V" +tp9560 +a(g236 +VYou just can set headers inside of a Rweb::out-block +p9561 +tp9562 +a(g236 +V" +tp9563 +a(g180 +V\u000a +p9564 +tp9565 +a(g6 +Vend +p9566 +tp9567 +a(g180 +V\u000a +p9568 +tp9569 +a(g6 +Vif +p9570 +tp9571 +a(g180 +V +tp9572 +a(g43 +Vstr +p9573 +tp9574 +a(g334 +V. +tp9575 +a(g43 +Vis_a? +p9576 +tp9577 +a(g81 +VInteger +p9578 +tp9579 +a(g180 +V\u000a +p9580 +tp9581 +a(g104 +V@status +p9582 +tp9583 +a(g180 +V +tp9584 +a(g334 +V= +tp9585 +a(g180 +V +tp9586 +a(g43 +Vstr +p9587 +tp9588 +a(g180 +V\u000a +p9589 +tp9590 +a(g6 +Velsif +p9591 +tp9592 +a(g180 +V +tp9593 +a(g43 +Vstr +p9594 +tp9595 +a(g334 +V. +tp9596 +a(g43 +Vis_a? +p9597 +tp9598 +a(g81 +VString +p9599 +tp9600 +a(g180 +V\u000a +p9601 +tp9602 +a(g43 +Vp1 +p9603 +tp9604 +a(g180 +V +tp9605 +a(g334 +V= +tp9606 +a(g180 +V +tp9607 +a(g220 +V/ +tp9608 +a(g220 +V^([0-9]{3}) ?(.*)$ +p9609 +tp9610 +a(g220 +V/ +tp9611 +a(g180 +V\u000a +p9612 +tp9613 +a(g43 +Vp2 +p9614 +tp9615 +a(g180 +V +tp9616 +a(g334 +V= +tp9617 +a(g180 +V +tp9618 +a(g220 +V/ +tp9619 +a(g220 +V^HTTP +p9620 +tp9621 +a(g220 +V\u005c/ +p9622 +tp9623 +a(g220 +V1 +tp9624 +a(g220 +V\u005c +tp9625 +a(g220 +V.[01] ([0-9]{3}) ?(.*)$ +p9626 +tp9627 +a(g220 +V/ +tp9628 +a(g180 +V\u000a +p9629 +tp9630 +a(g43 +Vp3 +p9631 +tp9632 +a(g180 +V +tp9633 +a(g334 +V= +tp9634 +a(g180 +V +tp9635 +a(g220 +V/ +tp9636 +a(g220 +V^status: ([0-9]{3}) ?(.*)$ +p9637 +tp9638 +a(g220 +V/i +p9639 +tp9640 +a(g180 +V\u000a\u000a +p9641 +tp9642 +a(g6 +Vif +p9643 +tp9644 +a(g180 +V +tp9645 +a(g193 +V( +tp9646 +a(g43 +Va +tp9647 +a(g180 +V +tp9648 +a(g334 +V= +tp9649 +a(g180 +V +tp9650 +a(g43 +Vp1 +p9651 +tp9652 +a(g334 +V. +tp9653 +a(g43 +Vmatch +p9654 +tp9655 +a(g193 +V( +tp9656 +a(g43 +Vstr +p9657 +tp9658 +a(g193 +V) +tp9659 +a(g193 +V) +tp9660 +a(g180 +V +tp9661 +a(g334 +V== +p9662 +tp9663 +a(g180 +V +tp9664 +a(g347 +Vnil +p9665 +tp9666 +a(g180 +V\u000a +p9667 +tp9668 +a(g6 +Vif +p9669 +tp9670 +a(g180 +V +tp9671 +a(g193 +V( +tp9672 +a(g43 +Va +tp9673 +a(g180 +V +tp9674 +a(g334 +V= +tp9675 +a(g180 +V +tp9676 +a(g43 +Vp2 +p9677 +tp9678 +a(g334 +V. +tp9679 +a(g43 +Vmatch +p9680 +tp9681 +a(g193 +V( +tp9682 +a(g43 +Vstr +p9683 +tp9684 +a(g193 +V) +tp9685 +a(g193 +V) +tp9686 +a(g180 +V +tp9687 +a(g334 +V== +p9688 +tp9689 +a(g180 +V +tp9690 +a(g347 +Vnil +p9691 +tp9692 +a(g180 +V\u000a +p9693 +tp9694 +a(g6 +Vif +p9695 +tp9696 +a(g180 +V +tp9697 +a(g193 +V( +tp9698 +a(g43 +Va +tp9699 +a(g180 +V +tp9700 +a(g334 +V= +tp9701 +a(g180 +V +tp9702 +a(g43 +Vp3 +p9703 +tp9704 +a(g334 +V. +tp9705 +a(g43 +Vmatch +p9706 +tp9707 +a(g193 +V( +tp9708 +a(g43 +Vstr +p9709 +tp9710 +a(g193 +V) +tp9711 +a(g193 +V) +tp9712 +a(g180 +V +tp9713 +a(g334 +V== +p9714 +tp9715 +a(g180 +V +tp9716 +a(g347 +Vnil +p9717 +tp9718 +a(g180 +V\u000a +p9719 +tp9720 +a(g6 +Vraise +p9721 +tp9722 +a(g180 +V +tp9723 +a(g57 +VArgumentError +p9724 +tp9725 +a(g193 +V, +tp9726 +a(g180 +V +tp9727 +a(g236 +V" +tp9728 +a(g236 +VInvalid argument +p9729 +tp9730 +a(g236 +V" +tp9731 +a(g193 +V, +tp9732 +a(g180 +V +tp9733 +a(g81 +Vcaller +p9734 +tp9735 +a(g180 +V\u000a +p9736 +tp9737 +a(g6 +Vend +p9738 +tp9739 +a(g180 +V\u000a +p9740 +tp9741 +a(g6 +Vend +p9742 +tp9743 +a(g180 +V\u000a +p9744 +tp9745 +a(g6 +Vend +p9746 +tp9747 +a(g180 +V\u000a +p9748 +tp9749 +a(g104 +V@status +p9750 +tp9751 +a(g180 +V +tp9752 +a(g334 +V= +tp9753 +a(g180 +V +tp9754 +a(g43 +Va +tp9755 +a(g334 +V[ +tp9756 +a(g309 +V1 +tp9757 +a(g334 +V] +tp9758 +a(g334 +V. +tp9759 +a(g43 +Vto_i +p9760 +tp9761 +a(g180 +V\u000a +p9762 +tp9763 +a(g6 +Vif +p9764 +tp9765 +a(g180 +V +tp9766 +a(g43 +Va +tp9767 +a(g334 +V[ +tp9768 +a(g309 +V2 +tp9769 +a(g334 +V] +tp9770 +a(g180 +V +tp9771 +a(g334 +V!= +p9772 +tp9773 +a(g180 +V +tp9774 +a(g236 +V" +tp9775 +a(g236 +V" +tp9776 +a(g180 +V\u000a +p9777 +tp9778 +a(g104 +V@reasonPhrase +p9779 +tp9780 +a(g180 +V +tp9781 +a(g334 +V= +tp9782 +a(g180 +V +tp9783 +a(g43 +Va +tp9784 +a(g334 +V[ +tp9785 +a(g309 +V2 +tp9786 +a(g334 +V] +tp9787 +a(g180 +V\u000a +p9788 +tp9789 +a(g6 +Velse +p9790 +tp9791 +a(g180 +V\u000a +p9792 +tp9793 +a(g104 +V@reasonPhrase +p9794 +tp9795 +a(g180 +V +tp9796 +a(g334 +V= +tp9797 +a(g180 +V +tp9798 +a(g43 +VgetReasonPhrase +p9799 +tp9800 +a(g193 +V( +tp9801 +a(g104 +V@status +p9802 +tp9803 +a(g193 +V) +tp9804 +a(g180 +V\u000a +p9805 +tp9806 +a(g6 +Vend +p9807 +tp9808 +a(g180 +V\u000a +p9809 +tp9810 +a(g6 +Velse +p9811 +tp9812 +a(g180 +V\u000a +p9813 +tp9814 +a(g6 +Vraise +p9815 +tp9816 +a(g180 +V +tp9817 +a(g57 +VArgumentError +p9818 +tp9819 +a(g193 +V, +tp9820 +a(g180 +V +tp9821 +a(g236 +V" +tp9822 +a(g236 +VArgument of setstatus must be integer or string +p9823 +tp9824 +a(g236 +V" +tp9825 +a(g193 +V, +tp9826 +a(g180 +V +tp9827 +a(g81 +Vcaller +p9828 +tp9829 +a(g180 +V\u000a +p9830 +tp9831 +a(g6 +Vend +p9832 +tp9833 +a(g180 +V\u000a +p9834 +tp9835 +a(g29 +V# }}} +p9836 +tp9837 +a(g180 +V\u000a +p9838 +tp9839 +a(g6 +Vend +p9840 +tp9841 +a(g180 +V\u000a\u000a +p9842 +tp9843 +a(g29 +V# Handles the output of your content and rescues all exceptions. Send all +p9844 +tp9845 +a(g180 +V\u000a +p9846 +tp9847 +a(g29 +V# data in the block to this method. For example: +p9848 +tp9849 +a(g180 +V\u000a +p9850 +tp9851 +a(g29 +V# web.out do +p9852 +tp9853 +a(g180 +V\u000a +p9854 +tp9855 +a(g29 +V# web.header("Content-Type: text/plain") +p9856 +tp9857 +a(g180 +V\u000a +p9858 +tp9859 +a(g29 +V# web.puts("Hello, plain world!") +p9860 +tp9861 +a(g180 +V\u000a +p9862 +tp9863 +a(g29 +V# end +p9864 +tp9865 +a(g180 +V\u000a +p9866 +tp9867 +a(g6 +Vdef +p9868 +tp9869 +a(g180 +V +tp9870 +a(g46 +Vout +p9871 +tp9872 +a(g180 +V\u000a +p9873 +tp9874 +a(g29 +V# {{{ +p9875 +tp9876 +a(g180 +V\u000a +p9877 +tp9878 +a(g104 +V@output_allowed +p9879 +tp9880 +a(g180 +V +tp9881 +a(g334 +V= +tp9882 +a(g180 +V +tp9883 +a(g347 +Vtrue +p9884 +tp9885 +a(g180 +V\u000a +p9886 +tp9887 +a(g104 +V@buffer +p9888 +tp9889 +a(g180 +V +tp9890 +a(g334 +V= +tp9891 +a(g180 +V +tp9892 +a(g334 +V[ +tp9893 +a(g334 +V] +tp9894 +a(g193 +V; +tp9895 +a(g180 +V +tp9896 +a(g29 +V# We use an array as buffer, because it's more performant :) +p9897 +tp9898 +a(g180 +V\u000a\u000a +p9899 +tp9900 +a(g6 +Vbegin +p9901 +tp9902 +a(g180 +V\u000a +p9903 +tp9904 +a(g6 +Vyield +p9905 +tp9906 +a(g180 +V\u000a +p9907 +tp9908 +a(g6 +Vrescue +p9909 +tp9910 +a(g180 +V +tp9911 +a(g57 +VException +p9912 +tp9913 +a(g180 +V +tp9914 +a(g334 +V= +tp9915 +a(g334 +V> +tp9916 +a(g180 +V +tp9917 +a(g43 +Vexception +p9918 +tp9919 +a(g180 +V\u000a +p9920 +tp9921 +a(g100 +V$stderr +p9922 +tp9923 +a(g334 +V. +tp9924 +a(g43 +Vputs +p9925 +tp9926 +a(g180 +V +tp9927 +a(g236 +V" +tp9928 +a(g236 +VRuby exception rescued ( +p9929 +tp9930 +a(g224 +V#{ +p9931 +tp9932 +a(g43 +Vexception +p9933 +tp9934 +a(g334 +V. +tp9935 +a(g43 +Vclass +p9936 +tp9937 +a(g224 +V} +tp9938 +a(g236 +V): +p9939 +tp9940 +a(g224 +V#{ +p9941 +tp9942 +a(g43 +Vexception +p9943 +tp9944 +a(g334 +V. +tp9945 +a(g43 +Vmessage +p9946 +tp9947 +a(g224 +V} +tp9948 +a(g236 +V" +tp9949 +a(g180 +V\u000a +p9950 +tp9951 +a(g100 +V$stderr +p9952 +tp9953 +a(g334 +V. +tp9954 +a(g43 +Vputs +p9955 +tp9956 +a(g180 +V +tp9957 +a(g43 +Vexception +p9958 +tp9959 +a(g334 +V. +tp9960 +a(g43 +Vbacktrace +p9961 +tp9962 +a(g334 +V. +tp9963 +a(g43 +Vjoin +p9964 +tp9965 +a(g193 +V( +tp9966 +a(g236 +V" +tp9967 +a(g243 +V\u005cn +p9968 +tp9969 +a(g236 +V" +tp9970 +a(g193 +V) +tp9971 +a(g180 +V\u000a\u000a +p9972 +tp9973 +a(g6 +Vunless +p9974 +tp9975 +a(g180 +V +tp9976 +a(g104 +V@output_started +p9977 +tp9978 +a(g180 +V\u000a +p9979 +tp9980 +a(g81 +Vself +p9981 +tp9982 +a(g334 +V. +tp9983 +a(g43 +Vsetstatus +p9984 +tp9985 +a(g193 +V( +tp9986 +a(g309 +V500 +p9987 +tp9988 +a(g193 +V) +tp9989 +a(g180 +V\u000a +p9990 +tp9991 +a(g104 +V@header +p9992 +tp9993 +a(g180 +V +tp9994 +a(g334 +V= +tp9995 +a(g180 +V +tp9996 +a(g193 +V{ +tp9997 +a(g193 +V} +tp9998 +a(g180 +V\u000a +p9999 +tp10000 +a(g6 +Vend +p10001 +tp10002 +a(g180 +V\u000a\u000a +p10003 +tp10004 +a(g6 +Vunless +p10005 +tp10006 +a(g180 +V +tp10007 +a(g193 +V( +tp10008 +a(g104 +V@settings +p10009 +tp10010 +a(g334 +V. +tp10011 +a(g43 +Vhas_key? +p10012 +tp10013 +a(g193 +V( +tp10014 +a(g236 +V" +tp10015 +a(g236 +Vhide errors +p10016 +tp10017 +a(g236 +V" +tp10018 +a(g193 +V) +tp10019 +a(g180 +V +tp10020 +a(g338 +Vand +p10021 +tp10022 +a(g180 +V +tp10023 +a(g104 +V@settings +p10024 +tp10025 +a(g334 +V[ +tp10026 +a(g236 +V" +tp10027 +a(g236 +Vhide errors +p10028 +tp10029 +a(g236 +V" +tp10030 +a(g334 +V] +tp10031 +a(g180 +V +tp10032 +a(g334 +V== +p10033 +tp10034 +a(g180 +V +tp10035 +a(g347 +Vtrue +p10036 +tp10037 +a(g193 +V) +tp10038 +a(g180 +V\u000a +p10039 +tp10040 +a(g6 +Vunless +p10041 +tp10042 +a(g180 +V +tp10043 +a(g104 +V@output_started +p10044 +tp10045 +a(g180 +V\u000a +p10046 +tp10047 +a(g81 +Vself +p10048 +tp10049 +a(g334 +V. +tp10050 +a(g43 +Vheader +p10051 +tp10052 +a(g193 +V( +tp10053 +a(g236 +V" +tp10054 +a(g236 +VContent-Type: text/html +p10055 +tp10056 +a(g236 +V" +tp10057 +a(g193 +V) +tp10058 +a(g180 +V\u000a +p10059 +tp10060 +a(g81 +Vself +p10061 +tp10062 +a(g334 +V. +tp10063 +a(g43 +Vputs +p10064 +tp10065 +a(g180 +V +tp10066 +a(g236 +V" +tp10067 +a(g236 +V +tp10083 +a(g236 +V" +tp10084 +a(g180 +V\u000a +p10085 +tp10086 +a(g81 +Vself +p10087 +tp10088 +a(g334 +V. +tp10089 +a(g43 +Vputs +p10090 +tp10091 +a(g180 +V +tp10092 +a(g236 +V" +tp10093 +a(g236 +V +p10094 +tp10095 +a(g236 +V" +tp10096 +a(g180 +V\u000a +p10097 +tp10098 +a(g81 +Vself +p10099 +tp10100 +a(g334 +V. +tp10101 +a(g43 +Vputs +p10102 +tp10103 +a(g180 +V +tp10104 +a(g236 +V" +tp10105 +a(g236 +V +p10106 +tp10107 +a(g236 +V" +tp10108 +a(g180 +V\u000a +p10109 +tp10110 +a(g81 +Vself +p10111 +tp10112 +a(g334 +V. +tp10113 +a(g43 +Vputs +p10114 +tp10115 +a(g180 +V +tp10116 +a(g236 +V" +tp10117 +a(g236 +V500 Internal Server Error +p10118 +tp10119 +a(g236 +V" +tp10120 +a(g180 +V\u000a +p10121 +tp10122 +a(g81 +Vself +p10123 +tp10124 +a(g334 +V. +tp10125 +a(g43 +Vputs +p10126 +tp10127 +a(g180 +V +tp10128 +a(g236 +V" +tp10129 +a(g236 +V +p10130 +tp10131 +a(g236 +V" +tp10132 +a(g180 +V\u000a +p10133 +tp10134 +a(g81 +Vself +p10135 +tp10136 +a(g334 +V. +tp10137 +a(g43 +Vputs +p10138 +tp10139 +a(g180 +V +tp10140 +a(g236 +V" +tp10141 +a(g236 +V +p10142 +tp10143 +a(g236 +V" +tp10144 +a(g180 +V\u000a +p10145 +tp10146 +a(g6 +Vend +p10147 +tp10148 +a(g180 +V\u000a +p10149 +tp10150 +a(g6 +Vif +p10151 +tp10152 +a(g180 +V +tp10153 +a(g104 +V@header +p10154 +tp10155 +a(g334 +V. +tp10156 +a(g43 +Vhas_key? +p10157 +tp10158 +a(g193 +V( +tp10159 +a(g236 +V" +tp10160 +a(g236 +Vcontent-type +p10161 +tp10162 +a(g236 +V" +tp10163 +a(g193 +V) +tp10164 +a(g180 +V +tp10165 +a(g338 +Vand +p10166 +tp10167 +a(g180 +V +tp10168 +a(g193 +V( +tp10169 +a(g104 +V@header +p10170 +tp10171 +a(g334 +V[ +tp10172 +a(g236 +V" +tp10173 +a(g236 +Vcontent-type +p10174 +tp10175 +a(g236 +V" +tp10176 +a(g334 +V] +tp10177 +a(g180 +V +tp10178 +a(g334 +V=~ +p10179 +tp10180 +a(g180 +V +tp10181 +a(g220 +V/ +tp10182 +a(g220 +V^text +p10183 +tp10184 +a(g220 +V\u005c/ +p10185 +tp10186 +a(g220 +Vhtml +p10187 +tp10188 +a(g220 +V/i +p10189 +tp10190 +a(g193 +V) +tp10191 +a(g180 +V +tp10192 +a(g334 +V== +p10193 +tp10194 +a(g180 +V +tp10195 +a(g309 +V0 +tp10196 +a(g180 +V\u000a +p10197 +tp10198 +a(g81 +Vself +p10199 +tp10200 +a(g334 +V. +tp10201 +a(g43 +Vputs +p10202 +tp10203 +a(g180 +V +tp10204 +a(g236 +V" +tp10205 +a(g236 +V

    Internal Server Error

    +p10206 +tp10207 +a(g236 +V" +tp10208 +a(g180 +V\u000a +p10209 +tp10210 +a(g81 +Vself +p10211 +tp10212 +a(g334 +V. +tp10213 +a(g43 +Vputs +p10214 +tp10215 +a(g180 +V +tp10216 +a(g236 +V" +tp10217 +a(g236 +V

    The server encountered an exception and was unable to complete your request.

    +p10218 +tp10219 +a(g236 +V" +tp10220 +a(g180 +V\u000a +p10221 +tp10222 +a(g81 +Vself +p10223 +tp10224 +a(g334 +V. +tp10225 +a(g43 +Vputs +p10226 +tp10227 +a(g180 +V +tp10228 +a(g236 +V" +tp10229 +a(g236 +V

    The exception has provided the following information:

    +p10230 +tp10231 +a(g236 +V" +tp10232 +a(g180 +V\u000a +p10233 +tp10234 +a(g81 +Vself +p10235 +tp10236 +a(g334 +V. +tp10237 +a(g43 +Vputs +p10238 +tp10239 +a(g180 +V +tp10240 +a(g236 +V" +tp10241 +a(g236 +V
    
    +p10253
    +tp10254
    +a(g224
    +V#{
    +p10255
    +tp10256
    +a(g43
    +Vexception
    +p10257
    +tp10258
    +a(g334
    +V.
    +tp10259
    +a(g43
    +Vclass
    +p10260
    +tp10261
    +a(g224
    +V}
    +tp10262
    +a(g236
    +V: 
    +p10263
    +tp10264
    +a(g224
    +V#{
    +p10265
    +tp10266
    +a(g43
    +Vexception
    +p10267
    +tp10268
    +a(g334
    +V.
    +tp10269
    +a(g43
    +Vmessage
    +p10270
    +tp10271
    +a(g224
    +V}
    +tp10272
    +a(g236
    +V on
    +p10273
    +tp10274
    +a(g236
    +V"
    +tp10275
    +a(g180
    +V\u000a                    
    +p10276
    +tp10277
    +a(g81
    +Vself
    +p10278
    +tp10279
    +a(g334
    +V.
    +tp10280
    +a(g43
    +Vputs
    +p10281
    +tp10282
    +a(g180
    +V\u000a                    
    +p10283
    +tp10284
    +a(g81
    +Vself
    +p10285
    +tp10286
    +a(g334
    +V.
    +tp10287
    +a(g43
    +Vputs
    +p10288
    +tp10289
    +a(g180
    +V 
    +tp10290
    +a(g236
    +V"
    +tp10291
    +a(g224
    +V#{
    +p10292
    +tp10293
    +a(g43
    +Vexception
    +p10294
    +tp10295
    +a(g334
    +V.
    +tp10296
    +a(g43
    +Vbacktrace
    +p10297
    +tp10298
    +a(g334
    +V.
    +tp10299
    +a(g43
    +Vjoin
    +p10300
    +tp10301
    +a(g193
    +V(
    +tp10302
    +a(g236
    +V"
    +tp10303
    +a(g243
    +V\u005cn
    +p10304
    +tp10305
    +a(g236
    +V"
    +tp10306
    +a(g193
    +V)
    +tp10307
    +a(g224
    +V}
    +tp10308
    +a(g236
    +V
    +p10309 +tp10310 +a(g236 +V" +tp10311 +a(g180 +V\u000a +p10312 +tp10313 +a(g81 +Vself +p10314 +tp10315 +a(g334 +V. +tp10316 +a(g43 +Vputs +p10317 +tp10318 +a(g180 +V +tp10319 +a(g236 +V" +tp10320 +a(g236 +V +p10321 +tp10322 +a(g236 +V" +tp10323 +a(g180 +V\u000a +p10324 +tp10325 +a(g81 +Vself +p10326 +tp10327 +a(g334 +V. +tp10328 +a(g43 +Vputs +p10329 +tp10330 +a(g180 +V +tp10331 +a(g236 +V" +tp10332 +a(g236 +V +p10333 +tp10334 +a(g236 +V" +tp10335 +a(g180 +V\u000a +p10336 +tp10337 +a(g6 +Velse +p10338 +tp10339 +a(g180 +V\u000a +p10340 +tp10341 +a(g81 +Vself +p10342 +tp10343 +a(g334 +V. +tp10344 +a(g43 +Vputs +p10345 +tp10346 +a(g180 +V +tp10347 +a(g236 +V" +tp10348 +a(g236 +VThe server encountered an exception and was unable to complete your request +p10349 +tp10350 +a(g236 +V" +tp10351 +a(g180 +V\u000a +p10352 +tp10353 +a(g81 +Vself +p10354 +tp10355 +a(g334 +V. +tp10356 +a(g43 +Vputs +p10357 +tp10358 +a(g180 +V +tp10359 +a(g236 +V" +tp10360 +a(g236 +VThe exception has provided the following information: +p10361 +tp10362 +a(g236 +V" +tp10363 +a(g180 +V\u000a +p10364 +tp10365 +a(g81 +Vself +p10366 +tp10367 +a(g334 +V. +tp10368 +a(g43 +Vputs +p10369 +tp10370 +a(g180 +V +tp10371 +a(g236 +V" +tp10372 +a(g224 +V#{ +p10373 +tp10374 +a(g43 +Vexception +p10375 +tp10376 +a(g334 +V. +tp10377 +a(g43 +Vclass +p10378 +tp10379 +a(g224 +V} +tp10380 +a(g236 +V: +p10381 +tp10382 +a(g224 +V#{ +p10383 +tp10384 +a(g43 +Vexception +p10385 +tp10386 +a(g334 +V. +tp10387 +a(g43 +Vmessage +p10388 +tp10389 +a(g224 +V} +tp10390 +a(g236 +V" +tp10391 +a(g180 +V\u000a +p10392 +tp10393 +a(g81 +Vself +p10394 +tp10395 +a(g334 +V. +tp10396 +a(g43 +Vputs +p10397 +tp10398 +a(g180 +V\u000a +p10399 +tp10400 +a(g81 +Vself +p10401 +tp10402 +a(g334 +V. +tp10403 +a(g43 +Vputs +p10404 +tp10405 +a(g180 +V +tp10406 +a(g43 +Vexception +p10407 +tp10408 +a(g334 +V. +tp10409 +a(g43 +Vbacktrace +p10410 +tp10411 +a(g334 +V. +tp10412 +a(g43 +Vjoin +p10413 +tp10414 +a(g193 +V( +tp10415 +a(g236 +V" +tp10416 +a(g243 +V\u005cn +p10417 +tp10418 +a(g236 +V" +tp10419 +a(g193 +V) +tp10420 +a(g180 +V\u000a +p10421 +tp10422 +a(g6 +Vend +p10423 +tp10424 +a(g180 +V\u000a +p10425 +tp10426 +a(g6 +Vend +p10427 +tp10428 +a(g180 +V\u000a +p10429 +tp10430 +a(g6 +Vend +p10431 +tp10432 +a(g180 +V\u000a\u000a +p10433 +tp10434 +a(g6 +Vif +p10435 +tp10436 +a(g180 +V +tp10437 +a(g104 +V@settings +p10438 +tp10439 +a(g334 +V[ +tp10440 +a(g236 +V" +tp10441 +a(g236 +Vcache +p10442 +tp10443 +a(g236 +V" +tp10444 +a(g334 +V] +tp10445 +a(g180 +V\u000a +p10446 +tp10447 +a(g43 +Vbuffer +p10448 +tp10449 +a(g180 +V +tp10450 +a(g334 +V= +tp10451 +a(g180 +V +tp10452 +a(g104 +V@buffer +p10453 +tp10454 +a(g334 +V. +tp10455 +a(g43 +Vjoin +p10456 +tp10457 +a(g180 +V\u000a\u000a +p10458 +tp10459 +a(g6 +Vunless +p10460 +tp10461 +a(g180 +V +tp10462 +a(g104 +V@output_started +p10463 +tp10464 +a(g180 +V\u000a +p10465 +tp10466 +a(g6 +Vunless +p10467 +tp10468 +a(g180 +V +tp10469 +a(g104 +V@header +p10470 +tp10471 +a(g334 +V. +tp10472 +a(g43 +Vhas_key? +p10473 +tp10474 +a(g193 +V( +tp10475 +a(g236 +V" +tp10476 +a(g236 +Vcontent-length +p10477 +tp10478 +a(g236 +V" +tp10479 +a(g193 +V) +tp10480 +a(g180 +V\u000a +p10481 +tp10482 +a(g81 +Vself +p10483 +tp10484 +a(g334 +V. +tp10485 +a(g43 +Vheader +p10486 +tp10487 +a(g193 +V( +tp10488 +a(g236 +V" +tp10489 +a(g236 +Vcontent-length: +p10490 +tp10491 +a(g224 +V#{ +p10492 +tp10493 +a(g43 +Vbuffer +p10494 +tp10495 +a(g334 +V. +tp10496 +a(g43 +Vlength +p10497 +tp10498 +a(g224 +V} +tp10499 +a(g236 +V" +tp10500 +a(g193 +V) +tp10501 +a(g180 +V\u000a +p10502 +tp10503 +a(g6 +Vend +p10504 +tp10505 +a(g180 +V\u000a\u000a +p10506 +tp10507 +a(g43 +VsendHeaders +p10508 +tp10509 +a(g180 +V\u000a +p10510 +tp10511 +a(g6 +Vend +p10512 +tp10513 +a(g180 +V\u000a +p10514 +tp10515 +a(g100 +V$stdout +p10516 +tp10517 +a(g334 +V. +tp10518 +a(g43 +Vprint +p10519 +tp10520 +a(g193 +V( +tp10521 +a(g43 +Vbuffer +p10522 +tp10523 +a(g193 +V) +tp10524 +a(g180 +V\u000a +p10525 +tp10526 +a(g6 +Velsif +p10527 +tp10528 +a(g180 +V +tp10529 +a(g334 +V! +tp10530 +a(g104 +V@output_started +p10531 +tp10532 +a(g180 +V\u000a +p10533 +tp10534 +a(g43 +VsendHeaders +p10535 +tp10536 +a(g180 +V\u000a +p10537 +tp10538 +a(g6 +Vend +p10539 +tp10540 +a(g180 +V\u000a +p10541 +tp10542 +a(g104 +V@output_allowed +p10543 +tp10544 +a(g180 +V +tp10545 +a(g334 +V= +tp10546 +a(g180 +V +tp10547 +a(g347 +Vfalse +p10548 +tp10549 +a(g193 +V; +tp10550 +a(g180 +V\u000a +p10551 +tp10552 +a(g29 +V# }}} +p10553 +tp10554 +a(g180 +V\u000a +p10555 +tp10556 +a(g6 +Vend +p10557 +tp10558 +a(g180 +V\u000a\u000a +p10559 +tp10560 +a(g29 +V# Decodes URL encoded data, %20 for example stands for a space. +p10561 +tp10562 +a(g180 +V\u000a +p10563 +tp10564 +a(g6 +Vdef +p10565 +tp10566 +a(g180 +V +tp10567 +a(g130 +VRweb +p10568 +tp10569 +a(g334 +V. +tp10570 +a(g46 +Vunescape +p10571 +tp10572 +a(g193 +V( +tp10573 +a(g43 +Vstr +p10574 +tp10575 +a(g193 +V) +tp10576 +a(g180 +V\u000a +p10577 +tp10578 +a(g29 +V# {{{ +p10579 +tp10580 +a(g180 +V\u000a +p10581 +tp10582 +a(g6 +Vif +p10583 +tp10584 +a(g180 +V +tp10585 +a(g43 +Vdefined? +p10586 +tp10587 +a(g180 +V +tp10588 +a(g43 +Vstr +p10589 +tp10590 +a(g180 +V +tp10591 +a(g338 +Vand +p10592 +tp10593 +a(g180 +V +tp10594 +a(g43 +Vstr +p10595 +tp10596 +a(g334 +V. +tp10597 +a(g43 +Vis_a? +p10598 +tp10599 +a(g180 +V +tp10600 +a(g81 +VString +p10601 +tp10602 +a(g180 +V\u000a +p10603 +tp10604 +a(g43 +Vstr +p10605 +tp10606 +a(g334 +V. +tp10607 +a(g43 +Vgsub! +p10608 +tp10609 +a(g193 +V( +tp10610 +a(g220 +V/ +tp10611 +a(g220 +V\u005c +tp10612 +a(g220 +V+ +tp10613 +a(g220 +V/ +tp10614 +a(g193 +V, +tp10615 +a(g180 +V +tp10616 +a(g236 +V" +tp10617 +a(g236 +V +tp10618 +a(g236 +V" +tp10619 +a(g193 +V) +tp10620 +a(g180 +V\u000a +p10621 +tp10622 +a(g43 +Vstr +p10623 +tp10624 +a(g334 +V. +tp10625 +a(g43 +Vgsub +p10626 +tp10627 +a(g193 +V( +tp10628 +a(g220 +V/ +tp10629 +a(g220 +V%.{2} +p10630 +tp10631 +a(g220 +V/ +tp10632 +a(g193 +V) +tp10633 +a(g180 +V +tp10634 +a(g6 +Vdo +p10635 +tp10636 +a(g180 +V +tp10637 +a(g334 +V| +tp10638 +a(g180 +V +tp10639 +a(g43 +Vs +tp10640 +a(g180 +V +tp10641 +a(g334 +V| +tp10642 +a(g180 +V\u000a +p10643 +tp10644 +a(g43 +Vs +tp10645 +a(g334 +V[ +tp10646 +a(g309 +V1 +tp10647 +a(g193 +V, +tp10648 +a(g309 +V2 +tp10649 +a(g334 +V] +tp10650 +a(g334 +V. +tp10651 +a(g43 +Vhex +p10652 +tp10653 +a(g334 +V. +tp10654 +a(g43 +Vchr +p10655 +tp10656 +a(g180 +V\u000a +p10657 +tp10658 +a(g6 +Vend +p10659 +tp10660 +a(g180 +V\u000a +p10661 +tp10662 +a(g6 +Vend +p10663 +tp10664 +a(g180 +V\u000a +p10665 +tp10666 +a(g29 +V# }}} +p10667 +tp10668 +a(g180 +V\u000a +p10669 +tp10670 +a(g6 +Vend +p10671 +tp10672 +a(g180 +V\u000a\u000a +p10673 +tp10674 +a(g347 +Vprotected +p10675 +tp10676 +a(g180 +V\u000a +p10677 +tp10678 +a(g6 +Vdef +p10679 +tp10680 +a(g180 +V +tp10681 +a(g46 +VsendHeaders +p10682 +tp10683 +a(g180 +V\u000a +p10684 +tp10685 +a(g29 +V# {{{ +p10686 +tp10687 +a(g180 +V\u000a\u000a +p10688 +tp10689 +a(g57 +VCookie +p10690 +tp10691 +a(g334 +V. +tp10692 +a(g43 +Vdisallow +p10693 +tp10694 +a(g180 +V +tp10695 +a(g29 +V# no more cookies can be set or modified +p10696 +tp10697 +a(g180 +V\u000a +p10698 +tp10699 +a(g6 +Vif +p10700 +tp10701 +a(g180 +V +tp10702 +a(g334 +V! +tp10703 +a(g193 +V( +tp10704 +a(g104 +V@settings +p10705 +tp10706 +a(g334 +V. +tp10707 +a(g43 +Vhas_key? +p10708 +tp10709 +a(g193 +V( +tp10710 +a(g236 +V" +tp10711 +a(g236 +Vsilent +p10712 +tp10713 +a(g236 +V" +tp10714 +a(g193 +V) +tp10715 +a(g180 +V +tp10716 +a(g338 +Vand +p10717 +tp10718 +a(g180 +V +tp10719 +a(g104 +V@settings +p10720 +tp10721 +a(g334 +V[ +tp10722 +a(g236 +V" +tp10723 +a(g236 +Vsilent +p10724 +tp10725 +a(g236 +V" +tp10726 +a(g334 +V] +tp10727 +a(g180 +V +tp10728 +a(g334 +V== +p10729 +tp10730 +a(g180 +V +tp10731 +a(g347 +Vtrue +p10732 +tp10733 +a(g193 +V) +tp10734 +a(g180 +V +tp10735 +a(g338 +Vand +p10736 +tp10737 +a(g180 +V +tp10738 +a(g334 +V! +tp10739 +a(g104 +V@header +p10740 +tp10741 +a(g334 +V. +tp10742 +a(g43 +Vhas_key? +p10743 +tp10744 +a(g193 +V( +tp10745 +a(g236 +V" +tp10746 +a(g236 +Vx-powered-by +p10747 +tp10748 +a(g236 +V" +tp10749 +a(g193 +V) +tp10750 +a(g180 +V\u000a +p10751 +tp10752 +a(g6 +Vif +p10753 +tp10754 +a(g180 +V +tp10755 +a(g104 +V@mod_ruby +p10756 +tp10757 +a(g180 +V\u000a +p10758 +tp10759 +a(g43 +Vheader +p10760 +tp10761 +a(g193 +V( +tp10762 +a(g236 +V" +tp10763 +a(g236 +Vx-powered-by: +p10764 +tp10765 +a(g224 +V#{ +p10766 +tp10767 +a(g57 +VRWEB +p10768 +tp10769 +a(g224 +V} +tp10770 +a(g236 +V (Ruby/ +p10771 +tp10772 +a(g224 +V#{ +p10773 +tp10774 +a(g57 +VRUBY_VERSION +p10775 +tp10776 +a(g224 +V} +tp10777 +a(g236 +V, +p10778 +tp10779 +a(g224 +V#{ +p10780 +tp10781 +a(g57 +VMOD_RUBY +p10782 +tp10783 +a(g224 +V} +tp10784 +a(g236 +V) +tp10785 +a(g236 +V" +tp10786 +a(g193 +V) +tp10787 +a(g193 +V; +tp10788 +a(g180 +V\u000a +p10789 +tp10790 +a(g6 +Velse +p10791 +tp10792 +a(g180 +V\u000a +p10793 +tp10794 +a(g43 +Vheader +p10795 +tp10796 +a(g193 +V( +tp10797 +a(g236 +V" +tp10798 +a(g236 +Vx-powered-by: +p10799 +tp10800 +a(g224 +V#{ +p10801 +tp10802 +a(g57 +VRWEB +p10803 +tp10804 +a(g224 +V} +tp10805 +a(g236 +V (Ruby/ +p10806 +tp10807 +a(g224 +V#{ +p10808 +tp10809 +a(g57 +VRUBY_VERSION +p10810 +tp10811 +a(g224 +V} +tp10812 +a(g236 +V) +tp10813 +a(g236 +V" +tp10814 +a(g193 +V) +tp10815 +a(g193 +V; +tp10816 +a(g180 +V\u000a +p10817 +tp10818 +a(g6 +Vend +p10819 +tp10820 +a(g180 +V\u000a +p10821 +tp10822 +a(g6 +Vend +p10823 +tp10824 +a(g180 +V\u000a\u000a +p10825 +tp10826 +a(g6 +Vif +p10827 +tp10828 +a(g180 +V +tp10829 +a(g104 +V@output_method +p10830 +tp10831 +a(g180 +V +tp10832 +a(g334 +V== +p10833 +tp10834 +a(g180 +V +tp10835 +a(g236 +V" +tp10836 +a(g236 +Vph +p10837 +tp10838 +a(g236 +V" +tp10839 +a(g180 +V\u000a +p10840 +tp10841 +a(g6 +Vif +p10842 +tp10843 +a(g180 +V +tp10844 +a(g193 +V( +tp10845 +a(g193 +V( +tp10846 +a(g104 +V@status +p10847 +tp10848 +a(g180 +V +tp10849 +a(g334 +V== +p10850 +tp10851 +a(g180 +V +tp10852 +a(g347 +Vnil +p10853 +tp10854 +a(g180 +V +tp10855 +a(g338 +Vor +p10856 +tp10857 +a(g180 +V +tp10858 +a(g104 +V@status +p10859 +tp10860 +a(g180 +V +tp10861 +a(g334 +V== +p10862 +tp10863 +a(g180 +V +tp10864 +a(g309 +V200 +p10865 +tp10866 +a(g193 +V) +tp10867 +a(g180 +V +tp10868 +a(g338 +Vand +p10869 +tp10870 +a(g180 +V +tp10871 +a(g334 +V! +tp10872 +a(g104 +V@header +p10873 +tp10874 +a(g334 +V. +tp10875 +a(g43 +Vhas_key? +p10876 +tp10877 +a(g193 +V( +tp10878 +a(g236 +V" +tp10879 +a(g236 +Vcontent-type +p10880 +tp10881 +a(g236 +V" +tp10882 +a(g193 +V) +tp10883 +a(g180 +V +tp10884 +a(g338 +Vand +p10885 +tp10886 +a(g180 +V +tp10887 +a(g334 +V! +tp10888 +a(g104 +V@header +p10889 +tp10890 +a(g334 +V. +tp10891 +a(g43 +Vhas_key? +p10892 +tp10893 +a(g193 +V( +tp10894 +a(g236 +V" +tp10895 +a(g236 +Vlocation +p10896 +tp10897 +a(g236 +V" +tp10898 +a(g193 +V) +tp10899 +a(g193 +V) +tp10900 +a(g180 +V\u000a +p10901 +tp10902 +a(g43 +Vheader +p10903 +tp10904 +a(g193 +V( +tp10905 +a(g236 +V" +tp10906 +a(g236 +Vcontent-type: text/html +p10907 +tp10908 +a(g236 +V" +tp10909 +a(g193 +V) +tp10910 +a(g180 +V\u000a +p10911 +tp10912 +a(g6 +Vend +p10913 +tp10914 +a(g180 +V\u000a\u000a +p10915 +tp10916 +a(g6 +Vif +p10917 +tp10918 +a(g180 +V +tp10919 +a(g104 +V@status +p10920 +tp10921 +a(g180 +V +tp10922 +a(g334 +V!= +p10923 +tp10924 +a(g180 +V +tp10925 +a(g347 +Vnil +p10926 +tp10927 +a(g180 +V\u000a +p10928 +tp10929 +a(g100 +V$stdout +p10930 +tp10931 +a(g334 +V. +tp10932 +a(g43 +Vprint +p10933 +tp10934 +a(g180 +V +tp10935 +a(g236 +V" +tp10936 +a(g236 +VStatus: +p10937 +tp10938 +a(g224 +V#{ +p10939 +tp10940 +a(g104 +V@status +p10941 +tp10942 +a(g224 +V} +tp10943 +a(g236 +V +tp10944 +a(g224 +V#{ +p10945 +tp10946 +a(g104 +V@reasonPhrase +p10947 +tp10948 +a(g224 +V} +tp10949 +a(g243 +V\u005cr +p10950 +tp10951 +a(g243 +V\u005cn +p10952 +tp10953 +a(g236 +V" +tp10954 +a(g180 +V\u000a +p10955 +tp10956 +a(g6 +Vend +p10957 +tp10958 +a(g180 +V\u000a\u000a +p10959 +tp10960 +a(g104 +V@header +p10961 +tp10962 +a(g334 +V. +tp10963 +a(g43 +Veach +p10964 +tp10965 +a(g180 +V +tp10966 +a(g6 +Vdo +p10967 +tp10968 +a(g180 +V +tp10969 +a(g334 +V| +tp10970 +a(g43 +Vkey +p10971 +tp10972 +a(g193 +V, +tp10973 +a(g180 +V +tp10974 +a(g43 +Vvalue +p10975 +tp10976 +a(g334 +V| +tp10977 +a(g180 +V\u000a +p10978 +tp10979 +a(g43 +Vkey +p10980 +tp10981 +a(g180 +V +tp10982 +a(g334 +V= +tp10983 +a(g180 +V +tp10984 +a(g43 +Vkey +p10985 +tp10986 +a(g180 +V +tp10987 +a(g334 +V* +tp10988 +a(g309 +V1 +tp10989 +a(g180 +V +tp10990 +a(g29 +V# "unfreeze" key :) +p10991 +tp10992 +a(g180 +V\u000a +p10993 +tp10994 +a(g43 +Vkey +p10995 +tp10996 +a(g334 +V[ +tp10997 +a(g309 +V0 +tp10998 +a(g334 +V] +tp10999 +a(g180 +V +tp11000 +a(g334 +V= +tp11001 +a(g180 +V +tp11002 +a(g43 +Vkey +p11003 +tp11004 +a(g334 +V[ +tp11005 +a(g309 +V0 +tp11006 +a(g193 +V, +tp11007 +a(g309 +V1 +tp11008 +a(g334 +V] +tp11009 +a(g334 +V. +tp11010 +a(g43 +Vupcase! +p11011 +tp11012 +a(g334 +V[ +tp11013 +a(g309 +V0 +tp11014 +a(g334 +V] +tp11015 +a(g180 +V\u000a\u000a +p11016 +tp11017 +a(g43 +Vkey +p11018 +tp11019 +a(g180 +V +tp11020 +a(g334 +V= +tp11021 +a(g180 +V +tp11022 +a(g43 +Vkey +p11023 +tp11024 +a(g334 +V. +tp11025 +a(g43 +Vgsub +p11026 +tp11027 +a(g193 +V( +tp11028 +a(g220 +V/ +tp11029 +a(g220 +V-[a-z] +p11030 +tp11031 +a(g220 +V/ +tp11032 +a(g193 +V) +tp11033 +a(g180 +V +tp11034 +a(g6 +Vdo +p11035 +tp11036 +a(g180 +V +tp11037 +a(g334 +V| +tp11038 +a(g43 +Vchar +p11039 +tp11040 +a(g334 +V| +tp11041 +a(g180 +V\u000a +p11042 +tp11043 +a(g236 +V" +tp11044 +a(g236 +V- +tp11045 +a(g236 +V" +tp11046 +a(g180 +V +tp11047 +a(g334 +V+ +tp11048 +a(g180 +V +tp11049 +a(g43 +Vchar +p11050 +tp11051 +a(g334 +V[ +tp11052 +a(g309 +V1 +tp11053 +a(g193 +V, +tp11054 +a(g309 +V1 +tp11055 +a(g334 +V] +tp11056 +a(g334 +V. +tp11057 +a(g43 +Vupcase +p11058 +tp11059 +a(g180 +V\u000a +p11060 +tp11061 +a(g6 +Vend +p11062 +tp11063 +a(g180 +V\u000a\u000a +p11064 +tp11065 +a(g100 +V$stdout +p11066 +tp11067 +a(g334 +V. +tp11068 +a(g43 +Vprint +p11069 +tp11070 +a(g180 +V +tp11071 +a(g236 +V" +tp11072 +a(g224 +V#{ +p11073 +tp11074 +a(g43 +Vkey +p11075 +tp11076 +a(g224 +V} +tp11077 +a(g236 +V: +p11078 +tp11079 +a(g224 +V#{ +p11080 +tp11081 +a(g43 +Vvalue +p11082 +tp11083 +a(g224 +V} +tp11084 +a(g243 +V\u005cr +p11085 +tp11086 +a(g243 +V\u005cn +p11087 +tp11088 +a(g236 +V" +tp11089 +a(g180 +V\u000a +p11090 +tp11091 +a(g6 +Vend +p11092 +tp11093 +a(g180 +V\u000a +p11094 +tp11095 +a(g43 +Vcookies +p11096 +tp11097 +a(g180 +V +tp11098 +a(g334 +V= +tp11099 +a(g180 +V +tp11100 +a(g57 +VCookie +p11101 +tp11102 +a(g334 +V. +tp11103 +a(g43 +VgetHttpHeader +p11104 +tp11105 +a(g180 +V +tp11106 +a(g29 +V# Get all cookies as an HTTP Header +p11107 +tp11108 +a(g180 +V\u000a +p11109 +tp11110 +a(g6 +Vif +p11111 +tp11112 +a(g180 +V +tp11113 +a(g43 +Vcookies +p11114 +tp11115 +a(g180 +V\u000a +p11116 +tp11117 +a(g100 +V$stdout +p11118 +tp11119 +a(g334 +V. +tp11120 +a(g43 +Vprint +p11121 +tp11122 +a(g180 +V +tp11123 +a(g43 +Vcookies +p11124 +tp11125 +a(g180 +V\u000a +p11126 +tp11127 +a(g6 +Vend +p11128 +tp11129 +a(g180 +V\u000a\u000a +p11130 +tp11131 +a(g100 +V$stdout +p11132 +tp11133 +a(g334 +V. +tp11134 +a(g43 +Vprint +p11135 +tp11136 +a(g180 +V +tp11137 +a(g236 +V" +tp11138 +a(g243 +V\u005cr +p11139 +tp11140 +a(g243 +V\u005cn +p11141 +tp11142 +a(g236 +V" +tp11143 +a(g180 +V\u000a\u000a +p11144 +tp11145 +a(g6 +Velsif +p11146 +tp11147 +a(g180 +V +tp11148 +a(g104 +V@output_method +p11149 +tp11150 +a(g180 +V +tp11151 +a(g334 +V== +p11152 +tp11153 +a(g180 +V +tp11154 +a(g236 +V" +tp11155 +a(g236 +Vnph +p11156 +tp11157 +a(g236 +V" +tp11158 +a(g180 +V\u000a +p11159 +tp11160 +a(g6 +Velsif +p11161 +tp11162 +a(g180 +V +tp11163 +a(g104 +V@output_method +p11164 +tp11165 +a(g180 +V +tp11166 +a(g334 +V== +p11167 +tp11168 +a(g180 +V +tp11169 +a(g236 +V" +tp11170 +a(g236 +Vmod_ruby +p11171 +tp11172 +a(g236 +V" +tp11173 +a(g180 +V\u000a +p11174 +tp11175 +a(g43 +Vr +tp11176 +a(g180 +V +tp11177 +a(g334 +V= +tp11178 +a(g180 +V +tp11179 +a(g57 +VApache +p11180 +tp11181 +a(g334 +V. +tp11182 +a(g43 +Vrequest +p11183 +tp11184 +a(g180 +V\u000a\u000a +p11185 +tp11186 +a(g6 +Vif +p11187 +tp11188 +a(g180 +V +tp11189 +a(g193 +V( +tp11190 +a(g193 +V( +tp11191 +a(g104 +V@status +p11192 +tp11193 +a(g180 +V +tp11194 +a(g334 +V== +p11195 +tp11196 +a(g180 +V +tp11197 +a(g347 +Vnil +p11198 +tp11199 +a(g180 +V +tp11200 +a(g338 +Vor +p11201 +tp11202 +a(g180 +V +tp11203 +a(g104 +V@status +p11204 +tp11205 +a(g180 +V +tp11206 +a(g334 +V== +p11207 +tp11208 +a(g180 +V +tp11209 +a(g309 +V200 +p11210 +tp11211 +a(g193 +V) +tp11212 +a(g180 +V +tp11213 +a(g338 +Vand +p11214 +tp11215 +a(g180 +V +tp11216 +a(g334 +V! +tp11217 +a(g104 +V@header +p11218 +tp11219 +a(g334 +V. +tp11220 +a(g43 +Vhas_key? +p11221 +tp11222 +a(g193 +V( +tp11223 +a(g236 +V" +tp11224 +a(g236 +Vcontent-type +p11225 +tp11226 +a(g236 +V" +tp11227 +a(g193 +V) +tp11228 +a(g180 +V +tp11229 +a(g338 +Vand +p11230 +tp11231 +a(g180 +V +tp11232 +a(g334 +V! +tp11233 +a(g104 +V@header +p11234 +tp11235 +a(g334 +V. +tp11236 +a(g43 +Vhas_key? +p11237 +tp11238 +a(g193 +V( +tp11239 +a(g236 +V" +tp11240 +a(g236 +Vlocation +p11241 +tp11242 +a(g236 +V" +tp11243 +a(g193 +V) +tp11244 +a(g193 +V) +tp11245 +a(g180 +V\u000a +p11246 +tp11247 +a(g43 +Vheader +p11248 +tp11249 +a(g193 +V( +tp11250 +a(g236 +V" +tp11251 +a(g236 +Vtext/html +p11252 +tp11253 +a(g236 +V" +tp11254 +a(g193 +V) +tp11255 +a(g180 +V\u000a +p11256 +tp11257 +a(g6 +Vend +p11258 +tp11259 +a(g180 +V\u000a\u000a +p11260 +tp11261 +a(g6 +Vif +p11262 +tp11263 +a(g180 +V +tp11264 +a(g104 +V@status +p11265 +tp11266 +a(g180 +V +tp11267 +a(g334 +V!= +p11268 +tp11269 +a(g180 +V +tp11270 +a(g347 +Vnil +p11271 +tp11272 +a(g180 +V\u000a +p11273 +tp11274 +a(g43 +Vr +tp11275 +a(g334 +V. +tp11276 +a(g43 +Vstatus_line +p11277 +tp11278 +a(g180 +V +tp11279 +a(g334 +V= +tp11280 +a(g180 +V +tp11281 +a(g236 +V" +tp11282 +a(g224 +V#{ +p11283 +tp11284 +a(g104 +V@status +p11285 +tp11286 +a(g224 +V} +tp11287 +a(g236 +V +tp11288 +a(g224 +V#{ +p11289 +tp11290 +a(g104 +V@reasonPhrase +p11291 +tp11292 +a(g224 +V} +tp11293 +a(g236 +V" +tp11294 +a(g180 +V\u000a +p11295 +tp11296 +a(g6 +Vend +p11297 +tp11298 +a(g180 +V\u000a\u000a +p11299 +tp11300 +a(g43 +Vr +tp11301 +a(g334 +V. +tp11302 +a(g43 +Vsend_http_header +p11303 +tp11304 +a(g180 +V\u000a +p11305 +tp11306 +a(g104 +V@header +p11307 +tp11308 +a(g334 +V. +tp11309 +a(g43 +Veach +p11310 +tp11311 +a(g180 +V +tp11312 +a(g6 +Vdo +p11313 +tp11314 +a(g180 +V +tp11315 +a(g334 +V| +tp11316 +a(g43 +Vkey +p11317 +tp11318 +a(g193 +V, +tp11319 +a(g180 +V +tp11320 +a(g43 +Vvalue +p11321 +tp11322 +a(g334 +V| +tp11323 +a(g180 +V\u000a +p11324 +tp11325 +a(g43 +Vkey +p11326 +tp11327 +a(g180 +V +tp11328 +a(g334 +V= +tp11329 +a(g180 +V +tp11330 +a(g43 +Vkey +p11331 +tp11332 +a(g180 +V +tp11333 +a(g334 +V* +tp11334 +a(g309 +V1 +tp11335 +a(g180 +V +tp11336 +a(g29 +V# "unfreeze" key :) +p11337 +tp11338 +a(g180 +V\u000a\u000a +p11339 +tp11340 +a(g43 +Vkey +p11341 +tp11342 +a(g334 +V[ +tp11343 +a(g309 +V0 +tp11344 +a(g334 +V] +tp11345 +a(g180 +V +tp11346 +a(g334 +V= +tp11347 +a(g180 +V +tp11348 +a(g43 +Vkey +p11349 +tp11350 +a(g334 +V[ +tp11351 +a(g309 +V0 +tp11352 +a(g193 +V, +tp11353 +a(g309 +V1 +tp11354 +a(g334 +V] +tp11355 +a(g334 +V. +tp11356 +a(g43 +Vupcase! +p11357 +tp11358 +a(g334 +V[ +tp11359 +a(g309 +V0 +tp11360 +a(g334 +V] +tp11361 +a(g180 +V\u000a +p11362 +tp11363 +a(g43 +Vkey +p11364 +tp11365 +a(g180 +V +tp11366 +a(g334 +V= +tp11367 +a(g180 +V +tp11368 +a(g43 +Vkey +p11369 +tp11370 +a(g334 +V. +tp11371 +a(g43 +Vgsub +p11372 +tp11373 +a(g193 +V( +tp11374 +a(g220 +V/ +tp11375 +a(g220 +V-[a-z] +p11376 +tp11377 +a(g220 +V/ +tp11378 +a(g193 +V) +tp11379 +a(g180 +V +tp11380 +a(g6 +Vdo +p11381 +tp11382 +a(g180 +V +tp11383 +a(g334 +V| +tp11384 +a(g43 +Vchar +p11385 +tp11386 +a(g334 +V| +tp11387 +a(g180 +V\u000a +p11388 +tp11389 +a(g236 +V" +tp11390 +a(g236 +V- +tp11391 +a(g236 +V" +tp11392 +a(g180 +V +tp11393 +a(g334 +V+ +tp11394 +a(g180 +V +tp11395 +a(g43 +Vchar +p11396 +tp11397 +a(g334 +V[ +tp11398 +a(g309 +V1 +tp11399 +a(g193 +V, +tp11400 +a(g309 +V1 +tp11401 +a(g334 +V] +tp11402 +a(g334 +V. +tp11403 +a(g43 +Vupcase +p11404 +tp11405 +a(g180 +V\u000a +p11406 +tp11407 +a(g6 +Vend +p11408 +tp11409 +a(g180 +V\u000a +p11410 +tp11411 +a(g81 +Vputs +p11412 +tp11413 +a(g180 +V +tp11414 +a(g236 +V" +tp11415 +a(g224 +V#{ +p11416 +tp11417 +a(g43 +Vkey +p11418 +tp11419 +a(g224 +V} +tp11420 +a(g236 +V: +p11421 +tp11422 +a(g224 +V#{ +p11423 +tp11424 +a(g43 +Vvalue +p11425 +tp11426 +a(g334 +V. +tp11427 +a(g43 +Vclass +p11428 +tp11429 +a(g224 +V} +tp11430 +a(g236 +V" +tp11431 +a(g180 +V\u000a +p11432 +tp11433 +a(g29 +V#r.headers_out[key] = value +p11434 +tp11435 +a(g180 +V\u000a +p11436 +tp11437 +a(g6 +Vend +p11438 +tp11439 +a(g180 +V\u000a +p11440 +tp11441 +a(g6 +Vend +p11442 +tp11443 +a(g180 +V\u000a +p11444 +tp11445 +a(g104 +V@output_started +p11446 +tp11447 +a(g180 +V +tp11448 +a(g334 +V= +tp11449 +a(g180 +V +tp11450 +a(g347 +Vtrue +p11451 +tp11452 +a(g180 +V\u000a +p11453 +tp11454 +a(g29 +V# }}} +p11455 +tp11456 +a(g180 +V\u000a +p11457 +tp11458 +a(g6 +Vend +p11459 +tp11460 +a(g180 +V\u000a\u000a +p11461 +tp11462 +a(g6 +Vdef +p11463 +tp11464 +a(g180 +V +tp11465 +a(g46 +VgetReasonPhrase +p11466 +tp11467 +a(g180 +V +tp11468 +a(g193 +V( +tp11469 +a(g43 +Vstatus +p11470 +tp11471 +a(g193 +V) +tp11472 +a(g180 +V\u000a +p11473 +tp11474 +a(g29 +V# {{{ +p11475 +tp11476 +a(g180 +V\u000a +p11477 +tp11478 +a(g6 +Vif +p11479 +tp11480 +a(g180 +V +tp11481 +a(g43 +Vstatus +p11482 +tp11483 +a(g180 +V +tp11484 +a(g334 +V== +p11485 +tp11486 +a(g180 +V +tp11487 +a(g309 +V100 +p11488 +tp11489 +a(g180 +V\u000a +p11490 +tp11491 +a(g236 +V" +tp11492 +a(g236 +VContinue +p11493 +tp11494 +a(g236 +V" +tp11495 +a(g180 +V\u000a +p11496 +tp11497 +a(g6 +Velsif +p11498 +tp11499 +a(g180 +V +tp11500 +a(g43 +Vstatus +p11501 +tp11502 +a(g180 +V +tp11503 +a(g334 +V== +p11504 +tp11505 +a(g180 +V +tp11506 +a(g309 +V101 +p11507 +tp11508 +a(g180 +V\u000a +p11509 +tp11510 +a(g236 +V" +tp11511 +a(g236 +VSwitching Protocols +p11512 +tp11513 +a(g236 +V" +tp11514 +a(g180 +V\u000a +p11515 +tp11516 +a(g6 +Velsif +p11517 +tp11518 +a(g180 +V +tp11519 +a(g43 +Vstatus +p11520 +tp11521 +a(g180 +V +tp11522 +a(g334 +V== +p11523 +tp11524 +a(g180 +V +tp11525 +a(g309 +V200 +p11526 +tp11527 +a(g180 +V\u000a +p11528 +tp11529 +a(g236 +V" +tp11530 +a(g236 +VOK +p11531 +tp11532 +a(g236 +V" +tp11533 +a(g180 +V\u000a +p11534 +tp11535 +a(g6 +Velsif +p11536 +tp11537 +a(g180 +V +tp11538 +a(g43 +Vstatus +p11539 +tp11540 +a(g180 +V +tp11541 +a(g334 +V== +p11542 +tp11543 +a(g180 +V +tp11544 +a(g309 +V201 +p11545 +tp11546 +a(g180 +V\u000a +p11547 +tp11548 +a(g236 +V" +tp11549 +a(g236 +VCreated +p11550 +tp11551 +a(g236 +V" +tp11552 +a(g180 +V\u000a +p11553 +tp11554 +a(g6 +Velsif +p11555 +tp11556 +a(g180 +V +tp11557 +a(g43 +Vstatus +p11558 +tp11559 +a(g180 +V +tp11560 +a(g334 +V== +p11561 +tp11562 +a(g180 +V +tp11563 +a(g309 +V202 +p11564 +tp11565 +a(g180 +V\u000a +p11566 +tp11567 +a(g236 +V" +tp11568 +a(g236 +VAccepted +p11569 +tp11570 +a(g236 +V" +tp11571 +a(g180 +V\u000a +p11572 +tp11573 +a(g6 +Velsif +p11574 +tp11575 +a(g180 +V +tp11576 +a(g43 +Vstatus +p11577 +tp11578 +a(g180 +V +tp11579 +a(g334 +V== +p11580 +tp11581 +a(g180 +V +tp11582 +a(g309 +V203 +p11583 +tp11584 +a(g180 +V\u000a +p11585 +tp11586 +a(g236 +V" +tp11587 +a(g236 +VNon-Authoritative Information +p11588 +tp11589 +a(g236 +V" +tp11590 +a(g180 +V\u000a +p11591 +tp11592 +a(g6 +Velsif +p11593 +tp11594 +a(g180 +V +tp11595 +a(g43 +Vstatus +p11596 +tp11597 +a(g180 +V +tp11598 +a(g334 +V== +p11599 +tp11600 +a(g180 +V +tp11601 +a(g309 +V204 +p11602 +tp11603 +a(g180 +V\u000a +p11604 +tp11605 +a(g236 +V" +tp11606 +a(g236 +VNo Content +p11607 +tp11608 +a(g236 +V" +tp11609 +a(g180 +V\u000a +p11610 +tp11611 +a(g6 +Velsif +p11612 +tp11613 +a(g180 +V +tp11614 +a(g43 +Vstatus +p11615 +tp11616 +a(g180 +V +tp11617 +a(g334 +V== +p11618 +tp11619 +a(g180 +V +tp11620 +a(g309 +V205 +p11621 +tp11622 +a(g180 +V\u000a +p11623 +tp11624 +a(g236 +V" +tp11625 +a(g236 +VReset Content +p11626 +tp11627 +a(g236 +V" +tp11628 +a(g180 +V\u000a +p11629 +tp11630 +a(g6 +Velsif +p11631 +tp11632 +a(g180 +V +tp11633 +a(g43 +Vstatus +p11634 +tp11635 +a(g180 +V +tp11636 +a(g334 +V== +p11637 +tp11638 +a(g180 +V +tp11639 +a(g309 +V206 +p11640 +tp11641 +a(g180 +V\u000a +p11642 +tp11643 +a(g236 +V" +tp11644 +a(g236 +VPartial Content +p11645 +tp11646 +a(g236 +V" +tp11647 +a(g180 +V\u000a +p11648 +tp11649 +a(g6 +Velsif +p11650 +tp11651 +a(g180 +V +tp11652 +a(g43 +Vstatus +p11653 +tp11654 +a(g180 +V +tp11655 +a(g334 +V== +p11656 +tp11657 +a(g180 +V +tp11658 +a(g309 +V300 +p11659 +tp11660 +a(g180 +V\u000a +p11661 +tp11662 +a(g236 +V" +tp11663 +a(g236 +VMultiple Choices +p11664 +tp11665 +a(g236 +V" +tp11666 +a(g180 +V\u000a +p11667 +tp11668 +a(g6 +Velsif +p11669 +tp11670 +a(g180 +V +tp11671 +a(g43 +Vstatus +p11672 +tp11673 +a(g180 +V +tp11674 +a(g334 +V== +p11675 +tp11676 +a(g180 +V +tp11677 +a(g309 +V301 +p11678 +tp11679 +a(g180 +V\u000a +p11680 +tp11681 +a(g236 +V" +tp11682 +a(g236 +VMoved Permanently +p11683 +tp11684 +a(g236 +V" +tp11685 +a(g180 +V\u000a +p11686 +tp11687 +a(g6 +Velsif +p11688 +tp11689 +a(g180 +V +tp11690 +a(g43 +Vstatus +p11691 +tp11692 +a(g180 +V +tp11693 +a(g334 +V== +p11694 +tp11695 +a(g180 +V +tp11696 +a(g309 +V302 +p11697 +tp11698 +a(g180 +V\u000a +p11699 +tp11700 +a(g236 +V" +tp11701 +a(g236 +VFound +p11702 +tp11703 +a(g236 +V" +tp11704 +a(g180 +V\u000a +p11705 +tp11706 +a(g6 +Velsif +p11707 +tp11708 +a(g180 +V +tp11709 +a(g43 +Vstatus +p11710 +tp11711 +a(g180 +V +tp11712 +a(g334 +V== +p11713 +tp11714 +a(g180 +V +tp11715 +a(g309 +V303 +p11716 +tp11717 +a(g180 +V\u000a +p11718 +tp11719 +a(g236 +V" +tp11720 +a(g236 +VSee Other +p11721 +tp11722 +a(g236 +V" +tp11723 +a(g180 +V\u000a +p11724 +tp11725 +a(g6 +Velsif +p11726 +tp11727 +a(g180 +V +tp11728 +a(g43 +Vstatus +p11729 +tp11730 +a(g180 +V +tp11731 +a(g334 +V== +p11732 +tp11733 +a(g180 +V +tp11734 +a(g309 +V304 +p11735 +tp11736 +a(g180 +V\u000a +p11737 +tp11738 +a(g236 +V" +tp11739 +a(g236 +VNot Modified +p11740 +tp11741 +a(g236 +V" +tp11742 +a(g180 +V\u000a +p11743 +tp11744 +a(g6 +Velsif +p11745 +tp11746 +a(g180 +V +tp11747 +a(g43 +Vstatus +p11748 +tp11749 +a(g180 +V +tp11750 +a(g334 +V== +p11751 +tp11752 +a(g180 +V +tp11753 +a(g309 +V305 +p11754 +tp11755 +a(g180 +V\u000a +p11756 +tp11757 +a(g236 +V" +tp11758 +a(g236 +VUse Proxy +p11759 +tp11760 +a(g236 +V" +tp11761 +a(g180 +V\u000a +p11762 +tp11763 +a(g6 +Velsif +p11764 +tp11765 +a(g180 +V +tp11766 +a(g43 +Vstatus +p11767 +tp11768 +a(g180 +V +tp11769 +a(g334 +V== +p11770 +tp11771 +a(g180 +V +tp11772 +a(g309 +V307 +p11773 +tp11774 +a(g180 +V\u000a +p11775 +tp11776 +a(g236 +V" +tp11777 +a(g236 +VTemporary Redirect +p11778 +tp11779 +a(g236 +V" +tp11780 +a(g180 +V\u000a +p11781 +tp11782 +a(g6 +Velsif +p11783 +tp11784 +a(g180 +V +tp11785 +a(g43 +Vstatus +p11786 +tp11787 +a(g180 +V +tp11788 +a(g334 +V== +p11789 +tp11790 +a(g180 +V +tp11791 +a(g309 +V400 +p11792 +tp11793 +a(g180 +V\u000a +p11794 +tp11795 +a(g236 +V" +tp11796 +a(g236 +VBad Request +p11797 +tp11798 +a(g236 +V" +tp11799 +a(g180 +V\u000a +p11800 +tp11801 +a(g6 +Velsif +p11802 +tp11803 +a(g180 +V +tp11804 +a(g43 +Vstatus +p11805 +tp11806 +a(g180 +V +tp11807 +a(g334 +V== +p11808 +tp11809 +a(g180 +V +tp11810 +a(g309 +V401 +p11811 +tp11812 +a(g180 +V\u000a +p11813 +tp11814 +a(g236 +V" +tp11815 +a(g236 +VUnauthorized +p11816 +tp11817 +a(g236 +V" +tp11818 +a(g180 +V\u000a +p11819 +tp11820 +a(g6 +Velsif +p11821 +tp11822 +a(g180 +V +tp11823 +a(g43 +Vstatus +p11824 +tp11825 +a(g180 +V +tp11826 +a(g334 +V== +p11827 +tp11828 +a(g180 +V +tp11829 +a(g309 +V402 +p11830 +tp11831 +a(g180 +V\u000a +p11832 +tp11833 +a(g236 +V" +tp11834 +a(g236 +VPayment Required +p11835 +tp11836 +a(g236 +V" +tp11837 +a(g180 +V\u000a +p11838 +tp11839 +a(g6 +Velsif +p11840 +tp11841 +a(g180 +V +tp11842 +a(g43 +Vstatus +p11843 +tp11844 +a(g180 +V +tp11845 +a(g334 +V== +p11846 +tp11847 +a(g180 +V +tp11848 +a(g309 +V403 +p11849 +tp11850 +a(g180 +V\u000a +p11851 +tp11852 +a(g236 +V" +tp11853 +a(g236 +VForbidden +p11854 +tp11855 +a(g236 +V" +tp11856 +a(g180 +V\u000a +p11857 +tp11858 +a(g6 +Velsif +p11859 +tp11860 +a(g180 +V +tp11861 +a(g43 +Vstatus +p11862 +tp11863 +a(g180 +V +tp11864 +a(g334 +V== +p11865 +tp11866 +a(g180 +V +tp11867 +a(g309 +V404 +p11868 +tp11869 +a(g180 +V\u000a +p11870 +tp11871 +a(g236 +V" +tp11872 +a(g236 +VNot Found +p11873 +tp11874 +a(g236 +V" +tp11875 +a(g180 +V\u000a +p11876 +tp11877 +a(g6 +Velsif +p11878 +tp11879 +a(g180 +V +tp11880 +a(g43 +Vstatus +p11881 +tp11882 +a(g180 +V +tp11883 +a(g334 +V== +p11884 +tp11885 +a(g180 +V +tp11886 +a(g309 +V405 +p11887 +tp11888 +a(g180 +V\u000a +p11889 +tp11890 +a(g236 +V" +tp11891 +a(g236 +VMethod Not Allowed +p11892 +tp11893 +a(g236 +V" +tp11894 +a(g180 +V\u000a +p11895 +tp11896 +a(g6 +Velsif +p11897 +tp11898 +a(g180 +V +tp11899 +a(g43 +Vstatus +p11900 +tp11901 +a(g180 +V +tp11902 +a(g334 +V== +p11903 +tp11904 +a(g180 +V +tp11905 +a(g309 +V406 +p11906 +tp11907 +a(g180 +V\u000a +p11908 +tp11909 +a(g236 +V" +tp11910 +a(g236 +VNot Acceptable +p11911 +tp11912 +a(g236 +V" +tp11913 +a(g180 +V\u000a +p11914 +tp11915 +a(g6 +Velsif +p11916 +tp11917 +a(g180 +V +tp11918 +a(g43 +Vstatus +p11919 +tp11920 +a(g180 +V +tp11921 +a(g334 +V== +p11922 +tp11923 +a(g180 +V +tp11924 +a(g309 +V407 +p11925 +tp11926 +a(g180 +V\u000a +p11927 +tp11928 +a(g236 +V" +tp11929 +a(g236 +VProxy Authentication Required +p11930 +tp11931 +a(g236 +V" +tp11932 +a(g180 +V\u000a +p11933 +tp11934 +a(g6 +Velsif +p11935 +tp11936 +a(g180 +V +tp11937 +a(g43 +Vstatus +p11938 +tp11939 +a(g180 +V +tp11940 +a(g334 +V== +p11941 +tp11942 +a(g180 +V +tp11943 +a(g309 +V408 +p11944 +tp11945 +a(g180 +V\u000a +p11946 +tp11947 +a(g236 +V" +tp11948 +a(g236 +VRequest Time-out +p11949 +tp11950 +a(g236 +V" +tp11951 +a(g180 +V\u000a +p11952 +tp11953 +a(g6 +Velsif +p11954 +tp11955 +a(g180 +V +tp11956 +a(g43 +Vstatus +p11957 +tp11958 +a(g180 +V +tp11959 +a(g334 +V== +p11960 +tp11961 +a(g180 +V +tp11962 +a(g309 +V409 +p11963 +tp11964 +a(g180 +V\u000a +p11965 +tp11966 +a(g236 +V" +tp11967 +a(g236 +VConflict +p11968 +tp11969 +a(g236 +V" +tp11970 +a(g180 +V\u000a +p11971 +tp11972 +a(g6 +Velsif +p11973 +tp11974 +a(g180 +V +tp11975 +a(g43 +Vstatus +p11976 +tp11977 +a(g180 +V +tp11978 +a(g334 +V== +p11979 +tp11980 +a(g180 +V +tp11981 +a(g309 +V410 +p11982 +tp11983 +a(g180 +V\u000a +p11984 +tp11985 +a(g236 +V" +tp11986 +a(g236 +VGone +p11987 +tp11988 +a(g236 +V" +tp11989 +a(g180 +V\u000a +p11990 +tp11991 +a(g6 +Velsif +p11992 +tp11993 +a(g180 +V +tp11994 +a(g43 +Vstatus +p11995 +tp11996 +a(g180 +V +tp11997 +a(g334 +V== +p11998 +tp11999 +a(g180 +V +tp12000 +a(g309 +V411 +p12001 +tp12002 +a(g180 +V\u000a +p12003 +tp12004 +a(g236 +V" +tp12005 +a(g236 +VLength Required +p12006 +tp12007 +a(g236 +V" +tp12008 +a(g180 +V\u000a +p12009 +tp12010 +a(g6 +Velsif +p12011 +tp12012 +a(g180 +V +tp12013 +a(g43 +Vstatus +p12014 +tp12015 +a(g180 +V +tp12016 +a(g334 +V== +p12017 +tp12018 +a(g180 +V +tp12019 +a(g309 +V412 +p12020 +tp12021 +a(g180 +V\u000a +p12022 +tp12023 +a(g236 +V" +tp12024 +a(g236 +VPrecondition Failed +p12025 +tp12026 +a(g236 +V" +tp12027 +a(g180 +V\u000a +p12028 +tp12029 +a(g6 +Velsif +p12030 +tp12031 +a(g180 +V +tp12032 +a(g43 +Vstatus +p12033 +tp12034 +a(g180 +V +tp12035 +a(g334 +V== +p12036 +tp12037 +a(g180 +V +tp12038 +a(g309 +V413 +p12039 +tp12040 +a(g180 +V\u000a +p12041 +tp12042 +a(g236 +V" +tp12043 +a(g236 +VRequest Entity Too Large +p12044 +tp12045 +a(g236 +V" +tp12046 +a(g180 +V\u000a +p12047 +tp12048 +a(g6 +Velsif +p12049 +tp12050 +a(g180 +V +tp12051 +a(g43 +Vstatus +p12052 +tp12053 +a(g180 +V +tp12054 +a(g334 +V== +p12055 +tp12056 +a(g180 +V +tp12057 +a(g309 +V414 +p12058 +tp12059 +a(g180 +V\u000a +p12060 +tp12061 +a(g236 +V" +tp12062 +a(g236 +VRequest-URI Too Large +p12063 +tp12064 +a(g236 +V" +tp12065 +a(g180 +V\u000a +p12066 +tp12067 +a(g6 +Velsif +p12068 +tp12069 +a(g180 +V +tp12070 +a(g43 +Vstatus +p12071 +tp12072 +a(g180 +V +tp12073 +a(g334 +V== +p12074 +tp12075 +a(g180 +V +tp12076 +a(g309 +V415 +p12077 +tp12078 +a(g180 +V\u000a +p12079 +tp12080 +a(g236 +V" +tp12081 +a(g236 +VUnsupported Media Type +p12082 +tp12083 +a(g236 +V" +tp12084 +a(g180 +V\u000a +p12085 +tp12086 +a(g6 +Velsif +p12087 +tp12088 +a(g180 +V +tp12089 +a(g43 +Vstatus +p12090 +tp12091 +a(g180 +V +tp12092 +a(g334 +V== +p12093 +tp12094 +a(g180 +V +tp12095 +a(g309 +V416 +p12096 +tp12097 +a(g180 +V\u000a +p12098 +tp12099 +a(g236 +V" +tp12100 +a(g236 +VRequested range not satisfiable +p12101 +tp12102 +a(g236 +V" +tp12103 +a(g180 +V\u000a +p12104 +tp12105 +a(g6 +Velsif +p12106 +tp12107 +a(g180 +V +tp12108 +a(g43 +Vstatus +p12109 +tp12110 +a(g180 +V +tp12111 +a(g334 +V== +p12112 +tp12113 +a(g180 +V +tp12114 +a(g309 +V417 +p12115 +tp12116 +a(g180 +V\u000a +p12117 +tp12118 +a(g236 +V" +tp12119 +a(g236 +VExpectation Failed +p12120 +tp12121 +a(g236 +V" +tp12122 +a(g180 +V\u000a +p12123 +tp12124 +a(g6 +Velsif +p12125 +tp12126 +a(g180 +V +tp12127 +a(g43 +Vstatus +p12128 +tp12129 +a(g180 +V +tp12130 +a(g334 +V== +p12131 +tp12132 +a(g180 +V +tp12133 +a(g309 +V500 +p12134 +tp12135 +a(g180 +V\u000a +p12136 +tp12137 +a(g236 +V" +tp12138 +a(g236 +VInternal Server Error +p12139 +tp12140 +a(g236 +V" +tp12141 +a(g180 +V\u000a +p12142 +tp12143 +a(g6 +Velsif +p12144 +tp12145 +a(g180 +V +tp12146 +a(g43 +Vstatus +p12147 +tp12148 +a(g180 +V +tp12149 +a(g334 +V== +p12150 +tp12151 +a(g180 +V +tp12152 +a(g309 +V501 +p12153 +tp12154 +a(g180 +V\u000a +p12155 +tp12156 +a(g236 +V" +tp12157 +a(g236 +VNot Implemented +p12158 +tp12159 +a(g236 +V" +tp12160 +a(g180 +V\u000a +p12161 +tp12162 +a(g6 +Velsif +p12163 +tp12164 +a(g180 +V +tp12165 +a(g43 +Vstatus +p12166 +tp12167 +a(g180 +V +tp12168 +a(g334 +V== +p12169 +tp12170 +a(g180 +V +tp12171 +a(g309 +V502 +p12172 +tp12173 +a(g180 +V\u000a +p12174 +tp12175 +a(g236 +V" +tp12176 +a(g236 +VBad Gateway +p12177 +tp12178 +a(g236 +V" +tp12179 +a(g180 +V\u000a +p12180 +tp12181 +a(g6 +Velsif +p12182 +tp12183 +a(g180 +V +tp12184 +a(g43 +Vstatus +p12185 +tp12186 +a(g180 +V +tp12187 +a(g334 +V== +p12188 +tp12189 +a(g180 +V +tp12190 +a(g309 +V503 +p12191 +tp12192 +a(g180 +V\u000a +p12193 +tp12194 +a(g236 +V" +tp12195 +a(g236 +VService Unavailable +p12196 +tp12197 +a(g236 +V" +tp12198 +a(g180 +V\u000a +p12199 +tp12200 +a(g6 +Velsif +p12201 +tp12202 +a(g180 +V +tp12203 +a(g43 +Vstatus +p12204 +tp12205 +a(g180 +V +tp12206 +a(g334 +V== +p12207 +tp12208 +a(g180 +V +tp12209 +a(g309 +V504 +p12210 +tp12211 +a(g180 +V\u000a +p12212 +tp12213 +a(g236 +V" +tp12214 +a(g236 +VGateway Time-out +p12215 +tp12216 +a(g236 +V" +tp12217 +a(g180 +V\u000a +p12218 +tp12219 +a(g6 +Velsif +p12220 +tp12221 +a(g180 +V +tp12222 +a(g43 +Vstatus +p12223 +tp12224 +a(g180 +V +tp12225 +a(g334 +V== +p12226 +tp12227 +a(g180 +V +tp12228 +a(g309 +V505 +p12229 +tp12230 +a(g180 +V\u000a +p12231 +tp12232 +a(g236 +V" +tp12233 +a(g236 +VHTTP Version not supported +p12234 +tp12235 +a(g236 +V" +tp12236 +a(g180 +V\u000a +p12237 +tp12238 +a(g6 +Velse +p12239 +tp12240 +a(g180 +V\u000a +p12241 +tp12242 +a(g6 +Vraise +p12243 +tp12244 +a(g180 +V +tp12245 +a(g236 +V" +tp12246 +a(g236 +VUnknown Statuscode. See http://www.w3.org/Protocols/rfc2616/rfc2616-sec6.html +p12247 +tp12248 +a(g236 +V# +tp12249 +a(g236 +Vsec6.1 for more information. +p12250 +tp12251 +a(g236 +V" +tp12252 +a(g180 +V\u000a +p12253 +tp12254 +a(g6 +Vend +p12255 +tp12256 +a(g180 +V\u000a +p12257 +tp12258 +a(g29 +V# }}} +p12259 +tp12260 +a(g180 +V\u000a +p12261 +tp12262 +a(g6 +Vend +p12263 +tp12264 +a(g180 +V\u000a +tp12265 +a(g6 +Vend +p12266 +tp12267 +a(g180 +V\u000a\u000a +p12268 +tp12269 +a(g6 +Vclass +p12270 +tp12271 +a(g180 +V +tp12272 +a(g130 +VCookie +p12273 +tp12274 +a(g180 +V\u000a +p12275 +tp12276 +a(g347 +Vattr_reader +p12277 +tp12278 +a(g180 +V +tp12279 +a(g239 +V:name +p12280 +tp12281 +a(g193 +V, +tp12282 +a(g180 +V +tp12283 +a(g239 +V:value +p12284 +tp12285 +a(g193 +V, +tp12286 +a(g180 +V +tp12287 +a(g239 +V:maxage +p12288 +tp12289 +a(g193 +V, +tp12290 +a(g180 +V +tp12291 +a(g239 +V:path +p12292 +tp12293 +a(g193 +V, +tp12294 +a(g180 +V +tp12295 +a(g239 +V:domain +p12296 +tp12297 +a(g193 +V, +tp12298 +a(g180 +V +tp12299 +a(g239 +V:secure +p12300 +tp12301 +a(g193 +V, +tp12302 +a(g180 +V +tp12303 +a(g239 +V:comment +p12304 +tp12305 +a(g180 +V\u000a\u000a +p12306 +tp12307 +a(g29 +V# Sets a cookie. Please see below for details of the attributes. +p12308 +tp12309 +a(g180 +V\u000a +p12310 +tp12311 +a(g6 +Vdef +p12312 +tp12313 +a(g180 +V +tp12314 +a(g46 +Vinitialize +p12315 +tp12316 +a(g180 +V +tp12317 +a(g193 +V( +tp12318 +a(g81 +Vname +p12319 +tp12320 +a(g193 +V, +tp12321 +a(g180 +V +tp12322 +a(g43 +Vvalue +p12323 +tp12324 +a(g180 +V +tp12325 +a(g334 +V= +tp12326 +a(g180 +V +tp12327 +a(g347 +Vnil +p12328 +tp12329 +a(g193 +V, +tp12330 +a(g180 +V +tp12331 +a(g43 +Vmaxage +p12332 +tp12333 +a(g180 +V +tp12334 +a(g334 +V= +tp12335 +a(g180 +V +tp12336 +a(g347 +Vnil +p12337 +tp12338 +a(g193 +V, +tp12339 +a(g180 +V +tp12340 +a(g43 +Vpath +p12341 +tp12342 +a(g180 +V +tp12343 +a(g334 +V= +tp12344 +a(g180 +V +tp12345 +a(g347 +Vnil +p12346 +tp12347 +a(g193 +V, +tp12348 +a(g180 +V +tp12349 +a(g43 +Vdomain +p12350 +tp12351 +a(g180 +V +tp12352 +a(g334 +V= +tp12353 +a(g180 +V +tp12354 +a(g347 +Vnil +p12355 +tp12356 +a(g193 +V, +tp12357 +a(g180 +V +tp12358 +a(g43 +Vsecure +p12359 +tp12360 +a(g180 +V +tp12361 +a(g334 +V= +tp12362 +a(g180 +V +tp12363 +a(g347 +Vfalse +p12364 +tp12365 +a(g193 +V) +tp12366 +a(g180 +V\u000a +p12367 +tp12368 +a(g29 +V# {{{ +p12369 +tp12370 +a(g180 +V\u000a +p12371 +tp12372 +a(g29 +V# HTTP headers (Cookies are a HTTP header) can only set, while no content +p12373 +tp12374 +a(g180 +V\u000a +p12375 +tp12376 +a(g29 +V# is send. So an exception will be raised, when @@allowed is set to false +p12377 +tp12378 +a(g180 +V\u000a +p12379 +tp12380 +a(g29 +V# and a new cookie has set. +p12381 +tp12382 +a(g180 +V\u000a +p12383 +tp12384 +a(g6 +Vunless +p12385 +tp12386 +a(g180 +V +tp12387 +a(g43 +Vdefined? +p12388 +tp12389 +a(g193 +V( +tp12390 +a(g113 +V@@allowed +p12391 +tp12392 +a(g193 +V) +tp12393 +a(g180 +V\u000a +p12394 +tp12395 +a(g113 +V@@allowed +p12396 +tp12397 +a(g180 +V +tp12398 +a(g334 +V= +tp12399 +a(g180 +V +tp12400 +a(g347 +Vtrue +p12401 +tp12402 +a(g180 +V\u000a +p12403 +tp12404 +a(g6 +Vend +p12405 +tp12406 +a(g180 +V\u000a +p12407 +tp12408 +a(g6 +Vunless +p12409 +tp12410 +a(g180 +V +tp12411 +a(g113 +V@@allowed +p12412 +tp12413 +a(g180 +V\u000a +p12414 +tp12415 +a(g6 +Vraise +p12416 +tp12417 +a(g180 +V +tp12418 +a(g236 +V" +tp12419 +a(g236 +VYou can't set cookies after the HTTP headers are send. +p12420 +tp12421 +a(g236 +V" +tp12422 +a(g180 +V\u000a +p12423 +tp12424 +a(g6 +Vend +p12425 +tp12426 +a(g180 +V\u000a\u000a +p12427 +tp12428 +a(g6 +Vunless +p12429 +tp12430 +a(g180 +V +tp12431 +a(g43 +Vdefined? +p12432 +tp12433 +a(g193 +V( +tp12434 +a(g113 +V@@list +p12435 +tp12436 +a(g193 +V) +tp12437 +a(g180 +V\u000a +p12438 +tp12439 +a(g113 +V@@list +p12440 +tp12441 +a(g180 +V +tp12442 +a(g334 +V= +tp12443 +a(g180 +V +tp12444 +a(g334 +V[ +tp12445 +a(g334 +V] +tp12446 +a(g180 +V\u000a +p12447 +tp12448 +a(g6 +Vend +p12449 +tp12450 +a(g180 +V\u000a +p12451 +tp12452 +a(g113 +V@@list +p12453 +tp12454 +a(g180 +V +tp12455 +a(g334 +V+= +p12456 +tp12457 +a(g180 +V +tp12458 +a(g334 +V[ +tp12459 +a(g81 +Vself +p12460 +tp12461 +a(g334 +V] +tp12462 +a(g180 +V\u000a\u000a +p12463 +tp12464 +a(g6 +Vunless +p12465 +tp12466 +a(g180 +V +tp12467 +a(g43 +Vdefined? +p12468 +tp12469 +a(g193 +V( +tp12470 +a(g113 +V@@type +p12471 +tp12472 +a(g193 +V) +tp12473 +a(g180 +V\u000a +p12474 +tp12475 +a(g113 +V@@type +p12476 +tp12477 +a(g180 +V +tp12478 +a(g334 +V= +tp12479 +a(g180 +V +tp12480 +a(g236 +V" +tp12481 +a(g236 +Vnetscape +p12482 +tp12483 +a(g236 +V" +tp12484 +a(g180 +V\u000a +p12485 +tp12486 +a(g6 +Vend +p12487 +tp12488 +a(g180 +V\u000a\u000a +p12489 +tp12490 +a(g6 +Vunless +p12491 +tp12492 +a(g180 +V +tp12493 +a(g81 +Vname +p12494 +tp12495 +a(g334 +V. +tp12496 +a(g43 +Vclass +p12497 +tp12498 +a(g180 +V +tp12499 +a(g334 +V== +p12500 +tp12501 +a(g180 +V +tp12502 +a(g81 +VString +p12503 +tp12504 +a(g180 +V\u000a +p12505 +tp12506 +a(g6 +Vraise +p12507 +tp12508 +a(g180 +V +tp12509 +a(g57 +VTypeError +p12510 +tp12511 +a(g193 +V, +tp12512 +a(g180 +V +tp12513 +a(g236 +V" +tp12514 +a(g236 +VThe name of a cookie must be a string +p12515 +tp12516 +a(g236 +V" +tp12517 +a(g193 +V, +tp12518 +a(g180 +V +tp12519 +a(g81 +Vcaller +p12520 +tp12521 +a(g180 +V\u000a +p12522 +tp12523 +a(g6 +Vend +p12524 +tp12525 +a(g180 +V\u000a +p12526 +tp12527 +a(g6 +Vif +p12528 +tp12529 +a(g180 +V +tp12530 +a(g43 +Vvalue +p12531 +tp12532 +a(g334 +V. +tp12533 +a(g43 +Vclass +p12534 +tp12535 +a(g334 +V. +tp12536 +a(g43 +Vsuperclass +p12537 +tp12538 +a(g180 +V +tp12539 +a(g334 +V== +p12540 +tp12541 +a(g180 +V +tp12542 +a(g81 +VInteger +p12543 +tp12544 +a(g180 +V +tp12545 +a(g334 +V|| +p12546 +tp12547 +a(g180 +V +tp12548 +a(g43 +Vvalue +p12549 +tp12550 +a(g334 +V. +tp12551 +a(g43 +Vclass +p12552 +tp12553 +a(g180 +V +tp12554 +a(g334 +V== +p12555 +tp12556 +a(g180 +V +tp12557 +a(g81 +VFloat +p12558 +tp12559 +a(g180 +V\u000a +p12560 +tp12561 +a(g43 +Vvalue +p12562 +tp12563 +a(g180 +V +tp12564 +a(g334 +V= +tp12565 +a(g180 +V +tp12566 +a(g43 +Vvalue +p12567 +tp12568 +a(g334 +V. +tp12569 +a(g43 +Vto_s +p12570 +tp12571 +a(g180 +V\u000a +p12572 +tp12573 +a(g6 +Velsif +p12574 +tp12575 +a(g180 +V +tp12576 +a(g43 +Vvalue +p12577 +tp12578 +a(g334 +V. +tp12579 +a(g43 +Vclass +p12580 +tp12581 +a(g180 +V +tp12582 +a(g334 +V!= +p12583 +tp12584 +a(g180 +V +tp12585 +a(g81 +VString +p12586 +tp12587 +a(g180 +V +tp12588 +a(g334 +V&& +p12589 +tp12590 +a(g180 +V +tp12591 +a(g43 +Vvalue +p12592 +tp12593 +a(g180 +V +tp12594 +a(g334 +V!= +p12595 +tp12596 +a(g180 +V +tp12597 +a(g347 +Vnil +p12598 +tp12599 +a(g180 +V\u000a +p12600 +tp12601 +a(g6 +Vraise +p12602 +tp12603 +a(g180 +V +tp12604 +a(g57 +VTypeError +p12605 +tp12606 +a(g193 +V, +tp12607 +a(g180 +V +tp12608 +a(g236 +V" +tp12609 +a(g236 +VThe value of a cookie must be a string, integer, float or nil +p12610 +tp12611 +a(g236 +V" +tp12612 +a(g193 +V, +tp12613 +a(g180 +V +tp12614 +a(g81 +Vcaller +p12615 +tp12616 +a(g180 +V\u000a +p12617 +tp12618 +a(g6 +Vend +p12619 +tp12620 +a(g180 +V\u000a +p12621 +tp12622 +a(g6 +Vif +p12623 +tp12624 +a(g180 +V +tp12625 +a(g43 +Vmaxage +p12626 +tp12627 +a(g334 +V. +tp12628 +a(g43 +Vclass +p12629 +tp12630 +a(g180 +V +tp12631 +a(g334 +V== +p12632 +tp12633 +a(g180 +V +tp12634 +a(g57 +VTime +p12635 +tp12636 +a(g180 +V\u000a +p12637 +tp12638 +a(g43 +Vmaxage +p12639 +tp12640 +a(g180 +V +tp12641 +a(g334 +V= +tp12642 +a(g180 +V +tp12643 +a(g43 +Vmaxage +p12644 +tp12645 +a(g180 +V +tp12646 +a(g334 +V- +tp12647 +a(g180 +V +tp12648 +a(g57 +VTime +p12649 +tp12650 +a(g334 +V. +tp12651 +a(g43 +Vnow +p12652 +tp12653 +a(g180 +V\u000a +p12654 +tp12655 +a(g6 +Velsif +p12656 +tp12657 +a(g180 +V +tp12658 +a(g334 +V! +tp12659 +a(g43 +Vmaxage +p12660 +tp12661 +a(g334 +V. +tp12662 +a(g43 +Vclass +p12663 +tp12664 +a(g334 +V. +tp12665 +a(g43 +Vsuperclass +p12666 +tp12667 +a(g180 +V +tp12668 +a(g334 +V== +p12669 +tp12670 +a(g180 +V +tp12671 +a(g81 +VInteger +p12672 +tp12673 +a(g180 +V +p12674 +tp12675 +a(g334 +V|| +p12676 +tp12677 +a(g180 +V +tp12678 +a(g334 +V! +tp12679 +a(g43 +Vmaxage +p12680 +tp12681 +a(g180 +V +tp12682 +a(g334 +V== +p12683 +tp12684 +a(g180 +V +tp12685 +a(g347 +Vnil +p12686 +tp12687 +a(g180 +V\u000a +p12688 +tp12689 +a(g6 +Vraise +p12690 +tp12691 +a(g180 +V +tp12692 +a(g57 +VTypeError +p12693 +tp12694 +a(g193 +V, +tp12695 +a(g180 +V +tp12696 +a(g236 +V" +tp12697 +a(g236 +VThe maxage date of a cookie must be an Integer or Time object or nil. +p12698 +tp12699 +a(g236 +V" +tp12700 +a(g193 +V, +tp12701 +a(g180 +V +tp12702 +a(g81 +Vcaller +p12703 +tp12704 +a(g180 +V\u000a +p12705 +tp12706 +a(g6 +Vend +p12707 +tp12708 +a(g180 +V\u000a +p12709 +tp12710 +a(g6 +Vunless +p12711 +tp12712 +a(g180 +V +tp12713 +a(g43 +Vpath +p12714 +tp12715 +a(g334 +V. +tp12716 +a(g43 +Vclass +p12717 +tp12718 +a(g180 +V +tp12719 +a(g334 +V== +p12720 +tp12721 +a(g180 +V +tp12722 +a(g81 +VString +p12723 +tp12724 +a(g180 +V +p12725 +tp12726 +a(g334 +V|| +p12727 +tp12728 +a(g180 +V +tp12729 +a(g43 +Vpath +p12730 +tp12731 +a(g180 +V +tp12732 +a(g334 +V== +p12733 +tp12734 +a(g180 +V +tp12735 +a(g347 +Vnil +p12736 +tp12737 +a(g180 +V\u000a +p12738 +tp12739 +a(g6 +Vraise +p12740 +tp12741 +a(g180 +V +tp12742 +a(g57 +VTypeError +p12743 +tp12744 +a(g193 +V, +tp12745 +a(g180 +V +tp12746 +a(g236 +V" +tp12747 +a(g236 +VThe path of a cookie must be nil or a string +p12748 +tp12749 +a(g236 +V" +tp12750 +a(g193 +V, +tp12751 +a(g180 +V +tp12752 +a(g81 +Vcaller +p12753 +tp12754 +a(g180 +V\u000a +p12755 +tp12756 +a(g6 +Vend +p12757 +tp12758 +a(g180 +V\u000a +p12759 +tp12760 +a(g6 +Vunless +p12761 +tp12762 +a(g180 +V +tp12763 +a(g43 +Vdomain +p12764 +tp12765 +a(g334 +V. +tp12766 +a(g43 +Vclass +p12767 +tp12768 +a(g180 +V +tp12769 +a(g334 +V== +p12770 +tp12771 +a(g180 +V +tp12772 +a(g81 +VString +p12773 +tp12774 +a(g180 +V +p12775 +tp12776 +a(g334 +V|| +p12777 +tp12778 +a(g180 +V +tp12779 +a(g43 +Vdomain +p12780 +tp12781 +a(g180 +V +tp12782 +a(g334 +V== +p12783 +tp12784 +a(g180 +V +tp12785 +a(g347 +Vnil +p12786 +tp12787 +a(g180 +V\u000a +p12788 +tp12789 +a(g6 +Vraise +p12790 +tp12791 +a(g180 +V +tp12792 +a(g57 +VTypeError +p12793 +tp12794 +a(g193 +V, +tp12795 +a(g180 +V +tp12796 +a(g236 +V" +tp12797 +a(g236 +VThe value of a cookie must be nil or a string +p12798 +tp12799 +a(g236 +V" +tp12800 +a(g193 +V, +tp12801 +a(g180 +V +tp12802 +a(g81 +Vcaller +p12803 +tp12804 +a(g180 +V\u000a +p12805 +tp12806 +a(g6 +Vend +p12807 +tp12808 +a(g180 +V\u000a +p12809 +tp12810 +a(g6 +Vunless +p12811 +tp12812 +a(g180 +V +tp12813 +a(g43 +Vsecure +p12814 +tp12815 +a(g180 +V +tp12816 +a(g334 +V== +p12817 +tp12818 +a(g180 +V +tp12819 +a(g347 +Vtrue +p12820 +tp12821 +a(g180 +V +p12822 +tp12823 +a(g334 +V|| +p12824 +tp12825 +a(g180 +V +tp12826 +a(g43 +Vsecure +p12827 +tp12828 +a(g180 +V +tp12829 +a(g334 +V== +p12830 +tp12831 +a(g180 +V +tp12832 +a(g347 +Vfalse +p12833 +tp12834 +a(g180 +V\u000a +p12835 +tp12836 +a(g6 +Vraise +p12837 +tp12838 +a(g180 +V +tp12839 +a(g57 +VTypeError +p12840 +tp12841 +a(g193 +V, +tp12842 +a(g180 +V +tp12843 +a(g236 +V" +tp12844 +a(g236 +VThe secure field of a cookie must be true or false +p12845 +tp12846 +a(g236 +V" +tp12847 +a(g193 +V, +tp12848 +a(g180 +V +tp12849 +a(g81 +Vcaller +p12850 +tp12851 +a(g180 +V\u000a +p12852 +tp12853 +a(g6 +Vend +p12854 +tp12855 +a(g180 +V\u000a\u000a +p12856 +tp12857 +a(g104 +V@name +p12858 +tp12859 +a(g193 +V, +tp12860 +a(g180 +V +tp12861 +a(g104 +V@value +p12862 +tp12863 +a(g193 +V, +tp12864 +a(g180 +V +tp12865 +a(g104 +V@maxage +p12866 +tp12867 +a(g193 +V, +tp12868 +a(g180 +V +tp12869 +a(g104 +V@path +p12870 +tp12871 +a(g193 +V, +tp12872 +a(g180 +V +tp12873 +a(g104 +V@domain +p12874 +tp12875 +a(g193 +V, +tp12876 +a(g180 +V +tp12877 +a(g104 +V@secure +p12878 +tp12879 +a(g180 +V +tp12880 +a(g334 +V= +tp12881 +a(g180 +V +tp12882 +a(g81 +Vname +p12883 +tp12884 +a(g193 +V, +tp12885 +a(g180 +V +tp12886 +a(g43 +Vvalue +p12887 +tp12888 +a(g193 +V, +tp12889 +a(g180 +V +tp12890 +a(g43 +Vmaxage +p12891 +tp12892 +a(g193 +V, +tp12893 +a(g180 +V +tp12894 +a(g43 +Vpath +p12895 +tp12896 +a(g193 +V, +tp12897 +a(g180 +V +tp12898 +a(g43 +Vdomain +p12899 +tp12900 +a(g193 +V, +tp12901 +a(g180 +V +tp12902 +a(g43 +Vsecure +p12903 +tp12904 +a(g180 +V\u000a +p12905 +tp12906 +a(g104 +V@comment +p12907 +tp12908 +a(g180 +V +tp12909 +a(g334 +V= +tp12910 +a(g180 +V +tp12911 +a(g347 +Vnil +p12912 +tp12913 +a(g180 +V\u000a +p12914 +tp12915 +a(g29 +V# }}} +p12916 +tp12917 +a(g180 +V\u000a +p12918 +tp12919 +a(g6 +Vend +p12920 +tp12921 +a(g180 +V\u000a\u000a +p12922 +tp12923 +a(g29 +V# Modifies the value of this cookie. The information you want to store. If the +p12924 +tp12925 +a(g180 +V\u000a +p12926 +tp12927 +a(g29 +V# value is nil, the cookie will be deleted by the client. +p12928 +tp12929 +a(g180 +V\u000a +p12930 +tp12931 +a(g29 +V# +tp12932 +a(g180 +V\u000a +p12933 +tp12934 +a(g29 +V# This attribute can be a String, Integer or Float object or nil. +p12935 +tp12936 +a(g180 +V\u000a +p12937 +tp12938 +a(g6 +Vdef +p12939 +tp12940 +a(g180 +V +tp12941 +a(g46 +Vvalue +p12942 +tp12943 +a(g334 +V= +tp12944 +a(g193 +V( +tp12945 +a(g43 +Vvalue +p12946 +tp12947 +a(g193 +V) +tp12948 +a(g180 +V\u000a +p12949 +tp12950 +a(g29 +V# {{{ +p12951 +tp12952 +a(g180 +V\u000a +p12953 +tp12954 +a(g6 +Vif +p12955 +tp12956 +a(g180 +V +tp12957 +a(g43 +Vvalue +p12958 +tp12959 +a(g334 +V. +tp12960 +a(g43 +Vclass +p12961 +tp12962 +a(g334 +V. +tp12963 +a(g43 +Vsuperclass +p12964 +tp12965 +a(g180 +V +tp12966 +a(g334 +V== +p12967 +tp12968 +a(g180 +V +tp12969 +a(g81 +VInteger +p12970 +tp12971 +a(g180 +V +tp12972 +a(g334 +V|| +p12973 +tp12974 +a(g180 +V +tp12975 +a(g43 +Vvalue +p12976 +tp12977 +a(g334 +V. +tp12978 +a(g43 +Vclass +p12979 +tp12980 +a(g180 +V +tp12981 +a(g334 +V== +p12982 +tp12983 +a(g180 +V +tp12984 +a(g81 +VFloat +p12985 +tp12986 +a(g180 +V\u000a +p12987 +tp12988 +a(g43 +Vvalue +p12989 +tp12990 +a(g180 +V +tp12991 +a(g334 +V= +tp12992 +a(g180 +V +tp12993 +a(g43 +Vvalue +p12994 +tp12995 +a(g334 +V. +tp12996 +a(g43 +Vto_s +p12997 +tp12998 +a(g180 +V\u000a +p12999 +tp13000 +a(g6 +Velsif +p13001 +tp13002 +a(g180 +V +tp13003 +a(g43 +Vvalue +p13004 +tp13005 +a(g334 +V. +tp13006 +a(g43 +Vclass +p13007 +tp13008 +a(g180 +V +tp13009 +a(g334 +V!= +p13010 +tp13011 +a(g180 +V +tp13012 +a(g81 +VString +p13013 +tp13014 +a(g180 +V +tp13015 +a(g334 +V&& +p13016 +tp13017 +a(g180 +V +tp13018 +a(g43 +Vvalue +p13019 +tp13020 +a(g180 +V +tp13021 +a(g334 +V!= +p13022 +tp13023 +a(g180 +V +tp13024 +a(g347 +Vnil +p13025 +tp13026 +a(g180 +V\u000a +p13027 +tp13028 +a(g6 +Vraise +p13029 +tp13030 +a(g180 +V +tp13031 +a(g57 +VTypeError +p13032 +tp13033 +a(g193 +V, +tp13034 +a(g180 +V +tp13035 +a(g236 +V" +tp13036 +a(g236 +VThe value of a cookie must be a string, integer, float or nil +p13037 +tp13038 +a(g236 +V" +tp13039 +a(g193 +V, +tp13040 +a(g180 +V +tp13041 +a(g81 +Vcaller +p13042 +tp13043 +a(g180 +V\u000a +p13044 +tp13045 +a(g6 +Vend +p13046 +tp13047 +a(g180 +V\u000a +p13048 +tp13049 +a(g104 +V@value +p13050 +tp13051 +a(g180 +V +tp13052 +a(g334 +V= +tp13053 +a(g180 +V +tp13054 +a(g43 +Vvalue +p13055 +tp13056 +a(g180 +V\u000a +p13057 +tp13058 +a(g29 +V# }}} +p13059 +tp13060 +a(g180 +V\u000a +p13061 +tp13062 +a(g6 +Vend +p13063 +tp13064 +a(g180 +V\u000a\u000a +p13065 +tp13066 +a(g29 +V# Modifies the maxage of this cookie. This attribute defines the lifetime of +p13067 +tp13068 +a(g180 +V\u000a +p13069 +tp13070 +a(g29 +V# the cookie, in seconds. A value of 0 means the cookie should be discarded +p13071 +tp13072 +a(g180 +V\u000a +p13073 +tp13074 +a(g29 +V# imediatly. If it set to nil, the cookie will be deleted when the browser +p13075 +tp13076 +a(g180 +V\u000a +p13077 +tp13078 +a(g29 +V# will be closed. +p13079 +tp13080 +a(g180 +V\u000a +p13081 +tp13082 +a(g29 +V# +tp13083 +a(g180 +V\u000a +p13084 +tp13085 +a(g29 +V# Attention: This is different from other implementations like PHP, where you +p13086 +tp13087 +a(g180 +V\u000a +p13088 +tp13089 +a(g29 +V# gives the seconds since 1/1/1970 0:00:00 GMT. +p13090 +tp13091 +a(g180 +V\u000a +p13092 +tp13093 +a(g29 +V# +tp13094 +a(g180 +V\u000a +p13095 +tp13096 +a(g29 +V# This attribute must be an Integer or Time object or nil. +p13097 +tp13098 +a(g180 +V\u000a +p13099 +tp13100 +a(g6 +Vdef +p13101 +tp13102 +a(g180 +V +tp13103 +a(g46 +Vmaxage +p13104 +tp13105 +a(g334 +V= +tp13106 +a(g193 +V( +tp13107 +a(g43 +Vmaxage +p13108 +tp13109 +a(g193 +V) +tp13110 +a(g180 +V\u000a +p13111 +tp13112 +a(g29 +V# {{{ +p13113 +tp13114 +a(g180 +V\u000a +p13115 +tp13116 +a(g6 +Vif +p13117 +tp13118 +a(g180 +V +tp13119 +a(g43 +Vmaxage +p13120 +tp13121 +a(g334 +V. +tp13122 +a(g43 +Vclass +p13123 +tp13124 +a(g180 +V +tp13125 +a(g334 +V== +p13126 +tp13127 +a(g180 +V +tp13128 +a(g57 +VTime +p13129 +tp13130 +a(g180 +V\u000a +p13131 +tp13132 +a(g43 +Vmaxage +p13133 +tp13134 +a(g180 +V +tp13135 +a(g334 +V= +tp13136 +a(g180 +V +tp13137 +a(g43 +Vmaxage +p13138 +tp13139 +a(g180 +V +tp13140 +a(g334 +V- +tp13141 +a(g180 +V +tp13142 +a(g57 +VTime +p13143 +tp13144 +a(g334 +V. +tp13145 +a(g43 +Vnow +p13146 +tp13147 +a(g180 +V\u000a +p13148 +tp13149 +a(g6 +Velsif +p13150 +tp13151 +a(g180 +V +tp13152 +a(g43 +Vmaxage +p13153 +tp13154 +a(g334 +V. +tp13155 +a(g43 +Vclass +p13156 +tp13157 +a(g334 +V. +tp13158 +a(g43 +Vsuperclass +p13159 +tp13160 +a(g180 +V +tp13161 +a(g334 +V== +p13162 +tp13163 +a(g180 +V +tp13164 +a(g81 +VInteger +p13165 +tp13166 +a(g180 +V +p13167 +tp13168 +a(g334 +V|| +p13169 +tp13170 +a(g180 +V +tp13171 +a(g334 +V! +tp13172 +a(g43 +Vmaxage +p13173 +tp13174 +a(g180 +V +tp13175 +a(g334 +V== +p13176 +tp13177 +a(g180 +V +tp13178 +a(g347 +Vnil +p13179 +tp13180 +a(g180 +V\u000a +p13181 +tp13182 +a(g6 +Vraise +p13183 +tp13184 +a(g180 +V +tp13185 +a(g57 +VTypeError +p13186 +tp13187 +a(g193 +V, +tp13188 +a(g180 +V +tp13189 +a(g236 +V" +tp13190 +a(g236 +VThe maxage of a cookie must be an Interger or Time object or nil. +p13191 +tp13192 +a(g236 +V" +tp13193 +a(g193 +V, +tp13194 +a(g180 +V +tp13195 +a(g81 +Vcaller +p13196 +tp13197 +a(g180 +V\u000a +p13198 +tp13199 +a(g6 +Vend +p13200 +tp13201 +a(g180 +V\u000a +p13202 +tp13203 +a(g104 +V@maxage +p13204 +tp13205 +a(g180 +V +tp13206 +a(g334 +V= +tp13207 +a(g180 +V +tp13208 +a(g43 +Vmaxage +p13209 +tp13210 +a(g180 +V\u000a +p13211 +tp13212 +a(g29 +V# }}} +p13213 +tp13214 +a(g180 +V\u000a +p13215 +tp13216 +a(g6 +Vend +p13217 +tp13218 +a(g180 +V\u000a\u000a +p13219 +tp13220 +a(g29 +V# Modifies the path value of this cookie. The client will send this cookie +p13221 +tp13222 +a(g180 +V\u000a +p13223 +tp13224 +a(g29 +V# only, if the requested document is this directory or a subdirectory of it. +p13225 +tp13226 +a(g180 +V\u000a +p13227 +tp13228 +a(g29 +V# +tp13229 +a(g180 +V\u000a +p13230 +tp13231 +a(g29 +V# The value of the attribute must be a String object or nil. +p13232 +tp13233 +a(g180 +V\u000a +p13234 +tp13235 +a(g6 +Vdef +p13236 +tp13237 +a(g180 +V +tp13238 +a(g46 +Vpath +p13239 +tp13240 +a(g334 +V= +tp13241 +a(g193 +V( +tp13242 +a(g43 +Vpath +p13243 +tp13244 +a(g193 +V) +tp13245 +a(g180 +V\u000a +p13246 +tp13247 +a(g29 +V# {{{ +p13248 +tp13249 +a(g180 +V\u000a +p13250 +tp13251 +a(g6 +Vunless +p13252 +tp13253 +a(g180 +V +tp13254 +a(g43 +Vpath +p13255 +tp13256 +a(g334 +V. +tp13257 +a(g43 +Vclass +p13258 +tp13259 +a(g180 +V +tp13260 +a(g334 +V== +p13261 +tp13262 +a(g180 +V +tp13263 +a(g81 +VString +p13264 +tp13265 +a(g180 +V +p13266 +tp13267 +a(g334 +V|| +p13268 +tp13269 +a(g180 +V +tp13270 +a(g43 +Vpath +p13271 +tp13272 +a(g180 +V +tp13273 +a(g334 +V== +p13274 +tp13275 +a(g180 +V +tp13276 +a(g347 +Vnil +p13277 +tp13278 +a(g180 +V\u000a +p13279 +tp13280 +a(g6 +Vraise +p13281 +tp13282 +a(g180 +V +tp13283 +a(g57 +VTypeError +p13284 +tp13285 +a(g193 +V, +tp13286 +a(g180 +V +tp13287 +a(g236 +V" +tp13288 +a(g236 +VThe path of a cookie must be nil or a string +p13289 +tp13290 +a(g236 +V" +tp13291 +a(g193 +V, +tp13292 +a(g180 +V +tp13293 +a(g81 +Vcaller +p13294 +tp13295 +a(g180 +V\u000a +p13296 +tp13297 +a(g6 +Vend +p13298 +tp13299 +a(g180 +V\u000a +p13300 +tp13301 +a(g104 +V@path +p13302 +tp13303 +a(g180 +V +tp13304 +a(g334 +V= +tp13305 +a(g180 +V +tp13306 +a(g43 +Vpath +p13307 +tp13308 +a(g180 +V\u000a +p13309 +tp13310 +a(g29 +V# }}} +p13311 +tp13312 +a(g180 +V\u000a +p13313 +tp13314 +a(g6 +Vend +p13315 +tp13316 +a(g180 +V\u000a\u000a +p13317 +tp13318 +a(g29 +V# Modifies the domain value of this cookie. The client will send this cookie +p13319 +tp13320 +a(g180 +V\u000a +p13321 +tp13322 +a(g29 +V# only if it's connected with this domain (or a subdomain, if the first +p13323 +tp13324 +a(g180 +V\u000a +p13325 +tp13326 +a(g29 +V# character is a dot like in ".ruby-lang.org") +p13327 +tp13328 +a(g180 +V\u000a +p13329 +tp13330 +a(g29 +V# +tp13331 +a(g180 +V\u000a +p13332 +tp13333 +a(g29 +V# The value of this attribute must be a String or nil. +p13334 +tp13335 +a(g180 +V\u000a +p13336 +tp13337 +a(g6 +Vdef +p13338 +tp13339 +a(g180 +V +tp13340 +a(g46 +Vdomain +p13341 +tp13342 +a(g334 +V= +tp13343 +a(g193 +V( +tp13344 +a(g43 +Vdomain +p13345 +tp13346 +a(g193 +V) +tp13347 +a(g180 +V\u000a +p13348 +tp13349 +a(g29 +V# {{{ +p13350 +tp13351 +a(g180 +V\u000a +p13352 +tp13353 +a(g6 +Vunless +p13354 +tp13355 +a(g180 +V +tp13356 +a(g43 +Vdomain +p13357 +tp13358 +a(g334 +V. +tp13359 +a(g43 +Vclass +p13360 +tp13361 +a(g180 +V +tp13362 +a(g334 +V== +p13363 +tp13364 +a(g180 +V +tp13365 +a(g81 +VString +p13366 +tp13367 +a(g180 +V +p13368 +tp13369 +a(g334 +V|| +p13370 +tp13371 +a(g180 +V +tp13372 +a(g43 +Vdomain +p13373 +tp13374 +a(g180 +V +tp13375 +a(g334 +V== +p13376 +tp13377 +a(g180 +V +tp13378 +a(g347 +Vnil +p13379 +tp13380 +a(g180 +V\u000a +p13381 +tp13382 +a(g6 +Vraise +p13383 +tp13384 +a(g180 +V +tp13385 +a(g57 +VTypeError +p13386 +tp13387 +a(g193 +V, +tp13388 +a(g180 +V +tp13389 +a(g236 +V" +tp13390 +a(g236 +VThe domain of a cookie must be a String or nil. +p13391 +tp13392 +a(g236 +V" +tp13393 +a(g193 +V, +tp13394 +a(g180 +V +tp13395 +a(g81 +Vcaller +p13396 +tp13397 +a(g180 +V\u000a +p13398 +tp13399 +a(g6 +Vend +p13400 +tp13401 +a(g180 +V\u000a +p13402 +tp13403 +a(g104 +V@domain +p13404 +tp13405 +a(g180 +V +tp13406 +a(g334 +V= +tp13407 +a(g180 +V +tp13408 +a(g43 +Vdomain +p13409 +tp13410 +a(g180 +V\u000a +p13411 +tp13412 +a(g29 +V# }}} +p13413 +tp13414 +a(g180 +V\u000a +p13415 +tp13416 +a(g6 +Vend +p13417 +tp13418 +a(g180 +V\u000a\u000a +p13419 +tp13420 +a(g29 +V# Modifies the secure flag of this cookie. If it's true, the client will only +p13421 +tp13422 +a(g180 +V\u000a +p13423 +tp13424 +a(g29 +V# send this cookie if it is secured connected with us. +p13425 +tp13426 +a(g180 +V\u000a +p13427 +tp13428 +a(g29 +V# +tp13429 +a(g180 +V\u000a +p13430 +tp13431 +a(g29 +V# The value od this attribute has to be true or false. +p13432 +tp13433 +a(g180 +V\u000a +p13434 +tp13435 +a(g6 +Vdef +p13436 +tp13437 +a(g180 +V +tp13438 +a(g46 +Vsecure +p13439 +tp13440 +a(g334 +V= +tp13441 +a(g193 +V( +tp13442 +a(g43 +Vsecure +p13443 +tp13444 +a(g193 +V) +tp13445 +a(g180 +V\u000a +p13446 +tp13447 +a(g29 +V# {{{ +p13448 +tp13449 +a(g180 +V\u000a +p13450 +tp13451 +a(g6 +Vunless +p13452 +tp13453 +a(g180 +V +tp13454 +a(g43 +Vsecure +p13455 +tp13456 +a(g180 +V +tp13457 +a(g334 +V== +p13458 +tp13459 +a(g180 +V +tp13460 +a(g347 +Vtrue +p13461 +tp13462 +a(g180 +V +p13463 +tp13464 +a(g334 +V|| +p13465 +tp13466 +a(g180 +V +tp13467 +a(g43 +Vsecure +p13468 +tp13469 +a(g180 +V +tp13470 +a(g334 +V== +p13471 +tp13472 +a(g180 +V +tp13473 +a(g347 +Vfalse +p13474 +tp13475 +a(g180 +V\u000a +p13476 +tp13477 +a(g6 +Vraise +p13478 +tp13479 +a(g180 +V +tp13480 +a(g57 +VTypeError +p13481 +tp13482 +a(g193 +V, +tp13483 +a(g180 +V +tp13484 +a(g236 +V" +tp13485 +a(g236 +VThe secure field of a cookie must be true or false +p13486 +tp13487 +a(g236 +V" +tp13488 +a(g193 +V, +tp13489 +a(g180 +V +tp13490 +a(g81 +Vcaller +p13491 +tp13492 +a(g180 +V\u000a +p13493 +tp13494 +a(g6 +Vend +p13495 +tp13496 +a(g180 +V\u000a +p13497 +tp13498 +a(g104 +V@secure +p13499 +tp13500 +a(g180 +V +tp13501 +a(g334 +V= +tp13502 +a(g180 +V +tp13503 +a(g43 +Vsecure +p13504 +tp13505 +a(g180 +V\u000a +p13506 +tp13507 +a(g29 +V# }}} +p13508 +tp13509 +a(g180 +V\u000a +p13510 +tp13511 +a(g6 +Vend +p13512 +tp13513 +a(g180 +V\u000a\u000a +p13514 +tp13515 +a(g29 +V# Modifies the comment value of this cookie. The comment won't be send, if +p13516 +tp13517 +a(g180 +V\u000a +p13518 +tp13519 +a(g29 +V# type is "netscape". +p13520 +tp13521 +a(g180 +V\u000a +p13522 +tp13523 +a(g6 +Vdef +p13524 +tp13525 +a(g180 +V +tp13526 +a(g46 +Vcomment +p13527 +tp13528 +a(g334 +V= +tp13529 +a(g193 +V( +tp13530 +a(g43 +Vcomment +p13531 +tp13532 +a(g193 +V) +tp13533 +a(g180 +V\u000a +p13534 +tp13535 +a(g29 +V# {{{ +p13536 +tp13537 +a(g180 +V\u000a +p13538 +tp13539 +a(g6 +Vunless +p13540 +tp13541 +a(g180 +V +tp13542 +a(g43 +Vcomment +p13543 +tp13544 +a(g334 +V. +tp13545 +a(g43 +Vclass +p13546 +tp13547 +a(g180 +V +tp13548 +a(g334 +V== +p13549 +tp13550 +a(g180 +V +tp13551 +a(g81 +VString +p13552 +tp13553 +a(g180 +V +tp13554 +a(g334 +V|| +p13555 +tp13556 +a(g180 +V +tp13557 +a(g43 +Vcomment +p13558 +tp13559 +a(g180 +V +tp13560 +a(g334 +V== +p13561 +tp13562 +a(g180 +V +tp13563 +a(g347 +Vnil +p13564 +tp13565 +a(g180 +V\u000a +p13566 +tp13567 +a(g6 +Vraise +p13568 +tp13569 +a(g180 +V +tp13570 +a(g57 +VTypeError +p13571 +tp13572 +a(g193 +V, +tp13573 +a(g180 +V +tp13574 +a(g236 +V" +tp13575 +a(g236 +VThe comment of a cookie must be a string or nil +p13576 +tp13577 +a(g236 +V" +tp13578 +a(g193 +V, +tp13579 +a(g180 +V +tp13580 +a(g81 +Vcaller +p13581 +tp13582 +a(g180 +V\u000a +p13583 +tp13584 +a(g6 +Vend +p13585 +tp13586 +a(g180 +V\u000a +p13587 +tp13588 +a(g104 +V@comment +p13589 +tp13590 +a(g180 +V +tp13591 +a(g334 +V= +tp13592 +a(g180 +V +tp13593 +a(g43 +Vcomment +p13594 +tp13595 +a(g180 +V\u000a +p13596 +tp13597 +a(g29 +V# }}} +p13598 +tp13599 +a(g180 +V\u000a +p13600 +tp13601 +a(g6 +Vend +p13602 +tp13603 +a(g180 +V\u000a\u000a +p13604 +tp13605 +a(g29 +V# Changes the type of all cookies. +p13606 +tp13607 +a(g180 +V\u000a +p13608 +tp13609 +a(g29 +V# Allowed values are RFC2109 and netscape (default). +p13610 +tp13611 +a(g180 +V\u000a +p13612 +tp13613 +a(g6 +Vdef +p13614 +tp13615 +a(g180 +V +tp13616 +a(g130 +VCookie +p13617 +tp13618 +a(g334 +V. +tp13619 +a(g46 +Vtype +p13620 +tp13621 +a(g334 +V= +tp13622 +a(g193 +V( +tp13623 +a(g81 +Vtype +p13624 +tp13625 +a(g193 +V) +tp13626 +a(g180 +V\u000a +p13627 +tp13628 +a(g29 +V# {{{ +p13629 +tp13630 +a(g180 +V\u000a +p13631 +tp13632 +a(g6 +Vunless +p13633 +tp13634 +a(g180 +V +tp13635 +a(g113 +V@@allowed +p13636 +tp13637 +a(g180 +V\u000a +p13638 +tp13639 +a(g6 +Vraise +p13640 +tp13641 +a(g180 +V +tp13642 +a(g236 +V" +tp13643 +a(g236 +VThe cookies are allready send, so you can't change the type anymore. +p13644 +tp13645 +a(g236 +V" +tp13646 +a(g180 +V\u000a +p13647 +tp13648 +a(g6 +Vend +p13649 +tp13650 +a(g180 +V\u000a +p13651 +tp13652 +a(g6 +Vunless +p13653 +tp13654 +a(g180 +V +tp13655 +a(g81 +Vtype +p13656 +tp13657 +a(g334 +V. +tp13658 +a(g43 +Vdowncase +p13659 +tp13660 +a(g180 +V +tp13661 +a(g334 +V== +p13662 +tp13663 +a(g180 +V +tp13664 +a(g236 +V" +tp13665 +a(g236 +Vrfc2109 +p13666 +tp13667 +a(g236 +V" +tp13668 +a(g180 +V +tp13669 +a(g334 +V&& +p13670 +tp13671 +a(g180 +V +tp13672 +a(g81 +Vtype +p13673 +tp13674 +a(g334 +V. +tp13675 +a(g43 +Vdowncase +p13676 +tp13677 +a(g180 +V +tp13678 +a(g334 +V== +p13679 +tp13680 +a(g180 +V +tp13681 +a(g236 +V" +tp13682 +a(g236 +Vnetscape +p13683 +tp13684 +a(g236 +V" +tp13685 +a(g180 +V\u000a +p13686 +tp13687 +a(g6 +Vraise +p13688 +tp13689 +a(g180 +V +tp13690 +a(g236 +V" +tp13691 +a(g236 +VThe type of the cookies must be +p13692 +tp13693 +a(g243 +V\u005c" +p13694 +tp13695 +a(g236 +VRFC2109 +p13696 +tp13697 +a(g243 +V\u005c" +p13698 +tp13699 +a(g236 +V or +p13700 +tp13701 +a(g243 +V\u005c" +p13702 +tp13703 +a(g236 +Vnetscape +p13704 +tp13705 +a(g243 +V\u005c" +p13706 +tp13707 +a(g236 +V. +tp13708 +a(g236 +V" +tp13709 +a(g180 +V\u000a +p13710 +tp13711 +a(g6 +Vend +p13712 +tp13713 +a(g180 +V\u000a +p13714 +tp13715 +a(g113 +V@@type +p13716 +tp13717 +a(g180 +V +tp13718 +a(g334 +V= +tp13719 +a(g180 +V +tp13720 +a(g81 +Vtype +p13721 +tp13722 +a(g193 +V; +tp13723 +a(g180 +V\u000a +p13724 +tp13725 +a(g29 +V# }}} +p13726 +tp13727 +a(g180 +V\u000a +p13728 +tp13729 +a(g6 +Vend +p13730 +tp13731 +a(g180 +V\u000a\u000a +p13732 +tp13733 +a(g29 +V# After sending this message, no cookies can be set or modified. Use it, when +p13734 +tp13735 +a(g180 +V\u000a +p13736 +tp13737 +a(g29 +V# HTTP-Headers are send. Rweb does this for you. +p13738 +tp13739 +a(g180 +V\u000a +p13740 +tp13741 +a(g6 +Vdef +p13742 +tp13743 +a(g180 +V +tp13744 +a(g130 +VCookie +p13745 +tp13746 +a(g334 +V. +tp13747 +a(g46 +Vdisallow +p13748 +tp13749 +a(g180 +V\u000a +p13750 +tp13751 +a(g29 +V# {{{ +p13752 +tp13753 +a(g180 +V\u000a +p13754 +tp13755 +a(g113 +V@@allowed +p13756 +tp13757 +a(g180 +V +tp13758 +a(g334 +V= +tp13759 +a(g180 +V +tp13760 +a(g347 +Vfalse +p13761 +tp13762 +a(g180 +V\u000a +p13763 +tp13764 +a(g347 +Vtrue +p13765 +tp13766 +a(g180 +V\u000a +p13767 +tp13768 +a(g29 +V# }}} +p13769 +tp13770 +a(g180 +V\u000a +p13771 +tp13772 +a(g6 +Vend +p13773 +tp13774 +a(g180 +V\u000a\u000a +p13775 +tp13776 +a(g29 +V# Returns a HTTP header (type String) with all cookies. Rweb does this for +p13777 +tp13778 +a(g180 +V\u000a +p13779 +tp13780 +a(g29 +V# you. +p13781 +tp13782 +a(g180 +V\u000a +p13783 +tp13784 +a(g6 +Vdef +p13785 +tp13786 +a(g180 +V +tp13787 +a(g130 +VCookie +p13788 +tp13789 +a(g334 +V. +tp13790 +a(g46 +VgetHttpHeader +p13791 +tp13792 +a(g180 +V\u000a +p13793 +tp13794 +a(g29 +V# {{{ +p13795 +tp13796 +a(g180 +V\u000a +p13797 +tp13798 +a(g6 +Vif +p13799 +tp13800 +a(g180 +V +tp13801 +a(g43 +Vdefined? +p13802 +tp13803 +a(g193 +V( +tp13804 +a(g113 +V@@list +p13805 +tp13806 +a(g193 +V) +tp13807 +a(g180 +V\u000a +p13808 +tp13809 +a(g6 +Vif +p13810 +tp13811 +a(g180 +V +tp13812 +a(g113 +V@@type +p13813 +tp13814 +a(g180 +V +tp13815 +a(g334 +V== +p13816 +tp13817 +a(g180 +V +tp13818 +a(g236 +V" +tp13819 +a(g236 +Vnetscape +p13820 +tp13821 +a(g236 +V" +tp13822 +a(g180 +V\u000a +p13823 +tp13824 +a(g43 +Vstr +p13825 +tp13826 +a(g180 +V +tp13827 +a(g334 +V= +tp13828 +a(g180 +V +tp13829 +a(g236 +V" +tp13830 +a(g236 +V" +tp13831 +a(g180 +V\u000a +p13832 +tp13833 +a(g113 +V@@list +p13834 +tp13835 +a(g334 +V. +tp13836 +a(g43 +Veach +p13837 +tp13838 +a(g180 +V +tp13839 +a(g6 +Vdo +p13840 +tp13841 +a(g180 +V +tp13842 +a(g334 +V| +tp13843 +a(g43 +Vcookie +p13844 +tp13845 +a(g334 +V| +tp13846 +a(g180 +V\u000a +p13847 +tp13848 +a(g6 +Vif +p13849 +tp13850 +a(g180 +V +tp13851 +a(g43 +Vcookie +p13852 +tp13853 +a(g334 +V. +tp13854 +a(g43 +Vvalue +p13855 +tp13856 +a(g180 +V +tp13857 +a(g334 +V== +p13858 +tp13859 +a(g180 +V +tp13860 +a(g347 +Vnil +p13861 +tp13862 +a(g180 +V\u000a +p13863 +tp13864 +a(g43 +Vcookie +p13865 +tp13866 +a(g334 +V. +tp13867 +a(g43 +Vmaxage +p13868 +tp13869 +a(g180 +V +tp13870 +a(g334 +V= +tp13871 +a(g180 +V +tp13872 +a(g309 +V0 +tp13873 +a(g180 +V\u000a +p13874 +tp13875 +a(g43 +Vcookie +p13876 +tp13877 +a(g334 +V. +tp13878 +a(g43 +Vvalue +p13879 +tp13880 +a(g180 +V +tp13881 +a(g334 +V= +tp13882 +a(g180 +V +tp13883 +a(g236 +V" +tp13884 +a(g236 +V" +tp13885 +a(g180 +V\u000a +p13886 +tp13887 +a(g6 +Vend +p13888 +tp13889 +a(g180 +V\u000a +p13890 +tp13891 +a(g29 +V# TODO: Name and value should be escaped! +p13892 +tp13893 +a(g180 +V\u000a +p13894 +tp13895 +a(g43 +Vstr +p13896 +tp13897 +a(g180 +V +tp13898 +a(g334 +V+= +p13899 +tp13900 +a(g180 +V +tp13901 +a(g236 +V" +tp13902 +a(g236 +VSet-Cookie: +p13903 +tp13904 +a(g224 +V#{ +p13905 +tp13906 +a(g43 +Vcookie +p13907 +tp13908 +a(g334 +V. +tp13909 +a(g43 +Vname +p13910 +tp13911 +a(g224 +V} +tp13912 +a(g236 +V= +tp13913 +a(g224 +V#{ +p13914 +tp13915 +a(g43 +Vcookie +p13916 +tp13917 +a(g334 +V. +tp13918 +a(g43 +Vvalue +p13919 +tp13920 +a(g224 +V} +tp13921 +a(g236 +V" +tp13922 +a(g180 +V\u000a +p13923 +tp13924 +a(g6 +Vunless +p13925 +tp13926 +a(g180 +V +tp13927 +a(g43 +Vcookie +p13928 +tp13929 +a(g334 +V. +tp13930 +a(g43 +Vmaxage +p13931 +tp13932 +a(g180 +V +tp13933 +a(g334 +V== +p13934 +tp13935 +a(g180 +V +tp13936 +a(g347 +Vnil +p13937 +tp13938 +a(g180 +V\u000a +p13939 +tp13940 +a(g43 +Vexpire +p13941 +tp13942 +a(g180 +V +tp13943 +a(g334 +V= +tp13944 +a(g180 +V +tp13945 +a(g57 +VTime +p13946 +tp13947 +a(g334 +V. +tp13948 +a(g43 +Vnow +p13949 +tp13950 +a(g180 +V +tp13951 +a(g334 +V+ +tp13952 +a(g180 +V +tp13953 +a(g43 +Vcookie +p13954 +tp13955 +a(g334 +V. +tp13956 +a(g43 +Vmaxage +p13957 +tp13958 +a(g180 +V\u000a +p13959 +tp13960 +a(g43 +Vexpire +p13961 +tp13962 +a(g334 +V. +tp13963 +a(g43 +Vgmtime +p13964 +tp13965 +a(g180 +V\u000a +p13966 +tp13967 +a(g43 +Vstr +p13968 +tp13969 +a(g180 +V +tp13970 +a(g334 +V+= +p13971 +tp13972 +a(g180 +V +tp13973 +a(g236 +V" +tp13974 +a(g236 +V; Expire= +p13975 +tp13976 +a(g224 +V#{ +p13977 +tp13978 +a(g43 +Vexpire +p13979 +tp13980 +a(g334 +V. +tp13981 +a(g43 +Vstrftime +p13982 +tp13983 +a(g193 +V( +tp13984 +a(g236 +V" +tp13985 +a(g236 +V%a, %d-%b-%Y %H:%M:%S %Z +p13986 +tp13987 +a(g236 +V" +tp13988 +a(g193 +V) +tp13989 +a(g224 +V} +tp13990 +a(g236 +V" +tp13991 +a(g180 +V\u000a +p13992 +tp13993 +a(g6 +Vend +p13994 +tp13995 +a(g180 +V\u000a +p13996 +tp13997 +a(g6 +Vunless +p13998 +tp13999 +a(g180 +V +tp14000 +a(g43 +Vcookie +p14001 +tp14002 +a(g334 +V. +tp14003 +a(g43 +Vdomain +p14004 +tp14005 +a(g180 +V +tp14006 +a(g334 +V== +p14007 +tp14008 +a(g180 +V +tp14009 +a(g347 +Vnil +p14010 +tp14011 +a(g180 +V\u000a +p14012 +tp14013 +a(g43 +Vstr +p14014 +tp14015 +a(g180 +V +tp14016 +a(g334 +V+= +p14017 +tp14018 +a(g180 +V +tp14019 +a(g236 +V" +tp14020 +a(g236 +V; Domain= +p14021 +tp14022 +a(g224 +V#{ +p14023 +tp14024 +a(g43 +Vcookie +p14025 +tp14026 +a(g334 +V. +tp14027 +a(g43 +Vdomain +p14028 +tp14029 +a(g224 +V} +tp14030 +a(g236 +V" +tp14031 +a(g180 +V\u000a +p14032 +tp14033 +a(g6 +Vend +p14034 +tp14035 +a(g180 +V\u000a +p14036 +tp14037 +a(g6 +Vunless +p14038 +tp14039 +a(g180 +V +tp14040 +a(g43 +Vcookie +p14041 +tp14042 +a(g334 +V. +tp14043 +a(g43 +Vpath +p14044 +tp14045 +a(g180 +V +tp14046 +a(g334 +V== +p14047 +tp14048 +a(g180 +V +tp14049 +a(g347 +Vnil +p14050 +tp14051 +a(g180 +V\u000a +p14052 +tp14053 +a(g43 +Vstr +p14054 +tp14055 +a(g180 +V +tp14056 +a(g334 +V+= +p14057 +tp14058 +a(g180 +V +tp14059 +a(g236 +V" +tp14060 +a(g236 +V; Path= +p14061 +tp14062 +a(g224 +V#{ +p14063 +tp14064 +a(g43 +Vcookie +p14065 +tp14066 +a(g334 +V. +tp14067 +a(g43 +Vpath +p14068 +tp14069 +a(g224 +V} +tp14070 +a(g236 +V" +tp14071 +a(g180 +V\u000a +p14072 +tp14073 +a(g6 +Vend +p14074 +tp14075 +a(g180 +V\u000a +p14076 +tp14077 +a(g6 +Vif +p14078 +tp14079 +a(g180 +V +tp14080 +a(g43 +Vcookie +p14081 +tp14082 +a(g334 +V. +tp14083 +a(g43 +Vsecure +p14084 +tp14085 +a(g180 +V\u000a +p14086 +tp14087 +a(g43 +Vstr +p14088 +tp14089 +a(g180 +V +tp14090 +a(g334 +V+= +p14091 +tp14092 +a(g180 +V +tp14093 +a(g236 +V" +tp14094 +a(g236 +V; Secure +p14095 +tp14096 +a(g236 +V" +tp14097 +a(g180 +V\u000a +p14098 +tp14099 +a(g6 +Vend +p14100 +tp14101 +a(g180 +V\u000a +p14102 +tp14103 +a(g43 +Vstr +p14104 +tp14105 +a(g180 +V +tp14106 +a(g334 +V+= +p14107 +tp14108 +a(g180 +V +tp14109 +a(g236 +V" +tp14110 +a(g243 +V\u005cr +p14111 +tp14112 +a(g243 +V\u005cn +p14113 +tp14114 +a(g236 +V" +tp14115 +a(g180 +V\u000a +p14116 +tp14117 +a(g6 +Vend +p14118 +tp14119 +a(g180 +V\u000a +p14120 +tp14121 +a(g6 +Vreturn +p14122 +tp14123 +a(g180 +V +tp14124 +a(g43 +Vstr +p14125 +tp14126 +a(g180 +V\u000a +p14127 +tp14128 +a(g6 +Velse +p14129 +tp14130 +a(g180 +V +tp14131 +a(g29 +V# type == "RFC2109" +p14132 +tp14133 +a(g180 +V\u000a +p14134 +tp14135 +a(g43 +Vstr +p14136 +tp14137 +a(g180 +V +tp14138 +a(g334 +V= +tp14139 +a(g180 +V +tp14140 +a(g236 +V" +tp14141 +a(g236 +VSet-Cookie: +p14142 +tp14143 +a(g236 +V" +tp14144 +a(g180 +V\u000a +p14145 +tp14146 +a(g43 +Vcomma +p14147 +tp14148 +a(g180 +V +tp14149 +a(g334 +V= +tp14150 +a(g180 +V +tp14151 +a(g347 +Vfalse +p14152 +tp14153 +a(g193 +V; +tp14154 +a(g180 +V\u000a\u000a +p14155 +tp14156 +a(g113 +V@@list +p14157 +tp14158 +a(g334 +V. +tp14159 +a(g43 +Veach +p14160 +tp14161 +a(g180 +V +tp14162 +a(g6 +Vdo +p14163 +tp14164 +a(g180 +V +tp14165 +a(g334 +V| +tp14166 +a(g43 +Vcookie +p14167 +tp14168 +a(g334 +V| +tp14169 +a(g180 +V\u000a +p14170 +tp14171 +a(g6 +Vif +p14172 +tp14173 +a(g180 +V +tp14174 +a(g43 +Vcookie +p14175 +tp14176 +a(g334 +V. +tp14177 +a(g43 +Vvalue +p14178 +tp14179 +a(g180 +V +tp14180 +a(g334 +V== +p14181 +tp14182 +a(g180 +V +tp14183 +a(g347 +Vnil +p14184 +tp14185 +a(g180 +V\u000a +p14186 +tp14187 +a(g43 +Vcookie +p14188 +tp14189 +a(g334 +V. +tp14190 +a(g43 +Vmaxage +p14191 +tp14192 +a(g180 +V +tp14193 +a(g334 +V= +tp14194 +a(g180 +V +tp14195 +a(g309 +V0 +tp14196 +a(g180 +V\u000a +p14197 +tp14198 +a(g43 +Vcookie +p14199 +tp14200 +a(g334 +V. +tp14201 +a(g43 +Vvalue +p14202 +tp14203 +a(g180 +V +tp14204 +a(g334 +V= +tp14205 +a(g180 +V +tp14206 +a(g236 +V" +tp14207 +a(g236 +V" +tp14208 +a(g180 +V\u000a +p14209 +tp14210 +a(g6 +Vend +p14211 +tp14212 +a(g180 +V\u000a +p14213 +tp14214 +a(g6 +Vif +p14215 +tp14216 +a(g180 +V +tp14217 +a(g43 +Vcomma +p14218 +tp14219 +a(g180 +V\u000a +p14220 +tp14221 +a(g43 +Vstr +p14222 +tp14223 +a(g180 +V +tp14224 +a(g334 +V+= +p14225 +tp14226 +a(g180 +V +tp14227 +a(g236 +V" +tp14228 +a(g236 +V, +tp14229 +a(g236 +V" +tp14230 +a(g180 +V\u000a +p14231 +tp14232 +a(g6 +Vend +p14233 +tp14234 +a(g180 +V\u000a +p14235 +tp14236 +a(g43 +Vcomma +p14237 +tp14238 +a(g180 +V +tp14239 +a(g334 +V= +tp14240 +a(g180 +V +tp14241 +a(g347 +Vtrue +p14242 +tp14243 +a(g180 +V\u000a\u000a +p14244 +tp14245 +a(g43 +Vstr +p14246 +tp14247 +a(g180 +V +tp14248 +a(g334 +V+= +p14249 +tp14250 +a(g180 +V +tp14251 +a(g236 +V" +tp14252 +a(g224 +V#{ +p14253 +tp14254 +a(g43 +Vcookie +p14255 +tp14256 +a(g334 +V. +tp14257 +a(g43 +Vname +p14258 +tp14259 +a(g224 +V} +tp14260 +a(g236 +V= +tp14261 +a(g243 +V\u005c" +p14262 +tp14263 +a(g224 +V#{ +p14264 +tp14265 +a(g43 +Vcookie +p14266 +tp14267 +a(g334 +V. +tp14268 +a(g43 +Vvalue +p14269 +tp14270 +a(g224 +V} +tp14271 +a(g243 +V\u005c" +p14272 +tp14273 +a(g236 +V" +tp14274 +a(g180 +V\u000a +p14275 +tp14276 +a(g6 +Vunless +p14277 +tp14278 +a(g180 +V +tp14279 +a(g43 +Vcookie +p14280 +tp14281 +a(g334 +V. +tp14282 +a(g43 +Vmaxage +p14283 +tp14284 +a(g180 +V +tp14285 +a(g334 +V== +p14286 +tp14287 +a(g180 +V +tp14288 +a(g347 +Vnil +p14289 +tp14290 +a(g180 +V\u000a +p14291 +tp14292 +a(g43 +Vstr +p14293 +tp14294 +a(g180 +V +tp14295 +a(g334 +V+= +p14296 +tp14297 +a(g180 +V +tp14298 +a(g236 +V" +tp14299 +a(g236 +V; Max-Age= +p14300 +tp14301 +a(g243 +V\u005c" +p14302 +tp14303 +a(g224 +V#{ +p14304 +tp14305 +a(g43 +Vcookie +p14306 +tp14307 +a(g334 +V. +tp14308 +a(g43 +Vmaxage +p14309 +tp14310 +a(g224 +V} +tp14311 +a(g243 +V\u005c" +p14312 +tp14313 +a(g236 +V" +tp14314 +a(g180 +V\u000a +p14315 +tp14316 +a(g6 +Vend +p14317 +tp14318 +a(g180 +V\u000a +p14319 +tp14320 +a(g6 +Vunless +p14321 +tp14322 +a(g180 +V +tp14323 +a(g43 +Vcookie +p14324 +tp14325 +a(g334 +V. +tp14326 +a(g43 +Vdomain +p14327 +tp14328 +a(g180 +V +tp14329 +a(g334 +V== +p14330 +tp14331 +a(g180 +V +tp14332 +a(g347 +Vnil +p14333 +tp14334 +a(g180 +V\u000a +p14335 +tp14336 +a(g43 +Vstr +p14337 +tp14338 +a(g180 +V +tp14339 +a(g334 +V+= +p14340 +tp14341 +a(g180 +V +tp14342 +a(g236 +V" +tp14343 +a(g236 +V; Domain= +p14344 +tp14345 +a(g243 +V\u005c" +p14346 +tp14347 +a(g224 +V#{ +p14348 +tp14349 +a(g43 +Vcookie +p14350 +tp14351 +a(g334 +V. +tp14352 +a(g43 +Vdomain +p14353 +tp14354 +a(g224 +V} +tp14355 +a(g243 +V\u005c" +p14356 +tp14357 +a(g236 +V" +tp14358 +a(g180 +V\u000a +p14359 +tp14360 +a(g6 +Vend +p14361 +tp14362 +a(g180 +V\u000a +p14363 +tp14364 +a(g6 +Vunless +p14365 +tp14366 +a(g180 +V +tp14367 +a(g43 +Vcookie +p14368 +tp14369 +a(g334 +V. +tp14370 +a(g43 +Vpath +p14371 +tp14372 +a(g180 +V +tp14373 +a(g334 +V== +p14374 +tp14375 +a(g180 +V +tp14376 +a(g347 +Vnil +p14377 +tp14378 +a(g180 +V\u000a +p14379 +tp14380 +a(g43 +Vstr +p14381 +tp14382 +a(g180 +V +tp14383 +a(g334 +V+= +p14384 +tp14385 +a(g180 +V +tp14386 +a(g236 +V" +tp14387 +a(g236 +V; Path= +p14388 +tp14389 +a(g243 +V\u005c" +p14390 +tp14391 +a(g224 +V#{ +p14392 +tp14393 +a(g43 +Vcookie +p14394 +tp14395 +a(g334 +V. +tp14396 +a(g43 +Vpath +p14397 +tp14398 +a(g224 +V} +tp14399 +a(g243 +V\u005c" +p14400 +tp14401 +a(g236 +V" +tp14402 +a(g180 +V\u000a +p14403 +tp14404 +a(g6 +Vend +p14405 +tp14406 +a(g180 +V\u000a +p14407 +tp14408 +a(g6 +Vif +p14409 +tp14410 +a(g180 +V +tp14411 +a(g43 +Vcookie +p14412 +tp14413 +a(g334 +V. +tp14414 +a(g43 +Vsecure +p14415 +tp14416 +a(g180 +V\u000a +p14417 +tp14418 +a(g43 +Vstr +p14419 +tp14420 +a(g180 +V +tp14421 +a(g334 +V+= +p14422 +tp14423 +a(g180 +V +tp14424 +a(g236 +V" +tp14425 +a(g236 +V; Secure +p14426 +tp14427 +a(g236 +V" +tp14428 +a(g180 +V\u000a +p14429 +tp14430 +a(g6 +Vend +p14431 +tp14432 +a(g180 +V\u000a +p14433 +tp14434 +a(g6 +Vunless +p14435 +tp14436 +a(g180 +V +tp14437 +a(g43 +Vcookie +p14438 +tp14439 +a(g334 +V. +tp14440 +a(g43 +Vcomment +p14441 +tp14442 +a(g180 +V +tp14443 +a(g334 +V== +p14444 +tp14445 +a(g180 +V +tp14446 +a(g347 +Vnil +p14447 +tp14448 +a(g180 +V\u000a +p14449 +tp14450 +a(g43 +Vstr +p14451 +tp14452 +a(g180 +V +tp14453 +a(g334 +V+= +p14454 +tp14455 +a(g180 +V +tp14456 +a(g236 +V" +tp14457 +a(g236 +V; Comment= +p14458 +tp14459 +a(g243 +V\u005c" +p14460 +tp14461 +a(g224 +V#{ +p14462 +tp14463 +a(g43 +Vcookie +p14464 +tp14465 +a(g334 +V. +tp14466 +a(g43 +Vcomment +p14467 +tp14468 +a(g224 +V} +tp14469 +a(g243 +V\u005c" +p14470 +tp14471 +a(g236 +V" +tp14472 +a(g180 +V\u000a +p14473 +tp14474 +a(g6 +Vend +p14475 +tp14476 +a(g180 +V\u000a +p14477 +tp14478 +a(g43 +Vstr +p14479 +tp14480 +a(g180 +V +tp14481 +a(g334 +V+= +p14482 +tp14483 +a(g180 +V +tp14484 +a(g236 +V" +tp14485 +a(g236 +V; Version= +p14486 +tp14487 +a(g243 +V\u005c" +p14488 +tp14489 +a(g236 +V1 +tp14490 +a(g243 +V\u005c" +p14491 +tp14492 +a(g236 +V" +tp14493 +a(g180 +V\u000a +p14494 +tp14495 +a(g6 +Vend +p14496 +tp14497 +a(g180 +V\u000a +p14498 +tp14499 +a(g43 +Vstr +p14500 +tp14501 +a(g180 +V\u000a +p14502 +tp14503 +a(g6 +Vend +p14504 +tp14505 +a(g180 +V\u000a +p14506 +tp14507 +a(g6 +Velse +p14508 +tp14509 +a(g180 +V\u000a +p14510 +tp14511 +a(g347 +Vfalse +p14512 +tp14513 +a(g180 +V\u000a +p14514 +tp14515 +a(g6 +Vend +p14516 +tp14517 +a(g180 +V\u000a +p14518 +tp14519 +a(g29 +V# }}} +p14520 +tp14521 +a(g180 +V\u000a +p14522 +tp14523 +a(g6 +Vend +p14524 +tp14525 +a(g180 +V\u000a +tp14526 +a(g6 +Vend +p14527 +tp14528 +a(g180 +V\u000a\u000a +p14529 +tp14530 +a(g81 +Vrequire +p14531 +tp14532 +a(g180 +V +tp14533 +a(g262 +V'strscan' +p14534 +tp14535 +a(g180 +V\u000a\u000a +p14536 +tp14537 +a(g6 +Vmodule +p14538 +tp14539 +a(g180 +V +tp14540 +a(g123 +VBBCode +p14541 +tp14542 +a(g180 +V\u000a +p14543 +tp14544 +a(g57 +VDEBUG +p14545 +tp14546 +a(g180 +V +tp14547 +a(g334 +V= +tp14548 +a(g180 +V +tp14549 +a(g347 +Vtrue +p14550 +tp14551 +a(g180 +V\u000a\u000a +p14552 +tp14553 +a(g43 +Vuse +p14554 +tp14555 +a(g180 +V +tp14556 +a(g262 +V'encoder' +p14557 +tp14558 +a(g193 +V, +tp14559 +a(g180 +V +tp14560 +a(g262 +V'tags' +p14561 +tp14562 +a(g193 +V, +tp14563 +a(g180 +V +tp14564 +a(g262 +V'tagstack' +p14565 +tp14566 +a(g193 +V, +tp14567 +a(g180 +V +tp14568 +a(g262 +V'smileys' +p14569 +tp14570 +a(g180 +V\u000a\u000a +p14571 +tp14572 +a(g33 +V=begin\u000a The Parser class takes care of the encoding.\u000a It scans the given BBCode (as plain text), finds tags\u000a and smilies and also makes links of urls in text.\u000a\u000a Normal text is send directly to the encoder.\u000a\u000a If a tag was found, an instance of a Tag subclass is created\u000a to handle the case.\u000a\u000a The @tagstack manages tag nesting and ensures valid HTML.\u000a=end +p14573 +tp14574 +a(g180 +V\u000a\u000a +p14575 +tp14576 +a(g6 +Vclass +p14577 +tp14578 +a(g180 +V +tp14579 +a(g130 +VParser +p14580 +tp14581 +a(g180 +V\u000a +p14582 +tp14583 +a(g6 +Vclass +p14584 +tp14585 +a(g180 +V +tp14586 +a(g130 +VAttribute +p14587 +tp14588 +a(g180 +V\u000a +p14589 +tp14590 +a(g29 +V# flatten and use only one empty_arg +p14591 +tp14592 +a(g180 +V\u000a +p14593 +tp14594 +a(g6 +Vdef +p14595 +tp14596 +a(g180 +V +tp14597 +a(g130 +Vself +p14598 +tp14599 +a(g334 +V. +tp14600 +a(g46 +Vcreate +p14601 +tp14602 +a(g180 +V +tp14603 +a(g347 +Vattr +p14604 +tp14605 +a(g180 +V\u000a +p14606 +tp14607 +a(g347 +Vattr +p14608 +tp14609 +a(g180 +V +tp14610 +a(g334 +V= +tp14611 +a(g180 +V +tp14612 +a(g43 +Vflatten +p14613 +tp14614 +a(g180 +V +tp14615 +a(g347 +Vattr +p14616 +tp14617 +a(g180 +V\u000a +p14618 +tp14619 +a(g6 +Vreturn +p14620 +tp14621 +a(g180 +V +tp14622 +a(g113 +V@@empty_attr +p14623 +tp14624 +a(g180 +V +tp14625 +a(g6 +Vif +p14626 +tp14627 +a(g180 +V +tp14628 +a(g347 +Vattr +p14629 +tp14630 +a(g334 +V. +tp14631 +a(g43 +Vempty? +p14632 +tp14633 +a(g180 +V\u000a +p14634 +tp14635 +a(g347 +Vnew +p14636 +tp14637 +a(g180 +V +tp14638 +a(g347 +Vattr +p14639 +tp14640 +a(g180 +V\u000a +p14641 +tp14642 +a(g6 +Vend +p14643 +tp14644 +a(g180 +V\u000a\u000a +p14645 +tp14646 +a(g81 +Vprivate_class_method +p14647 +tp14648 +a(g180 +V +tp14649 +a(g239 +V:new +p14650 +tp14651 +a(g180 +V\u000a\u000a +p14652 +tp14653 +a(g29 +V# remove leading and trailing whitespace; concat lines +p14654 +tp14655 +a(g180 +V\u000a +p14656 +tp14657 +a(g6 +Vdef +p14658 +tp14659 +a(g180 +V +tp14660 +a(g130 +Vself +p14661 +tp14662 +a(g334 +V. +tp14663 +a(g46 +Vflatten +p14664 +tp14665 +a(g180 +V +tp14666 +a(g347 +Vattr +p14667 +tp14668 +a(g180 +V\u000a +p14669 +tp14670 +a(g347 +Vattr +p14671 +tp14672 +a(g334 +V. +tp14673 +a(g43 +Vstrip +p14674 +tp14675 +a(g334 +V. +tp14676 +a(g43 +Vgsub +p14677 +tp14678 +a(g193 +V( +tp14679 +a(g220 +V/ +tp14680 +a(g220 +V\u005c +tp14681 +a(g220 +Vn +tp14682 +a(g220 +V/ +tp14683 +a(g193 +V, +tp14684 +a(g180 +V +tp14685 +a(g262 +V' ' +p14686 +tp14687 +a(g193 +V) +tp14688 +a(g180 +V\u000a +p14689 +tp14690 +a(g29 +V# -> ^ and $ can only match at begin and end now +p14691 +tp14692 +a(g180 +V\u000a +p14693 +tp14694 +a(g6 +Vend +p14695 +tp14696 +a(g180 +V\u000a\u000a +p14697 +tp14698 +a(g57 +VATTRIBUTE_SCAN +p14699 +tp14700 +a(g180 +V +tp14701 +a(g334 +V= +tp14702 +a(g180 +V +tp14703 +a(g220 +V/ +tp14704 +a(g220 +V\u000a (?!$) +p14705 +tp14706 +a(g220 +V# +tp14707 +a(g220 +V don't match at end\u000a +p14708 +tp14709 +a(g220 +V\u005c +tp14710 +a(g220 +Vs*\u000a ( +p14711 +tp14712 +a(g220 +V# +tp14713 +a(g220 +V $1 = key\u000a [^= +p14714 +tp14715 +a(g220 +V\u005c +tp14716 +a(g220 +Vs +tp14717 +a(g220 +V\u005c +tp14718 +a(g220 +V]" +p14719 +tp14720 +a(g220 +V\u005c\u005c +p14721 +tp14722 +a(g220 +V]*\u000a (?:\u000a (?: +p14723 +tp14724 +a(g220 +V\u005c\u005c +p14725 +tp14726 +a(g220 +V. | "[^" +p14727 +tp14728 +a(g220 +V\u005c\u005c +p14729 +tp14730 +a(g220 +V]*(?: +p14731 +tp14732 +a(g220 +V\u005c\u005c +p14733 +tp14734 +a(g220 +V.[^" +p14735 +tp14736 +a(g220 +V\u005c\u005c +p14737 +tp14738 +a(g220 +V]*)*"? )\u000a [^= +p14739 +tp14740 +a(g220 +V\u005c +tp14741 +a(g220 +Vs +tp14742 +a(g220 +V\u005c +tp14743 +a(g220 +V]" +p14744 +tp14745 +a(g220 +V\u005c\u005c +p14746 +tp14747 +a(g220 +V]*\u000a )*\u000a )\u000a (?:\u000a =\u000a ( +p14748 +tp14749 +a(g220 +V# +tp14750 +a(g220 +V $2 = value\u000a [^ +p14751 +tp14752 +a(g220 +V\u005c +tp14753 +a(g220 +Vs +tp14754 +a(g220 +V\u005c +tp14755 +a(g220 +V]" +p14756 +tp14757 +a(g220 +V\u005c\u005c +p14758 +tp14759 +a(g220 +V]*\u000a (?:\u000a (?: +p14760 +tp14761 +a(g220 +V\u005c\u005c +p14762 +tp14763 +a(g220 +V. | "[^" +p14764 +tp14765 +a(g220 +V\u005c\u005c +p14766 +tp14767 +a(g220 +V]*(?: +p14768 +tp14769 +a(g220 +V\u005c\u005c +p14770 +tp14771 +a(g220 +V.[^" +p14772 +tp14773 +a(g220 +V\u005c\u005c +p14774 +tp14775 +a(g220 +V]*)*"? )\u000a [^ +p14776 +tp14777 +a(g220 +V\u005c +tp14778 +a(g220 +Vs +tp14779 +a(g220 +V\u005c +tp14780 +a(g220 +V]" +p14781 +tp14782 +a(g220 +V\u005c\u005c +p14783 +tp14784 +a(g220 +V]*\u000a )*\u000a )?\u000a )?\u000a +p14785 +tp14786 +a(g220 +V\u005c +tp14787 +a(g220 +Vs*\u000a +p14788 +tp14789 +a(g220 +V/x +p14790 +tp14791 +a(g180 +V\u000a\u000a +p14792 +tp14793 +a(g6 +Vdef +p14794 +tp14795 +a(g180 +V +tp14796 +a(g130 +Vself +p14797 +tp14798 +a(g334 +V. +tp14799 +a(g46 +Vparse +p14800 +tp14801 +a(g180 +V +tp14802 +a(g43 +Vsource +p14803 +tp14804 +a(g180 +V\u000a +p14805 +tp14806 +a(g43 +Vsource +p14807 +tp14808 +a(g180 +V +tp14809 +a(g334 +V= +tp14810 +a(g180 +V +tp14811 +a(g43 +Vsource +p14812 +tp14813 +a(g334 +V. +tp14814 +a(g43 +Vdup +p14815 +tp14816 +a(g180 +V\u000a +p14817 +tp14818 +a(g29 +V# empty_tag: the tag looks like [... /] +p14819 +tp14820 +a(g180 +V\u000a +p14821 +tp14822 +a(g29 +V# slice!: this deletes the \u005cs*/] at the end +p14823 +tp14824 +a(g180 +V\u000a +p14825 +tp14826 +a(g29 +V# \u005cs+ because [url=http://rubybb.org/forum/] is NOT an empty tag. +p14827 +tp14828 +a(g180 +V\u000a +p14829 +tp14830 +a(g29 +V# In RubyBBCode, you can use [url=http://rubybb.org/forum/ /], and this has to be +p14831 +tp14832 +a(g180 +V\u000a +p14833 +tp14834 +a(g29 +V# interpreted correctly. +p14835 +tp14836 +a(g180 +V\u000a +p14837 +tp14838 +a(g43 +Vempty_tag +p14839 +tp14840 +a(g180 +V +tp14841 +a(g334 +V= +tp14842 +a(g180 +V +tp14843 +a(g43 +Vsource +p14844 +tp14845 +a(g334 +V. +tp14846 +a(g43 +Vsub! +p14847 +tp14848 +a(g193 +V( +tp14849 +a(g220 +V/ +tp14850 +a(g220 +V^: +p14851 +tp14852 +a(g220 +V/ +tp14853 +a(g193 +V, +tp14854 +a(g180 +V +tp14855 +a(g262 +V'=' +p14856 +tp14857 +a(g193 +V) +tp14858 +a(g180 +V +tp14859 +a(g338 +Vor +p14860 +tp14861 +a(g180 +V +tp14862 +a(g43 +Vsource +p14863 +tp14864 +a(g334 +V. +tp14865 +a(g43 +Vslice! +p14866 +tp14867 +a(g193 +V( +tp14868 +a(g220 +V/ +tp14869 +a(g220 +V\u005c/ +p14870 +tp14871 +a(g220 +V$ +tp14872 +a(g220 +V/ +tp14873 +a(g193 +V) +tp14874 +a(g180 +V\u000a +p14875 +tp14876 +a(g43 +Vdebug +p14877 +tp14878 +a(g180 +V +tp14879 +a(g262 +V'PARSE: ' +p14880 +tp14881 +a(g180 +V +tp14882 +a(g334 +V+ +tp14883 +a(g180 +V +tp14884 +a(g43 +Vsource +p14885 +tp14886 +a(g334 +V. +tp14887 +a(g43 +Vinspect +p14888 +tp14889 +a(g180 +V +tp14890 +a(g334 +V+ +tp14891 +a(g180 +V +tp14892 +a(g262 +V' => ' +p14893 +tp14894 +a(g180 +V +tp14895 +a(g334 +V+ +tp14896 +a(g180 +V +tp14897 +a(g43 +Vempty_tag +p14898 +tp14899 +a(g334 +V. +tp14900 +a(g43 +Vinspect +p14901 +tp14902 +a(g180 +V\u000a +p14903 +tp14904 +a(g29 +V#-> we have now an attr that's EITHER empty OR begins and ends with non-whitespace. +p14905 +tp14906 +a(g180 +V\u000a\u000a +p14907 +tp14908 +a(g347 +Vattr +p14909 +tp14910 +a(g180 +V +tp14911 +a(g334 +V= +tp14912 +a(g180 +V +tp14913 +a(g57 +VHash +p14914 +tp14915 +a(g334 +V. +tp14916 +a(g43 +Vnew +p14917 +tp14918 +a(g180 +V\u000a +p14919 +tp14920 +a(g347 +Vattr +p14921 +tp14922 +a(g334 +V[ +tp14923 +a(g239 +V:flags +p14924 +tp14925 +a(g334 +V] +tp14926 +a(g180 +V +tp14927 +a(g334 +V= +tp14928 +a(g180 +V +tp14929 +a(g334 +V[ +tp14930 +a(g334 +V] +tp14931 +a(g180 +V\u000a +p14932 +tp14933 +a(g43 +Vsource +p14934 +tp14935 +a(g334 +V. +tp14936 +a(g43 +Vscan +p14937 +tp14938 +a(g193 +V( +tp14939 +a(g57 +VATTRIBUTE_SCAN +p14940 +tp14941 +a(g193 +V) +tp14942 +a(g180 +V +tp14943 +a(g193 +V{ +tp14944 +a(g180 +V +tp14945 +a(g334 +V| +tp14946 +a(g43 +Vkey +p14947 +tp14948 +a(g193 +V, +tp14949 +a(g180 +V +tp14950 +a(g43 +Vvalue +p14951 +tp14952 +a(g334 +V| +tp14953 +a(g180 +V\u000a +p14954 +tp14955 +a(g6 +Vif +p14956 +tp14957 +a(g180 +V +tp14958 +a(g338 +Vnot +p14959 +tp14960 +a(g180 +V +tp14961 +a(g43 +Vvalue +p14962 +tp14963 +a(g180 +V\u000a +p14964 +tp14965 +a(g347 +Vattr +p14966 +tp14967 +a(g334 +V[ +tp14968 +a(g239 +V:flags +p14969 +tp14970 +a(g334 +V] +tp14971 +a(g180 +V +tp14972 +a(g334 +V<< +p14973 +tp14974 +a(g180 +V +tp14975 +a(g43 +Vunescape +p14976 +tp14977 +a(g193 +V( +tp14978 +a(g43 +Vkey +p14979 +tp14980 +a(g193 +V) +tp14981 +a(g180 +V\u000a +p14982 +tp14983 +a(g6 +Velse +p14984 +tp14985 +a(g180 +V\u000a +p14986 +tp14987 +a(g6 +Vnext +p14988 +tp14989 +a(g180 +V +tp14990 +a(g6 +Vif +p14991 +tp14992 +a(g180 +V +tp14993 +a(g43 +Vvalue +p14994 +tp14995 +a(g334 +V. +tp14996 +a(g43 +Vempty? +p14997 +tp14998 +a(g180 +V +tp14999 +a(g338 +Vand +p15000 +tp15001 +a(g180 +V +tp15002 +a(g43 +Vkey +p15003 +tp15004 +a(g334 +V. +tp15005 +a(g43 +Vempty? +p15006 +tp15007 +a(g180 +V\u000a +p15008 +tp15009 +a(g347 +Vattr +p15010 +tp15011 +a(g334 +V[ +tp15012 +a(g43 +Vunescape +p15013 +tp15014 +a(g193 +V( +tp15015 +a(g43 +Vkey +p15016 +tp15017 +a(g193 +V) +tp15018 +a(g334 +V] +tp15019 +a(g180 +V +tp15020 +a(g334 +V= +tp15021 +a(g180 +V +tp15022 +a(g43 +Vunescape +p15023 +tp15024 +a(g193 +V( +tp15025 +a(g43 +Vvalue +p15026 +tp15027 +a(g193 +V) +tp15028 +a(g180 +V\u000a +p15029 +tp15030 +a(g6 +Vend +p15031 +tp15032 +a(g180 +V\u000a +p15033 +tp15034 +a(g193 +V} +tp15035 +a(g180 +V\u000a +p15036 +tp15037 +a(g43 +Vdebug +p15038 +tp15039 +a(g180 +V +tp15040 +a(g347 +Vattr +p15041 +tp15042 +a(g334 +V. +tp15043 +a(g43 +Vinspect +p15044 +tp15045 +a(g180 +V\u000a\u000a +p15046 +tp15047 +a(g6 +Vreturn +p15048 +tp15049 +a(g180 +V +tp15050 +a(g43 +Vempty_tag +p15051 +tp15052 +a(g193 +V, +tp15053 +a(g180 +V +tp15054 +a(g347 +Vattr +p15055 +tp15056 +a(g180 +V\u000a +p15057 +tp15058 +a(g6 +Vend +p15059 +tp15060 +a(g180 +V\u000a\u000a +p15061 +tp15062 +a(g6 +Vdef +p15063 +tp15064 +a(g180 +V +tp15065 +a(g130 +Vself +p15066 +tp15067 +a(g334 +V. +tp15068 +a(g46 +Vunescape_char +p15069 +tp15070 +a(g180 +V +tp15071 +a(g43 +Vesc +p15072 +tp15073 +a(g180 +V\u000a +p15074 +tp15075 +a(g43 +Vesc +p15076 +tp15077 +a(g334 +V[ +tp15078 +a(g309 +V1 +tp15079 +a(g334 +V] +tp15080 +a(g180 +V\u000a +p15081 +tp15082 +a(g6 +Vend +p15083 +tp15084 +a(g180 +V\u000a\u000a +p15085 +tp15086 +a(g6 +Vdef +p15087 +tp15088 +a(g180 +V +tp15089 +a(g130 +Vself +p15090 +tp15091 +a(g334 +V. +tp15092 +a(g46 +Vunquote +p15093 +tp15094 +a(g180 +V +tp15095 +a(g43 +Vqt +p15096 +tp15097 +a(g180 +V\u000a +p15098 +tp15099 +a(g43 +Vqt +p15100 +tp15101 +a(g334 +V[ +tp15102 +a(g309 +V1 +tp15103 +a(g334 +V. +tp15104 +a(g43 +V. +tp15105 +a(g334 +V- +tp15106 +a(g309 +V1 +tp15107 +a(g334 +V] +tp15108 +a(g334 +V. +tp15109 +a(g43 +Vchomp +p15110 +tp15111 +a(g193 +V( +tp15112 +a(g262 +V'"' +p15113 +tp15114 +a(g193 +V) +tp15115 +a(g334 +V. +tp15116 +a(g43 +Vgsub +p15117 +tp15118 +a(g193 +V( +tp15119 +a(g220 +V/ +tp15120 +a(g220 +V\u005c\u005c +p15121 +tp15122 +a(g220 +V. +tp15123 +a(g220 +V/ +tp15124 +a(g193 +V) +tp15125 +a(g180 +V +tp15126 +a(g193 +V{ +tp15127 +a(g180 +V +tp15128 +a(g334 +V| +tp15129 +a(g43 +Vesc +p15130 +tp15131 +a(g334 +V| +tp15132 +a(g180 +V +tp15133 +a(g43 +Vunescape_char +p15134 +tp15135 +a(g180 +V +tp15136 +a(g43 +Vesc +p15137 +tp15138 +a(g180 +V +tp15139 +a(g193 +V} +tp15140 +a(g180 +V\u000a +p15141 +tp15142 +a(g6 +Vend +p15143 +tp15144 +a(g180 +V\u000a\u000a +p15145 +tp15146 +a(g6 +Vdef +p15147 +tp15148 +a(g180 +V +tp15149 +a(g130 +Vself +p15150 +tp15151 +a(g334 +V. +tp15152 +a(g46 +Vunescape +p15153 +tp15154 +a(g180 +V +tp15155 +a(g43 +Vstr +p15156 +tp15157 +a(g180 +V\u000a +p15158 +tp15159 +a(g43 +Vstr +p15160 +tp15161 +a(g334 +V. +tp15162 +a(g43 +Vgsub +p15163 +tp15164 +a(g193 +V( +tp15165 +a(g220 +V/ +tp15166 +a(g220 +V ( +p15167 +tp15168 +a(g220 +V\u005c\u005c +p15169 +tp15170 +a(g220 +V.) | (" [^" +p15171 +tp15172 +a(g220 +V\u005c\u005c +p15173 +tp15174 +a(g220 +V]* (?: +p15175 +tp15176 +a(g220 +V\u005c\u005c +p15177 +tp15178 +a(g220 +V.[^" +p15179 +tp15180 +a(g220 +V\u005c\u005c +p15181 +tp15182 +a(g220 +V]*)* "?) +p15183 +tp15184 +a(g220 +V/x +p15185 +tp15186 +a(g193 +V) +tp15187 +a(g180 +V +tp15188 +a(g193 +V{ +tp15189 +a(g180 +V\u000a +p15190 +tp15191 +a(g6 +Vif +p15192 +tp15193 +a(g180 +V +tp15194 +a(g100 +V$1 +p15195 +tp15196 +a(g180 +V\u000a +p15197 +tp15198 +a(g43 +Vunescape_char +p15199 +tp15200 +a(g180 +V +tp15201 +a(g100 +V$1 +p15202 +tp15203 +a(g180 +V\u000a +p15204 +tp15205 +a(g6 +Velse +p15206 +tp15207 +a(g180 +V\u000a +p15208 +tp15209 +a(g43 +Vunquote +p15210 +tp15211 +a(g180 +V +tp15212 +a(g100 +V$2 +p15213 +tp15214 +a(g180 +V\u000a +p15215 +tp15216 +a(g6 +Vend +p15217 +tp15218 +a(g180 +V\u000a +p15219 +tp15220 +a(g193 +V} +tp15221 +a(g180 +V\u000a +p15222 +tp15223 +a(g6 +Vend +p15224 +tp15225 +a(g180 +V\u000a\u000a +p15226 +tp15227 +a(g347 +Vinclude +p15228 +tp15229 +a(g180 +V +tp15230 +a(g57 +VEnumerable +p15231 +tp15232 +a(g180 +V\u000a +p15233 +tp15234 +a(g6 +Vdef +p15235 +tp15236 +a(g180 +V +tp15237 +a(g46 +Veach +p15238 +tp15239 +a(g180 +V +tp15240 +a(g334 +V& +tp15241 +a(g43 +Vblock +p15242 +tp15243 +a(g180 +V\u000a +p15244 +tp15245 +a(g104 +V@args +p15246 +tp15247 +a(g334 +V. +tp15248 +a(g43 +Veach +p15249 +tp15250 +a(g193 +V( +tp15251 +a(g334 +V& +tp15252 +a(g43 +Vblock +p15253 +tp15254 +a(g193 +V) +tp15255 +a(g180 +V\u000a +p15256 +tp15257 +a(g6 +Vend +p15258 +tp15259 +a(g180 +V\u000a\u000a +p15260 +tp15261 +a(g347 +Vattr_reader +p15262 +tp15263 +a(g180 +V +tp15264 +a(g239 +V:source +p15265 +tp15266 +a(g193 +V, +tp15267 +a(g180 +V +tp15268 +a(g239 +V:args +p15269 +tp15270 +a(g193 +V, +tp15271 +a(g180 +V +tp15272 +a(g239 +V:value +p15273 +tp15274 +a(g180 +V\u000a\u000a +p15275 +tp15276 +a(g6 +Vdef +p15277 +tp15278 +a(g180 +V +tp15279 +a(g46 +Vinitialize +p15280 +tp15281 +a(g180 +V +tp15282 +a(g43 +Vsource +p15283 +tp15284 +a(g180 +V\u000a +p15285 +tp15286 +a(g104 +V@source +p15287 +tp15288 +a(g180 +V +tp15289 +a(g334 +V= +tp15290 +a(g180 +V +tp15291 +a(g43 +Vsource +p15292 +tp15293 +a(g180 +V\u000a +p15294 +tp15295 +a(g43 +Vdebug +p15296 +tp15297 +a(g180 +V +tp15298 +a(g262 +V'Attribute#new(%p)' +p15299 +tp15300 +a(g180 +V +tp15301 +a(g334 +V% +tp15302 +a(g180 +V +tp15303 +a(g43 +Vsource +p15304 +tp15305 +a(g180 +V\u000a +p15306 +tp15307 +a(g104 +V@empty_tag +p15308 +tp15309 +a(g193 +V, +tp15310 +a(g180 +V +tp15311 +a(g104 +V@attr +p15312 +tp15313 +a(g180 +V +tp15314 +a(g334 +V= +tp15315 +a(g180 +V +tp15316 +a(g57 +VAttribute +p15317 +tp15318 +a(g334 +V. +tp15319 +a(g43 +Vparse +p15320 +tp15321 +a(g180 +V +tp15322 +a(g43 +Vsource +p15323 +tp15324 +a(g180 +V\u000a +p15325 +tp15326 +a(g104 +V@value +p15327 +tp15328 +a(g180 +V +tp15329 +a(g334 +V= +tp15330 +a(g180 +V +tp15331 +a(g104 +V@attr +p15332 +tp15333 +a(g334 +V[ +tp15334 +a(g262 +V'' +p15335 +tp15336 +a(g334 +V] +tp15337 +a(g334 +V. +tp15338 +a(g43 +Vto_s +p15339 +tp15340 +a(g180 +V\u000a +p15341 +tp15342 +a(g6 +Vend +p15343 +tp15344 +a(g180 +V\u000a\u000a +p15345 +tp15346 +a(g6 +Vdef +p15347 +tp15348 +a(g180 +V +tp15349 +a(g46 +Vempty? +p15350 +tp15351 +a(g180 +V\u000a +p15352 +tp15353 +a(g81 +Vself +p15354 +tp15355 +a(g180 +V +tp15356 +a(g334 +V== +p15357 +tp15358 +a(g180 +V +tp15359 +a(g113 +V@@empty_attr +p15360 +tp15361 +a(g180 +V\u000a +p15362 +tp15363 +a(g6 +Vend +p15364 +tp15365 +a(g180 +V\u000a\u000a +p15366 +tp15367 +a(g6 +Vdef +p15368 +tp15369 +a(g180 +V +tp15370 +a(g46 +Vempty_tag? +p15371 +tp15372 +a(g180 +V\u000a +p15373 +tp15374 +a(g104 +V@empty_tag +p15375 +tp15376 +a(g180 +V\u000a +p15377 +tp15378 +a(g6 +Vend +p15379 +tp15380 +a(g180 +V\u000a\u000a +p15381 +tp15382 +a(g6 +Vdef +p15383 +tp15384 +a(g180 +V +tp15385 +a(g46 +V[] +p15386 +tp15387 +a(g180 +V +tp15388 +a(g334 +V* +tp15389 +a(g43 +Vkeys +p15390 +tp15391 +a(g180 +V\u000a +p15392 +tp15393 +a(g43 +Vres +p15394 +tp15395 +a(g180 +V +tp15396 +a(g334 +V= +tp15397 +a(g180 +V +tp15398 +a(g104 +V@attr +p15399 +tp15400 +a(g334 +V[ +tp15401 +a(g334 +V* +tp15402 +a(g43 +Vkeys +p15403 +tp15404 +a(g334 +V] +tp15405 +a(g180 +V\u000a +p15406 +tp15407 +a(g6 +Vend +p15408 +tp15409 +a(g180 +V\u000a\u000a +p15410 +tp15411 +a(g6 +Vdef +p15412 +tp15413 +a(g180 +V +tp15414 +a(g46 +Vflags +p15415 +tp15416 +a(g180 +V\u000a +p15417 +tp15418 +a(g347 +Vattr +p15419 +tp15420 +a(g334 +V[ +tp15421 +a(g239 +V:flags +p15422 +tp15423 +a(g334 +V] +tp15424 +a(g180 +V\u000a +p15425 +tp15426 +a(g6 +Vend +p15427 +tp15428 +a(g180 +V\u000a\u000a +p15429 +tp15430 +a(g6 +Vdef +p15431 +tp15432 +a(g180 +V +tp15433 +a(g46 +Vto_s +p15434 +tp15435 +a(g180 +V\u000a +p15436 +tp15437 +a(g104 +V@attr +p15438 +tp15439 +a(g180 +V\u000a +p15440 +tp15441 +a(g6 +Vend +p15442 +tp15443 +a(g180 +V\u000a\u000a +p15444 +tp15445 +a(g6 +Vdef +p15446 +tp15447 +a(g180 +V +tp15448 +a(g46 +Vinspect +p15449 +tp15450 +a(g180 +V\u000a +p15451 +tp15452 +a(g262 +V'ATTR[' +p15453 +tp15454 +a(g180 +V +tp15455 +a(g334 +V+ +tp15456 +a(g180 +V +tp15457 +a(g104 +V@attr +p15458 +tp15459 +a(g334 +V. +tp15460 +a(g43 +Vinspect +p15461 +tp15462 +a(g180 +V +tp15463 +a(g334 +V+ +tp15464 +a(g180 +V +tp15465 +a(g193 +V( +tp15466 +a(g104 +V@empty_tag +p15467 +tp15468 +a(g180 +V +tp15469 +a(g193 +V? +tp15470 +a(g180 +V +tp15471 +a(g262 +V' | empty tag' +p15472 +tp15473 +a(g180 +V +tp15474 +a(g193 +V: +tp15475 +a(g180 +V +tp15476 +a(g262 +V'' +p15477 +tp15478 +a(g193 +V) +tp15479 +a(g180 +V +tp15480 +a(g334 +V+ +tp15481 +a(g180 +V +tp15482 +a(g262 +V']' +p15483 +tp15484 +a(g180 +V\u000a +p15485 +tp15486 +a(g6 +Vend +p15487 +tp15488 +a(g180 +V\u000a +p15489 +tp15490 +a(g6 +Vend +p15491 +tp15492 +a(g180 +V\u000a +p15493 +tp15494 +a(g6 +Vclass +p15495 +tp15496 +a(g180 +V +tp15497 +a(g130 +VAttribute +p15498 +tp15499 +a(g180 +V\u000a +p15500 +tp15501 +a(g113 +V@@empty_attr +p15502 +tp15503 +a(g180 +V +tp15504 +a(g334 +V= +tp15505 +a(g180 +V +tp15506 +a(g347 +Vnew +p15507 +tp15508 +a(g180 +V +tp15509 +a(g262 +V'' +p15510 +tp15511 +a(g180 +V\u000a +p15512 +tp15513 +a(g6 +Vend +p15514 +tp15515 +a(g180 +V\u000a +p15516 +tp15517 +a(g6 +Vend +p15518 +tp15519 +a(g180 +V\u000a +tp15520 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.rhtml b/tests/examplefiles/output/example.rhtml new file mode 100644 index 0000000..4558250 --- /dev/null +++ b/tests/examplefiles/output/example.rhtml @@ -0,0 +1,14035 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +tp367 +a(g27 +V<% +p368 +tp369 +a(g6 +V +tp370 +a(g107 +V@title +p371 +tp372 +a(g6 +V +tp373 +a(g357 +V= +tp374 +a(g6 +V +tp375 +a(g285 +V'Moderatoren-Interface' +p376 +tp377 +a(g6 +V +tp378 +a(g27 +V%> +p379 +tp380 +a(g6 +V\u000a\u000a +p381 +tp382 +a(g56 +V
    +tp385 +a(g6 +V\u000a +p386 +tp387 +a(g56 +V
    +tp390 +a(g27 +V<%= +p391 +tp392 +a(g6 +V +tp393 +a(g45 +Vlink_to +p394 +tp395 +a(g6 +V +tp396 +a(g285 +V'Proben' +p397 +tp398 +a(g216 +V, +tp399 +a(g6 +V +tp400 +a(g262 +V:controller +p401 +tp402 +a(g6 +V +tp403 +a(g357 +V= +tp404 +a(g357 +V> +tp405 +a(g6 +V +tp406 +a(g285 +V'/admin/proben' +p407 +tp408 +a(g6 +V +tp409 +a(g27 +V%> +p410 +tp411 +a(g56 +V +tp412 +a(g56 +V
    +p413 +tp414 +a(g6 +V\u000a +p415 +tp416 +a(g56 +V
    +tp419 +a(g6 +VDie angesetzten Proben des Orchesters +p420 +tp421 +a(g56 +V
    +p422 +tp423 +a(g6 +V\u000a +p424 +tp425 +a(g56 +V
    +tp428 +a(g27 +V<%= +p429 +tp430 +a(g6 +V +tp431 +a(g45 +Vlink_to +p432 +tp433 +a(g6 +V +tp434 +a(g285 +V'Auftritte' +p435 +tp436 +a(g216 +V, +tp437 +a(g6 +V +tp438 +a(g262 +V:controller +p439 +tp440 +a(g6 +V +tp441 +a(g357 +V= +tp442 +a(g357 +V> +tp443 +a(g6 +V +tp444 +a(g285 +V'/admin/proben' +p445 +tp446 +a(g6 +V +tp447 +a(g27 +V%> +p448 +tp449 +a(g56 +V +tp450 +a(g56 +V
    +p451 +tp452 +a(g6 +V\u000a +p453 +tp454 +a(g56 +V
    +tp457 +a(g6 +VDie Auftritte des Orchesters +p458 +tp459 +a(g56 +V
    +p460 +tp461 +a(g6 +V\u000a +p462 +tp463 +a(g27 +V<%- +p464 +tp465 +a(g6 +V +tp466 +a(g138 +Vif +p467 +tp468 +a(g6 +V +tp469 +a(g107 +V@valid_user +p470 +tp471 +a(g6 +V +tp472 +a(g361 +Vand +p473 +tp474 +a(g6 +V +tp475 +a(g107 +V@valid_user +p476 +tp477 +a(g357 +V. +tp478 +a(g45 +Vadmin? +p479 +tp480 +a(g6 +V +tp481 +a(g27 +V-%> +p482 +tp483 +a(g6 +V\u000a +p484 +tp485 +a(g56 +V
    +tp488 +a(g27 +V<%= +p489 +tp490 +a(g6 +V +tp491 +a(g45 +Vlink_to +p492 +tp493 +a(g6 +V +tp494 +a(g285 +V'Benutzer' +p495 +tp496 +a(g216 +V, +tp497 +a(g6 +V +tp498 +a(g262 +V:controller +p499 +tp500 +a(g6 +V +tp501 +a(g357 +V= +tp502 +a(g357 +V> +tp503 +a(g6 +V +tp504 +a(g285 +V'/admin/user' +p505 +tp506 +a(g6 +V +tp507 +a(g27 +V%> +p508 +tp509 +a(g56 +V +tp510 +a(g56 +V
    +p511 +tp512 +a(g6 +V\u000a +p513 +tp514 +a(g56 +V
    +tp517 +a(g6 +VBenutzer organisieren (nur für den Admin) +p518 +tp519 +a(g56 +V
    +p520 +tp521 +a(g6 +V\u000a +p522 +tp523 +a(g27 +V<%- +p524 +tp525 +a(g6 +V +tp526 +a(g138 +Vend +p527 +tp528 +a(g6 +V +tp529 +a(g27 +V-%> +p530 +tp531 +a(g6 +V\u000a +tp532 +a(g56 +V
    +p533 +tp534 +a(g6 +V\u000a +tp535 +a(g27 +V<% +p536 +tp537 +a(g6 +V +tp538 +a(g107 +V@title +p539 +tp540 +a(g6 +V +tp541 +a(g357 +V= +tp542 +a(g6 +V +tp543 +a(g285 +V'Anmeldung' +p544 +tp545 +a(g6 +V +tp546 +a(g27 +V%> +p547 +tp548 +a(g6 +V\u000a\u000a +p549 +tp550 +a(g27 +V<%= +p551 +tp552 +a(g6 +V +tp553 +a(g45 +Vrender +p554 +tp555 +a(g6 +V +tp556 +a(g262 +V:partial +p557 +tp558 +a(g6 +V +tp559 +a(g357 +V= +tp560 +a(g357 +V> +tp561 +a(g6 +V +tp562 +a(g285 +V'user_form' +p563 +tp564 +a(g216 +V, +tp565 +a(g6 +V +tp566 +a(g262 +V:object +p567 +tp568 +a(g6 +V +tp569 +a(g357 +V= +tp570 +a(g357 +V> +tp571 +a(g6 +V +tp572 +a(g107 +V@user +p573 +tp574 +a(g6 +V +tp575 +a(g27 +V%> +p576 +tp577 +a(g6 +V\u000a +tp578 +a(g27 +V<% +p579 +tp580 +a(g6 +V +tp581 +a(g107 +V@title +p582 +tp583 +a(g6 +V +tp584 +a(g357 +V= +tp585 +a(g6 +V +tp586 +a(g285 +V'Administrator erstellen' +p587 +tp588 +a(g6 +V +tp589 +a(g27 +V%> +p590 +tp591 +a(g6 +V\u000a\u000a +p592 +tp593 +a(g27 +V<%= +p594 +tp595 +a(g6 +V +tp596 +a(g45 +Vrender +p597 +tp598 +a(g6 +V +tp599 +a(g262 +V:partial +p600 +tp601 +a(g6 +V +tp602 +a(g357 +V= +tp603 +a(g357 +V> +tp604 +a(g6 +V +tp605 +a(g285 +V'user_form' +p606 +tp607 +a(g216 +V, +tp608 +a(g6 +V +tp609 +a(g262 +V:object +p610 +tp611 +a(g6 +V +tp612 +a(g357 +V= +tp613 +a(g357 +V> +tp614 +a(g6 +V +tp615 +a(g107 +V@user +p616 +tp617 +a(g6 +V +tp618 +a(g27 +V%> +p619 +tp620 +a(g6 +V\u000a +tp621 +a(g27 +V<%= +p622 +tp623 +a(g6 +V +tp624 +a(g45 +Vform_tag +p625 +tp626 +a(g6 +V +tp627 +a(g27 +V%> +p628 +tp629 +a(g6 +V\u000a +tp630 +a(g56 +V +tp633 +a(g6 +V\u000a +p634 +tp635 +a(g56 +V +tp638 +a(g6 +V\u000a +p639 +tp640 +a(g56 +V +p646 +tp647 +a(g6 +V\u000a +p648 +tp649 +a(g56 +V +p669 +tp670 +a(g6 +V\u000a +p671 +tp672 +a(g56 +V +p673 +tp674 +a(g6 +V\u000a +p675 +tp676 +a(g56 +V +tp679 +a(g6 +V\u000a +p680 +tp681 +a(g56 +V +p687 +tp688 +a(g6 +V\u000a +p689 +tp690 +a(g56 +V +p710 +tp711 +a(g6 +V\u000a +p712 +tp713 +a(g56 +V +p714 +tp715 +a(g6 +V\u000a +p716 +tp717 +a(g56 +V +tp720 +a(g6 +V\u000a +p721 +tp722 +a(g56 +V +p726 +tp727 +a(g6 +V\u000a +p728 +tp729 +a(g56 +V +p745 +tp746 +a(g6 +V\u000a +tp747 +a(g56 +V
    +tp643 +a(g6 +VName: +p644 +tp645 +a(g56 +V +tp652 +a(g27 +V<%= +p653 +tp654 +a(g6 +V +tp655 +a(g45 +Vtext_field +p656 +tp657 +a(g6 +V +tp658 +a(g285 +V'user' +p659 +tp660 +a(g216 +V, +tp661 +a(g6 +V +tp662 +a(g285 +V'name' +p663 +tp664 +a(g6 +V +tp665 +a(g27 +V%> +p666 +tp667 +a(g56 +V +tp668 +a(g56 +V
    +tp684 +a(g6 +VPasswort: +p685 +tp686 +a(g56 +V +tp693 +a(g27 +V<%= +p694 +tp695 +a(g6 +V +tp696 +a(g45 +Vpassword_field +p697 +tp698 +a(g6 +V +tp699 +a(g285 +V'user' +p700 +tp701 +a(g216 +V, +tp702 +a(g6 +V +tp703 +a(g285 +V'password' +p704 +tp705 +a(g6 +V +tp706 +a(g27 +V%> +p707 +tp708 +a(g56 +V +tp709 +a(g56 +V
    +tp725 +a(g56 +V +tp732 +a(g27 +V<%= +p733 +tp734 +a(g6 +V +tp735 +a(g45 +Vsubmit_tag +p736 +tp737 +a(g6 +V +tp738 +a(g285 +V'Anmelden' +p739 +tp740 +a(g6 +V +tp741 +a(g27 +V%> +p742 +tp743 +a(g56 +V +tp744 +a(g56 +V
    +p748 +tp749 +a(g6 +V\u000a +tp750 +a(g27 +V<%= +p751 +tp752 +a(g6 +V +tp753 +a(g45 +Vend_form_tag +p754 +tp755 +a(g6 +V +tp756 +a(g27 +V%> +p757 +tp758 +a(g6 +V\u000a +tp759 +a(g27 +V<% +p760 +tp761 +a(g6 +V +tp762 +a(g107 +V@title +p763 +tp764 +a(g6 +V +tp765 +a(g357 +V= +tp766 +a(g6 +V +tp767 +a(g285 +V'Neuer Benutzer' +p768 +tp769 +a(g6 +V +tp770 +a(g27 +V-%> +p771 +tp772 +a(g6 +V\u000a +tp773 +a(g27 +V<%= +p774 +tp775 +a(g6 +V +tp776 +a(g45 +Verror_messages_for +p777 +tp778 +a(g6 +V +tp779 +a(g262 +V:user +p780 +tp781 +a(g6 +V +tp782 +a(g27 +V%> +p783 +tp784 +a(g6 +V\u000a +tp785 +a(g27 +V<%= +p786 +tp787 +a(g6 +V +tp788 +a(g45 +Vrender +p789 +tp790 +a(g6 +V +tp791 +a(g262 +V:partial +p792 +tp793 +a(g6 +V +tp794 +a(g357 +V= +tp795 +a(g357 +V> +tp796 +a(g6 +V +tp797 +a(g285 +V'form' +p798 +tp799 +a(g216 +V, +tp800 +a(g6 +V +tp801 +a(g262 +V:object +p802 +tp803 +a(g6 +V +tp804 +a(g357 +V= +tp805 +a(g357 +V> +tp806 +a(g6 +V +tp807 +a(g107 +V@user +p808 +tp809 +a(g6 +V +tp810 +a(g27 +V%> +p811 +tp812 +a(g6 +V\u000a +tp813 +a(g27 +V<%= +p814 +tp815 +a(g6 +V +tp816 +a(g45 +Vform_tag +p817 +tp818 +a(g6 +V +tp819 +a(g27 +V%> +p820 +tp821 +a(g6 +V\u000a +tp822 +a(g56 +V +tp825 +a(g6 +V\u000a +p826 +tp827 +a(g56 +V +tp830 +a(g6 +V\u000a +p831 +tp832 +a(g56 +V +p838 +tp839 +a(g6 +V\u000a +p840 +tp841 +a(g56 +V +p861 +tp862 +a(g6 +V\u000a +p863 +tp864 +a(g56 +V +p865 +tp866 +a(g6 +V\u000a +p867 +tp868 +a(g56 +V +tp871 +a(g6 +V\u000a +p872 +tp873 +a(g56 +V +p879 +tp880 +a(g6 +V\u000a +p881 +tp882 +a(g56 +V +p902 +tp903 +a(g6 +V\u000a +p904 +tp905 +a(g56 +V +p906 +tp907 +a(g6 +V\u000a +p908 +tp909 +a(g56 +V +tp912 +a(g6 +V\u000a +p913 +tp914 +a(g56 +V +p918 +tp919 +a(g6 +V\u000a +p920 +tp921 +a(g56 +V +p937 +tp938 +a(g6 +V\u000a +tp939 +a(g56 +V
    +tp835 +a(g6 +VName: +p836 +tp837 +a(g56 +V +tp844 +a(g27 +V<%= +p845 +tp846 +a(g6 +V +tp847 +a(g45 +Vtext_field +p848 +tp849 +a(g6 +V +tp850 +a(g285 +V'user' +p851 +tp852 +a(g216 +V, +tp853 +a(g6 +V +tp854 +a(g285 +V'name' +p855 +tp856 +a(g6 +V +tp857 +a(g27 +V%> +p858 +tp859 +a(g56 +V +tp860 +a(g56 +V
    +tp876 +a(g6 +VPasswort: +p877 +tp878 +a(g56 +V +tp885 +a(g27 +V<%= +p886 +tp887 +a(g6 +V +tp888 +a(g45 +Vpassword_field +p889 +tp890 +a(g6 +V +tp891 +a(g285 +V'user' +p892 +tp893 +a(g216 +V, +tp894 +a(g6 +V +tp895 +a(g285 +V'password' +p896 +tp897 +a(g6 +V +tp898 +a(g27 +V%> +p899 +tp900 +a(g56 +V +tp901 +a(g56 +V
    +tp917 +a(g56 +V +tp924 +a(g27 +V<%= +p925 +tp926 +a(g6 +V +tp927 +a(g45 +Vsubmit_tag +p928 +tp929 +a(g6 +V +tp930 +a(g285 +V'Anlegen' +p931 +tp932 +a(g6 +V +tp933 +a(g27 +V%> +p934 +tp935 +a(g56 +V +tp936 +a(g56 +V
    +p940 +tp941 +a(g6 +V\u000a +tp942 +a(g27 +V<%= +p943 +tp944 +a(g6 +V +tp945 +a(g45 +Vend_form_tag +p946 +tp947 +a(g6 +V +tp948 +a(g27 +V%> +p949 +tp950 +a(g6 +V\u000a +tp951 +a(g27 +V<% +p952 +tp953 +a(g6 +V +tp954 +a(g107 +V@title +p955 +tp956 +a(g6 +V +tp957 +a(g357 +V= +tp958 +a(g6 +V +tp959 +a(g285 +V'Auftritte' +p960 +tp961 +a(g6 +V +tp962 +a(g27 +V%> +p963 +tp964 +a(g6 +V\u000a\u000a +p965 +tp966 +a(g56 +V +tp979 +a(g6 +V\u000a +p980 +tp981 +a(g27 +V<%= +p982 +tp983 +a(g6 +V +tp984 +a(g45 +Vrender +p985 +tp986 +a(g6 +V +tp987 +a(g262 +V:partial +p988 +tp989 +a(g6 +V +tp990 +a(g357 +V= +tp991 +a(g357 +V> +tp992 +a(g6 +V +tp993 +a(g285 +V'head' +p994 +tp995 +a(g6 +V +tp996 +a(g27 +V%> +p997 +tp998 +a(g6 +V\u000a +p999 +tp1000 +a(g27 +V<%= +p1001 +tp1002 +a(g6 +V +tp1003 +a(g45 +Vrender +p1004 +tp1005 +a(g6 +V +tp1006 +a(g262 +V:partial +p1007 +tp1008 +a(g6 +V +tp1009 +a(g357 +V= +tp1010 +a(g357 +V> +tp1011 +a(g6 +V +tp1012 +a(g285 +V'day' +p1013 +tp1014 +a(g216 +V, +tp1015 +a(g6 +V +tp1016 +a(g262 +V:collection +p1017 +tp1018 +a(g6 +V +tp1019 +a(g357 +V= +tp1020 +a(g357 +V> +tp1021 +a(g6 +V +tp1022 +a(g107 +V@days +p1023 +tp1024 +a(g6 +V +tp1025 +a(g27 +V%> +p1026 +tp1027 +a(g6 +V\u000a +tp1028 +a(g56 +V
    +p1029 +tp1030 +a(g6 +V\u000a +tp1031 +a(g27 +V<% +p1032 +tp1033 +a(g6 +V +tp1034 +a(g45 +Vday +p1035 +tp1036 +a(g216 +V, +tp1037 +a(g6 +V +tp1038 +a(g45 +Vauftritte +p1039 +tp1040 +a(g6 +V +tp1041 +a(g357 +V= +tp1042 +a(g6 +V +tp1043 +a(g357 +V* +tp1044 +a(g45 +Vday +p1045 +tp1046 +a(g6 +V +tp1047 +a(g27 +V-%> +p1048 +tp1049 +a(g6 +V\u000a +tp1050 +a(g27 +V<% +p1051 +tp1052 +a(g6 +V\u000a +p1053 +tp1054 +a(g138 +Vfor +p1055 +tp1056 +a(g6 +V +tp1057 +a(g45 +Vauftritt +p1058 +tp1059 +a(g6 +V +tp1060 +a(g138 +Vin +p1061 +tp1062 +a(g6 +V +tp1063 +a(g45 +Vauftritte +p1064 +tp1065 +a(g6 +V \u000a +p1066 +tp1067 +a(g27 +V-%> +p1068 +tp1069 +a(g6 +V\u000a\u000a +p1070 +tp1071 +a(g56 +V
    +tp1084 +a(g6 +V\u000a +p1085 +tp1086 +a(g27 +V<%= +p1087 +tp1088 +a(g6 +V +tp1089 +a(g45 +Vcolorize +p1090 +tp1091 +a(g6 +V +tp1092 +a(g45 +Vday +p1093 +tp1094 +a(g357 +V. +tp1095 +a(g45 +Vto_s +p1096 +tp1097 +a(g216 +V( +tp1098 +a(g262 +V:dots +p1099 +tp1100 +a(g216 +V) +tp1101 +a(g6 +V +tp1102 +a(g138 +Vif +p1103 +tp1104 +a(g6 +V +tp1105 +a(g45 +Vday +p1106 +tp1107 +a(g6 +V +tp1108 +a(g27 +V%> +p1109 +tp1110 +a(g6 +V\u000a +p1111 +tp1112 +a(g27 +V<% +p1113 +tp1114 +a(g6 +V +tp1115 +a(g138 +Vif +p1116 +tp1117 +a(g6 +V +tp1118 +a(g45 +Vday +p1119 +tp1120 +a(g6 +V +tp1121 +a(g361 +Vand +p1122 +tp1123 +a(g6 +V +tp1124 +a(g45 +Vday +p1125 +tp1126 +a(g357 +V. +tp1127 +a(g45 +Vwday +p1128 +tp1129 +a(g6 +V +tp1130 +a(g357 +V== +p1131 +tp1132 +a(g6 +V +tp1133 +a(g332 +V6 +tp1134 +a(g6 +V +tp1135 +a(g27 +V%> +p1136 +tp1137 +a(g6 +V +tp1138 +a(g56 +V
    +p1142 +tp1143 +a(g56 +V +tp1146 +a(g6 +VSamstag +p1147 +tp1148 +a(g56 +V +p1149 +tp1150 +a(g27 +V<% +p1151 +tp1152 +a(g6 +V +tp1153 +a(g138 +Vend +p1154 +tp1155 +a(g6 +V +tp1156 +a(g27 +V%> +p1157 +tp1158 +a(g56 +V +tp1159 +a(g6 +V\u000a +p1160 +tp1161 +a(g56 +V
    +tp1173 +a(g6 +V\u000a +p1174 +tp1175 +a(g27 +V<%= +p1176 +tp1177 +a(g6 +V +tp1178 +a(g45 +Vcolorize +p1179 +tp1180 +a(g6 +V +tp1181 +a(g45 +Vauftritt +p1182 +tp1183 +a(g357 +V. +tp1184 +a(g45 +Vtime +p1185 +tp1186 +a(g6 +V +tp1187 +a(g27 +V%> +p1188 +tp1189 +a(g6 +V\u000a +p1190 +tp1191 +a(g56 +V +tp1203 +a(g6 +V\u000a +p1204 +tp1205 +a(g27 +V<%= +p1206 +tp1207 +a(g6 +V +tp1208 +a(g45 +Vcolorize +p1209 +tp1210 +a(g6 +V +tp1211 +a(g45 +Vauftritt +p1212 +tp1213 +a(g357 +V. +tp1214 +a(g45 +Vprogram +p1215 +tp1216 +a(g6 +V +tp1217 +a(g27 +V%> +p1218 +tp1219 +a(g6 +V\u000a +p1220 +tp1221 +a(g27 +V<%= +p1222 +tp1223 +a(g6 +V +tp1224 +a(g45 +Vlink_to +p1225 +tp1226 +a(g6 +V +tp1227 +a(g285 +V'E' +p1228 +tp1229 +a(g216 +V, +tp1230 +a(g6 +V +tp1231 +a(g262 +V:controller +p1232 +tp1233 +a(g6 +V +tp1234 +a(g357 +V= +tp1235 +a(g357 +V> +tp1236 +a(g6 +V +tp1237 +a(g285 +V'admin/auftritte' +p1238 +tp1239 +a(g216 +V, +tp1240 +a(g6 +V +tp1241 +a(g262 +V:action +p1242 +tp1243 +a(g6 +V +tp1244 +a(g357 +V= +tp1245 +a(g357 +V> +tp1246 +a(g6 +V +tp1247 +a(g262 +V:edit +p1248 +tp1249 +a(g216 +V, +tp1250 +a(g6 +V +tp1251 +a(g262 +V:id +p1252 +tp1253 +a(g6 +V +tp1254 +a(g357 +V= +tp1255 +a(g357 +V> +tp1256 +a(g6 +V +tp1257 +a(g45 +Vauftritt +p1258 +tp1259 +a(g6 +V +tp1260 +a(g27 +V%> +p1261 +tp1262 +a(g6 +V\u000a +p1263 +tp1264 +a(g56 +V +tp1276 +a(g6 +V\u000a +p1277 +tp1278 +a(g27 +V<%= +p1279 +tp1280 +a(g6 +V +tp1281 +a(g45 +Vcolorize +p1282 +tp1283 +a(g216 +V( +tp1284 +a(g45 +Vauftritt +p1285 +tp1286 +a(g357 +V. +tp1287 +a(g45 +Vplace +p1288 +tp1289 +a(g216 +V, +tp1290 +a(g6 +V +tp1291 +a(g285 +V'Ort: ' +p1292 +tp1293 +a(g216 +V) +tp1294 +a(g6 +V +tp1295 +a(g357 +V+ +tp1296 +a(g6 +V +tp1297 +a(g285 +V'
    ' +p1298 +tp1299 +a(g6 +V +tp1300 +a(g138 +Vunless +p1301 +tp1302 +a(g6 +V +tp1303 +a(g45 +Vauftritt +p1304 +tp1305 +a(g357 +V. +tp1306 +a(g45 +Vplace +p1307 +tp1308 +a(g357 +V. +tp1309 +a(g45 +Vblank? +p1310 +tp1311 +a(g6 +V +tp1312 +a(g27 +V%> +p1313 +tp1314 +a(g6 +V\u000a +p1315 +tp1316 +a(g56 +V
    +tp1361 +a(g6 +VDatum +p1362 +tp1363 +a(g56 +V +tp1380 +a(g6 +VZeit +p1381 +tp1382 +a(g56 +V +tp1399 +a(g6 +VProgramm +p1400 +tp1401 +a(g56 +V +tp1418 +a(g6 +VOrt +p1419 +tp1420 +a(g56 +V
    +tp1506 +a(g6 +V\u000a +p1507 +tp1508 +a(g27 +V<%= +p1509 +tp1510 +a(g6 +V +tp1511 +a(g45 +Vrender +p1512 +tp1513 +a(g6 +V +tp1514 +a(g262 +V:partial +p1515 +tp1516 +a(g6 +V +tp1517 +a(g357 +V= +tp1518 +a(g357 +V> +tp1519 +a(g6 +V +tp1520 +a(g285 +V'member' +p1521 +tp1522 +a(g216 +V, +tp1523 +a(g6 +V +tp1524 +a(g262 +V:collection +p1525 +tp1526 +a(g6 +V +tp1527 +a(g357 +V= +tp1528 +a(g357 +V> +tp1529 +a(g6 +V +tp1530 +a(g107 +V@members +p1531 +tp1532 +a(g6 +V +tp1533 +a(g27 +V%> +p1534 +tp1535 +a(g6 +V\u000a +tp1536 +a(g56 +V
    +p1537 +tp1538 +a(g6 +V\u000a +tp1539 +a(g27 +V<% +p1540 +tp1541 +a(g6 +V +tp1542 +a(g107 +V@title +p1543 +tp1544 +a(g6 +V +tp1545 +a(g357 +V= +tp1546 +a(g6 +V +tp1547 +a(g285 +V'Besetzung: %d Mitglieder' +p1548 +tp1549 +a(g6 +V +tp1550 +a(g357 +V% +tp1551 +a(g6 +V +tp1552 +a(g60 +VMember +p1553 +tp1554 +a(g357 +V. +tp1555 +a(g45 +Vcount +p1556 +tp1557 +a(g6 +V +tp1558 +a(g27 +V-%> +p1559 +tp1560 +a(g6 +V\u000a\u000a +p1561 +tp1562 +a(g56 +V
    +tp1570 +a(g6 +V\u000a +tp1571 +a(g27 +V<% +p1572 +tp1573 +a(g6 +V +tp1574 +a(g138 +Vif +p1575 +tp1576 +a(g6 +V +tp1577 +a(g45 +Vparams +p1578 +tp1579 +a(g357 +V[ +tp1580 +a(g262 +V:action +p1581 +tp1582 +a(g357 +V] +tp1583 +a(g6 +V +tp1584 +a(g357 +V== +p1585 +tp1586 +a(g6 +V +tp1587 +a(g285 +V'all' +p1588 +tp1589 +a(g6 +V +tp1590 +a(g27 +V-%> +p1591 +tp1592 +a(g6 +V\u000a +tp1593 +a(g27 +V<%= +p1594 +tp1595 +a(g6 +V +tp1596 +a(g45 +Vlink_to +p1597 +tp1598 +a(g6 +V +tp1599 +a(g285 +V'seitenweise' +p1600 +tp1601 +a(g216 +V, +tp1602 +a(g6 +V +tp1603 +a(g262 +V:action +p1604 +tp1605 +a(g6 +V +tp1606 +a(g357 +V= +tp1607 +a(g357 +V> +tp1608 +a(g6 +V +tp1609 +a(g262 +V:index +p1610 +tp1611 +a(g6 +V +tp1612 +a(g27 +V%> +p1613 +tp1614 +a(g6 +V\u000a +tp1615 +a(g27 +V<% +p1616 +tp1617 +a(g6 +V +tp1618 +a(g138 +Velse +p1619 +tp1620 +a(g6 +V +tp1621 +a(g27 +V-%> +p1622 +tp1623 +a(g6 +V\u000a +tp1624 +a(g27 +V<%= +p1625 +tp1626 +a(g6 +V +tp1627 +a(g45 +Vlink_to_if +p1628 +tp1629 +a(g6 +V +tp1630 +a(g107 +V@member_pages +p1631 +tp1632 +a(g357 +V. +tp1633 +a(g45 +Vcurrent +p1634 +tp1635 +a(g357 +V. +tp1636 +a(g45 +Vprevious +p1637 +tp1638 +a(g216 +V, +tp1639 +a(g6 +V +tp1640 +a(g285 +V'<<' +p1641 +tp1642 +a(g216 +V, +tp1643 +a(g6 +V +tp1644 +a(g262 +V:page +p1645 +tp1646 +a(g6 +V +tp1647 +a(g357 +V= +tp1648 +a(g357 +V> +tp1649 +a(g6 +V +tp1650 +a(g107 +V@member_pages +p1651 +tp1652 +a(g357 +V. +tp1653 +a(g45 +Vcurrent +p1654 +tp1655 +a(g357 +V. +tp1656 +a(g45 +Vprevious +p1657 +tp1658 +a(g6 +V +tp1659 +a(g27 +V%> +p1660 +tp1661 +a(g6 +V\u000a| +p1662 +tp1663 +a(g27 +V<%= +p1664 +tp1665 +a(g6 +V +tp1666 +a(g45 +Vlink_to +p1667 +tp1668 +a(g6 +V +tp1669 +a(g285 +V'alle' +p1670 +tp1671 +a(g216 +V, +tp1672 +a(g6 +V +tp1673 +a(g262 +V:action +p1674 +tp1675 +a(g6 +V +tp1676 +a(g357 +V= +tp1677 +a(g357 +V> +tp1678 +a(g6 +V +tp1679 +a(g262 +V:all +p1680 +tp1681 +a(g6 +V +tp1682 +a(g27 +V%> +p1683 +tp1684 +a(g6 +V |\u000a +p1685 +tp1686 +a(g27 +V<%= +p1687 +tp1688 +a(g6 +V +tp1689 +a(g45 +Vlink_to_if +p1690 +tp1691 +a(g6 +V +tp1692 +a(g107 +V@member_pages +p1693 +tp1694 +a(g357 +V. +tp1695 +a(g45 +Vcurrent +p1696 +tp1697 +a(g357 +V. +tp1698 +a(g45 +Vnext +p1699 +tp1700 +a(g216 +V, +tp1701 +a(g6 +V +tp1702 +a(g285 +V'>>' +p1703 +tp1704 +a(g216 +V, +tp1705 +a(g6 +V +tp1706 +a(g262 +V:page +p1707 +tp1708 +a(g6 +V +tp1709 +a(g357 +V= +tp1710 +a(g357 +V> +tp1711 +a(g6 +V +tp1712 +a(g107 +V@member_pages +p1713 +tp1714 +a(g357 +V. +tp1715 +a(g45 +Vcurrent +p1716 +tp1717 +a(g357 +V. +tp1718 +a(g45 +Vnext +p1719 +tp1720 +a(g6 +V +tp1721 +a(g27 +V%> +p1722 +tp1723 +a(g6 +V\u000a +tp1724 +a(g27 +V<% +p1725 +tp1726 +a(g6 +V +tp1727 +a(g138 +Vend +p1728 +tp1729 +a(g6 +V +tp1730 +a(g27 +V-%> +p1731 +tp1732 +a(g6 +V\u000a| +p1733 +tp1734 +a(g27 +V<%= +p1735 +tp1736 +a(g6 +V +tp1737 +a(g45 +Vlink_to +p1738 +tp1739 +a(g6 +V +tp1740 +a(g285 +V'Nach Instrumenten' +p1741 +tp1742 +a(g216 +V, +tp1743 +a(g6 +V +tp1744 +a(g262 +V:action +p1745 +tp1746 +a(g6 +V +tp1747 +a(g357 +V= +tp1748 +a(g357 +V> +tp1749 +a(g6 +V +tp1750 +a(g262 +V:select_instrument +p1751 +tp1752 +a(g6 +V +tp1753 +a(g27 +V%> +p1754 +tp1755 +a(g6 +V\u000a +tp1756 +a(g56 +V
    +p1757 +tp1758 +a(g6 +V\u000a\u000a +p1759 +tp1760 +a(g56 +V +tp1768 +a(g6 +V\u000a +tp1769 +a(g27 +V<%= +p1770 +tp1771 +a(g6 +V +tp1772 +a(g45 +Vrender +p1773 +tp1774 +a(g6 +V +tp1775 +a(g262 +V:partial +p1776 +tp1777 +a(g6 +V +tp1778 +a(g357 +V= +tp1779 +a(g357 +V> +tp1780 +a(g6 +V +tp1781 +a(g285 +V'member' +p1782 +tp1783 +a(g216 +V, +tp1784 +a(g6 +V +tp1785 +a(g262 +V:collection +p1786 +tp1787 +a(g6 +V +tp1788 +a(g357 +V= +tp1789 +a(g357 +V> +tp1790 +a(g6 +V +tp1791 +a(g107 +V@members +p1792 +tp1793 +a(g6 +V +tp1794 +a(g27 +V%> +p1795 +tp1796 +a(g6 +V\u000a +tp1797 +a(g56 +V
    +p1798 +tp1799 +a(g6 +V\u000a +tp1800 +a(g27 +V<% +p1801 +tp1802 +a(g6 +V +tp1803 +a(g107 +V@title +p1804 +tp1805 +a(g6 +V +tp1806 +a(g357 +V= +tp1807 +a(g6 +V +tp1808 +a(g259 +V" +tp1809 +a(g259 +VBesetzung - Instrument wählen +p1810 +tp1811 +a(g259 +V" +tp1812 +a(g6 +V +tp1813 +a(g27 +V%> +p1814 +tp1815 +a(g6 +V\u000a\u000a +p1816 +tp1817 +a(g56 +V
      +tp1820 +a(g6 +V\u000a +tp1821 +a(g27 +V<% +p1822 +tp1823 +a(g6 +V +tp1824 +a(g138 +Vfor +p1825 +tp1826 +a(g6 +V +tp1827 +a(g45 +Vinstr +p1828 +tp1829 +a(g6 +V +tp1830 +a(g138 +Vin +p1831 +tp1832 +a(g6 +V +tp1833 +a(g107 +V@instruments +p1834 +tp1835 +a(g6 +V +tp1836 +a(g27 +V-%> +p1837 +tp1838 +a(g6 +V\u000a +tp1839 +a(g56 +V
    • +tp1842 +a(g6 +V\u000a +p1843 +tp1844 +a(g27 +V<%= +p1845 +tp1846 +a(g6 +V +tp1847 +a(g45 +Vlink_to +p1848 +tp1849 +a(g6 +V +tp1850 +a(g45 +Vh +tp1851 +a(g216 +V( +tp1852 +a(g45 +Vinstr +p1853 +tp1854 +a(g357 +V. +tp1855 +a(g45 +Vname +p1856 +tp1857 +a(g216 +V) +tp1858 +a(g216 +V, +tp1859 +a(g6 +V +tp1860 +a(g262 +V:action +p1861 +tp1862 +a(g6 +V +tp1863 +a(g357 +V= +tp1864 +a(g357 +V> +tp1865 +a(g6 +V +tp1866 +a(g262 +V:instrument +p1867 +tp1868 +a(g216 +V, +tp1869 +a(g6 +V +tp1870 +a(g262 +V:id +p1871 +tp1872 +a(g6 +V +tp1873 +a(g357 +V= +tp1874 +a(g357 +V> +tp1875 +a(g6 +V +tp1876 +a(g45 +Vinstr +p1877 +tp1878 +a(g357 +V. +tp1879 +a(g45 +Vname +p1880 +tp1881 +a(g6 +V +tp1882 +a(g27 +V%> +p1883 +tp1884 +a(g6 +V\u000a +p1885 +tp1886 +a(g56 +V +tp1894 +a(g6 +V( +tp1895 +a(g27 +V<%= +p1896 +tp1897 +a(g6 +V +tp1898 +a(g45 +Vh +tp1899 +a(g6 +V +tp1900 +a(g45 +Vinstr +p1901 +tp1902 +a(g357 +V. +tp1903 +a(g45 +Vmembers +p1904 +tp1905 +a(g357 +V. +tp1906 +a(g45 +Vsize +p1907 +tp1908 +a(g6 +V +tp1909 +a(g27 +V%> +p1910 +tp1911 +a(g6 +V) +tp1912 +a(g56 +V +p1913 +tp1914 +a(g6 +V\u000a +tp1915 +a(g56 +V
    • +p1916 +tp1917 +a(g6 +V\u000a +tp1918 +a(g27 +V<% +p1919 +tp1920 +a(g6 +V +tp1921 +a(g138 +Vend +p1922 +tp1923 +a(g6 +V +tp1924 +a(g27 +V-%> +p1925 +tp1926 +a(g6 +V\u000a +tp1927 +a(g56 +V
    +p1928 +tp1929 +a(g6 +V\u000a +tp1930 +a(g27 +V<% +p1931 +tp1932 +a(g6 +V +tp1933 +a(g107 +V@title +p1934 +tp1935 +a(g6 +V +tp1936 +a(g357 +V= +tp1937 +a(g6 +V +tp1938 +a(g259 +V" +tp1939 +a(g259 +VBesetzung: +p1940 +tp1941 +a(g247 +V#{ +p1942 +tp1943 +a(g107 +V@member +p1944 +tp1945 +a(g357 +V. +tp1946 +a(g45 +Vname +p1947 +tp1948 +a(g247 +V} +tp1949 +a(g259 +V" +tp1950 +a(g6 +V +tp1951 +a(g27 +V-%> +p1952 +tp1953 +a(g6 +V\u000a\u000a +p1954 +tp1955 +a(g56 +V
    +tp1958 +a(g6 +V\u000a\u000a +p1959 +tp1960 +a(g56 +V
    +tp1963 +a(g6 +VInstrument / Aufgabe: +p1964 +tp1965 +a(g56 +V
    +p1966 +tp1967 +a(g6 +V\u000a +tp1968 +a(g56 +V
    +tp1971 +a(g27 +V<%= +p1972 +tp1973 +a(g6 +V +tp1974 +a(g45 +Vlink_to_instruments_of +p1975 +tp1976 +a(g6 +V +tp1977 +a(g107 +V@member +p1978 +tp1979 +a(g6 +V +tp1980 +a(g27 +V%> +p1981 +tp1982 +a(g56 +V +tp1983 +a(g56 +V
    +p1984 +tp1985 +a(g6 +V\u000a\u000a +p1986 +tp1987 +a(g56 +V
    +tp1990 +a(g6 +VGeburtstag: +p1991 +tp1992 +a(g56 +V
    +p1993 +tp1994 +a(g6 +V\u000a +tp1995 +a(g56 +V
    +tp1998 +a(g27 +V<%= +p1999 +tp2000 +a(g6 +V +tp2001 +a(g45 +Vh +tp2002 +a(g6 +V +tp2003 +a(g107 +V@member +p2004 +tp2005 +a(g357 +V. +tp2006 +a(g45 +Vbirthday +p2007 +tp2008 +a(g357 +V. +tp2009 +a(g45 +Vto_s +p2010 +tp2011 +a(g216 +V( +tp2012 +a(g262 +V:dots +p2013 +tp2014 +a(g216 +V) +tp2015 +a(g6 +V +tp2016 +a(g27 +V%> +p2017 +tp2018 +a(g56 +V +tp2019 +a(g56 +V
    +p2020 +tp2021 +a(g6 +V\u000a\u000a +p2022 +tp2023 +a(g56 +V
    +tp2026 +a(g6 +VAdresse: +p2027 +tp2028 +a(g56 +V
    +p2029 +tp2030 +a(g6 +V\u000a +tp2031 +a(g56 +V
    +tp2034 +a(g27 +V<%= +p2035 +tp2036 +a(g6 +V +tp2037 +a(g45 +Vh +tp2038 +a(g6 +V +tp2039 +a(g107 +V@member +p2040 +tp2041 +a(g357 +V. +tp2042 +a(g45 +Vstreet +p2043 +tp2044 +a(g6 +V +tp2045 +a(g27 +V%> +p2046 +tp2047 +a(g56 +V +tp2048 +a(g56 +V
    +p2052 +tp2053 +a(g27 +V<%= +p2054 +tp2055 +a(g6 +V +tp2056 +a(g45 +Vh +tp2057 +a(g6 +V +tp2058 +a(g107 +V@member +p2059 +tp2060 +a(g357 +V. +tp2061 +a(g45 +Vplz +p2062 +tp2063 +a(g6 +V +tp2064 +a(g27 +V%> +p2065 +tp2066 +a(g56 +V +tp2067 +a(g56 +V
    +p2068 +tp2069 +a(g6 +V\u000a\u000a +p2070 +tp2071 +a(g56 +V
    +tp2074 +a(g6 +VTelefon: +p2075 +tp2076 +a(g56 +V
    +p2077 +tp2078 +a(g6 +V\u000a +tp2079 +a(g56 +V
    +tp2082 +a(g27 +V<%= +p2083 +tp2084 +a(g6 +V +tp2085 +a(g45 +Vh +tp2086 +a(g6 +V +tp2087 +a(g107 +V@member +p2088 +tp2089 +a(g357 +V. +tp2090 +a(g45 +Vphone +p2091 +tp2092 +a(g6 +V +tp2093 +a(g27 +V%> +p2094 +tp2095 +a(g56 +V +tp2096 +a(g56 +V
    +p2097 +tp2098 +a(g6 +V\u000a\u000a +p2099 +tp2100 +a(g56 +V
    +tp2103 +a(g6 +VEmail: +p2104 +tp2105 +a(g56 +V
    +p2106 +tp2107 +a(g6 +V\u000a +tp2108 +a(g56 +V
    +tp2111 +a(g27 +V<%= +p2112 +tp2113 +a(g6 +V +tp2114 +a(g45 +Vmail_to +p2115 +tp2116 +a(g6 +V +tp2117 +a(g107 +V@member +p2118 +tp2119 +a(g357 +V. +tp2120 +a(g45 +Vemail +p2121 +tp2122 +a(g216 +V, +tp2123 +a(g6 +V +tp2124 +a(g107 +V@member +p2125 +tp2126 +a(g357 +V. +tp2127 +a(g45 +Vemail +p2128 +tp2129 +a(g216 +V, +tp2130 +a(g6 +V +tp2131 +a(g262 +V:encode +p2132 +tp2133 +a(g6 +V +tp2134 +a(g357 +V= +tp2135 +a(g357 +V> +tp2136 +a(g6 +V +tp2137 +a(g285 +V'javascript' +p2138 +tp2139 +a(g6 +V +tp2140 +a(g27 +V%> +p2141 +tp2142 +a(g56 +V +tp2143 +a(g56 +V
    +p2144 +tp2145 +a(g6 +V\u000a\u000a +p2146 +tp2147 +a(g56 +V
    +p2148 +tp2149 +a(g6 +V\u000a +tp2150 +a(g56 +V
    +tp2163 +a(g27 +V<%= +p2164 +tp2165 +a(g6 +V +tp2166 +a(g45 +Vlink_to +p2167 +tp2168 +a(g6 +V +tp2169 +a(g45 +Vmember +p2170 +tp2171 +a(g357 +V. +tp2172 +a(g45 +Vname +p2173 +tp2174 +a(g216 +V, +tp2175 +a(g6 +V +tp2176 +a(g262 +V:action +p2177 +tp2178 +a(g6 +V +tp2179 +a(g357 +V= +tp2180 +a(g357 +V> +tp2181 +a(g6 +V +tp2182 +a(g262 +V:show +p2183 +tp2184 +a(g216 +V, +tp2185 +a(g6 +V +tp2186 +a(g262 +V:id +p2187 +tp2188 +a(g6 +V +tp2189 +a(g357 +V= +tp2190 +a(g357 +V> +tp2191 +a(g6 +V +tp2192 +a(g45 +Vmember +p2193 +tp2194 +a(g6 +V +tp2195 +a(g27 +V%> +p2196 +tp2197 +a(g56 +V +tp2198 +a(g6 +V:\u000a +p2199 +tp2200 +a(g27 +V<%= +p2201 +tp2202 +a(g6 +V +tp2203 +a(g45 +Vlink_to_instruments_of +p2204 +tp2205 +a(g6 +V +tp2206 +a(g45 +Vmember +p2207 +tp2208 +a(g6 +V +tp2209 +a(g27 +V%> +p2210 +tp2211 +a(g6 +V\u000a +p2212 +tp2213 +a(g56 +V
    +tp2901 +a(g6 +V\u000a +p2902 +tp2903 +a(g56 +V +tp2906 +a(g6 +V\u000a +p2907 +tp2908 +a(g56 +V +p2924 +tp2925 +a(g6 +V\u000a +p2926 +tp2927 +a(g56 +V +p2943 +tp2944 +a(g6 +V\u000a +p2945 +tp2946 +a(g56 +V +p2962 +tp2963 +a(g6 +V\u000a +p2964 +tp2965 +a(g56 +V +p2981 +tp2982 +a(g6 +V\u000a +p2983 +tp2984 +a(g56 +V +p2985 +tp2986 +a(g6 +V\u000a \u000a +p2987 +tp2988 +a(g56 +V +tp2991 +a(g6 +V\u000a +p2992 +tp2993 +a(g56 +V +p3004 +tp3005 +a(g6 +V\u000a +p3006 +tp3007 +a(g56 +V +p3018 +tp3019 +a(g6 +V\u000a +p3020 +tp3021 +a(g56 +V +p3032 +tp3033 +a(g6 +V\u000a +p3034 +tp3035 +a(g56 +V +p3046 +tp3047 +a(g6 +V\u000a +p3048 +tp3049 +a(g56 +V +p3050 +tp3051 +a(g6 +V\u000a \u000a +p3052 +tp3053 +a(g56 +V +tp3056 +a(g6 +V\u000a +p3057 +tp3058 +a(g56 +V +p3069 +tp3070 +a(g6 +V\u000a +p3071 +tp3072 +a(g56 +V +p3083 +tp3084 +a(g6 +V\u000a +p3085 +tp3086 +a(g56 +V +p3097 +tp3098 +a(g6 +V\u000a +p3099 +tp3100 +a(g56 +V +p3111 +tp3112 +a(g6 +V\u000a +p3113 +tp3114 +a(g56 +V +p3115 +tp3116 +a(g6 +V\u000a \u000a +p3117 +tp3118 +a(g56 +V +tp3121 +a(g6 +V\u000a +p3122 +tp3123 +a(g56 +V +p3134 +tp3135 +a(g6 +V\u000a +p3136 +tp3137 +a(g56 +V +p3148 +tp3149 +a(g6 +V\u000a +p3150 +tp3151 +a(g56 +V +p3162 +tp3163 +a(g6 +V\u000a +p3164 +tp3165 +a(g56 +V +p3176 +tp3177 +a(g6 +V\u000a +p3178 +tp3179 +a(g56 +V +p3180 +tp3181 +a(g6 +V\u000a\u000a +p3182 +tp3183 +a(g56 +V +tp3186 +a(g6 +V\u000a +p3187 +tp3188 +a(g56 +V +p3199 +tp3200 +a(g6 +V\u000a +p3201 +tp3202 +a(g56 +V +p3213 +tp3214 +a(g6 +V\u000a +p3215 +tp3216 +a(g56 +V +p3227 +tp3228 +a(g6 +V\u000a +p3229 +tp3230 +a(g56 +V +p3241 +tp3242 +a(g6 +V\u000a +p3243 +tp3244 +a(g56 +V +p3245 +tp3246 +a(g6 +V\u000a \u000a +p3247 +tp3248 +a(g56 +V +tp3251 +a(g6 +V\u000a +p3252 +tp3253 +a(g56 +V +p3264 +tp3265 +a(g6 +V\u000a +p3266 +tp3267 +a(g56 +V +p3278 +tp3279 +a(g6 +V\u000a +p3280 +tp3281 +a(g56 +V +p3292 +tp3293 +a(g6 +V\u000a +p3294 +tp3295 +a(g56 +V +p3306 +tp3307 +a(g6 +V\u000a +p3308 +tp3309 +a(g56 +V +p3310 +tp3311 +a(g6 +V\u000a \u000a +p3312 +tp3313 +a(g56 +V +tp3316 +a(g6 +V\u000a +p3317 +tp3318 +a(g56 +V +p3329 +tp3330 +a(g6 +V\u000a +p3331 +tp3332 +a(g56 +V +p3343 +tp3344 +a(g6 +V\u000a +p3345 +tp3346 +a(g56 +V +p3357 +tp3358 +a(g6 +V\u000a +p3359 +tp3360 +a(g56 +V +p3371 +tp3372 +a(g6 +V\u000a +p3373 +tp3374 +a(g56 +V +p3375 +tp3376 +a(g6 +V\u000a \u000a +p3377 +tp3378 +a(g56 +V
    +tp2921 +a(g6 +VZeitraum +p2922 +tp2923 +a(g56 +V +tp2940 +a(g6 +V2006 +p2941 +tp2942 +a(g56 +V +tp2959 +a(g6 +V2007 +p2960 +tp2961 +a(g56 +V +tp2978 +a(g6 +V2008 +p2979 +tp2980 +a(g56 +V
    +tp3001 +a(g6 +V\u000a Winter +p3002 +tp3003 +a(g56 +V +tp3015 +a(g6 +V\u000a 30.01. - 03.02. +p3016 +tp3017 +a(g56 +V +tp3029 +a(g6 +V\u000a 05.02. - 10.02. +p3030 +tp3031 +a(g56 +V +tp3043 +a(g6 +V\u000a 04.02. - 09.02. +p3044 +tp3045 +a(g56 +V
    +tp3066 +a(g6 +V\u000a Ostern/Frühjahr +p3067 +tp3068 +a(g56 +V +tp3080 +a(g6 +V\u000a 10.04. - 21.04. +p3081 +tp3082 +a(g56 +V +tp3094 +a(g6 +V\u000a 02.04. - 13.04. +p3095 +tp3096 +a(g56 +V +tp3108 +a(g6 +V\u000a 17.03. - 28.03. +p3109 +tp3110 +a(g56 +V
    +tp3131 +a(g6 +V\u000a Himmelf./Pfingsten +p3132 +tp3133 +a(g56 +V +tp3145 +a(g6 +V\u000a 30.04. / 18.05. +p3146 +tp3147 +a(g56 +V +tp3159 +a(g6 +V\u000a 30.04. / 18.05. +p3160 +tp3161 +a(g56 +V +tp3173 +a(g6 +V\u000a 02.05. +p3174 +tp3175 +a(g56 +V
    +tp3196 +a(g6 +V\u000a Sommer +p3197 +tp3198 +a(g56 +V +tp3210 +a(g6 +V\u000a 06.07. - 19.08. +p3211 +tp3212 +a(g56 +V +tp3224 +a(g6 +V\u000a 12.07. - 25.08. +p3225 +tp3226 +a(g56 +V +tp3238 +a(g6 +V\u000a 17.07. - 30.08. +p3239 +tp3240 +a(g56 +V
    +tp3261 +a(g6 +V\u000a Herbst +p3262 +tp3263 +a(g56 +V +tp3275 +a(g6 +V\u000a 02.10. - 14.10. +p3276 +tp3277 +a(g56 +V +tp3289 +a(g6 +V\u000a 15.10. - 27.10. +p3290 +tp3291 +a(g56 +V +tp3303 +a(g6 +V\u000a +p3304 +tp3305 +a(g56 +V
    +tp3326 +a(g6 +V\u000a Weihnachten +p3327 +tp3328 +a(g56 +V +tp3340 +a(g6 +V\u000a 27.12. - 05.01.07 +p3341 +tp3342 +a(g56 +V +tp3354 +a(g6 +V\u000a 24.12. - 04.01.08 +p3355 +tp3356 +a(g56 +V +tp3368 +a(g6 +V\u000a +p3369 +tp3370 +a(g56 +V
    +p3379 +tp3380 +a(g6 +V\u000a +tp3381 +a(g27 +V<% +p3382 +tp3383 +a(g6 +V +tp3384 +a(g107 +V@title +p3385 +tp3386 +a(g6 +V +tp3387 +a(g357 +V= +tp3388 +a(g6 +V +tp3389 +a(g285 +V'Termine' +p3390 +tp3391 +a(g6 +V +tp3392 +a(g27 +V-%> +p3393 +tp3394 +a(g6 +V\u000a\u000a +p3395 +tp3396 +a(g56 +V
      +tp3399 +a(g6 +V\u000a +p3400 +tp3401 +a(g56 +V
    • +tp3404 +a(g27 +V<%= +p3405 +tp3406 +a(g6 +V +tp3407 +a(g45 +Vlink_to +p3408 +tp3409 +a(g6 +V +tp3410 +a(g285 +V'Auftritte' +p3411 +tp3412 +a(g216 +V, +tp3413 +a(g6 +V +tp3414 +a(g262 +V:controller +p3415 +tp3416 +a(g6 +V +tp3417 +a(g357 +V= +tp3418 +a(g357 +V> +tp3419 +a(g6 +V +tp3420 +a(g285 +V'/auftritte' +p3421 +tp3422 +a(g6 +V +tp3423 +a(g27 +V%> +p3424 +tp3425 +a(g56 +V +tp3426 +a(g56 +V
    • +p3427 +tp3428 +a(g6 +V\u000a +p3429 +tp3430 +a(g56 +V
    • +tp3433 +a(g27 +V<%= +p3434 +tp3435 +a(g6 +V +tp3436 +a(g45 +Vlink_to +p3437 +tp3438 +a(g6 +V +tp3439 +a(g285 +V'Schulferien' +p3440 +tp3441 +a(g216 +V, +tp3442 +a(g6 +V +tp3443 +a(g262 +V:controller +p3444 +tp3445 +a(g6 +V +tp3446 +a(g357 +V= +tp3447 +a(g357 +V> +tp3448 +a(g6 +V +tp3449 +a(g285 +V'/content' +p3450 +tp3451 +a(g216 +V, +tp3452 +a(g6 +V +tp3453 +a(g262 +V:action +p3454 +tp3455 +a(g6 +V +tp3456 +a(g357 +V= +tp3457 +a(g357 +V> +tp3458 +a(g6 +V +tp3459 +a(g262 +V:schulferien +p3460 +tp3461 +a(g6 +V +tp3462 +a(g27 +V%> +p3463 +tp3464 +a(g56 +V +tp3465 +a(g56 +V
    • +p3466 +tp3467 +a(g6 +V\u000a +tp3468 +a(g56 +V
    +p3469 +tp3470 +a(g6 +V\u000a +tp3471 +a(g27 +V +p3472 +tp3473 +a(g6 +V\u000a +tp3474 +a(g56 +V +tp3487 +a(g6 +V\u000a +tp3488 +a(g56 +V +tp3491 +a(g6 +V\u000a +p3492 +tp3493 +a(g27 +V<%= +p3494 +tp3495 +a(g6 +V +tp3496 +a(g45 +Vtag +p3497 +tp3498 +a(g6 +V +tp3499 +a(g285 +V'meta' +p3500 +tp3501 +a(g216 +V, +tp3502 +a(g6 +V +tp3503 +a(g262 +V:'http-equiv' +p3504 +tp3505 +a(g6 +V +tp3506 +a(g357 +V= +tp3507 +a(g357 +V> +tp3508 +a(g6 +V +tp3509 +a(g285 +V'content-language' +p3510 +tp3511 +a(g216 +V, +tp3512 +a(g6 +V +tp3513 +a(g262 +V:content +p3514 +tp3515 +a(g6 +V +tp3516 +a(g357 +V= +tp3517 +a(g357 +V> +tp3518 +a(g6 +V +tp3519 +a(g285 +V'de' +p3520 +tp3521 +a(g6 +V +tp3522 +a(g27 +V%> +p3523 +tp3524 +a(g6 +V\u000a +p3525 +tp3526 +a(g27 +V<%= +p3527 +tp3528 +a(g6 +V +tp3529 +a(g45 +Vtag +p3530 +tp3531 +a(g6 +V +tp3532 +a(g285 +V'meta' +p3533 +tp3534 +a(g216 +V, +tp3535 +a(g6 +V +tp3536 +a(g262 +V:'http-equiv' +p3537 +tp3538 +a(g6 +V +tp3539 +a(g357 +V= +tp3540 +a(g357 +V> +tp3541 +a(g6 +V +tp3542 +a(g285 +V'content-type' +p3543 +tp3544 +a(g216 +V, +tp3545 +a(g6 +V +tp3546 +a(g262 +V:content +p3547 +tp3548 +a(g6 +V +tp3549 +a(g357 +V= +tp3550 +a(g357 +V> +tp3551 +a(g6 +V +tp3552 +a(g285 +V'text/html; charset=UTF-8' +p3553 +tp3554 +a(g6 +V +tp3555 +a(g27 +V%> +p3556 +tp3557 +a(g6 +V\u000a +p3558 +tp3559 +a(g56 +V +p3573 +tp3574 +a(g6 +V\u000a +p3575 +tp3576 +a(g56 +V +p3590 +tp3591 +a(g6 +V\u000a +p3592 +tp3593 +a(g56 +V +p3607 +tp3608 +a(g6 +V\u000a +p3609 +tp3610 +a(g56 +V +p3624 +tp3625 +a(g6 +V\u000a +p3626 +tp3627 +a(g56 +V +p3641 +tp3642 +a(g6 +V\u000a +p3643 +tp3644 +a(g56 +V +p3658 +tp3659 +a(g6 +V\u000a\u000a +p3660 +tp3661 +a(g56 +V +p3675 +tp3676 +a(g6 +V\u000a +p3677 +tp3678 +a(g56 +V +p3692 +tp3693 +a(g6 +V\u000a +p3694 +tp3695 +a(g56 +V +p3709 +tp3710 +a(g6 +V\u000a +p3711 +tp3712 +a(g56 +V +p3726 +tp3727 +a(g6 +V\u000a\u000a +p3728 +tp3729 +a(g56 +V +tp3732 +a(g6 +VJSO +p3733 +tp3734 +a(g27 +V<%- +p3735 +tp3736 +a(g138 +Vif +p3737 +tp3738 +a(g6 +V +tp3739 +a(g107 +V@title +p3740 +tp3741 +a(g27 +V-%> +p3742 +tp3743 +a(g6 +V - +p3744 +tp3745 +a(g27 +V<%= +p3746 +tp3747 +a(g6 +V +tp3748 +a(g45 +Vh +tp3749 +a(g6 +V +tp3750 +a(g107 +V@title +p3751 +tp3752 +a(g6 +V +tp3753 +a(g27 +V%> +p3754 +tp3755 +a(g6 +V +tp3756 +a(g27 +V<%- +p3757 +tp3758 +a(g6 +V +tp3759 +a(g138 +Vend +p3760 +tp3761 +a(g6 +V +tp3762 +a(g27 +V-%> +p3763 +tp3764 +a(g6 +V +tp3765 +a(g56 +V +p3766 +tp3767 +a(g6 +V\u000a +p3768 +tp3769 +a(g27 +V<%= +p3770 +tp3771 +a(g6 +V +tp3772 +a(g45 +Vstylesheet_link_tag +p3773 +tp3774 +a(g6 +V +tp3775 +a(g285 +V'/rcss/main' +p3776 +tp3777 +a(g6 +V +tp3778 +a(g27 +V%> +p3779 +tp3780 +a(g6 +V\u000a +p3781 +tp3782 +a(g27 +V<%# +p3783 +tp3784 +a(g24 +V= stylesheet_link_tag 'main' +p3785 +tp3786 +a(g27 +V%> +p3787 +tp3788 +a(g6 +V\u000a +p3789 +tp3790 +a(g27 +V<%= +p3791 +tp3792 +a(g6 +V +tp3793 +a(g45 +Vjavascript_include_tag +p3794 +tp3795 +a(g6 +V +tp3796 +a(g285 +V'nospam' +p3797 +tp3798 +a(g6 +V +tp3799 +a(g27 +V%> +p3800 +tp3801 +a(g6 +V\u000a +p3802 +tp3803 +a(g27 +V<%# +p3804 +tp3805 +a(g24 +V= javascript_include_tag :defaults +p3806 +tp3807 +a(g27 +V%> +p3808 +tp3809 +a(g6 +V\u000a +tp3810 +a(g56 +V +p3811 +tp3812 +a(g6 +V\u000a\u000a +p3813 +tp3814 +a(g56 +V +tp3817 +a(g6 +V\u000a\u000a +p3818 +tp3819 +a(g56 +V +tp3832 +a(g6 +V\u000a +p3833 +tp3834 +a(g56 +V +tp3837 +a(g6 +V\u000a +p3838 +tp3839 +a(g56 +V +p3873 +tp3874 +a(g6 +V\u000a +p3875 +tp3876 +a(g56 +V +p3947 +tp3948 +a(g6 +V\u000a +p3949 +tp3950 +a(g56 +V +p3951 +tp3952 +a(g6 +V\u000a +p3953 +tp3954 +a(g56 +V +tp3957 +a(g6 +V\u000a +p3958 +tp3959 +a(g56 +V +p4121 +tp4122 +a(g6 +V\u000a +p4123 +tp4124 +a(g56 +V +p4274 +tp4275 +a(g6 +V\u000a +p4276 +tp4277 +a(g56 +V +p4278 +tp4279 +a(g6 +V\u000a +p4280 +tp4281 +a(g56 +V +tp4284 +a(g6 +V\u000a +p4285 +tp4286 +a(g56 +V +p4297 +tp4298 +a(g6 +V\u000a +p4299 +tp4300 +a(g56 +V +p4465 +tp4466 +a(g6 +V\u000a +p4467 +tp4468 +a(g56 +V +p4469 +tp4470 +a(g6 +V\u000a +tp4471 +a(g56 +V
    +tp3847 +a(g6 +V\u000a +p3848 +tp3849 +a(g27 +V<%= +p3850 +tp3851 +a(g6 +V +tp3852 +a(g45 +Vimage_tag +p3853 +tp3854 +a(g6 +V +tp3855 +a(g285 +V'JSO-Logo.gif' +p3856 +tp3857 +a(g216 +V, +tp3858 +a(g6 +V +tp3859 +a(g262 +V:alt +p3860 +tp3861 +a(g6 +V +tp3862 +a(g357 +V= +tp3863 +a(g357 +V> +tp3864 +a(g6 +V +tp3865 +a(g285 +V'JSO-Logo' +p3866 +tp3867 +a(g6 +V +tp3868 +a(g27 +V%> +p3869 +tp3870 +a(g6 +V\u000a +p3871 +tp3872 +a(g56 +V +tp3884 +a(g6 +V\u000a +p3885 +tp3886 +a(g56 +V +p3907 +tp3908 +a(g6 +V\u000a +p3909 +tp3910 +a(g56 +V
    +tp3918 +a(g56 +V +tp3921 +a(g6 +Vj +tp3922 +a(g56 +V +p3923 +tp3924 +a(g6 +Vugend +p3925 +tp3926 +a(g56 +V +tp3929 +a(g6 +Vs +tp3930 +a(g56 +V +p3931 +tp3932 +a(g6 +Vinfonie +p3933 +tp3934 +a(g56 +V +tp3937 +a(g6 +Vo +tp3938 +a(g56 +V +p3939 +tp3940 +a(g6 +Vrchester +p3941 +tp3942 +a(g56 +V
    +p3943 +tp3944 +a(g6 +V\u000a +p3945 +tp3946 +a(g56 +V
    +tp3967 +a(g6 +V\u000a +tp3968 +a(g27 +V<% +p3969 +tp3970 +a(g6 +V +tp3971 +a(g138 +Vif +p3972 +tp3973 +a(g6 +V +tp3974 +a(g45 +Vvalid_user +p3975 +tp3976 +a(g6 +V +tp3977 +a(g27 +V-%> +p3978 +tp3979 +a(g6 +V\u000a +tp3980 +a(g56 +V
      +tp3983 +a(g6 +V\u000a +p3984 +tp3985 +a(g56 +V
    • +tp3993 +a(g27 +V<%= +p3994 +tp3995 +a(g6 +V +tp3996 +a(g45 +Vlink_to +p3997 +tp3998 +a(g6 +V +tp3999 +a(g259 +V" +tp4000 +a(g259 +VLogout +p4001 +tp4002 +a(g247 +V#{ +p4003 +tp4004 +a(g45 +Vvalid_user +p4005 +tp4006 +a(g357 +V. +tp4007 +a(g45 +Vname +p4008 +tp4009 +a(g247 +V} +tp4010 +a(g259 +V" +tp4011 +a(g216 +V, +tp4012 +a(g6 +V +tp4013 +a(g262 +V:controller +p4014 +tp4015 +a(g6 +V +tp4016 +a(g357 +V= +tp4017 +a(g357 +V> +tp4018 +a(g6 +V +tp4019 +a(g285 +V'/admin/admin' +p4020 +tp4021 +a(g216 +V, +tp4022 +a(g6 +V +tp4023 +a(g262 +V:action +p4024 +tp4025 +a(g6 +V +tp4026 +a(g357 +V= +tp4027 +a(g357 +V> +tp4028 +a(g6 +V +tp4029 +a(g262 +V:logout +p4030 +tp4031 +a(g6 +V +tp4032 +a(g27 +V%> +p4033 +tp4034 +a(g56 +V +tp4035 +a(g56 +V
    • +p4036 +tp4037 +a(g6 +V\u000a +tp4038 +a(g56 +V
    +p4039 +tp4040 +a(g6 +V\u000a +tp4041 +a(g27 +V<% +p4042 +tp4043 +a(g6 +V +tp4044 +a(g138 +Vend +p4045 +tp4046 +a(g6 +V +tp4047 +a(g27 +V-%> +p4048 +tp4049 +a(g6 +V\u000a +tp4050 +a(g27 +V<% +p4051 +tp4052 +a(g6 +V +tp4053 +a(g45 +Vcache +p4054 +tp4055 +a(g6 +V +tp4056 +a(g262 +V:controller +p4057 +tp4058 +a(g6 +V +tp4059 +a(g357 +V= +tp4060 +a(g357 +V> +tp4061 +a(g6 +V +tp4062 +a(g285 +V'menu' +p4063 +tp4064 +a(g216 +V, +tp4065 +a(g6 +V +tp4066 +a(g262 +V:action +p4067 +tp4068 +a(g6 +V +tp4069 +a(g357 +V= +tp4070 +a(g357 +V> +tp4071 +a(g6 +V +tp4072 +a(g285 +V'main_menu' +p4073 +tp4074 +a(g6 +V +tp4075 +a(g138 +Vdo +p4076 +tp4077 +a(g6 +V +tp4078 +a(g27 +V-%> +p4079 +tp4080 +a(g6 +V\u000a +p4081 +tp4082 +a(g27 +V<%= +p4083 +tp4084 +a(g6 +V +tp4085 +a(g45 +Vrender_component +p4086 +tp4087 +a(g6 +V +tp4088 +a(g262 +V:controller +p4089 +tp4090 +a(g6 +V +tp4091 +a(g357 +V= +tp4092 +a(g357 +V> +tp4093 +a(g6 +V +tp4094 +a(g285 +V'menu' +p4095 +tp4096 +a(g216 +V, +tp4097 +a(g6 +V +tp4098 +a(g262 +V:action +p4099 +tp4100 +a(g6 +V +tp4101 +a(g357 +V= +tp4102 +a(g357 +V> +tp4103 +a(g6 +V +tp4104 +a(g285 +V'index' +p4105 +tp4106 +a(g6 +V +tp4107 +a(g27 +V%> +p4108 +tp4109 +a(g6 +V\u000a +tp4110 +a(g27 +V<% +p4111 +tp4112 +a(g6 +V +tp4113 +a(g138 +Vend +p4114 +tp4115 +a(g6 +V +tp4116 +a(g27 +V-%> +p4117 +tp4118 +a(g6 +V\u000a +p4119 +tp4120 +a(g56 +V
    +tp4132 +a(g6 +V\u000a +tp4133 +a(g27 +V<% +p4134 +tp4135 +a(g6 +V +tp4136 +a(g138 +Vunless +p4137 +tp4138 +a(g6 +V +tp4139 +a(g107 +V@flash +p4140 +tp4141 +a(g357 +V. +tp4142 +a(g45 +Vkeys +p4143 +tp4144 +a(g357 +V. +tp4145 +a(g45 +Vempty? +p4146 +tp4147 +a(g6 +V +tp4148 +a(g27 +V-%> +p4149 +tp4150 +a(g6 +V\u000a +tp4151 +a(g56 +V
    +tp4159 +a(g6 +V\u000a +p4160 +tp4161 +a(g27 +V<%- +p4162 +tp4163 +a(g6 +V +tp4164 +a(g138 +Vfor +p4165 +tp4166 +a(g6 +V +tp4167 +a(g45 +Vkind +p4168 +tp4169 +a(g216 +V, +tp4170 +a(g6 +V +tp4171 +a(g45 +Vmsg +p4172 +tp4173 +a(g6 +V +tp4174 +a(g138 +Vin +p4175 +tp4176 +a(g6 +V +tp4177 +a(g107 +V@flash +p4178 +tp4179 +a(g6 +V +tp4180 +a(g27 +V-%> +p4181 +tp4182 +a(g6 +V\u000a +p4183 +tp4184 +a(g56 +V
    +p4199 +tp4200 +a(g240 +V" +tp4201 +a(g56 +V> +tp4202 +a(g27 +V<%= +p4203 +tp4204 +a(g6 +V +tp4205 +a(g45 +Vh +tp4206 +a(g6 +V +tp4207 +a(g45 +Vmsg +p4208 +tp4209 +a(g6 +V +tp4210 +a(g27 +V%> +p4211 +tp4212 +a(g56 +V +tp4213 +a(g56 +V
    +p4214 +tp4215 +a(g6 +V\u000a +p4216 +tp4217 +a(g27 +V<%- +p4218 +tp4219 +a(g6 +V +tp4220 +a(g138 +Vend +p4221 +tp4222 +a(g6 +V +tp4223 +a(g27 +V-%> +p4224 +tp4225 +a(g6 +V\u000a +tp4226 +a(g56 +V
    +p4227 +tp4228 +a(g6 +V\u000a +tp4229 +a(g27 +V<% +p4230 +tp4231 +a(g6 +V +tp4232 +a(g138 +Vend +p4233 +tp4234 +a(g6 +V +tp4235 +a(g27 +V-%> +p4236 +tp4237 +a(g6 +V\u000a +tp4238 +a(g27 +V<%= +p4239 +tp4240 +a(g6 +V +tp4241 +a(g45 +Vcontent_tag +p4242 +tp4243 +a(g6 +V +tp4244 +a(g285 +V'h3' +p4245 +tp4246 +a(g216 +V, +tp4247 +a(g6 +V +tp4248 +a(g45 +Vh +tp4249 +a(g216 +V( +tp4250 +a(g107 +V@title +p4251 +tp4252 +a(g216 +V) +tp4253 +a(g6 +V +tp4254 +a(g138 +Vif +p4255 +tp4256 +a(g6 +V +tp4257 +a(g107 +V@title +p4258 +tp4259 +a(g6 +V +tp4260 +a(g27 +V%> +p4261 +tp4262 +a(g6 +V\u000a +tp4263 +a(g27 +V<%= +p4264 +tp4265 +a(g6 +V +tp4266 +a(g107 +V@content_for_layout +p4267 +tp4268 +a(g6 +V +tp4269 +a(g27 +V%> +p4270 +tp4271 +a(g6 +V\u000a +p4272 +tp4273 +a(g56 +V
    +tp4294 +a(g6 +V\u000a +p4295 +tp4296 +a(g56 +V +tp4308 +a(g6 +V\u000a +p4309 +tp4310 +a(g56 +V
    +tp4318 +a(g6 +V\u000a powered by +p4319 +tp4320 +a(g56 +V +tp4328 +a(g6 +VRuby on Rails +p4329 +tp4330 +a(g56 +V +p4331 +tp4332 +a(g6 +V +tp4333 +a(g27 +V<%= +p4334 +tp4335 +a(g6 +V +tp4336 +a(g60 +VRails +p4337 +tp4338 +a(g357 +V:: +p4339 +tp4340 +a(g60 +VInfo +p4341 +tp4342 +a(g357 +V. +tp4343 +a(g45 +Vproperties +p4344 +tp4345 +a(g357 +V. +tp4346 +a(g45 +Vvalue_for +p4347 +tp4348 +a(g6 +V +tp4349 +a(g285 +V'Rails version' +p4350 +tp4351 +a(g6 +V +tp4352 +a(g27 +V%> +p4353 +tp4354 +a(g6 +V [ +p4355 +tp4356 +a(g27 +V<%= +p4357 +tp4358 +a(g6 +V +tp4359 +a(g45 +Vh +tp4360 +a(g6 +V +tp4361 +a(g60 +VRAILS_ENV +p4362 +tp4363 +a(g357 +V[ +tp4364 +a(g357 +V/ +tp4365 +a(g357 +V^ +tp4366 +a(g357 +V. +tp4367 +a(g45 +V/ +tp4368 +a(g357 +V] +tp4369 +a(g6 +V +tp4370 +a(g27 +V%> +p4371 +tp4372 +a(g6 +V]\u000a +p4373 +tp4374 +a(g27 +V<%= +p4375 +tp4376 +a(g6 +V +tp4377 +a(g45 +Vimage_tag +p4378 +tp4379 +a(g6 +V +tp4380 +a(g285 +V'css.png' +p4381 +tp4382 +a(g216 +V, +tp4383 +a(g6 +V +tp4384 +a(g262 +V:alt +p4385 +tp4386 +a(g6 +V +tp4387 +a(g357 +V= +tp4388 +a(g357 +V> +tp4389 +a(g6 +V +tp4390 +a(g285 +V'valid CSS' +p4391 +tp4392 +a(g216 +V, +tp4393 +a(g6 +V +tp4394 +a(g262 +V:title +p4395 +tp4396 +a(g6 +V +tp4397 +a(g357 +V= +tp4398 +a(g357 +V> +tp4399 +a(g6 +V +tp4400 +a(g285 +V'valid Cascading Style Sheet' +p4401 +tp4402 +a(g216 +V, +tp4403 +a(g6 +V +tp4404 +a(g262 +V:style +p4405 +tp4406 +a(g6 +V +tp4407 +a(g357 +V= +tp4408 +a(g357 +V> +tp4409 +a(g6 +V +tp4410 +a(g285 +V'display: inline; vertical-align: middle' +p4411 +tp4412 +a(g6 +V +tp4413 +a(g27 +V%> +p4414 +tp4415 +a(g6 +V\u000a +p4416 +tp4417 +a(g27 +V<%= +p4418 +tp4419 +a(g6 +V +tp4420 +a(g45 +Vimage_tag +p4421 +tp4422 +a(g6 +V +tp4423 +a(g285 +V'xhtml11.png' +p4424 +tp4425 +a(g216 +V, +tp4426 +a(g6 +V +tp4427 +a(g262 +V:alt +p4428 +tp4429 +a(g6 +V +tp4430 +a(g357 +V= +tp4431 +a(g357 +V> +tp4432 +a(g6 +V +tp4433 +a(g285 +V'valid XHTML 1.1' +p4434 +tp4435 +a(g216 +V, +tp4436 +a(g6 +V +tp4437 +a(g262 +V:title +p4438 +tp4439 +a(g6 +V +tp4440 +a(g357 +V= +tp4441 +a(g357 +V> +tp4442 +a(g6 +V +tp4443 +a(g285 +V'valid eXtensible Hypertext Markup Language 1.1' +p4444 +tp4445 +a(g216 +V, +tp4446 +a(g6 +V +tp4447 +a(g262 +V:style +p4448 +tp4449 +a(g6 +V +tp4450 +a(g357 +V= +tp4451 +a(g357 +V> +tp4452 +a(g6 +V +tp4453 +a(g285 +V'display: inline; vertical-align: middle' +p4454 +tp4455 +a(g6 +V +tp4456 +a(g27 +V%> +p4457 +tp4458 +a(g6 +V\u000a +p4459 +tp4460 +a(g56 +V
    +p4461 +tp4462 +a(g6 +V\u000a +p4463 +tp4464 +a(g56 +V
    +p4472 +tp4473 +a(g6 +V\u000a\u000a +p4474 +tp4475 +a(g56 +V +p4476 +tp4477 +a(g6 +V\u000a\u000a +p4478 +tp4479 +a(g56 +V +p4480 +tp4481 +a(g6 +V\u000a +tp4482 +a(g27 +V<% +p4483 +tp4484 +a(g6 +V +tp4485 +a(g107 +V@title +p4486 +tp4487 +a(g6 +V +tp4488 +a(g357 +V= +tp4489 +a(g6 +V +tp4490 +a(g285 +V'Übersicht' +p4491 +tp4492 +a(g6 +V +tp4493 +a(g27 +V-%> +p4494 +tp4495 +a(g6 +V\u000a\u000a +p4496 +tp4497 +a(g56 +V

    +tp4500 +a(g6 +Vnächste Probe +p4501 +tp4502 +a(g56 +V

    +p4503 +tp4504 +a(g6 +V\u000a +tp4505 +a(g56 +V +tp4523 +a(g6 +V\u000a +p4524 +tp4525 +a(g27 +V<%= +p4526 +tp4527 +a(g6 +V +tp4528 +a(g45 +Vrender +p4529 +tp4530 +a(g6 +V +tp4531 +a(g262 +V:partial +p4532 +tp4533 +a(g6 +V +tp4534 +a(g357 +V= +tp4535 +a(g357 +V> +tp4536 +a(g6 +V +tp4537 +a(g285 +V'proben/head' +p4538 +tp4539 +a(g6 +V +tp4540 +a(g27 +V%> +p4541 +tp4542 +a(g6 +V\u000a +p4543 +tp4544 +a(g27 +V<%= +p4545 +tp4546 +a(g6 +V +tp4547 +a(g45 +Vrender +p4548 +tp4549 +a(g6 +V +tp4550 +a(g262 +V:partial +p4551 +tp4552 +a(g6 +V +tp4553 +a(g357 +V= +tp4554 +a(g357 +V> +tp4555 +a(g6 +V +tp4556 +a(g285 +V'proben/day' +p4557 +tp4558 +a(g216 +V, +tp4559 +a(g6 +V +tp4560 +a(g262 +V:object +p4561 +tp4562 +a(g6 +V +tp4563 +a(g357 +V= +tp4564 +a(g357 +V> +tp4565 +a(g6 +V +tp4566 +a(g107 +V@next_probe +p4567 +tp4568 +a(g6 +V +tp4569 +a(g27 +V%> +p4570 +tp4571 +a(g6 +V\u000a +tp4572 +a(g56 +V
    +p4573 +tp4574 +a(g6 +V\u000a +tp4575 +a(g56 +V

    +tp4578 +a(g27 +V<%= +p4579 +tp4580 +a(g6 +V +tp4581 +a(g45 +Vlink_to +p4582 +tp4583 +a(g6 +V +tp4584 +a(g285 +V'weitere Proben...' +p4585 +tp4586 +a(g216 +V, +tp4587 +a(g6 +V +tp4588 +a(g262 +V:controller +p4589 +tp4590 +a(g6 +V +tp4591 +a(g357 +V= +tp4592 +a(g357 +V> +tp4593 +a(g6 +V +tp4594 +a(g285 +V'proben' +p4595 +tp4596 +a(g6 +V +tp4597 +a(g27 +V%> +p4598 +tp4599 +a(g56 +V +tp4600 +a(g56 +V

    +p4601 +tp4602 +a(g6 +V\u000a\u000a +p4603 +tp4604 +a(g56 +V

    +tp4607 +a(g6 +Vnächster Auftritt +p4608 +tp4609 +a(g56 +V

    +p4610 +tp4611 +a(g6 +V\u000a +tp4612 +a(g56 +V +tp4630 +a(g6 +V\u000a +p4631 +tp4632 +a(g27 +V<%= +p4633 +tp4634 +a(g6 +V +tp4635 +a(g45 +Vrender +p4636 +tp4637 +a(g6 +V +tp4638 +a(g262 +V:partial +p4639 +tp4640 +a(g6 +V +tp4641 +a(g357 +V= +tp4642 +a(g357 +V> +tp4643 +a(g6 +V +tp4644 +a(g285 +V'auftritte/head' +p4645 +tp4646 +a(g6 +V +tp4647 +a(g27 +V%> +p4648 +tp4649 +a(g6 +V\u000a +p4650 +tp4651 +a(g27 +V<%= +p4652 +tp4653 +a(g6 +V +tp4654 +a(g45 +Vrender +p4655 +tp4656 +a(g6 +V +tp4657 +a(g262 +V:partial +p4658 +tp4659 +a(g6 +V +tp4660 +a(g357 +V= +tp4661 +a(g357 +V> +tp4662 +a(g6 +V +tp4663 +a(g285 +V'auftritte/day' +p4664 +tp4665 +a(g216 +V, +tp4666 +a(g6 +V +tp4667 +a(g262 +V:object +p4668 +tp4669 +a(g6 +V +tp4670 +a(g357 +V= +tp4671 +a(g357 +V> +tp4672 +a(g6 +V +tp4673 +a(g107 +V@next_auftritt +p4674 +tp4675 +a(g6 +V +tp4676 +a(g27 +V%> +p4677 +tp4678 +a(g6 +V\u000a +tp4679 +a(g56 +V
    +p4680 +tp4681 +a(g6 +V\u000a +tp4682 +a(g56 +V

    +tp4685 +a(g27 +V<%= +p4686 +tp4687 +a(g6 +V +tp4688 +a(g45 +Vlink_to +p4689 +tp4690 +a(g6 +V +tp4691 +a(g285 +V'mehr Auftritte...' +p4692 +tp4693 +a(g216 +V, +tp4694 +a(g6 +V +tp4695 +a(g262 +V:controller +p4696 +tp4697 +a(g6 +V +tp4698 +a(g357 +V= +tp4699 +a(g357 +V> +tp4700 +a(g6 +V +tp4701 +a(g285 +V'auftritte' +p4702 +tp4703 +a(g6 +V +tp4704 +a(g27 +V%> +p4705 +tp4706 +a(g56 +V +tp4707 +a(g56 +V

    +p4708 +tp4709 +a(g6 +V\u000a +tp4710 +a(g56 +V
      +tp4713 +a(g6 +V\u000a +p4714 +tp4715 +a(g27 +V<%= +p4716 +tp4717 +a(g6 +V +tp4718 +a(g45 +Vcategory +p4719 +tp4720 +a(g6 +V +tp4721 +a(g285 +V'Übersicht' +p4722 +tp4723 +a(g216 +V, +tp4724 +a(g6 +V +tp4725 +a(g45 +Vhome_url +p4726 +tp4727 +a(g6 +V +tp4728 +a(g27 +V%> +p4729 +tp4730 +a(g6 +V\u000a +p4731 +tp4732 +a(g27 +V<%= +p4733 +tp4734 +a(g6 +V +tp4735 +a(g45 +Vsubcat +p4736 +tp4737 +a(g6 +V +tp4738 +a(g285 +V'Wer sind wir?' +p4739 +tp4740 +a(g216 +V, +tp4741 +a(g6 +V +tp4742 +a(g262 +V:wer +p4743 +tp4744 +a(g6 +V +tp4745 +a(g27 +V%> +p4746 +tp4747 +a(g6 +V\u000a +p4748 +tp4749 +a(g27 +V<%= +p4750 +tp4751 +a(g6 +V +tp4752 +a(g45 +Vsubcat +p4753 +tp4754 +a(g6 +V +tp4755 +a(g285 +V'Dirigent' +p4756 +tp4757 +a(g6 +V +tp4758 +a(g27 +V%> +p4759 +tp4760 +a(g6 +V\u000a +p4761 +tp4762 +a(g27 +V<%= +p4763 +tp4764 +a(g6 +V +tp4765 +a(g45 +Vsubcat +p4766 +tp4767 +a(g6 +V +tp4768 +a(g285 +V'Besetzung' +p4769 +tp4770 +a(g216 +V, +tp4771 +a(g6 +V +tp4772 +a(g45 +Vurl_for +p4773 +tp4774 +a(g216 +V( +tp4775 +a(g262 +V:controller +p4776 +tp4777 +a(g6 +V +tp4778 +a(g357 +V= +tp4779 +a(g357 +V> +tp4780 +a(g6 +V +tp4781 +a(g285 +V'/besetzung' +p4782 +tp4783 +a(g216 +V) +tp4784 +a(g6 +V +tp4785 +a(g27 +V%> +p4786 +tp4787 +a(g6 +V\u000a +p4788 +tp4789 +a(g27 +V<%= +p4790 +tp4791 +a(g6 +V +tp4792 +a(g45 +Vsubcat +p4793 +tp4794 +a(g6 +V +tp4795 +a(g285 +V'Repertoire' +p4796 +tp4797 +a(g6 +V +tp4798 +a(g27 +V%> +p4799 +tp4800 +a(g6 +V\u000a\u000a +p4801 +tp4802 +a(g27 +V<%= +p4803 +tp4804 +a(g6 +V +tp4805 +a(g45 +Vcategory +p4806 +tp4807 +a(g6 +V +tp4808 +a(g285 +V'Termine' +p4809 +tp4810 +a(g6 +V +tp4811 +a(g27 +V%> +p4812 +tp4813 +a(g6 +V\u000a +p4814 +tp4815 +a(g27 +V<%= +p4816 +tp4817 +a(g6 +V +tp4818 +a(g45 +Vsubcat +p4819 +tp4820 +a(g6 +V +tp4821 +a(g285 +V'Auftritte' +p4822 +tp4823 +a(g216 +V, +tp4824 +a(g6 +V +tp4825 +a(g45 +Vurl_for +p4826 +tp4827 +a(g216 +V( +tp4828 +a(g262 +V:controller +p4829 +tp4830 +a(g6 +V +tp4831 +a(g357 +V= +tp4832 +a(g357 +V> +tp4833 +a(g6 +V +tp4834 +a(g285 +V'/auftritte' +p4835 +tp4836 +a(g216 +V, +tp4837 +a(g6 +V +tp4838 +a(g262 +V:action +p4839 +tp4840 +a(g6 +V +tp4841 +a(g357 +V= +tp4842 +a(g357 +V> +tp4843 +a(g6 +V +tp4844 +a(g262 +V:plan +p4845 +tp4846 +a(g216 +V) +tp4847 +a(g6 +V +tp4848 +a(g27 +V%> +p4849 +tp4850 +a(g6 +V\u000a +p4851 +tp4852 +a(g27 +V<%= +p4853 +tp4854 +a(g6 +V +tp4855 +a(g45 +Vsubcat +p4856 +tp4857 +a(g6 +V +tp4858 +a(g285 +V'Schulferien' +p4859 +tp4860 +a(g6 +V +tp4861 +a(g27 +V%> +p4862 +tp4863 +a(g6 +V\u000a\u000a +p4864 +tp4865 +a(g27 +V<%= +p4866 +tp4867 +a(g6 +V +tp4868 +a(g45 +Vcategory +p4869 +tp4870 +a(g6 +V +tp4871 +a(g285 +V'Probenplan' +p4872 +tp4873 +a(g216 +V, +tp4874 +a(g6 +V +tp4875 +a(g45 +Vurl_for +p4876 +tp4877 +a(g216 +V( +tp4878 +a(g262 +V:controller +p4879 +tp4880 +a(g6 +V +tp4881 +a(g357 +V= +tp4882 +a(g357 +V> +tp4883 +a(g6 +V +tp4884 +a(g285 +V'/proben' +p4885 +tp4886 +a(g216 +V, +tp4887 +a(g6 +V +tp4888 +a(g262 +V:action +p4889 +tp4890 +a(g6 +V +tp4891 +a(g357 +V= +tp4892 +a(g357 +V> +tp4893 +a(g6 +V +tp4894 +a(g262 +V:plan +p4895 +tp4896 +a(g216 +V) +tp4897 +a(g6 +V +tp4898 +a(g27 +V%> +p4899 +tp4900 +a(g6 +V\u000a\u000a +p4901 +tp4902 +a(g27 +V<%= +p4903 +tp4904 +a(g6 +V +tp4905 +a(g45 +Vcategory +p4906 +tp4907 +a(g6 +V +tp4908 +a(g285 +V'Organisation' +p4909 +tp4910 +a(g6 +V +tp4911 +a(g27 +V%> +p4912 +tp4913 +a(g6 +V\u000a +p4914 +tp4915 +a(g27 +V<%= +p4916 +tp4917 +a(g6 +V +tp4918 +a(g45 +Vsubcat +p4919 +tp4920 +a(g6 +V +tp4921 +a(g285 +V'Orchesterrat' +p4922 +tp4923 +a(g6 +V +tp4924 +a(g27 +V%> +p4925 +tp4926 +a(g6 +V\u000a +p4927 +tp4928 +a(g27 +V<%= +p4929 +tp4930 +a(g6 +V +tp4931 +a(g45 +Vsubcat +p4932 +tp4933 +a(g6 +V +tp4934 +a(g285 +V'Arbeitsgruppen' +p4935 +tp4936 +a(g6 +V +tp4937 +a(g27 +V%> +p4938 +tp4939 +a(g6 +V\u000a\u000a +p4940 +tp4941 +a(g27 +V<%= +p4942 +tp4943 +a(g6 +V +tp4944 +a(g45 +Vcategory +p4945 +tp4946 +a(g6 +V +tp4947 +a(g285 +V'Chronik' +p4948 +tp4949 +a(g6 +V +tp4950 +a(g27 +V%> +p4951 +tp4952 +a(g6 +V\u000a +p4953 +tp4954 +a(g27 +V<%= +p4955 +tp4956 +a(g6 +V +tp4957 +a(g45 +Vsubcat +p4958 +tp4959 +a(g6 +V +tp4960 +a(g285 +V'Konzerte' +p4961 +tp4962 +a(g6 +V +tp4963 +a(g27 +V%> +p4964 +tp4965 +a(g6 +V\u000a +p4966 +tp4967 +a(g27 +V<%= +p4968 +tp4969 +a(g6 +V +tp4970 +a(g45 +Vsubcat +p4971 +tp4972 +a(g6 +V +tp4973 +a(g285 +V'Audio' +p4974 +tp4975 +a(g6 +V +tp4976 +a(g27 +V%> +p4977 +tp4978 +a(g6 +V\u000a +p4979 +tp4980 +a(g27 +V<%= +p4981 +tp4982 +a(g6 +V +tp4983 +a(g45 +Vsubcat +p4984 +tp4985 +a(g6 +V +tp4986 +a(g285 +V'Presse' +p4987 +tp4988 +a(g6 +V +tp4989 +a(g27 +V%> +p4990 +tp4991 +a(g6 +V\u000a\u000a +p4992 +tp4993 +a(g27 +V<%= +p4994 +tp4995 +a(g6 +V +tp4996 +a(g45 +Vcategory +p4997 +tp4998 +a(g6 +V +tp4999 +a(g285 +V'Links' +p5000 +tp5001 +a(g216 +V, +tp5002 +a(g6 +V +tp5003 +a(g285 +V'#' +p5004 +tp5005 +a(g6 +V +tp5006 +a(g27 +V%> +p5007 +tp5008 +a(g6 +V\u000a +p5009 +tp5010 +a(g27 +V<%= +p5011 +tp5012 +a(g6 +V +tp5013 +a(g45 +Vsubcat +p5014 +tp5015 +a(g6 +V +tp5016 +a(g285 +V'Bilderseite' +p5017 +tp5018 +a(g216 +V, +tp5019 +a(g6 +V +tp5020 +a(g285 +V'http://musikschule.iden04.de' +p5021 +tp5022 +a(g6 +V +tp5023 +a(g27 +V%> +p5024 +tp5025 +a(g6 +V\u000a +p5026 +tp5027 +a(g27 +V<%= +p5028 +tp5029 +a(g6 +V +tp5030 +a(g45 +Vsubcat +p5031 +tp5032 +a(g6 +V +tp5033 +a(g285 +V'Musikschule' +p5034 +tp5035 +a(g216 +V, +tp5036 +a(g6 +V +tp5037 +a(g285 +V'http://www.musikschule-marzahn-hellersdorf.de' +p5038 +tp5039 +a(g6 +V +tp5040 +a(g27 +V%> +p5041 +tp5042 +a(g6 +V\u000a\u000a +p5043 +tp5044 +a(g56 +V
    • +tp5047 +a(g56 +V
      +p5051 +tp5052 +a(g56 +V
    • +p5053 +tp5054 +a(g6 +V\u000a\u000a +p5055 +tp5056 +a(g27 +V<%= +p5057 +tp5058 +a(g6 +V +tp5059 +a(g45 +Vcategory +p5060 +tp5061 +a(g6 +V +tp5062 +a(g285 +V'Kontakt' +p5063 +tp5064 +a(g6 +V +tp5065 +a(g27 +V%> +p5066 +tp5067 +a(g6 +V\u000a +tp5068 +a(g56 +V
    +p5069 +tp5070 +a(g6 +V\u000a +tp5071 +a(g27 +V<% +p5072 +tp5073 +a(g6 +V +tp5074 +a(g107 +V@title +p5075 +tp5076 +a(g6 +V +tp5077 +a(g357 +V= +tp5078 +a(g6 +V +tp5079 +a(g285 +V'Probenplan' +p5080 +tp5081 +a(g6 +V +tp5082 +a(g27 +V%> +p5083 +tp5084 +a(g6 +V\u000a\u000a +p5085 +tp5086 +a(g56 +V +tp5099 +a(g6 +V\u000a +p5100 +tp5101 +a(g27 +V<%= +p5102 +tp5103 +a(g6 +V +tp5104 +a(g45 +Vrender +p5105 +tp5106 +a(g6 +V +tp5107 +a(g262 +V:partial +p5108 +tp5109 +a(g6 +V +tp5110 +a(g357 +V= +tp5111 +a(g357 +V> +tp5112 +a(g6 +V +tp5113 +a(g285 +V'head' +p5114 +tp5115 +a(g6 +V +tp5116 +a(g27 +V%> +p5117 +tp5118 +a(g6 +V\u000a +p5119 +tp5120 +a(g27 +V<%= +p5121 +tp5122 +a(g6 +V +tp5123 +a(g45 +Vrender +p5124 +tp5125 +a(g6 +V +tp5126 +a(g262 +V:partial +p5127 +tp5128 +a(g6 +V +tp5129 +a(g357 +V= +tp5130 +a(g357 +V> +tp5131 +a(g6 +V +tp5132 +a(g285 +V'day' +p5133 +tp5134 +a(g216 +V, +tp5135 +a(g6 +V +tp5136 +a(g262 +V:collection +p5137 +tp5138 +a(g6 +V +tp5139 +a(g357 +V= +tp5140 +a(g357 +V> +tp5141 +a(g6 +V +tp5142 +a(g107 +V@days +p5143 +tp5144 +a(g6 +V +tp5145 +a(g27 +V%> +p5146 +tp5147 +a(g6 +V\u000a +tp5148 +a(g56 +V
    +p5149 +tp5150 +a(g6 +V\u000a\u000a +p5151 +tp5152 +a(g56 +V

    +tp5160 +a(g6 +V\u000aOrt (wenn nicht anders angegeben): Schule am Pappelhof\u000a +p5161 +tp5162 +a(g56 +V

    +p5163 +tp5164 +a(g6 +V \u000a\u000a +p5165 +tp5166 +a(g27 +V<%= +p5167 +tp5168 +a(g6 +V +tp5169 +a(g45 +Vrender_partial +p5170 +tp5171 +a(g6 +V +tp5172 +a(g285 +V'raum' +p5173 +tp5174 +a(g6 +V +tp5175 +a(g27 +V%> +p5176 +tp5177 +a(g6 +V\u000a +tp5178 +a(g27 +V<% +p5179 +tp5180 +a(g6 +V +tp5181 +a(g45 +Vday +p5182 +tp5183 +a(g216 +V, +tp5184 +a(g6 +V +tp5185 +a(g45 +Vproben +p5186 +tp5187 +a(g6 +V +tp5188 +a(g357 +V= +tp5189 +a(g6 +V +tp5190 +a(g357 +V* +tp5191 +a(g45 +Vday +p5192 +tp5193 +a(g6 +V +tp5194 +a(g27 +V-%> +p5195 +tp5196 +a(g6 +V\u000a +tp5197 +a(g27 +V<% +p5198 +tp5199 +a(g6 +V\u000a +p5200 +tp5201 +a(g138 +Vfor +p5202 +tp5203 +a(g6 +V +tp5204 +a(g45 +Vprobe +p5205 +tp5206 +a(g6 +V +tp5207 +a(g138 +Vin +p5208 +tp5209 +a(g6 +V +tp5210 +a(g45 +Vproben +p5211 +tp5212 +a(g6 +V \u000a +p5213 +tp5214 +a(g27 +V-%> +p5215 +tp5216 +a(g6 +V\u000a\u000a +p5217 +tp5218 +a(g56 +V +tp5221 +a(g6 +V\u000a +p5222 +tp5223 +a(g56 +V +tp5231 +a(g6 +V\u000a +p5232 +tp5233 +a(g27 +V<%= +p5234 +tp5235 +a(g6 +V +tp5236 +a(g45 +Vcolorize +p5237 +tp5238 +a(g6 +V +tp5239 +a(g45 +Vday +p5240 +tp5241 +a(g357 +V. +tp5242 +a(g45 +Vto_s +p5243 +tp5244 +a(g216 +V( +tp5245 +a(g262 +V:dots +p5246 +tp5247 +a(g216 +V) +tp5248 +a(g6 +V +tp5249 +a(g138 +Vif +p5250 +tp5251 +a(g6 +V +tp5252 +a(g45 +Vday +p5253 +tp5254 +a(g6 +V +tp5255 +a(g27 +V%> +p5256 +tp5257 +a(g6 +V\u000a +p5258 +tp5259 +a(g27 +V<% +p5260 +tp5261 +a(g6 +V +tp5262 +a(g138 +Vif +p5263 +tp5264 +a(g6 +V +tp5265 +a(g45 +Vday +p5266 +tp5267 +a(g6 +V +tp5268 +a(g361 +Vand +p5269 +tp5270 +a(g6 +V +tp5271 +a(g45 +Vday +p5272 +tp5273 +a(g357 +V. +tp5274 +a(g45 +Vwday +p5275 +tp5276 +a(g6 +V +tp5277 +a(g357 +V== +p5278 +tp5279 +a(g6 +V +tp5280 +a(g332 +V6 +tp5281 +a(g6 +V +tp5282 +a(g27 +V%> +p5283 +tp5284 +a(g6 +V +tp5285 +a(g56 +V
    +p5289 +tp5290 +a(g56 +V +tp5293 +a(g6 +VSamstag +p5294 +tp5295 +a(g56 +V +p5296 +tp5297 +a(g27 +V<% +p5298 +tp5299 +a(g6 +V +tp5300 +a(g138 +Vend +p5301 +tp5302 +a(g6 +V +tp5303 +a(g27 +V%> +p5304 +tp5305 +a(g56 +V +tp5306 +a(g6 +V\u000a +p5307 +tp5308 +a(g56 +V +p5309 +tp5310 +a(g6 +V\u000a +p5311 +tp5312 +a(g56 +V +tp5320 +a(g6 +V\u000a +p5321 +tp5322 +a(g27 +V<%= +p5323 +tp5324 +a(g6 +V +tp5325 +a(g45 +Vcolorize +p5326 +tp5327 +a(g6 +V +tp5328 +a(g45 +Vprobe +p5329 +tp5330 +a(g357 +V. +tp5331 +a(g45 +Vtime +p5332 +tp5333 +a(g6 +V +tp5334 +a(g27 +V%> +p5335 +tp5336 +a(g6 +V\u000a +p5337 +tp5338 +a(g56 +V +p5339 +tp5340 +a(g6 +V\u000a +p5341 +tp5342 +a(g56 +V +tp5350 +a(g6 +V\u000a +p5351 +tp5352 +a(g27 +V<%= +p5353 +tp5354 +a(g6 +V +tp5355 +a(g45 +Vcolorize +p5356 +tp5357 +a(g216 +V( +tp5358 +a(g45 +Vprobe +p5359 +tp5360 +a(g357 +V. +tp5361 +a(g45 +Vplace +p5362 +tp5363 +a(g216 +V, +tp5364 +a(g6 +V +tp5365 +a(g285 +V'Ort: ' +p5366 +tp5367 +a(g216 +V) +tp5368 +a(g6 +V +tp5369 +a(g357 +V+ +tp5370 +a(g6 +V +tp5371 +a(g285 +V'
    ' +p5372 +tp5373 +a(g6 +V +tp5374 +a(g138 +Vunless +p5375 +tp5376 +a(g6 +V +tp5377 +a(g45 +Vprobe +p5378 +tp5379 +a(g357 +V. +tp5380 +a(g45 +Vplace +p5381 +tp5382 +a(g357 +V. +tp5383 +a(g45 +Vblank? +p5384 +tp5385 +a(g6 +V +tp5386 +a(g27 +V%> +p5387 +tp5388 +a(g6 +V\u000a +p5389 +tp5390 +a(g27 +V<%= +p5391 +tp5392 +a(g6 +V +tp5393 +a(g45 +Vcolorize +p5394 +tp5395 +a(g6 +V +tp5396 +a(g45 +Vprobe +p5397 +tp5398 +a(g357 +V. +tp5399 +a(g45 +Vprogram +p5400 +tp5401 +a(g6 +V +tp5402 +a(g27 +V%> +p5403 +tp5404 +a(g6 +V\u000a +p5405 +tp5406 +a(g27 +V<%= +p5407 +tp5408 +a(g6 +V +tp5409 +a(g45 +Vlink_to +p5410 +tp5411 +a(g6 +V +tp5412 +a(g285 +V'E' +p5413 +tp5414 +a(g216 +V, +tp5415 +a(g6 +V +tp5416 +a(g262 +V:controller +p5417 +tp5418 +a(g6 +V +tp5419 +a(g357 +V= +tp5420 +a(g357 +V> +tp5421 +a(g6 +V +tp5422 +a(g285 +V'admin/proben' +p5423 +tp5424 +a(g216 +V, +tp5425 +a(g6 +V +tp5426 +a(g262 +V:action +p5427 +tp5428 +a(g6 +V +tp5429 +a(g357 +V= +tp5430 +a(g357 +V> +tp5431 +a(g6 +V +tp5432 +a(g262 +V:edit +p5433 +tp5434 +a(g216 +V, +tp5435 +a(g6 +V +tp5436 +a(g262 +V:id +p5437 +tp5438 +a(g6 +V +tp5439 +a(g357 +V= +tp5440 +a(g357 +V> +tp5441 +a(g6 +V +tp5442 +a(g45 +Vprobe +p5443 +tp5444 +a(g6 +V +tp5445 +a(g27 +V%> +p5446 +tp5447 +a(g6 +V\u000a +p5448 +tp5449 +a(g56 +V +p5450 +tp5451 +a(g6 +V\u000a +p5452 +tp5453 +a(g56 +V +tp5461 +a(g6 +V\u000a +p5462 +tp5463 +a(g27 +V<%= +p5464 +tp5465 +a(g6 +V +tp5466 +a(g45 +Vh +tp5467 +a(g6 +V +tp5468 +a(g45 +Vprobe +p5469 +tp5470 +a(g357 +V. +tp5471 +a(g45 +Vinstrumentation +p5472 +tp5473 +a(g6 +V +tp5474 +a(g27 +V%> +p5475 +tp5476 +a(g6 +V\u000a +p5477 +tp5478 +a(g56 +V +p5479 +tp5480 +a(g6 +V\u000a +tp5481 +a(g56 +V +p5482 +tp5483 +a(g6 +V\u000a\u000a +p5484 +tp5485 +a(g27 +V<% +p5486 +tp5487 +a(g6 +V\u000a +p5488 +tp5489 +a(g45 +Vday +p5490 +tp5491 +a(g6 +V +tp5492 +a(g357 +V= +tp5493 +a(g6 +V +tp5494 +a(g150 +Vnil +p5495 +tp5496 +a(g6 +V\u000a +p5497 +tp5498 +a(g138 +Vend +p5499 +tp5500 +a(g6 +V \u000a +p5501 +tp5502 +a(g27 +V-%> +p5503 +tp5504 +a(g6 +V\u000a +tp5505 +a(g56 +V +tp5508 +a(g6 +V\u000a +p5509 +tp5510 +a(g56 +V +tp5523 +a(g6 +VDatum +p5524 +tp5525 +a(g56 +V +p5526 +tp5527 +a(g6 +V\u000a +p5528 +tp5529 +a(g56 +V +tp5542 +a(g6 +VZeit +p5543 +tp5544 +a(g56 +V +p5545 +tp5546 +a(g6 +V\u000a +p5547 +tp5548 +a(g56 +V +tp5561 +a(g6 +VStücke +p5562 +tp5563 +a(g56 +V +p5564 +tp5565 +a(g6 +V\u000a +p5566 +tp5567 +a(g56 +V +tp5580 +a(g6 +VBesetzung +p5581 +tp5582 +a(g56 +V +p5583 +tp5584 +a(g6 +V\u000a +tp5585 +a(g56 +V +p5586 +tp5587 +a(g6 +V\u000a +tp5588 +a(g56 +V

    +tp5591 +a(g6 +VProbenräume +p5592 +tp5593 +a(g56 +V

    +p5594 +tp5595 +a(g6 +V\u000a +tp5596 +a(g56 +V +tp5609 +a(g6 +V\u000a +p5610 +tp5611 +a(g56 +V +tp5614 +a(g6 +V\u000a +p5615 +tp5616 +a(g56 +V +p5632 +tp5633 +a(g6 +V\u000a +p5634 +tp5635 +a(g56 +V +p5651 +tp5652 +a(g6 +V\u000a +p5653 +tp5654 +a(g56 +V +p5670 +tp5671 +a(g6 +V\u000a\u000a +p5672 +tp5673 +a(g56 +V +p5674 +tp5675 +a(g6 +V\u000a +p5676 +tp5677 +a(g56 +V +tp5680 +a(g6 +V\u000a +p5681 +tp5682 +a(g56 +V +p5693 +tp5694 +a(g6 +V\u000a +p5695 +tp5696 +a(g56 +V +p5714 +tp5715 +a(g6 +V\u000a +p5716 +tp5717 +a(g56 +V +p5728 +tp5729 +a(g6 +V\u000a +p5730 +tp5731 +a(g56 +V +p5732 +tp5733 +a(g6 +V\u000a +p5734 +tp5735 +a(g56 +V +tp5738 +a(g6 +V\u000a\u000a +p5739 +tp5740 +a(g56 +V +p5751 +tp5752 +a(g6 +V\u000a +p5753 +tp5754 +a(g56 +V +p5772 +tp5773 +a(g6 +V\u000a +p5774 +tp5775 +a(g56 +V +p5786 +tp5787 +a(g6 +V\u000a +p5788 +tp5789 +a(g56 +V +p5790 +tp5791 +a(g6 +V\u000a +p5792 +tp5793 +a(g56 +V +tp5796 +a(g6 +V\u000a +p5797 +tp5798 +a(g56 +V +p5809 +tp5810 +a(g6 +V\u000a\u000a +p5811 +tp5812 +a(g56 +V +p5830 +tp5831 +a(g6 +V\u000a +p5832 +tp5833 +a(g56 +V +p5844 +tp5845 +a(g6 +V\u000a +p5846 +tp5847 +a(g56 +V +p5848 +tp5849 +a(g6 +V\u000a +p5850 +tp5851 +a(g56 +V +tp5854 +a(g6 +V\u000a +p5855 +tp5856 +a(g56 +V +p5867 +tp5868 +a(g6 +V\u000a +p5869 +tp5870 +a(g56 +V +p5888 +tp5889 +a(g6 +V\u000a\u000a +p5890 +tp5891 +a(g56 +V +p5902 +tp5903 +a(g6 +V\u000a +p5904 +tp5905 +a(g56 +V +p5906 +tp5907 +a(g6 +V\u000a +tp5908 +a(g56 +V
    +tp5629 +a(g6 +VWer +p5630 +tp5631 +a(g56 +V +tp5648 +a(g6 +VRaum +p5649 +tp5650 +a(g56 +V +tp5667 +a(g6 +VAdresse +p5668 +tp5669 +a(g56 +V
    +tp5690 +a(g6 +VStreicher +p5691 +tp5692 +a(g56 +V +tp5704 +a(g6 +VSchule am Pappelhof +p5705 +tp5706 +a(g56 +V
    +p5710 +tp5711 +a(g6 +V(Raum Nr.) +p5712 +tp5713 +a(g56 +V
    +tp5725 +a(g6 +V(Anschrifft Pappelhofschule) +p5726 +tp5727 +a(g56 +V
    +tp5748 +a(g6 +VBlechbläser +p5749 +tp5750 +a(g56 +V +tp5762 +a(g6 +VMusikschule Marzahn +p5763 +tp5764 +a(g56 +V
    +p5768 +tp5769 +a(g6 +V(Raum Nr.) +p5770 +tp5771 +a(g56 +V
    +tp5783 +a(g6 +V(Anschrifft Musikscule Marzahn) +p5784 +tp5785 +a(g56 +V
    +tp5806 +a(g6 +VHolzbläser +p5807 +tp5808 +a(g56 +V +tp5820 +a(g6 +VSchule am Pappelhof +p5821 +tp5822 +a(g56 +V
    +p5826 +tp5827 +a(g6 +V(Raum Nr.) +p5828 +tp5829 +a(g56 +V
    +tp5841 +a(g6 +V(Anschrifft Pappelhofschule) +p5842 +tp5843 +a(g56 +V
    +tp5864 +a(g6 +V... +p5865 +tp5866 +a(g56 +V +tp5878 +a(g6 +V(Ort) +p5879 +tp5880 +a(g56 +V
    +p5884 +tp5885 +a(g6 +V(Raum Nr.) +p5886 +tp5887 +a(g56 +V
    +tp5899 +a(g6 +V(Anschrifft) +p5900 +tp5901 +a(g56 +V
    +p5909 +tp5910 +a(g6 +V\u000a +tp5911 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.sh-session b/tests/examplefiles/output/example.sh-session new file mode 100644 index 0000000..9971be7 --- /dev/null +++ b/tests/examplefiles/output/example.sh-session @@ -0,0 +1,1536 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +g59 +g2 +(g3 +g4 +(g137 +g59 +ttRp140 +(dp141 +g8 +g9 +((ltRp142 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp143 +(dp144 +g8 +g9 +((ltRp145 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g138 +sbsS'Reserved' +p149 +g2 +(g3 +g4 +(g137 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Declaration' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp157 +(dp158 +g8 +g9 +((ltRp159 +sg15 +g138 +sbsg8 +g9 +((lp160 +g140 +ag150 +ag2 +(g3 +g4 +(g137 +S'Type' +p161 +ttRp162 +(dp163 +g8 +g9 +((ltRp164 +sg15 +g138 +sbag154 +ag157 +ag143 +ag146 +atRp165 +sg161 +g162 +sbsS'Generic' +p166 +g2 +(g3 +g4 +(g166 +ttRp167 +(dp168 +S'Prompt' +p169 +g2 +(g3 +g4 +(g166 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g167 +sbsg15 +g21 +sS'Deleted' +p173 +g2 +(g3 +g4 +(g166 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g167 +sbsS'Traceback' +p177 +g2 +(g3 +g4 +(g166 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g167 +sbsS'Emph' +p181 +g2 +(g3 +g4 +(g166 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g167 +sbsS'Output' +p185 +g2 +(g3 +g4 +(g166 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g167 +sbsS'Subheading' +p189 +g2 +(g3 +g4 +(g166 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g167 +sbsS'Error' +p193 +g2 +(g3 +g4 +(g166 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g167 +sbsg8 +g9 +((lp197 +g186 +ag182 +ag194 +ag190 +ag178 +ag174 +ag2 +(g3 +g4 +(g166 +S'Heading' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Inserted' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Strong' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g167 +sbag170 +atRp210 +sg206 +g207 +sg202 +g203 +sg198 +g199 +sbsg5 +g6 +sS'Punctuation' +p211 +g2 +(g3 +g4 +(g211 +ttRp212 +(dp213 +g8 +g9 +((lp214 +g2 +(g3 +g4 +(g211 +S'Indicator' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g212 +sbatRp219 +sg215 +g216 +sg15 +g21 +sbsS'Token' +p220 +g21 +sS'Number' +p221 +g2 +(g3 +g4 +(S'Literal' +p222 +g221 +ttRp223 +(dp224 +S'Bin' +p225 +g2 +(g3 +g4 +(g222 +g221 +g225 +ttRp226 +(dp227 +g8 +g9 +((ltRp228 +sg15 +g223 +sbsS'Binary' +p229 +g2 +(g3 +g4 +(g222 +g221 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g223 +sbsg15 +g2 +(g3 +g4 +(g222 +ttRp233 +(dp234 +S'String' +p235 +g2 +(g3 +g4 +(g222 +g235 +ttRp236 +(dp237 +S'Regex' +p238 +g2 +(g3 +g4 +(g222 +g235 +g238 +ttRp239 +(dp240 +g8 +g9 +((ltRp241 +sg15 +g236 +sbsS'Interpol' +p242 +g2 +(g3 +g4 +(g222 +g235 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g236 +sbsS'Regexp' +p246 +g2 +(g3 +g4 +(g222 +g235 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g236 +sbsg15 +g233 +sS'Heredoc' +p250 +g2 +(g3 +g4 +(g222 +g235 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g236 +sbsS'Double' +p254 +g2 +(g3 +g4 +(g222 +g235 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g236 +sbsg11 +g2 +(g3 +g4 +(g222 +g235 +g11 +ttRp258 +(dp259 +g8 +g9 +((ltRp260 +sg15 +g236 +sbsS'Escape' +p261 +g2 +(g3 +g4 +(g222 +g235 +g261 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g236 +sbsS'Character' +p265 +g2 +(g3 +g4 +(g222 +g235 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g236 +sbsS'Interp' +p269 +g2 +(g3 +g4 +(g222 +g235 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g236 +sbsS'Backtick' +p273 +g2 +(g3 +g4 +(g222 +g235 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g236 +sbsS'Char' +p277 +g2 +(g3 +g4 +(g222 +g235 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g236 +sbsg30 +g2 +(g3 +g4 +(g222 +g235 +g30 +ttRp281 +(dp282 +g8 +g9 +((ltRp283 +sg15 +g236 +sbsg91 +g2 +(g3 +g4 +(g222 +g235 +g91 +ttRp284 +(dp285 +g8 +g9 +((ltRp286 +sg15 +g236 +sbsS'Doc' +p287 +g2 +(g3 +g4 +(g222 +g235 +g287 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g236 +sbsg8 +g9 +((lp291 +g284 +ag2 +(g3 +g4 +(g222 +g235 +S'Atom' +p292 +ttRp293 +(dp294 +g8 +g9 +((ltRp295 +sg15 +g236 +sbag255 +ag278 +ag270 +ag288 +ag251 +ag274 +ag243 +ag258 +ag247 +ag239 +ag281 +ag266 +ag262 +atRp296 +sg292 +g293 +sbsg15 +g21 +sg221 +g223 +sS'Scalar' +p297 +g2 +(g3 +g4 +(g222 +g297 +ttRp298 +(dp299 +g8 +g9 +((lp300 +g2 +(g3 +g4 +(g222 +g297 +S'Plain' +p301 +ttRp302 +(dp303 +g8 +g9 +((ltRp304 +sg15 +g298 +sbatRp305 +sg15 +g233 +sg301 +g302 +sbsg91 +g2 +(g3 +g4 +(g222 +g91 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g233 +sbsS'Date' +p309 +g2 +(g3 +g4 +(g222 +g309 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g233 +sbsg8 +g9 +((lp313 +g310 +ag236 +ag306 +ag223 +ag298 +atRp314 +sbsS'Decimal' +p315 +g2 +(g3 +g4 +(g222 +g221 +g315 +ttRp316 +(dp317 +g8 +g9 +((ltRp318 +sg15 +g223 +sbsS'Float' +p319 +g2 +(g3 +g4 +(g222 +g221 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g223 +sbsS'Hex' +p323 +g2 +(g3 +g4 +(g222 +g221 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g223 +sbsS'Integer' +p327 +g2 +(g3 +g4 +(g222 +g221 +g327 +ttRp328 +(dp329 +g8 +g9 +((lp330 +g2 +(g3 +g4 +(g222 +g221 +g327 +S'Long' +p331 +ttRp332 +(dp333 +g8 +g9 +((ltRp334 +sg15 +g328 +sbatRp335 +sg331 +g332 +sg15 +g223 +sbsS'Octal' +p336 +g2 +(g3 +g4 +(g222 +g221 +g336 +ttRp337 +(dp338 +g8 +g9 +((ltRp339 +sg15 +g223 +sbsg8 +g9 +((lp340 +g226 +ag230 +ag337 +ag316 +ag2 +(g3 +g4 +(g222 +g221 +S'Oct' +p341 +ttRp342 +(dp343 +g8 +g9 +((ltRp344 +sg15 +g223 +sbag328 +ag320 +ag324 +atRp345 +sg341 +g342 +sbsg222 +g233 +sg91 +g2 +(g3 +g4 +(g91 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g21 +sbsg193 +g2 +(g3 +g4 +(g193 +ttRp349 +(dp350 +g8 +g9 +((ltRp351 +sg15 +g21 +sbsS'Operator' +p352 +g2 +(g3 +g4 +(g352 +ttRp353 +(dp354 +g8 +g9 +((lp355 +g2 +(g3 +g4 +(g352 +S'Word' +p356 +ttRp357 +(dp358 +g8 +g9 +((ltRp359 +sg15 +g353 +sbatRp360 +sg356 +g357 +sg15 +g21 +sbsg8 +g9 +((lp361 +g24 +ag349 +ag167 +ag6 +ag45 +ag212 +ag138 +ag233 +ag353 +ag346 +atRp362 +sg235 +g236 +sbsbV +tp363 +a(g170 +Vuser@host:~/path$ +p364 +tp365 +a(g6 +V +tp366 +a(g6 +Vls +p367 +tp368 +a(g6 +V +tp369 +a(g6 +V-a +p370 +tp371 +a(g6 +V\u000a +tp372 +a(g186 +V. .. a b c\u000a +p373 +tp374 +a(g6 +V +tp375 +a(g170 +Vuser@host:~/path$ +p376 +tp377 +a(g6 +V +tp378 +a(g6 +Vdiff +p379 +tp380 +a(g6 +V +tp381 +a(g6 +V-u +p382 +tp383 +a(g6 +V +tp384 +a(g6 +Va +tp385 +a(g6 +V +tp386 +a(g6 +Vb +tp387 +a(g6 +V\u000a +tp388 +a(g186 +V--- a 2008-07-26 17:10:07.000000000 -0700\u000a +p389 +tp390 +a(g186 +V+++ b 2008-07-26 17:10:10.000000000 -0700\u000a +p391 +tp392 +a(g186 +V@@ -1,3 +1,3 @@\u000a +p393 +tp394 +a(g186 +V a\u000a +p395 +tp396 +a(g186 +V-b\u000a +p397 +tp398 +a(g186 +V+x\u000a +p399 +tp400 +a(g186 +V c\u000a +p401 +tp402 +a(g6 +V +tp403 +a(g170 +Vuser@host:~/path$ +p404 +tp405 +a(g6 +V +tp406 +a(g84 +Vecho +p407 +tp408 +a(g6 +V +tp409 +a(g262 +V\u005c\u000a +p410 +tp411 +a(g170 +V> +tp412 +a(g262 +V +tp413 +a(g6 +V +tp414 +a(g6 +Va +tp415 +a(g6 +V\u000a +tp416 +a(g186 +Va\u000a +p417 +tp418 +a(g6 +V +tp419 +a(g170 +Vuser@host:~/path$ +p420 +tp421 +a(g6 +V +tp422 +a(g6 +Vsu +p423 +tp424 +a(g6 +V\u000a +tp425 +a(g170 +Vroot@host:~# +p426 +tp427 +a(g6 +V\u000a +tp428 +a(g170 +Vsh-3.1$ +p429 +tp430 +a(g6 +V +tp431 +a(g24 +V# on hardy\u000a +p432 +tp433 +a(g170 +Vsh$ +p434 +tp435 +a(g24 +V +tp436 +a(g6 +V +tp437 +a(g24 +V# on etch\u000a +p438 +tp439 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.weechatlog b/tests/examplefiles/output/example.weechatlog new file mode 100644 index 0000000..71740a4 --- /dev/null +++ b/tests/examplefiles/output/example.weechatlog @@ -0,0 +1,1477 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +g28 +g2 +(g3 +g4 +(g106 +g28 +ttRp109 +(dp110 +g17 +g18 +((ltRp111 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp112 +(dp113 +g17 +g18 +((ltRp114 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp115 +(dp116 +g17 +g18 +((ltRp117 +sg8 +g107 +sbsS'Reserved' +p118 +g2 +(g3 +g4 +(g106 +g118 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Declaration' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp126 +(dp127 +g17 +g18 +((ltRp128 +sg8 +g107 +sbsg17 +g18 +((lp129 +g109 +ag119 +ag2 +(g3 +g4 +(g106 +S'Type' +p130 +ttRp131 +(dp132 +g17 +g18 +((ltRp133 +sg8 +g107 +sbag123 +ag126 +ag112 +ag115 +atRp134 +sg130 +g131 +sbsS'Generic' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +S'Prompt' +p138 +g2 +(g3 +g4 +(g135 +g138 +ttRp139 +(dp140 +g17 +g18 +((ltRp141 +sg8 +g136 +sbsg8 +g9 +sS'Deleted' +p142 +g2 +(g3 +g4 +(g135 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g136 +sbsS'Traceback' +p146 +g2 +(g3 +g4 +(g135 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g136 +sbsS'Emph' +p150 +g2 +(g3 +g4 +(g135 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g136 +sbsS'Output' +p154 +g2 +(g3 +g4 +(g135 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g136 +sbsS'Subheading' +p158 +g2 +(g3 +g4 +(g135 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g136 +sbsS'Error' +p162 +g2 +(g3 +g4 +(g135 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g136 +sbsg17 +g18 +((lp166 +g155 +ag151 +ag163 +ag159 +ag147 +ag143 +ag2 +(g3 +g4 +(g135 +S'Heading' +p167 +ttRp168 +(dp169 +g17 +g18 +((ltRp170 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Inserted' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Strong' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g136 +sbag139 +atRp179 +sg175 +g176 +sg171 +g172 +sg167 +g168 +sbsS'Text' +p180 +g2 +(g3 +g4 +(g180 +ttRp181 +(dp182 +g17 +g18 +((lp183 +g2 +(g3 +g4 +(g180 +S'Symbol' +p184 +ttRp185 +(dp186 +g17 +g18 +((ltRp187 +sg8 +g181 +sbag2 +(g3 +g4 +(g180 +S'Whitespace' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g181 +sbatRp192 +sg184 +g185 +sg188 +g189 +sg8 +g9 +sbsS'Punctuation' +p193 +g2 +(g3 +g4 +(g193 +ttRp194 +(dp195 +g17 +g18 +((lp196 +g2 +(g3 +g4 +(g193 +S'Indicator' +p197 +ttRp198 +(dp199 +g17 +g18 +((ltRp200 +sg8 +g194 +sbatRp201 +sg197 +g198 +sg8 +g9 +sbsS'Token' +p202 +g9 +sS'Number' +p203 +g2 +(g3 +g4 +(S'Literal' +p204 +g203 +ttRp205 +(dp206 +S'Bin' +p207 +g2 +(g3 +g4 +(g204 +g203 +g207 +ttRp208 +(dp209 +g17 +g18 +((ltRp210 +sg8 +g205 +sbsS'Binary' +p211 +g2 +(g3 +g4 +(g204 +g203 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g205 +sbsg8 +g2 +(g3 +g4 +(g204 +ttRp215 +(dp216 +S'String' +p217 +g2 +(g3 +g4 +(g204 +g217 +ttRp218 +(dp219 +S'Regex' +p220 +g2 +(g3 +g4 +(g204 +g217 +g220 +ttRp221 +(dp222 +g17 +g18 +((ltRp223 +sg8 +g218 +sbsS'Interpol' +p224 +g2 +(g3 +g4 +(g204 +g217 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g218 +sbsS'Regexp' +p228 +g2 +(g3 +g4 +(g204 +g217 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g218 +sbsg8 +g215 +sS'Heredoc' +p232 +g2 +(g3 +g4 +(g204 +g217 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g218 +sbsS'Double' +p236 +g2 +(g3 +g4 +(g204 +g217 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g218 +sbsg184 +g2 +(g3 +g4 +(g204 +g217 +g184 +ttRp240 +(dp241 +g17 +g18 +((ltRp242 +sg8 +g218 +sbsS'Escape' +p243 +g2 +(g3 +g4 +(g204 +g217 +g243 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g218 +sbsS'Character' +p247 +g2 +(g3 +g4 +(g204 +g217 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g218 +sbsS'Interp' +p251 +g2 +(g3 +g4 +(g204 +g217 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g218 +sbsS'Backtick' +p255 +g2 +(g3 +g4 +(g204 +g217 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g218 +sbsS'Char' +p259 +g2 +(g3 +g4 +(g204 +g217 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g218 +sbsS'Single' +p263 +g2 +(g3 +g4 +(g204 +g217 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g218 +sbsg60 +g2 +(g3 +g4 +(g204 +g217 +g60 +ttRp267 +(dp268 +g17 +g18 +((ltRp269 +sg8 +g218 +sbsS'Doc' +p270 +g2 +(g3 +g4 +(g204 +g217 +g270 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g218 +sbsg17 +g18 +((lp274 +g267 +ag2 +(g3 +g4 +(g204 +g217 +S'Atom' +p275 +ttRp276 +(dp277 +g17 +g18 +((ltRp278 +sg8 +g218 +sbag237 +ag260 +ag252 +ag271 +ag233 +ag256 +ag225 +ag240 +ag229 +ag221 +ag264 +ag248 +ag244 +atRp279 +sg275 +g276 +sbsg8 +g9 +sg203 +g205 +sS'Scalar' +p280 +g2 +(g3 +g4 +(g204 +g280 +ttRp281 +(dp282 +g17 +g18 +((lp283 +g2 +(g3 +g4 +(g204 +g280 +S'Plain' +p284 +ttRp285 +(dp286 +g17 +g18 +((ltRp287 +sg8 +g281 +sbatRp288 +sg8 +g215 +sg284 +g285 +sbsg60 +g2 +(g3 +g4 +(g204 +g60 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g215 +sbsS'Date' +p292 +g2 +(g3 +g4 +(g204 +g292 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g215 +sbsg17 +g18 +((lp296 +g293 +ag218 +ag289 +ag205 +ag281 +atRp297 +sbsS'Decimal' +p298 +g2 +(g3 +g4 +(g204 +g203 +g298 +ttRp299 +(dp300 +g17 +g18 +((ltRp301 +sg8 +g205 +sbsS'Float' +p302 +g2 +(g3 +g4 +(g204 +g203 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g205 +sbsS'Hex' +p306 +g2 +(g3 +g4 +(g204 +g203 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g205 +sbsS'Integer' +p310 +g2 +(g3 +g4 +(g204 +g203 +g310 +ttRp311 +(dp312 +g17 +g18 +((lp313 +g2 +(g3 +g4 +(g204 +g203 +g310 +S'Long' +p314 +ttRp315 +(dp316 +g17 +g18 +((ltRp317 +sg8 +g311 +sbatRp318 +sg314 +g315 +sg8 +g205 +sbsS'Octal' +p319 +g2 +(g3 +g4 +(g204 +g203 +g319 +ttRp320 +(dp321 +g17 +g18 +((ltRp322 +sg8 +g205 +sbsg17 +g18 +((lp323 +g208 +ag212 +ag320 +ag299 +ag2 +(g3 +g4 +(g204 +g203 +S'Oct' +p324 +ttRp325 +(dp326 +g17 +g18 +((ltRp327 +sg8 +g205 +sbag311 +ag303 +ag307 +atRp328 +sg324 +g325 +sbsg204 +g215 +sg60 +g2 +(g3 +g4 +(g60 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g9 +sbsg162 +g2 +(g3 +g4 +(g162 +ttRp332 +(dp333 +g17 +g18 +((ltRp334 +sg8 +g9 +sbsS'Operator' +p335 +g2 +(g3 +g4 +(g335 +ttRp336 +(dp337 +g17 +g18 +((lp338 +g2 +(g3 +g4 +(g335 +S'Word' +p339 +ttRp340 +(dp341 +g17 +g18 +((ltRp342 +sg8 +g336 +sbatRp343 +sg339 +g340 +sg8 +g9 +sbsg17 +g18 +((lp344 +g6 +ag332 +ag136 +ag181 +ag12 +ag194 +ag107 +ag215 +ag336 +ag329 +atRp345 +sg217 +g218 +sbsS'Preproc' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g17 +g18 +((ltRp349 +sg8 +g6 +sbsg263 +g2 +(g3 +g4 +(g5 +g263 +ttRp350 +(dp351 +g17 +g18 +((ltRp352 +sg8 +g6 +sbsS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsg17 +g18 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g17 +g18 +((ltRp361 +sg8 +g6 +sbag347 +ag350 +ag354 +atRp362 +sg358 +g359 +sbV**** Beginning of log 2007 Sep 01 00:23:55 **** +p363 +tp364 +a(g181 +V\u000a +tp365 +a(g347 +V2007 Sep 01 00:23:55 +p366 +tp367 +a(g107 +V--> +p368 +tp369 +a(g218 +Vweechat_user +p370 +tp371 +a(g6 +V(weechat@localhost.) ist in den Channel &bitlbee gekommen\u000a +p372 +tp373 +a(g347 +V2007 Sep 01 00:23:55 +p374 +tp375 +a(g107 +V-=- +p376 +tp377 +a(g218 +VModus +p378 +tp379 +a(g6 +V&bitlbee [+t] durch localhost.\u000a +p380 +tp381 +a(g347 +V2007 Sep 01 00:23:55 +p382 +tp383 +a(g107 +V-@- +p384 +tp385 +a(g218 +VNicks +p386 +tp387 +a(g6 +V&bitlbee: [@root @weechat_user]\u000a +p388 +tp389 +a(g347 +V2007 Sep 01 00:23:55 +p390 +tp391 +a(g107 +V-=- +p392 +tp393 +a(g218 +VChannel +p394 +tp395 +a(g6 +V&bitlbee: 2 Nicks (2 Operatoren, 0 Halb-Operator, 0 Gevoiceter, 0 normal)\u000a +p396 +tp397 +a(g347 +V2007 Sep 01 00:23:55 +p398 +tp399 +a(g107 +V-=- +p400 +tp401 +a(g218 +VDas +p402 +tp403 +a(g6 +VTopic von &bitlbee lautet: "Welcome to the control channel. Type help for help information."\u000a +p404 +tp405 +a(g347 +V2007 Sep 01 00:23:55 +p406 +tp407 +a(g25 +V +p408 +tp409 +a(g181 +VWelcome to the BitlBee gateway!\u000a +p410 +tp411 +a(g347 +V2007 Sep 01 00:23:55 +p412 +tp413 +a(g25 +V +p414 +tp415 +a(g181 +V\u000a +tp416 +a(g347 +V2007 Sep 01 00:23:55 +p417 +tp418 +a(g25 +V +p419 +tp420 +a(g181 +VIf you've never used BitlBee before, please do read the help information using the help command. Lots of FAQ's are answered there.\u000a +p421 +tp422 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.xhtml b/tests/examplefiles/output/example.xhtml new file mode 100644 index 0000000..457117b --- /dev/null +++ b/tests/examplefiles/output/example.xhtml @@ -0,0 +1,7450 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Name' +p5 +S'Tag' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Function' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsS'Exception' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g9 +g10 +((ltRp22 +sg12 +g13 +sbsg6 +g7 +sS'Constant' +p23 +g2 +(g3 +g4 +(g5 +g23 +ttRp24 +(dp25 +g9 +g10 +((ltRp26 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp27 +(dp28 +S'Comment' +p29 +g2 +(g3 +g4 +(g29 +ttRp30 +(dp31 +g12 +g27 +sS'Preproc' +p32 +g2 +(g3 +g4 +(g29 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g30 +sbsS'Single' +p36 +g2 +(g3 +g4 +(g29 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g30 +sbsS'Multiline' +p40 +g2 +(g3 +g4 +(g29 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g30 +sbsg9 +g10 +((lp44 +g2 +(g3 +g4 +(g29 +S'Special' +p45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g30 +sbag33 +ag37 +ag41 +atRp49 +sg45 +g46 +sbsg5 +g13 +sS'Keyword' +p50 +g2 +(g3 +g4 +(g50 +ttRp51 +(dp52 +S'Pervasive' +p53 +g2 +(g3 +g4 +(g50 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g51 +sbsg23 +g2 +(g3 +g4 +(g50 +g23 +ttRp57 +(dp58 +g9 +g10 +((ltRp59 +sg12 +g51 +sbsg12 +g27 +sS'Namespace' +p60 +g2 +(g3 +g4 +(g50 +g60 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g51 +sbsS'Pseudo' +p64 +g2 +(g3 +g4 +(g50 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g51 +sbsS'Reserved' +p68 +g2 +(g3 +g4 +(g50 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g51 +sbsS'Declaration' +p72 +g2 +(g3 +g4 +(g50 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g51 +sbsS'Variable' +p76 +g2 +(g3 +g4 +(g50 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g51 +sbsg9 +g10 +((lp80 +g57 +ag69 +ag2 +(g3 +g4 +(g50 +S'Type' +p81 +ttRp82 +(dp83 +g9 +g10 +((ltRp84 +sg12 +g51 +sbag54 +ag73 +ag77 +ag61 +ag65 +atRp85 +sg81 +g82 +sbsS'Generic' +p86 +g2 +(g3 +g4 +(g86 +ttRp87 +(dp88 +S'Prompt' +p89 +g2 +(g3 +g4 +(g86 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g87 +sbsg12 +g27 +sS'Deleted' +p93 +g2 +(g3 +g4 +(g86 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g87 +sbsS'Traceback' +p97 +g2 +(g3 +g4 +(g86 +g97 +ttRp98 +(dp99 +g9 +g10 +((ltRp100 +sg12 +g87 +sbsS'Emph' +p101 +g2 +(g3 +g4 +(g86 +g101 +ttRp102 +(dp103 +g9 +g10 +((ltRp104 +sg12 +g87 +sbsS'Output' +p105 +g2 +(g3 +g4 +(g86 +g105 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g87 +sbsS'Subheading' +p109 +g2 +(g3 +g4 +(g86 +g109 +ttRp110 +(dp111 +g9 +g10 +((ltRp112 +sg12 +g87 +sbsS'Error' +p113 +g2 +(g3 +g4 +(g86 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g87 +sbsg9 +g10 +((lp117 +g106 +ag102 +ag114 +ag110 +ag98 +ag94 +ag2 +(g3 +g4 +(g86 +S'Heading' +p118 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g87 +sbag2 +(g3 +g4 +(g86 +S'Inserted' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g87 +sbag2 +(g3 +g4 +(g86 +S'Strong' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g87 +sbag90 +atRp130 +sg126 +g127 +sg122 +g123 +sg118 +g119 +sbsS'Text' +p131 +g2 +(g3 +g4 +(g131 +ttRp132 +(dp133 +g9 +g10 +((lp134 +g2 +(g3 +g4 +(g131 +S'Symbol' +p135 +ttRp136 +(dp137 +g9 +g10 +((ltRp138 +sg12 +g132 +sbag2 +(g3 +g4 +(g131 +S'Whitespace' +p139 +ttRp140 +(dp141 +g9 +g10 +((ltRp142 +sg12 +g132 +sbatRp143 +sg135 +g136 +sg139 +g140 +sg12 +g27 +sbsS'Punctuation' +p144 +g2 +(g3 +g4 +(g144 +ttRp145 +(dp146 +g9 +g10 +((lp147 +g2 +(g3 +g4 +(g144 +S'Indicator' +p148 +ttRp149 +(dp150 +g9 +g10 +((ltRp151 +sg12 +g145 +sbatRp152 +sg148 +g149 +sg12 +g27 +sbsS'Token' +p153 +g27 +sS'Number' +p154 +g2 +(g3 +g4 +(S'Literal' +p155 +g154 +ttRp156 +(dp157 +S'Bin' +p158 +g2 +(g3 +g4 +(g155 +g154 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g156 +sbsS'Binary' +p162 +g2 +(g3 +g4 +(g155 +g154 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g156 +sbsg12 +g2 +(g3 +g4 +(g155 +ttRp166 +(dp167 +S'String' +p168 +g2 +(g3 +g4 +(g155 +g168 +ttRp169 +(dp170 +S'Regex' +p171 +g2 +(g3 +g4 +(g155 +g168 +g171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g169 +sbsS'Interpol' +p175 +g2 +(g3 +g4 +(g155 +g168 +g175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g169 +sbsS'Regexp' +p179 +g2 +(g3 +g4 +(g155 +g168 +g179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g169 +sbsg12 +g166 +sS'Heredoc' +p183 +g2 +(g3 +g4 +(g155 +g168 +g183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g169 +sbsS'Double' +p187 +g2 +(g3 +g4 +(g155 +g168 +g187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg12 +g169 +sbsg135 +g2 +(g3 +g4 +(g155 +g168 +g135 +ttRp191 +(dp192 +g9 +g10 +((ltRp193 +sg12 +g169 +sbsS'Escape' +p194 +g2 +(g3 +g4 +(g155 +g168 +g194 +ttRp195 +(dp196 +g9 +g10 +((ltRp197 +sg12 +g169 +sbsS'Character' +p198 +g2 +(g3 +g4 +(g155 +g168 +g198 +ttRp199 +(dp200 +g9 +g10 +((ltRp201 +sg12 +g169 +sbsS'Interp' +p202 +g2 +(g3 +g4 +(g155 +g168 +g202 +ttRp203 +(dp204 +g9 +g10 +((ltRp205 +sg12 +g169 +sbsS'Backtick' +p206 +g2 +(g3 +g4 +(g155 +g168 +g206 +ttRp207 +(dp208 +g9 +g10 +((ltRp209 +sg12 +g169 +sbsS'Char' +p210 +g2 +(g3 +g4 +(g155 +g168 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg12 +g169 +sbsg36 +g2 +(g3 +g4 +(g155 +g168 +g36 +ttRp214 +(dp215 +g9 +g10 +((ltRp216 +sg12 +g169 +sbsS'Other' +p217 +g2 +(g3 +g4 +(g155 +g168 +g217 +ttRp218 +(dp219 +g9 +g10 +((ltRp220 +sg12 +g169 +sbsS'Doc' +p221 +g2 +(g3 +g4 +(g155 +g168 +g221 +ttRp222 +(dp223 +g9 +g10 +((ltRp224 +sg12 +g169 +sbsg9 +g10 +((lp225 +g218 +ag2 +(g3 +g4 +(g155 +g168 +S'Atom' +p226 +ttRp227 +(dp228 +g9 +g10 +((ltRp229 +sg12 +g169 +sbag188 +ag211 +ag203 +ag222 +ag184 +ag207 +ag176 +ag191 +ag180 +ag172 +ag214 +ag199 +ag195 +atRp230 +sg226 +g227 +sbsg12 +g27 +sg154 +g156 +sS'Scalar' +p231 +g2 +(g3 +g4 +(g155 +g231 +ttRp232 +(dp233 +g9 +g10 +((lp234 +g2 +(g3 +g4 +(g155 +g231 +S'Plain' +p235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g232 +sbatRp239 +sg12 +g166 +sg235 +g236 +sbsg217 +g2 +(g3 +g4 +(g155 +g217 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g166 +sbsS'Date' +p243 +g2 +(g3 +g4 +(g155 +g243 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g166 +sbsg9 +g10 +((lp247 +g244 +ag169 +ag240 +ag156 +ag232 +atRp248 +sbsS'Decimal' +p249 +g2 +(g3 +g4 +(g155 +g154 +g249 +ttRp250 +(dp251 +g9 +g10 +((ltRp252 +sg12 +g156 +sbsS'Float' +p253 +g2 +(g3 +g4 +(g155 +g154 +g253 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g156 +sbsS'Hex' +p257 +g2 +(g3 +g4 +(g155 +g154 +g257 +ttRp258 +(dp259 +g9 +g10 +((ltRp260 +sg12 +g156 +sbsS'Integer' +p261 +g2 +(g3 +g4 +(g155 +g154 +g261 +ttRp262 +(dp263 +g9 +g10 +((lp264 +g2 +(g3 +g4 +(g155 +g154 +g261 +S'Long' +p265 +ttRp266 +(dp267 +g9 +g10 +((ltRp268 +sg12 +g262 +sbatRp269 +sg265 +g266 +sg12 +g156 +sbsS'Octal' +p270 +g2 +(g3 +g4 +(g155 +g154 +g270 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g156 +sbsg9 +g10 +((lp274 +g159 +ag163 +ag271 +ag250 +ag2 +(g3 +g4 +(g155 +g154 +S'Oct' +p275 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g156 +sbag262 +ag254 +ag258 +atRp279 +sg275 +g276 +sbsg155 +g166 +sg217 +g2 +(g3 +g4 +(g217 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g27 +sbsg113 +g2 +(g3 +g4 +(g113 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g27 +sbsS'Operator' +p286 +g2 +(g3 +g4 +(g286 +ttRp287 +(dp288 +g9 +g10 +((lp289 +g2 +(g3 +g4 +(g286 +S'Word' +p290 +ttRp291 +(dp292 +g9 +g10 +((ltRp293 +sg12 +g287 +sbatRp294 +sg290 +g291 +sg12 +g27 +sbsg9 +g10 +((lp295 +g30 +ag283 +ag87 +ag132 +ag13 +ag145 +ag51 +ag166 +ag287 +ag280 +atRp296 +sg168 +g169 +sbsg64 +g2 +(g3 +g4 +(g5 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g13 +sbsS'Attribute' +p300 +g2 +(g3 +g4 +(g5 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g13 +sbsS'Label' +p304 +g2 +(g3 +g4 +(g5 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g13 +sbsS'Blubb' +p308 +g2 +(g3 +g4 +(g5 +g308 +ttRp309 +(dp310 +g9 +g10 +((ltRp311 +sg12 +g13 +sbsS'Entity' +p312 +g2 +(g3 +g4 +(g5 +g312 +ttRp313 +(dp314 +g9 +g10 +((ltRp315 +sg12 +g13 +sbsS'Builtin' +p316 +g2 +(g3 +g4 +(g5 +g316 +ttRp317 +(dp318 +g9 +g10 +((lp319 +g2 +(g3 +g4 +(g5 +g316 +g64 +ttRp320 +(dp321 +g9 +g10 +((ltRp322 +sg12 +g317 +sbatRp323 +sg64 +g320 +sg12 +g13 +sbsg217 +g2 +(g3 +g4 +(g5 +g217 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g13 +sbsS'Identifier' +p327 +g2 +(g3 +g4 +(g5 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g13 +sbsg76 +g2 +(g3 +g4 +(g5 +g76 +ttRp331 +(dp332 +g12 +g13 +sS'Global' +p333 +g2 +(g3 +g4 +(g5 +g76 +g333 +ttRp334 +(dp335 +g9 +g10 +((ltRp336 +sg12 +g331 +sbsS'Instance' +p337 +g2 +(g3 +g4 +(g5 +g76 +g337 +ttRp338 +(dp339 +g9 +g10 +((ltRp340 +sg12 +g331 +sbsS'Anonymous' +p341 +g2 +(g3 +g4 +(g5 +g76 +g341 +ttRp342 +(dp343 +g9 +g10 +((ltRp344 +sg12 +g331 +sbsg9 +g10 +((lp345 +g342 +ag338 +ag334 +ag2 +(g3 +g4 +(g5 +g76 +S'Class' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g331 +sbatRp350 +sg346 +g347 +sbsg9 +g10 +((lp351 +g2 +(g3 +g4 +(g5 +S'Decorator' +p352 +ttRp353 +(dp354 +g9 +g10 +((ltRp355 +sg12 +g13 +sbag301 +ag24 +ag297 +ag2 +(g3 +g4 +(g5 +g60 +ttRp356 +(dp357 +g9 +g10 +((ltRp358 +sg12 +g13 +sbag328 +ag317 +ag331 +ag324 +ag309 +ag313 +ag16 +ag2 +(g3 +g4 +(g5 +S'Property' +p359 +ttRp360 +(dp361 +g9 +g10 +((ltRp362 +sg12 +g13 +sbag305 +ag7 +ag20 +ag2 +(g3 +g4 +(g5 +g346 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbatRp366 +sg359 +g360 +sg346 +g363 +sg352 +g353 +sg60 +g356 +sbsbV +tp369 +a(g132 +V\u000a +p370 +tp371 +a(g7 +V +tp374 +a(g132 +V\u000a +p375 +tp376 +a(g7 +V +p506 +tp507 +a(g132 +V\u000a +p508 +tp509 +a(g7 +V +tp512 +a(g132 +VError +p513 +tp514 +a(g7 +V +p515 +tp516 +a(g132 +V\u000a +p517 +tp518 +a(g7 +V +p709 +tp710 +a(g132 +V\u000a +p711 +tp712 +a(g7 +V +p713 +tp714 +a(g132 +V\u000a +p715 +tp716 +a(g7 +V +tp719 +a(g132 +V\u000a +p720 +tp721 +a(g7 +V

    +tp724 +a(g132 +VError +p725 +tp726 +a(g7 +V

    +p727 +tp728 +a(g132 +V\u000a\u000a +p729 +tp730 +a(g33 +V +p731 +tp732 +a(g132 +V\u000a +p733 +tp734 +a(g33 +V +p735 +tp736 +a(g132 +V\u000a +p737 +tp738 +a(g7 +V
    +tp746 +a(g7 +V +tp749 +a(g132 +VPath: +p750 +tp751 +a(g7 +V +p752 +tp753 +a(g132 +V #{path} +p754 +tp755 +a(g7 +V
    +p756 +tp757 +a(g132 +V\u000a +p758 +tp759 +a(g7 +V
    +tp767 +a(g7 +V +tp770 +a(g132 +V#{CGI.escapeHTML(error.to_s)} +p771 +tp772 +a(g7 +V +p773 +tp774 +a(g7 +V
    +p775 +tp776 +a(g132 +V\u000a +p777 +tp778 +a(g7 +V
    +tp786 +a(g132 +V\u000a +p787 +tp788 +a(g7 +V +tp791 +a(g7 +V +tp799 +a(g132 +VReload +p800 +tp801 +a(g7 +V +p802 +tp803 +a(g7 +V +p804 +tp805 +a(g132 +V this page. \u000a Go to the +p806 +tp807 +a(g7 +V +tp810 +a(g7 +V +tp818 +a(g132 +Vreferer +p819 +tp820 +a(g7 +V +p821 +tp822 +a(g7 +V +p823 +tp824 +a(g132 +V or the +p825 +tp826 +a(g7 +V +tp829 +a(g7 +V +tp837 +a(g132 +Vhome page +p838 +tp839 +a(g7 +V +p840 +tp841 +a(g7 +V +p842 +tp843 +a(g132 +V.\u000a +p844 +tp845 +a(g7 +V
    +p846 +tp847 +a(g132 +V\u000a +p848 +tp849 +a(g7 +V
    +tp857 +a(g132 +V\u000a +p858 +tp859 +a(g33 +V +p860 +tp861 +a(g132 +V\u000a In file +p862 +tp863 +a(g7 +V +tp866 +a(g132 +V'#{error.hot_file}' +p867 +tp868 +a(g7 +V +p869 +tp870 +a(g132 +V #{error.hot_file =~ /\u005c.xhtml$/ ? '(line numbering is aproximate due to template transformation)' : nil}:\u000a +p871 +tp872 +a(g7 +V
    +p876 +tp877 +a(g7 +V
    +p881 +tp882 +a(g132 +V\u000a +p883 +tp884 +a(g33 +V +p885 +tp886 +a(g132 +V\u000a +p887 +tp888 +a(g7 +V
    +tp896 +a(g132 +V#{line} +p897 +tp898 +a(g7 +V
    +p899 +tp900 +a(g132 +V\u000a +p901 +tp902 +a(g33 +V +p903 +tp904 +a(g132 +V\u000a +p905 +tp906 +a(g7 +V
    +tp909 +a(g132 +V#{line} +p910 +tp911 +a(g7 +V
    +p912 +tp913 +a(g132 +V\u000a +p914 +tp915 +a(g33 +V +p916 +tp917 +a(g132 +V\u000a +p918 +tp919 +a(g7 +V
    +p920 +tp921 +a(g132 +V\u000a +p922 +tp923 +a(g7 +V

    +tp926 +a(g7 +V +tp939 +a(g132 +VStack Trace +p940 +tp941 +a(g7 +V +p942 +tp943 +a(g7 +V

    +p944 +tp945 +a(g132 +V\u000a +p946 +tp947 +a(g7 +V
    +tp960 +a(g132 +V\u000a +p961 +tp962 +a(g33 +V +p963 +tp964 +a(g132 +V\u000a +p965 +tp966 +a(g7 +V +p987 +tp988 +a(g132 +V\u000a +p989 +tp990 +a(g7 +V
    +tp1008 +a(g132 +V\u000a +p1009 +tp1010 +a(g33 +V +p1011 +tp1012 +a(g132 +V\u000a +p1013 +tp1014 +a(g7 +V
    +tp1022 +a(g132 +V#{line} +p1023 +tp1024 +a(g7 +V
    +p1025 +tp1026 +a(g132 +V\u000a +p1027 +tp1028 +a(g33 +V +p1029 +tp1030 +a(g132 +V\u000a +p1031 +tp1032 +a(g7 +V
    +tp1035 +a(g132 +V#{line} +p1036 +tp1037 +a(g7 +V
    +p1038 +tp1039 +a(g132 +V\u000a +p1040 +tp1041 +a(g33 +V +p1042 +tp1043 +a(g132 +V\u000a +p1044 +tp1045 +a(g7 +V
    +p1046 +tp1047 +a(g132 +V\u000a\u000a \u000a +p1048 +tp1049 +a(g33 +V +p1050 +tp1051 +a(g132 +V\u000a +p1052 +tp1053 +a(g7 +V
    +p1054 +tp1055 +a(g132 +V\u000a +p1056 +tp1057 +a(g33 +V +p1058 +tp1059 +a(g132 +V\u000a\u000a +p1060 +tp1061 +a(g7 +V

    +tp1064 +a(g7 +V +tp1077 +a(g132 +VRequest +p1078 +tp1079 +a(g7 +V +p1080 +tp1081 +a(g7 +V

    +p1082 +tp1083 +a(g132 +V\u000a +p1084 +tp1085 +a(g7 +V
    +tp1098 +a(g132 +V\u000a +p1099 +tp1100 +a(g7 +V

    +tp1103 +a(g7 +V +tp1106 +a(g132 +VParameters: +p1107 +tp1108 +a(g7 +V +p1109 +tp1110 +a(g132 +V #{request.params.reject{ |k,v| k == :__RELOADED__ }.inspect} +p1111 +tp1112 +a(g7 +V

    +p1113 +tp1114 +a(g132 +V \u000a +p1115 +tp1116 +a(g7 +V

    +tp1119 +a(g7 +V +tp1122 +a(g132 +VCookies: +p1123 +tp1124 +a(g7 +V +p1125 +tp1126 +a(g132 +V #{request.cookies.inspect} +p1127 +tp1128 +a(g7 +V

    +p1129 +tp1130 +a(g132 +V \u000a +p1131 +tp1132 +a(g7 +V

    +tp1135 +a(g7 +V +tp1138 +a(g132 +VHeaders: +p1139 +tp1140 +a(g7 +V +p1141 +tp1142 +a(g7 +V
    +p1146 +tp1147 +a(g132 +V#{request.headers.collect { |k, v| "#{k} => #{v}" }.join(' +p1148 +tp1149 +a(g7 +V
    +p1153 +tp1154 +a(g132 +V')} +p1155 +tp1156 +a(g7 +V

    +p1157 +tp1158 +a(g132 +V \u000a +p1159 +tp1160 +a(g7 +V
    +p1161 +tp1162 +a(g132 +V\u000a\u000a +p1163 +tp1164 +a(g7 +V

    +tp1167 +a(g7 +V +tp1180 +a(g132 +VResponse +p1181 +tp1182 +a(g7 +V +p1183 +tp1184 +a(g7 +V

    +p1185 +tp1186 +a(g132 +V\u000a +p1187 +tp1188 +a(g7 +V
    +tp1201 +a(g132 +V\u000a +p1202 +tp1203 +a(g7 +V

    +tp1206 +a(g7 +V +tp1209 +a(g132 +VHeaders: +p1210 +tp1211 +a(g7 +V +p1212 +tp1213 +a(g132 +V #{request.response_headers.inspect} +p1214 +tp1215 +a(g7 +V

    +p1216 +tp1217 +a(g132 +V \u000a +p1218 +tp1219 +a(g7 +V

    +tp1222 +a(g7 +V +tp1225 +a(g132 +VCookies: +p1226 +tp1227 +a(g7 +V +p1228 +tp1229 +a(g132 +V #{request.response_cookies.inspect} +p1230 +tp1231 +a(g7 +V

    +p1232 +tp1233 +a(g132 +V \u000a +p1234 +tp1235 +a(g7 +V
    +p1236 +tp1237 +a(g132 +V\u000a\u000a +p1238 +tp1239 +a(g7 +V

    +tp1242 +a(g7 +V +tp1255 +a(g132 +VSession +p1256 +tp1257 +a(g7 +V +p1258 +tp1259 +a(g7 +V

    +p1260 +tp1261 +a(g132 +V\u000a +p1262 +tp1263 +a(g7 +V
    +tp1276 +a(g132 +V\u000a +p1277 +tp1278 +a(g7 +V

    +tp1281 +a(g7 +V +tp1284 +a(g132 +VValues: +p1285 +tp1286 +a(g7 +V +p1287 +tp1288 +a(g132 +V #{session.inspect} +p1289 +tp1290 +a(g7 +V

    +p1291 +tp1292 +a(g132 +V \u000a +p1293 +tp1294 +a(g7 +V
    +p1295 +tp1296 +a(g132 +V\u000a\u000a +p1297 +tp1298 +a(g7 +V
    +p1302 +tp1303 +a(g7 +V
    +p1307 +tp1308 +a(g132 +V\u000a Powered by +p1309 +tp1310 +a(g7 +V +tp1318 +a(g132 +VNitro +p1319 +tp1320 +a(g7 +V +p1321 +tp1322 +a(g132 +V version #{Nitro::Version}\u000a +p1323 +tp1324 +a(g33 +V +p1325 +tp1326 +a(g132 +V \u000a +p1327 +tp1328 +a(g7 +V +p1329 +tp1330 +a(g132 +V\u000a +tp1331 +a(g7 +V +p1332 +tp1333 +a(g132 +V\u000a +tp1334 +a(g7 +V +tp1337 +a(g132 +V\u000a +p1338 +tp1339 +a(g33 +V +p1340 +tp1341 +a(g132 +V\u000a +p1342 +tp1343 +a(g7 +V

    +tp1346 +a(g7 +V +tp1354 +a(g132 +V Home +p1355 +tp1356 +a(g7 +V +p1357 +tp1358 +a(g132 +V > +p1359 +tp1360 +a(g7 +V +tp1368 +a(g132 +VSystem +p1369 +tp1370 +a(g7 +V +p1371 +tp1372 +a(g132 +V > +p1373 +tp1374 +a(g7 +V +tp1382 +a(g132 +V#{"%plural%".humanize} +p1383 +tp1384 +a(g7 +V +p1385 +tp1386 +a(g132 +V > Edit #{"%name%".humanize} +p1387 +tp1388 +a(g7 +V

    +p1389 +tp1390 +a(g132 +V\u000a +p1391 +tp1392 +a(g33 +V +p1393 +tp1394 +a(g132 +V\u000a +p1395 +tp1396 +a(g7 +V +tp1404 +a(g132 +VShow editable +p1405 +tp1406 +a(g7 +V +p1407 +tp1408 +a(g132 +V\u000a #{form_for @obj, :action => "#{base}/save", :cancel => "#{base}/list", :all => true}\u000a +p1409 +tp1410 +a(g33 +V +p1411 +tp1412 +a(g132 +V\u000a +p1413 +tp1414 +a(g7 +V +tp1422 +a(g132 +VShow all +p1423 +tp1424 +a(g7 +V +p1425 +tp1426 +a(g132 +V\u000a #{form_for @obj, :action => "#{base}/save", :cancel => "#{base}/list"}\u000a +p1427 +tp1428 +a(g33 +V +p1429 +tp1430 +a(g132 +V\u000a +tp1431 +a(g7 +V
    +p1432 +tp1433 +a(g132 +V\u000a#{form_for(@%name%)}\u000a +p1434 +tp1435 +a(g7 +V +tp1438 +a(g132 +V\u000a +p1439 +tp1440 +a(g33 +V +p1441 +tp1442 +a(g132 +V\u000a +p1443 +tp1444 +a(g7 +V

    +tp1447 +a(g132 +V#{"%plural%".humanize} +p1448 +tp1449 +a(g7 +V

    +p1450 +tp1451 +a(g132 +V\u000a +p1452 +tp1453 +a(g7 +V

    +tp1456 +a(g7 +V +tp1464 +a(g132 +VNew #{"%name%".humanize} +p1465 +tp1466 +a(g7 +V +p1467 +tp1468 +a(g7 +V

    +p1469 +tp1470 +a(g132 +V\u000a +p1471 +tp1472 +a(g7 +V
    +tp1480 +a(g132 +V\u000a Search #{"%plural%".humanize}: +p1481 +tp1482 +a(g7 +V +p1496 +tp1497 +a(g313 +V  +p1498 +tp1499 +a(g7 +V +p1513 +tp1514 +a(g132 +V\u000a +p1515 +tp1516 +a(g7 +V
    +p1517 +tp1518 +a(g132 +V\u000a +p1519 +tp1520 +a(g7 +V +tp1523 +a(g132 +V\u000a +p1524 +tp1525 +a(g33 +V +p1526 +tp1527 +a(g132 +V\u000a +p1528 +tp1529 +a(g7 +V +tp1532 +a(g132 +V\u000a +p1533 +tp1534 +a(g7 +V +p1555 +tp1556 +a(g132 +V\u000a +p1557 +tp1558 +a(g33 +V +p1559 +tp1560 +a(g132 +V\u000a +p1561 +tp1562 +a(g7 +V +p1573 +tp1574 +a(g132 +V\u000a +p1575 +tp1576 +a(g33 +V +p1577 +tp1578 +a(g132 +V\u000a +p1579 +tp1580 +a(g7 +V +p1596 +tp1597 +a(g132 +V\u000a +p1598 +tp1599 +a(g7 +V +p1615 +tp1616 +a(g132 +V\u000a +p1617 +tp1618 +a(g7 +V +p1619 +tp1620 +a(g132 +V\u000a +p1621 +tp1622 +a(g33 +V +p1623 +tp1624 +a(g132 +V\u000a +p1625 +tp1626 +a(g7 +V
    +tp1542 +a(g7 +V +tp1550 +a(g132 +V#{obj.to_s} +p1551 +tp1552 +a(g7 +V +p1553 +tp1554 +a(g7 +V +tp1570 +a(g132 +V#{obj.update_time.stamp(:db)} +p1571 +tp1572 +a(g7 +V +tp1583 +a(g7 +V +tp1591 +a(g132 +Vedit +p1592 +tp1593 +a(g7 +V +p1594 +tp1595 +a(g7 +V +tp1602 +a(g7 +V +tp1610 +a(g132 +Vdel +p1611 +tp1612 +a(g7 +V +p1613 +tp1614 +a(g7 +V
    +p1627 +tp1628 +a(g132 +V\u000a +tp1629 +a(g7 +V
    +p1630 +tp1631 +a(g132 +V\u000a +tp1632 +a(g7 +V +tp1635 +a(g132 +V\u000a +p1636 +tp1637 +a(g33 +V +p1638 +tp1639 +a(g132 +V\u000a +p1640 +tp1641 +a(g7 +V

    +tp1644 +a(g7 +V +tp1652 +a(g132 +V Home +p1653 +tp1654 +a(g7 +V +p1655 +tp1656 +a(g132 +V > +p1657 +tp1658 +a(g7 +V +tp1666 +a(g132 +VSystem +p1667 +tp1668 +a(g7 +V +p1669 +tp1670 +a(g132 +V > #{"%plural%".humanize} +p1671 +tp1672 +a(g7 +V

    +p1673 +tp1674 +a(g132 +V\u000a +p1675 +tp1676 +a(g7 +V +tp1684 +a(g132 +VNew #{"%name%".humanize} +p1685 +tp1686 +a(g7 +V +p1687 +tp1688 +a(g132 +V\u000a +p1689 +tp1690 +a(g7 +V

    +tp1693 +a(g132 +V\u000a +p1694 +tp1695 +a(g7 +V

    +tp1703 +a(g132 +V\u000a Search #{"%plural%".humanize}: +p1704 +tp1705 +a(g7 +V +p1719 +tp1720 +a(g313 +V  +p1721 +tp1722 +a(g7 +V +p1736 +tp1737 +a(g132 +V\u000a +p1738 +tp1739 +a(g7 +V
    +p1740 +tp1741 +a(g132 +V\u000a +p1742 +tp1743 +a(g7 +V

    +p1744 +tp1745 +a(g132 +V\u000a +p1746 +tp1747 +a(g7 +V +tp1750 +a(g132 +V\u000a +p1751 +tp1752 +a(g33 +V +p1753 +tp1754 +a(g132 +V\u000a +p1755 +tp1756 +a(g7 +V +tp1759 +a(g132 +V\u000a +p1760 +tp1761 +a(g7 +V +p1782 +tp1783 +a(g132 +V\u000a +p1784 +tp1785 +a(g33 +V +p1786 +tp1787 +a(g132 +V\u000a +p1788 +tp1789 +a(g7 +V +p1800 +tp1801 +a(g132 +V\u000a +p1802 +tp1803 +a(g33 +V +p1804 +tp1805 +a(g132 +V\u000a +p1806 +tp1807 +a(g7 +V +p1823 +tp1824 +a(g132 +V\u000a +p1825 +tp1826 +a(g7 +V +p1847 +tp1848 +a(g132 +V\u000a +p1849 +tp1850 +a(g7 +V +p1851 +tp1852 +a(g132 +V\u000a +p1853 +tp1854 +a(g33 +V +p1855 +tp1856 +a(g132 +V\u000a +p1857 +tp1858 +a(g7 +V
    +tp1769 +a(g7 +V +tp1777 +a(g132 +V#(obj.to_s) +p1778 +tp1779 +a(g7 +V +p1780 +tp1781 +a(g7 +V +tp1797 +a(g132 +V#{obj.update_time.stamp(:db)} +p1798 +tp1799 +a(g7 +V +tp1810 +a(g7 +V +tp1818 +a(g132 +Vedit +p1819 +tp1820 +a(g7 +V +p1821 +tp1822 +a(g7 +V +tp1829 +a(g7 +V +tp1842 +a(g132 +Vdel +p1843 +tp1844 +a(g7 +V +p1845 +tp1846 +a(g7 +V
    +p1859 +tp1860 +a(g132 +V\u000a +p1861 +tp1862 +a(g7 +V
    +tp1875 +a(g132 +V\u000a #{@pager.navigation}\u000a +p1876 +tp1877 +a(g7 +V
    +p1878 +tp1879 +a(g132 +V \u000a +p1880 +tp1881 +a(g7 +V
    +p1882 +tp1883 +a(g132 +V\u000a +tp1884 +a(g7 +V +tp1887 +a(g132 +V\u000a +p1888 +tp1889 +a(g33 +V +p1890 +tp1891 +a(g132 +V\u000a +p1892 +tp1893 +a(g7 +V

    +tp1896 +a(g7 +V +tp1904 +a(g132 +V Home +p1905 +tp1906 +a(g7 +V +p1907 +tp1908 +a(g132 +V > +p1909 +tp1910 +a(g7 +V +tp1918 +a(g132 +VSystem +p1919 +tp1920 +a(g7 +V +p1921 +tp1922 +a(g132 +V > +p1923 +tp1924 +a(g7 +V +tp1932 +a(g132 +V#{"%plural%".humanize} +p1933 +tp1934 +a(g7 +V +p1935 +tp1936 +a(g132 +V > New #{"%name%".humanize} +p1937 +tp1938 +a(g7 +V

    +p1939 +tp1940 +a(g132 +V\u000a +p1941 +tp1942 +a(g33 +V +p1943 +tp1944 +a(g132 +V\u000a +p1945 +tp1946 +a(g7 +V +tp1954 +a(g132 +VShow editable +p1955 +tp1956 +a(g7 +V +p1957 +tp1958 +a(g132 +V\u000a #{form_for @obj, :action => "#{base}/save", :cancel => "#{base}/list", :all => true, :enctype => "multipart/form-data"}\u000a +p1959 +tp1960 +a(g33 +V +p1961 +tp1962 +a(g132 +V\u000a +p1963 +tp1964 +a(g7 +V +tp1972 +a(g132 +VShow all +p1973 +tp1974 +a(g7 +V +p1975 +tp1976 +a(g132 +V\u000a #{form_for @obj, :action => "#{base}/save", :cancel => "#{base}/list", :enctype => "multipart/form-data"}\u000a +p1977 +tp1978 +a(g33 +V +p1979 +tp1980 +a(g132 +V\u000a +tp1981 +a(g7 +V
    +p1982 +tp1983 +a(g132 +V\u000a +tp1984 +a(g7 +V +tp1987 +a(g132 +V\u000a +p1988 +tp1989 +a(g33 +V +p1990 +tp1991 +a(g132 +V\u000a +p1992 +tp1993 +a(g7 +V

    +tp1996 +a(g7 +V +tp2004 +a(g132 +V Home +p2005 +tp2006 +a(g7 +V +p2007 +tp2008 +a(g132 +V > +p2009 +tp2010 +a(g7 +V +tp2018 +a(g132 +VSystem +p2019 +tp2020 +a(g7 +V +p2021 +tp2022 +a(g132 +V > +p2023 +tp2024 +a(g7 +V +tp2032 +a(g132 +V#{"%plural%".humanize} +p2033 +tp2034 +a(g7 +V +p2035 +tp2036 +a(g132 +V > Search for '#@query' +p2037 +tp2038 +a(g7 +V

    +p2039 +tp2040 +a(g132 +V\u000a +p2041 +tp2042 +a(g7 +V

    +tp2045 +a(g132 +V\u000a +p2046 +tp2047 +a(g7 +V

    +tp2055 +a(g132 +V\u000a Search #{"%plural%".humanize}: +p2056 +tp2057 +a(g7 +V +p2071 +tp2072 +a(g313 +V  +p2073 +tp2074 +a(g7 +V +p2088 +tp2089 +a(g132 +V\u000a +p2090 +tp2091 +a(g7 +V
    +p2092 +tp2093 +a(g132 +V\u000a +p2094 +tp2095 +a(g7 +V

    +p2096 +tp2097 +a(g132 +V\u000a +p2098 +tp2099 +a(g33 +V +p2100 +tp2101 +a(g132 +V\u000a +p2102 +tp2103 +a(g7 +V

    +tp2106 +a(g132 +VSearch method is not implemented for this object +p2107 +tp2108 +a(g7 +V

    +p2109 +tp2110 +a(g132 +V\u000a +p2111 +tp2112 +a(g33 +V +p2113 +tp2114 +a(g132 +V\u000a +p2115 +tp2116 +a(g7 +V +tp2119 +a(g132 +V\u000a +p2120 +tp2121 +a(g33 +V +p2122 +tp2123 +a(g132 +V\u000a +p2124 +tp2125 +a(g7 +V +tp2128 +a(g132 +V\u000a +p2129 +tp2130 +a(g7 +V +p2151 +tp2152 +a(g132 +V\u000a +p2153 +tp2154 +a(g33 +V +p2155 +tp2156 +a(g132 +V\u000a +p2157 +tp2158 +a(g7 +V +p2169 +tp2170 +a(g132 +V\u000a +p2171 +tp2172 +a(g33 +V +p2173 +tp2174 +a(g132 +V\u000a +p2175 +tp2176 +a(g7 +V +p2192 +tp2193 +a(g132 +V\u000a +p2194 +tp2195 +a(g7 +V +p2211 +tp2212 +a(g132 +V\u000a +p2213 +tp2214 +a(g7 +V +p2215 +tp2216 +a(g132 +V\u000a +p2217 +tp2218 +a(g33 +V +p2219 +tp2220 +a(g132 +V\u000a +p2221 +tp2222 +a(g7 +V
    +tp2138 +a(g7 +V +tp2146 +a(g132 +V#(obj.to_s) +p2147 +tp2148 +a(g7 +V +p2149 +tp2150 +a(g7 +V +tp2166 +a(g132 +V#{obj.update_time.stamp(:db)} +p2167 +tp2168 +a(g7 +V +tp2179 +a(g7 +V +tp2187 +a(g132 +Vedit +p2188 +tp2189 +a(g7 +V +p2190 +tp2191 +a(g7 +V +tp2198 +a(g7 +V +tp2206 +a(g132 +Vdel +p2207 +tp2208 +a(g7 +V +p2209 +tp2210 +a(g7 +V
    +p2223 +tp2224 +a(g132 +V\u000a +p2225 +tp2226 +a(g7 +V
    +tp2239 +a(g132 +V\u000a #{@pager.navigation}\u000a +p2240 +tp2241 +a(g7 +V
    +p2242 +tp2243 +a(g132 +V \u000a +p2244 +tp2245 +a(g33 +V +p2246 +tp2247 +a(g132 +V\u000a +tp2248 +a(g7 +V
    +p2249 +tp2250 +a(g132 +V\u000a +tp2251 +a(g7 +V +tp2254 +a(g132 +V\u000a +p2255 +tp2256 +a(g33 +V +p2257 +tp2258 +a(g132 +V\u000a +p2259 +tp2260 +a(g7 +V

    +tp2263 +a(g132 +VView %name% +p2264 +tp2265 +a(g7 +V

    +p2266 +tp2267 +a(g132 +V\u000a +p2268 +tp2269 +a(g7 +V

    +tp2272 +a(g7 +V +tp2280 +a(g132 +VList of %plural% +p2281 +tp2282 +a(g7 +V +p2283 +tp2284 +a(g7 +V

    +p2285 +tp2286 +a(g132 +V\u000a +p2287 +tp2288 +a(g7 +V +tp2291 +a(g132 +V\u000a #{@obj.to_yaml} \u000a +p2292 +tp2293 +a(g7 +V +p2294 +tp2295 +a(g132 +V\u000a +tp2296 +a(g7 +V
    +p2297 +tp2298 +a(g132 +V\u000a +tp2299 +a(g7 +V +tp2302 +a(g132 +VAccess denied +p2303 +tp2304 +a(g7 +V +p2305 +tp2306 +a(g132 +V\u000a +tp2307 +a(g7 +V +tp2310 +a(g132 +V\u000a +p2311 +tp2312 +a(g33 +V +p2313 +tp2314 +a(g132 +V\u000a +p2315 +tp2316 +a(g7 +V

    +tp2319 +a(g7 +V +tp2327 +a(g132 +VHome +p2328 +tp2329 +a(g7 +V +p2330 +tp2331 +a(g132 +V > System +p2332 +tp2333 +a(g7 +V

    +p2334 +tp2335 +a(g132 +V\u000a \u000a +p2336 +tp2337 +a(g7 +V

    +tp2340 +a(g132 +VOg managed classes +p2341 +tp2342 +a(g7 +V

    +p2343 +tp2344 +a(g132 +V\u000a \u000a +p2345 +tp2346 +a(g7 +V +tp2349 +a(g132 +V\u000a +p2350 +tp2351 +a(g7 +V +tp2354 +a(g132 +V\u000a +p2355 +tp2356 +a(g7 +V +p2362 +tp2363 +a(g132 +V\u000a +p2364 +tp2365 +a(g7 +V +p2371 +tp2372 +a(g132 +V\u000a +p2373 +tp2374 +a(g7 +V +p2385 +tp2386 +a(g132 +V\u000a +p2387 +tp2388 +a(g7 +V +p2394 +tp2395 +a(g132 +V\u000a +p2396 +tp2397 +a(g7 +V +p2398 +tp2399 +a(g132 +V\u000a +p2400 +tp2401 +a(g33 +V +p2402 +tp2403 +a(g132 +V\u000a +p2404 +tp2405 +a(g7 +V +tp2408 +a(g132 +V\u000a +p2409 +tp2410 +a(g7 +V +p2426 +tp2427 +a(g132 +V\u000a +p2428 +tp2429 +a(g7 +V +p2435 +tp2436 +a(g132 +V\u000a +p2437 +tp2438 +a(g7 +V +p2459 +tp2460 +a(g132 +V\u000a +p2461 +tp2462 +a(g7 +V +p2483 +tp2484 +a(g132 +V\u000a +p2485 +tp2486 +a(g7 +V +p2497 +tp2498 +a(g132 +V\u000a +p2499 +tp2500 +a(g7 +V +p2501 +tp2502 +a(g132 +V\u000a +p2503 +tp2504 +a(g33 +V +p2505 +tp2506 +a(g132 +V\u000a +p2507 +tp2508 +a(g7 +V
    +tp2359 +a(g132 +VClass +p2360 +tp2361 +a(g7 +V +tp2368 +a(g132 +VCount +p2369 +tp2370 +a(g7 +V +tp2382 +a(g132 +VCleanup +p2383 +tp2384 +a(g7 +V +tp2391 +a(g132 +VProperties +p2392 +tp2393 +a(g7 +V
    +tp2413 +a(g7 +V +tp2421 +a(g132 +V#{c.name} +p2422 +tp2423 +a(g7 +V +p2424 +tp2425 +a(g7 +V +tp2432 +a(g132 +V#{c.count} +p2433 +tp2434 +a(g7 +V +tp2441 +a(g7 +V +tp2454 +a(g132 +Vdelete +p2455 +tp2456 +a(g7 +V +p2457 +tp2458 +a(g7 +V +tp2465 +a(g7 +V +tp2478 +a(g132 +Vdestroy +p2479 +tp2480 +a(g7 +V +p2481 +tp2482 +a(g7 +V +tp2494 +a(g132 +V#{c.properties.values.join(', ')} +p2495 +tp2496 +a(g7 +V
    +p2509 +tp2510 +a(g132 +V\u000a \u000a +p2511 +tp2512 +a(g7 +V

    +tp2515 +a(g132 +VSystem configuration +p2516 +tp2517 +a(g7 +V

    +p2518 +tp2519 +a(g132 +V\u000a \u000a +p2520 +tp2521 +a(g7 +V +tp2529 +a(g132 +V\u000a +p2530 +tp2531 +a(g7 +V +tp2534 +a(g132 +V\u000a +p2535 +tp2536 +a(g7 +V +p2542 +tp2543 +a(g132 +V\u000a +p2544 +tp2545 +a(g7 +V +p2551 +tp2552 +a(g132 +V\u000a +p2553 +tp2554 +a(g7 +V +p2560 +tp2561 +a(g132 +V\u000a +p2562 +tp2563 +a(g7 +V +p2569 +tp2570 +a(g132 +V\u000a +p2571 +tp2572 +a(g7 +V +p2573 +tp2574 +a(g132 +V\u000a +p2575 +tp2576 +a(g33 +V +p2577 +tp2578 +a(g132 +V\u000a +p2579 +tp2580 +a(g7 +V +tp2583 +a(g132 +V\u000a +p2584 +tp2585 +a(g7 +V +p2598 +tp2599 +a(g132 +V\u000a +p2600 +tp2601 +a(g7 +V +p2607 +tp2608 +a(g132 +V\u000a +p2609 +tp2610 +a(g7 +V +p2616 +tp2617 +a(g132 +V\u000a +p2618 +tp2619 +a(g7 +V +p2625 +tp2626 +a(g132 +V\u000a +p2627 +tp2628 +a(g7 +V +p2629 +tp2630 +a(g132 +V\u000a +p2631 +tp2632 +a(g33 +V +p2633 +tp2634 +a(g132 +V\u000a +p2635 +tp2636 +a(g7 +V
    +tp2539 +a(g132 +VName +p2540 +tp2541 +a(g7 +V +tp2548 +a(g132 +VValue +p2549 +tp2550 +a(g7 +V +tp2557 +a(g132 +VType +p2558 +tp2559 +a(g7 +V +tp2566 +a(g132 +VDescription +p2567 +tp2568 +a(g7 +V
    +tp2588 +a(g132 +V#{s.owner}. +p2589 +tp2590 +a(g7 +V +tp2593 +a(g132 +V#{s.name} +p2594 +tp2595 +a(g7 +V +p2596 +tp2597 +a(g7 +V +tp2604 +a(g132 +V#{s.value.inspect} +p2605 +tp2606 +a(g7 +V +tp2613 +a(g132 +V#{s.type} +p2614 +tp2615 +a(g7 +V +tp2622 +a(g132 +V#{s.options[:doc]} +p2623 +tp2624 +a(g7 +V
    +p2637 +tp2638 +a(g132 +V\u000a +tp2639 +a(g7 +V
    +p2640 +tp2641 +a(g132 +V \u000a\u000a +p2642 +tp2643 +a(g7 +V +tp2646 +a(g33 +V +p2647 +tp2648 +a(g7 +V +p2649 +tp2650 +a(g132 +V\u000a +tp2651 +a(g7 +V +tp2654 +a(g33 +V +p2655 +tp2656 +a(g7 +V +p2657 +tp2658 +a(g132 +V\u000a +tp2659 +a(g7 +V +tp2662 +a(g132 +V\u000a +p2663 +tp2664 +a(g7 +V +tp2667 +a(g132 +VTest +p2668 +tp2669 +a(g7 +V +p2670 +tp2671 +a(g132 +V\u000a\u000a +p2672 +tp2673 +a(g33 +V +p2674 +tp2675 +a(g132 +V\u000a\u000a +p2676 +tp2677 +a(g7 +V +p2686 +tp2687 +a(g132 +V\u000a +tp2688 +a(g7 +V +p2697 +tp2698 +a(g132 +V\u000a\u000a +p2699 +tp2700 +a(g7 +V +p2701 +tp2702 +a(g132 +V\u000a +tp2703 +a(g7 +V +tp2706 +a(g132 +Vhello +p2707 +tp2708 +a(g7 +V +p2709 +tp2710 +a(g132 +V\u000aHello #{username}\u000a\u000ahow do you feel?\u000a\u000aHere is your +p2711 +tp2712 +a(g7 +V +tp2715 +a(g132 +VToken +p2716 +tp2717 +a(g7 +V +p2718 +tp2719 +a(g132 +V: #{token}\u000a +p2720 +tp2721 +a(g7 +V +tp2729 +a(g132 +V\u000a +p2730 +tp2731 +a(g7 +V
    +tp2739 +a(g132 +V\u000a +p2740 +tp2741 +a(g33 +V +p2742 +tp2743 +a(g132 +V\u000a +p2744 +tp2745 +a(g7 +V

    +tp2748 +a(g132 +VQuestions with Tags: #{@tags.join(" ")} +p2749 +tp2750 +a(g7 +V

    +p2751 +tp2752 +a(g132 +V\u000a\u000a +p2753 +tp2754 +a(g33 +V 0 ?> +p2755 +tp2756 +a(g132 +V\u000a +p2757 +tp2758 +a(g33 +V +p2759 +tp2760 +a(g132 +V\u000a Too many results for that Tag, please reduce the number by using one of the following Tags:\u000a #{cloud_of(@qtags)}\u000a +p2761 +tp2762 +a(g33 +V +p2763 +tp2764 +a(g132 +V\u000a +p2765 +tp2766 +a(g7 +V
    +tp2774 +a(g132 +V\u000a +p2775 +tp2776 +a(g33 +V +p2777 +tp2778 +a(g132 +V\u000a +p2779 +tp2780 +a(g7 +V

    +tp2783 +a(g7 +V +tp2791 +a(g132 +V#{q.question} +p2792 +tp2793 +a(g7 +V +p2794 +tp2795 +a(g7 +V

    +p2796 +tp2797 +a(g132 +V\u000a +p2798 +tp2799 +a(g7 +V

    +tp2802 +a(g132 +V\u000a +p2803 +tp2804 +a(g33 +V +p2805 +tp2806 +a(g132 +V\u000a #{excerpt}\u000a +p2807 +tp2808 +a(g7 +V

    +p2809 +tp2810 +a(g132 +V\u000a +p2811 +tp2812 +a(g7 +V

    +tp2820 +a(g132 +V#{q.answers.size.to_i} answers +p2821 +tp2822 +a(g7 +V

    +p2823 +tp2824 +a(g132 +V\u000a +p2825 +tp2826 +a(g33 +V +p2827 +tp2828 +a(g132 +V\u000a +p2829 +tp2830 +a(g7 +V
    +p2831 +tp2832 +a(g132 +V\u000a +p2833 +tp2834 +a(g7 +V
    +tp2842 +a(g132 +V\u000a #{@qpager.navigation}\u000a +p2843 +tp2844 +a(g7 +V
    +p2845 +tp2846 +a(g132 +V\u000a +p2847 +tp2848 +a(g33 +V +p2849 +tp2850 +a(g132 +V\u000a +p2851 +tp2852 +a(g7 +V
    +tp2860 +a(g132 +V\u000a +p2861 +tp2862 +a(g7 +V

    +tp2865 +a(g132 +Vno question with this/these tag(s) found +p2866 +tp2867 +a(g7 +V

    +p2868 +tp2869 +a(g132 +V\u000a +p2870 +tp2871 +a(g7 +V

    +tp2874 +a(g7 +V +tp2882 +a(g132 +VAsk a question here. +p2883 +tp2884 +a(g7 +V +p2885 +tp2886 +a(g7 +V

    +p2887 +tp2888 +a(g132 +V\u000a +p2889 +tp2890 +a(g7 +V
    +p2891 +tp2892 +a(g132 +V\u000a +p2893 +tp2894 +a(g33 +V +p2895 +tp2896 +a(g132 +V\u000a \u000a +p2897 +tp2898 +a(g33 +V 0 ?> +p2899 +tp2900 +a(g132 +V\u000a +p2901 +tp2902 +a(g7 +V

    +tp2905 +a(g132 +VTips with Tags: #{@tags.join(" ")} +p2906 +tp2907 +a(g7 +V

    +p2908 +tp2909 +a(g132 +V\u000a +p2910 +tp2911 +a(g33 +V +p2912 +tp2913 +a(g132 +V\u000a Too many results for that Tag, please reduce the number by using one of the following Tags:\u000a #{cloud_of(@ttags)}\u000a +p2914 +tp2915 +a(g33 +V +p2916 +tp2917 +a(g132 +V\u000a +p2918 +tp2919 +a(g7 +V
    +tp2927 +a(g132 +V\u000a +p2928 +tp2929 +a(g33 +V +p2930 +tp2931 +a(g132 +V\u000a +p2932 +tp2933 +a(g7 +V

    +tp2936 +a(g7 +V +tp2944 +a(g132 +V#{t.title} +p2945 +tp2946 +a(g7 +V +p2947 +tp2948 +a(g7 +V

    +p2949 +tp2950 +a(g132 +V\u000a +p2951 +tp2952 +a(g7 +V

    +tp2955 +a(g132 +V\u000a +p2956 +tp2957 +a(g33 +V +p2958 +tp2959 +a(g132 +V\u000a #{excerpt}\u000a +p2960 +tp2961 +a(g7 +V

    +p2962 +tp2963 +a(g132 +V\u000a +p2964 +tp2965 +a(g33 +V +p2966 +tp2967 +a(g132 +V\u000a +p2968 +tp2969 +a(g7 +V
    +p2970 +tp2971 +a(g132 +V\u000a +p2972 +tp2973 +a(g7 +V
    +tp2981 +a(g132 +V\u000a #{@tpager.navigation}\u000a +p2982 +tp2983 +a(g7 +V
    +p2984 +tp2985 +a(g132 +V\u000a +p2986 +tp2987 +a(g33 +V +p2988 +tp2989 +a(g132 +V\u000a \u000a +p2990 +tp2991 +a(g33 +V 0 ?> +p2992 +tp2993 +a(g132 +V\u000a +p2994 +tp2995 +a(g7 +V

    +tp2998 +a(g132 +VTutorials with Tags: #{@tags.join(" ")} +p2999 +tp3000 +a(g7 +V

    +p3001 +tp3002 +a(g132 +V\u000a +p3003 +tp3004 +a(g33 +V +p3005 +tp3006 +a(g132 +V\u000a Too many results for that Tag, please reduce the number by using one of the following Tags:\u000a #{cloud_of(@tuttags)}\u000a +p3007 +tp3008 +a(g33 +V +p3009 +tp3010 +a(g132 +V\u000a +p3011 +tp3012 +a(g7 +V
    +tp3020 +a(g132 +V\u000a +p3021 +tp3022 +a(g33 +V +p3023 +tp3024 +a(g132 +V\u000a +p3025 +tp3026 +a(g7 +V

    +tp3029 +a(g7 +V +tp3037 +a(g132 +V#{t.title} +p3038 +tp3039 +a(g7 +V +p3040 +tp3041 +a(g7 +V

    +p3042 +tp3043 +a(g132 +V\u000a +p3044 +tp3045 +a(g7 +V

    +tp3048 +a(g132 +V\u000a +p3049 +tp3050 +a(g33 +V +p3051 +tp3052 +a(g132 +V\u000a #{excerpt}\u000a +p3053 +tp3054 +a(g7 +V

    +p3055 +tp3056 +a(g132 +V\u000a +p3057 +tp3058 +a(g33 +V +p3059 +tp3060 +a(g132 +V\u000a +p3061 +tp3062 +a(g7 +V
    +p3063 +tp3064 +a(g132 +V\u000a +p3065 +tp3066 +a(g7 +V
    +tp3074 +a(g132 +V\u000a #{@tpager.navigation}\u000a +p3075 +tp3076 +a(g7 +V
    +p3077 +tp3078 +a(g132 +V\u000a +p3079 +tp3080 +a(g33 +V +p3081 +tp3082 +a(g132 +V\u000a \u000a \u000a +p3083 +tp3084 +a(g33 +V +p3085 +tp3086 +a(g132 +V\u000a +p3087 +tp3088 +a(g7 +V
    +tp3096 +a(g132 +V\u000a +p3097 +tp3098 +a(g33 +V +p3099 +tp3100 +a(g132 +V\u000a +p3101 +tp3102 +a(g33 +V +p3103 +tp3104 +a(g132 +V\u000a +p3105 +tp3106 +a(g7 +V +tp3119 +a(g132 +V#{t.name} +p3120 +tp3121 +a(g7 +V +p3122 +tp3123 +a(g132 +V\u000a +p3124 +tp3125 +a(g33 +V +p3126 +tp3127 +a(g132 +V\u000a +p3128 +tp3129 +a(g7 +V
    +p3130 +tp3131 +a(g132 +V +tp3132 +a(g30 +V +p3137 +tp3138 +a(g132 +V\u000a +p3139 +tp3140 +a(g33 +V +p3141 +tp3142 +a(g132 +V\u000a +p3143 +tp3144 +a(g7 +V
    +p3145 +tp3146 +a(g132 +V +tp3147 +a(g30 +V +p3152 +tp3153 +a(g132 +V\u000a \u000a +p3154 +tp3155 +a(g7 +V +p3164 +tp3165 +a(g132 +V\u000a +tp3166 +a(g7 +V
    +p3167 +tp3168 +a(g132 +V\u000a\u000a +p3169 +tp3170 +a(g30 +V +p3178 +tp3179 +a(g132 +V\u000a +tp3180 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example.yaml b/tests/examplefiles/output/example.yaml new file mode 100644 index 0000000..4fe327f --- /dev/null +++ b/tests/examplefiles/output/example.yaml @@ -0,0 +1,6262 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV# +tp367 +a(g189 +V\u000a +tp368 +a(g7 +V# Examples from the Preview section of the YAML specification +p369 +tp370 +a(g189 +V\u000a +tp371 +a(g7 +V# (http://yaml.org/spec/1.2/#Preview) +p372 +tp373 +a(g189 +V\u000a +tp374 +a(g7 +V# +tp375 +a(g189 +V\u000a\u000a +p376 +tp377 +a(g7 +V# Sequence of scalars +p378 +tp379 +a(g189 +V\u000a +tp380 +a(g99 +V--- +p381 +tp382 +a(g189 +V\u000a +tp383 +a(g206 +V- +tp384 +a(g189 +V +tp385 +a(g292 +VMark +p386 +tp387 +a(g292 +V +tp388 +a(g292 +VMcGwire +p389 +tp390 +a(g189 +V\u000a +tp391 +a(g206 +V- +tp392 +a(g189 +V +tp393 +a(g292 +VSammy +p394 +tp395 +a(g292 +V +tp396 +a(g292 +VSosa +p397 +tp398 +a(g189 +V\u000a +tp399 +a(g206 +V- +tp400 +a(g189 +V +tp401 +a(g292 +VKen +p402 +tp403 +a(g292 +V +tp404 +a(g292 +VGriffey +p405 +tp406 +a(g189 +V\u000a\u000a +p407 +tp408 +a(g7 +V# Mapping scalars to scalars +p409 +tp410 +a(g189 +V\u000a +tp411 +a(g99 +V--- +p412 +tp413 +a(g189 +V\u000a +tp414 +a(g292 +Vhr +p415 +tp416 +a(g206 +V: +tp417 +a(g189 +V +p418 +tp419 +a(g292 +V65 +p420 +tp421 +a(g189 +V +p422 +tp423 +a(g7 +V# Home runs +p424 +tp425 +a(g189 +V\u000a +tp426 +a(g292 +Vavg +p427 +tp428 +a(g206 +V: +tp429 +a(g189 +V +tp430 +a(g292 +V0.278 +p431 +tp432 +a(g189 +V +tp433 +a(g7 +V# Batting average +p434 +tp435 +a(g189 +V\u000a +tp436 +a(g292 +Vrbi +p437 +tp438 +a(g206 +V: +tp439 +a(g189 +V +tp440 +a(g292 +V147 +p441 +tp442 +a(g189 +V +p443 +tp444 +a(g7 +V# Runs Batted In +p445 +tp446 +a(g189 +V\u000a\u000a +p447 +tp448 +a(g7 +V# Mapping scalars to sequences +p449 +tp450 +a(g189 +V\u000a +tp451 +a(g99 +V--- +p452 +tp453 +a(g189 +V\u000a +tp454 +a(g292 +Vamerican +p455 +tp456 +a(g206 +V: +tp457 +a(g189 +V\u000a +tp458 +a(g189 +V +p459 +tp460 +a(g206 +V- +tp461 +a(g189 +V +tp462 +a(g292 +VBoston +p463 +tp464 +a(g292 +V +tp465 +a(g292 +VRed +p466 +tp467 +a(g292 +V +tp468 +a(g292 +VSox +p469 +tp470 +a(g189 +V\u000a +tp471 +a(g189 +V +p472 +tp473 +a(g206 +V- +tp474 +a(g189 +V +tp475 +a(g292 +VDetroit +p476 +tp477 +a(g292 +V +tp478 +a(g292 +VTigers +p479 +tp480 +a(g189 +V\u000a +tp481 +a(g189 +V +p482 +tp483 +a(g206 +V- +tp484 +a(g189 +V +tp485 +a(g292 +VNew +p486 +tp487 +a(g292 +V +tp488 +a(g292 +VYork +p489 +tp490 +a(g292 +V +tp491 +a(g292 +VYankees +p492 +tp493 +a(g189 +V\u000a +tp494 +a(g292 +Vnational +p495 +tp496 +a(g206 +V: +tp497 +a(g189 +V\u000a +tp498 +a(g189 +V +p499 +tp500 +a(g206 +V- +tp501 +a(g189 +V +tp502 +a(g292 +VNew +p503 +tp504 +a(g292 +V +tp505 +a(g292 +VYork +p506 +tp507 +a(g292 +V +tp508 +a(g292 +VMets +p509 +tp510 +a(g189 +V\u000a +tp511 +a(g189 +V +p512 +tp513 +a(g206 +V- +tp514 +a(g189 +V +tp515 +a(g292 +VChicago +p516 +tp517 +a(g292 +V +tp518 +a(g292 +VCubs +p519 +tp520 +a(g189 +V\u000a +tp521 +a(g189 +V +p522 +tp523 +a(g206 +V- +tp524 +a(g189 +V +tp525 +a(g292 +VAtlanta +p526 +tp527 +a(g292 +V +tp528 +a(g292 +VBraves +p529 +tp530 +a(g189 +V\u000a\u000a +p531 +tp532 +a(g7 +V# Sequence of mappings +p533 +tp534 +a(g189 +V\u000a +tp535 +a(g99 +V--- +p536 +tp537 +a(g189 +V\u000a +tp538 +a(g206 +V- +tp539 +a(g189 +V\u000a +tp540 +a(g189 +V +p541 +tp542 +a(g292 +Vname +p543 +tp544 +a(g206 +V: +tp545 +a(g189 +V +tp546 +a(g292 +VMark +p547 +tp548 +a(g292 +V +tp549 +a(g292 +VMcGwire +p550 +tp551 +a(g189 +V\u000a +tp552 +a(g189 +V +p553 +tp554 +a(g292 +Vhr +p555 +tp556 +a(g206 +V: +tp557 +a(g189 +V +p558 +tp559 +a(g292 +V65 +p560 +tp561 +a(g189 +V\u000a +tp562 +a(g189 +V +p563 +tp564 +a(g292 +Vavg +p565 +tp566 +a(g206 +V: +tp567 +a(g189 +V +p568 +tp569 +a(g292 +V0.278 +p570 +tp571 +a(g189 +V\u000a +tp572 +a(g206 +V- +tp573 +a(g189 +V\u000a +tp574 +a(g189 +V +p575 +tp576 +a(g292 +Vname +p577 +tp578 +a(g206 +V: +tp579 +a(g189 +V +tp580 +a(g292 +VSammy +p581 +tp582 +a(g292 +V +tp583 +a(g292 +VSosa +p584 +tp585 +a(g189 +V\u000a +tp586 +a(g189 +V +p587 +tp588 +a(g292 +Vhr +p589 +tp590 +a(g206 +V: +tp591 +a(g189 +V +p592 +tp593 +a(g292 +V63 +p594 +tp595 +a(g189 +V\u000a +tp596 +a(g189 +V +p597 +tp598 +a(g292 +Vavg +p599 +tp600 +a(g206 +V: +tp601 +a(g189 +V +p602 +tp603 +a(g292 +V0.288 +p604 +tp605 +a(g189 +V\u000a\u000a +p606 +tp607 +a(g7 +V# Sequence of sequences +p608 +tp609 +a(g189 +V\u000a +tp610 +a(g99 +V--- +p611 +tp612 +a(g189 +V\u000a +tp613 +a(g206 +V- +tp614 +a(g189 +V +tp615 +a(g206 +V[ +tp616 +a(g73 +Vname +p617 +tp618 +a(g189 +V +p619 +tp620 +a(g206 +V, +tp621 +a(g189 +V +tp622 +a(g73 +Vhr +p623 +tp624 +a(g206 +V, +tp625 +a(g189 +V +tp626 +a(g73 +Vavg +p627 +tp628 +a(g189 +V +p629 +tp630 +a(g206 +V] +tp631 +a(g189 +V\u000a +tp632 +a(g206 +V- +tp633 +a(g189 +V +tp634 +a(g206 +V[ +tp635 +a(g73 +VMark +p636 +tp637 +a(g73 +V +tp638 +a(g73 +VMcGwire +p639 +tp640 +a(g206 +V, +tp641 +a(g189 +V +tp642 +a(g73 +V65 +p643 +tp644 +a(g206 +V, +tp645 +a(g189 +V +tp646 +a(g73 +V0.278 +p647 +tp648 +a(g206 +V] +tp649 +a(g189 +V\u000a +tp650 +a(g206 +V- +tp651 +a(g189 +V +tp652 +a(g206 +V[ +tp653 +a(g73 +VSammy +p654 +tp655 +a(g73 +V +tp656 +a(g73 +VSosa +p657 +tp658 +a(g189 +V +p659 +tp660 +a(g206 +V, +tp661 +a(g189 +V +tp662 +a(g73 +V63 +p663 +tp664 +a(g206 +V, +tp665 +a(g189 +V +tp666 +a(g73 +V0.288 +p667 +tp668 +a(g206 +V] +tp669 +a(g189 +V\u000a\u000a +p670 +tp671 +a(g7 +V# Mapping of mappings +p672 +tp673 +a(g189 +V\u000a +tp674 +a(g99 +V--- +p675 +tp676 +a(g189 +V\u000a +tp677 +a(g292 +VMark +p678 +tp679 +a(g292 +V +tp680 +a(g292 +VMcGwire +p681 +tp682 +a(g206 +V: +tp683 +a(g189 +V +tp684 +a(g206 +V{ +tp685 +a(g73 +Vhr +p686 +tp687 +a(g206 +V: +tp688 +a(g189 +V +tp689 +a(g73 +V65 +p690 +tp691 +a(g206 +V, +tp692 +a(g189 +V +tp693 +a(g73 +Vavg +p694 +tp695 +a(g206 +V: +tp696 +a(g189 +V +tp697 +a(g73 +V0.278 +p698 +tp699 +a(g206 +V} +tp700 +a(g189 +V\u000a +tp701 +a(g292 +VSammy +p702 +tp703 +a(g292 +V +tp704 +a(g292 +VSosa +p705 +tp706 +a(g206 +V: +tp707 +a(g189 +V +tp708 +a(g206 +V{ +tp709 +a(g189 +V\u000a +tp710 +a(g189 +V +p711 +tp712 +a(g73 +Vhr +p713 +tp714 +a(g206 +V: +tp715 +a(g189 +V +tp716 +a(g73 +V63 +p717 +tp718 +a(g206 +V, +tp719 +a(g189 +V\u000a +tp720 +a(g189 +V +p721 +tp722 +a(g73 +Vavg +p723 +tp724 +a(g206 +V: +tp725 +a(g189 +V +tp726 +a(g73 +V0.288 +p727 +tp728 +a(g189 +V\u000a +tp729 +a(g189 +V +p730 +tp731 +a(g206 +V} +tp732 +a(g189 +V\u000a\u000a +p733 +tp734 +a(g7 +V# Two documents in a stream +p735 +tp736 +a(g189 +V\u000a +tp737 +a(g99 +V--- +p738 +tp739 +a(g189 +V +tp740 +a(g7 +V# Ranking of 1998 home runs +p741 +tp742 +a(g189 +V\u000a +tp743 +a(g206 +V- +tp744 +a(g189 +V +tp745 +a(g292 +VMark +p746 +tp747 +a(g292 +V +tp748 +a(g292 +VMcGwire +p749 +tp750 +a(g189 +V\u000a +tp751 +a(g206 +V- +tp752 +a(g189 +V +tp753 +a(g292 +VSammy +p754 +tp755 +a(g292 +V +tp756 +a(g292 +VSosa +p757 +tp758 +a(g189 +V\u000a +tp759 +a(g206 +V- +tp760 +a(g189 +V +tp761 +a(g292 +VKen +p762 +tp763 +a(g292 +V +tp764 +a(g292 +VGriffey +p765 +tp766 +a(g189 +V\u000a +tp767 +a(g99 +V--- +p768 +tp769 +a(g189 +V +tp770 +a(g7 +V# Team ranking +p771 +tp772 +a(g189 +V\u000a +tp773 +a(g206 +V- +tp774 +a(g189 +V +tp775 +a(g292 +VChicago +p776 +tp777 +a(g292 +V +tp778 +a(g292 +VCubs +p779 +tp780 +a(g189 +V\u000a +tp781 +a(g206 +V- +tp782 +a(g189 +V +tp783 +a(g292 +VSt +p784 +tp785 +a(g292 +V +tp786 +a(g292 +VLouis +p787 +tp788 +a(g292 +V +tp789 +a(g292 +VCardinals +p790 +tp791 +a(g189 +V\u000a\u000a +p792 +tp793 +a(g7 +V# Documents with the end indicator +p794 +tp795 +a(g189 +V\u000a +tp796 +a(g99 +V--- +p797 +tp798 +a(g189 +V\u000a +tp799 +a(g292 +Vtime +p800 +tp801 +a(g206 +V: +tp802 +a(g189 +V +tp803 +a(g292 +V20:03:20 +p804 +tp805 +a(g189 +V\u000a +tp806 +a(g292 +Vplayer +p807 +tp808 +a(g206 +V: +tp809 +a(g189 +V +tp810 +a(g292 +VSammy +p811 +tp812 +a(g292 +V +tp813 +a(g292 +VSosa +p814 +tp815 +a(g189 +V\u000a +tp816 +a(g292 +Vaction +p817 +tp818 +a(g206 +V: +tp819 +a(g189 +V +tp820 +a(g292 +Vstrike +p821 +tp822 +a(g292 +V +tp823 +a(g292 +V(miss) +p824 +tp825 +a(g189 +V\u000a +tp826 +a(g99 +V... +p827 +tp828 +a(g189 +V\u000a +tp829 +a(g99 +V--- +p830 +tp831 +a(g189 +V\u000a +tp832 +a(g292 +Vtime +p833 +tp834 +a(g206 +V: +tp835 +a(g189 +V +tp836 +a(g292 +V20:03:47 +p837 +tp838 +a(g189 +V\u000a +tp839 +a(g292 +Vplayer +p840 +tp841 +a(g206 +V: +tp842 +a(g189 +V +tp843 +a(g292 +VSammy +p844 +tp845 +a(g292 +V +tp846 +a(g292 +VSosa +p847 +tp848 +a(g189 +V\u000a +tp849 +a(g292 +Vaction +p850 +tp851 +a(g206 +V: +tp852 +a(g189 +V +tp853 +a(g292 +Vgrand +p854 +tp855 +a(g292 +V +tp856 +a(g292 +Vslam +p857 +tp858 +a(g189 +V\u000a +tp859 +a(g99 +V... +p860 +tp861 +a(g189 +V\u000a\u000a +p862 +tp863 +a(g7 +V# Comments +p864 +tp865 +a(g189 +V\u000a +tp866 +a(g99 +V--- +p867 +tp868 +a(g189 +V\u000a +tp869 +a(g292 +Vhr +p870 +tp871 +a(g206 +V: +tp872 +a(g189 +V +tp873 +a(g7 +V# 1998 hr ranking +p874 +tp875 +a(g189 +V\u000a +tp876 +a(g189 +V +p877 +tp878 +a(g206 +V- +tp879 +a(g189 +V +tp880 +a(g292 +VMark +p881 +tp882 +a(g292 +V +tp883 +a(g292 +VMcGwire +p884 +tp885 +a(g189 +V\u000a +tp886 +a(g189 +V +p887 +tp888 +a(g206 +V- +tp889 +a(g189 +V +tp890 +a(g292 +VSammy +p891 +tp892 +a(g292 +V +tp893 +a(g292 +VSosa +p894 +tp895 +a(g189 +V\u000a +tp896 +a(g292 +Vrbi +p897 +tp898 +a(g206 +V: +tp899 +a(g189 +V\u000a +tp900 +a(g189 +V +p901 +tp902 +a(g7 +V# 1998 rbi ranking +p903 +tp904 +a(g189 +V\u000a +tp905 +a(g189 +V +p906 +tp907 +a(g206 +V- +tp908 +a(g189 +V +tp909 +a(g292 +VSammy +p910 +tp911 +a(g292 +V +tp912 +a(g292 +VSosa +p913 +tp914 +a(g189 +V\u000a +tp915 +a(g189 +V +p916 +tp917 +a(g206 +V- +tp918 +a(g189 +V +tp919 +a(g292 +VKen +p920 +tp921 +a(g292 +V +tp922 +a(g292 +VGriffey +p923 +tp924 +a(g189 +V\u000a\u000a +p925 +tp926 +a(g7 +V# Anchors and aliases +p927 +tp928 +a(g189 +V\u000a +tp929 +a(g99 +V--- +p930 +tp931 +a(g189 +V\u000a +tp932 +a(g292 +Vhr +p933 +tp934 +a(g206 +V: +tp935 +a(g189 +V\u000a +tp936 +a(g189 +V +p937 +tp938 +a(g206 +V- +tp939 +a(g189 +V +tp940 +a(g292 +VMark +p941 +tp942 +a(g292 +V +tp943 +a(g292 +VMcGwire +p944 +tp945 +a(g189 +V\u000a +tp946 +a(g189 +V +p947 +tp948 +a(g7 +V# Following node labeled SS +p949 +tp950 +a(g189 +V\u000a +tp951 +a(g189 +V +p952 +tp953 +a(g206 +V- +tp954 +a(g189 +V +tp955 +a(g45 +V&SS +p956 +tp957 +a(g189 +V +tp958 +a(g292 +VSammy +p959 +tp960 +a(g292 +V +tp961 +a(g292 +VSosa +p962 +tp963 +a(g189 +V\u000a +tp964 +a(g292 +Vrbi +p965 +tp966 +a(g206 +V: +tp967 +a(g189 +V\u000a +tp968 +a(g189 +V +p969 +tp970 +a(g206 +V- +tp971 +a(g189 +V +tp972 +a(g73 +V*SS +p973 +tp974 +a(g189 +V +tp975 +a(g7 +V# Subsequent occurrence +p976 +tp977 +a(g189 +V\u000a +tp978 +a(g189 +V +p979 +tp980 +a(g206 +V- +tp981 +a(g189 +V +tp982 +a(g292 +VKen +p983 +tp984 +a(g292 +V +tp985 +a(g292 +VGriffey +p986 +tp987 +a(g189 +V\u000a\u000a +p988 +tp989 +a(g7 +V# Mapping between sequences +p990 +tp991 +a(g189 +V\u000a +tp992 +a(g99 +V--- +p993 +tp994 +a(g189 +V\u000a +tp995 +a(g206 +V? +tp996 +a(g189 +V +tp997 +a(g206 +V- +tp998 +a(g189 +V +tp999 +a(g292 +VDetroit +p1000 +tp1001 +a(g292 +V +tp1002 +a(g292 +VTigers +p1003 +tp1004 +a(g189 +V\u000a +tp1005 +a(g189 +V +p1006 +tp1007 +a(g206 +V- +tp1008 +a(g189 +V +tp1009 +a(g292 +VChicago +p1010 +tp1011 +a(g292 +V +tp1012 +a(g292 +Vcubs +p1013 +tp1014 +a(g189 +V\u000a +tp1015 +a(g206 +V: +tp1016 +a(g189 +V\u000a +tp1017 +a(g189 +V +p1018 +tp1019 +a(g206 +V- +tp1020 +a(g189 +V +tp1021 +a(g292 +V2001-07-23 +p1022 +tp1023 +a(g189 +V\u000a +tp1024 +a(g206 +V? +tp1025 +a(g189 +V +tp1026 +a(g206 +V[ +tp1027 +a(g189 +V +tp1028 +a(g73 +VNew +p1029 +tp1030 +a(g73 +V +tp1031 +a(g73 +VYork +p1032 +tp1033 +a(g73 +V +tp1034 +a(g73 +VYankees +p1035 +tp1036 +a(g206 +V, +tp1037 +a(g189 +V\u000a +tp1038 +a(g189 +V +p1039 +tp1040 +a(g73 +VAtlanta +p1041 +tp1042 +a(g73 +V +tp1043 +a(g73 +VBraves +p1044 +tp1045 +a(g189 +V +tp1046 +a(g206 +V] +tp1047 +a(g189 +V\u000a +tp1048 +a(g206 +V: +tp1049 +a(g189 +V +tp1050 +a(g206 +V[ +tp1051 +a(g189 +V +tp1052 +a(g73 +V2001-07-02 +p1053 +tp1054 +a(g206 +V, +tp1055 +a(g189 +V +tp1056 +a(g73 +V2001-08-12 +p1057 +tp1058 +a(g206 +V, +tp1059 +a(g189 +V\u000a +tp1060 +a(g189 +V +p1061 +tp1062 +a(g73 +V2001-08-14 +p1063 +tp1064 +a(g189 +V +tp1065 +a(g206 +V] +tp1066 +a(g189 +V\u000a\u000a +p1067 +tp1068 +a(g7 +V# Inline nested mapping +p1069 +tp1070 +a(g189 +V\u000a +tp1071 +a(g99 +V--- +p1072 +tp1073 +a(g189 +V\u000a +tp1074 +a(g7 +V# products purchased +p1075 +tp1076 +a(g189 +V\u000a +tp1077 +a(g206 +V- +tp1078 +a(g189 +V +tp1079 +a(g292 +Vitem +p1080 +tp1081 +a(g189 +V +p1082 +tp1083 +a(g206 +V: +tp1084 +a(g189 +V +tp1085 +a(g292 +VSuper +p1086 +tp1087 +a(g292 +V +tp1088 +a(g292 +VHoop +p1089 +tp1090 +a(g189 +V\u000a +tp1091 +a(g189 +V +p1092 +tp1093 +a(g292 +Vquantity +p1094 +tp1095 +a(g206 +V: +tp1096 +a(g189 +V +tp1097 +a(g292 +V1 +tp1098 +a(g189 +V\u000a +tp1099 +a(g206 +V- +tp1100 +a(g189 +V +tp1101 +a(g292 +Vitem +p1102 +tp1103 +a(g189 +V +p1104 +tp1105 +a(g206 +V: +tp1106 +a(g189 +V +tp1107 +a(g292 +VBasketball +p1108 +tp1109 +a(g189 +V\u000a +tp1110 +a(g189 +V +p1111 +tp1112 +a(g292 +Vquantity +p1113 +tp1114 +a(g206 +V: +tp1115 +a(g189 +V +tp1116 +a(g292 +V4 +tp1117 +a(g189 +V\u000a +tp1118 +a(g206 +V- +tp1119 +a(g189 +V +tp1120 +a(g292 +Vitem +p1121 +tp1122 +a(g189 +V +p1123 +tp1124 +a(g206 +V: +tp1125 +a(g189 +V +tp1126 +a(g292 +VBig +p1127 +tp1128 +a(g292 +V +tp1129 +a(g292 +VShoes +p1130 +tp1131 +a(g189 +V\u000a +tp1132 +a(g189 +V +p1133 +tp1134 +a(g292 +Vquantity +p1135 +tp1136 +a(g206 +V: +tp1137 +a(g189 +V +tp1138 +a(g292 +V1 +tp1139 +a(g189 +V\u000a\u000a +p1140 +tp1141 +a(g7 +V# Literal scalars +p1142 +tp1143 +a(g189 +V\u000a +tp1144 +a(g99 +V--- +p1145 +tp1146 +a(g189 +V +tp1147 +a(g206 +V| +tp1148 +a(g189 +V +tp1149 +a(g7 +V# ASCII art +p1150 +tp1151 +a(g189 +V\u000a +tp1152 +a(g189 +V +p1153 +tp1154 +a(g33 +V\u005c//||\u005c/|| +p1155 +tp1156 +a(g189 +V\u000a +tp1157 +a(g189 +V +p1158 +tp1159 +a(g33 +V// || ||__ +p1160 +tp1161 +a(g189 +V\u000a +tp1162 +a(g189 +V\u000a +tp1163 +a(g7 +V# Folded scalars +p1164 +tp1165 +a(g189 +V\u000a +tp1166 +a(g99 +V--- +p1167 +tp1168 +a(g189 +V +tp1169 +a(g206 +V> +tp1170 +a(g189 +V\u000a +tp1171 +a(g189 +V +p1172 +tp1173 +a(g33 +VMark McGwire's +p1174 +tp1175 +a(g189 +V\u000a +tp1176 +a(g189 +V +p1177 +tp1178 +a(g33 +Vyear was crippled +p1179 +tp1180 +a(g189 +V\u000a +tp1181 +a(g189 +V +p1182 +tp1183 +a(g33 +Vby a knee injury. +p1184 +tp1185 +a(g189 +V\u000a +tp1186 +a(g189 +V\u000a +tp1187 +a(g7 +V# Preserved indented block in a folded scalar +p1188 +tp1189 +a(g189 +V\u000a +tp1190 +a(g99 +V--- +p1191 +tp1192 +a(g189 +V\u000a +tp1193 +a(g206 +V> +tp1194 +a(g189 +V\u000a +tp1195 +a(g189 +V +tp1196 +a(g33 +VSammy Sosa completed another +p1197 +tp1198 +a(g189 +V\u000a +tp1199 +a(g189 +V +tp1200 +a(g33 +Vfine season with great stats. +p1201 +tp1202 +a(g189 +V\u000a +tp1203 +a(g189 +V\u000a +tp1204 +a(g189 +V +p1205 +tp1206 +a(g33 +V63 Home Runs +p1207 +tp1208 +a(g189 +V\u000a +tp1209 +a(g189 +V +p1210 +tp1211 +a(g33 +V0.288 Batting Average +p1212 +tp1213 +a(g189 +V\u000a +tp1214 +a(g189 +V\u000a +tp1215 +a(g189 +V +tp1216 +a(g33 +VWhat a year! +p1217 +tp1218 +a(g189 +V\u000a +tp1219 +a(g189 +V\u000a +tp1220 +a(g7 +V# Indentation determines scope +p1221 +tp1222 +a(g189 +V\u000a +tp1223 +a(g99 +V--- +p1224 +tp1225 +a(g189 +V\u000a +tp1226 +a(g292 +Vname +p1227 +tp1228 +a(g206 +V: +tp1229 +a(g189 +V +tp1230 +a(g292 +VMark +p1231 +tp1232 +a(g292 +V +tp1233 +a(g292 +VMcGwire +p1234 +tp1235 +a(g189 +V\u000a +tp1236 +a(g292 +Vaccomplishment +p1237 +tp1238 +a(g206 +V: +tp1239 +a(g189 +V +tp1240 +a(g206 +V> +tp1241 +a(g189 +V\u000a +tp1242 +a(g189 +V +p1243 +tp1244 +a(g33 +VMark set a major league +p1245 +tp1246 +a(g189 +V\u000a +tp1247 +a(g189 +V +p1248 +tp1249 +a(g33 +Vhome run record in 1998. +p1250 +tp1251 +a(g189 +V\u000a +tp1252 +a(g292 +Vstats +p1253 +tp1254 +a(g206 +V: +tp1255 +a(g189 +V +tp1256 +a(g206 +V| +tp1257 +a(g189 +V\u000a +tp1258 +a(g189 +V +p1259 +tp1260 +a(g33 +V65 Home Runs +p1261 +tp1262 +a(g189 +V\u000a +tp1263 +a(g189 +V +p1264 +tp1265 +a(g33 +V0.278 Batting Average +p1266 +tp1267 +a(g189 +V\u000a +tp1268 +a(g189 +V\u000a +tp1269 +a(g7 +V# Quoted scalars +p1270 +tp1271 +a(g189 +V\u000a +tp1272 +a(g99 +V--- +p1273 +tp1274 +a(g189 +V\u000a +tp1275 +a(g292 +Vunicode +p1276 +tp1277 +a(g206 +V: +tp1278 +a(g189 +V +tp1279 +a(g226 +V" +tp1280 +a(g226 +VSosa +p1281 +tp1282 +a(g73 +V +tp1283 +a(g226 +Vdid +p1284 +tp1285 +a(g73 +V +tp1286 +a(g226 +Vfine. +p1287 +tp1288 +a(g252 +V\u005cu263A +p1289 +tp1290 +a(g226 +V" +tp1291 +a(g189 +V\u000a +tp1292 +a(g292 +Vcontrol +p1293 +tp1294 +a(g206 +V: +tp1295 +a(g189 +V +tp1296 +a(g226 +V" +tp1297 +a(g226 +V\u005cb +p1298 +tp1299 +a(g226 +V1998 +p1300 +tp1301 +a(g226 +V\u005ct +p1302 +tp1303 +a(g226 +V1999 +p1304 +tp1305 +a(g226 +V\u005ct +p1306 +tp1307 +a(g226 +V2000 +p1308 +tp1309 +a(g226 +V\u005cn +p1310 +tp1311 +a(g226 +V" +tp1312 +a(g189 +V\u000a +tp1313 +a(g292 +Vhex +p1314 +tp1315 +a(g292 +V +tp1316 +a(g292 +Vesc +p1317 +tp1318 +a(g206 +V: +tp1319 +a(g189 +V +tp1320 +a(g226 +V" +tp1321 +a(g252 +V\u005cx0d +p1322 +tp1323 +a(g252 +V\u005cx0a +p1324 +tp1325 +a(g73 +V +tp1326 +a(g226 +Vis +p1327 +tp1328 +a(g73 +V +tp1329 +a(g226 +V\u005cr +p1330 +tp1331 +a(g226 +V\u005cn +p1332 +tp1333 +a(g226 +V" +tp1334 +a(g189 +V\u000a +tp1335 +a(g292 +Vsingle +p1336 +tp1337 +a(g206 +V: +tp1338 +a(g189 +V +tp1339 +a(g226 +V' +tp1340 +a(g226 +V"Howdy!" +p1341 +tp1342 +a(g73 +V +tp1343 +a(g226 +Vhe +p1344 +tp1345 +a(g73 +V +tp1346 +a(g226 +Vcried. +p1347 +tp1348 +a(g226 +V' +tp1349 +a(g189 +V\u000a +tp1350 +a(g292 +Vquoted +p1351 +tp1352 +a(g206 +V: +tp1353 +a(g189 +V +tp1354 +a(g226 +V' +tp1355 +a(g73 +V +tp1356 +a(g226 +V# +tp1357 +a(g73 +V +tp1358 +a(g226 +Vnot +p1359 +tp1360 +a(g73 +V +tp1361 +a(g226 +Va +tp1362 +a(g73 +V +tp1363 +a(g252 +V'' +p1364 +tp1365 +a(g226 +Vcomment +p1366 +tp1367 +a(g252 +V'' +p1368 +tp1369 +a(g226 +V. +tp1370 +a(g226 +V' +tp1371 +a(g189 +V\u000a +tp1372 +a(g292 +Vtie-fighter +p1373 +tp1374 +a(g206 +V: +tp1375 +a(g189 +V +tp1376 +a(g226 +V' +tp1377 +a(g226 +V|\u005c-*-/| +p1378 +tp1379 +a(g226 +V' +tp1380 +a(g189 +V\u000a\u000a +p1381 +tp1382 +a(g7 +V# Multi-line flow scalars +p1383 +tp1384 +a(g189 +V\u000a +tp1385 +a(g99 +V--- +p1386 +tp1387 +a(g189 +V\u000a +tp1388 +a(g292 +Vplain +p1389 +tp1390 +a(g206 +V: +tp1391 +a(g189 +V\u000a +tp1392 +a(g189 +V +p1393 +tp1394 +a(g292 +VThis +p1395 +tp1396 +a(g292 +V +tp1397 +a(g292 +Vunquoted +p1398 +tp1399 +a(g292 +V +tp1400 +a(g292 +Vscalar +p1401 +tp1402 +a(g189 +V\u000a +tp1403 +a(g189 +V +p1404 +tp1405 +a(g292 +Vspans +p1406 +tp1407 +a(g292 +V +tp1408 +a(g292 +Vmany +p1409 +tp1410 +a(g292 +V +tp1411 +a(g292 +Vlines. +p1412 +tp1413 +a(g189 +V\u000a +tp1414 +a(g292 +Vquoted +p1415 +tp1416 +a(g206 +V: +tp1417 +a(g189 +V +tp1418 +a(g226 +V" +tp1419 +a(g226 +VSo +p1420 +tp1421 +a(g73 +V +tp1422 +a(g226 +Vdoes +p1423 +tp1424 +a(g73 +V +tp1425 +a(g226 +Vthis +p1426 +tp1427 +a(g189 +V\u000a +tp1428 +a(g189 +V +p1429 +tp1430 +a(g226 +Vquoted +p1431 +tp1432 +a(g73 +V +tp1433 +a(g226 +Vscalar. +p1434 +tp1435 +a(g226 +V\u005cn +p1436 +tp1437 +a(g226 +V" +tp1438 +a(g189 +V\u000a\u000a +p1439 +tp1440 +a(g7 +V# Integers +p1441 +tp1442 +a(g189 +V\u000a +tp1443 +a(g99 +V--- +p1444 +tp1445 +a(g189 +V\u000a +tp1446 +a(g292 +Vcanonical +p1447 +tp1448 +a(g206 +V: +tp1449 +a(g189 +V +tp1450 +a(g292 +V12345 +p1451 +tp1452 +a(g189 +V\u000a +tp1453 +a(g292 +Vdecimal +p1454 +tp1455 +a(g206 +V: +tp1456 +a(g189 +V +tp1457 +a(g292 +V+12_345 +p1458 +tp1459 +a(g189 +V\u000a +tp1460 +a(g292 +Vsexagesimal +p1461 +tp1462 +a(g206 +V: +tp1463 +a(g189 +V +tp1464 +a(g292 +V3:25:45 +p1465 +tp1466 +a(g189 +V\u000a +tp1467 +a(g292 +Voctal +p1468 +tp1469 +a(g206 +V: +tp1470 +a(g189 +V +tp1471 +a(g292 +V014 +p1472 +tp1473 +a(g189 +V\u000a +tp1474 +a(g292 +Vhexadecimal +p1475 +tp1476 +a(g206 +V: +tp1477 +a(g189 +V +tp1478 +a(g292 +V0xC +p1479 +tp1480 +a(g189 +V\u000a\u000a +p1481 +tp1482 +a(g7 +V# Floating point +p1483 +tp1484 +a(g189 +V\u000a +tp1485 +a(g99 +V--- +p1486 +tp1487 +a(g189 +V\u000a +tp1488 +a(g292 +Vcanonical +p1489 +tp1490 +a(g206 +V: +tp1491 +a(g189 +V +tp1492 +a(g292 +V1.23015e+3 +p1493 +tp1494 +a(g189 +V\u000a +tp1495 +a(g292 +Vexponential +p1496 +tp1497 +a(g206 +V: +tp1498 +a(g189 +V +tp1499 +a(g292 +V12.3015e+02 +p1500 +tp1501 +a(g189 +V\u000a +tp1502 +a(g292 +Vsexagesimal +p1503 +tp1504 +a(g206 +V: +tp1505 +a(g189 +V +tp1506 +a(g292 +V20:30.15 +p1507 +tp1508 +a(g189 +V\u000a +tp1509 +a(g292 +Vfixed +p1510 +tp1511 +a(g206 +V: +tp1512 +a(g189 +V +tp1513 +a(g292 +V1_230.15 +p1514 +tp1515 +a(g189 +V\u000a +tp1516 +a(g292 +Vnegative +p1517 +tp1518 +a(g292 +V +tp1519 +a(g292 +Vinfinity +p1520 +tp1521 +a(g206 +V: +tp1522 +a(g189 +V +tp1523 +a(g292 +V-.inf +p1524 +tp1525 +a(g189 +V\u000a +tp1526 +a(g292 +Vnot +p1527 +tp1528 +a(g292 +V +tp1529 +a(g292 +Va +tp1530 +a(g292 +V +tp1531 +a(g292 +Vnumber +p1532 +tp1533 +a(g206 +V: +tp1534 +a(g189 +V +tp1535 +a(g292 +V.NaN +p1536 +tp1537 +a(g189 +V\u000a\u000a +p1538 +tp1539 +a(g7 +V# Miscellaneous +p1540 +tp1541 +a(g189 +V\u000a +tp1542 +a(g99 +V--- +p1543 +tp1544 +a(g189 +V\u000a +tp1545 +a(g292 +Vnull +p1546 +tp1547 +a(g206 +V: +tp1548 +a(g189 +V +tp1549 +a(g292 +V~ +tp1550 +a(g189 +V\u000a +tp1551 +a(g292 +Vtrue +p1552 +tp1553 +a(g206 +V: +tp1554 +a(g189 +V +tp1555 +a(g292 +Vboolean +p1556 +tp1557 +a(g189 +V\u000a +tp1558 +a(g292 +Vfalse +p1559 +tp1560 +a(g206 +V: +tp1561 +a(g189 +V +tp1562 +a(g292 +Vboolean +p1563 +tp1564 +a(g189 +V\u000a +tp1565 +a(g292 +Vstring +p1566 +tp1567 +a(g206 +V: +tp1568 +a(g189 +V +tp1569 +a(g226 +V' +tp1570 +a(g226 +V12345 +p1571 +tp1572 +a(g226 +V' +tp1573 +a(g189 +V\u000a\u000a +p1574 +tp1575 +a(g7 +V# Timestamps +p1576 +tp1577 +a(g189 +V\u000a +tp1578 +a(g99 +V--- +p1579 +tp1580 +a(g189 +V\u000a +tp1581 +a(g292 +Vcanonical +p1582 +tp1583 +a(g206 +V: +tp1584 +a(g189 +V +tp1585 +a(g292 +V2001-12-15T02:59:43.1Z +p1586 +tp1587 +a(g189 +V\u000a +tp1588 +a(g292 +Viso8601 +p1589 +tp1590 +a(g206 +V: +tp1591 +a(g189 +V +tp1592 +a(g292 +V2001-12-14t21:59:43.10-05:00 +p1593 +tp1594 +a(g189 +V\u000a +tp1595 +a(g292 +Vspaced +p1596 +tp1597 +a(g206 +V: +tp1598 +a(g189 +V +tp1599 +a(g292 +V2001-12-14 +p1600 +tp1601 +a(g292 +V +tp1602 +a(g292 +V21:59:43.10 +p1603 +tp1604 +a(g292 +V +tp1605 +a(g292 +V-5 +p1606 +tp1607 +a(g189 +V\u000a +tp1608 +a(g292 +Vdate +p1609 +tp1610 +a(g206 +V: +tp1611 +a(g189 +V +tp1612 +a(g292 +V2002-12-14 +p1613 +tp1614 +a(g189 +V\u000a\u000a +p1615 +tp1616 +a(g7 +V# Various explicit tags +p1617 +tp1618 +a(g189 +V\u000a +tp1619 +a(g99 +V--- +p1620 +tp1621 +a(g189 +V\u000a +tp1622 +a(g292 +Vnot-date +p1623 +tp1624 +a(g206 +V: +tp1625 +a(g189 +V +tp1626 +a(g139 +V!!str +p1627 +tp1628 +a(g189 +V +tp1629 +a(g292 +V2002-04-28 +p1630 +tp1631 +a(g189 +V\u000a +tp1632 +a(g292 +Vpicture +p1633 +tp1634 +a(g206 +V: +tp1635 +a(g189 +V +tp1636 +a(g139 +V!!binary +p1637 +tp1638 +a(g189 +V +tp1639 +a(g206 +V| +tp1640 +a(g189 +V\u000a +tp1641 +a(g189 +V +tp1642 +a(g33 +VR0lGODlhDAAMAIQAAP//9/X +p1643 +tp1644 +a(g189 +V\u000a +tp1645 +a(g189 +V +tp1646 +a(g33 +V17unp5WZmZgAAAOfn515eXv +p1647 +tp1648 +a(g189 +V\u000a +tp1649 +a(g189 +V +tp1650 +a(g33 +VPz7Y6OjuDg4J+fn5OTk6enp +p1651 +tp1652 +a(g189 +V\u000a +tp1653 +a(g189 +V +tp1654 +a(g33 +V56enmleECcgggoBADs= +p1655 +tp1656 +a(g189 +V\u000a +tp1657 +a(g292 +Vapplication +p1658 +tp1659 +a(g292 +V +tp1660 +a(g292 +Vspecific +p1661 +tp1662 +a(g292 +V +tp1663 +a(g292 +Vtag +p1664 +tp1665 +a(g206 +V: +tp1666 +a(g189 +V +tp1667 +a(g139 +V!something +p1668 +tp1669 +a(g189 +V +tp1670 +a(g206 +V| +tp1671 +a(g189 +V\u000a +tp1672 +a(g189 +V +tp1673 +a(g33 +VThe semantics of the tag +p1674 +tp1675 +a(g189 +V\u000a +tp1676 +a(g189 +V +tp1677 +a(g33 +Vabove may be different for +p1678 +tp1679 +a(g189 +V\u000a +tp1680 +a(g189 +V +tp1681 +a(g33 +Vdifferent documents. +p1682 +tp1683 +a(g189 +V\u000a +tp1684 +a(g189 +V\u000a +tp1685 +a(g7 +V# Global tags +p1686 +tp1687 +a(g189 +V\u000a +tp1688 +a(g29 +V%TAG +p1689 +tp1690 +a(g189 +V +tp1691 +a(g139 +V! +tp1692 +a(g189 +V +tp1693 +a(g139 +Vtag:clarkevans.com,2002: +p1694 +tp1695 +a(g189 +V\u000a +tp1696 +a(g99 +V--- +p1697 +tp1698 +a(g189 +V +tp1699 +a(g139 +V!shape +p1700 +tp1701 +a(g189 +V\u000a +tp1702 +a(g189 +V +p1703 +tp1704 +a(g7 +V# Use the ! handle for presenting +p1705 +tp1706 +a(g189 +V\u000a +tp1707 +a(g189 +V +p1708 +tp1709 +a(g7 +V# tag:clarkevans.com,2002:circle +p1710 +tp1711 +a(g189 +V\u000a +tp1712 +a(g206 +V- +tp1713 +a(g189 +V +tp1714 +a(g139 +V!circle +p1715 +tp1716 +a(g189 +V\u000a +tp1717 +a(g189 +V +p1718 +tp1719 +a(g292 +Vcenter +p1720 +tp1721 +a(g206 +V: +tp1722 +a(g189 +V +tp1723 +a(g45 +V&ORIGIN +p1724 +tp1725 +a(g189 +V +tp1726 +a(g206 +V{ +tp1727 +a(g73 +Vx +tp1728 +a(g206 +V: +tp1729 +a(g189 +V +tp1730 +a(g73 +V73 +p1731 +tp1732 +a(g206 +V, +tp1733 +a(g189 +V +tp1734 +a(g73 +Vy +tp1735 +a(g206 +V: +tp1736 +a(g189 +V +tp1737 +a(g73 +V129 +p1738 +tp1739 +a(g206 +V} +tp1740 +a(g189 +V\u000a +tp1741 +a(g189 +V +p1742 +tp1743 +a(g292 +Vradius +p1744 +tp1745 +a(g206 +V: +tp1746 +a(g189 +V +tp1747 +a(g292 +V7 +tp1748 +a(g189 +V\u000a +tp1749 +a(g206 +V- +tp1750 +a(g189 +V +tp1751 +a(g139 +V!line +p1752 +tp1753 +a(g189 +V\u000a +tp1754 +a(g189 +V +p1755 +tp1756 +a(g292 +Vstart +p1757 +tp1758 +a(g206 +V: +tp1759 +a(g189 +V +tp1760 +a(g73 +V*ORIGIN +p1761 +tp1762 +a(g189 +V\u000a +tp1763 +a(g189 +V +p1764 +tp1765 +a(g292 +Vfinish +p1766 +tp1767 +a(g206 +V: +tp1768 +a(g189 +V +tp1769 +a(g206 +V{ +tp1770 +a(g189 +V +tp1771 +a(g73 +Vx +tp1772 +a(g206 +V: +tp1773 +a(g189 +V +tp1774 +a(g73 +V89 +p1775 +tp1776 +a(g206 +V, +tp1777 +a(g189 +V +tp1778 +a(g73 +Vy +tp1779 +a(g206 +V: +tp1780 +a(g189 +V +tp1781 +a(g73 +V102 +p1782 +tp1783 +a(g189 +V +tp1784 +a(g206 +V} +tp1785 +a(g189 +V\u000a +tp1786 +a(g206 +V- +tp1787 +a(g189 +V +tp1788 +a(g139 +V!label +p1789 +tp1790 +a(g189 +V\u000a +tp1791 +a(g189 +V +p1792 +tp1793 +a(g292 +Vstart +p1794 +tp1795 +a(g206 +V: +tp1796 +a(g189 +V +tp1797 +a(g73 +V*ORIGIN +p1798 +tp1799 +a(g189 +V\u000a +tp1800 +a(g189 +V +p1801 +tp1802 +a(g292 +Vcolor +p1803 +tp1804 +a(g206 +V: +tp1805 +a(g189 +V +tp1806 +a(g292 +V0xFFEEBB +p1807 +tp1808 +a(g189 +V\u000a +tp1809 +a(g189 +V +p1810 +tp1811 +a(g292 +Vtext +p1812 +tp1813 +a(g206 +V: +tp1814 +a(g189 +V +tp1815 +a(g292 +VPretty +p1816 +tp1817 +a(g292 +V +tp1818 +a(g292 +Vvector +p1819 +tp1820 +a(g292 +V +tp1821 +a(g292 +Vdrawing. +p1822 +tp1823 +a(g189 +V\u000a\u000a +p1824 +tp1825 +a(g7 +V# Unordered sets +p1826 +tp1827 +a(g189 +V\u000a +tp1828 +a(g99 +V--- +p1829 +tp1830 +a(g189 +V +tp1831 +a(g139 +V!!set +p1832 +tp1833 +a(g189 +V\u000a +tp1834 +a(g7 +V# sets are represented as a +p1835 +tp1836 +a(g189 +V\u000a +tp1837 +a(g7 +V# mapping where each key is +p1838 +tp1839 +a(g189 +V\u000a +tp1840 +a(g7 +V# associated with the empty string +p1841 +tp1842 +a(g189 +V\u000a +tp1843 +a(g206 +V? +tp1844 +a(g189 +V +tp1845 +a(g292 +VMark +p1846 +tp1847 +a(g292 +V +tp1848 +a(g292 +VMcGwire +p1849 +tp1850 +a(g189 +V\u000a +tp1851 +a(g206 +V? +tp1852 +a(g189 +V +tp1853 +a(g292 +VSammy +p1854 +tp1855 +a(g292 +V +tp1856 +a(g292 +VSosa +p1857 +tp1858 +a(g189 +V\u000a +tp1859 +a(g206 +V? +tp1860 +a(g189 +V +tp1861 +a(g292 +VKen +p1862 +tp1863 +a(g292 +V +tp1864 +a(g292 +VGriff +p1865 +tp1866 +a(g189 +V\u000a\u000a +p1867 +tp1868 +a(g7 +V# Ordered mappings +p1869 +tp1870 +a(g189 +V\u000a +tp1871 +a(g99 +V--- +p1872 +tp1873 +a(g189 +V +tp1874 +a(g139 +V!!omap +p1875 +tp1876 +a(g189 +V\u000a +tp1877 +a(g7 +V# ordered maps are represented as +p1878 +tp1879 +a(g189 +V\u000a +tp1880 +a(g7 +V# a sequence of mappings, with +p1881 +tp1882 +a(g189 +V\u000a +tp1883 +a(g7 +V# each mapping having one key +p1884 +tp1885 +a(g189 +V\u000a +tp1886 +a(g206 +V- +tp1887 +a(g189 +V +tp1888 +a(g292 +VMark +p1889 +tp1890 +a(g292 +V +tp1891 +a(g292 +VMcGwire +p1892 +tp1893 +a(g206 +V: +tp1894 +a(g189 +V +tp1895 +a(g292 +V65 +p1896 +tp1897 +a(g189 +V\u000a +tp1898 +a(g206 +V- +tp1899 +a(g189 +V +tp1900 +a(g292 +VSammy +p1901 +tp1902 +a(g292 +V +tp1903 +a(g292 +VSosa +p1904 +tp1905 +a(g206 +V: +tp1906 +a(g189 +V +tp1907 +a(g292 +V63 +p1908 +tp1909 +a(g189 +V\u000a +tp1910 +a(g206 +V- +tp1911 +a(g189 +V +tp1912 +a(g292 +VKen +p1913 +tp1914 +a(g292 +V +tp1915 +a(g292 +VGriffy +p1916 +tp1917 +a(g206 +V: +tp1918 +a(g189 +V +tp1919 +a(g292 +V58 +p1920 +tp1921 +a(g189 +V\u000a\u000a +p1922 +tp1923 +a(g7 +V# Full length example +p1924 +tp1925 +a(g189 +V\u000a +tp1926 +a(g99 +V--- +p1927 +tp1928 +a(g189 +V +tp1929 +a(g139 +V! +p1930 +tp1931 +a(g189 +V\u000a +tp1932 +a(g292 +Vinvoice +p1933 +tp1934 +a(g206 +V: +tp1935 +a(g189 +V +tp1936 +a(g292 +V34843 +p1937 +tp1938 +a(g189 +V\u000a +tp1939 +a(g292 +Vdate +p1940 +tp1941 +a(g189 +V +p1942 +tp1943 +a(g206 +V: +tp1944 +a(g189 +V +tp1945 +a(g292 +V2001-01-23 +p1946 +tp1947 +a(g189 +V\u000a +tp1948 +a(g292 +Vbill-to +p1949 +tp1950 +a(g206 +V: +tp1951 +a(g189 +V +tp1952 +a(g45 +V&id001 +p1953 +tp1954 +a(g189 +V\u000a +tp1955 +a(g189 +V +p1956 +tp1957 +a(g292 +Vgiven +p1958 +tp1959 +a(g189 +V +p1960 +tp1961 +a(g206 +V: +tp1962 +a(g189 +V +tp1963 +a(g292 +VChris +p1964 +tp1965 +a(g189 +V\u000a +tp1966 +a(g189 +V +p1967 +tp1968 +a(g292 +Vfamily +p1969 +tp1970 +a(g189 +V +tp1971 +a(g206 +V: +tp1972 +a(g189 +V +tp1973 +a(g292 +VDumars +p1974 +tp1975 +a(g189 +V\u000a +tp1976 +a(g189 +V +p1977 +tp1978 +a(g292 +Vaddress +p1979 +tp1980 +a(g206 +V: +tp1981 +a(g189 +V\u000a +tp1982 +a(g189 +V +p1983 +tp1984 +a(g292 +Vlines +p1985 +tp1986 +a(g206 +V: +tp1987 +a(g189 +V +tp1988 +a(g206 +V| +tp1989 +a(g189 +V\u000a +tp1990 +a(g189 +V +p1991 +tp1992 +a(g33 +V458 Walkman Dr. +p1993 +tp1994 +a(g189 +V\u000a +tp1995 +a(g189 +V +p1996 +tp1997 +a(g33 +VSuite #292 +p1998 +tp1999 +a(g189 +V\u000a +tp2000 +a(g189 +V +p2001 +tp2002 +a(g292 +Vcity +p2003 +tp2004 +a(g189 +V +p2005 +tp2006 +a(g206 +V: +tp2007 +a(g189 +V +tp2008 +a(g292 +VRoyal +p2009 +tp2010 +a(g292 +V +tp2011 +a(g292 +VOak +p2012 +tp2013 +a(g189 +V\u000a +tp2014 +a(g189 +V +p2015 +tp2016 +a(g292 +Vstate +p2017 +tp2018 +a(g189 +V +p2019 +tp2020 +a(g206 +V: +tp2021 +a(g189 +V +tp2022 +a(g292 +VMI +p2023 +tp2024 +a(g189 +V\u000a +tp2025 +a(g189 +V +p2026 +tp2027 +a(g292 +Vpostal +p2028 +tp2029 +a(g189 +V +p2030 +tp2031 +a(g206 +V: +tp2032 +a(g189 +V +tp2033 +a(g292 +V48046 +p2034 +tp2035 +a(g189 +V\u000a +tp2036 +a(g292 +Vship-to +p2037 +tp2038 +a(g206 +V: +tp2039 +a(g189 +V +tp2040 +a(g73 +V*id001 +p2041 +tp2042 +a(g189 +V\u000a +tp2043 +a(g292 +Vproduct +p2044 +tp2045 +a(g206 +V: +tp2046 +a(g189 +V\u000a +tp2047 +a(g189 +V +p2048 +tp2049 +a(g206 +V- +tp2050 +a(g189 +V +tp2051 +a(g292 +Vsku +p2052 +tp2053 +a(g189 +V +p2054 +tp2055 +a(g206 +V: +tp2056 +a(g189 +V +tp2057 +a(g292 +VBL394D +p2058 +tp2059 +a(g189 +V\u000a +tp2060 +a(g189 +V +p2061 +tp2062 +a(g292 +Vquantity +p2063 +tp2064 +a(g189 +V +p2065 +tp2066 +a(g206 +V: +tp2067 +a(g189 +V +tp2068 +a(g292 +V4 +tp2069 +a(g189 +V\u000a +tp2070 +a(g189 +V +p2071 +tp2072 +a(g292 +Vdescription +p2073 +tp2074 +a(g189 +V +tp2075 +a(g206 +V: +tp2076 +a(g189 +V +tp2077 +a(g292 +VBasketball +p2078 +tp2079 +a(g189 +V\u000a +tp2080 +a(g189 +V +p2081 +tp2082 +a(g292 +Vprice +p2083 +tp2084 +a(g189 +V +p2085 +tp2086 +a(g206 +V: +tp2087 +a(g189 +V +tp2088 +a(g292 +V450.00 +p2089 +tp2090 +a(g189 +V\u000a +tp2091 +a(g189 +V +p2092 +tp2093 +a(g206 +V- +tp2094 +a(g189 +V +tp2095 +a(g292 +Vsku +p2096 +tp2097 +a(g189 +V +p2098 +tp2099 +a(g206 +V: +tp2100 +a(g189 +V +tp2101 +a(g292 +VBL4438H +p2102 +tp2103 +a(g189 +V\u000a +tp2104 +a(g189 +V +p2105 +tp2106 +a(g292 +Vquantity +p2107 +tp2108 +a(g189 +V +p2109 +tp2110 +a(g206 +V: +tp2111 +a(g189 +V +tp2112 +a(g292 +V1 +tp2113 +a(g189 +V\u000a +tp2114 +a(g189 +V +p2115 +tp2116 +a(g292 +Vdescription +p2117 +tp2118 +a(g189 +V +tp2119 +a(g206 +V: +tp2120 +a(g189 +V +tp2121 +a(g292 +VSuper +p2122 +tp2123 +a(g292 +V +tp2124 +a(g292 +VHoop +p2125 +tp2126 +a(g189 +V\u000a +tp2127 +a(g189 +V +p2128 +tp2129 +a(g292 +Vprice +p2130 +tp2131 +a(g189 +V +p2132 +tp2133 +a(g206 +V: +tp2134 +a(g189 +V +tp2135 +a(g292 +V2392.00 +p2136 +tp2137 +a(g189 +V\u000a +tp2138 +a(g292 +Vtax +p2139 +tp2140 +a(g189 +V +p2141 +tp2142 +a(g206 +V: +tp2143 +a(g189 +V +tp2144 +a(g292 +V251.42 +p2145 +tp2146 +a(g189 +V\u000a +tp2147 +a(g292 +Vtotal +p2148 +tp2149 +a(g206 +V: +tp2150 +a(g189 +V +tp2151 +a(g292 +V4443.52 +p2152 +tp2153 +a(g189 +V\u000a +tp2154 +a(g292 +Vcomments +p2155 +tp2156 +a(g206 +V: +tp2157 +a(g189 +V\u000a +tp2158 +a(g189 +V +p2159 +tp2160 +a(g292 +VLate +p2161 +tp2162 +a(g292 +V +tp2163 +a(g292 +Vafternoon +p2164 +tp2165 +a(g292 +V +tp2166 +a(g292 +Vis +p2167 +tp2168 +a(g292 +V +tp2169 +a(g292 +Vbest. +p2170 +tp2171 +a(g189 +V\u000a +tp2172 +a(g189 +V +p2173 +tp2174 +a(g292 +VBackup +p2175 +tp2176 +a(g292 +V +tp2177 +a(g292 +Vcontact +p2178 +tp2179 +a(g292 +V +tp2180 +a(g292 +Vis +p2181 +tp2182 +a(g292 +V +tp2183 +a(g292 +VNancy +p2184 +tp2185 +a(g189 +V\u000a +tp2186 +a(g189 +V +p2187 +tp2188 +a(g292 +VBillsmer +p2189 +tp2190 +a(g292 +V +tp2191 +a(g292 +V@ +tp2192 +a(g292 +V +tp2193 +a(g292 +V338-4338. +p2194 +tp2195 +a(g189 +V\u000a\u000a +p2196 +tp2197 +a(g7 +V# Another full-length example +p2198 +tp2199 +a(g189 +V\u000a +tp2200 +a(g99 +V--- +p2201 +tp2202 +a(g189 +V\u000a +tp2203 +a(g292 +VTime +p2204 +tp2205 +a(g206 +V: +tp2206 +a(g189 +V +tp2207 +a(g292 +V2001-11-23 +p2208 +tp2209 +a(g292 +V +tp2210 +a(g292 +V15:01:42 +p2211 +tp2212 +a(g292 +V +tp2213 +a(g292 +V-5 +p2214 +tp2215 +a(g189 +V\u000a +tp2216 +a(g292 +VUser +p2217 +tp2218 +a(g206 +V: +tp2219 +a(g189 +V +tp2220 +a(g292 +Ved +p2221 +tp2222 +a(g189 +V\u000a +tp2223 +a(g292 +VWarning +p2224 +tp2225 +a(g206 +V: +tp2226 +a(g189 +V\u000a +tp2227 +a(g189 +V +p2228 +tp2229 +a(g292 +VThis +p2230 +tp2231 +a(g292 +V +tp2232 +a(g292 +Vis +p2233 +tp2234 +a(g292 +V +tp2235 +a(g292 +Van +p2236 +tp2237 +a(g292 +V +tp2238 +a(g292 +Verror +p2239 +tp2240 +a(g292 +V +tp2241 +a(g292 +Vmessage +p2242 +tp2243 +a(g189 +V\u000a +tp2244 +a(g189 +V +p2245 +tp2246 +a(g292 +Vfor +p2247 +tp2248 +a(g292 +V +tp2249 +a(g292 +Vthe +p2250 +tp2251 +a(g292 +V +tp2252 +a(g292 +Vlog +p2253 +tp2254 +a(g292 +V +tp2255 +a(g292 +Vfile +p2256 +tp2257 +a(g189 +V\u000a +tp2258 +a(g99 +V--- +p2259 +tp2260 +a(g189 +V\u000a +tp2261 +a(g292 +VTime +p2262 +tp2263 +a(g206 +V: +tp2264 +a(g189 +V +tp2265 +a(g292 +V2001-11-23 +p2266 +tp2267 +a(g292 +V +tp2268 +a(g292 +V15:02:31 +p2269 +tp2270 +a(g292 +V +tp2271 +a(g292 +V-5 +p2272 +tp2273 +a(g189 +V\u000a +tp2274 +a(g292 +VUser +p2275 +tp2276 +a(g206 +V: +tp2277 +a(g189 +V +tp2278 +a(g292 +Ved +p2279 +tp2280 +a(g189 +V\u000a +tp2281 +a(g292 +VWarning +p2282 +tp2283 +a(g206 +V: +tp2284 +a(g189 +V\u000a +tp2285 +a(g189 +V +p2286 +tp2287 +a(g292 +VA +tp2288 +a(g292 +V +tp2289 +a(g292 +Vslightly +p2290 +tp2291 +a(g292 +V +tp2292 +a(g292 +Vdifferent +p2293 +tp2294 +a(g292 +V +tp2295 +a(g292 +Verror +p2296 +tp2297 +a(g189 +V\u000a +tp2298 +a(g189 +V +p2299 +tp2300 +a(g292 +Vmessage. +p2301 +tp2302 +a(g189 +V\u000a +tp2303 +a(g99 +V--- +p2304 +tp2305 +a(g189 +V\u000a +tp2306 +a(g292 +VDate +p2307 +tp2308 +a(g206 +V: +tp2309 +a(g189 +V +tp2310 +a(g292 +V2001-11-23 +p2311 +tp2312 +a(g292 +V +tp2313 +a(g292 +V15:03:17 +p2314 +tp2315 +a(g292 +V +tp2316 +a(g292 +V-5 +p2317 +tp2318 +a(g189 +V\u000a +tp2319 +a(g292 +VUser +p2320 +tp2321 +a(g206 +V: +tp2322 +a(g189 +V +tp2323 +a(g292 +Ved +p2324 +tp2325 +a(g189 +V\u000a +tp2326 +a(g292 +VFatal +p2327 +tp2328 +a(g206 +V: +tp2329 +a(g189 +V\u000a +tp2330 +a(g189 +V +p2331 +tp2332 +a(g292 +VUnknown +p2333 +tp2334 +a(g292 +V +tp2335 +a(g292 +Vvariable +p2336 +tp2337 +a(g292 +V +tp2338 +a(g292 +V"bar" +p2339 +tp2340 +a(g189 +V\u000a +tp2341 +a(g292 +VStack +p2342 +tp2343 +a(g206 +V: +tp2344 +a(g189 +V\u000a +tp2345 +a(g189 +V +p2346 +tp2347 +a(g206 +V- +tp2348 +a(g189 +V +tp2349 +a(g292 +Vfile +p2350 +tp2351 +a(g206 +V: +tp2352 +a(g189 +V +tp2353 +a(g292 +VTopClass.py +p2354 +tp2355 +a(g189 +V\u000a +tp2356 +a(g189 +V +p2357 +tp2358 +a(g292 +Vline +p2359 +tp2360 +a(g206 +V: +tp2361 +a(g189 +V +tp2362 +a(g292 +V23 +p2363 +tp2364 +a(g189 +V\u000a +tp2365 +a(g189 +V +p2366 +tp2367 +a(g292 +Vcode +p2368 +tp2369 +a(g206 +V: +tp2370 +a(g189 +V +tp2371 +a(g206 +V| +tp2372 +a(g189 +V\u000a +tp2373 +a(g189 +V +p2374 +tp2375 +a(g33 +Vx = MoreObject("345\u005cn") +p2376 +tp2377 +a(g189 +V\u000a +tp2378 +a(g189 +V +p2379 +tp2380 +a(g206 +V- +tp2381 +a(g189 +V +tp2382 +a(g292 +Vfile +p2383 +tp2384 +a(g206 +V: +tp2385 +a(g189 +V +tp2386 +a(g292 +VMoreClass.py +p2387 +tp2388 +a(g189 +V\u000a +tp2389 +a(g189 +V +p2390 +tp2391 +a(g292 +Vline +p2392 +tp2393 +a(g206 +V: +tp2394 +a(g189 +V +tp2395 +a(g292 +V58 +p2396 +tp2397 +a(g189 +V\u000a +tp2398 +a(g189 +V +p2399 +tp2400 +a(g292 +Vcode +p2401 +tp2402 +a(g206 +V: +tp2403 +a(g189 +V +tp2404 +a(g206 +V| +tp2405 +a(g206 +V- +tp2406 +a(g189 +V\u000a +tp2407 +a(g189 +V +p2408 +tp2409 +a(g33 +Vfoo = bar +p2410 +tp2411 +a(g189 +V\u000a +tp2412 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/example2.aspx b/tests/examplefiles/output/example2.aspx new file mode 100644 index 0000000..4036aee --- /dev/null +++ b/tests/examplefiles/output/example2.aspx @@ -0,0 +1,1851 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +tp367 +a(g56 +V<%@ +p368 +tp369 +a(g6 +V +tp370 +a(g45 +VRegister +p371 +tp372 +a(g6 +V +tp373 +a(g45 +VTagPrefix +p374 +tp375 +a(g357 +V= +tp376 +a(g240 +V" +tp377 +a(g240 +VAcme +p378 +tp379 +a(g240 +V" +tp380 +a(g6 +V +tp381 +a(g45 +VTagName +p382 +tp383 +a(g357 +V= +tp384 +a(g240 +V" +tp385 +a(g240 +VMessage +p386 +tp387 +a(g240 +V" +tp388 +a(g6 +V +tp389 +a(g45 +VSrc +p390 +tp391 +a(g357 +V= +tp392 +a(g240 +V" +tp393 +a(g240 +Vuserctrl2_vb.ascx +p394 +tp395 +a(g240 +V" +tp396 +a(g6 +V +tp397 +a(g56 +V%> +p398 +tp399 +a(g6 +V\u000a\u000a +p400 +tp401 +a(g56 +V +tp404 +a(g6 +V\u000a\u000a +p405 +tp406 +a(g56 +V +p483 +tp484 +a(g6 +V\u000a\u000a +p485 +tp486 +a(g56 +V +tp494 +a(g6 +V\u000a\u000a +p495 +tp496 +a(g56 +V

    +tp499 +a(g6 +VA Simple User Control w/ Properties +p500 +tp501 +a(g56 +V

    +p502 +tp503 +a(g6 +V\u000a\u000a +p504 +tp505 +a(g56 +V
    +tp513 +a(g6 +V\u000a\u000a +p514 +tp515 +a(g56 +V +p538 +tp539 +a(g6 +V\u000a\u000a +p540 +tp541 +a(g56 +V

    +tp544 +a(g6 +V\u000a\u000a +p545 +tp546 +a(g56 +V +tp564 +a(g6 +V\u000a\u000a +p565 +tp566 +a(g56 +V +p567 +tp568 +a(g6 +V\u000a\u000a +p569 +tp570 +a(g56 +V +p571 +tp572 +a(g6 +V\u000a +tp573 +a(g56 +V +p574 +tp575 +a(g6 +V\u000a +tp576 +a(g6 +V +tp577 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/firefox.mak b/tests/examplefiles/output/firefox.mak new file mode 100644 index 0000000..aae3f93 --- /dev/null +++ b/tests/examplefiles/output/firefox.mak @@ -0,0 +1,9842 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +tp367 +a(g24 +V#\u000a +p368 +tp369 +a(g6 +V +tp370 +a(g24 +V# ***** BEGIN LICENSE BLOCK *****\u000a +p371 +tp372 +a(g6 +V +tp373 +a(g24 +V# Version: MPL 1.1/GPL 2.0/LGPL 2.1\u000a +p374 +tp375 +a(g6 +V +tp376 +a(g24 +V#\u000a +p377 +tp378 +a(g6 +V +tp379 +a(g24 +V# The contents of this file are subject to the Mozilla Public License Version\u000a +p380 +tp381 +a(g6 +V +tp382 +a(g24 +V# 1.1 (the "License"); you may not use this file except in compliance with\u000a +p383 +tp384 +a(g6 +V +tp385 +a(g24 +V# the License. You may obtain a copy of the License at\u000a +p386 +tp387 +a(g6 +V +tp388 +a(g24 +V# http://www.mozilla.org/MPL/\u000a +p389 +tp390 +a(g6 +V +tp391 +a(g24 +V#\u000a +p392 +tp393 +a(g6 +V +tp394 +a(g24 +V# Software distributed under the License is distributed on an "AS IS" basis,\u000a +p395 +tp396 +a(g6 +V +tp397 +a(g24 +V# WITHOUT WARRANTY OF ANY KIND, either express or implied. See the License\u000a +p398 +tp399 +a(g6 +V +tp400 +a(g24 +V# for the specific language governing rights and limitations under the\u000a +p401 +tp402 +a(g6 +V +tp403 +a(g24 +V# License.\u000a +p404 +tp405 +a(g6 +V +tp406 +a(g24 +V#\u000a +p407 +tp408 +a(g6 +V +tp409 +a(g24 +V# The Original Code is mozilla.org code.\u000a +p410 +tp411 +a(g6 +V +tp412 +a(g24 +V#\u000a +p413 +tp414 +a(g6 +V +tp415 +a(g24 +V# The Initial Developer of the Original Code is\u000a +p416 +tp417 +a(g6 +V +tp418 +a(g24 +V# Netscape Communications Corporation.\u000a +p419 +tp420 +a(g6 +V +tp421 +a(g24 +V# Portions created by the Initial Developer are Copyright (C) 1998\u000a +p422 +tp423 +a(g6 +V +tp424 +a(g24 +V# the Initial Developer. All Rights Reserved.\u000a +p425 +tp426 +a(g6 +V +tp427 +a(g24 +V#\u000a +p428 +tp429 +a(g6 +V +tp430 +a(g24 +V# Contributor(s):\u000a +p431 +tp432 +a(g6 +V +tp433 +a(g24 +V#\u000a +p434 +tp435 +a(g6 +V +tp436 +a(g24 +V# Alternatively, the contents of this file may be used under the terms of\u000a +p437 +tp438 +a(g6 +V +tp439 +a(g24 +V# either the GNU General Public License Version 2 or later (the "GPL"), or\u000a +p440 +tp441 +a(g6 +V +tp442 +a(g24 +V# the GNU Lesser General Public License Version 2.1 or later (the "LGPL"),\u000a +p443 +tp444 +a(g6 +V +tp445 +a(g24 +V# in which case the provisions of the GPL or the LGPL are applicable instead\u000a +p446 +tp447 +a(g6 +V +tp448 +a(g24 +V# of those above. If you wish to allow use of your version of this file only\u000a +p449 +tp450 +a(g6 +V +tp451 +a(g24 +V# under the terms of either the GPL or the LGPL, and not to allow others to\u000a +p452 +tp453 +a(g6 +V +tp454 +a(g24 +V# use your version of this file under the terms of the MPL, indicate your\u000a +p455 +tp456 +a(g6 +V +tp457 +a(g24 +V# decision by deleting the provisions above and replace them with the notice\u000a +p458 +tp459 +a(g6 +V +tp460 +a(g24 +V# and other provisions required by the GPL or the LGPL. If you do not delete\u000a +p461 +tp462 +a(g6 +V +tp463 +a(g24 +V# the provisions above, a recipient may use your version of this file under\u000a +p464 +tp465 +a(g6 +V +tp466 +a(g24 +V# the terms of any one of the MPL, the GPL or the LGPL.\u000a +p467 +tp468 +a(g6 +V +tp469 +a(g24 +V#\u000a +p470 +tp471 +a(g6 +V +tp472 +a(g24 +V# ***** END LICENSE BLOCK *****\u000a +p473 +tp474 +a(g6 +V\u000a +tp475 +a(g100 +VDEPTH +p476 +tp477 +a(g6 +V +p478 +tp479 +a(g357 +V= +tp480 +a(g6 +V +tp481 +a(g6 +V. +tp482 +a(g6 +V\u000a +tp483 +a(g100 +Vtopsrcdir +p484 +tp485 +a(g6 +V +tp486 +a(g357 +V= +tp487 +a(g6 +V +tp488 +a(g6 +V@top_srcdir@ +p489 +tp490 +a(g6 +V\u000a +tp491 +a(g100 +Vsrcdir +p492 +tp493 +a(g6 +V +p494 +tp495 +a(g357 +V= +tp496 +a(g6 +V +tp497 +a(g6 +V@srcdir@ +p498 +tp499 +a(g6 +V\u000a +tp500 +a(g100 +VVPATH +p501 +tp502 +a(g6 +V +p503 +tp504 +a(g357 +V= +tp505 +a(g6 +V +tp506 +a(g6 +V@srcdir@ +p507 +tp508 +a(g6 +V\u000a\u000a +p509 +tp510 +a(g27 +Vinclude $(DEPTH)/config/autoconf.mk\u000a +p511 +tp512 +a(g6 +V\u000a +tp513 +a(g27 +Vinclude $(topsrcdir)/build/unix/modules.mk\u000a +p514 +tp515 +a(g6 +V\u000a +tp516 +a(g27 +Vifeq ($(BUILD_MODULES),all)\u000a +p517 +tp518 +a(g6 +V +tp519 +a(g24 +V#\u000a +p520 +tp521 +a(g6 +V +tp522 +a(g24 +V# And now for something completely different...\u000a +p523 +tp524 +a(g6 +V +tp525 +a(g24 +V# Divide the default build into tiers. \u000a +p526 +tp527 +a(g6 +V +tp528 +a(g24 +V# Tiers must be defined on module boundaries \u000a +p529 +tp530 +a(g6 +V +tp531 +a(g24 +V#\u000a +p532 +tp533 +a(g6 +V +tp534 +a(g100 +VSUPPRESS_DEFAULT_RULES +p535 +tp536 +a(g6 +V +tp537 +a(g357 +V= +tp538 +a(g6 +V +tp539 +a(g6 +V1 +tp540 +a(g6 +V\u000a\u000a +p541 +tp542 +a(g6 +Vdefault +p543 +tp544 +a(g6 +V +tp545 +a(g6 +Valldep +p546 +tp547 +a(g6 +V +tp548 +a(g6 +Vall:: +p549 +tp550 +a(g6 +V +tp551 +a(g138 +V$( +p552 +tp553 +a(g6 +VSUBMAKEFILES +p554 +tp555 +a(g138 +V) +tp556 +a(g6 +V\u000a +p557 +tp558 +a(g138 +V$( +p559 +tp560 +a(g6 +VRM +p561 +tp562 +a(g138 +V) +tp563 +a(g6 +V +tp564 +a(g6 +V-rf +p565 +tp566 +a(g6 +V +tp567 +a(g138 +V$( +p568 +tp569 +a(g6 +VDIST +p570 +tp571 +a(g138 +V) +tp572 +a(g6 +V/sdk +p573 +tp574 +a(g6 +V\u000a +p575 +tp576 +a(g138 +V$( +p577 +tp578 +a(g6 +VRM +p579 +tp580 +a(g138 +V) +tp581 +a(g6 +V +tp582 +a(g6 +V-rf +p583 +tp584 +a(g6 +V +tp585 +a(g138 +V$( +p586 +tp587 +a(g6 +VDIST +p588 +tp589 +a(g138 +V) +tp590 +a(g6 +V/include +p591 +tp592 +a(g6 +V\u000a +p593 +tp594 +a(g138 +V$( +p595 +tp596 +a(g6 +VMAKE +p597 +tp598 +a(g138 +V) +tp599 +a(g6 +V +tp600 +a(g6 +V-C +p601 +tp602 +a(g6 +V +tp603 +a(g6 +Vconfig +p604 +tp605 +a(g6 +V +tp606 +a(g84 +Vexport +p607 +tp608 +a(g6 +V\u000a +p609 +tp610 +a(g138 +V$( +p611 +tp612 +a(g6 +VMAKE +p613 +tp614 +a(g138 +V) +tp615 +a(g6 +V +tp616 +a(g6 +Vnspr +p617 +tp618 +a(g6 +V\u000a +p619 +tp620 +a(g138 +V$( +p621 +tp622 +a(g6 +VMAKE +p623 +tp624 +a(g138 +V) +tp625 +a(g6 +V +tp626 +a(g6 +Vldap +p627 +tp628 +a(g6 +V\u000a +p629 +tp630 +a(g138 +V$( +p631 +tp632 +a(g6 +VMAKE +p633 +tp634 +a(g138 +V) +tp635 +a(g6 +V +tp636 +a(g6 +Vtier_0 +p637 +tp638 +a(g6 +V\u000a +p639 +tp640 +a(g138 +V$( +p641 +tp642 +a(g6 +VMAKE +p643 +tp644 +a(g138 +V) +tp645 +a(g6 +V +tp646 +a(g6 +Vtier_1 +p647 +tp648 +a(g6 +V\u000a +p649 +tp650 +a(g138 +V$( +p651 +tp652 +a(g6 +VMAKE +p653 +tp654 +a(g138 +V) +tp655 +a(g6 +V +tp656 +a(g6 +Vtier_2 +p657 +tp658 +a(g6 +V\u000a +p659 +tp660 +a(g138 +V$( +p661 +tp662 +a(g6 +VMAKE +p663 +tp664 +a(g138 +V) +tp665 +a(g6 +V +tp666 +a(g6 +Vtier_9 +p667 +tp668 +a(g6 +V\u000a +p669 +tp670 +a(g138 +V$( +p671 +tp672 +a(g6 +VMAKE +p673 +tp674 +a(g138 +V) +tp675 +a(g6 +V +tp676 +a(g6 +Vtier_50 +p677 +tp678 +a(g6 +V\u000a +p679 +tp680 +a(g138 +V$( +p681 +tp682 +a(g6 +VMAKE +p683 +tp684 +a(g138 +V) +tp685 +a(g6 +V +tp686 +a(g6 +Vtier_99 +p687 +tp688 +a(g6 +V\u000a\u000a +p689 +tp690 +a(g24 +V# Make sure that the existing rulesets work\u000a +p691 +tp692 +a(g6 +V +tp693 +a(g100 +VDIRS +p694 +tp695 +a(g6 +V +tp696 +a(g357 +V= +tp697 +a(g6 +V +tp698 +a(g266 +V\u005c\u000a +p699 +tp700 +a(g6 +V +tp701 +a(g138 +V$( +p702 +tp703 +a(g6 +Vtier_0_dirs +p704 +tp705 +a(g138 +V) +tp706 +a(g6 +V +tp707 +a(g266 +V\u005c\u000a +p708 +tp709 +a(g6 +V +tp710 +a(g138 +V$( +p711 +tp712 +a(g6 +Vtier_1_dirs +p713 +tp714 +a(g138 +V) +tp715 +a(g6 +V +tp716 +a(g266 +V\u005c\u000a +p717 +tp718 +a(g6 +V +tp719 +a(g138 +V$( +p720 +tp721 +a(g6 +Vtier_2_dirs +p722 +tp723 +a(g138 +V) +tp724 +a(g6 +V +tp725 +a(g266 +V\u005c\u000a +p726 +tp727 +a(g6 +V +tp728 +a(g138 +V$( +p729 +tp730 +a(g6 +Vtier_9_dirs +p731 +tp732 +a(g138 +V) +tp733 +a(g6 +V +tp734 +a(g266 +V\u005c\u000a +p735 +tp736 +a(g6 +V +tp737 +a(g138 +V$( +p738 +tp739 +a(g6 +Vtier_50_dirs +p740 +tp741 +a(g138 +V) +tp742 +a(g6 +V +tp743 +a(g266 +V\u005c\u000a +p744 +tp745 +a(g6 +V +tp746 +a(g138 +V$( +p747 +tp748 +a(g6 +VNULL +p749 +tp750 +a(g138 +V) +tp751 +a(g6 +V\u000a\u000a +p752 +tp753 +a(g27 +Vifdef GC_LEAK_DETECTOR\u000a +p754 +tp755 +a(g6 +V +tp756 +a(g6 +VDIRS +p757 +tp758 +a(g6 +V +tp759 +a(g6 +V+ +tp760 +a(g357 +V= +tp761 +a(g6 +V +tp762 +a(g6 +Vgc/boehm +p763 +tp764 +a(g6 +V\u000a +tp765 +a(g27 +Vendif\u000a +p766 +tp767 +a(g6 +V\u000a +tp768 +a(g6 +VDIRS +p769 +tp770 +a(g6 +V +tp771 +a(g6 +V+ +tp772 +a(g357 +V= +tp773 +a(g6 +V +tp774 +a(g138 +V$( +p775 +tp776 +a(g6 +Vtier_99_dirs +p777 +tp778 +a(g138 +V) +tp779 +a(g6 +V\u000a\u000a +p780 +tp781 +a(g24 +V#\u000a +p782 +tp783 +a(g6 +V +tp784 +a(g24 +V# tier 0 - base build config dirs\u000a +p785 +tp786 +a(g6 +V +tp787 +a(g24 +V# \u000a +p788 +tp789 +a(g6 +V +tp790 +a(g100 +Vtier_0_dirs +p791 +tp792 +a(g6 +V +tp793 +a(g357 +V= +tp794 +a(g6 +V +tp795 +a(g266 +V\u005c\u000a +p796 +tp797 +a(g6 +V +tp798 +a(g6 +Vconfig +p799 +tp800 +a(g6 +V +tp801 +a(g266 +V\u005c\u000a +p802 +tp803 +a(g6 +V +tp804 +a(g6 +Vbuild +p805 +tp806 +a(g6 +V +tp807 +a(g266 +V\u005c\u000a +p808 +tp809 +a(g6 +V +tp810 +a(g138 +V$( +p811 +tp812 +a(g6 +VNULL +p813 +tp814 +a(g138 +V) +tp815 +a(g6 +V\u000a\u000a +p816 +tp817 +a(g24 +V#\u000a +p818 +tp819 +a(g6 +V +tp820 +a(g24 +V# tier 1 - 3rd party individual libraries\u000a +p821 +tp822 +a(g6 +V +tp823 +a(g24 +V#\u000a +p824 +tp825 +a(g6 +V +tp826 +a(g6 +Vtier_1_dirs +p827 +tp828 +a(g6 +V +tp829 +a(g6 +V+ +tp830 +a(g357 +V= +tp831 +a(g6 +V +tp832 +a(g6 +Vdbm +p833 +tp834 +a(g6 +V\u000a\u000a +p835 +tp836 +a(g27 +Vifndef MOZ_NATIVE_JPEG\u000a +p837 +tp838 +a(g6 +V +tp839 +a(g6 +Vtier_1_dirs +p840 +tp841 +a(g6 +V +tp842 +a(g6 +V+ +tp843 +a(g357 +V= +tp844 +a(g6 +V +tp845 +a(g6 +Vjpeg +p846 +tp847 +a(g6 +V\u000a +tp848 +a(g27 +Vendif\u000a +p849 +tp850 +a(g6 +V\u000a +tp851 +a(g27 +Vifndef MOZ_NATIVE_ZLIB\u000a +p852 +tp853 +a(g6 +V +tp854 +a(g6 +Vtier_1_dirs +p855 +tp856 +a(g6 +V +tp857 +a(g6 +V+ +tp858 +a(g357 +V= +tp859 +a(g6 +V +tp860 +a(g6 +Vmodules/zlib +p861 +tp862 +a(g6 +V\u000a +tp863 +a(g27 +Vendif\u000a +p864 +tp865 +a(g6 +V\u000a +tp866 +a(g24 +V# Installer needs standalone libjar, hence standalone zlib\u000a +p867 +tp868 +a(g6 +V +tp869 +a(g27 +Vifdef MOZ_INSTALLER\u000a +p870 +tp871 +a(g6 +V +tp872 +a(g6 +Vtier_1_dirs +p873 +tp874 +a(g6 +V +tp875 +a(g6 +V+ +tp876 +a(g357 +V= +tp877 +a(g6 +V +tp878 +a(g6 +Vmodules/zlib/standalone +p879 +tp880 +a(g6 +V\u000a +tp881 +a(g27 +Vendif\u000a +p882 +tp883 +a(g6 +V\u000a +tp884 +a(g27 +Vifdef MOZ_UPDATER\u000a +p885 +tp886 +a(g6 +V +tp887 +a(g6 +Vtier_1_dirs +p888 +tp889 +a(g6 +V +tp890 +a(g6 +V+ +tp891 +a(g357 +V= +tp892 +a(g6 +V +tp893 +a(g6 +Vmodules/libbz2 +p894 +tp895 +a(g6 +V\u000a +tp896 +a(g6 +Vtier_1_dirs +p897 +tp898 +a(g6 +V +tp899 +a(g6 +V+ +tp900 +a(g357 +V= +tp901 +a(g6 +V +tp902 +a(g6 +Vmodules/libmar +p903 +tp904 +a(g6 +V\u000a +tp905 +a(g27 +Vendif\u000a +p906 +tp907 +a(g6 +V\u000a +tp908 +a(g27 +Vifdef MOZ_SVG_RENDERER_LIBART\u000a +p909 +tp910 +a(g6 +V +tp911 +a(g6 +Vtier_1_dirs +p912 +tp913 +a(g6 +V +tp914 +a(g6 +V+ +tp915 +a(g357 +V= +tp916 +a(g6 +V +tp917 +a(g6 +Vother-licenses/libart_lgpl +p918 +tp919 +a(g6 +V\u000a +tp920 +a(g27 +Vendif\u000a +p921 +tp922 +a(g6 +V\u000a +tp923 +a(g24 +V#\u000a +p924 +tp925 +a(g6 +V +tp926 +a(g24 +V# tier 2 - base libraries\u000a +p927 +tp928 +a(g6 +V +tp929 +a(g24 +V# \u000a +p930 +tp931 +a(g6 +V +tp932 +a(g100 +Vtier_2_dirs +p933 +tp934 +a(g6 +V +tp935 +a(g357 +V= +tp936 +a(g6 +V +tp937 +a(g266 +V\u005c\u000a +p938 +tp939 +a(g6 +V +p940 +tp941 +a(g6 +Vjs +p942 +tp943 +a(g6 +V +tp944 +a(g266 +V\u005c\u000a +p945 +tp946 +a(g6 +V +p947 +tp948 +a(g6 +Vxpcom +p949 +tp950 +a(g6 +V +tp951 +a(g266 +V\u005c\u000a +p952 +tp953 +a(g6 +V +p954 +tp955 +a(g138 +V$( +p956 +tp957 +a(g6 +VNULL +p958 +tp959 +a(g138 +V) +tp960 +a(g6 +V\u000a\u000a +p961 +tp962 +a(g27 +Vifndef MOZ_NO_XPCOM_OBSOLETE\u000a +p963 +tp964 +a(g6 +V +tp965 +a(g6 +Vtier_2_dirs +p966 +tp967 +a(g6 +V +tp968 +a(g6 +V+ +tp969 +a(g357 +V= +tp970 +a(g6 +V +tp971 +a(g6 +Vmodules/libreg +p972 +tp973 +a(g6 +V +tp974 +a(g6 +Vxpcom/obsolete +p975 +tp976 +a(g6 +V\u000a +tp977 +a(g27 +Vendif\u000a +p978 +tp979 +a(g6 +V\u000a +tp980 +a(g27 +Vifdef NS_TRACE_MALLOC\u000a +p981 +tp982 +a(g6 +V +tp983 +a(g6 +Vtier_2_dirs +p984 +tp985 +a(g6 +V +tp986 +a(g6 +V+ +tp987 +a(g357 +V= +tp988 +a(g6 +V +tp989 +a(g6 +Vtools/trace-malloc/lib +p990 +tp991 +a(g6 +V\u000a +tp992 +a(g27 +Vendif\u000a +p993 +tp994 +a(g6 +V\u000a +tp995 +a(g24 +V#\u000a +p996 +tp997 +a(g6 +V +tp998 +a(g24 +V# tier 9 - core components (necko,gecko)\u000a +p999 +tp1000 +a(g6 +V +tp1001 +a(g24 +V#\u000a +p1002 +tp1003 +a(g6 +V\u000a +tp1004 +a(g6 +Vtier_9_dirs +p1005 +tp1006 +a(g6 +V +tp1007 +a(g6 +V+ +tp1008 +a(g357 +V= +tp1009 +a(g6 +V +tp1010 +a(g266 +V\u005c\u000a +p1011 +tp1012 +a(g6 +V +p1013 +tp1014 +a(g6 +Vjs/src/xpconnect +p1015 +tp1016 +a(g6 +V +tp1017 +a(g266 +V\u005c\u000a +p1018 +tp1019 +a(g6 +V +p1020 +tp1021 +a(g6 +Vintl +p1022 +tp1023 +a(g6 +V +tp1024 +a(g266 +V\u005c\u000a +p1025 +tp1026 +a(g6 +V +p1027 +tp1028 +a(g6 +Vdb +p1029 +tp1030 +a(g6 +V +tp1031 +a(g266 +V\u005c\u000a +p1032 +tp1033 +a(g6 +V +p1034 +tp1035 +a(g138 +V$( +p1036 +tp1037 +a(g6 +VNULL +p1038 +tp1039 +a(g138 +V) +tp1040 +a(g6 +V\u000a\u000a +p1041 +tp1042 +a(g27 +Vifdef MOZ_STORAGE\u000a +p1043 +tp1044 +a(g6 +V +tp1045 +a(g6 +Vtier_9_dirs +p1046 +tp1047 +a(g6 +V +tp1048 +a(g6 +V+ +tp1049 +a(g357 +V= +tp1050 +a(g6 +V +tp1051 +a(g6 +Vstorage +p1052 +tp1053 +a(g6 +V\u000a +tp1054 +a(g27 +Vendif\u000a +p1055 +tp1056 +a(g6 +V\u000a +tp1057 +a(g27 +Vifdef MOZ_ENABLE_XLIB\u000a +p1058 +tp1059 +a(g6 +V +tp1060 +a(g6 +Vtier_9_dirs +p1061 +tp1062 +a(g6 +V +tp1063 +a(g6 +V+ +tp1064 +a(g357 +V= +tp1065 +a(g6 +V +tp1066 +a(g6 +Vgfx/src/xlibrgb +p1067 +tp1068 +a(g6 +V +tp1069 +a(g6 +Vwidget/src/xlibxtbin +p1070 +tp1071 +a(g6 +V\u000a +tp1072 +a(g27 +Vendif\u000a +p1073 +tp1074 +a(g6 +V\u000a +tp1075 +a(g27 +Vifdef MOZ_ENABLE_GTK\u000a +p1076 +tp1077 +a(g6 +V +tp1078 +a(g6 +Vtier_9_dirs +p1079 +tp1080 +a(g6 +V +tp1081 +a(g6 +V+ +tp1082 +a(g357 +V= +tp1083 +a(g6 +V +tp1084 +a(g6 +Vwidget/src/gtksuperwin +p1085 +tp1086 +a(g6 +V +tp1087 +a(g6 +Vwidget/src/gtkxtbin +p1088 +tp1089 +a(g6 +V\u000a +tp1090 +a(g27 +Vendif\u000a +p1091 +tp1092 +a(g6 +V\u000a +tp1093 +a(g27 +Vifdef MOZ_ENABLE_GTK2\u000a +p1094 +tp1095 +a(g6 +V +tp1096 +a(g6 +Vtier_9_dirs +p1097 +tp1098 +a(g6 +V +p1099 +tp1100 +a(g6 +V+ +tp1101 +a(g357 +V= +tp1102 +a(g6 +V +tp1103 +a(g6 +Vwidget/src/gtkxtbin +p1104 +tp1105 +a(g6 +V\u000a +tp1106 +a(g27 +Vendif\u000a +p1107 +tp1108 +a(g6 +V\u000a +tp1109 +a(g27 +Vifdef MOZ_IPCD\u000a +p1110 +tp1111 +a(g6 +V +tp1112 +a(g6 +Vtier_9_dirs +p1113 +tp1114 +a(g6 +V +tp1115 +a(g6 +V+ +tp1116 +a(g357 +V= +tp1117 +a(g6 +V +tp1118 +a(g6 +Vipc/ipcd +p1119 +tp1120 +a(g6 +V\u000a +tp1121 +a(g27 +Vendif\u000a +p1122 +tp1123 +a(g6 +V\u000a +tp1124 +a(g27 +Vifdef MOZ_JSDEBUGGER\u000a +p1125 +tp1126 +a(g6 +V +tp1127 +a(g6 +Vtier_9_dirs +p1128 +tp1129 +a(g6 +V +tp1130 +a(g6 +V+ +tp1131 +a(g357 +V= +tp1132 +a(g6 +V +tp1133 +a(g6 +Vjs/jsd +p1134 +tp1135 +a(g6 +V\u000a +tp1136 +a(g27 +Vendif\u000a +p1137 +tp1138 +a(g6 +V\u000a +tp1139 +a(g6 +Vtier_9_dirs +p1140 +tp1141 +a(g6 +V +tp1142 +a(g6 +V+ +tp1143 +a(g357 +V= +tp1144 +a(g6 +V +tp1145 +a(g266 +V\u005c\u000a +p1146 +tp1147 +a(g6 +V +p1148 +tp1149 +a(g6 +Vmodules/libutil +p1150 +tp1151 +a(g6 +V +tp1152 +a(g266 +V\u005c\u000a +p1153 +tp1154 +a(g6 +V +p1155 +tp1156 +a(g6 +Vnetwerk +p1157 +tp1158 +a(g6 +V +tp1159 +a(g266 +V\u005c\u000a +p1160 +tp1161 +a(g6 +V +p1162 +tp1163 +a(g6 +Vmodules/libjar +p1164 +tp1165 +a(g6 +V +tp1166 +a(g266 +V\u005c\u000a +p1167 +tp1168 +a(g6 +V +p1169 +tp1170 +a(g6 +Vuriloader +p1171 +tp1172 +a(g6 +V +tp1173 +a(g266 +V\u005c\u000a +p1174 +tp1175 +a(g6 +V +p1176 +tp1177 +a(g6 +Vmodules/libpref +p1178 +tp1179 +a(g6 +V +tp1180 +a(g266 +V\u005c\u000a +p1181 +tp1182 +a(g6 +V +p1183 +tp1184 +a(g6 +Vmodules/libimg +p1185 +tp1186 +a(g6 +V +tp1187 +a(g266 +V\u005c\u000a +p1188 +tp1189 +a(g6 +V +p1190 +tp1191 +a(g6 +Vcaps +p1192 +tp1193 +a(g6 +V +tp1194 +a(g266 +V\u005c\u000a +p1195 +tp1196 +a(g6 +V +p1197 +tp1198 +a(g6 +Vrdf +p1199 +tp1200 +a(g6 +V +tp1201 +a(g266 +V\u005c\u000a +p1202 +tp1203 +a(g6 +V +p1204 +tp1205 +a(g6 +Vparser/expat +p1206 +tp1207 +a(g6 +V +tp1208 +a(g266 +V\u005c\u000a +p1209 +tp1210 +a(g6 +V +p1211 +tp1212 +a(g6 +Vparser/xml +p1213 +tp1214 +a(g6 +V +tp1215 +a(g266 +V\u005c\u000a +p1216 +tp1217 +a(g6 +V +p1218 +tp1219 +a(g6 +Vparser/htmlparser +p1220 +tp1221 +a(g6 +V +tp1222 +a(g266 +V\u005c\u000a +p1223 +tp1224 +a(g6 +V +p1225 +tp1226 +a(g6 +Vgfx +p1227 +tp1228 +a(g6 +V +tp1229 +a(g266 +V\u005c\u000a +p1230 +tp1231 +a(g6 +V +p1232 +tp1233 +a(g6 +Vmodules/libpr0n +p1234 +tp1235 +a(g6 +V +tp1236 +a(g266 +V\u005c\u000a +p1237 +tp1238 +a(g6 +V +p1239 +tp1240 +a(g6 +Vsun-java +p1241 +tp1242 +a(g6 +V +tp1243 +a(g266 +V\u005c\u000a +p1244 +tp1245 +a(g6 +V +p1246 +tp1247 +a(g6 +Vmodules/plugin +p1248 +tp1249 +a(g6 +V +tp1250 +a(g266 +V\u005c\u000a +p1251 +tp1252 +a(g6 +V +p1253 +tp1254 +a(g6 +Vdom +p1255 +tp1256 +a(g6 +V +tp1257 +a(g266 +V\u005c\u000a +p1258 +tp1259 +a(g6 +V +p1260 +tp1261 +a(g6 +Vview +p1262 +tp1263 +a(g6 +V +tp1264 +a(g266 +V\u005c\u000a +p1265 +tp1266 +a(g6 +V +p1267 +tp1268 +a(g6 +Vwidget +p1269 +tp1270 +a(g6 +V +tp1271 +a(g266 +V\u005c\u000a +p1272 +tp1273 +a(g6 +V +p1274 +tp1275 +a(g6 +Vcontent +p1276 +tp1277 +a(g6 +V +tp1278 +a(g266 +V\u005c\u000a +p1279 +tp1280 +a(g6 +V +p1281 +tp1282 +a(g6 +Vlayout +p1283 +tp1284 +a(g6 +V +tp1285 +a(g266 +V\u005c\u000a +p1286 +tp1287 +a(g6 +V +p1288 +tp1289 +a(g6 +Vxpfe/components/shistory +p1290 +tp1291 +a(g6 +V +tp1292 +a(g266 +V\u005c\u000a +p1293 +tp1294 +a(g6 +V +p1295 +tp1296 +a(g6 +Vdocshell +p1297 +tp1298 +a(g6 +V +tp1299 +a(g266 +V\u005c\u000a +p1300 +tp1301 +a(g6 +V +p1302 +tp1303 +a(g6 +Vwebshell +p1304 +tp1305 +a(g6 +V +tp1306 +a(g266 +V\u005c\u000a +p1307 +tp1308 +a(g6 +V +p1309 +tp1310 +a(g6 +Vembedding +p1311 +tp1312 +a(g6 +V +tp1313 +a(g266 +V\u005c\u000a +p1314 +tp1315 +a(g6 +V +p1316 +tp1317 +a(g6 +Veditor +p1318 +tp1319 +a(g6 +V +tp1320 +a(g266 +V\u005c\u000a +p1321 +tp1322 +a(g6 +V +p1323 +tp1324 +a(g6 +Vxpfe/appshell +p1325 +tp1326 +a(g6 +V +tp1327 +a(g266 +V\u005c\u000a +p1328 +tp1329 +a(g6 +V +p1330 +tp1331 +a(g138 +V$( +p1332 +tp1333 +a(g6 +VNULL +p1334 +tp1335 +a(g138 +V) +tp1336 +a(g6 +V\u000a\u000a +p1337 +tp1338 +a(g27 +Vifdef MOZ_OJI\u000a +p1339 +tp1340 +a(g6 +V +tp1341 +a(g6 +Vtier_9_dirs +p1342 +tp1343 +a(g6 +V +tp1344 +a(g6 +V+ +tp1345 +a(g357 +V= +tp1346 +a(g6 +V +tp1347 +a(g266 +V\u005c\u000a +p1348 +tp1349 +a(g6 +V +p1350 +tp1351 +a(g6 +Vjs/src/liveconnect +p1352 +tp1353 +a(g6 +V +tp1354 +a(g266 +V\u005c\u000a +p1355 +tp1356 +a(g6 +V +p1357 +tp1358 +a(g6 +Vmodules/oji +p1359 +tp1360 +a(g6 +V +tp1361 +a(g266 +V\u005c\u000a +p1362 +tp1363 +a(g6 +V +p1364 +tp1365 +a(g138 +V$( +p1366 +tp1367 +a(g6 +VNULL +p1368 +tp1369 +a(g138 +V) +tp1370 +a(g6 +V\u000a +tp1371 +a(g27 +Vendif\u000a +p1372 +tp1373 +a(g6 +V\u000a +tp1374 +a(g27 +Vifdef ACCESSIBILITY\u000a +p1375 +tp1376 +a(g6 +V +tp1377 +a(g6 +Vtier_9_dirs +p1378 +tp1379 +a(g6 +V +p1380 +tp1381 +a(g6 +V+ +tp1382 +a(g357 +V= +tp1383 +a(g6 +V +tp1384 +a(g6 +Vaccessible +p1385 +tp1386 +a(g6 +V\u000a +tp1387 +a(g27 +Vendif\u000a +p1388 +tp1389 +a(g6 +V\u000a +tp1390 +a(g24 +V# \u000a +p1391 +tp1392 +a(g6 +V +tp1393 +a(g24 +V# tier 50 - xpfe & toolkit\u000a +p1394 +tp1395 +a(g6 +V +tp1396 +a(g24 +V#\u000a +p1397 +tp1398 +a(g6 +V\u000a +tp1399 +a(g27 +Vifdef MOZ_XUL\u000a +p1400 +tp1401 +a(g6 +V +tp1402 +a(g27 +Vifdef MOZ_XUL_APP\u000a +p1403 +tp1404 +a(g6 +V +tp1405 +a(g6 +Vtier_50_dirs +p1406 +tp1407 +a(g6 +V +tp1408 +a(g6 +V+ +tp1409 +a(g357 +V= +tp1410 +a(g6 +V +tp1411 +a(g6 +Vchrome +p1412 +tp1413 +a(g6 +V\u000a +tp1414 +a(g27 +Velse\u000a +p1415 +tp1416 +a(g6 +V +tp1417 +a(g6 +Vtier_50_dirs +p1418 +tp1419 +a(g6 +V +tp1420 +a(g6 +V+ +tp1421 +a(g357 +V= +tp1422 +a(g6 +V +tp1423 +a(g6 +Vrdf/chrome +p1424 +tp1425 +a(g6 +V\u000a +tp1426 +a(g27 +Vendif\u000a +p1427 +tp1428 +a(g6 +V +tp1429 +a(g27 +Velse\u000a +p1430 +tp1431 +a(g6 +V +tp1432 +a(g6 +Vtier_50_dirs +p1433 +tp1434 +a(g6 +V +tp1435 +a(g6 +V+ +tp1436 +a(g357 +V= +tp1437 +a(g6 +V +tp1438 +a(g6 +Vembedding/minimo/chromelite +p1439 +tp1440 +a(g6 +V\u000a +tp1441 +a(g27 +Vendif\u000a +p1442 +tp1443 +a(g6 +V\u000a +tp1444 +a(g6 +Vtier_50_dirs +p1445 +tp1446 +a(g6 +V +tp1447 +a(g6 +V+ +tp1448 +a(g357 +V= +tp1449 +a(g6 +V +tp1450 +a(g6 +Vprofile +p1451 +tp1452 +a(g6 +V\u000a\u000a +p1453 +tp1454 +a(g24 +V# This must preceed xpfe\u000a +p1455 +tp1456 +a(g6 +V +tp1457 +a(g27 +Vifdef MOZ_JPROF\u000a +p1458 +tp1459 +a(g6 +V +tp1460 +a(g6 +Vtier_50_dirs +p1461 +tp1462 +a(g6 +V +p1463 +tp1464 +a(g6 +V+ +tp1465 +a(g357 +V= +tp1466 +a(g6 +V +tp1467 +a(g6 +Vtools/jprof +p1468 +tp1469 +a(g6 +V\u000a +tp1470 +a(g27 +Vendif\u000a +p1471 +tp1472 +a(g6 +V\u000a +tp1473 +a(g27 +Vifneq (,$(filter mac cocoa,$(MOZ_WIDGET_TOOLKIT)))\u000a +p1474 +tp1475 +a(g6 +V +tp1476 +a(g6 +Vtier_50_dirs +p1477 +tp1478 +a(g6 +V +tp1479 +a(g6 +V+ +tp1480 +a(g357 +V= +tp1481 +a(g6 +V +tp1482 +a(g6 +Vxpfe/bootstrap/appleevents +p1483 +tp1484 +a(g6 +V\u000a +tp1485 +a(g27 +Vendif\u000a +p1486 +tp1487 +a(g6 +V\u000a +tp1488 +a(g6 +Vtier_50_dirs +p1489 +tp1490 +a(g6 +V +tp1491 +a(g6 +V+ +tp1492 +a(g357 +V= +tp1493 +a(g6 +V +tp1494 +a(g266 +V\u005c\u000a +p1495 +tp1496 +a(g6 +V +tp1497 +a(g6 +Vxpfe +p1498 +tp1499 +a(g6 +V +tp1500 +a(g266 +V\u005c\u000a +p1501 +tp1502 +a(g6 +V +tp1503 +a(g6 +Vtoolkit/components +p1504 +tp1505 +a(g6 +V +tp1506 +a(g266 +V\u005c\u000a +p1507 +tp1508 +a(g6 +V +tp1509 +a(g138 +V$( +p1510 +tp1511 +a(g6 +VNULL +p1512 +tp1513 +a(g138 +V) +tp1514 +a(g6 +V\u000a\u000a +p1515 +tp1516 +a(g27 +Vifndef MOZ_XUL_APP\u000a +p1517 +tp1518 +a(g6 +V +tp1519 +a(g6 +Vtier_50_dirs +p1520 +tp1521 +a(g6 +V +tp1522 +a(g6 +V+ +tp1523 +a(g357 +V= +tp1524 +a(g6 +V +tp1525 +a(g6 +Vthemes +p1526 +tp1527 +a(g6 +V\u000a +tp1528 +a(g27 +Vendif\u000a +p1529 +tp1530 +a(g6 +V\u000a +tp1531 +a(g27 +Vifdef MOZ_ENABLE_XREMOTE\u000a +p1532 +tp1533 +a(g6 +V +tp1534 +a(g6 +Vtier_50_dirs +p1535 +tp1536 +a(g6 +V +tp1537 +a(g6 +V+ +tp1538 +a(g357 +V= +tp1539 +a(g6 +V +tp1540 +a(g6 +Vwidget/src/xremoteclient +p1541 +tp1542 +a(g6 +V\u000a +tp1543 +a(g27 +Vendif\u000a +p1544 +tp1545 +a(g6 +V\u000a +tp1546 +a(g27 +Vifdef MOZ_XUL_APP\u000a +p1547 +tp1548 +a(g6 +V +tp1549 +a(g6 +Vtier_50_dirs +p1550 +tp1551 +a(g6 +V +tp1552 +a(g6 +V+ +tp1553 +a(g357 +V= +tp1554 +a(g6 +V +tp1555 +a(g6 +Vtoolkit +p1556 +tp1557 +a(g6 +V\u000a +tp1558 +a(g27 +Vendif\u000a +p1559 +tp1560 +a(g6 +V\u000a +tp1561 +a(g27 +Vifdef MOZ_PHOENIX\u000a +p1562 +tp1563 +a(g6 +V +tp1564 +a(g24 +V#XXXBlake this shell path is a temp hack; toolkit shouldn't depend on browser\u000a +p1565 +tp1566 +a(g6 +V +tp1567 +a(g6 +Vtier_50_dirs +p1568 +tp1569 +a(g6 +V +p1570 +tp1571 +a(g6 +V+ +tp1572 +a(g357 +V= +tp1573 +a(g6 +V +tp1574 +a(g6 +Vbrowser/components/shell/public +p1575 +tp1576 +a(g6 +V\u000a +tp1577 +a(g27 +Vendif\u000a +p1578 +tp1579 +a(g6 +V\u000a +tp1580 +a(g27 +Vifdef MOZ_XPINSTALL\u000a +p1581 +tp1582 +a(g6 +V +tp1583 +a(g6 +Vtier_50_dirs +p1584 +tp1585 +a(g6 +V +p1586 +tp1587 +a(g6 +V+ +tp1588 +a(g357 +V= +tp1589 +a(g6 +V +p1590 +tp1591 +a(g6 +Vxpinstall +p1592 +tp1593 +a(g6 +V\u000a +tp1594 +a(g27 +Vendif\u000a +p1595 +tp1596 +a(g6 +V\u000a +tp1597 +a(g24 +V# JavaXPCOM JNI code is compiled into libXUL\u000a +p1598 +tp1599 +a(g6 +V +tp1600 +a(g27 +Vifdef MOZ_JAVAXPCOM\u000a +p1601 +tp1602 +a(g6 +V +tp1603 +a(g6 +Vtier_50_dirs +p1604 +tp1605 +a(g6 +V +tp1606 +a(g6 +V+ +tp1607 +a(g357 +V= +tp1608 +a(g6 +V +tp1609 +a(g6 +Vextensions/java/xpcom/src +p1610 +tp1611 +a(g6 +V\u000a +tp1612 +a(g27 +Vendif\u000a +p1613 +tp1614 +a(g6 +V\u000a +tp1615 +a(g27 +Vifdef MOZ_ENABLE_LIBXUL\u000a +p1616 +tp1617 +a(g6 +V +tp1618 +a(g6 +Vtier_50_dirs +p1619 +tp1620 +a(g6 +V +tp1621 +a(g6 +V+ +tp1622 +a(g357 +V= +tp1623 +a(g6 +V +tp1624 +a(g266 +V\u005c\u000a +p1625 +tp1626 +a(g6 +V +p1627 +tp1628 +a(g6 +Vtoolkit/library +p1629 +tp1630 +a(g6 +V +tp1631 +a(g266 +V\u005c\u000a +p1632 +tp1633 +a(g6 +V +p1634 +tp1635 +a(g6 +Vxpcom/stub +p1636 +tp1637 +a(g6 +V +tp1638 +a(g266 +V\u005c\u000a +p1639 +tp1640 +a(g6 +V +p1641 +tp1642 +a(g138 +V$( +p1643 +tp1644 +a(g6 +VNULL +p1645 +tp1646 +a(g138 +V) +tp1647 +a(g6 +V\u000a +tp1648 +a(g27 +Vendif\u000a +p1649 +tp1650 +a(g6 +V\u000a +tp1651 +a(g27 +Vifdef NS_TRACE_MALLOC\u000a +p1652 +tp1653 +a(g6 +V +tp1654 +a(g6 +Vtier_50_dirs +p1655 +tp1656 +a(g6 +V +tp1657 +a(g6 +V+ +tp1658 +a(g357 +V= +tp1659 +a(g6 +V +tp1660 +a(g6 +Vtools/trace-malloc +p1661 +tp1662 +a(g6 +V\u000a +tp1663 +a(g27 +Vendif\u000a +p1664 +tp1665 +a(g6 +V\u000a +tp1666 +a(g27 +Vifdef MOZ_PSM\u000a +p1667 +tp1668 +a(g6 +V +tp1669 +a(g6 +Vtier_50_dirs +p1670 +tp1671 +a(g6 +V +tp1672 +a(g6 +V+ +tp1673 +a(g357 +V= +tp1674 +a(g6 +V +tp1675 +a(g6 +Vsecurity/manager +p1676 +tp1677 +a(g6 +V\u000a +tp1678 +a(g27 +Velse\u000a +p1679 +tp1680 +a(g6 +V +tp1681 +a(g6 +Vtier_50_dirs +p1682 +tp1683 +a(g6 +V +tp1684 +a(g6 +V+ +tp1685 +a(g357 +V= +tp1686 +a(g6 +V +tp1687 +a(g6 +Vsecurity/manager/boot/public +p1688 +tp1689 +a(g6 +V +tp1690 +a(g6 +Vsecurity/manager/ssl/public +p1691 +tp1692 +a(g6 +V\u000a +tp1693 +a(g27 +Vendif\u000a +p1694 +tp1695 +a(g6 +V\u000a +tp1696 +a(g27 +Vifdef MOZ_LDAP_XPCOM\u000a +p1697 +tp1698 +a(g6 +V +tp1699 +a(g6 +Vtier_50_dirs +p1700 +tp1701 +a(g6 +V +tp1702 +a(g6 +V+ +tp1703 +a(g357 +V= +tp1704 +a(g6 +V +tp1705 +a(g6 +Vdirectory/xpcom +p1706 +tp1707 +a(g6 +V\u000a +tp1708 +a(g27 +Vendif\u000a +p1709 +tp1710 +a(g6 +V\u000a +tp1711 +a(g27 +Vifndef MINIMO\u000a +p1712 +tp1713 +a(g6 +V +tp1714 +a(g27 +Vifdef MOZ_XUL_APP\u000a +p1715 +tp1716 +a(g6 +V +tp1717 +a(g27 +Vifdef MOZ_ENABLE_GTK2\u000a +p1718 +tp1719 +a(g6 +V +tp1720 +a(g6 +Vtier_50_dirs +p1721 +tp1722 +a(g6 +V +p1723 +tp1724 +a(g6 +V+ +tp1725 +a(g357 +V= +tp1726 +a(g6 +V +tp1727 +a(g6 +Vtoolkit/components/gnome +p1728 +tp1729 +a(g6 +V\u000a +tp1730 +a(g27 +Vendif\u000a +p1731 +tp1732 +a(g6 +V +tp1733 +a(g27 +Vendif\u000a +p1734 +tp1735 +a(g6 +V +tp1736 +a(g27 +Vendif\u000a +p1737 +tp1738 +a(g6 +V\u000a +tp1739 +a(g27 +Vifdef MOZ_LEAKY\u000a +p1740 +tp1741 +a(g6 +V +tp1742 +a(g6 +Vtier_50_dirs +p1743 +tp1744 +a(g6 +V +p1745 +tp1746 +a(g6 +V+ +tp1747 +a(g357 +V= +tp1748 +a(g6 +V +tp1749 +a(g6 +Vtools/leaky +p1750 +tp1751 +a(g6 +V\u000a +tp1752 +a(g27 +Vendif\u000a +p1753 +tp1754 +a(g6 +V\u000a +tp1755 +a(g27 +Vifdef MOZ_MAPINFO\u000a +p1756 +tp1757 +a(g6 +V +tp1758 +a(g6 +Vtier_50_dirs +p1759 +tp1760 +a(g6 +V +tp1761 +a(g6 +V+ +tp1762 +a(g357 +V= +tp1763 +a(g6 +V +tp1764 +a(g6 +Vtools/codesighs +p1765 +tp1766 +a(g6 +V\u000a +tp1767 +a(g27 +Vendif\u000a +p1768 +tp1769 +a(g6 +V\u000a +tp1770 +a(g24 +V#\u000a +p1771 +tp1772 +a(g6 +V +tp1773 +a(g24 +V# tier 99 - application features\u000a +p1774 +tp1775 +a(g6 +V +tp1776 +a(g24 +V#\u000a +p1777 +tp1778 +a(g6 +V\u000a +tp1779 +a(g27 +Vifdef MOZ_MAIL_NEWS\u000a +p1780 +tp1781 +a(g6 +V +tp1782 +a(g6 +Vtier_99_dirs +p1783 +tp1784 +a(g6 +V +tp1785 +a(g6 +V+ +tp1786 +a(g357 +V= +tp1787 +a(g6 +V +tp1788 +a(g6 +Vmailnews +p1789 +tp1790 +a(g6 +V\u000a +tp1791 +a(g27 +Vendif\u000a +p1792 +tp1793 +a(g6 +V\u000a +tp1794 +a(g27 +Vifdef MOZ_CALENDAR\u000a +p1795 +tp1796 +a(g6 +V +tp1797 +a(g6 +Vtier_99_dirs +p1798 +tp1799 +a(g6 +V +tp1800 +a(g6 +V+ +tp1801 +a(g357 +V= +tp1802 +a(g6 +V +tp1803 +a(g6 +Vcalendar +p1804 +tp1805 +a(g6 +V\u000a +tp1806 +a(g27 +Vendif\u000a +p1807 +tp1808 +a(g6 +V\u000a +tp1809 +a(g27 +Vifdef MOZ_EXTENSIONS\u000a +p1810 +tp1811 +a(g6 +V +tp1812 +a(g6 +Vtier_99_dirs +p1813 +tp1814 +a(g6 +V +tp1815 +a(g6 +V+ +tp1816 +a(g357 +V= +tp1817 +a(g6 +V +tp1818 +a(g6 +Vextensions +p1819 +tp1820 +a(g6 +V\u000a +tp1821 +a(g27 +Vendif\u000a +p1822 +tp1823 +a(g6 +V\u000a +tp1824 +a(g27 +Vifdef MOZ_JAVAXPCOM\u000a +p1825 +tp1826 +a(g6 +V +tp1827 +a(g6 +Vtier_99_dirs +p1828 +tp1829 +a(g6 +V +tp1830 +a(g6 +V+ +tp1831 +a(g357 +V= +tp1832 +a(g6 +V +tp1833 +a(g6 +Vextensions/java +p1834 +tp1835 +a(g6 +V\u000a +tp1836 +a(g27 +Vendif\u000a +p1837 +tp1838 +a(g6 +V\u000a +tp1839 +a(g24 +V# axcontrol\u000a +p1840 +tp1841 +a(g6 +V +tp1842 +a(g27 +Vifeq ($(OS_ARCH),WINNT)\u000a +p1843 +tp1844 +a(g6 +V +tp1845 +a(g27 +Vifndef MOZ_NO_ACTIVEX_SUPPORT\u000a +p1846 +tp1847 +a(g6 +V +tp1848 +a(g6 +Vtier_99_dirs +p1849 +tp1850 +a(g6 +V +tp1851 +a(g6 +V+ +tp1852 +a(g357 +V= +tp1853 +a(g6 +V +tp1854 +a(g266 +V\u005c\u000a +p1855 +tp1856 +a(g6 +V +p1857 +tp1858 +a(g6 +Vembedding/browser/activex/src/control +p1859 +tp1860 +a(g6 +V +tp1861 +a(g266 +V\u005c\u000a +p1862 +tp1863 +a(g6 +V +p1864 +tp1865 +a(g6 +Vembedding/browser/activex/src/control_kicker +p1866 +tp1867 +a(g6 +V +tp1868 +a(g266 +V\u005c\u000a +p1869 +tp1870 +a(g6 +V +p1871 +tp1872 +a(g138 +V$( +p1873 +tp1874 +a(g6 +VNULL +p1875 +tp1876 +a(g138 +V) +tp1877 +a(g6 +V\u000a +tp1878 +a(g27 +Vendif\u000a +p1879 +tp1880 +a(g6 +V +tp1881 +a(g27 +Vendif\u000a +p1882 +tp1883 +a(g6 +V\u000a +tp1884 +a(g24 +V# Java Embedding Plugin\u000a +p1885 +tp1886 +a(g6 +V +tp1887 +a(g27 +Vifneq (,$(filter mac cocoa,$(MOZ_WIDGET_TOOLKIT)))\u000a +p1888 +tp1889 +a(g6 +V +tp1890 +a(g6 +Vtier_99_dirs +p1891 +tp1892 +a(g6 +V +tp1893 +a(g6 +V+ +tp1894 +a(g357 +V= +tp1895 +a(g6 +V +tp1896 +a(g6 +Vplugin/oji/JEP +p1897 +tp1898 +a(g6 +V\u000a +tp1899 +a(g27 +Vendif\u000a +p1900 +tp1901 +a(g6 +V\u000a +tp1902 +a(g27 +Vifneq (,$(filter browser suite,$(MOZ_BUILD_APP)))\u000a +p1903 +tp1904 +a(g6 +V +tp1905 +a(g6 +Vtier_99_dirs +p1906 +tp1907 +a(g6 +V +tp1908 +a(g6 +V+ +tp1909 +a(g357 +V= +tp1910 +a(g6 +V +tp1911 +a(g6 +Vxpfe/components/search +p1912 +tp1913 +a(g6 +V\u000a +tp1914 +a(g27 +Vendif\u000a +p1915 +tp1916 +a(g6 +V\u000a +tp1917 +a(g27 +Vifdef MOZ_BRANDING_DIRECTORY\u000a +p1918 +tp1919 +a(g6 +V +tp1920 +a(g6 +Vtier_99_dirs +p1921 +tp1922 +a(g6 +V +tp1923 +a(g6 +V+ +tp1924 +a(g357 +V= +tp1925 +a(g6 +V +tp1926 +a(g138 +V$( +p1927 +tp1928 +a(g6 +VMOZ_BRANDING_DIRECTORY +p1929 +tp1930 +a(g138 +V) +tp1931 +a(g6 +V\u000a +tp1932 +a(g27 +Vendif\u000a +p1933 +tp1934 +a(g6 +V\u000a +tp1935 +a(g27 +Vifdef MOZ_PHOENIX\u000a +p1936 +tp1937 +a(g6 +V +tp1938 +a(g6 +Vtier_99_dirs +p1939 +tp1940 +a(g6 +V +tp1941 +a(g6 +V+ +tp1942 +a(g357 +V= +tp1943 +a(g6 +V +tp1944 +a(g6 +Vbrowser +p1945 +tp1946 +a(g6 +V +tp1947 +a(g6 +Vxpfe/bootstrap/init.d +p1948 +tp1949 +a(g6 +V\u000a +tp1950 +a(g27 +Vendif\u000a +p1951 +tp1952 +a(g6 +V\u000a +tp1953 +a(g27 +Vifdef MOZ_XULRUNNER\u000a +p1954 +tp1955 +a(g6 +V +tp1956 +a(g6 +Vtier_99_dirs +p1957 +tp1958 +a(g6 +V +tp1959 +a(g6 +V+ +tp1960 +a(g357 +V= +tp1961 +a(g6 +V +tp1962 +a(g6 +Vxulrunner +p1963 +tp1964 +a(g6 +V\u000a +tp1965 +a(g27 +Vendif\u000a +p1966 +tp1967 +a(g6 +V\u000a +tp1968 +a(g27 +Vifdef MOZ_COMPOSER\u000a +p1969 +tp1970 +a(g6 +V +tp1971 +a(g6 +Vtier_99_dirs +p1972 +tp1973 +a(g6 +V +tp1974 +a(g6 +V+ +tp1975 +a(g357 +V= +tp1976 +a(g6 +V +tp1977 +a(g6 +Veditor/ui +p1978 +tp1979 +a(g6 +V\u000a +tp1980 +a(g27 +Vendif\u000a +p1981 +tp1982 +a(g6 +V\u000a +tp1983 +a(g27 +Vifdef MOZ_THUNDERBIRD\u000a +p1984 +tp1985 +a(g6 +V +tp1986 +a(g6 +Vtier_99_dirs +p1987 +tp1988 +a(g6 +V +tp1989 +a(g6 +V+ +tp1990 +a(g357 +V= +tp1991 +a(g6 +V +tp1992 +a(g6 +Vmail +p1993 +tp1994 +a(g6 +V +tp1995 +a(g6 +Vxpfe/bootstrap/init.d +p1996 +tp1997 +a(g6 +V\u000a +tp1998 +a(g27 +Vendif\u000a +p1999 +tp2000 +a(g6 +V\u000a +tp2001 +a(g27 +Vifdef MOZ_STANDALONE_COMPOSER\u000a +p2002 +tp2003 +a(g6 +V +tp2004 +a(g6 +Vtier_99_dirs +p2005 +tp2006 +a(g6 +V +tp2007 +a(g6 +V+ +tp2008 +a(g357 +V= +tp2009 +a(g6 +V +tp2010 +a(g6 +Vcomposer +p2011 +tp2012 +a(g6 +V\u000a +tp2013 +a(g27 +Vendif\u000a +p2014 +tp2015 +a(g6 +V\u000a +tp2016 +a(g27 +Vifdef MOZ_SUNBIRD\u000a +p2017 +tp2018 +a(g6 +V +tp2019 +a(g6 +Vtier_99_dirs +p2020 +tp2021 +a(g6 +V +tp2022 +a(g6 +V+ +tp2023 +a(g357 +V= +tp2024 +a(g6 +V +tp2025 +a(g6 +Vcalendar/sunbird +p2026 +tp2027 +a(g6 +V\u000a +tp2028 +a(g27 +Vendif\u000a +p2029 +tp2030 +a(g6 +V\u000a +tp2031 +a(g27 +Vifdef MOZ_SUITE\u000a +p2032 +tp2033 +a(g6 +V +tp2034 +a(g6 +Vtier_99_dirs +p2035 +tp2036 +a(g6 +V +tp2037 +a(g6 +V+ +tp2038 +a(g357 +V= +tp2039 +a(g6 +V +tp2040 +a(g6 +Vsuite +p2041 +tp2042 +a(g6 +V\u000a +tp2043 +a(g27 +Vendif\u000a +p2044 +tp2045 +a(g6 +V\u000a +tp2046 +a(g27 +Vifdef MINIMO\u000a +p2047 +tp2048 +a(g6 +V +tp2049 +a(g6 +Vtier_99_dirs +p2050 +tp2051 +a(g6 +V +tp2052 +a(g6 +V+ +tp2053 +a(g357 +V= +tp2054 +a(g6 +V +tp2055 +a(g6 +Vminimo +p2056 +tp2057 +a(g6 +V\u000a +tp2058 +a(g27 +Vendif\u000a +p2059 +tp2060 +a(g6 +V\u000a +tp2061 +a(g27 +Vifdef MOZ_XUL_APP\u000a +p2062 +tp2063 +a(g6 +V +tp2064 +a(g27 +Vifdef MOZ_INSTALLER\u000a +p2065 +tp2066 +a(g6 +V +tp2067 +a(g6 +Vtier_99_dirs +p2068 +tp2069 +a(g6 +V +p2070 +tp2071 +a(g6 +V+ +tp2072 +a(g357 +V= +tp2073 +a(g6 +V +p2074 +tp2075 +a(g6 +Vtoolkit/mozapps/installer +p2076 +tp2077 +a(g6 +V\u000a +tp2078 +a(g27 +Vendif\u000a +p2079 +tp2080 +a(g6 +V +tp2081 +a(g27 +Velse\u000a +p2082 +tp2083 +a(g6 +V +tp2084 +a(g27 +Vifneq (,$(MOZ_XPFE_COMPONENTS)$(MOZ_XUL))\u000a +p2085 +tp2086 +a(g6 +V +tp2087 +a(g27 +Vifndef MINIMO\u000a +p2088 +tp2089 +a(g6 +V +tp2090 +a(g6 +Vtier_99_dirs +p2091 +tp2092 +a(g6 +V +tp2093 +a(g6 +V+ +tp2094 +a(g357 +V= +tp2095 +a(g6 +V +tp2096 +a(g6 +Vxpfe/bootstrap +p2097 +tp2098 +a(g6 +V\u000a +tp2099 +a(g27 +Vendif\u000a +p2100 +tp2101 +a(g6 +V +tp2102 +a(g27 +Vendif\u000a +p2103 +tp2104 +a(g6 +V +tp2105 +a(g27 +Vendif\u000a +p2106 +tp2107 +a(g6 +V\u000a +tp2108 +a(g27 +Vifneq (,$(MOZ_ENABLE_GTK)$(MOZ_ENABLE_GTK2))\u000a +p2109 +tp2110 +a(g6 +V +tp2111 +a(g6 +Vtier_99_dirs +p2112 +tp2113 +a(g6 +V +tp2114 +a(g6 +V+ +tp2115 +a(g357 +V= +tp2116 +a(g6 +V +tp2117 +a(g6 +Vembedding/browser/gtk +p2118 +tp2119 +a(g6 +V\u000a +tp2120 +a(g27 +Vendif\u000a +p2121 +tp2122 +a(g6 +V\u000a +tp2123 +a(g24 +V# viewer\u000a +p2124 +tp2125 +a(g6 +V +tp2126 +a(g27 +Vifneq (,$(ENABLE_TESTS))\u000a +p2127 +tp2128 +a(g6 +V +tp2129 +a(g27 +Vifndef MOZ_ENABLE_LIBXUL\u000a +p2130 +tp2131 +a(g6 +V +tp2132 +a(g6 +Vtier_99_dirs +p2133 +tp2134 +a(g6 +V +tp2135 +a(g6 +V+ +tp2136 +a(g357 +V= +tp2137 +a(g6 +V +tp2138 +a(g6 +Vwebshell/tests +p2139 +tp2140 +a(g6 +V\u000a +tp2141 +a(g27 +Vendif\u000a +p2142 +tp2143 +a(g6 +V +tp2144 +a(g27 +Vendif\u000a +p2145 +tp2146 +a(g6 +V\u000a +tp2147 +a(g24 +V# winembed, mfcembed\u000a +p2148 +tp2149 +a(g6 +V +tp2150 +a(g27 +Vifeq ($(OS_ARCH),WINNT)\u000a +p2151 +tp2152 +a(g6 +V +tp2153 +a(g27 +Vifneq (,$(ENABLE_TESTS)$(MOZILLA_OFFICIAL))\u000a +p2154 +tp2155 +a(g6 +V +tp2156 +a(g6 +Vtier_99_dirs +p2157 +tp2158 +a(g6 +V +tp2159 +a(g6 +V+ +tp2160 +a(g357 +V= +tp2161 +a(g6 +V +tp2162 +a(g6 +Vembedding/tests +p2163 +tp2164 +a(g6 +V\u000a +tp2165 +a(g27 +Vendif\u000a +p2166 +tp2167 +a(g6 +V +tp2168 +a(g27 +Vendif\u000a +p2169 +tp2170 +a(g6 +V\u000a +tp2171 +a(g24 +V# os2embed\u000a +p2172 +tp2173 +a(g6 +V +tp2174 +a(g27 +Vifeq ($(OS_ARCH),OS2)\u000a +p2175 +tp2176 +a(g6 +V +tp2177 +a(g27 +Vifneq (,$(ENABLE_TESTS)$(MOZILLA_OFFICIAL))\u000a +p2178 +tp2179 +a(g6 +V +tp2180 +a(g6 +Vtier_99_dirs +p2181 +tp2182 +a(g6 +V +tp2183 +a(g6 +V+ +tp2184 +a(g357 +V= +tp2185 +a(g6 +V +tp2186 +a(g6 +Vembedding/tests +p2187 +tp2188 +a(g6 +V\u000a +tp2189 +a(g27 +Vendif\u000a +p2190 +tp2191 +a(g6 +V +tp2192 +a(g27 +Vendif\u000a +p2193 +tp2194 +a(g6 +V\u000a +tp2195 +a(g27 +Vifeq ($(MOZ_BUILD_APP),macbrowser)\u000a +p2196 +tp2197 +a(g6 +V +tp2198 +a(g6 +Vtier_99_dirs +p2199 +tp2200 +a(g6 +V +tp2201 +a(g6 +V+ +tp2202 +a(g357 +V= +tp2203 +a(g6 +V +tp2204 +a(g266 +V\u005c\u000a +p2205 +tp2206 +a(g6 +V +tp2207 +a(g6 +Vembedding/config +p2208 +tp2209 +a(g6 +V +tp2210 +a(g266 +V\u005c\u000a +p2211 +tp2212 +a(g6 +V +tp2213 +a(g6 +Vcamino +p2214 +tp2215 +a(g6 +V +tp2216 +a(g266 +V\u005c\u000a +p2217 +tp2218 +a(g6 +V +tp2219 +a(g138 +V$( +p2220 +tp2221 +a(g6 +VNULL +p2222 +tp2223 +a(g138 +V) +tp2224 +a(g6 +V\u000a +tp2225 +a(g27 +Vendif\u000a +p2226 +tp2227 +a(g6 +V\u000a +tp2228 +a(g24 +V# test harnesses \u000a +p2229 +tp2230 +a(g6 +V +tp2231 +a(g27 +Vifdef ENABLE_TESTS\u000a +p2232 +tp2233 +a(g6 +V +tp2234 +a(g6 +Vtier_99_dirs +p2235 +tp2236 +a(g6 +V +tp2237 +a(g6 +V+ +tp2238 +a(g357 +V= +tp2239 +a(g6 +V +tp2240 +a(g6 +Vtools/test-harness +p2241 +tp2242 +a(g6 +V\u000a +tp2243 +a(g27 +Vendif\u000a +p2244 +tp2245 +a(g6 +V\u000a +tp2246 +a(g27 +Velse\u000a +p2247 +tp2248 +a(g6 +V\u000a +tp2249 +a(g24 +V# Standalone build\u000a +p2250 +tp2251 +a(g6 +V\u000a +tp2252 +a(g100 +VDIRS +p2253 +tp2254 +a(g6 +V +p2255 +tp2256 +a(g357 +V= +tp2257 +a(g6 +V +tp2258 +a(g138 +V$( +p2259 +tp2260 +a(g6 +VBUILD_MODULE_DIRS +p2261 +tp2262 +a(g138 +V) +tp2263 +a(g6 +V\u000a\u000a +p2264 +tp2265 +a(g24 +V# Hack to generate xpidl Makefile\u000a +p2266 +tp2267 +a(g6 +V +tp2268 +a(g27 +Vifneq ($(BUILD_MODULES),all)\u000a +p2269 +tp2270 +a(g6 +V +tp2271 +a(g27 +Vifneq (,$(findstring xpcom, $(BUILD_MODULE_DIRS)))\u000a +p2272 +tp2273 +a(g6 +V +tp2274 +a(g6 +VDIRS +p2275 +tp2276 +a(g6 +V +p2277 +tp2278 +a(g6 +V: +tp2279 +a(g357 +V= +tp2280 +a(g6 +V +tp2281 +a(g6 +Vxpcom/typelib +p2282 +tp2283 +a(g6 +V +tp2284 +a(g138 +V$( +p2285 +tp2286 +a(g6 +VDIRS +p2287 +tp2288 +a(g138 +V) +tp2289 +a(g6 +V\u000a +tp2290 +a(g6 +VSUBMAKEFILES +p2291 +tp2292 +a(g6 +V +tp2293 +a(g6 +V: +tp2294 +a(g357 +V= +tp2295 +a(g6 +V +tp2296 +a(g6 +Vxpcom/typelib/Makefile +p2297 +tp2298 +a(g6 +V\u000a +tp2299 +a(g27 +Vendif\u000a +p2300 +tp2301 +a(g6 +V +tp2302 +a(g27 +Vendif\u000a +p2303 +tp2304 +a(g6 +V\u000a +tp2305 +a(g6 +Vdefault:: +p2306 +tp2307 +a(g6 +V +tp2308 +a(g138 +V$( +p2309 +tp2310 +a(g6 +VSUBMAKEFILES +p2311 +tp2312 +a(g138 +V) +tp2313 +a(g6 +V\u000a +p2314 +tp2315 +a(g138 +V$( +p2316 +tp2317 +a(g6 +VMAKE +p2318 +tp2319 +a(g138 +V) +tp2320 +a(g6 +V +tp2321 +a(g84 +Vexport +p2322 +tp2323 +a(g6 +V\u000a +p2324 +tp2325 +a(g138 +V$( +p2326 +tp2327 +a(g6 +VMAKE +p2328 +tp2329 +a(g138 +V) +tp2330 +a(g6 +V +tp2331 +a(g6 +Vlibs +p2332 +tp2333 +a(g6 +V\u000a\u000a +p2334 +tp2335 +a(g27 +Vendif # BUILD_MODULES == all\u000a +p2336 +tp2337 +a(g6 +V\u000a +tp2338 +a(g6 +VSTATIC_MAKEFILES +p2339 +tp2340 +a(g6 +V +tp2341 +a(g6 +V: +tp2342 +a(g357 +V= +tp2343 +a(g6 +V +tp2344 +a(g6 +Vnsprpub +p2345 +tp2346 +a(g6 +V +tp2347 +a(g6 +Vdirectory/c-sdk +p2348 +tp2349 +a(g6 +V +tp2350 +a(g6 +Vsecurity/nss +p2351 +tp2352 +a(g6 +V\u000a\u000a +p2353 +tp2354 +a(g6 +VGARBAGE_DIRS +p2355 +tp2356 +a(g6 +V +tp2357 +a(g6 +V+ +tp2358 +a(g357 +V= +tp2359 +a(g6 +V +tp2360 +a(g6 +Vdist +p2361 +tp2362 +a(g6 +V\u000a +tp2363 +a(g100 +VDIST_GARBAGE +p2364 +tp2365 +a(g6 +V +tp2366 +a(g357 +V= +tp2367 +a(g6 +V +tp2368 +a(g6 +Vconfig.cache +p2369 +tp2370 +a(g6 +V +tp2371 +a(g6 +Vconfig.log +p2372 +tp2373 +a(g6 +V +tp2374 +a(g6 +Vconfig.status +p2375 +tp2376 +a(g6 +V +tp2377 +a(g6 +Vconfig-defs.h +p2378 +tp2379 +a(g6 +V +tp2380 +a(g266 +V\u005c\u000a +p2381 +tp2382 +a(g6 +V +p2383 +tp2384 +a(g6 +Vdependencies.beos +p2385 +tp2386 +a(g6 +V +tp2387 +a(g6 +Vconfig/autoconf.mk +p2388 +tp2389 +a(g6 +V +tp2390 +a(g6 +Vconfig/myrules.mk +p2391 +tp2392 +a(g6 +V +tp2393 +a(g6 +Vconfig/myconfig.mk +p2394 +tp2395 +a(g6 +V +tp2396 +a(g266 +V\u005c\u000a +p2397 +tp2398 +a(g6 +V +p2399 +tp2400 +a(g6 +Vunallmakefiles +p2401 +tp2402 +a(g6 +V +tp2403 +a(g6 +Vmozilla-config.h +p2404 +tp2405 +a(g6 +V +tp2406 +a(g266 +V\u005c\u000a +p2407 +tp2408 +a(g6 +V +p2409 +tp2410 +a(g138 +V$( +p2411 +tp2412 +a(g6 +Vtopsrcdir +p2413 +tp2414 +a(g138 +V) +tp2415 +a(g6 +V/.mozconfig.mk +p2416 +tp2417 +a(g6 +V +tp2418 +a(g138 +V$( +p2419 +tp2420 +a(g6 +Vtopsrcdir +p2421 +tp2422 +a(g138 +V) +tp2423 +a(g6 +V/.mozconfig.out +p2424 +tp2425 +a(g6 +V \u000a\u000a +p2426 +tp2427 +a(g24 +V# Build pseudo-external modules first when export is explicitly called\u000a +p2428 +tp2429 +a(g6 +V +tp2430 +a(g84 +Vexport +p2431 +tp2432 +a(g6 +V:: +p2433 +tp2434 +a(g6 +V\u000a +p2435 +tp2436 +a(g138 +V$( +p2437 +tp2438 +a(g6 +VRM +p2439 +tp2440 +a(g138 +V) +tp2441 +a(g6 +V +tp2442 +a(g6 +V-rf +p2443 +tp2444 +a(g6 +V +tp2445 +a(g138 +V$( +p2446 +tp2447 +a(g6 +VDIST +p2448 +tp2449 +a(g138 +V) +tp2450 +a(g6 +V/sdk +p2451 +tp2452 +a(g6 +V\u000a +p2453 +tp2454 +a(g138 +V$( +p2455 +tp2456 +a(g6 +VMAKE +p2457 +tp2458 +a(g138 +V) +tp2459 +a(g6 +V +tp2460 +a(g6 +V-C +p2461 +tp2462 +a(g6 +V +tp2463 +a(g6 +Vconfig +p2464 +tp2465 +a(g6 +V +tp2466 +a(g84 +Vexport +p2467 +tp2468 +a(g6 +V\u000a +p2469 +tp2470 +a(g138 +V$( +p2471 +tp2472 +a(g6 +VMAKE +p2473 +tp2474 +a(g138 +V) +tp2475 +a(g6 +V +tp2476 +a(g6 +Vnspr +p2477 +tp2478 +a(g6 +V\u000a +p2479 +tp2480 +a(g138 +V$( +p2481 +tp2482 +a(g6 +VMAKE +p2483 +tp2484 +a(g138 +V) +tp2485 +a(g6 +V +tp2486 +a(g6 +Vldap +p2487 +tp2488 +a(g6 +V\u000a +tp2489 +a(g27 +Vifneq ($(BUILD_MODULES),all)\u000a +p2490 +tp2491 +a(g6 +V +tp2492 +a(g27 +Vifneq (,$(findstring xpcom, $(BUILD_MODULE_DIRS)))\u000a +p2493 +tp2494 +a(g6 +V +tp2495 +a(g138 +V$( +p2496 +tp2497 +a(g6 +VMAKE +p2498 +tp2499 +a(g138 +V) +tp2500 +a(g6 +V +tp2501 +a(g6 +V-C +p2502 +tp2503 +a(g6 +V +tp2504 +a(g6 +Vxpcom/typelib +p2505 +tp2506 +a(g6 +V\u000a +p2507 +tp2508 +a(g138 +V$( +p2509 +tp2510 +a(g6 +VMAKE +p2511 +tp2512 +a(g138 +V) +tp2513 +a(g6 +V +tp2514 +a(g84 +Vexport +p2515 +tp2516 +a(g6 +V-idl +p2517 +tp2518 +a(g6 +V\u000a +tp2519 +a(g27 +Vendif\u000a +p2520 +tp2521 +a(g6 +V +tp2522 +a(g27 +Vendif\u000a +p2523 +tp2524 +a(g6 +V\u000a +tp2525 +a(g6 +Vinstall:: +p2526 +tp2527 +a(g6 +V\u000a +tp2528 +a(g27 +Vifndef MOZ_NATIVE_NSPR\u000a +p2529 +tp2530 +a(g6 +V +tp2531 +a(g138 +V$( +p2532 +tp2533 +a(g6 +VMAKE +p2534 +tp2535 +a(g138 +V) +tp2536 +a(g6 +V +tp2537 +a(g6 +V-C +p2538 +tp2539 +a(g6 +V +tp2540 +a(g6 +Vnsprpub +p2541 +tp2542 +a(g6 +V +tp2543 +a(g6 +Vreal_install +p2544 +tp2545 +a(g6 +V +tp2546 +a(g100 +VDESTDIR +p2547 +tp2548 +a(g357 +V= +tp2549 +a(g138 +V$( +p2550 +tp2551 +a(g6 +VDESTDIR +p2552 +tp2553 +a(g138 +V) +tp2554 +a(g6 +V +tp2555 +a(g100 +Vlibdir +p2556 +tp2557 +a(g357 +V= +tp2558 +a(g138 +V$( +p2559 +tp2560 +a(g6 +Vmozappdir +p2561 +tp2562 +a(g138 +V) +tp2563 +a(g6 +V +tp2564 +a(g100 +Vincludedir +p2565 +tp2566 +a(g357 +V= +tp2567 +a(g138 +V$( +p2568 +tp2569 +a(g6 +Vincludedir +p2570 +tp2571 +a(g138 +V) +tp2572 +a(g6 +V/nspr +p2573 +tp2574 +a(g6 +V\u000a +p2575 +tp2576 +a(g138 +V$( +p2577 +tp2578 +a(g6 +VRM +p2579 +tp2580 +a(g138 +V) +tp2581 +a(g6 +V +tp2582 +a(g6 +V-f +p2583 +tp2584 +a(g6 +V +tp2585 +a(g138 +V$( +p2586 +tp2587 +a(g6 +Vaddprefix +p2588 +tp2589 +a(g6 +V +tp2590 +a(g138 +V$( +p2591 +tp2592 +a(g6 +VDESTDIR +p2593 +tp2594 +a(g138 +V) +tp2595 +a(g138 +V$( +p2596 +tp2597 +a(g6 +Vmozappdir +p2598 +tp2599 +a(g138 +V) +tp2600 +a(g6 +V/ +tp2601 +a(g138 +V$( +p2602 +tp2603 +a(g6 +VLIB_PREFIX +p2604 +tp2605 +a(g138 +V) +tp2606 +a(g6 +V, +tp2607 +a(g6 +V +tp2608 +a(g138 +V$( +p2609 +tp2610 +a(g6 +Vaddsuffix +p2611 +tp2612 +a(g6 +V +tp2613 +a(g6 +V. +tp2614 +a(g138 +V$( +p2615 +tp2616 +a(g6 +VLIB_SUFFIX +p2617 +tp2618 +a(g138 +V) +tp2619 +a(g6 +V, +tp2620 +a(g6 +V +tp2621 +a(g6 +Vnspr4 +p2622 +tp2623 +a(g6 +V +tp2624 +a(g6 +Vplds4 +p2625 +tp2626 +a(g6 +V +tp2627 +a(g6 +Vplc4 +p2628 +tp2629 +a(g138 +V) +tp2630 +a(g138 +V) +tp2631 +a(g6 +V\u000a +p2632 +tp2633 +a(g138 +V$( +p2634 +tp2635 +a(g6 +VRM +p2636 +tp2637 +a(g138 +V) +tp2638 +a(g6 +V +tp2639 +a(g6 +V-f +p2640 +tp2641 +a(g6 +V +tp2642 +a(g138 +V$( +p2643 +tp2644 +a(g6 +Vaddprefix +p2645 +tp2646 +a(g6 +V +tp2647 +a(g138 +V$( +p2648 +tp2649 +a(g6 +VDESTDIR +p2650 +tp2651 +a(g138 +V) +tp2652 +a(g138 +V$( +p2653 +tp2654 +a(g6 +Vbindir +p2655 +tp2656 +a(g138 +V) +tp2657 +a(g6 +V/,nspr-config +p2658 +tp2659 +a(g6 +V +tp2660 +a(g6 +Vcompile-et.pl +p2661 +tp2662 +a(g6 +V +tp2663 +a(g6 +Vprerr.properties +p2664 +tp2665 +a(g138 +V) +tp2666 +a(g6 +V\u000a +tp2667 +a(g27 +Vendif\u000a +p2668 +tp2669 +a(g6 +V +tp2670 +a(g27 +Vifdef MOZ_LDAP_XPCOM\u000a +p2671 +tp2672 +a(g6 +V +tp2673 +a(g138 +V$( +p2674 +tp2675 +a(g6 +VMAKE +p2676 +tp2677 +a(g138 +V) +tp2678 +a(g6 +V +tp2679 +a(g6 +V-C +p2680 +tp2681 +a(g6 +V +tp2682 +a(g6 +Vdirectory/c-sdk +p2683 +tp2684 +a(g6 +V +tp2685 +a(g6 +Vreal_install +p2686 +tp2687 +a(g6 +V +tp2688 +a(g100 +VDESTDIR +p2689 +tp2690 +a(g357 +V= +tp2691 +a(g138 +V$( +p2692 +tp2693 +a(g6 +VDESTDIR +p2694 +tp2695 +a(g138 +V) +tp2696 +a(g6 +V +tp2697 +a(g100 +Vlibdir +p2698 +tp2699 +a(g357 +V= +tp2700 +a(g138 +V$( +p2701 +tp2702 +a(g6 +Vmozappdir +p2703 +tp2704 +a(g138 +V) +tp2705 +a(g6 +V +tp2706 +a(g100 +Vincludedir +p2707 +tp2708 +a(g357 +V= +tp2709 +a(g138 +V$( +p2710 +tp2711 +a(g6 +Vincludedir +p2712 +tp2713 +a(g138 +V) +tp2714 +a(g6 +V/ldap +p2715 +tp2716 +a(g6 +V\u000a +tp2717 +a(g27 +Vendif\u000a +p2718 +tp2719 +a(g6 +V\u000a +tp2720 +a(g27 +Vinclude $(topsrcdir)/config/rules.mk\u000a +p2721 +tp2722 +a(g6 +V\u000a +tp2723 +a(g24 +V# Clean up after pseudo-external modules\u000a +p2724 +tp2725 +a(g6 +V +tp2726 +a(g6 +Vclean +p2727 +tp2728 +a(g6 +V +tp2729 +a(g6 +Vclobber +p2730 +tp2731 +a(g6 +V +tp2732 +a(g6 +Vrealclean +p2733 +tp2734 +a(g6 +V +tp2735 +a(g6 +Vclobber_all +p2736 +tp2737 +a(g6 +V +tp2738 +a(g6 +Vdistclean:: +p2739 +tp2740 +a(g6 +V\u000a +tp2741 +a(g27 +Vifndef MOZ_NATIVE_NSPR\u000a +p2742 +tp2743 +a(g6 +V +tp2744 +a(g138 +V$( +p2745 +tp2746 +a(g6 +VMAKE +p2747 +tp2748 +a(g138 +V) +tp2749 +a(g6 +V +tp2750 +a(g6 +V-C +p2751 +tp2752 +a(g6 +V +tp2753 +a(g6 +Vnsprpub +p2754 +tp2755 +a(g6 +V +tp2756 +a(g100 +V$@ +p2757 +tp2758 +a(g6 +V\u000a +tp2759 +a(g27 +Vendif\u000a +p2760 +tp2761 +a(g6 +V +tp2762 +a(g27 +Vifdef MOZ_LDAP_XPCOM\u000a +p2763 +tp2764 +a(g6 +V +tp2765 +a(g138 +V$( +p2766 +tp2767 +a(g6 +VMAKE +p2768 +tp2769 +a(g138 +V) +tp2770 +a(g6 +V +tp2771 +a(g6 +V-C +p2772 +tp2773 +a(g6 +V +tp2774 +a(g6 +Vdirectory/c-sdk +p2775 +tp2776 +a(g6 +V +tp2777 +a(g100 +V$@ +p2778 +tp2779 +a(g6 +V\u000a +tp2780 +a(g27 +Vendif\u000a +p2781 +tp2782 +a(g6 +V\u000a +tp2783 +a(g24 +V# Map mozilla targets to standard automake target\u000a +p2784 +tp2785 +a(g6 +V +tp2786 +a(g27 +Vifdef MOZ_ENABLE_LIBXUL\u000a +p2787 +tp2788 +a(g6 +V +tp2789 +a(g6 +Vtier_50: +p2790 +tp2791 +a(g6 +V +tp2792 +a(g138 +V$( +p2793 +tp2794 +a(g6 +Vaddsuffix +p2795 +tp2796 +a(g6 +V +tp2797 +a(g6 +V/Makefile, +p2798 +tp2799 +a(g6 +V +tp2800 +a(g138 +V$( +p2801 +tp2802 +a(g6 +Vfilter-out +p2803 +tp2804 +a(g6 +V +tp2805 +a(g138 +V$( +p2806 +tp2807 +a(g6 +VSTATIC_MAKEFILES +p2808 +tp2809 +a(g138 +V) +tp2810 +a(g6 +V, +tp2811 +a(g6 +V +tp2812 +a(g138 +V$( +p2813 +tp2814 +a(g100 +V$@ +p2815 +tp2816 +a(g6 +V_dirs +p2817 +tp2818 +a(g138 +V) +tp2819 +a(g138 +V) +tp2820 +a(g138 +V) +tp2821 +a(g6 +V\u000a +p2822 +tp2823 +a(g6 +V@echo +p2824 +tp2825 +a(g6 +V +tp2826 +a(g259 +V"tier_50: $(tier_50_dirs)" +p2827 +tp2828 +a(g6 +V\u000a +p2829 +tp2830 +a(g6 +V@ +tp2831 +a(g138 +V$( +p2832 +tp2833 +a(g6 +VEXIT_ON_ERROR +p2834 +tp2835 +a(g138 +V) +tp2836 +a(g6 +V +tp2837 +a(g266 +V\u005c\u000a +p2838 +tp2839 +a(g6 +V +tp2840 +a(g138 +Vfor +p2841 +tp2842 +a(g6 +Vd +tp2843 +a(g6 +V +tp2844 +a(g6 +Vin +p2845 +tp2846 +a(g6 +V +tp2847 +a(g138 +V$( +p2848 +tp2849 +a(g6 +Vtier_50_dirs +p2850 +tp2851 +a(g138 +V) +tp2852 +a(g6 +V; +tp2853 +a(g6 +V +tp2854 +a(g138 +Vdo +p2855 +tp2856 +a(g6 +V +tp2857 +a(g266 +V\u005c\u000a +p2858 +tp2859 +a(g6 +V +p2860 +tp2861 +a(g138 +V$( +p2862 +tp2863 +a(g6 +VUPDATE_TITLE +p2864 +tp2865 +a(g138 +V) +tp2866 +a(g6 +V +tp2867 +a(g266 +V\u005c\u000a +p2868 +tp2869 +a(g6 +V +p2870 +tp2871 +a(g138 +Vif +p2872 +tp2873 +a(g84 +Vtest +p2874 +tp2875 +a(g6 +V +tp2876 +a(g6 +V! +tp2877 +a(g6 +V +tp2878 +a(g6 +V-f +p2879 +tp2880 +a(g6 +V +tp2881 +a(g100 +V$$ +p2882 +tp2883 +a(g6 +Vd/Makefile; +p2884 +tp2885 +a(g6 +V +tp2886 +a(g138 +Vthen +p2887 +tp2888 +a(g6 +V +tp2889 +a(g266 +V\u005c\u000a +p2890 +tp2891 +a(g6 +V +p2892 +tp2893 +a(g138 +V$( +p2894 +tp2895 +a(g6 +VPERL +p2896 +tp2897 +a(g138 +V) +tp2898 +a(g6 +V +tp2899 +a(g138 +V$( +p2900 +tp2901 +a(g6 +VAUTOCONF_TOOLS +p2902 +tp2903 +a(g138 +V) +tp2904 +a(g6 +V/make-makefile +p2905 +tp2906 +a(g6 +V +tp2907 +a(g6 +V-t +p2908 +tp2909 +a(g6 +V +tp2910 +a(g138 +V$( +p2911 +tp2912 +a(g6 +Vtopsrcdir +p2913 +tp2914 +a(g138 +V) +tp2915 +a(g6 +V +tp2916 +a(g6 +V-d +p2917 +tp2918 +a(g6 +V +tp2919 +a(g138 +V$( +p2920 +tp2921 +a(g6 +VDEPTH +p2922 +tp2923 +a(g138 +V) +tp2924 +a(g6 +V +tp2925 +a(g138 +V$( +p2926 +tp2927 +a(g6 +VCYGWIN_TOPSRCDIR +p2928 +tp2929 +a(g138 +V) +tp2930 +a(g6 +V +tp2931 +a(g100 +V$$ +p2932 +tp2933 +a(g6 +Vd/Makefile; +p2934 +tp2935 +a(g6 +V +tp2936 +a(g266 +V\u005c\u000a +p2937 +tp2938 +a(g6 +V +p2939 +tp2940 +a(g138 +Vfi +p2941 +tp2942 +a(g6 +V; +tp2943 +a(g6 +V +tp2944 +a(g266 +V\u005c\u000a +p2945 +tp2946 +a(g6 +V +p2947 +tp2948 +a(g138 +V$( +p2949 +tp2950 +a(g6 +VMAKE +p2951 +tp2952 +a(g138 +V) +tp2953 +a(g6 +V +tp2954 +a(g6 +V-C +p2955 +tp2956 +a(g6 +V +tp2957 +a(g100 +V$$ +p2958 +tp2959 +a(g6 +Vd +tp2960 +a(g6 +V +tp2961 +a(g84 +Vexport +p2962 +tp2963 +a(g6 +V; +tp2964 +a(g6 +V +tp2965 +a(g266 +V\u005c\u000a +p2966 +tp2967 +a(g6 +V +tp2968 +a(g138 +Vdone +p2969 +tp2970 +a(g6 +V +tp2971 +a(g6 +V; +tp2972 +a(g6 +V +tp2973 +a(g266 +V\u005c\u000a +p2974 +tp2975 +a(g6 +V +tp2976 +a(g138 +Vfor +p2977 +tp2978 +a(g6 +Vd +tp2979 +a(g6 +V +tp2980 +a(g6 +Vin +p2981 +tp2982 +a(g6 +V +tp2983 +a(g138 +V$( +p2984 +tp2985 +a(g6 +Vtier_50_dirs +p2986 +tp2987 +a(g138 +V) +tp2988 +a(g6 +V; +tp2989 +a(g6 +V +tp2990 +a(g138 +Vdo +p2991 +tp2992 +a(g6 +V +tp2993 +a(g266 +V\u005c\u000a +p2994 +tp2995 +a(g6 +V +p2996 +tp2997 +a(g138 +V$( +p2998 +tp2999 +a(g6 +VUPDATE_TITLE +p3000 +tp3001 +a(g138 +V) +tp3002 +a(g6 +V +tp3003 +a(g266 +V\u005c\u000a +p3004 +tp3005 +a(g6 +V +p3006 +tp3007 +a(g138 +V$( +p3008 +tp3009 +a(g6 +VMAKE +p3010 +tp3011 +a(g138 +V) +tp3012 +a(g6 +V +tp3013 +a(g6 +V-C +p3014 +tp3015 +a(g6 +V +tp3016 +a(g100 +V$$ +p3017 +tp3018 +a(g6 +Vd +tp3019 +a(g6 +V +tp3020 +a(g6 +Vlibs; +p3021 +tp3022 +a(g6 +V +tp3023 +a(g266 +V\u005c\u000a +p3024 +tp3025 +a(g6 +V +tp3026 +a(g138 +Vdone +p3027 +tp3028 +a(g6 +V\u000a +p3029 +tp3030 +a(g6 +V@echo +p3031 +tp3032 +a(g6 +V +tp3033 +a(g259 +V"Building tools from tier 2/9/50" +p3034 +tp3035 +a(g6 +V\u000a +p3036 +tp3037 +a(g6 +V@ +tp3038 +a(g138 +V$( +p3039 +tp3040 +a(g6 +VEXIT_ON_ERROR +p3041 +tp3042 +a(g138 +V) +tp3043 +a(g6 +V +tp3044 +a(g266 +V\u005c\u000a +p3045 +tp3046 +a(g6 +V +tp3047 +a(g138 +Vfor +p3048 +tp3049 +a(g6 +Vd +tp3050 +a(g6 +V +tp3051 +a(g6 +Vin +p3052 +tp3053 +a(g6 +V +tp3054 +a(g138 +V$( +p3055 +tp3056 +a(g6 +Vtier_2_dirs +p3057 +tp3058 +a(g138 +V) +tp3059 +a(g6 +V +tp3060 +a(g138 +V$( +p3061 +tp3062 +a(g6 +Vtier_9_dirs +p3063 +tp3064 +a(g138 +V) +tp3065 +a(g6 +V +tp3066 +a(g138 +V$( +p3067 +tp3068 +a(g6 +Vtier_50_dirs +p3069 +tp3070 +a(g138 +V) +tp3071 +a(g6 +V; +tp3072 +a(g6 +V +tp3073 +a(g138 +Vdo +p3074 +tp3075 +a(g6 +V +tp3076 +a(g266 +V\u005c\u000a +p3077 +tp3078 +a(g6 +V +p3079 +tp3080 +a(g138 +V$( +p3081 +tp3082 +a(g6 +VUPDATE_TITLE +p3083 +tp3084 +a(g138 +V) +tp3085 +a(g6 +V +tp3086 +a(g266 +V\u005c\u000a +p3087 +tp3088 +a(g6 +V +p3089 +tp3090 +a(g138 +V$( +p3091 +tp3092 +a(g6 +VMAKE +p3093 +tp3094 +a(g138 +V) +tp3095 +a(g6 +V +tp3096 +a(g6 +V-C +p3097 +tp3098 +a(g6 +V +tp3099 +a(g100 +V$$ +p3100 +tp3101 +a(g6 +Vd +tp3102 +a(g6 +V +tp3103 +a(g6 +Vtools; +p3104 +tp3105 +a(g6 +V +tp3106 +a(g266 +V\u005c\u000a +p3107 +tp3108 +a(g6 +V +tp3109 +a(g138 +Vdone +p3110 +tp3111 +a(g6 +V; +tp3112 +a(g6 +V\u000a +tp3113 +a(g27 +Vendif\u000a +p3114 +tp3115 +a(g6 +V\u000a +tp3116 +a(g6 +Vtier_%: +p3117 +tp3118 +a(g6 +V\u000a +p3119 +tp3120 +a(g6 +V@echo +p3121 +tp3122 +a(g6 +V +tp3123 +a(g259 +V"$@: $($@_dirs)" +p3124 +tp3125 +a(g6 +V\u000a +p3126 +tp3127 +a(g6 +V@ +tp3128 +a(g138 +V$( +p3129 +tp3130 +a(g6 +VEXIT_ON_ERROR +p3131 +tp3132 +a(g138 +V) +tp3133 +a(g6 +V +tp3134 +a(g266 +V\u005c\u000a +p3135 +tp3136 +a(g6 +V +p3137 +tp3138 +a(g138 +Vfor +p3139 +tp3140 +a(g6 +Vd +tp3141 +a(g6 +V +tp3142 +a(g6 +Vin +p3143 +tp3144 +a(g6 +V +tp3145 +a(g138 +V$( +p3146 +tp3147 +a(g100 +V$@ +p3148 +tp3149 +a(g6 +V_dirs +p3150 +tp3151 +a(g138 +V) +tp3152 +a(g6 +V; +tp3153 +a(g6 +V +tp3154 +a(g138 +Vdo +p3155 +tp3156 +a(g6 +V +tp3157 +a(g266 +V\u005c\u000a +p3158 +tp3159 +a(g6 +V +p3160 +tp3161 +a(g138 +V$( +p3162 +tp3163 +a(g6 +VUPDATE_TITLE +p3164 +tp3165 +a(g138 +V) +tp3166 +a(g6 +V +tp3167 +a(g266 +V\u005c\u000a +p3168 +tp3169 +a(g6 +V +p3170 +tp3171 +a(g138 +Vif +p3172 +tp3173 +a(g84 +Vtest +p3174 +tp3175 +a(g6 +V +tp3176 +a(g6 +V! +tp3177 +a(g6 +V +tp3178 +a(g6 +V-f +p3179 +tp3180 +a(g6 +V +tp3181 +a(g100 +V$$ +p3182 +tp3183 +a(g6 +Vd/Makefile; +p3184 +tp3185 +a(g6 +V +tp3186 +a(g138 +Vthen +p3187 +tp3188 +a(g6 +V +tp3189 +a(g266 +V\u005c\u000a +p3190 +tp3191 +a(g6 +V +p3192 +tp3193 +a(g138 +V$( +p3194 +tp3195 +a(g6 +VPERL +p3196 +tp3197 +a(g138 +V) +tp3198 +a(g6 +V +tp3199 +a(g138 +V$( +p3200 +tp3201 +a(g6 +VAUTOCONF_TOOLS +p3202 +tp3203 +a(g138 +V) +tp3204 +a(g6 +V/make-makefile +p3205 +tp3206 +a(g6 +V +tp3207 +a(g6 +V-t +p3208 +tp3209 +a(g6 +V +tp3210 +a(g138 +V$( +p3211 +tp3212 +a(g6 +Vtopsrcdir +p3213 +tp3214 +a(g138 +V) +tp3215 +a(g6 +V +tp3216 +a(g6 +V-d +p3217 +tp3218 +a(g6 +V +tp3219 +a(g138 +V$( +p3220 +tp3221 +a(g6 +VDEPTH +p3222 +tp3223 +a(g138 +V) +tp3224 +a(g6 +V +tp3225 +a(g138 +V$( +p3226 +tp3227 +a(g6 +VCYGWIN_TOPSRCDIR +p3228 +tp3229 +a(g138 +V) +tp3230 +a(g6 +V +tp3231 +a(g100 +V$$ +p3232 +tp3233 +a(g6 +Vd/Makefile; +p3234 +tp3235 +a(g6 +V +tp3236 +a(g266 +V\u005c\u000a +p3237 +tp3238 +a(g6 +V +p3239 +tp3240 +a(g138 +Vfi +p3241 +tp3242 +a(g6 +V; +tp3243 +a(g6 +V +tp3244 +a(g266 +V\u005c\u000a +p3245 +tp3246 +a(g6 +V +p3247 +tp3248 +a(g138 +V$( +p3249 +tp3250 +a(g6 +VMAKE +p3251 +tp3252 +a(g138 +V) +tp3253 +a(g6 +V +tp3254 +a(g6 +V-C +p3255 +tp3256 +a(g6 +V +tp3257 +a(g100 +V$$ +p3258 +tp3259 +a(g6 +Vd +tp3260 +a(g6 +V +tp3261 +a(g84 +Vexport +p3262 +tp3263 +a(g6 +V; +tp3264 +a(g6 +V +tp3265 +a(g266 +V\u005c\u000a +p3266 +tp3267 +a(g6 +V +p3268 +tp3269 +a(g138 +Vdone +p3270 +tp3271 +a(g6 +V +tp3272 +a(g6 +V; +tp3273 +a(g6 +V +tp3274 +a(g266 +V\u005c\u000a +p3275 +tp3276 +a(g6 +V +p3277 +tp3278 +a(g138 +Vfor +p3279 +tp3280 +a(g6 +Vd +tp3281 +a(g6 +V +tp3282 +a(g6 +Vin +p3283 +tp3284 +a(g6 +V +tp3285 +a(g138 +V$( +p3286 +tp3287 +a(g100 +V$@ +p3288 +tp3289 +a(g6 +V_dirs +p3290 +tp3291 +a(g138 +V) +tp3292 +a(g6 +V; +tp3293 +a(g6 +V +tp3294 +a(g138 +Vdo +p3295 +tp3296 +a(g6 +V +tp3297 +a(g138 +V$( +p3298 +tp3299 +a(g6 +VUPDATE_TITLE +p3300 +tp3301 +a(g138 +V) +tp3302 +a(g6 +V +tp3303 +a(g266 +V\u005c\u000a +p3304 +tp3305 +a(g6 +V +p3306 +tp3307 +a(g138 +V$( +p3308 +tp3309 +a(g6 +VMAKE +p3310 +tp3311 +a(g138 +V) +tp3312 +a(g6 +V +tp3313 +a(g6 +V-C +p3314 +tp3315 +a(g6 +V +tp3316 +a(g100 +V$$ +p3317 +tp3318 +a(g6 +Vd +tp3319 +a(g6 +V +tp3320 +a(g6 +Vlibs; +p3321 +tp3322 +a(g6 +V +tp3323 +a(g266 +V\u005c\u000a +p3324 +tp3325 +a(g6 +V +p3326 +tp3327 +a(g138 +Vdone\u000a\u000a +p3328 +tp3329 +a(g24 +V#\u000a +p3330 +tp3331 +a(g138 +V +tp3332 +a(g24 +V# Individual modules\u000a +p3333 +tp3334 +a(g138 +V +tp3335 +a(g24 +V#\u000a +p3336 +tp3337 +a(g138 +V +tp3338 +a(g6 +Vboehm: +p3339 +tp3340 +a(g6 +V\u000a +tp3341 +a(g27 +Vifdef GC_LEAK_DETECTOR\u000a +p3342 +tp3343 +a(g6 +V +tp3344 +a(g138 +V$( +p3345 +tp3346 +a(g6 +VMAKE +p3347 +tp3348 +a(g138 +V) +tp3349 +a(g6 +V +tp3350 +a(g6 +V-C +p3351 +tp3352 +a(g6 +V +tp3353 +a(g6 +Vgc/boehm +p3354 +tp3355 +a(g6 +V\u000a +tp3356 +a(g27 +Vendif\u000a +p3357 +tp3358 +a(g6 +V\u000a +tp3359 +a(g6 +Vnspr: +p3360 +tp3361 +a(g6 +V +tp3362 +a(g6 +Vboehm +p3363 +tp3364 +a(g6 +V\u000a +tp3365 +a(g27 +Vifndef MOZ_NATIVE_NSPR\u000a +p3366 +tp3367 +a(g6 +V +tp3368 +a(g138 +V$( +p3369 +tp3370 +a(g6 +VMAKE +p3371 +tp3372 +a(g138 +V) +tp3373 +a(g6 +V +tp3374 +a(g6 +V-C +p3375 +tp3376 +a(g6 +V +tp3377 +a(g6 +Vnsprpub +p3378 +tp3379 +a(g6 +V\u000a +tp3380 +a(g27 +Vendif\u000a +p3381 +tp3382 +a(g6 +V\u000a +tp3383 +a(g6 +Vldap: +p3384 +tp3385 +a(g6 +V\u000a +tp3386 +a(g27 +Vifdef MOZ_LDAP_XPCOM\u000a +p3387 +tp3388 +a(g6 +V +tp3389 +a(g138 +V$( +p3390 +tp3391 +a(g6 +VMAKE +p3392 +tp3393 +a(g138 +V) +tp3394 +a(g6 +V +tp3395 +a(g6 +V-C +p3396 +tp3397 +a(g6 +V +tp3398 +a(g6 +Vdirectory/c-sdk +p3399 +tp3400 +a(g6 +V\u000a +tp3401 +a(g27 +Vendif\u000a +p3402 +tp3403 +a(g6 +V\u000a +tp3404 +a(g6 +Vdistclean:: +p3405 +tp3406 +a(g6 +V\u000a +p3407 +tp3408 +a(g6 +Vcat +p3409 +tp3410 +a(g6 +V +tp3411 +a(g6 +Vunallmakefiles +p3412 +tp3413 +a(g6 +V +tp3414 +a(g6 +V| +tp3415 +a(g6 +V +tp3416 +a(g138 +V$( +p3417 +tp3418 +a(g6 +VXARGS +p3419 +tp3420 +a(g138 +V) +tp3421 +a(g6 +V +tp3422 +a(g6 +Vrm +p3423 +tp3424 +a(g6 +V +tp3425 +a(g6 +V-f +p3426 +tp3427 +a(g6 +V\u000a +p3428 +tp3429 +a(g6 +Vrm +p3430 +tp3431 +a(g6 +V +tp3432 +a(g6 +V-f +p3433 +tp3434 +a(g6 +V +tp3435 +a(g6 +Vunallmakefiles +p3436 +tp3437 +a(g6 +V +tp3438 +a(g138 +V$( +p3439 +tp3440 +a(g6 +VDIST_GARBAGE +p3441 +tp3442 +a(g138 +V) +tp3443 +a(g6 +V\u000a\u000a +p3444 +tp3445 +a(g27 +Vifeq ($(OS_ARCH),WINNT)\u000a +p3446 +tp3447 +a(g6 +V +tp3448 +a(g6 +Vrebase: +p3449 +tp3450 +a(g6 +V\u000a +tp3451 +a(g27 +Vifdef MOZILLA_OFFICIAL\u000a +p3452 +tp3453 +a(g6 +V +tp3454 +a(g84 +Vecho +p3455 +tp3456 +a(g6 +Vrebasing +p3457 +tp3458 +a(g6 +V +tp3459 +a(g138 +V$( +p3460 +tp3461 +a(g6 +VDIST +p3462 +tp3463 +a(g138 +V) +tp3464 +a(g6 +V\u000a +p3465 +tp3466 +a(g6 +V/bin/find +p3467 +tp3468 +a(g6 +V +tp3469 +a(g138 +V$( +p3470 +tp3471 +a(g6 +VDIST +p3472 +tp3473 +a(g138 +V) +tp3474 +a(g6 +V +tp3475 +a(g6 +V-name +p3476 +tp3477 +a(g6 +V +tp3478 +a(g259 +V"*.dll" +p3479 +tp3480 +a(g6 +V +tp3481 +a(g6 +V> +tp3482 +a(g6 +V +tp3483 +a(g6 +Vrebase.lst +p3484 +tp3485 +a(g6 +V\u000a +p3486 +tp3487 +a(g6 +Vrebase +p3488 +tp3489 +a(g6 +V +tp3490 +a(g6 +V-b +p3491 +tp3492 +a(g6 +V +tp3493 +a(g6 +V60000000 +p3494 +tp3495 +a(g6 +V +tp3496 +a(g6 +V-R +p3497 +tp3498 +a(g6 +V +tp3499 +a(g6 +V. +tp3500 +a(g6 +V +tp3501 +a(g6 +V-G +p3502 +tp3503 +a(g6 +V +tp3504 +a(g6 +Vrebase.lst +p3505 +tp3506 +a(g6 +V\u000a +p3507 +tp3508 +a(g6 +Vrm +p3509 +tp3510 +a(g6 +V +tp3511 +a(g6 +Vrebase.lst +p3512 +tp3513 +a(g6 +V\u000a +tp3514 +a(g27 +Vendif\u000a +p3515 +tp3516 +a(g6 +V\u000a +tp3517 +a(g6 +Vsplitsymbols: +p3518 +tp3519 +a(g6 +V\u000a +tp3520 +a(g27 +Vifdef MOZILLA_OFFICIAL\u000a +p3521 +tp3522 +a(g6 +V +tp3523 +a(g27 +Vifdef MOZ_DEBUG_SYMBOLS\u000a +p3524 +tp3525 +a(g6 +V +tp3526 +a(g84 +Vecho +p3527 +tp3528 +a(g6 +Vfinding +p3529 +tp3530 +a(g6 +V +tp3531 +a(g6 +Vpdb +p3532 +tp3533 +a(g6 +V +tp3534 +a(g6 +Vfiles +p3535 +tp3536 +a(g6 +V\u000a +p3537 +tp3538 +a(g6 +Vmkdir +p3539 +tp3540 +a(g6 +V +tp3541 +a(g6 +V-p +p3542 +tp3543 +a(g6 +V +tp3544 +a(g138 +V$( +p3545 +tp3546 +a(g6 +VDIST +p3547 +tp3548 +a(g138 +V) +tp3549 +a(g6 +V/ +tp3550 +a(g138 +V$( +p3551 +tp3552 +a(g6 +VBUILDID +p3553 +tp3554 +a(g138 +V) +tp3555 +a(g6 +V\u000a +p3556 +tp3557 +a(g6 +V-cp +p3558 +tp3559 +a(g6 +V +tp3560 +a(g278 +V` +tp3561 +a(g6 +V/bin/find +p3562 +tp3563 +a(g6 +V +tp3564 +a(g6 +V. +tp3565 +a(g6 +V +tp3566 +a(g6 +V-path +p3567 +tp3568 +a(g6 +V +tp3569 +a(g259 +V"./dist" +p3570 +tp3571 +a(g6 +V +tp3572 +a(g6 +V-prune +p3573 +tp3574 +a(g6 +V +tp3575 +a(g6 +V-o +p3576 +tp3577 +a(g6 +V +tp3578 +a(g6 +V-name +p3579 +tp3580 +a(g6 +V +tp3581 +a(g259 +V"*.dll" +p3582 +tp3583 +a(g6 +V +tp3584 +a(g6 +V| +tp3585 +a(g6 +V +tp3586 +a(g6 +Vsed +p3587 +tp3588 +a(g6 +V +tp3589 +a(g259 +V"s/\u005c.dll$$/\u005c.pdb/" +p3590 +tp3591 +a(g6 +V +tp3592 +a(g6 +V| +tp3593 +a(g6 +V +tp3594 +a(g6 +Vxargs +p3595 +tp3596 +a(g278 +V` +tp3597 +a(g6 +V +tp3598 +a(g138 +V$( +p3599 +tp3600 +a(g6 +VDIST +p3601 +tp3602 +a(g138 +V) +tp3603 +a(g6 +V/ +tp3604 +a(g138 +V$( +p3605 +tp3606 +a(g6 +VBUILDID +p3607 +tp3608 +a(g138 +V) +tp3609 +a(g6 +V\u000a +p3610 +tp3611 +a(g6 +V-cp +p3612 +tp3613 +a(g6 +V +tp3614 +a(g278 +V` +tp3615 +a(g6 +V/bin/find +p3616 +tp3617 +a(g6 +V +tp3618 +a(g6 +V. +tp3619 +a(g6 +V +tp3620 +a(g6 +V-path +p3621 +tp3622 +a(g6 +V +tp3623 +a(g259 +V"./dist" +p3624 +tp3625 +a(g6 +V +tp3626 +a(g6 +V-prune +p3627 +tp3628 +a(g6 +V +tp3629 +a(g6 +V-o +p3630 +tp3631 +a(g6 +V +tp3632 +a(g6 +V-name +p3633 +tp3634 +a(g6 +V +tp3635 +a(g259 +V"*.exe" +p3636 +tp3637 +a(g6 +V +tp3638 +a(g6 +V| +tp3639 +a(g6 +V +tp3640 +a(g6 +Vsed +p3641 +tp3642 +a(g6 +V +tp3643 +a(g259 +V"s/\u005c.exe$$/\u005c.pdb/" +p3644 +tp3645 +a(g6 +V +tp3646 +a(g6 +V| +tp3647 +a(g6 +V +tp3648 +a(g6 +Vxargs +p3649 +tp3650 +a(g278 +V` +tp3651 +a(g6 +V +tp3652 +a(g138 +V$( +p3653 +tp3654 +a(g6 +VDIST +p3655 +tp3656 +a(g138 +V) +tp3657 +a(g6 +V/ +tp3658 +a(g138 +V$( +p3659 +tp3660 +a(g6 +VBUILDID +p3661 +tp3662 +a(g138 +V) +tp3663 +a(g6 +V\u000a +p3664 +tp3665 +a(g6 +V-cp +p3666 +tp3667 +a(g6 +V +tp3668 +a(g278 +V` +tp3669 +a(g6 +V/bin/find +p3670 +tp3671 +a(g6 +V +tp3672 +a(g6 +V. +tp3673 +a(g6 +V +tp3674 +a(g6 +V-path +p3675 +tp3676 +a(g6 +V +tp3677 +a(g259 +V"./dist" +p3678 +tp3679 +a(g6 +V +tp3680 +a(g6 +V-prune +p3681 +tp3682 +a(g6 +V +tp3683 +a(g6 +V-o +p3684 +tp3685 +a(g6 +V +tp3686 +a(g6 +V-name +p3687 +tp3688 +a(g6 +V +tp3689 +a(g259 +V"*.EXE" +p3690 +tp3691 +a(g6 +V +tp3692 +a(g6 +V| +tp3693 +a(g6 +V +tp3694 +a(g6 +Vsed +p3695 +tp3696 +a(g6 +V +tp3697 +a(g259 +V"s/\u005c.EXE$$/\u005c.pdb/" +p3698 +tp3699 +a(g6 +V +tp3700 +a(g6 +V| +tp3701 +a(g6 +V +tp3702 +a(g6 +Vxargs +p3703 +tp3704 +a(g278 +V` +tp3705 +a(g6 +V +tp3706 +a(g138 +V$( +p3707 +tp3708 +a(g6 +VDIST +p3709 +tp3710 +a(g138 +V) +tp3711 +a(g6 +V/ +tp3712 +a(g138 +V$( +p3713 +tp3714 +a(g6 +VBUILDID +p3715 +tp3716 +a(g138 +V) +tp3717 +a(g6 +V\u000a +tp3718 +a(g27 +Vendif # MOZ_DEBUG_SYMBOLS\u000a +p3719 +tp3720 +a(g6 +V +tp3721 +a(g27 +Vifdef MOZ_PROFILE\u000a +p3722 +tp3723 +a(g6 +V +tp3724 +a(g84 +Vecho +p3725 +tp3726 +a(g6 +Vsplitting +p3727 +tp3728 +a(g6 +V +tp3729 +a(g6 +Vsymbols +p3730 +tp3731 +a(g6 +V +tp3732 +a(g6 +Vout +p3733 +tp3734 +a(g6 +V +tp3735 +a(g6 +Vof +p3736 +tp3737 +a(g6 +V +tp3738 +a(g6 +Vbinaries +p3739 +tp3740 +a(g6 +V\u000a +p3741 +tp3742 +a(g6 +V/bin/find +p3743 +tp3744 +a(g6 +V +tp3745 +a(g138 +V$( +p3746 +tp3747 +a(g6 +VDIST +p3748 +tp3749 +a(g138 +V) +tp3750 +a(g6 +V +tp3751 +a(g6 +V-name +p3752 +tp3753 +a(g6 +V +tp3754 +a(g259 +V"*.dll" +p3755 +tp3756 +a(g6 +V +tp3757 +a(g6 +V-exec +p3758 +tp3759 +a(g6 +V +tp3760 +a(g6 +Vsplitsym +p3761 +tp3762 +a(g6 +V +tp3763 +a(g357 +V{ +tp3764 +a(g357 +V} +tp3765 +a(g6 +V +tp3766 +a(g266 +V\u005c; +p3767 +tp3768 +a(g6 +V\u000a +p3769 +tp3770 +a(g6 +V/bin/find +p3771 +tp3772 +a(g6 +V +tp3773 +a(g138 +V$( +p3774 +tp3775 +a(g6 +VDIST +p3776 +tp3777 +a(g138 +V) +tp3778 +a(g6 +V +tp3779 +a(g6 +V-name +p3780 +tp3781 +a(g6 +V +tp3782 +a(g259 +V"*.exe" +p3783 +tp3784 +a(g6 +V +tp3785 +a(g6 +V-exec +p3786 +tp3787 +a(g6 +V +tp3788 +a(g6 +Vsplitsym +p3789 +tp3790 +a(g6 +V +tp3791 +a(g357 +V{ +tp3792 +a(g357 +V} +tp3793 +a(g6 +V +tp3794 +a(g266 +V\u005c; +p3795 +tp3796 +a(g6 +V\u000a +p3797 +tp3798 +a(g6 +V/bin/find +p3799 +tp3800 +a(g6 +V +tp3801 +a(g138 +V$( +p3802 +tp3803 +a(g6 +VDIST +p3804 +tp3805 +a(g138 +V) +tp3806 +a(g6 +V +tp3807 +a(g6 +V-name +p3808 +tp3809 +a(g6 +V +tp3810 +a(g259 +V"*.EXE" +p3811 +tp3812 +a(g6 +V +tp3813 +a(g6 +V-exec +p3814 +tp3815 +a(g6 +V +tp3816 +a(g6 +Vsplitsym +p3817 +tp3818 +a(g6 +V +tp3819 +a(g357 +V{ +tp3820 +a(g357 +V} +tp3821 +a(g6 +V +tp3822 +a(g266 +V\u005c; +p3823 +tp3824 +a(g6 +V\u000a +p3825 +tp3826 +a(g6 +Vmkdir +p3827 +tp3828 +a(g6 +V +tp3829 +a(g6 +V-p +p3830 +tp3831 +a(g6 +V +tp3832 +a(g138 +V$( +p3833 +tp3834 +a(g6 +VDIST +p3835 +tp3836 +a(g138 +V) +tp3837 +a(g6 +V/ +tp3838 +a(g138 +V$( +p3839 +tp3840 +a(g6 +VBUILDID +p3841 +tp3842 +a(g138 +V) +tp3843 +a(g6 +V\u000a +p3844 +tp3845 +a(g6 +V/bin/find +p3846 +tp3847 +a(g6 +V +tp3848 +a(g138 +V$( +p3849 +tp3850 +a(g6 +VDIST +p3851 +tp3852 +a(g138 +V) +tp3853 +a(g6 +V +tp3854 +a(g6 +V-name +p3855 +tp3856 +a(g6 +V +tp3857 +a(g259 +V"*.dbg" +p3858 +tp3859 +a(g6 +V +tp3860 +a(g6 +V-exec +p3861 +tp3862 +a(g6 +V +tp3863 +a(g6 +Vmv +p3864 +tp3865 +a(g6 +V +tp3866 +a(g357 +V{ +tp3867 +a(g357 +V} +tp3868 +a(g6 +V +tp3869 +a(g138 +V$( +p3870 +tp3871 +a(g6 +VDIST +p3872 +tp3873 +a(g138 +V) +tp3874 +a(g6 +V/ +tp3875 +a(g138 +V$( +p3876 +tp3877 +a(g6 +VBUILDID +p3878 +tp3879 +a(g138 +V) +tp3880 +a(g6 +V +tp3881 +a(g266 +V\u005c; +p3882 +tp3883 +a(g6 +V\u000a +tp3884 +a(g27 +Vendif # MOZ_PROFILE\u000a +p3885 +tp3886 +a(g6 +V +tp3887 +a(g27 +Vendif # MOZILLA_OFFICIAL\u000a +p3888 +tp3889 +a(g6 +V\u000a +tp3890 +a(g6 +Vsignnss: +p3891 +tp3892 +a(g6 +V\u000a +tp3893 +a(g27 +Vifdef MOZILLA_OFFICIAL\u000a +p3894 +tp3895 +a(g6 +V +tp3896 +a(g84 +Vecho +p3897 +tp3898 +a(g6 +Vsigning +p3899 +tp3900 +a(g6 +V +tp3901 +a(g6 +VNSS +p3902 +tp3903 +a(g6 +V +tp3904 +a(g6 +Vlibs +p3905 +tp3906 +a(g6 +V\u000a +p3907 +tp3908 +a(g84 +Vcd +p3909 +tp3910 +a(g6 +V +tp3911 +a(g138 +V$( +p3912 +tp3913 +a(g6 +VDIST +p3914 +tp3915 +a(g138 +V) +tp3916 +a(g6 +V/bin; +p3917 +tp3918 +a(g6 +V +tp3919 +a(g6 +V./shlibsign.exe +p3920 +tp3921 +a(g6 +V +tp3922 +a(g6 +V-v +p3923 +tp3924 +a(g6 +V +tp3925 +a(g6 +V-i +p3926 +tp3927 +a(g6 +V +tp3928 +a(g6 +Vsoftokn3.dll +p3929 +tp3930 +a(g6 +V\u000a +p3931 +tp3932 +a(g84 +Vcd +p3933 +tp3934 +a(g6 +V +tp3935 +a(g138 +V$( +p3936 +tp3937 +a(g6 +VDIST +p3938 +tp3939 +a(g138 +V) +tp3940 +a(g6 +V/bin; +p3941 +tp3942 +a(g6 +V +tp3943 +a(g6 +V./shlibsign.exe +p3944 +tp3945 +a(g6 +V +tp3946 +a(g6 +V-v +p3947 +tp3948 +a(g6 +V +tp3949 +a(g6 +V-i +p3950 +tp3951 +a(g6 +V +tp3952 +a(g6 +Vfreebl3.dll +p3953 +tp3954 +a(g6 +V\u000a +tp3955 +a(g27 +Vendif # MOZILLA_OFFICIAL\u000a +p3956 +tp3957 +a(g6 +V\u000a +tp3958 +a(g100 +VBUILDID +p3959 +tp3960 +a(g6 +V +tp3961 +a(g357 +V= +tp3962 +a(g6 +V +tp3963 +a(g138 +V$( +p3964 +tp3965 +a(g6 +Vshell +p3966 +tp3967 +a(g6 +V +tp3968 +a(g6 +Vcat +p3969 +tp3970 +a(g6 +V +tp3971 +a(g138 +V$( +p3972 +tp3973 +a(g6 +VDEPTH +p3974 +tp3975 +a(g138 +V) +tp3976 +a(g6 +V/config/build_number +p3977 +tp3978 +a(g138 +V) +tp3979 +a(g6 +V\u000a +tp3980 +a(g6 +Vdeliver: +p3981 +tp3982 +a(g6 +V +tp3983 +a(g6 +Vsplitsymbols +p3984 +tp3985 +a(g6 +V +tp3986 +a(g6 +Vrebase +p3987 +tp3988 +a(g6 +V +tp3989 +a(g6 +Vsignnss +p3990 +tp3991 +a(g6 +V\u000a\u000a +p3992 +tp3993 +a(g27 +Vendif # WINNT\u000a +p3994 +tp3995 +a(g6 +V +tp3996 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/format.ml b/tests/examplefiles/output/format.ml new file mode 100644 index 0000000..2c691c7 --- /dev/null +++ b/tests/examplefiles/output/format.ml @@ -0,0 +1,40348 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +S'Pervasive' +p109 +g2 +(g3 +g4 +(g106 +g109 +ttRp110 +(dp111 +g17 +g18 +((ltRp112 +sg8 +g107 +sbsg28 +g2 +(g3 +g4 +(g106 +g28 +ttRp113 +(dp114 +g17 +g18 +((ltRp115 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp116 +(dp117 +g17 +g18 +((ltRp118 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g106 +g126 +ttRp127 +(dp128 +g17 +g18 +((ltRp129 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp130 +(dp131 +g17 +g18 +((ltRp132 +sg8 +g107 +sbsg17 +g18 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g106 +S'Type' +p134 +ttRp135 +(dp136 +g17 +g18 +((ltRp137 +sg8 +g107 +sbag110 +ag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g140 +sbsg8 +g9 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g17 +g18 +((ltRp169 +sg8 +g140 +sbsg17 +g18 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g17 +g18 +((ltRp182 +sg8 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g17 +g18 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g17 +g18 +((ltRp195 +sg8 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg8 +g9 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g17 +g18 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g17 +g18 +((ltRp204 +sg8 +g198 +sbatRp205 +sg201 +g202 +sg8 +g9 +sbsS'Token' +p206 +g9 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g17 +g18 +((ltRp218 +sg8 +g209 +sbsg8 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g222 +sbsg8 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g17 +g18 +((ltRp243 +sg8 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g222 +sbsS'Single' +p267 +g2 +(g3 +g4 +(g208 +g221 +g267 +ttRp268 +(dp269 +g17 +g18 +((ltRp270 +sg8 +g222 +sbsg60 +g2 +(g3 +g4 +(g208 +g221 +g60 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g222 +sbsS'Doc' +p274 +g2 +(g3 +g4 +(g208 +g221 +g274 +ttRp275 +(dp276 +g17 +g18 +((ltRp277 +sg8 +g222 +sbsg17 +g18 +((lp278 +g271 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p279 +ttRp280 +(dp281 +g17 +g18 +((ltRp282 +sg8 +g222 +sbag241 +ag264 +ag256 +ag275 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag268 +ag252 +ag248 +atRp283 +sg279 +g280 +sbsg8 +g9 +sg207 +g209 +sS'Scalar' +p284 +g2 +(g3 +g4 +(g208 +g284 +ttRp285 +(dp286 +g17 +g18 +((lp287 +g2 +(g3 +g4 +(g208 +g284 +S'Plain' +p288 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g285 +sbatRp292 +sg8 +g219 +sg288 +g289 +sbsg60 +g2 +(g3 +g4 +(g208 +g60 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g219 +sbsS'Date' +p296 +g2 +(g3 +g4 +(g208 +g296 +ttRp297 +(dp298 +g17 +g18 +((ltRp299 +sg8 +g219 +sbsg17 +g18 +((lp300 +g297 +ag222 +ag293 +ag209 +ag285 +atRp301 +sbsS'Decimal' +p302 +g2 +(g3 +g4 +(g208 +g207 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g209 +sbsS'Float' +p306 +g2 +(g3 +g4 +(g208 +g207 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g209 +sbsS'Hex' +p310 +g2 +(g3 +g4 +(g208 +g207 +g310 +ttRp311 +(dp312 +g17 +g18 +((ltRp313 +sg8 +g209 +sbsS'Integer' +p314 +g2 +(g3 +g4 +(g208 +g207 +g314 +ttRp315 +(dp316 +g17 +g18 +((lp317 +g2 +(g3 +g4 +(g208 +g207 +g314 +S'Long' +p318 +ttRp319 +(dp320 +g17 +g18 +((ltRp321 +sg8 +g315 +sbatRp322 +sg318 +g319 +sg8 +g209 +sbsS'Octal' +p323 +g2 +(g3 +g4 +(g208 +g207 +g323 +ttRp324 +(dp325 +g17 +g18 +((ltRp326 +sg8 +g209 +sbsg17 +g18 +((lp327 +g212 +ag216 +ag324 +ag303 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p328 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g209 +sbag315 +ag307 +ag311 +atRp332 +sg328 +g329 +sbsg208 +g219 +sg60 +g2 +(g3 +g4 +(g60 +ttRp333 +(dp334 +g17 +g18 +((ltRp335 +sg8 +g9 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp336 +(dp337 +g17 +g18 +((ltRp338 +sg8 +g9 +sbsS'Operator' +p339 +g2 +(g3 +g4 +(g339 +ttRp340 +(dp341 +g17 +g18 +((lp342 +g2 +(g3 +g4 +(g339 +S'Word' +p343 +ttRp344 +(dp345 +g17 +g18 +((ltRp346 +sg8 +g340 +sbatRp347 +sg343 +g344 +sg8 +g9 +sbsg17 +g18 +((lp348 +g6 +ag336 +ag140 +ag185 +ag12 +ag198 +ag107 +ag219 +ag340 +ag333 +atRp349 +sg221 +g222 +sbsS'Preproc' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g17 +g18 +((ltRp353 +sg8 +g6 +sbsg267 +g2 +(g3 +g4 +(g5 +g267 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g17 +g18 +((ltRp360 +sg8 +g6 +sbsg17 +g18 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g17 +g18 +((ltRp365 +sg8 +g6 +sbag351 +ag354 +ag358 +atRp366 +sg362 +g363 +sbV(* +p367 +tp368 +a(g6 +V* +tp369 +a(g6 +V* +tp370 +a(g6 +V* +tp371 +a(g6 +V* +tp372 +a(g6 +V* +tp373 +a(g6 +V* +tp374 +a(g6 +V* +tp375 +a(g6 +V* +tp376 +a(g6 +V* +tp377 +a(g6 +V* +tp378 +a(g6 +V* +tp379 +a(g6 +V* +tp380 +a(g6 +V* +tp381 +a(g6 +V* +tp382 +a(g6 +V* +tp383 +a(g6 +V* +tp384 +a(g6 +V* +tp385 +a(g6 +V* +tp386 +a(g6 +V* +tp387 +a(g6 +V* +tp388 +a(g6 +V* +tp389 +a(g6 +V* +tp390 +a(g6 +V* +tp391 +a(g6 +V* +tp392 +a(g6 +V* +tp393 +a(g6 +V* +tp394 +a(g6 +V* +tp395 +a(g6 +V* +tp396 +a(g6 +V* +tp397 +a(g6 +V* +tp398 +a(g6 +V* +tp399 +a(g6 +V* +tp400 +a(g6 +V* +tp401 +a(g6 +V* +tp402 +a(g6 +V* +tp403 +a(g6 +V* +tp404 +a(g6 +V* +tp405 +a(g6 +V* +tp406 +a(g6 +V* +tp407 +a(g6 +V* +tp408 +a(g6 +V* +tp409 +a(g6 +V* +tp410 +a(g6 +V* +tp411 +a(g6 +V* +tp412 +a(g6 +V* +tp413 +a(g6 +V* +tp414 +a(g6 +V* +tp415 +a(g6 +V* +tp416 +a(g6 +V* +tp417 +a(g6 +V* +tp418 +a(g6 +V* +tp419 +a(g6 +V* +tp420 +a(g6 +V* +tp421 +a(g6 +V* +tp422 +a(g6 +V* +tp423 +a(g6 +V* +tp424 +a(g6 +V* +tp425 +a(g6 +V* +tp426 +a(g6 +V* +tp427 +a(g6 +V* +tp428 +a(g6 +V* +tp429 +a(g6 +V* +tp430 +a(g6 +V* +tp431 +a(g6 +V* +tp432 +a(g6 +V* +tp433 +a(g6 +V* +tp434 +a(g6 +V* +tp435 +a(g6 +V* +tp436 +a(g6 +V* +tp437 +a(g6 +V*) +p438 +tp439 +a(g185 +V\u000a +tp440 +a(g6 +V(* +p441 +tp442 +a(g6 +V +p443 +tp444 +a(g6 +V*) +p445 +tp446 +a(g185 +V\u000a +tp447 +a(g6 +V(* +p448 +tp449 +a(g6 +V Objective Caml +p450 +tp451 +a(g6 +V*) +p452 +tp453 +a(g185 +V\u000a +tp454 +a(g6 +V(* +p455 +tp456 +a(g6 +V +p457 +tp458 +a(g6 +V*) +p459 +tp460 +a(g185 +V\u000a +tp461 +a(g6 +V(* +p462 +tp463 +a(g6 +V Pierre Weis, projet Cristal, INRIA Rocquencourt +p464 +tp465 +a(g6 +V*) +p466 +tp467 +a(g185 +V\u000a +tp468 +a(g6 +V(* +p469 +tp470 +a(g6 +V +p471 +tp472 +a(g6 +V*) +p473 +tp474 +a(g185 +V\u000a +tp475 +a(g6 +V(* +p476 +tp477 +a(g6 +V Copyright 1996 Institut National de Recherche en Informatique et +p478 +tp479 +a(g6 +V*) +p480 +tp481 +a(g185 +V\u000a +tp482 +a(g6 +V(* +p483 +tp484 +a(g6 +V en Automatique. All rights reserved. This file is distributed +p485 +tp486 +a(g6 +V*) +p487 +tp488 +a(g185 +V\u000a +tp489 +a(g6 +V(* +p490 +tp491 +a(g6 +V under the terms of the GNU Library General Public License, with +p492 +tp493 +a(g6 +V*) +p494 +tp495 +a(g185 +V\u000a +tp496 +a(g6 +V(* +p497 +tp498 +a(g6 +V the special exception on linking described in file ../LICENSE. +p499 +tp500 +a(g6 +V*) +p501 +tp502 +a(g185 +V\u000a +tp503 +a(g6 +V(* +p504 +tp505 +a(g6 +V +p506 +tp507 +a(g6 +V*) +p508 +tp509 +a(g185 +V\u000a +tp510 +a(g6 +V(* +p511 +tp512 +a(g6 +V* +tp513 +a(g6 +V* +tp514 +a(g6 +V* +tp515 +a(g6 +V* +tp516 +a(g6 +V* +tp517 +a(g6 +V* +tp518 +a(g6 +V* +tp519 +a(g6 +V* +tp520 +a(g6 +V* +tp521 +a(g6 +V* +tp522 +a(g6 +V* +tp523 +a(g6 +V* +tp524 +a(g6 +V* +tp525 +a(g6 +V* +tp526 +a(g6 +V* +tp527 +a(g6 +V* +tp528 +a(g6 +V* +tp529 +a(g6 +V* +tp530 +a(g6 +V* +tp531 +a(g6 +V* +tp532 +a(g6 +V* +tp533 +a(g6 +V* +tp534 +a(g6 +V* +tp535 +a(g6 +V* +tp536 +a(g6 +V* +tp537 +a(g6 +V* +tp538 +a(g6 +V* +tp539 +a(g6 +V* +tp540 +a(g6 +V* +tp541 +a(g6 +V* +tp542 +a(g6 +V* +tp543 +a(g6 +V* +tp544 +a(g6 +V* +tp545 +a(g6 +V* +tp546 +a(g6 +V* +tp547 +a(g6 +V* +tp548 +a(g6 +V* +tp549 +a(g6 +V* +tp550 +a(g6 +V* +tp551 +a(g6 +V* +tp552 +a(g6 +V* +tp553 +a(g6 +V* +tp554 +a(g6 +V* +tp555 +a(g6 +V* +tp556 +a(g6 +V* +tp557 +a(g6 +V* +tp558 +a(g6 +V* +tp559 +a(g6 +V* +tp560 +a(g6 +V* +tp561 +a(g6 +V* +tp562 +a(g6 +V* +tp563 +a(g6 +V* +tp564 +a(g6 +V* +tp565 +a(g6 +V* +tp566 +a(g6 +V* +tp567 +a(g6 +V* +tp568 +a(g6 +V* +tp569 +a(g6 +V* +tp570 +a(g6 +V* +tp571 +a(g6 +V* +tp572 +a(g6 +V* +tp573 +a(g6 +V* +tp574 +a(g6 +V* +tp575 +a(g6 +V* +tp576 +a(g6 +V* +tp577 +a(g6 +V* +tp578 +a(g6 +V* +tp579 +a(g6 +V* +tp580 +a(g6 +V* +tp581 +a(g6 +V*) +p582 +tp583 +a(g185 +V\u000a\u000a +p584 +tp585 +a(g6 +V(* +p586 +tp587 +a(g6 +V $Id: format.ml,v 1.65 2005/09/26 10:13:08 weis Exp $ +p588 +tp589 +a(g6 +V*) +p590 +tp591 +a(g185 +V\u000a\u000a +p592 +tp593 +a(g6 +V(* +p594 +tp595 +a(g6 +V* +tp596 +a(g6 +V* +tp597 +a(g6 +V* +tp598 +a(g6 +V* +tp599 +a(g6 +V* +tp600 +a(g6 +V* +tp601 +a(g6 +V* +tp602 +a(g6 +V* +tp603 +a(g6 +V* +tp604 +a(g6 +V* +tp605 +a(g6 +V* +tp606 +a(g6 +V* +tp607 +a(g6 +V* +tp608 +a(g6 +V* +tp609 +a(g6 +V* +tp610 +a(g6 +V* +tp611 +a(g6 +V* +tp612 +a(g6 +V* +tp613 +a(g6 +V* +tp614 +a(g6 +V* +tp615 +a(g6 +V* +tp616 +a(g6 +V* +tp617 +a(g6 +V* +tp618 +a(g6 +V* +tp619 +a(g6 +V* +tp620 +a(g6 +V* +tp621 +a(g6 +V* +tp622 +a(g6 +V* +tp623 +a(g6 +V* +tp624 +a(g6 +V* +tp625 +a(g6 +V* +tp626 +a(g6 +V* +tp627 +a(g6 +V* +tp628 +a(g6 +V* +tp629 +a(g6 +V* +tp630 +a(g6 +V* +tp631 +a(g6 +V* +tp632 +a(g6 +V* +tp633 +a(g6 +V* +tp634 +a(g6 +V* +tp635 +a(g6 +V* +tp636 +a(g6 +V* +tp637 +a(g6 +V* +tp638 +a(g6 +V* +tp639 +a(g6 +V* +tp640 +a(g6 +V* +tp641 +a(g6 +V* +tp642 +a(g6 +V* +tp643 +a(g6 +V* +tp644 +a(g6 +V* +tp645 +a(g6 +V* +tp646 +a(g6 +V* +tp647 +a(g6 +V* +tp648 +a(g6 +V* +tp649 +a(g6 +V* +tp650 +a(g6 +V* +tp651 +a(g6 +V* +tp652 +a(g6 +V* +tp653 +a(g6 +V* +tp654 +a(g6 +V* +tp655 +a(g6 +V* +tp656 +a(g6 +V\u000a\u000a Data structures definitions.\u000a\u000a +p657 +tp658 +a(g6 +V* +tp659 +a(g6 +V* +tp660 +a(g6 +V* +tp661 +a(g6 +V* +tp662 +a(g6 +V* +tp663 +a(g6 +V* +tp664 +a(g6 +V* +tp665 +a(g6 +V* +tp666 +a(g6 +V* +tp667 +a(g6 +V* +tp668 +a(g6 +V* +tp669 +a(g6 +V* +tp670 +a(g6 +V* +tp671 +a(g6 +V* +tp672 +a(g6 +V* +tp673 +a(g6 +V* +tp674 +a(g6 +V* +tp675 +a(g6 +V* +tp676 +a(g6 +V* +tp677 +a(g6 +V* +tp678 +a(g6 +V* +tp679 +a(g6 +V* +tp680 +a(g6 +V* +tp681 +a(g6 +V* +tp682 +a(g6 +V* +tp683 +a(g6 +V* +tp684 +a(g6 +V* +tp685 +a(g6 +V* +tp686 +a(g6 +V* +tp687 +a(g6 +V* +tp688 +a(g6 +V* +tp689 +a(g6 +V* +tp690 +a(g6 +V* +tp691 +a(g6 +V* +tp692 +a(g6 +V* +tp693 +a(g6 +V* +tp694 +a(g6 +V* +tp695 +a(g6 +V* +tp696 +a(g6 +V* +tp697 +a(g6 +V* +tp698 +a(g6 +V* +tp699 +a(g6 +V* +tp700 +a(g6 +V* +tp701 +a(g6 +V* +tp702 +a(g6 +V* +tp703 +a(g6 +V* +tp704 +a(g6 +V* +tp705 +a(g6 +V* +tp706 +a(g6 +V* +tp707 +a(g6 +V* +tp708 +a(g6 +V* +tp709 +a(g6 +V* +tp710 +a(g6 +V* +tp711 +a(g6 +V* +tp712 +a(g6 +V* +tp713 +a(g6 +V* +tp714 +a(g6 +V* +tp715 +a(g6 +V* +tp716 +a(g6 +V* +tp717 +a(g6 +V* +tp718 +a(g6 +V* +tp719 +a(g6 +V*) +p720 +tp721 +a(g185 +V\u000a\u000a +p722 +tp723 +a(g107 +Vtype +p724 +tp725 +a(g185 +V +tp726 +a(g12 +Vsize +p727 +tp728 +a(g340 +V; +tp729 +a(g340 +V; +tp730 +a(g185 +V\u000a\u000a +p731 +tp732 +a(g107 +Vexternal +p733 +tp734 +a(g185 +V +tp735 +a(g12 +Vsize_of_int +p736 +tp737 +a(g185 +V +tp738 +a(g340 +V: +tp739 +a(g185 +V +tp740 +a(g135 +Vint +p741 +tp742 +a(g185 +V +tp743 +a(g340 +V- +tp744 +a(g340 +V> +tp745 +a(g185 +V +tp746 +a(g12 +Vsize +p747 +tp748 +a(g185 +V +tp749 +a(g340 +V= +tp750 +a(g185 +V +tp751 +a(g241 +V" +tp752 +a(g241 +V%identity +p753 +tp754 +a(g241 +V" +tp755 +a(g340 +V; +tp756 +a(g340 +V; +tp757 +a(g185 +V\u000a +tp758 +a(g107 +Vexternal +p759 +tp760 +a(g185 +V +tp761 +a(g12 +Vint_of_size +p762 +tp763 +a(g185 +V +tp764 +a(g340 +V: +tp765 +a(g185 +V +tp766 +a(g12 +Vsize +p767 +tp768 +a(g185 +V +tp769 +a(g340 +V- +tp770 +a(g340 +V> +tp771 +a(g185 +V +tp772 +a(g135 +Vint +p773 +tp774 +a(g185 +V +tp775 +a(g340 +V= +tp776 +a(g185 +V +tp777 +a(g241 +V" +tp778 +a(g241 +V%identity +p779 +tp780 +a(g241 +V" +tp781 +a(g340 +V; +tp782 +a(g340 +V; +tp783 +a(g185 +V\u000a\u000a +p784 +tp785 +a(g6 +V(* +p786 +tp787 +a(g6 +V Tokens are one of the following : +p788 +tp789 +a(g6 +V*) +p790 +tp791 +a(g185 +V\u000a\u000a +p792 +tp793 +a(g107 +Vtype +p794 +tp795 +a(g185 +V +tp796 +a(g12 +Vpp_token +p797 +tp798 +a(g185 +V +tp799 +a(g340 +V= +tp800 +a(g185 +V\u000a +tp801 +a(g340 +V| +tp802 +a(g185 +V +tp803 +a(g102 +VPp_text +p804 +tp805 +a(g185 +V +tp806 +a(g107 +Vof +p807 +tp808 +a(g185 +V +tp809 +a(g135 +Vstring +p810 +tp811 +a(g185 +V +p812 +tp813 +a(g6 +V(* +p814 +tp815 +a(g6 +V normal text +p816 +tp817 +a(g6 +V*) +p818 +tp819 +a(g185 +V\u000a +tp820 +a(g340 +V| +tp821 +a(g185 +V +tp822 +a(g102 +VPp_break +p823 +tp824 +a(g185 +V +tp825 +a(g107 +Vof +p826 +tp827 +a(g185 +V +tp828 +a(g135 +Vint +p829 +tp830 +a(g185 +V +tp831 +a(g340 +V* +tp832 +a(g185 +V +tp833 +a(g135 +Vint +p834 +tp835 +a(g185 +V +p836 +tp837 +a(g6 +V(* +p838 +tp839 +a(g6 +V complete break +p840 +tp841 +a(g6 +V*) +p842 +tp843 +a(g185 +V\u000a +tp844 +a(g340 +V| +tp845 +a(g185 +V +tp846 +a(g102 +VPp_tbreak +p847 +tp848 +a(g185 +V +tp849 +a(g107 +Vof +p850 +tp851 +a(g185 +V +tp852 +a(g135 +Vint +p853 +tp854 +a(g185 +V +tp855 +a(g340 +V* +tp856 +a(g185 +V +tp857 +a(g135 +Vint +p858 +tp859 +a(g185 +V +p860 +tp861 +a(g6 +V(* +p862 +tp863 +a(g6 +V go to next tabulation +p864 +tp865 +a(g6 +V*) +p866 +tp867 +a(g185 +V\u000a +tp868 +a(g340 +V| +tp869 +a(g185 +V +tp870 +a(g102 +VPp_stab +p871 +tp872 +a(g185 +V +p873 +tp874 +a(g6 +V(* +p875 +tp876 +a(g6 +V set a tabulation +p877 +tp878 +a(g6 +V*) +p879 +tp880 +a(g185 +V\u000a +tp881 +a(g340 +V| +tp882 +a(g185 +V +tp883 +a(g102 +VPp_begin +p884 +tp885 +a(g185 +V +tp886 +a(g107 +Vof +p887 +tp888 +a(g185 +V +tp889 +a(g135 +Vint +p890 +tp891 +a(g185 +V +tp892 +a(g340 +V* +tp893 +a(g185 +V +tp894 +a(g12 +Vblock_type +p895 +tp896 +a(g185 +V +tp897 +a(g6 +V(* +p898 +tp899 +a(g6 +V beginning of a block +p900 +tp901 +a(g6 +V*) +p902 +tp903 +a(g185 +V\u000a +tp904 +a(g340 +V| +tp905 +a(g185 +V +tp906 +a(g102 +VPp_end +p907 +tp908 +a(g185 +V +p909 +tp910 +a(g6 +V(* +p911 +tp912 +a(g6 +V end of a block +p913 +tp914 +a(g6 +V*) +p915 +tp916 +a(g185 +V\u000a +tp917 +a(g340 +V| +tp918 +a(g185 +V +tp919 +a(g102 +VPp_tbegin +p920 +tp921 +a(g185 +V +tp922 +a(g107 +Vof +p923 +tp924 +a(g185 +V +tp925 +a(g12 +Vtblock +p926 +tp927 +a(g185 +V +p928 +tp929 +a(g6 +V(* +p930 +tp931 +a(g6 +V beginning of a tabulation block +p932 +tp933 +a(g6 +V*) +p934 +tp935 +a(g185 +V\u000a +tp936 +a(g340 +V| +tp937 +a(g185 +V +tp938 +a(g102 +VPp_tend +p939 +tp940 +a(g185 +V +p941 +tp942 +a(g6 +V(* +p943 +tp944 +a(g6 +V end of a tabulation block +p945 +tp946 +a(g6 +V*) +p947 +tp948 +a(g185 +V\u000a +tp949 +a(g340 +V| +tp950 +a(g185 +V +tp951 +a(g102 +VPp_newline +p952 +tp953 +a(g185 +V +p954 +tp955 +a(g6 +V(* +p956 +tp957 +a(g6 +V to force a newline inside a block +p958 +tp959 +a(g6 +V*) +p960 +tp961 +a(g185 +V\u000a +tp962 +a(g340 +V| +tp963 +a(g185 +V +tp964 +a(g102 +VPp_if_newline +p965 +tp966 +a(g185 +V +p967 +tp968 +a(g6 +V(* +p969 +tp970 +a(g6 +V to do something only if this very\u000a line has been broken +p971 +tp972 +a(g6 +V*) +p973 +tp974 +a(g185 +V\u000a +tp975 +a(g340 +V| +tp976 +a(g185 +V +tp977 +a(g102 +VPp_open_tag +p978 +tp979 +a(g185 +V +tp980 +a(g107 +Vof +p981 +tp982 +a(g185 +V +tp983 +a(g135 +Vstring +p984 +tp985 +a(g185 +V +p986 +tp987 +a(g6 +V(* +p988 +tp989 +a(g6 +V opening a tag name +p990 +tp991 +a(g6 +V*) +p992 +tp993 +a(g185 +V\u000a +tp994 +a(g340 +V| +tp995 +a(g185 +V +tp996 +a(g102 +VPp_close_tag +p997 +tp998 +a(g185 +V +p999 +tp1000 +a(g6 +V(* +p1001 +tp1002 +a(g6 +V closing the most recently opened tag +p1003 +tp1004 +a(g6 +V*) +p1005 +tp1006 +a(g185 +V\u000a\u000a +p1007 +tp1008 +a(g344 +Vand +p1009 +tp1010 +a(g185 +V +tp1011 +a(g12 +Vtag +p1012 +tp1013 +a(g185 +V +tp1014 +a(g340 +V= +tp1015 +a(g185 +V +tp1016 +a(g135 +Vstring +p1017 +tp1018 +a(g185 +V\u000a\u000a +p1019 +tp1020 +a(g344 +Vand +p1021 +tp1022 +a(g185 +V +tp1023 +a(g12 +Vblock_type +p1024 +tp1025 +a(g185 +V +tp1026 +a(g340 +V= +tp1027 +a(g185 +V\u000a +tp1028 +a(g340 +V| +tp1029 +a(g185 +V +tp1030 +a(g102 +VPp_hbox +p1031 +tp1032 +a(g185 +V +p1033 +tp1034 +a(g6 +V(* +p1035 +tp1036 +a(g6 +V Horizontal block no line breaking +p1037 +tp1038 +a(g6 +V*) +p1039 +tp1040 +a(g185 +V\u000a +tp1041 +a(g340 +V| +tp1042 +a(g185 +V +tp1043 +a(g102 +VPp_vbox +p1044 +tp1045 +a(g185 +V +p1046 +tp1047 +a(g6 +V(* +p1048 +tp1049 +a(g6 +V Vertical block each break leads to a new line +p1050 +tp1051 +a(g6 +V*) +p1052 +tp1053 +a(g185 +V\u000a +tp1054 +a(g340 +V| +tp1055 +a(g185 +V +tp1056 +a(g102 +VPp_hvbox +p1057 +tp1058 +a(g185 +V +p1059 +tp1060 +a(g6 +V(* +p1061 +tp1062 +a(g6 +V Horizontal-vertical block: same as vbox, except if this block\u000a is small enough to fit on a single line +p1063 +tp1064 +a(g6 +V*) +p1065 +tp1066 +a(g185 +V\u000a +tp1067 +a(g340 +V| +tp1068 +a(g185 +V +tp1069 +a(g102 +VPp_hovbox +p1070 +tp1071 +a(g185 +V +tp1072 +a(g6 +V(* +p1073 +tp1074 +a(g6 +V Horizontal or Vertical block: breaks lead to new line\u000a only when necessary to print the content of the block +p1075 +tp1076 +a(g6 +V*) +p1077 +tp1078 +a(g185 +V\u000a +tp1079 +a(g340 +V| +tp1080 +a(g185 +V +tp1081 +a(g102 +VPp_box +p1082 +tp1083 +a(g185 +V +p1084 +tp1085 +a(g6 +V(* +p1086 +tp1087 +a(g6 +V Horizontal or Indent block: breaks lead to new line\u000a only when necessary to print the content of the block, or\u000a when it leads to a new indentation of the current line +p1088 +tp1089 +a(g6 +V*) +p1090 +tp1091 +a(g185 +V\u000a +tp1092 +a(g340 +V| +tp1093 +a(g185 +V +tp1094 +a(g102 +VPp_fits +p1095 +tp1096 +a(g185 +V +p1097 +tp1098 +a(g6 +V(* +p1099 +tp1100 +a(g6 +V Internal usage: when a block fits on a single line +p1101 +tp1102 +a(g6 +V*) +p1103 +tp1104 +a(g185 +V\u000a\u000a +p1105 +tp1106 +a(g344 +Vand +p1107 +tp1108 +a(g185 +V +tp1109 +a(g12 +Vtblock +p1110 +tp1111 +a(g185 +V +tp1112 +a(g340 +V= +tp1113 +a(g185 +V +tp1114 +a(g102 +VPp_tbox +p1115 +tp1116 +a(g185 +V +tp1117 +a(g107 +Vof +p1118 +tp1119 +a(g185 +V +tp1120 +a(g135 +Vint +p1121 +tp1122 +a(g185 +V +tp1123 +a(g135 +Vlist +p1124 +tp1125 +a(g185 +V +tp1126 +a(g12 +Vref +p1127 +tp1128 +a(g185 +V +p1129 +tp1130 +a(g6 +V(* +p1131 +tp1132 +a(g6 +V Tabulation box +p1133 +tp1134 +a(g6 +V*) +p1135 +tp1136 +a(g185 +V\u000a +tp1137 +a(g340 +V; +tp1138 +a(g340 +V; +tp1139 +a(g185 +V\u000a\u000a +p1140 +tp1141 +a(g6 +V(* +p1142 +tp1143 +a(g6 +V The Queue:\u000a contains all formatting elements.\u000a elements are tuples +p1144 +tp1145 +a(g6 +V( +tp1146 +a(g6 +Vsize, token, length +p1147 +tp1148 +a(g6 +V) +tp1149 +a(g6 +V, where\u000a size is set when the size of the block is known\u000a len is the declared length of the token. +p1150 +tp1151 +a(g6 +V*) +p1152 +tp1153 +a(g185 +V\u000a +tp1154 +a(g107 +Vtype +p1155 +tp1156 +a(g185 +V +tp1157 +a(g12 +Vpp_queue_elem +p1158 +tp1159 +a(g185 +V +tp1160 +a(g340 +V= +tp1161 +a(g185 +V +tp1162 +a(g340 +V{ +tp1163 +a(g185 +V\u000a +p1164 +tp1165 +a(g107 +Vmutable +p1166 +tp1167 +a(g185 +V +tp1168 +a(g12 +Velem_size +p1169 +tp1170 +a(g185 +V +tp1171 +a(g340 +V: +tp1172 +a(g185 +V +tp1173 +a(g12 +Vsize +p1174 +tp1175 +a(g340 +V; +tp1176 +a(g185 +V +tp1177 +a(g12 +Vtoken +p1178 +tp1179 +a(g185 +V +tp1180 +a(g340 +V: +tp1181 +a(g185 +V +tp1182 +a(g12 +Vpp_token +p1183 +tp1184 +a(g340 +V; +tp1185 +a(g185 +V +tp1186 +a(g12 +Vlength +p1187 +tp1188 +a(g185 +V +tp1189 +a(g340 +V: +tp1190 +a(g185 +V +tp1191 +a(g135 +Vint +p1192 +tp1193 +a(g185 +V\u000a +tp1194 +a(g340 +V} +tp1195 +a(g340 +V; +tp1196 +a(g340 +V; +tp1197 +a(g185 +V\u000a\u000a +p1198 +tp1199 +a(g6 +V(* +p1200 +tp1201 +a(g6 +V Scan stack:\u000a each element is +p1202 +tp1203 +a(g6 +V( +tp1204 +a(g6 +Vleft_total, queue element +p1205 +tp1206 +a(g6 +V) +tp1207 +a(g6 +V where left_total\u000a is the value of pp_left_total when the element has been enqueued. +p1208 +tp1209 +a(g6 +V*) +p1210 +tp1211 +a(g185 +V\u000a +tp1212 +a(g107 +Vtype +p1213 +tp1214 +a(g185 +V +tp1215 +a(g12 +Vpp_scan_elem +p1216 +tp1217 +a(g185 +V +tp1218 +a(g340 +V= +tp1219 +a(g185 +V +tp1220 +a(g102 +VScan_elem +p1221 +tp1222 +a(g185 +V +tp1223 +a(g107 +Vof +p1224 +tp1225 +a(g185 +V +tp1226 +a(g135 +Vint +p1227 +tp1228 +a(g185 +V +tp1229 +a(g340 +V* +tp1230 +a(g185 +V +tp1231 +a(g12 +Vpp_queue_elem +p1232 +tp1233 +a(g340 +V; +tp1234 +a(g340 +V; +tp1235 +a(g185 +V\u000a\u000a +p1236 +tp1237 +a(g6 +V(* +p1238 +tp1239 +a(g6 +V Formatting stack:\u000a used to break the lines while printing tokens.\u000a The formatting stack contains the description of\u000a the currently active blocks. +p1240 +tp1241 +a(g6 +V*) +p1242 +tp1243 +a(g185 +V\u000a +tp1244 +a(g107 +Vtype +p1245 +tp1246 +a(g185 +V +tp1247 +a(g12 +Vpp_format_elem +p1248 +tp1249 +a(g185 +V +tp1250 +a(g340 +V= +tp1251 +a(g185 +V +tp1252 +a(g102 +VFormat_elem +p1253 +tp1254 +a(g185 +V +tp1255 +a(g107 +Vof +p1256 +tp1257 +a(g185 +V +tp1258 +a(g12 +Vblock_type +p1259 +tp1260 +a(g185 +V +tp1261 +a(g340 +V* +tp1262 +a(g185 +V +tp1263 +a(g135 +Vint +p1264 +tp1265 +a(g340 +V; +tp1266 +a(g340 +V; +tp1267 +a(g185 +V\u000a\u000a +p1268 +tp1269 +a(g6 +V(* +p1270 +tp1271 +a(g6 +V General purpose queues, used in the formatter. +p1272 +tp1273 +a(g6 +V*) +p1274 +tp1275 +a(g185 +V\u000a +tp1276 +a(g107 +Vtype +p1277 +tp1278 +a(g185 +V +tp1279 +a(g107 +V' +tp1280 +a(g12 +Va +tp1281 +a(g185 +V +tp1282 +a(g12 +Vqueue_elem +p1283 +tp1284 +a(g185 +V +tp1285 +a(g340 +V= +tp1286 +a(g185 +V +tp1287 +a(g340 +V| +tp1288 +a(g185 +V +tp1289 +a(g102 +VNil +p1290 +tp1291 +a(g185 +V +tp1292 +a(g340 +V| +tp1293 +a(g185 +V +tp1294 +a(g102 +VCons +p1295 +tp1296 +a(g185 +V +tp1297 +a(g107 +Vof +p1298 +tp1299 +a(g185 +V +tp1300 +a(g107 +V' +tp1301 +a(g12 +Va +tp1302 +a(g185 +V +tp1303 +a(g12 +Vqueue_cell +p1304 +tp1305 +a(g185 +V\u000a +tp1306 +a(g344 +Vand +p1307 +tp1308 +a(g185 +V +tp1309 +a(g107 +V' +tp1310 +a(g12 +Va +tp1311 +a(g185 +V +tp1312 +a(g12 +Vqueue_cell +p1313 +tp1314 +a(g185 +V +tp1315 +a(g340 +V= +tp1316 +a(g185 +V +tp1317 +a(g340 +V{ +tp1318 +a(g107 +Vmutable +p1319 +tp1320 +a(g185 +V +tp1321 +a(g12 +Vhead +p1322 +tp1323 +a(g185 +V +tp1324 +a(g340 +V: +tp1325 +a(g185 +V +tp1326 +a(g107 +V' +tp1327 +a(g12 +Va +tp1328 +a(g340 +V; +tp1329 +a(g185 +V +tp1330 +a(g107 +Vmutable +p1331 +tp1332 +a(g185 +V +tp1333 +a(g12 +Vtail +p1334 +tp1335 +a(g185 +V +tp1336 +a(g340 +V: +tp1337 +a(g185 +V +tp1338 +a(g107 +V' +tp1339 +a(g12 +Va +tp1340 +a(g185 +V +tp1341 +a(g12 +Vqueue_elem +p1342 +tp1343 +a(g340 +V} +tp1344 +a(g340 +V; +tp1345 +a(g340 +V; +tp1346 +a(g185 +V\u000a\u000a +p1347 +tp1348 +a(g107 +Vtype +p1349 +tp1350 +a(g185 +V +tp1351 +a(g107 +V' +tp1352 +a(g12 +Va +tp1353 +a(g185 +V +tp1354 +a(g12 +Vqueue +p1355 +tp1356 +a(g185 +V +tp1357 +a(g340 +V= +tp1358 +a(g185 +V +tp1359 +a(g340 +V{ +tp1360 +a(g185 +V\u000a +p1361 +tp1362 +a(g107 +Vmutable +p1363 +tp1364 +a(g185 +V +tp1365 +a(g12 +Vinsert +p1366 +tp1367 +a(g185 +V +tp1368 +a(g340 +V: +tp1369 +a(g185 +V +tp1370 +a(g107 +V' +tp1371 +a(g12 +Va +tp1372 +a(g185 +V +tp1373 +a(g12 +Vqueue_elem +p1374 +tp1375 +a(g340 +V; +tp1376 +a(g185 +V\u000a +p1377 +tp1378 +a(g107 +Vmutable +p1379 +tp1380 +a(g185 +V +tp1381 +a(g12 +Vbody +p1382 +tp1383 +a(g185 +V +tp1384 +a(g340 +V: +tp1385 +a(g185 +V +tp1386 +a(g107 +V' +tp1387 +a(g12 +Va +tp1388 +a(g185 +V +tp1389 +a(g12 +Vqueue_elem +p1390 +tp1391 +a(g185 +V\u000a +tp1392 +a(g340 +V} +tp1393 +a(g340 +V; +tp1394 +a(g340 +V; +tp1395 +a(g185 +V\u000a\u000a +p1396 +tp1397 +a(g6 +V(* +p1398 +tp1399 +a(g6 +V The formatter specific tag handling functions. +p1400 +tp1401 +a(g6 +V*) +p1402 +tp1403 +a(g185 +V\u000a +tp1404 +a(g107 +Vtype +p1405 +tp1406 +a(g185 +V +tp1407 +a(g12 +Vformatter_tag_functions +p1408 +tp1409 +a(g185 +V +tp1410 +a(g340 +V= +tp1411 +a(g185 +V +tp1412 +a(g340 +V{ +tp1413 +a(g185 +V\u000a +p1414 +tp1415 +a(g12 +Vmark_open_tag +p1416 +tp1417 +a(g185 +V +tp1418 +a(g340 +V: +tp1419 +a(g185 +V +tp1420 +a(g12 +Vtag +p1421 +tp1422 +a(g185 +V +tp1423 +a(g340 +V- +tp1424 +a(g340 +V> +tp1425 +a(g185 +V +tp1426 +a(g135 +Vstring +p1427 +tp1428 +a(g340 +V; +tp1429 +a(g185 +V\u000a +p1430 +tp1431 +a(g12 +Vmark_close_tag +p1432 +tp1433 +a(g185 +V +tp1434 +a(g340 +V: +tp1435 +a(g185 +V +tp1436 +a(g12 +Vtag +p1437 +tp1438 +a(g185 +V +tp1439 +a(g340 +V- +tp1440 +a(g340 +V> +tp1441 +a(g185 +V +tp1442 +a(g135 +Vstring +p1443 +tp1444 +a(g340 +V; +tp1445 +a(g185 +V\u000a +p1446 +tp1447 +a(g12 +Vprint_open_tag +p1448 +tp1449 +a(g185 +V +tp1450 +a(g340 +V: +tp1451 +a(g185 +V +tp1452 +a(g12 +Vtag +p1453 +tp1454 +a(g185 +V +tp1455 +a(g340 +V- +tp1456 +a(g340 +V> +tp1457 +a(g185 +V +tp1458 +a(g135 +Vunit +p1459 +tp1460 +a(g340 +V; +tp1461 +a(g185 +V\u000a +p1462 +tp1463 +a(g12 +Vprint_close_tag +p1464 +tp1465 +a(g185 +V +tp1466 +a(g340 +V: +tp1467 +a(g185 +V +tp1468 +a(g12 +Vtag +p1469 +tp1470 +a(g185 +V +tp1471 +a(g340 +V- +tp1472 +a(g340 +V> +tp1473 +a(g185 +V +tp1474 +a(g135 +Vunit +p1475 +tp1476 +a(g340 +V; +tp1477 +a(g185 +V\u000a\u000a +p1478 +tp1479 +a(g340 +V} +tp1480 +a(g340 +V; +tp1481 +a(g340 +V; +tp1482 +a(g185 +V\u000a\u000a +p1483 +tp1484 +a(g6 +V(* +p1485 +tp1486 +a(g6 +V A formatter with all its machinery. +p1487 +tp1488 +a(g6 +V*) +p1489 +tp1490 +a(g185 +V\u000a +tp1491 +a(g107 +Vtype +p1492 +tp1493 +a(g185 +V +tp1494 +a(g12 +Vformatter +p1495 +tp1496 +a(g185 +V +tp1497 +a(g340 +V= +tp1498 +a(g185 +V +tp1499 +a(g340 +V{ +tp1500 +a(g185 +V\u000a +p1501 +tp1502 +a(g107 +Vmutable +p1503 +tp1504 +a(g185 +V +tp1505 +a(g12 +Vpp_scan_stack +p1506 +tp1507 +a(g185 +V +tp1508 +a(g340 +V: +tp1509 +a(g185 +V +tp1510 +a(g12 +Vpp_scan_elem +p1511 +tp1512 +a(g185 +V +tp1513 +a(g135 +Vlist +p1514 +tp1515 +a(g340 +V; +tp1516 +a(g185 +V\u000a +p1517 +tp1518 +a(g107 +Vmutable +p1519 +tp1520 +a(g185 +V +tp1521 +a(g12 +Vpp_format_stack +p1522 +tp1523 +a(g185 +V +tp1524 +a(g340 +V: +tp1525 +a(g185 +V +tp1526 +a(g12 +Vpp_format_elem +p1527 +tp1528 +a(g185 +V +tp1529 +a(g135 +Vlist +p1530 +tp1531 +a(g340 +V; +tp1532 +a(g185 +V\u000a +p1533 +tp1534 +a(g107 +Vmutable +p1535 +tp1536 +a(g185 +V +tp1537 +a(g12 +Vpp_tbox_stack +p1538 +tp1539 +a(g185 +V +tp1540 +a(g340 +V: +tp1541 +a(g185 +V +tp1542 +a(g12 +Vtblock +p1543 +tp1544 +a(g185 +V +tp1545 +a(g135 +Vlist +p1546 +tp1547 +a(g340 +V; +tp1548 +a(g185 +V\u000a +p1549 +tp1550 +a(g107 +Vmutable +p1551 +tp1552 +a(g185 +V +tp1553 +a(g12 +Vpp_tag_stack +p1554 +tp1555 +a(g185 +V +tp1556 +a(g340 +V: +tp1557 +a(g185 +V +tp1558 +a(g12 +Vtag +p1559 +tp1560 +a(g185 +V +tp1561 +a(g135 +Vlist +p1562 +tp1563 +a(g340 +V; +tp1564 +a(g185 +V\u000a +p1565 +tp1566 +a(g107 +Vmutable +p1567 +tp1568 +a(g185 +V +tp1569 +a(g12 +Vpp_mark_stack +p1570 +tp1571 +a(g185 +V +tp1572 +a(g340 +V: +tp1573 +a(g185 +V +tp1574 +a(g12 +Vtag +p1575 +tp1576 +a(g185 +V +tp1577 +a(g135 +Vlist +p1578 +tp1579 +a(g340 +V; +tp1580 +a(g185 +V\u000a +p1581 +tp1582 +a(g6 +V(* +p1583 +tp1584 +a(g6 +V Global variables: default initialization is\u000a set_margin 78\u000a set_min_space_left 0. +p1585 +tp1586 +a(g6 +V*) +p1587 +tp1588 +a(g185 +V\u000a +p1589 +tp1590 +a(g6 +V(* +p1591 +tp1592 +a(g6 +V Value of right margin. +p1593 +tp1594 +a(g6 +V*) +p1595 +tp1596 +a(g185 +V\u000a +p1597 +tp1598 +a(g107 +Vmutable +p1599 +tp1600 +a(g185 +V +tp1601 +a(g12 +Vpp_margin +p1602 +tp1603 +a(g185 +V +tp1604 +a(g340 +V: +tp1605 +a(g185 +V +tp1606 +a(g135 +Vint +p1607 +tp1608 +a(g340 +V; +tp1609 +a(g185 +V\u000a +p1610 +tp1611 +a(g6 +V(* +p1612 +tp1613 +a(g6 +V Minimal space left before margin, when opening a block. +p1614 +tp1615 +a(g6 +V*) +p1616 +tp1617 +a(g185 +V\u000a +p1618 +tp1619 +a(g107 +Vmutable +p1620 +tp1621 +a(g185 +V +tp1622 +a(g12 +Vpp_min_space_left +p1623 +tp1624 +a(g185 +V +tp1625 +a(g340 +V: +tp1626 +a(g185 +V +tp1627 +a(g135 +Vint +p1628 +tp1629 +a(g340 +V; +tp1630 +a(g185 +V\u000a +p1631 +tp1632 +a(g6 +V(* +p1633 +tp1634 +a(g6 +V Maximum value of indentation:\u000a no blocks can be opened further. +p1635 +tp1636 +a(g6 +V*) +p1637 +tp1638 +a(g185 +V\u000a +p1639 +tp1640 +a(g107 +Vmutable +p1641 +tp1642 +a(g185 +V +tp1643 +a(g12 +Vpp_max_indent +p1644 +tp1645 +a(g185 +V +tp1646 +a(g340 +V: +tp1647 +a(g185 +V +tp1648 +a(g135 +Vint +p1649 +tp1650 +a(g340 +V; +tp1651 +a(g185 +V\u000a +p1652 +tp1653 +a(g6 +V(* +p1654 +tp1655 +a(g6 +V Space remaining on the current line. +p1656 +tp1657 +a(g6 +V*) +p1658 +tp1659 +a(g185 +V\u000a +p1660 +tp1661 +a(g107 +Vmutable +p1662 +tp1663 +a(g185 +V +tp1664 +a(g12 +Vpp_space_left +p1665 +tp1666 +a(g185 +V +tp1667 +a(g340 +V: +tp1668 +a(g185 +V +tp1669 +a(g135 +Vint +p1670 +tp1671 +a(g340 +V; +tp1672 +a(g185 +V\u000a +p1673 +tp1674 +a(g6 +V(* +p1675 +tp1676 +a(g6 +V Current value of indentation. +p1677 +tp1678 +a(g6 +V*) +p1679 +tp1680 +a(g185 +V\u000a +p1681 +tp1682 +a(g107 +Vmutable +p1683 +tp1684 +a(g185 +V +tp1685 +a(g12 +Vpp_current_indent +p1686 +tp1687 +a(g185 +V +tp1688 +a(g340 +V: +tp1689 +a(g185 +V +tp1690 +a(g135 +Vint +p1691 +tp1692 +a(g340 +V; +tp1693 +a(g185 +V\u000a +p1694 +tp1695 +a(g6 +V(* +p1696 +tp1697 +a(g6 +V True when the line has been broken by the pretty-printer. +p1698 +tp1699 +a(g6 +V*) +p1700 +tp1701 +a(g185 +V\u000a +p1702 +tp1703 +a(g107 +Vmutable +p1704 +tp1705 +a(g185 +V +tp1706 +a(g12 +Vpp_is_new_line +p1707 +tp1708 +a(g185 +V +tp1709 +a(g340 +V: +tp1710 +a(g185 +V +tp1711 +a(g135 +Vbool +p1712 +tp1713 +a(g340 +V; +tp1714 +a(g185 +V\u000a +p1715 +tp1716 +a(g6 +V(* +p1717 +tp1718 +a(g6 +V Total width of tokens already printed. +p1719 +tp1720 +a(g6 +V*) +p1721 +tp1722 +a(g185 +V\u000a +p1723 +tp1724 +a(g107 +Vmutable +p1725 +tp1726 +a(g185 +V +tp1727 +a(g12 +Vpp_left_total +p1728 +tp1729 +a(g185 +V +tp1730 +a(g340 +V: +tp1731 +a(g185 +V +tp1732 +a(g135 +Vint +p1733 +tp1734 +a(g340 +V; +tp1735 +a(g185 +V\u000a +p1736 +tp1737 +a(g6 +V(* +p1738 +tp1739 +a(g6 +V Total width of tokens ever put in queue. +p1740 +tp1741 +a(g6 +V*) +p1742 +tp1743 +a(g185 +V\u000a +p1744 +tp1745 +a(g107 +Vmutable +p1746 +tp1747 +a(g185 +V +tp1748 +a(g12 +Vpp_right_total +p1749 +tp1750 +a(g185 +V +tp1751 +a(g340 +V: +tp1752 +a(g185 +V +tp1753 +a(g135 +Vint +p1754 +tp1755 +a(g340 +V; +tp1756 +a(g185 +V\u000a +p1757 +tp1758 +a(g6 +V(* +p1759 +tp1760 +a(g6 +V Current number of opened blocks. +p1761 +tp1762 +a(g6 +V*) +p1763 +tp1764 +a(g185 +V\u000a +p1765 +tp1766 +a(g107 +Vmutable +p1767 +tp1768 +a(g185 +V +tp1769 +a(g12 +Vpp_curr_depth +p1770 +tp1771 +a(g185 +V +tp1772 +a(g340 +V: +tp1773 +a(g185 +V +tp1774 +a(g135 +Vint +p1775 +tp1776 +a(g340 +V; +tp1777 +a(g185 +V\u000a +p1778 +tp1779 +a(g6 +V(* +p1780 +tp1781 +a(g6 +V Maximum number of blocks which can be simultaneously opened. +p1782 +tp1783 +a(g6 +V*) +p1784 +tp1785 +a(g185 +V\u000a +p1786 +tp1787 +a(g107 +Vmutable +p1788 +tp1789 +a(g185 +V +tp1790 +a(g12 +Vpp_max_boxes +p1791 +tp1792 +a(g185 +V +tp1793 +a(g340 +V: +tp1794 +a(g185 +V +tp1795 +a(g135 +Vint +p1796 +tp1797 +a(g340 +V; +tp1798 +a(g185 +V\u000a +p1799 +tp1800 +a(g6 +V(* +p1801 +tp1802 +a(g6 +V Ellipsis string. +p1803 +tp1804 +a(g6 +V*) +p1805 +tp1806 +a(g185 +V\u000a +p1807 +tp1808 +a(g107 +Vmutable +p1809 +tp1810 +a(g185 +V +tp1811 +a(g12 +Vpp_ellipsis +p1812 +tp1813 +a(g185 +V +tp1814 +a(g340 +V: +tp1815 +a(g185 +V +tp1816 +a(g135 +Vstring +p1817 +tp1818 +a(g340 +V; +tp1819 +a(g185 +V\u000a +p1820 +tp1821 +a(g6 +V(* +p1822 +tp1823 +a(g6 +V Output function. +p1824 +tp1825 +a(g6 +V*) +p1826 +tp1827 +a(g185 +V\u000a +p1828 +tp1829 +a(g107 +Vmutable +p1830 +tp1831 +a(g185 +V +tp1832 +a(g12 +Vpp_output_function +p1833 +tp1834 +a(g185 +V +tp1835 +a(g340 +V: +tp1836 +a(g185 +V +tp1837 +a(g135 +Vstring +p1838 +tp1839 +a(g185 +V +tp1840 +a(g340 +V- +tp1841 +a(g340 +V> +tp1842 +a(g185 +V +tp1843 +a(g135 +Vint +p1844 +tp1845 +a(g185 +V +tp1846 +a(g340 +V- +tp1847 +a(g340 +V> +tp1848 +a(g185 +V +tp1849 +a(g135 +Vint +p1850 +tp1851 +a(g185 +V +tp1852 +a(g340 +V- +tp1853 +a(g340 +V> +tp1854 +a(g185 +V +tp1855 +a(g135 +Vunit +p1856 +tp1857 +a(g340 +V; +tp1858 +a(g185 +V\u000a +p1859 +tp1860 +a(g6 +V(* +p1861 +tp1862 +a(g6 +V Flushing function. +p1863 +tp1864 +a(g6 +V*) +p1865 +tp1866 +a(g185 +V\u000a +p1867 +tp1868 +a(g107 +Vmutable +p1869 +tp1870 +a(g185 +V +tp1871 +a(g12 +Vpp_flush_function +p1872 +tp1873 +a(g185 +V +tp1874 +a(g340 +V: +tp1875 +a(g185 +V +tp1876 +a(g135 +Vunit +p1877 +tp1878 +a(g185 +V +tp1879 +a(g340 +V- +tp1880 +a(g340 +V> +tp1881 +a(g185 +V +tp1882 +a(g135 +Vunit +p1883 +tp1884 +a(g340 +V; +tp1885 +a(g185 +V\u000a +p1886 +tp1887 +a(g6 +V(* +p1888 +tp1889 +a(g6 +V Output of new lines. +p1890 +tp1891 +a(g6 +V*) +p1892 +tp1893 +a(g185 +V\u000a +p1894 +tp1895 +a(g107 +Vmutable +p1896 +tp1897 +a(g185 +V +tp1898 +a(g12 +Vpp_output_newline +p1899 +tp1900 +a(g185 +V +tp1901 +a(g340 +V: +tp1902 +a(g185 +V +tp1903 +a(g135 +Vunit +p1904 +tp1905 +a(g185 +V +tp1906 +a(g340 +V- +tp1907 +a(g340 +V> +tp1908 +a(g185 +V +tp1909 +a(g135 +Vunit +p1910 +tp1911 +a(g340 +V; +tp1912 +a(g185 +V\u000a +p1913 +tp1914 +a(g6 +V(* +p1915 +tp1916 +a(g6 +V Output of indentation spaces. +p1917 +tp1918 +a(g6 +V*) +p1919 +tp1920 +a(g185 +V\u000a +p1921 +tp1922 +a(g107 +Vmutable +p1923 +tp1924 +a(g185 +V +tp1925 +a(g12 +Vpp_output_spaces +p1926 +tp1927 +a(g185 +V +tp1928 +a(g340 +V: +tp1929 +a(g185 +V +tp1930 +a(g135 +Vint +p1931 +tp1932 +a(g185 +V +tp1933 +a(g340 +V- +tp1934 +a(g340 +V> +tp1935 +a(g185 +V +tp1936 +a(g135 +Vunit +p1937 +tp1938 +a(g340 +V; +tp1939 +a(g185 +V\u000a +p1940 +tp1941 +a(g6 +V(* +p1942 +tp1943 +a(g6 +V Are tags printed ? +p1944 +tp1945 +a(g6 +V*) +p1946 +tp1947 +a(g185 +V\u000a +p1948 +tp1949 +a(g107 +Vmutable +p1950 +tp1951 +a(g185 +V +tp1952 +a(g12 +Vpp_print_tags +p1953 +tp1954 +a(g185 +V +tp1955 +a(g340 +V: +tp1956 +a(g185 +V +tp1957 +a(g135 +Vbool +p1958 +tp1959 +a(g340 +V; +tp1960 +a(g185 +V\u000a +p1961 +tp1962 +a(g6 +V(* +p1963 +tp1964 +a(g6 +V Are tags marked ? +p1965 +tp1966 +a(g6 +V*) +p1967 +tp1968 +a(g185 +V\u000a +p1969 +tp1970 +a(g107 +Vmutable +p1971 +tp1972 +a(g185 +V +tp1973 +a(g12 +Vpp_mark_tags +p1974 +tp1975 +a(g185 +V +tp1976 +a(g340 +V: +tp1977 +a(g185 +V +tp1978 +a(g135 +Vbool +p1979 +tp1980 +a(g340 +V; +tp1981 +a(g185 +V\u000a +p1982 +tp1983 +a(g6 +V(* +p1984 +tp1985 +a(g6 +V Find opening and closing markers of tags. +p1986 +tp1987 +a(g6 +V*) +p1988 +tp1989 +a(g185 +V\u000a +p1990 +tp1991 +a(g107 +Vmutable +p1992 +tp1993 +a(g185 +V +tp1994 +a(g12 +Vpp_mark_open_tag +p1995 +tp1996 +a(g185 +V +tp1997 +a(g340 +V: +tp1998 +a(g185 +V +tp1999 +a(g12 +Vtag +p2000 +tp2001 +a(g185 +V +tp2002 +a(g340 +V- +tp2003 +a(g340 +V> +tp2004 +a(g185 +V +tp2005 +a(g135 +Vstring +p2006 +tp2007 +a(g340 +V; +tp2008 +a(g185 +V\u000a +p2009 +tp2010 +a(g107 +Vmutable +p2011 +tp2012 +a(g185 +V +tp2013 +a(g12 +Vpp_mark_close_tag +p2014 +tp2015 +a(g185 +V +tp2016 +a(g340 +V: +tp2017 +a(g185 +V +tp2018 +a(g12 +Vtag +p2019 +tp2020 +a(g185 +V +tp2021 +a(g340 +V- +tp2022 +a(g340 +V> +tp2023 +a(g185 +V +tp2024 +a(g135 +Vstring +p2025 +tp2026 +a(g340 +V; +tp2027 +a(g185 +V\u000a +p2028 +tp2029 +a(g107 +Vmutable +p2030 +tp2031 +a(g185 +V +tp2032 +a(g12 +Vpp_print_open_tag +p2033 +tp2034 +a(g185 +V +tp2035 +a(g340 +V: +tp2036 +a(g185 +V +tp2037 +a(g12 +Vtag +p2038 +tp2039 +a(g185 +V +tp2040 +a(g340 +V- +tp2041 +a(g340 +V> +tp2042 +a(g185 +V +tp2043 +a(g135 +Vunit +p2044 +tp2045 +a(g340 +V; +tp2046 +a(g185 +V\u000a +p2047 +tp2048 +a(g107 +Vmutable +p2049 +tp2050 +a(g185 +V +tp2051 +a(g12 +Vpp_print_close_tag +p2052 +tp2053 +a(g185 +V +tp2054 +a(g340 +V: +tp2055 +a(g185 +V +tp2056 +a(g12 +Vtag +p2057 +tp2058 +a(g185 +V +tp2059 +a(g340 +V- +tp2060 +a(g340 +V> +tp2061 +a(g185 +V +tp2062 +a(g135 +Vunit +p2063 +tp2064 +a(g340 +V; +tp2065 +a(g185 +V\u000a +p2066 +tp2067 +a(g6 +V(* +p2068 +tp2069 +a(g6 +V The pretty-printer queue. +p2070 +tp2071 +a(g6 +V*) +p2072 +tp2073 +a(g185 +V\u000a +p2074 +tp2075 +a(g107 +Vmutable +p2076 +tp2077 +a(g185 +V +tp2078 +a(g12 +Vpp_queue +p2079 +tp2080 +a(g185 +V +tp2081 +a(g340 +V: +tp2082 +a(g185 +V +tp2083 +a(g12 +Vpp_queue_elem +p2084 +tp2085 +a(g185 +V +tp2086 +a(g12 +Vqueue +p2087 +tp2088 +a(g185 +V\u000a +tp2089 +a(g340 +V} +tp2090 +a(g340 +V; +tp2091 +a(g340 +V; +tp2092 +a(g185 +V\u000a\u000a +p2093 +tp2094 +a(g6 +V(* +p2095 +tp2096 +a(g6 +V* +tp2097 +a(g6 +V* +tp2098 +a(g6 +V* +tp2099 +a(g6 +V* +tp2100 +a(g6 +V* +tp2101 +a(g6 +V* +tp2102 +a(g6 +V* +tp2103 +a(g6 +V* +tp2104 +a(g6 +V* +tp2105 +a(g6 +V* +tp2106 +a(g6 +V* +tp2107 +a(g6 +V* +tp2108 +a(g6 +V* +tp2109 +a(g6 +V* +tp2110 +a(g6 +V* +tp2111 +a(g6 +V* +tp2112 +a(g6 +V* +tp2113 +a(g6 +V* +tp2114 +a(g6 +V* +tp2115 +a(g6 +V* +tp2116 +a(g6 +V* +tp2117 +a(g6 +V* +tp2118 +a(g6 +V* +tp2119 +a(g6 +V* +tp2120 +a(g6 +V* +tp2121 +a(g6 +V* +tp2122 +a(g6 +V* +tp2123 +a(g6 +V* +tp2124 +a(g6 +V* +tp2125 +a(g6 +V* +tp2126 +a(g6 +V* +tp2127 +a(g6 +V* +tp2128 +a(g6 +V* +tp2129 +a(g6 +V* +tp2130 +a(g6 +V* +tp2131 +a(g6 +V* +tp2132 +a(g6 +V* +tp2133 +a(g6 +V* +tp2134 +a(g6 +V* +tp2135 +a(g6 +V* +tp2136 +a(g6 +V* +tp2137 +a(g6 +V* +tp2138 +a(g6 +V* +tp2139 +a(g6 +V* +tp2140 +a(g6 +V* +tp2141 +a(g6 +V* +tp2142 +a(g6 +V* +tp2143 +a(g6 +V* +tp2144 +a(g6 +V* +tp2145 +a(g6 +V* +tp2146 +a(g6 +V* +tp2147 +a(g6 +V* +tp2148 +a(g6 +V* +tp2149 +a(g6 +V* +tp2150 +a(g6 +V* +tp2151 +a(g6 +V* +tp2152 +a(g6 +V* +tp2153 +a(g6 +V* +tp2154 +a(g6 +V* +tp2155 +a(g6 +V* +tp2156 +a(g6 +V* +tp2157 +a(g6 +V\u000a\u000a Auxilliaries and basic functions.\u000a\u000a +p2158 +tp2159 +a(g6 +V* +tp2160 +a(g6 +V* +tp2161 +a(g6 +V* +tp2162 +a(g6 +V* +tp2163 +a(g6 +V* +tp2164 +a(g6 +V* +tp2165 +a(g6 +V* +tp2166 +a(g6 +V* +tp2167 +a(g6 +V* +tp2168 +a(g6 +V* +tp2169 +a(g6 +V* +tp2170 +a(g6 +V* +tp2171 +a(g6 +V* +tp2172 +a(g6 +V* +tp2173 +a(g6 +V* +tp2174 +a(g6 +V* +tp2175 +a(g6 +V* +tp2176 +a(g6 +V* +tp2177 +a(g6 +V* +tp2178 +a(g6 +V* +tp2179 +a(g6 +V* +tp2180 +a(g6 +V* +tp2181 +a(g6 +V* +tp2182 +a(g6 +V* +tp2183 +a(g6 +V* +tp2184 +a(g6 +V* +tp2185 +a(g6 +V* +tp2186 +a(g6 +V* +tp2187 +a(g6 +V* +tp2188 +a(g6 +V* +tp2189 +a(g6 +V* +tp2190 +a(g6 +V* +tp2191 +a(g6 +V* +tp2192 +a(g6 +V* +tp2193 +a(g6 +V* +tp2194 +a(g6 +V* +tp2195 +a(g6 +V* +tp2196 +a(g6 +V* +tp2197 +a(g6 +V* +tp2198 +a(g6 +V* +tp2199 +a(g6 +V* +tp2200 +a(g6 +V* +tp2201 +a(g6 +V* +tp2202 +a(g6 +V* +tp2203 +a(g6 +V* +tp2204 +a(g6 +V* +tp2205 +a(g6 +V* +tp2206 +a(g6 +V* +tp2207 +a(g6 +V* +tp2208 +a(g6 +V* +tp2209 +a(g6 +V* +tp2210 +a(g6 +V* +tp2211 +a(g6 +V* +tp2212 +a(g6 +V* +tp2213 +a(g6 +V* +tp2214 +a(g6 +V* +tp2215 +a(g6 +V* +tp2216 +a(g6 +V* +tp2217 +a(g6 +V* +tp2218 +a(g6 +V* +tp2219 +a(g6 +V* +tp2220 +a(g6 +V*) +p2221 +tp2222 +a(g185 +V\u000a\u000a\u000a +p2223 +tp2224 +a(g6 +V(* +p2225 +tp2226 +a(g6 +V Queues auxilliaries. +p2227 +tp2228 +a(g6 +V*) +p2229 +tp2230 +a(g185 +V\u000a +tp2231 +a(g107 +Vlet +p2232 +tp2233 +a(g185 +V +tp2234 +a(g12 +Vmake_queue +p2235 +tp2236 +a(g185 +V +tp2237 +a(g56 +V() +p2238 +tp2239 +a(g185 +V +tp2240 +a(g340 +V= +tp2241 +a(g185 +V +tp2242 +a(g340 +V{ +tp2243 +a(g12 +Vinsert +p2244 +tp2245 +a(g185 +V +tp2246 +a(g340 +V= +tp2247 +a(g185 +V +tp2248 +a(g102 +VNil +p2249 +tp2250 +a(g340 +V; +tp2251 +a(g185 +V +tp2252 +a(g12 +Vbody +p2253 +tp2254 +a(g185 +V +tp2255 +a(g340 +V= +tp2256 +a(g185 +V +tp2257 +a(g102 +VNil +p2258 +tp2259 +a(g340 +V} +tp2260 +a(g340 +V; +tp2261 +a(g340 +V; +tp2262 +a(g185 +V\u000a\u000a +p2263 +tp2264 +a(g107 +Vlet +p2265 +tp2266 +a(g185 +V +tp2267 +a(g12 +Vclear_queue +p2268 +tp2269 +a(g185 +V +tp2270 +a(g12 +Vq +tp2271 +a(g185 +V +tp2272 +a(g340 +V= +tp2273 +a(g185 +V +tp2274 +a(g12 +Vq +tp2275 +a(g340 +V. +tp2276 +a(g12 +Vinsert +p2277 +tp2278 +a(g185 +V +tp2279 +a(g340 +V< +tp2280 +a(g340 +V- +tp2281 +a(g185 +V +tp2282 +a(g102 +VNil +p2283 +tp2284 +a(g340 +V; +tp2285 +a(g185 +V +tp2286 +a(g12 +Vq +tp2287 +a(g340 +V. +tp2288 +a(g12 +Vbody +p2289 +tp2290 +a(g185 +V +tp2291 +a(g340 +V< +tp2292 +a(g340 +V- +tp2293 +a(g185 +V +tp2294 +a(g102 +VNil +p2295 +tp2296 +a(g340 +V; +tp2297 +a(g340 +V; +tp2298 +a(g185 +V\u000a\u000a +p2299 +tp2300 +a(g107 +Vlet +p2301 +tp2302 +a(g185 +V +tp2303 +a(g12 +Vadd_queue +p2304 +tp2305 +a(g185 +V +tp2306 +a(g12 +Vx +tp2307 +a(g185 +V +tp2308 +a(g12 +Vq +tp2309 +a(g185 +V +tp2310 +a(g340 +V= +tp2311 +a(g185 +V\u000a +p2312 +tp2313 +a(g107 +Vlet +p2314 +tp2315 +a(g185 +V +tp2316 +a(g12 +Vc +tp2317 +a(g185 +V +tp2318 +a(g340 +V= +tp2319 +a(g185 +V +tp2320 +a(g102 +VCons +p2321 +tp2322 +a(g185 +V +tp2323 +a(g340 +V{ +tp2324 +a(g12 +Vhead +p2325 +tp2326 +a(g185 +V +tp2327 +a(g340 +V= +tp2328 +a(g185 +V +tp2329 +a(g12 +Vx +tp2330 +a(g340 +V; +tp2331 +a(g185 +V +tp2332 +a(g12 +Vtail +p2333 +tp2334 +a(g185 +V +tp2335 +a(g340 +V= +tp2336 +a(g185 +V +tp2337 +a(g102 +VNil +p2338 +tp2339 +a(g340 +V} +tp2340 +a(g185 +V +tp2341 +a(g107 +Vin +p2342 +tp2343 +a(g185 +V\u000a +p2344 +tp2345 +a(g107 +Vmatch +p2346 +tp2347 +a(g185 +V +tp2348 +a(g12 +Vq +tp2349 +a(g185 +V +tp2350 +a(g107 +Vwith +p2351 +tp2352 +a(g185 +V\u000a +p2353 +tp2354 +a(g340 +V| +tp2355 +a(g185 +V +tp2356 +a(g340 +V{ +tp2357 +a(g12 +Vinsert +p2358 +tp2359 +a(g185 +V +tp2360 +a(g340 +V= +tp2361 +a(g185 +V +tp2362 +a(g102 +VCons +p2363 +tp2364 +a(g185 +V +tp2365 +a(g12 +Vcell +p2366 +tp2367 +a(g340 +V} +tp2368 +a(g185 +V +tp2369 +a(g340 +V- +tp2370 +a(g340 +V> +tp2371 +a(g185 +V +tp2372 +a(g12 +Vq +tp2373 +a(g340 +V. +tp2374 +a(g12 +Vinsert +p2375 +tp2376 +a(g185 +V +tp2377 +a(g340 +V< +tp2378 +a(g340 +V- +tp2379 +a(g185 +V +tp2380 +a(g12 +Vc +tp2381 +a(g340 +V; +tp2382 +a(g185 +V +tp2383 +a(g12 +Vcell +p2384 +tp2385 +a(g340 +V. +tp2386 +a(g12 +Vtail +p2387 +tp2388 +a(g185 +V +tp2389 +a(g340 +V< +tp2390 +a(g340 +V- +tp2391 +a(g185 +V +tp2392 +a(g12 +Vc +tp2393 +a(g185 +V\u000a +p2394 +tp2395 +a(g6 +V(* +p2396 +tp2397 +a(g6 +V Invariant: when insert is Nil body should be Nil. +p2398 +tp2399 +a(g6 +V*) +p2400 +tp2401 +a(g185 +V\u000a +p2402 +tp2403 +a(g340 +V| +tp2404 +a(g185 +V +tp2405 +a(g340 +V_ +tp2406 +a(g185 +V +tp2407 +a(g340 +V- +tp2408 +a(g340 +V> +tp2409 +a(g185 +V +tp2410 +a(g12 +Vq +tp2411 +a(g340 +V. +tp2412 +a(g12 +Vinsert +p2413 +tp2414 +a(g185 +V +tp2415 +a(g340 +V< +tp2416 +a(g340 +V- +tp2417 +a(g185 +V +tp2418 +a(g12 +Vc +tp2419 +a(g340 +V; +tp2420 +a(g185 +V +tp2421 +a(g12 +Vq +tp2422 +a(g340 +V. +tp2423 +a(g12 +Vbody +p2424 +tp2425 +a(g185 +V +tp2426 +a(g340 +V< +tp2427 +a(g340 +V- +tp2428 +a(g185 +V +tp2429 +a(g12 +Vc +tp2430 +a(g340 +V; +tp2431 +a(g340 +V; +tp2432 +a(g185 +V\u000a\u000a +p2433 +tp2434 +a(g107 +Vexception +p2435 +tp2436 +a(g185 +V +tp2437 +a(g102 +VEmpty_queue +p2438 +tp2439 +a(g340 +V; +tp2440 +a(g340 +V; +tp2441 +a(g185 +V\u000a\u000a +p2442 +tp2443 +a(g107 +Vlet +p2444 +tp2445 +a(g185 +V +tp2446 +a(g12 +Vpeek_queue +p2447 +tp2448 +a(g185 +V +tp2449 +a(g340 +V= +tp2450 +a(g185 +V +tp2451 +a(g107 +Vfunction +p2452 +tp2453 +a(g185 +V\u000a +p2454 +tp2455 +a(g340 +V| +tp2456 +a(g185 +V +tp2457 +a(g340 +V{ +tp2458 +a(g12 +Vbody +p2459 +tp2460 +a(g185 +V +tp2461 +a(g340 +V= +tp2462 +a(g185 +V +tp2463 +a(g102 +VCons +p2464 +tp2465 +a(g185 +V +tp2466 +a(g340 +V{ +tp2467 +a(g12 +Vhead +p2468 +tp2469 +a(g185 +V +tp2470 +a(g340 +V= +tp2471 +a(g185 +V +tp2472 +a(g12 +Vx +tp2473 +a(g340 +V} +tp2474 +a(g340 +V} +tp2475 +a(g185 +V +tp2476 +a(g340 +V- +tp2477 +a(g340 +V> +tp2478 +a(g185 +V +tp2479 +a(g12 +Vx +tp2480 +a(g185 +V\u000a +p2481 +tp2482 +a(g340 +V| +tp2483 +a(g185 +V +tp2484 +a(g340 +V_ +tp2485 +a(g185 +V +tp2486 +a(g340 +V- +tp2487 +a(g340 +V> +tp2488 +a(g185 +V +tp2489 +a(g107 +Vraise +p2490 +tp2491 +a(g185 +V +tp2492 +a(g102 +VEmpty_queue +p2493 +tp2494 +a(g340 +V; +tp2495 +a(g340 +V; +tp2496 +a(g185 +V\u000a\u000a +p2497 +tp2498 +a(g107 +Vlet +p2499 +tp2500 +a(g185 +V +tp2501 +a(g12 +Vtake_queue +p2502 +tp2503 +a(g185 +V +tp2504 +a(g340 +V= +tp2505 +a(g185 +V +tp2506 +a(g107 +Vfunction +p2507 +tp2508 +a(g185 +V\u000a +p2509 +tp2510 +a(g340 +V| +tp2511 +a(g185 +V +tp2512 +a(g340 +V{ +tp2513 +a(g12 +Vbody +p2514 +tp2515 +a(g185 +V +tp2516 +a(g340 +V= +tp2517 +a(g185 +V +tp2518 +a(g102 +VCons +p2519 +tp2520 +a(g185 +V +tp2521 +a(g340 +V{ +tp2522 +a(g12 +Vhead +p2523 +tp2524 +a(g185 +V +tp2525 +a(g340 +V= +tp2526 +a(g185 +V +tp2527 +a(g12 +Vx +tp2528 +a(g340 +V; +tp2529 +a(g185 +V +tp2530 +a(g12 +Vtail +p2531 +tp2532 +a(g185 +V +tp2533 +a(g340 +V= +tp2534 +a(g185 +V +tp2535 +a(g12 +Vtl +p2536 +tp2537 +a(g340 +V} +tp2538 +a(g340 +V} +tp2539 +a(g185 +V +tp2540 +a(g107 +Vas +p2541 +tp2542 +a(g185 +V +tp2543 +a(g12 +Vq +tp2544 +a(g185 +V +tp2545 +a(g340 +V- +tp2546 +a(g340 +V> +tp2547 +a(g185 +V\u000a +p2548 +tp2549 +a(g12 +Vq +tp2550 +a(g340 +V. +tp2551 +a(g12 +Vbody +p2552 +tp2553 +a(g185 +V +tp2554 +a(g340 +V< +tp2555 +a(g340 +V- +tp2556 +a(g185 +V +tp2557 +a(g12 +Vtl +p2558 +tp2559 +a(g340 +V; +tp2560 +a(g185 +V\u000a +p2561 +tp2562 +a(g107 +Vif +p2563 +tp2564 +a(g185 +V +tp2565 +a(g12 +Vtl +p2566 +tp2567 +a(g185 +V +tp2568 +a(g340 +V= +tp2569 +a(g185 +V +tp2570 +a(g102 +VNil +p2571 +tp2572 +a(g185 +V +tp2573 +a(g107 +Vthen +p2574 +tp2575 +a(g185 +V +tp2576 +a(g12 +Vq +tp2577 +a(g340 +V. +tp2578 +a(g12 +Vinsert +p2579 +tp2580 +a(g185 +V +tp2581 +a(g340 +V< +tp2582 +a(g340 +V- +tp2583 +a(g185 +V +tp2584 +a(g102 +VNil +p2585 +tp2586 +a(g340 +V; +tp2587 +a(g185 +V +tp2588 +a(g6 +V(* +p2589 +tp2590 +a(g6 +V Maintain the invariant. +p2591 +tp2592 +a(g6 +V*) +p2593 +tp2594 +a(g185 +V\u000a +p2595 +tp2596 +a(g12 +Vx +tp2597 +a(g185 +V\u000a +p2598 +tp2599 +a(g340 +V| +tp2600 +a(g185 +V +tp2601 +a(g340 +V_ +tp2602 +a(g185 +V +tp2603 +a(g340 +V- +tp2604 +a(g340 +V> +tp2605 +a(g185 +V +tp2606 +a(g107 +Vraise +p2607 +tp2608 +a(g185 +V +tp2609 +a(g102 +VEmpty_queue +p2610 +tp2611 +a(g340 +V; +tp2612 +a(g340 +V; +tp2613 +a(g185 +V\u000a\u000a +p2614 +tp2615 +a(g6 +V(* +p2616 +tp2617 +a(g6 +V Enter a token in the pretty-printer queue. +p2618 +tp2619 +a(g6 +V*) +p2620 +tp2621 +a(g185 +V\u000a +tp2622 +a(g107 +Vlet +p2623 +tp2624 +a(g185 +V +tp2625 +a(g12 +Vpp_enqueue +p2626 +tp2627 +a(g185 +V +tp2628 +a(g12 +Vstate +p2629 +tp2630 +a(g185 +V +tp2631 +a(g340 +V( +tp2632 +a(g340 +V{ +tp2633 +a(g12 +Vlength +p2634 +tp2635 +a(g185 +V +tp2636 +a(g340 +V= +tp2637 +a(g185 +V +tp2638 +a(g12 +Vlen +p2639 +tp2640 +a(g340 +V} +tp2641 +a(g185 +V +tp2642 +a(g107 +Vas +p2643 +tp2644 +a(g185 +V +tp2645 +a(g12 +Vtoken +p2646 +tp2647 +a(g340 +V) +tp2648 +a(g185 +V +tp2649 +a(g340 +V= +tp2650 +a(g185 +V\u000a +p2651 +tp2652 +a(g12 +Vstate +p2653 +tp2654 +a(g340 +V. +tp2655 +a(g12 +Vpp_right_total +p2656 +tp2657 +a(g185 +V +tp2658 +a(g340 +V< +tp2659 +a(g340 +V- +tp2660 +a(g185 +V +tp2661 +a(g12 +Vstate +p2662 +tp2663 +a(g340 +V. +tp2664 +a(g12 +Vpp_right_total +p2665 +tp2666 +a(g185 +V +tp2667 +a(g340 +V+ +tp2668 +a(g185 +V +tp2669 +a(g12 +Vlen +p2670 +tp2671 +a(g340 +V; +tp2672 +a(g185 +V\u000a +p2673 +tp2674 +a(g12 +Vadd_queue +p2675 +tp2676 +a(g185 +V +tp2677 +a(g12 +Vtoken +p2678 +tp2679 +a(g185 +V +tp2680 +a(g12 +Vstate +p2681 +tp2682 +a(g340 +V. +tp2683 +a(g12 +Vpp_queue +p2684 +tp2685 +a(g340 +V; +tp2686 +a(g340 +V; +tp2687 +a(g185 +V\u000a\u000a +p2688 +tp2689 +a(g107 +Vlet +p2690 +tp2691 +a(g185 +V +tp2692 +a(g12 +Vpp_clear_queue +p2693 +tp2694 +a(g185 +V +tp2695 +a(g12 +Vstate +p2696 +tp2697 +a(g185 +V +tp2698 +a(g340 +V= +tp2699 +a(g185 +V\u000a +p2700 +tp2701 +a(g12 +Vstate +p2702 +tp2703 +a(g340 +V. +tp2704 +a(g12 +Vpp_left_total +p2705 +tp2706 +a(g185 +V +tp2707 +a(g340 +V< +tp2708 +a(g340 +V- +tp2709 +a(g185 +V +tp2710 +a(g315 +V1 +tp2711 +a(g340 +V; +tp2712 +a(g185 +V +tp2713 +a(g12 +Vstate +p2714 +tp2715 +a(g340 +V. +tp2716 +a(g12 +Vpp_right_total +p2717 +tp2718 +a(g185 +V +tp2719 +a(g340 +V< +tp2720 +a(g340 +V- +tp2721 +a(g185 +V +tp2722 +a(g315 +V1 +tp2723 +a(g340 +V; +tp2724 +a(g185 +V\u000a +p2725 +tp2726 +a(g12 +Vclear_queue +p2727 +tp2728 +a(g185 +V +tp2729 +a(g12 +Vstate +p2730 +tp2731 +a(g340 +V. +tp2732 +a(g12 +Vpp_queue +p2733 +tp2734 +a(g340 +V; +tp2735 +a(g340 +V; +tp2736 +a(g185 +V\u000a\u000a +p2737 +tp2738 +a(g6 +V(* +p2739 +tp2740 +a(g6 +V Pp_infinity: large value for default tokens size.\u000a\u000a Pp_infinity is documented as being greater than 1e10; to avoid\u000a confusion about the word ``greater'', we choose pp_infinity greater\u000a than 1e10 + 1; for correct handling of tests in the algorithm,\u000a pp_infinity must be even one more than 1e10 + 1; let's stand on the\u000a safe side by choosing 1.e10+10.\u000a\u000a Pp_infinity could probably be 1073741823 that is 2^30 - 1, that is\u000a the minimal upper bound for integers; now that max_int is defined,\u000a this limit could also be defined as max_int - 1.\u000a\u000a However, before setting pp_infinity to something around max_int, we\u000a must carefully double-check all the integer arithmetic operations\u000a that involve pp_infinity, since any overflow would wreck havoc the\u000a pretty-printing algorithm's invariants. Given that this arithmetic\u000a correctness check is difficult and error prone and given that 1e10\u000a + 1 is in practice large enough, there is no need to attempt to set\u000a pp_infinity to the theoretically maximum limit. Is it not worth the\u000a burden ! +p2741 +tp2742 +a(g6 +V*) +p2743 +tp2744 +a(g185 +V\u000a\u000a +p2745 +tp2746 +a(g107 +Vlet +p2747 +tp2748 +a(g185 +V +tp2749 +a(g12 +Vpp_infinity +p2750 +tp2751 +a(g185 +V +tp2752 +a(g340 +V= +tp2753 +a(g185 +V +tp2754 +a(g315 +V1000000010 +p2755 +tp2756 +a(g340 +V; +tp2757 +a(g340 +V; +tp2758 +a(g185 +V\u000a\u000a +p2759 +tp2760 +a(g6 +V(* +p2761 +tp2762 +a(g6 +V Output functions for the formatter. +p2763 +tp2764 +a(g6 +V*) +p2765 +tp2766 +a(g185 +V\u000a +tp2767 +a(g107 +Vlet +p2768 +tp2769 +a(g185 +V +tp2770 +a(g12 +Vpp_output_string +p2771 +tp2772 +a(g185 +V +tp2773 +a(g12 +Vstate +p2774 +tp2775 +a(g185 +V +tp2776 +a(g12 +Vs +tp2777 +a(g185 +V +tp2778 +a(g340 +V= +tp2779 +a(g185 +V +tp2780 +a(g12 +Vstate +p2781 +tp2782 +a(g340 +V. +tp2783 +a(g12 +Vpp_output_function +p2784 +tp2785 +a(g185 +V +tp2786 +a(g12 +Vs +tp2787 +a(g185 +V +tp2788 +a(g315 +V0 +tp2789 +a(g185 +V +tp2790 +a(g340 +V( +tp2791 +a(g95 +VString +p2792 +tp2793 +a(g198 +V. +tp2794 +a(g12 +Vlength +p2795 +tp2796 +a(g185 +V +tp2797 +a(g12 +Vs +tp2798 +a(g340 +V) +tp2799 +a(g185 +V\u000a +tp2800 +a(g344 +Vand +p2801 +tp2802 +a(g185 +V +tp2803 +a(g12 +Vpp_output_newline +p2804 +tp2805 +a(g185 +V +tp2806 +a(g12 +Vstate +p2807 +tp2808 +a(g185 +V +tp2809 +a(g340 +V= +tp2810 +a(g185 +V +tp2811 +a(g12 +Vstate +p2812 +tp2813 +a(g340 +V. +tp2814 +a(g12 +Vpp_output_newline +p2815 +tp2816 +a(g185 +V +tp2817 +a(g56 +V() +p2818 +tp2819 +a(g340 +V; +tp2820 +a(g340 +V; +tp2821 +a(g185 +V\u000a\u000a +p2822 +tp2823 +a(g107 +Vlet +p2824 +tp2825 +a(g185 +V +tp2826 +a(g12 +Vpp_display_blanks +p2827 +tp2828 +a(g185 +V +tp2829 +a(g12 +Vstate +p2830 +tp2831 +a(g185 +V +tp2832 +a(g12 +Vn +tp2833 +a(g185 +V +tp2834 +a(g340 +V= +tp2835 +a(g185 +V +tp2836 +a(g12 +Vstate +p2837 +tp2838 +a(g340 +V. +tp2839 +a(g12 +Vpp_output_spaces +p2840 +tp2841 +a(g185 +V +tp2842 +a(g12 +Vn +tp2843 +a(g340 +V; +tp2844 +a(g340 +V; +tp2845 +a(g185 +V\u000a\u000a +p2846 +tp2847 +a(g6 +V(* +p2848 +tp2849 +a(g6 +V To format a break, indenting a new line. +p2850 +tp2851 +a(g6 +V*) +p2852 +tp2853 +a(g185 +V\u000a +tp2854 +a(g107 +Vlet +p2855 +tp2856 +a(g185 +V +tp2857 +a(g12 +Vbreak_new_line +p2858 +tp2859 +a(g185 +V +tp2860 +a(g12 +Vstate +p2861 +tp2862 +a(g185 +V +tp2863 +a(g12 +Voffset +p2864 +tp2865 +a(g185 +V +tp2866 +a(g12 +Vwidth +p2867 +tp2868 +a(g185 +V +tp2869 +a(g340 +V= +tp2870 +a(g185 +V\u000a +p2871 +tp2872 +a(g12 +Vpp_output_newline +p2873 +tp2874 +a(g185 +V +tp2875 +a(g12 +Vstate +p2876 +tp2877 +a(g340 +V; +tp2878 +a(g185 +V\u000a +p2879 +tp2880 +a(g12 +Vstate +p2881 +tp2882 +a(g340 +V. +tp2883 +a(g12 +Vpp_is_new_line +p2884 +tp2885 +a(g185 +V +tp2886 +a(g340 +V< +tp2887 +a(g340 +V- +tp2888 +a(g185 +V +tp2889 +a(g56 +Vtrue +p2890 +tp2891 +a(g340 +V; +tp2892 +a(g185 +V\u000a +p2893 +tp2894 +a(g107 +Vlet +p2895 +tp2896 +a(g185 +V +tp2897 +a(g12 +Vindent +p2898 +tp2899 +a(g185 +V +tp2900 +a(g340 +V= +tp2901 +a(g185 +V +tp2902 +a(g12 +Vstate +p2903 +tp2904 +a(g340 +V. +tp2905 +a(g12 +Vpp_margin +p2906 +tp2907 +a(g185 +V +tp2908 +a(g340 +V- +tp2909 +a(g185 +V +tp2910 +a(g12 +Vwidth +p2911 +tp2912 +a(g185 +V +tp2913 +a(g340 +V+ +tp2914 +a(g185 +V +tp2915 +a(g12 +Voffset +p2916 +tp2917 +a(g185 +V +tp2918 +a(g107 +Vin +p2919 +tp2920 +a(g185 +V\u000a +p2921 +tp2922 +a(g6 +V(* +p2923 +tp2924 +a(g6 +V Don't indent more than pp_max_indent. +p2925 +tp2926 +a(g6 +V*) +p2927 +tp2928 +a(g185 +V\u000a +p2929 +tp2930 +a(g107 +Vlet +p2931 +tp2932 +a(g185 +V +tp2933 +a(g12 +Vreal_indent +p2934 +tp2935 +a(g185 +V +tp2936 +a(g340 +V= +tp2937 +a(g185 +V +tp2938 +a(g12 +Vmin +p2939 +tp2940 +a(g185 +V +tp2941 +a(g12 +Vstate +p2942 +tp2943 +a(g340 +V. +tp2944 +a(g12 +Vpp_max_indent +p2945 +tp2946 +a(g185 +V +tp2947 +a(g12 +Vindent +p2948 +tp2949 +a(g185 +V +tp2950 +a(g107 +Vin +p2951 +tp2952 +a(g185 +V\u000a +p2953 +tp2954 +a(g12 +Vstate +p2955 +tp2956 +a(g340 +V. +tp2957 +a(g12 +Vpp_current_indent +p2958 +tp2959 +a(g185 +V +tp2960 +a(g340 +V< +tp2961 +a(g340 +V- +tp2962 +a(g185 +V +tp2963 +a(g12 +Vreal_indent +p2964 +tp2965 +a(g340 +V; +tp2966 +a(g185 +V\u000a +p2967 +tp2968 +a(g12 +Vstate +p2969 +tp2970 +a(g340 +V. +tp2971 +a(g12 +Vpp_space_left +p2972 +tp2973 +a(g185 +V +tp2974 +a(g340 +V< +tp2975 +a(g340 +V- +tp2976 +a(g185 +V +tp2977 +a(g12 +Vstate +p2978 +tp2979 +a(g340 +V. +tp2980 +a(g12 +Vpp_margin +p2981 +tp2982 +a(g185 +V +tp2983 +a(g340 +V- +tp2984 +a(g185 +V +tp2985 +a(g12 +Vstate +p2986 +tp2987 +a(g340 +V. +tp2988 +a(g12 +Vpp_current_indent +p2989 +tp2990 +a(g340 +V; +tp2991 +a(g185 +V\u000a +p2992 +tp2993 +a(g12 +Vpp_display_blanks +p2994 +tp2995 +a(g185 +V +tp2996 +a(g12 +Vstate +p2997 +tp2998 +a(g185 +V +tp2999 +a(g12 +Vstate +p3000 +tp3001 +a(g340 +V. +tp3002 +a(g12 +Vpp_current_indent +p3003 +tp3004 +a(g340 +V; +tp3005 +a(g340 +V; +tp3006 +a(g185 +V\u000a\u000a +p3007 +tp3008 +a(g6 +V(* +p3009 +tp3010 +a(g6 +V To force a line break inside a block: no offset is added. +p3011 +tp3012 +a(g6 +V*) +p3013 +tp3014 +a(g185 +V\u000a +tp3015 +a(g107 +Vlet +p3016 +tp3017 +a(g185 +V +tp3018 +a(g12 +Vbreak_line +p3019 +tp3020 +a(g185 +V +tp3021 +a(g12 +Vstate +p3022 +tp3023 +a(g185 +V +tp3024 +a(g12 +Vwidth +p3025 +tp3026 +a(g185 +V +tp3027 +a(g340 +V= +tp3028 +a(g185 +V +tp3029 +a(g12 +Vbreak_new_line +p3030 +tp3031 +a(g185 +V +tp3032 +a(g12 +Vstate +p3033 +tp3034 +a(g185 +V +tp3035 +a(g315 +V0 +tp3036 +a(g185 +V +tp3037 +a(g12 +Vwidth +p3038 +tp3039 +a(g340 +V; +tp3040 +a(g340 +V; +tp3041 +a(g185 +V\u000a\u000a +p3042 +tp3043 +a(g6 +V(* +p3044 +tp3045 +a(g6 +V To format a break that fits on the current line. +p3046 +tp3047 +a(g6 +V*) +p3048 +tp3049 +a(g185 +V\u000a +tp3050 +a(g107 +Vlet +p3051 +tp3052 +a(g185 +V +tp3053 +a(g12 +Vbreak_same_line +p3054 +tp3055 +a(g185 +V +tp3056 +a(g12 +Vstate +p3057 +tp3058 +a(g185 +V +tp3059 +a(g12 +Vwidth +p3060 +tp3061 +a(g185 +V +tp3062 +a(g340 +V= +tp3063 +a(g185 +V\u000a +p3064 +tp3065 +a(g12 +Vstate +p3066 +tp3067 +a(g340 +V. +tp3068 +a(g12 +Vpp_space_left +p3069 +tp3070 +a(g185 +V +tp3071 +a(g340 +V< +tp3072 +a(g340 +V- +tp3073 +a(g185 +V +tp3074 +a(g12 +Vstate +p3075 +tp3076 +a(g340 +V. +tp3077 +a(g12 +Vpp_space_left +p3078 +tp3079 +a(g185 +V +tp3080 +a(g340 +V- +tp3081 +a(g185 +V +tp3082 +a(g12 +Vwidth +p3083 +tp3084 +a(g340 +V; +tp3085 +a(g185 +V\u000a +p3086 +tp3087 +a(g12 +Vpp_display_blanks +p3088 +tp3089 +a(g185 +V +tp3090 +a(g12 +Vstate +p3091 +tp3092 +a(g185 +V +tp3093 +a(g12 +Vwidth +p3094 +tp3095 +a(g340 +V; +tp3096 +a(g340 +V; +tp3097 +a(g185 +V\u000a\u000a +p3098 +tp3099 +a(g6 +V(* +p3100 +tp3101 +a(g6 +V To indent no more than pp_max_indent, if one tries to open a block\u000a beyond pp_max_indent, then the block is rejected on the left\u000a by simulating a break. +p3102 +tp3103 +a(g6 +V*) +p3104 +tp3105 +a(g185 +V\u000a +tp3106 +a(g107 +Vlet +p3107 +tp3108 +a(g185 +V +tp3109 +a(g12 +Vpp_force_break_line +p3110 +tp3111 +a(g185 +V +tp3112 +a(g12 +Vstate +p3113 +tp3114 +a(g185 +V +tp3115 +a(g340 +V= +tp3116 +a(g185 +V\u000a +p3117 +tp3118 +a(g107 +Vmatch +p3119 +tp3120 +a(g185 +V +tp3121 +a(g12 +Vstate +p3122 +tp3123 +a(g340 +V. +tp3124 +a(g12 +Vpp_format_stack +p3125 +tp3126 +a(g185 +V +tp3127 +a(g107 +Vwith +p3128 +tp3129 +a(g185 +V\u000a +p3130 +tp3131 +a(g340 +V| +tp3132 +a(g185 +V +tp3133 +a(g102 +VFormat_elem +p3134 +tp3135 +a(g185 +V +tp3136 +a(g340 +V( +tp3137 +a(g12 +Vbl_ty +p3138 +tp3139 +a(g340 +V, +tp3140 +a(g185 +V +tp3141 +a(g12 +Vwidth +p3142 +tp3143 +a(g340 +V) +tp3144 +a(g185 +V +tp3145 +a(g340 +V: +tp3146 +a(g340 +V: +tp3147 +a(g185 +V +tp3148 +a(g340 +V_ +tp3149 +a(g185 +V +tp3150 +a(g340 +V- +tp3151 +a(g340 +V> +tp3152 +a(g185 +V\u000a +p3153 +tp3154 +a(g107 +Vif +p3155 +tp3156 +a(g185 +V +tp3157 +a(g12 +Vwidth +p3158 +tp3159 +a(g185 +V +tp3160 +a(g340 +V> +tp3161 +a(g185 +V +tp3162 +a(g12 +Vstate +p3163 +tp3164 +a(g340 +V. +tp3165 +a(g12 +Vpp_space_left +p3166 +tp3167 +a(g185 +V +tp3168 +a(g107 +Vthen +p3169 +tp3170 +a(g185 +V\u000a +p3171 +tp3172 +a(g340 +V( +tp3173 +a(g107 +Vmatch +p3174 +tp3175 +a(g185 +V +tp3176 +a(g12 +Vbl_ty +p3177 +tp3178 +a(g185 +V +tp3179 +a(g107 +Vwith +p3180 +tp3181 +a(g185 +V\u000a +p3182 +tp3183 +a(g340 +V| +tp3184 +a(g185 +V +tp3185 +a(g102 +VPp_fits +p3186 +tp3187 +a(g185 +V +tp3188 +a(g340 +V- +tp3189 +a(g340 +V> +tp3190 +a(g185 +V +tp3191 +a(g56 +V() +p3192 +tp3193 +a(g185 +V +tp3194 +a(g340 +V| +tp3195 +a(g185 +V +tp3196 +a(g102 +VPp_hbox +p3197 +tp3198 +a(g185 +V +tp3199 +a(g340 +V- +tp3200 +a(g340 +V> +tp3201 +a(g185 +V +tp3202 +a(g56 +V() +p3203 +tp3204 +a(g185 +V +tp3205 +a(g340 +V| +tp3206 +a(g185 +V +tp3207 +a(g340 +V_ +tp3208 +a(g185 +V +tp3209 +a(g340 +V- +tp3210 +a(g340 +V> +tp3211 +a(g185 +V +tp3212 +a(g12 +Vbreak_line +p3213 +tp3214 +a(g185 +V +tp3215 +a(g12 +Vstate +p3216 +tp3217 +a(g185 +V +tp3218 +a(g12 +Vwidth +p3219 +tp3220 +a(g340 +V) +tp3221 +a(g185 +V\u000a +p3222 +tp3223 +a(g340 +V| +tp3224 +a(g185 +V +tp3225 +a(g340 +V_ +tp3226 +a(g185 +V +tp3227 +a(g340 +V- +tp3228 +a(g340 +V> +tp3229 +a(g185 +V +tp3230 +a(g12 +Vpp_output_newline +p3231 +tp3232 +a(g185 +V +tp3233 +a(g12 +Vstate +p3234 +tp3235 +a(g340 +V; +tp3236 +a(g340 +V; +tp3237 +a(g185 +V\u000a\u000a +p3238 +tp3239 +a(g6 +V(* +p3240 +tp3241 +a(g6 +V To skip a token, if the previous line has been broken. +p3242 +tp3243 +a(g6 +V*) +p3244 +tp3245 +a(g185 +V\u000a +tp3246 +a(g107 +Vlet +p3247 +tp3248 +a(g185 +V +tp3249 +a(g12 +Vpp_skip_token +p3250 +tp3251 +a(g185 +V +tp3252 +a(g12 +Vstate +p3253 +tp3254 +a(g185 +V +tp3255 +a(g340 +V= +tp3256 +a(g185 +V\u000a +p3257 +tp3258 +a(g6 +V(* +p3259 +tp3260 +a(g6 +V When calling pp_skip_token the queue cannot be empty. +p3261 +tp3262 +a(g6 +V*) +p3263 +tp3264 +a(g185 +V\u000a +p3265 +tp3266 +a(g107 +Vmatch +p3267 +tp3268 +a(g185 +V +tp3269 +a(g12 +Vtake_queue +p3270 +tp3271 +a(g185 +V +tp3272 +a(g12 +Vstate +p3273 +tp3274 +a(g340 +V. +tp3275 +a(g12 +Vpp_queue +p3276 +tp3277 +a(g185 +V +tp3278 +a(g107 +Vwith +p3279 +tp3280 +a(g185 +V\u000a +p3281 +tp3282 +a(g340 +V{ +tp3283 +a(g12 +Velem_size +p3284 +tp3285 +a(g185 +V +tp3286 +a(g340 +V= +tp3287 +a(g185 +V +tp3288 +a(g12 +Vsize +p3289 +tp3290 +a(g340 +V; +tp3291 +a(g185 +V +tp3292 +a(g12 +Vlength +p3293 +tp3294 +a(g185 +V +tp3295 +a(g340 +V= +tp3296 +a(g185 +V +tp3297 +a(g12 +Vlen +p3298 +tp3299 +a(g340 +V} +tp3300 +a(g185 +V +tp3301 +a(g340 +V- +tp3302 +a(g340 +V> +tp3303 +a(g185 +V\u000a +p3304 +tp3305 +a(g12 +Vstate +p3306 +tp3307 +a(g340 +V. +tp3308 +a(g12 +Vpp_left_total +p3309 +tp3310 +a(g185 +V +tp3311 +a(g340 +V< +tp3312 +a(g340 +V- +tp3313 +a(g185 +V +tp3314 +a(g12 +Vstate +p3315 +tp3316 +a(g340 +V. +tp3317 +a(g12 +Vpp_left_total +p3318 +tp3319 +a(g185 +V +tp3320 +a(g340 +V- +tp3321 +a(g185 +V +tp3322 +a(g12 +Vlen +p3323 +tp3324 +a(g340 +V; +tp3325 +a(g185 +V\u000a +p3326 +tp3327 +a(g12 +Vstate +p3328 +tp3329 +a(g340 +V. +tp3330 +a(g12 +Vpp_space_left +p3331 +tp3332 +a(g185 +V +tp3333 +a(g340 +V< +tp3334 +a(g340 +V- +tp3335 +a(g185 +V +tp3336 +a(g12 +Vstate +p3337 +tp3338 +a(g340 +V. +tp3339 +a(g12 +Vpp_space_left +p3340 +tp3341 +a(g185 +V +tp3342 +a(g340 +V+ +tp3343 +a(g185 +V +tp3344 +a(g12 +Vint_of_size +p3345 +tp3346 +a(g185 +V +tp3347 +a(g12 +Vsize +p3348 +tp3349 +a(g340 +V; +tp3350 +a(g340 +V; +tp3351 +a(g185 +V\u000a\u000a +p3352 +tp3353 +a(g6 +V(* +p3354 +tp3355 +a(g6 +V* +tp3356 +a(g6 +V* +tp3357 +a(g6 +V* +tp3358 +a(g6 +V* +tp3359 +a(g6 +V* +tp3360 +a(g6 +V* +tp3361 +a(g6 +V* +tp3362 +a(g6 +V* +tp3363 +a(g6 +V* +tp3364 +a(g6 +V* +tp3365 +a(g6 +V* +tp3366 +a(g6 +V* +tp3367 +a(g6 +V* +tp3368 +a(g6 +V* +tp3369 +a(g6 +V* +tp3370 +a(g6 +V* +tp3371 +a(g6 +V* +tp3372 +a(g6 +V* +tp3373 +a(g6 +V* +tp3374 +a(g6 +V* +tp3375 +a(g6 +V* +tp3376 +a(g6 +V* +tp3377 +a(g6 +V* +tp3378 +a(g6 +V* +tp3379 +a(g6 +V* +tp3380 +a(g6 +V* +tp3381 +a(g6 +V* +tp3382 +a(g6 +V* +tp3383 +a(g6 +V* +tp3384 +a(g6 +V* +tp3385 +a(g6 +V* +tp3386 +a(g6 +V* +tp3387 +a(g6 +V* +tp3388 +a(g6 +V* +tp3389 +a(g6 +V* +tp3390 +a(g6 +V* +tp3391 +a(g6 +V* +tp3392 +a(g6 +V* +tp3393 +a(g6 +V* +tp3394 +a(g6 +V* +tp3395 +a(g6 +V* +tp3396 +a(g6 +V* +tp3397 +a(g6 +V* +tp3398 +a(g6 +V* +tp3399 +a(g6 +V* +tp3400 +a(g6 +V* +tp3401 +a(g6 +V* +tp3402 +a(g6 +V* +tp3403 +a(g6 +V* +tp3404 +a(g6 +V* +tp3405 +a(g6 +V* +tp3406 +a(g6 +V* +tp3407 +a(g6 +V* +tp3408 +a(g6 +V* +tp3409 +a(g6 +V* +tp3410 +a(g6 +V* +tp3411 +a(g6 +V* +tp3412 +a(g6 +V* +tp3413 +a(g6 +V* +tp3414 +a(g6 +V* +tp3415 +a(g6 +V* +tp3416 +a(g6 +V\u000a\u000a The main pretting printing functions.\u000a\u000a +p3417 +tp3418 +a(g6 +V* +tp3419 +a(g6 +V* +tp3420 +a(g6 +V* +tp3421 +a(g6 +V* +tp3422 +a(g6 +V* +tp3423 +a(g6 +V* +tp3424 +a(g6 +V* +tp3425 +a(g6 +V* +tp3426 +a(g6 +V* +tp3427 +a(g6 +V* +tp3428 +a(g6 +V* +tp3429 +a(g6 +V* +tp3430 +a(g6 +V* +tp3431 +a(g6 +V* +tp3432 +a(g6 +V* +tp3433 +a(g6 +V* +tp3434 +a(g6 +V* +tp3435 +a(g6 +V* +tp3436 +a(g6 +V* +tp3437 +a(g6 +V* +tp3438 +a(g6 +V* +tp3439 +a(g6 +V* +tp3440 +a(g6 +V* +tp3441 +a(g6 +V* +tp3442 +a(g6 +V* +tp3443 +a(g6 +V* +tp3444 +a(g6 +V* +tp3445 +a(g6 +V* +tp3446 +a(g6 +V* +tp3447 +a(g6 +V* +tp3448 +a(g6 +V* +tp3449 +a(g6 +V* +tp3450 +a(g6 +V* +tp3451 +a(g6 +V* +tp3452 +a(g6 +V* +tp3453 +a(g6 +V* +tp3454 +a(g6 +V* +tp3455 +a(g6 +V* +tp3456 +a(g6 +V* +tp3457 +a(g6 +V* +tp3458 +a(g6 +V* +tp3459 +a(g6 +V* +tp3460 +a(g6 +V* +tp3461 +a(g6 +V* +tp3462 +a(g6 +V* +tp3463 +a(g6 +V* +tp3464 +a(g6 +V* +tp3465 +a(g6 +V* +tp3466 +a(g6 +V* +tp3467 +a(g6 +V* +tp3468 +a(g6 +V* +tp3469 +a(g6 +V* +tp3470 +a(g6 +V* +tp3471 +a(g6 +V* +tp3472 +a(g6 +V* +tp3473 +a(g6 +V* +tp3474 +a(g6 +V* +tp3475 +a(g6 +V* +tp3476 +a(g6 +V* +tp3477 +a(g6 +V* +tp3478 +a(g6 +V* +tp3479 +a(g6 +V*) +p3480 +tp3481 +a(g185 +V\u000a\u000a +p3482 +tp3483 +a(g6 +V(* +p3484 +tp3485 +a(g6 +V To format a token. +p3486 +tp3487 +a(g6 +V*) +p3488 +tp3489 +a(g185 +V\u000a +tp3490 +a(g107 +Vlet +p3491 +tp3492 +a(g185 +V +tp3493 +a(g12 +Vformat_pp_token +p3494 +tp3495 +a(g185 +V +tp3496 +a(g12 +Vstate +p3497 +tp3498 +a(g185 +V +tp3499 +a(g12 +Vsize +p3500 +tp3501 +a(g185 +V +tp3502 +a(g340 +V= +tp3503 +a(g185 +V +tp3504 +a(g107 +Vfunction +p3505 +tp3506 +a(g185 +V\u000a\u000a +p3507 +tp3508 +a(g340 +V| +tp3509 +a(g185 +V +tp3510 +a(g102 +VPp_text +p3511 +tp3512 +a(g185 +V +tp3513 +a(g12 +Vs +tp3514 +a(g185 +V +tp3515 +a(g340 +V- +tp3516 +a(g340 +V> +tp3517 +a(g185 +V\u000a +p3518 +tp3519 +a(g12 +Vstate +p3520 +tp3521 +a(g340 +V. +tp3522 +a(g12 +Vpp_space_left +p3523 +tp3524 +a(g185 +V +tp3525 +a(g340 +V< +tp3526 +a(g340 +V- +tp3527 +a(g185 +V +tp3528 +a(g12 +Vstate +p3529 +tp3530 +a(g340 +V. +tp3531 +a(g12 +Vpp_space_left +p3532 +tp3533 +a(g185 +V +tp3534 +a(g340 +V- +tp3535 +a(g185 +V +tp3536 +a(g12 +Vsize +p3537 +tp3538 +a(g340 +V; +tp3539 +a(g185 +V\u000a +p3540 +tp3541 +a(g12 +Vpp_output_string +p3542 +tp3543 +a(g185 +V +tp3544 +a(g12 +Vstate +p3545 +tp3546 +a(g185 +V +tp3547 +a(g12 +Vs +tp3548 +a(g340 +V; +tp3549 +a(g185 +V\u000a +p3550 +tp3551 +a(g12 +Vstate +p3552 +tp3553 +a(g340 +V. +tp3554 +a(g12 +Vpp_is_new_line +p3555 +tp3556 +a(g185 +V +tp3557 +a(g340 +V< +tp3558 +a(g340 +V- +tp3559 +a(g185 +V +tp3560 +a(g56 +Vfalse +p3561 +tp3562 +a(g185 +V\u000a\u000a +p3563 +tp3564 +a(g340 +V| +tp3565 +a(g185 +V +tp3566 +a(g102 +VPp_begin +p3567 +tp3568 +a(g185 +V +tp3569 +a(g340 +V( +tp3570 +a(g12 +Voff +p3571 +tp3572 +a(g340 +V, +tp3573 +a(g185 +V +tp3574 +a(g12 +Vty +p3575 +tp3576 +a(g340 +V) +tp3577 +a(g185 +V +tp3578 +a(g340 +V- +tp3579 +a(g340 +V> +tp3580 +a(g185 +V\u000a +p3581 +tp3582 +a(g107 +Vlet +p3583 +tp3584 +a(g185 +V +tp3585 +a(g12 +Vinsertion_point +p3586 +tp3587 +a(g185 +V +tp3588 +a(g340 +V= +tp3589 +a(g185 +V +tp3590 +a(g12 +Vstate +p3591 +tp3592 +a(g340 +V. +tp3593 +a(g12 +Vpp_margin +p3594 +tp3595 +a(g185 +V +tp3596 +a(g340 +V- +tp3597 +a(g185 +V +tp3598 +a(g12 +Vstate +p3599 +tp3600 +a(g340 +V. +tp3601 +a(g12 +Vpp_space_left +p3602 +tp3603 +a(g185 +V +tp3604 +a(g107 +Vin +p3605 +tp3606 +a(g185 +V\u000a +p3607 +tp3608 +a(g107 +Vif +p3609 +tp3610 +a(g185 +V +tp3611 +a(g12 +Vinsertion_point +p3612 +tp3613 +a(g185 +V +tp3614 +a(g340 +V> +tp3615 +a(g185 +V +tp3616 +a(g12 +Vstate +p3617 +tp3618 +a(g340 +V. +tp3619 +a(g12 +Vpp_max_indent +p3620 +tp3621 +a(g185 +V +tp3622 +a(g107 +Vthen +p3623 +tp3624 +a(g185 +V\u000a +p3625 +tp3626 +a(g6 +V(* +p3627 +tp3628 +a(g6 +V can't open a block right there. +p3629 +tp3630 +a(g6 +V*) +p3631 +tp3632 +a(g185 +V\u000a +p3633 +tp3634 +a(g107 +Vbegin +p3635 +tp3636 +a(g185 +V +tp3637 +a(g12 +Vpp_force_break_line +p3638 +tp3639 +a(g185 +V +tp3640 +a(g12 +Vstate +p3641 +tp3642 +a(g185 +V +tp3643 +a(g107 +Vend +p3644 +tp3645 +a(g340 +V; +tp3646 +a(g185 +V\u000a +p3647 +tp3648 +a(g107 +Vlet +p3649 +tp3650 +a(g185 +V +tp3651 +a(g12 +Voffset +p3652 +tp3653 +a(g185 +V +tp3654 +a(g340 +V= +tp3655 +a(g185 +V +tp3656 +a(g12 +Vstate +p3657 +tp3658 +a(g340 +V. +tp3659 +a(g12 +Vpp_space_left +p3660 +tp3661 +a(g185 +V +tp3662 +a(g340 +V- +tp3663 +a(g185 +V +tp3664 +a(g12 +Voff +p3665 +tp3666 +a(g185 +V +tp3667 +a(g107 +Vin +p3668 +tp3669 +a(g185 +V\u000a +p3670 +tp3671 +a(g107 +Vlet +p3672 +tp3673 +a(g185 +V +tp3674 +a(g12 +Vbl_type +p3675 +tp3676 +a(g185 +V +tp3677 +a(g340 +V= +tp3678 +a(g185 +V\u000a +p3679 +tp3680 +a(g107 +Vbegin +p3681 +tp3682 +a(g185 +V +tp3683 +a(g107 +Vmatch +p3684 +tp3685 +a(g185 +V +tp3686 +a(g12 +Vty +p3687 +tp3688 +a(g185 +V +tp3689 +a(g107 +Vwith +p3690 +tp3691 +a(g185 +V\u000a +p3692 +tp3693 +a(g340 +V| +tp3694 +a(g185 +V +tp3695 +a(g102 +VPp_vbox +p3696 +tp3697 +a(g185 +V +tp3698 +a(g340 +V- +tp3699 +a(g340 +V> +tp3700 +a(g185 +V +tp3701 +a(g102 +VPp_vbox +p3702 +tp3703 +a(g185 +V\u000a +p3704 +tp3705 +a(g340 +V| +tp3706 +a(g185 +V +tp3707 +a(g340 +V_ +tp3708 +a(g185 +V +tp3709 +a(g340 +V- +tp3710 +a(g340 +V> +tp3711 +a(g185 +V +tp3712 +a(g107 +Vif +p3713 +tp3714 +a(g185 +V +tp3715 +a(g12 +Vsize +p3716 +tp3717 +a(g185 +V +tp3718 +a(g340 +V> +tp3719 +a(g185 +V +tp3720 +a(g12 +Vstate +p3721 +tp3722 +a(g340 +V. +tp3723 +a(g12 +Vpp_space_left +p3724 +tp3725 +a(g185 +V +tp3726 +a(g107 +Vthen +p3727 +tp3728 +a(g185 +V +tp3729 +a(g12 +Vty +p3730 +tp3731 +a(g185 +V +tp3732 +a(g107 +Velse +p3733 +tp3734 +a(g185 +V +tp3735 +a(g102 +VPp_fits +p3736 +tp3737 +a(g185 +V\u000a +p3738 +tp3739 +a(g107 +Vend +p3740 +tp3741 +a(g185 +V +tp3742 +a(g107 +Vin +p3743 +tp3744 +a(g185 +V\u000a +p3745 +tp3746 +a(g12 +Vstate +p3747 +tp3748 +a(g340 +V. +tp3749 +a(g12 +Vpp_format_stack +p3750 +tp3751 +a(g185 +V +tp3752 +a(g340 +V< +tp3753 +a(g340 +V- +tp3754 +a(g185 +V\u000a +p3755 +tp3756 +a(g102 +VFormat_elem +p3757 +tp3758 +a(g185 +V +tp3759 +a(g340 +V( +tp3760 +a(g12 +Vbl_type +p3761 +tp3762 +a(g340 +V, +tp3763 +a(g185 +V +tp3764 +a(g12 +Voffset +p3765 +tp3766 +a(g340 +V) +tp3767 +a(g185 +V +tp3768 +a(g340 +V: +tp3769 +a(g340 +V: +tp3770 +a(g185 +V +tp3771 +a(g12 +Vstate +p3772 +tp3773 +a(g340 +V. +tp3774 +a(g12 +Vpp_format_stack +p3775 +tp3776 +a(g185 +V\u000a\u000a +p3777 +tp3778 +a(g340 +V| +tp3779 +a(g185 +V +tp3780 +a(g102 +VPp_end +p3781 +tp3782 +a(g185 +V +tp3783 +a(g340 +V- +tp3784 +a(g340 +V> +tp3785 +a(g185 +V\u000a +p3786 +tp3787 +a(g107 +Vbegin +p3788 +tp3789 +a(g185 +V +tp3790 +a(g107 +Vmatch +p3791 +tp3792 +a(g185 +V +tp3793 +a(g12 +Vstate +p3794 +tp3795 +a(g340 +V. +tp3796 +a(g12 +Vpp_format_stack +p3797 +tp3798 +a(g185 +V +tp3799 +a(g107 +Vwith +p3800 +tp3801 +a(g185 +V\u000a +p3802 +tp3803 +a(g340 +V| +tp3804 +a(g185 +V +tp3805 +a(g12 +Vx +tp3806 +a(g185 +V +tp3807 +a(g340 +V: +tp3808 +a(g340 +V: +tp3809 +a(g185 +V +tp3810 +a(g340 +V( +tp3811 +a(g12 +Vy +tp3812 +a(g185 +V +tp3813 +a(g340 +V: +tp3814 +a(g340 +V: +tp3815 +a(g185 +V +tp3816 +a(g12 +Vl +tp3817 +a(g185 +V +tp3818 +a(g107 +Vas +p3819 +tp3820 +a(g185 +V +tp3821 +a(g12 +Vls +p3822 +tp3823 +a(g340 +V) +tp3824 +a(g185 +V +tp3825 +a(g340 +V- +tp3826 +a(g340 +V> +tp3827 +a(g185 +V +tp3828 +a(g12 +Vstate +p3829 +tp3830 +a(g340 +V. +tp3831 +a(g12 +Vpp_format_stack +p3832 +tp3833 +a(g185 +V +tp3834 +a(g340 +V< +tp3835 +a(g340 +V- +tp3836 +a(g185 +V +tp3837 +a(g12 +Vls +p3838 +tp3839 +a(g185 +V\u000a +p3840 +tp3841 +a(g340 +V| +tp3842 +a(g185 +V +tp3843 +a(g340 +V_ +tp3844 +a(g185 +V +tp3845 +a(g340 +V- +tp3846 +a(g340 +V> +tp3847 +a(g185 +V +tp3848 +a(g56 +V() +p3849 +tp3850 +a(g185 +V +tp3851 +a(g6 +V(* +p3852 +tp3853 +a(g6 +V No more block to close. +p3854 +tp3855 +a(g6 +V*) +p3856 +tp3857 +a(g185 +V\u000a +p3858 +tp3859 +a(g107 +Vend +p3860 +tp3861 +a(g185 +V\u000a\u000a +p3862 +tp3863 +a(g340 +V| +tp3864 +a(g185 +V +tp3865 +a(g102 +VPp_tbegin +p3866 +tp3867 +a(g185 +V +tp3868 +a(g340 +V( +tp3869 +a(g102 +VPp_tbox +p3870 +tp3871 +a(g185 +V +tp3872 +a(g340 +V_ +tp3873 +a(g185 +V +tp3874 +a(g107 +Vas +p3875 +tp3876 +a(g185 +V +tp3877 +a(g12 +Vtbox +p3878 +tp3879 +a(g340 +V) +tp3880 +a(g185 +V +tp3881 +a(g340 +V- +tp3882 +a(g340 +V> +tp3883 +a(g185 +V\u000a +p3884 +tp3885 +a(g12 +Vstate +p3886 +tp3887 +a(g340 +V. +tp3888 +a(g12 +Vpp_tbox_stack +p3889 +tp3890 +a(g185 +V +tp3891 +a(g340 +V< +tp3892 +a(g340 +V- +tp3893 +a(g185 +V +tp3894 +a(g12 +Vtbox +p3895 +tp3896 +a(g185 +V +tp3897 +a(g340 +V: +tp3898 +a(g340 +V: +tp3899 +a(g185 +V +tp3900 +a(g12 +Vstate +p3901 +tp3902 +a(g340 +V. +tp3903 +a(g12 +Vpp_tbox_stack +p3904 +tp3905 +a(g185 +V\u000a\u000a +p3906 +tp3907 +a(g340 +V| +tp3908 +a(g185 +V +tp3909 +a(g102 +VPp_tend +p3910 +tp3911 +a(g185 +V +tp3912 +a(g340 +V- +tp3913 +a(g340 +V> +tp3914 +a(g185 +V\u000a +p3915 +tp3916 +a(g107 +Vbegin +p3917 +tp3918 +a(g185 +V +tp3919 +a(g107 +Vmatch +p3920 +tp3921 +a(g185 +V +tp3922 +a(g12 +Vstate +p3923 +tp3924 +a(g340 +V. +tp3925 +a(g12 +Vpp_tbox_stack +p3926 +tp3927 +a(g185 +V +tp3928 +a(g107 +Vwith +p3929 +tp3930 +a(g185 +V\u000a +p3931 +tp3932 +a(g340 +V| +tp3933 +a(g185 +V +tp3934 +a(g12 +Vx +tp3935 +a(g185 +V +tp3936 +a(g340 +V: +tp3937 +a(g340 +V: +tp3938 +a(g185 +V +tp3939 +a(g12 +Vls +p3940 +tp3941 +a(g185 +V +tp3942 +a(g340 +V- +tp3943 +a(g340 +V> +tp3944 +a(g185 +V +tp3945 +a(g12 +Vstate +p3946 +tp3947 +a(g340 +V. +tp3948 +a(g12 +Vpp_tbox_stack +p3949 +tp3950 +a(g185 +V +tp3951 +a(g340 +V< +tp3952 +a(g340 +V- +tp3953 +a(g185 +V +tp3954 +a(g12 +Vls +p3955 +tp3956 +a(g185 +V\u000a +p3957 +tp3958 +a(g340 +V| +tp3959 +a(g185 +V +tp3960 +a(g340 +V_ +tp3961 +a(g185 +V +tp3962 +a(g340 +V- +tp3963 +a(g340 +V> +tp3964 +a(g185 +V +tp3965 +a(g56 +V() +p3966 +tp3967 +a(g185 +V +tp3968 +a(g6 +V(* +p3969 +tp3970 +a(g6 +V No more tabulation block to close. +p3971 +tp3972 +a(g6 +V*) +p3973 +tp3974 +a(g185 +V\u000a +p3975 +tp3976 +a(g107 +Vend +p3977 +tp3978 +a(g185 +V\u000a\u000a +p3979 +tp3980 +a(g340 +V| +tp3981 +a(g185 +V +tp3982 +a(g102 +VPp_stab +p3983 +tp3984 +a(g185 +V +tp3985 +a(g340 +V- +tp3986 +a(g340 +V> +tp3987 +a(g185 +V\u000a +p3988 +tp3989 +a(g107 +Vbegin +p3990 +tp3991 +a(g185 +V +tp3992 +a(g107 +Vmatch +p3993 +tp3994 +a(g185 +V +tp3995 +a(g12 +Vstate +p3996 +tp3997 +a(g340 +V. +tp3998 +a(g12 +Vpp_tbox_stack +p3999 +tp4000 +a(g185 +V +tp4001 +a(g107 +Vwith +p4002 +tp4003 +a(g185 +V\u000a +p4004 +tp4005 +a(g340 +V| +tp4006 +a(g185 +V +tp4007 +a(g102 +VPp_tbox +p4008 +tp4009 +a(g185 +V +tp4010 +a(g12 +Vtabs +p4011 +tp4012 +a(g185 +V +tp4013 +a(g340 +V: +tp4014 +a(g340 +V: +tp4015 +a(g185 +V +tp4016 +a(g340 +V_ +tp4017 +a(g185 +V +tp4018 +a(g340 +V- +tp4019 +a(g340 +V> +tp4020 +a(g185 +V\u000a +p4021 +tp4022 +a(g107 +Vlet +p4023 +tp4024 +a(g185 +V +tp4025 +a(g107 +Vrec +p4026 +tp4027 +a(g185 +V +tp4028 +a(g12 +Vadd_tab +p4029 +tp4030 +a(g185 +V +tp4031 +a(g12 +Vn +tp4032 +a(g185 +V +tp4033 +a(g340 +V= +tp4034 +a(g185 +V +tp4035 +a(g107 +Vfunction +p4036 +tp4037 +a(g185 +V\u000a +p4038 +tp4039 +a(g340 +V| +tp4040 +a(g185 +V +tp4041 +a(g56 +V[] +p4042 +tp4043 +a(g185 +V +tp4044 +a(g340 +V- +tp4045 +a(g340 +V> +tp4046 +a(g185 +V +tp4047 +a(g340 +V[ +tp4048 +a(g12 +Vn +tp4049 +a(g340 +V] +tp4050 +a(g185 +V\u000a +p4051 +tp4052 +a(g340 +V| +tp4053 +a(g185 +V +tp4054 +a(g12 +Vx +tp4055 +a(g185 +V +tp4056 +a(g340 +V: +tp4057 +a(g340 +V: +tp4058 +a(g185 +V +tp4059 +a(g12 +Vl +tp4060 +a(g185 +V +tp4061 +a(g107 +Vas +p4062 +tp4063 +a(g185 +V +tp4064 +a(g12 +Vls +p4065 +tp4066 +a(g185 +V +tp4067 +a(g340 +V- +tp4068 +a(g340 +V> +tp4069 +a(g185 +V +tp4070 +a(g107 +Vif +p4071 +tp4072 +a(g185 +V +tp4073 +a(g12 +Vn +tp4074 +a(g185 +V +tp4075 +a(g340 +V< +tp4076 +a(g185 +V +tp4077 +a(g12 +Vx +tp4078 +a(g185 +V +tp4079 +a(g107 +Vthen +p4080 +tp4081 +a(g185 +V +tp4082 +a(g12 +Vn +tp4083 +a(g185 +V +tp4084 +a(g340 +V: +tp4085 +a(g340 +V: +tp4086 +a(g185 +V +tp4087 +a(g12 +Vls +p4088 +tp4089 +a(g185 +V +tp4090 +a(g107 +Velse +p4091 +tp4092 +a(g185 +V +tp4093 +a(g12 +Vx +tp4094 +a(g185 +V +tp4095 +a(g340 +V: +tp4096 +a(g340 +V: +tp4097 +a(g185 +V +tp4098 +a(g12 +Vadd_tab +p4099 +tp4100 +a(g185 +V +tp4101 +a(g12 +Vn +tp4102 +a(g185 +V +tp4103 +a(g12 +Vl +tp4104 +a(g185 +V +tp4105 +a(g107 +Vin +p4106 +tp4107 +a(g185 +V\u000a +p4108 +tp4109 +a(g12 +Vtabs +p4110 +tp4111 +a(g185 +V +tp4112 +a(g340 +V: +tp4113 +a(g340 +V= +tp4114 +a(g185 +V +tp4115 +a(g12 +Vadd_tab +p4116 +tp4117 +a(g185 +V +tp4118 +a(g340 +V( +tp4119 +a(g12 +Vstate +p4120 +tp4121 +a(g340 +V. +tp4122 +a(g12 +Vpp_margin +p4123 +tp4124 +a(g185 +V +tp4125 +a(g340 +V- +tp4126 +a(g185 +V +tp4127 +a(g12 +Vstate +p4128 +tp4129 +a(g340 +V. +tp4130 +a(g12 +Vpp_space_left +p4131 +tp4132 +a(g340 +V) +tp4133 +a(g185 +V +tp4134 +a(g340 +V! +tp4135 +a(g12 +Vtabs +p4136 +tp4137 +a(g185 +V\u000a +p4138 +tp4139 +a(g340 +V| +tp4140 +a(g185 +V +tp4141 +a(g340 +V_ +tp4142 +a(g185 +V +tp4143 +a(g340 +V- +tp4144 +a(g340 +V> +tp4145 +a(g185 +V +tp4146 +a(g56 +V() +p4147 +tp4148 +a(g185 +V +tp4149 +a(g6 +V(* +p4150 +tp4151 +a(g6 +V No opened tabulation block. +p4152 +tp4153 +a(g6 +V*) +p4154 +tp4155 +a(g185 +V\u000a +p4156 +tp4157 +a(g107 +Vend +p4158 +tp4159 +a(g185 +V\u000a\u000a +p4160 +tp4161 +a(g340 +V| +tp4162 +a(g185 +V +tp4163 +a(g102 +VPp_tbreak +p4164 +tp4165 +a(g185 +V +tp4166 +a(g340 +V( +tp4167 +a(g12 +Vn +tp4168 +a(g340 +V, +tp4169 +a(g185 +V +tp4170 +a(g12 +Voff +p4171 +tp4172 +a(g340 +V) +tp4173 +a(g185 +V +tp4174 +a(g340 +V- +tp4175 +a(g340 +V> +tp4176 +a(g185 +V\u000a +p4177 +tp4178 +a(g107 +Vlet +p4179 +tp4180 +a(g185 +V +tp4181 +a(g12 +Vinsertion_point +p4182 +tp4183 +a(g185 +V +tp4184 +a(g340 +V= +tp4185 +a(g185 +V +tp4186 +a(g12 +Vstate +p4187 +tp4188 +a(g340 +V. +tp4189 +a(g12 +Vpp_margin +p4190 +tp4191 +a(g185 +V +tp4192 +a(g340 +V- +tp4193 +a(g185 +V +tp4194 +a(g12 +Vstate +p4195 +tp4196 +a(g340 +V. +tp4197 +a(g12 +Vpp_space_left +p4198 +tp4199 +a(g185 +V +tp4200 +a(g107 +Vin +p4201 +tp4202 +a(g185 +V\u000a +p4203 +tp4204 +a(g107 +Vbegin +p4205 +tp4206 +a(g185 +V +tp4207 +a(g107 +Vmatch +p4208 +tp4209 +a(g185 +V +tp4210 +a(g12 +Vstate +p4211 +tp4212 +a(g340 +V. +tp4213 +a(g12 +Vpp_tbox_stack +p4214 +tp4215 +a(g185 +V +tp4216 +a(g107 +Vwith +p4217 +tp4218 +a(g185 +V\u000a +p4219 +tp4220 +a(g340 +V| +tp4221 +a(g185 +V +tp4222 +a(g102 +VPp_tbox +p4223 +tp4224 +a(g185 +V +tp4225 +a(g12 +Vtabs +p4226 +tp4227 +a(g185 +V +tp4228 +a(g340 +V: +tp4229 +a(g340 +V: +tp4230 +a(g185 +V +tp4231 +a(g340 +V_ +tp4232 +a(g185 +V +tp4233 +a(g340 +V- +tp4234 +a(g340 +V> +tp4235 +a(g185 +V\u000a +p4236 +tp4237 +a(g107 +Vlet +p4238 +tp4239 +a(g185 +V +tp4240 +a(g107 +Vrec +p4241 +tp4242 +a(g185 +V +tp4243 +a(g12 +Vfind +p4244 +tp4245 +a(g185 +V +tp4246 +a(g12 +Vn +tp4247 +a(g185 +V +tp4248 +a(g340 +V= +tp4249 +a(g185 +V +tp4250 +a(g107 +Vfunction +p4251 +tp4252 +a(g185 +V\u000a +p4253 +tp4254 +a(g340 +V| +tp4255 +a(g185 +V +tp4256 +a(g12 +Vx +tp4257 +a(g185 +V +tp4258 +a(g340 +V: +tp4259 +a(g340 +V: +tp4260 +a(g185 +V +tp4261 +a(g12 +Vl +tp4262 +a(g185 +V +tp4263 +a(g340 +V- +tp4264 +a(g340 +V> +tp4265 +a(g185 +V +tp4266 +a(g107 +Vif +p4267 +tp4268 +a(g185 +V +tp4269 +a(g12 +Vx +tp4270 +a(g185 +V +tp4271 +a(g340 +V> +tp4272 +a(g340 +V= +tp4273 +a(g185 +V +tp4274 +a(g12 +Vn +tp4275 +a(g185 +V +tp4276 +a(g107 +Vthen +p4277 +tp4278 +a(g185 +V +tp4279 +a(g12 +Vx +tp4280 +a(g185 +V +tp4281 +a(g107 +Velse +p4282 +tp4283 +a(g185 +V +tp4284 +a(g12 +Vfind +p4285 +tp4286 +a(g185 +V +tp4287 +a(g12 +Vn +tp4288 +a(g185 +V +tp4289 +a(g12 +Vl +tp4290 +a(g185 +V\u000a +p4291 +tp4292 +a(g340 +V| +tp4293 +a(g185 +V +tp4294 +a(g56 +V[] +p4295 +tp4296 +a(g185 +V +tp4297 +a(g340 +V- +tp4298 +a(g340 +V> +tp4299 +a(g185 +V +tp4300 +a(g107 +Vraise +p4301 +tp4302 +a(g185 +V +tp4303 +a(g102 +VNot_found +p4304 +tp4305 +a(g185 +V +tp4306 +a(g107 +Vin +p4307 +tp4308 +a(g185 +V\u000a +p4309 +tp4310 +a(g107 +Vlet +p4311 +tp4312 +a(g185 +V +tp4313 +a(g12 +Vtab +p4314 +tp4315 +a(g185 +V +tp4316 +a(g340 +V= +tp4317 +a(g185 +V\u000a +p4318 +tp4319 +a(g107 +Vmatch +p4320 +tp4321 +a(g185 +V +tp4322 +a(g340 +V! +tp4323 +a(g12 +Vtabs +p4324 +tp4325 +a(g185 +V +tp4326 +a(g107 +Vwith +p4327 +tp4328 +a(g185 +V\u000a +p4329 +tp4330 +a(g340 +V| +tp4331 +a(g185 +V +tp4332 +a(g12 +Vx +tp4333 +a(g185 +V +tp4334 +a(g340 +V: +tp4335 +a(g340 +V: +tp4336 +a(g185 +V +tp4337 +a(g12 +Vl +tp4338 +a(g185 +V +tp4339 +a(g340 +V- +tp4340 +a(g340 +V> +tp4341 +a(g185 +V\u000a +p4342 +tp4343 +a(g107 +Vbegin +p4344 +tp4345 +a(g185 +V +tp4346 +a(g107 +Vtry +p4347 +tp4348 +a(g185 +V +tp4349 +a(g12 +Vfind +p4350 +tp4351 +a(g185 +V +tp4352 +a(g12 +Vinsertion_point +p4353 +tp4354 +a(g185 +V +tp4355 +a(g340 +V! +tp4356 +a(g12 +Vtabs +p4357 +tp4358 +a(g185 +V +tp4359 +a(g107 +Vwith +p4360 +tp4361 +a(g185 +V +tp4362 +a(g102 +VNot_found +p4363 +tp4364 +a(g185 +V +tp4365 +a(g340 +V- +tp4366 +a(g340 +V> +tp4367 +a(g185 +V +tp4368 +a(g12 +Vx +tp4369 +a(g185 +V +tp4370 +a(g107 +Vend +p4371 +tp4372 +a(g185 +V\u000a +p4373 +tp4374 +a(g340 +V| +tp4375 +a(g185 +V +tp4376 +a(g340 +V_ +tp4377 +a(g185 +V +tp4378 +a(g340 +V- +tp4379 +a(g340 +V> +tp4380 +a(g185 +V +tp4381 +a(g12 +Vinsertion_point +p4382 +tp4383 +a(g185 +V +tp4384 +a(g107 +Vin +p4385 +tp4386 +a(g185 +V\u000a +p4387 +tp4388 +a(g107 +Vlet +p4389 +tp4390 +a(g185 +V +tp4391 +a(g12 +Voffset +p4392 +tp4393 +a(g185 +V +tp4394 +a(g340 +V= +tp4395 +a(g185 +V +tp4396 +a(g12 +Vtab +p4397 +tp4398 +a(g185 +V +tp4399 +a(g340 +V- +tp4400 +a(g185 +V +tp4401 +a(g12 +Vinsertion_point +p4402 +tp4403 +a(g185 +V +tp4404 +a(g107 +Vin +p4405 +tp4406 +a(g185 +V\u000a +p4407 +tp4408 +a(g107 +Vif +p4409 +tp4410 +a(g185 +V +tp4411 +a(g12 +Voffset +p4412 +tp4413 +a(g185 +V +tp4414 +a(g340 +V> +tp4415 +a(g340 +V= +tp4416 +a(g185 +V +tp4417 +a(g315 +V0 +tp4418 +a(g185 +V +tp4419 +a(g107 +Vthen +p4420 +tp4421 +a(g185 +V +tp4422 +a(g12 +Vbreak_same_line +p4423 +tp4424 +a(g185 +V +tp4425 +a(g12 +Vstate +p4426 +tp4427 +a(g185 +V +tp4428 +a(g340 +V( +tp4429 +a(g12 +Voffset +p4430 +tp4431 +a(g185 +V +tp4432 +a(g340 +V+ +tp4433 +a(g185 +V +tp4434 +a(g12 +Vn +tp4435 +a(g340 +V) +tp4436 +a(g185 +V +tp4437 +a(g107 +Velse +p4438 +tp4439 +a(g185 +V\u000a +p4440 +tp4441 +a(g12 +Vbreak_new_line +p4442 +tp4443 +a(g185 +V +tp4444 +a(g12 +Vstate +p4445 +tp4446 +a(g185 +V +tp4447 +a(g340 +V( +tp4448 +a(g12 +Vtab +p4449 +tp4450 +a(g185 +V +tp4451 +a(g340 +V+ +tp4452 +a(g185 +V +tp4453 +a(g12 +Voff +p4454 +tp4455 +a(g340 +V) +tp4456 +a(g185 +V +tp4457 +a(g12 +Vstate +p4458 +tp4459 +a(g340 +V. +tp4460 +a(g12 +Vpp_margin +p4461 +tp4462 +a(g185 +V\u000a +p4463 +tp4464 +a(g340 +V| +tp4465 +a(g185 +V +tp4466 +a(g340 +V_ +tp4467 +a(g185 +V +tp4468 +a(g340 +V- +tp4469 +a(g340 +V> +tp4470 +a(g185 +V +tp4471 +a(g56 +V() +p4472 +tp4473 +a(g185 +V +tp4474 +a(g6 +V(* +p4475 +tp4476 +a(g6 +V No opened tabulation block. +p4477 +tp4478 +a(g6 +V*) +p4479 +tp4480 +a(g185 +V\u000a +p4481 +tp4482 +a(g107 +Vend +p4483 +tp4484 +a(g185 +V\u000a\u000a +p4485 +tp4486 +a(g340 +V| +tp4487 +a(g185 +V +tp4488 +a(g102 +VPp_newline +p4489 +tp4490 +a(g185 +V +tp4491 +a(g340 +V- +tp4492 +a(g340 +V> +tp4493 +a(g185 +V\u000a +p4494 +tp4495 +a(g107 +Vbegin +p4496 +tp4497 +a(g185 +V +tp4498 +a(g107 +Vmatch +p4499 +tp4500 +a(g185 +V +tp4501 +a(g12 +Vstate +p4502 +tp4503 +a(g340 +V. +tp4504 +a(g12 +Vpp_format_stack +p4505 +tp4506 +a(g185 +V +tp4507 +a(g107 +Vwith +p4508 +tp4509 +a(g185 +V\u000a +p4510 +tp4511 +a(g340 +V| +tp4512 +a(g185 +V +tp4513 +a(g102 +VFormat_elem +p4514 +tp4515 +a(g185 +V +tp4516 +a(g340 +V( +tp4517 +a(g340 +V_ +tp4518 +a(g340 +V, +tp4519 +a(g185 +V +tp4520 +a(g12 +Vwidth +p4521 +tp4522 +a(g340 +V) +tp4523 +a(g185 +V +tp4524 +a(g340 +V: +tp4525 +a(g340 +V: +tp4526 +a(g185 +V +tp4527 +a(g340 +V_ +tp4528 +a(g185 +V +tp4529 +a(g340 +V- +tp4530 +a(g340 +V> +tp4531 +a(g185 +V +tp4532 +a(g12 +Vbreak_line +p4533 +tp4534 +a(g185 +V +tp4535 +a(g12 +Vstate +p4536 +tp4537 +a(g185 +V +tp4538 +a(g12 +Vwidth +p4539 +tp4540 +a(g185 +V\u000a +p4541 +tp4542 +a(g340 +V| +tp4543 +a(g185 +V +tp4544 +a(g340 +V_ +tp4545 +a(g185 +V +tp4546 +a(g340 +V- +tp4547 +a(g340 +V> +tp4548 +a(g185 +V +tp4549 +a(g12 +Vpp_output_newline +p4550 +tp4551 +a(g185 +V +tp4552 +a(g12 +Vstate +p4553 +tp4554 +a(g185 +V\u000a +p4555 +tp4556 +a(g107 +Vend +p4557 +tp4558 +a(g185 +V\u000a\u000a +p4559 +tp4560 +a(g340 +V| +tp4561 +a(g185 +V +tp4562 +a(g102 +VPp_if_newline +p4563 +tp4564 +a(g185 +V +tp4565 +a(g340 +V- +tp4566 +a(g340 +V> +tp4567 +a(g185 +V\u000a +p4568 +tp4569 +a(g107 +Vif +p4570 +tp4571 +a(g185 +V +tp4572 +a(g12 +Vstate +p4573 +tp4574 +a(g340 +V. +tp4575 +a(g12 +Vpp_current_indent +p4576 +tp4577 +a(g185 +V +tp4578 +a(g340 +V!= +p4579 +tp4580 +a(g185 +V +tp4581 +a(g12 +Vstate +p4582 +tp4583 +a(g340 +V. +tp4584 +a(g12 +Vpp_margin +p4585 +tp4586 +a(g185 +V +tp4587 +a(g340 +V- +tp4588 +a(g185 +V +tp4589 +a(g12 +Vstate +p4590 +tp4591 +a(g340 +V. +tp4592 +a(g12 +Vpp_space_left +p4593 +tp4594 +a(g185 +V\u000a +p4595 +tp4596 +a(g107 +Vthen +p4597 +tp4598 +a(g185 +V +tp4599 +a(g12 +Vpp_skip_token +p4600 +tp4601 +a(g185 +V +tp4602 +a(g12 +Vstate +p4603 +tp4604 +a(g185 +V\u000a\u000a +p4605 +tp4606 +a(g340 +V| +tp4607 +a(g185 +V +tp4608 +a(g102 +VPp_break +p4609 +tp4610 +a(g185 +V +tp4611 +a(g340 +V( +tp4612 +a(g12 +Vn +tp4613 +a(g340 +V, +tp4614 +a(g185 +V +tp4615 +a(g12 +Voff +p4616 +tp4617 +a(g340 +V) +tp4618 +a(g185 +V +tp4619 +a(g340 +V- +tp4620 +a(g340 +V> +tp4621 +a(g185 +V\u000a +p4622 +tp4623 +a(g107 +Vbegin +p4624 +tp4625 +a(g185 +V +tp4626 +a(g107 +Vmatch +p4627 +tp4628 +a(g185 +V +tp4629 +a(g12 +Vstate +p4630 +tp4631 +a(g340 +V. +tp4632 +a(g12 +Vpp_format_stack +p4633 +tp4634 +a(g185 +V +tp4635 +a(g107 +Vwith +p4636 +tp4637 +a(g185 +V\u000a +p4638 +tp4639 +a(g340 +V| +tp4640 +a(g185 +V +tp4641 +a(g102 +VFormat_elem +p4642 +tp4643 +a(g185 +V +tp4644 +a(g340 +V( +tp4645 +a(g12 +Vty +p4646 +tp4647 +a(g340 +V, +tp4648 +a(g185 +V +tp4649 +a(g12 +Vwidth +p4650 +tp4651 +a(g340 +V) +tp4652 +a(g185 +V +tp4653 +a(g340 +V: +tp4654 +a(g340 +V: +tp4655 +a(g185 +V +tp4656 +a(g340 +V_ +tp4657 +a(g185 +V +tp4658 +a(g340 +V- +tp4659 +a(g340 +V> +tp4660 +a(g185 +V\u000a +p4661 +tp4662 +a(g107 +Vbegin +p4663 +tp4664 +a(g185 +V +tp4665 +a(g107 +Vmatch +p4666 +tp4667 +a(g185 +V +tp4668 +a(g12 +Vty +p4669 +tp4670 +a(g185 +V +tp4671 +a(g107 +Vwith +p4672 +tp4673 +a(g185 +V\u000a +p4674 +tp4675 +a(g340 +V| +tp4676 +a(g185 +V +tp4677 +a(g102 +VPp_hovbox +p4678 +tp4679 +a(g185 +V +tp4680 +a(g340 +V- +tp4681 +a(g340 +V> +tp4682 +a(g185 +V\u000a +p4683 +tp4684 +a(g107 +Vif +p4685 +tp4686 +a(g185 +V +tp4687 +a(g12 +Vsize +p4688 +tp4689 +a(g185 +V +tp4690 +a(g340 +V> +tp4691 +a(g185 +V +tp4692 +a(g12 +Vstate +p4693 +tp4694 +a(g340 +V. +tp4695 +a(g12 +Vpp_space_left +p4696 +tp4697 +a(g185 +V\u000a +p4698 +tp4699 +a(g107 +Vthen +p4700 +tp4701 +a(g185 +V +tp4702 +a(g12 +Vbreak_new_line +p4703 +tp4704 +a(g185 +V +tp4705 +a(g12 +Vstate +p4706 +tp4707 +a(g185 +V +tp4708 +a(g12 +Voff +p4709 +tp4710 +a(g185 +V +tp4711 +a(g12 +Vwidth +p4712 +tp4713 +a(g185 +V\u000a +p4714 +tp4715 +a(g107 +Velse +p4716 +tp4717 +a(g185 +V +tp4718 +a(g12 +Vbreak_same_line +p4719 +tp4720 +a(g185 +V +tp4721 +a(g12 +Vstate +p4722 +tp4723 +a(g185 +V +tp4724 +a(g12 +Vn +tp4725 +a(g185 +V\u000a +p4726 +tp4727 +a(g340 +V| +tp4728 +a(g185 +V +tp4729 +a(g102 +VPp_box +p4730 +tp4731 +a(g185 +V +tp4732 +a(g340 +V- +tp4733 +a(g340 +V> +tp4734 +a(g185 +V\u000a +p4735 +tp4736 +a(g6 +V(* +p4737 +tp4738 +a(g6 +V Have the line just been broken here ? +p4739 +tp4740 +a(g6 +V*) +p4741 +tp4742 +a(g185 +V\u000a +p4743 +tp4744 +a(g107 +Vif +p4745 +tp4746 +a(g185 +V +tp4747 +a(g12 +Vstate +p4748 +tp4749 +a(g340 +V. +tp4750 +a(g12 +Vpp_is_new_line +p4751 +tp4752 +a(g185 +V +tp4753 +a(g107 +Vthen +p4754 +tp4755 +a(g185 +V +tp4756 +a(g12 +Vbreak_same_line +p4757 +tp4758 +a(g185 +V +tp4759 +a(g12 +Vstate +p4760 +tp4761 +a(g185 +V +tp4762 +a(g12 +Vn +tp4763 +a(g185 +V +tp4764 +a(g107 +Velse +p4765 +tp4766 +a(g185 +V\u000a +p4767 +tp4768 +a(g107 +Vif +p4769 +tp4770 +a(g185 +V +tp4771 +a(g12 +Vsize +p4772 +tp4773 +a(g185 +V +tp4774 +a(g340 +V> +tp4775 +a(g185 +V +tp4776 +a(g12 +Vstate +p4777 +tp4778 +a(g340 +V. +tp4779 +a(g12 +Vpp_space_left +p4780 +tp4781 +a(g185 +V\u000a +p4782 +tp4783 +a(g107 +Vthen +p4784 +tp4785 +a(g185 +V +tp4786 +a(g12 +Vbreak_new_line +p4787 +tp4788 +a(g185 +V +tp4789 +a(g12 +Vstate +p4790 +tp4791 +a(g185 +V +tp4792 +a(g12 +Voff +p4793 +tp4794 +a(g185 +V +tp4795 +a(g12 +Vwidth +p4796 +tp4797 +a(g185 +V +tp4798 +a(g107 +Velse +p4799 +tp4800 +a(g185 +V\u000a +p4801 +tp4802 +a(g6 +V(* +p4803 +tp4804 +a(g6 +V break the line here leads to new indentation ? +p4805 +tp4806 +a(g6 +V*) +p4807 +tp4808 +a(g185 +V\u000a +p4809 +tp4810 +a(g107 +Vif +p4811 +tp4812 +a(g185 +V +tp4813 +a(g12 +Vstate +p4814 +tp4815 +a(g340 +V. +tp4816 +a(g12 +Vpp_current_indent +p4817 +tp4818 +a(g185 +V +tp4819 +a(g340 +V> +tp4820 +a(g185 +V +tp4821 +a(g12 +Vstate +p4822 +tp4823 +a(g340 +V. +tp4824 +a(g12 +Vpp_margin +p4825 +tp4826 +a(g185 +V +tp4827 +a(g340 +V- +tp4828 +a(g185 +V +tp4829 +a(g12 +Vwidth +p4830 +tp4831 +a(g185 +V +tp4832 +a(g340 +V+ +tp4833 +a(g185 +V +tp4834 +a(g12 +Voff +p4835 +tp4836 +a(g185 +V\u000a +p4837 +tp4838 +a(g107 +Vthen +p4839 +tp4840 +a(g185 +V +tp4841 +a(g12 +Vbreak_new_line +p4842 +tp4843 +a(g185 +V +tp4844 +a(g12 +Vstate +p4845 +tp4846 +a(g185 +V +tp4847 +a(g12 +Voff +p4848 +tp4849 +a(g185 +V +tp4850 +a(g12 +Vwidth +p4851 +tp4852 +a(g185 +V\u000a +p4853 +tp4854 +a(g107 +Velse +p4855 +tp4856 +a(g185 +V +tp4857 +a(g12 +Vbreak_same_line +p4858 +tp4859 +a(g185 +V +tp4860 +a(g12 +Vstate +p4861 +tp4862 +a(g185 +V +tp4863 +a(g12 +Vn +tp4864 +a(g185 +V\u000a +p4865 +tp4866 +a(g340 +V| +tp4867 +a(g185 +V +tp4868 +a(g102 +VPp_hvbox +p4869 +tp4870 +a(g185 +V +tp4871 +a(g340 +V- +tp4872 +a(g340 +V> +tp4873 +a(g185 +V +tp4874 +a(g12 +Vbreak_new_line +p4875 +tp4876 +a(g185 +V +tp4877 +a(g12 +Vstate +p4878 +tp4879 +a(g185 +V +tp4880 +a(g12 +Voff +p4881 +tp4882 +a(g185 +V +tp4883 +a(g12 +Vwidth +p4884 +tp4885 +a(g185 +V\u000a +p4886 +tp4887 +a(g340 +V| +tp4888 +a(g185 +V +tp4889 +a(g102 +VPp_fits +p4890 +tp4891 +a(g185 +V +tp4892 +a(g340 +V- +tp4893 +a(g340 +V> +tp4894 +a(g185 +V +tp4895 +a(g12 +Vbreak_same_line +p4896 +tp4897 +a(g185 +V +tp4898 +a(g12 +Vstate +p4899 +tp4900 +a(g185 +V +tp4901 +a(g12 +Vn +tp4902 +a(g185 +V\u000a +p4903 +tp4904 +a(g340 +V| +tp4905 +a(g185 +V +tp4906 +a(g102 +VPp_vbox +p4907 +tp4908 +a(g185 +V +tp4909 +a(g340 +V- +tp4910 +a(g340 +V> +tp4911 +a(g185 +V +tp4912 +a(g12 +Vbreak_new_line +p4913 +tp4914 +a(g185 +V +tp4915 +a(g12 +Vstate +p4916 +tp4917 +a(g185 +V +tp4918 +a(g12 +Voff +p4919 +tp4920 +a(g185 +V +tp4921 +a(g12 +Vwidth +p4922 +tp4923 +a(g185 +V\u000a +p4924 +tp4925 +a(g340 +V| +tp4926 +a(g185 +V +tp4927 +a(g102 +VPp_hbox +p4928 +tp4929 +a(g185 +V +tp4930 +a(g340 +V- +tp4931 +a(g340 +V> +tp4932 +a(g185 +V +tp4933 +a(g12 +Vbreak_same_line +p4934 +tp4935 +a(g185 +V +tp4936 +a(g12 +Vstate +p4937 +tp4938 +a(g185 +V +tp4939 +a(g12 +Vn +tp4940 +a(g185 +V\u000a +p4941 +tp4942 +a(g107 +Vend +p4943 +tp4944 +a(g185 +V\u000a +p4945 +tp4946 +a(g340 +V| +tp4947 +a(g185 +V +tp4948 +a(g340 +V_ +tp4949 +a(g185 +V +tp4950 +a(g340 +V- +tp4951 +a(g340 +V> +tp4952 +a(g185 +V +tp4953 +a(g56 +V() +p4954 +tp4955 +a(g185 +V +tp4956 +a(g6 +V(* +p4957 +tp4958 +a(g6 +V No opened block. +p4959 +tp4960 +a(g6 +V*) +p4961 +tp4962 +a(g185 +V\u000a +p4963 +tp4964 +a(g107 +Vend +p4965 +tp4966 +a(g185 +V\u000a\u000a +p4967 +tp4968 +a(g340 +V| +tp4969 +a(g185 +V +tp4970 +a(g102 +VPp_open_tag +p4971 +tp4972 +a(g185 +V +tp4973 +a(g12 +Vtag_name +p4974 +tp4975 +a(g185 +V +tp4976 +a(g340 +V- +tp4977 +a(g340 +V> +tp4978 +a(g185 +V\u000a +p4979 +tp4980 +a(g107 +Vlet +p4981 +tp4982 +a(g185 +V +tp4983 +a(g12 +Vmarker +p4984 +tp4985 +a(g185 +V +tp4986 +a(g340 +V= +tp4987 +a(g185 +V +tp4988 +a(g12 +Vstate +p4989 +tp4990 +a(g340 +V. +tp4991 +a(g12 +Vpp_mark_open_tag +p4992 +tp4993 +a(g185 +V +tp4994 +a(g12 +Vtag_name +p4995 +tp4996 +a(g185 +V +tp4997 +a(g107 +Vin +p4998 +tp4999 +a(g185 +V\u000a +p5000 +tp5001 +a(g12 +Vpp_output_string +p5002 +tp5003 +a(g185 +V +tp5004 +a(g12 +Vstate +p5005 +tp5006 +a(g185 +V +tp5007 +a(g12 +Vmarker +p5008 +tp5009 +a(g340 +V; +tp5010 +a(g185 +V\u000a +p5011 +tp5012 +a(g12 +Vstate +p5013 +tp5014 +a(g340 +V. +tp5015 +a(g12 +Vpp_mark_stack +p5016 +tp5017 +a(g185 +V +tp5018 +a(g340 +V< +tp5019 +a(g340 +V- +tp5020 +a(g185 +V +tp5021 +a(g12 +Vtag_name +p5022 +tp5023 +a(g185 +V +tp5024 +a(g340 +V: +tp5025 +a(g340 +V: +tp5026 +a(g185 +V +tp5027 +a(g12 +Vstate +p5028 +tp5029 +a(g340 +V. +tp5030 +a(g12 +Vpp_mark_stack +p5031 +tp5032 +a(g185 +V\u000a\u000a +p5033 +tp5034 +a(g340 +V| +tp5035 +a(g185 +V +tp5036 +a(g102 +VPp_close_tag +p5037 +tp5038 +a(g185 +V +tp5039 +a(g340 +V- +tp5040 +a(g340 +V> +tp5041 +a(g185 +V\u000a +p5042 +tp5043 +a(g107 +Vbegin +p5044 +tp5045 +a(g185 +V +tp5046 +a(g107 +Vmatch +p5047 +tp5048 +a(g185 +V +tp5049 +a(g12 +Vstate +p5050 +tp5051 +a(g340 +V. +tp5052 +a(g12 +Vpp_mark_stack +p5053 +tp5054 +a(g185 +V +tp5055 +a(g107 +Vwith +p5056 +tp5057 +a(g185 +V\u000a +p5058 +tp5059 +a(g340 +V| +tp5060 +a(g185 +V +tp5061 +a(g12 +Vtag_name +p5062 +tp5063 +a(g185 +V +tp5064 +a(g340 +V: +tp5065 +a(g340 +V: +tp5066 +a(g185 +V +tp5067 +a(g12 +Vtags +p5068 +tp5069 +a(g185 +V +tp5070 +a(g340 +V- +tp5071 +a(g340 +V> +tp5072 +a(g185 +V\u000a +p5073 +tp5074 +a(g107 +Vlet +p5075 +tp5076 +a(g185 +V +tp5077 +a(g12 +Vmarker +p5078 +tp5079 +a(g185 +V +tp5080 +a(g340 +V= +tp5081 +a(g185 +V +tp5082 +a(g12 +Vstate +p5083 +tp5084 +a(g340 +V. +tp5085 +a(g12 +Vpp_mark_close_tag +p5086 +tp5087 +a(g185 +V +tp5088 +a(g12 +Vtag_name +p5089 +tp5090 +a(g185 +V +tp5091 +a(g107 +Vin +p5092 +tp5093 +a(g185 +V\u000a +p5094 +tp5095 +a(g12 +Vpp_output_string +p5096 +tp5097 +a(g185 +V +tp5098 +a(g12 +Vstate +p5099 +tp5100 +a(g185 +V +tp5101 +a(g12 +Vmarker +p5102 +tp5103 +a(g340 +V; +tp5104 +a(g185 +V\u000a +p5105 +tp5106 +a(g12 +Vstate +p5107 +tp5108 +a(g340 +V. +tp5109 +a(g12 +Vpp_mark_stack +p5110 +tp5111 +a(g185 +V +tp5112 +a(g340 +V< +tp5113 +a(g340 +V- +tp5114 +a(g185 +V +tp5115 +a(g12 +Vtags +p5116 +tp5117 +a(g185 +V\u000a +p5118 +tp5119 +a(g340 +V| +tp5120 +a(g185 +V +tp5121 +a(g340 +V_ +tp5122 +a(g185 +V +tp5123 +a(g340 +V- +tp5124 +a(g340 +V> +tp5125 +a(g185 +V +tp5126 +a(g56 +V() +p5127 +tp5128 +a(g185 +V +tp5129 +a(g6 +V(* +p5130 +tp5131 +a(g6 +V No more tag to close. +p5132 +tp5133 +a(g6 +V*) +p5134 +tp5135 +a(g185 +V\u000a +p5136 +tp5137 +a(g107 +Vend +p5138 +tp5139 +a(g340 +V; +tp5140 +a(g340 +V; +tp5141 +a(g185 +V\u000a\u000a +p5142 +tp5143 +a(g6 +V(* +p5144 +tp5145 +a(g6 +V Print if token size is known or printing is delayed.\u000a Size is known when not negative.\u000a Printing is delayed when the text waiting in the queue requires\u000a more room to format than exists on the current line. +p5146 +tp5147 +a(g6 +V*) +p5148 +tp5149 +a(g185 +V\u000a +tp5150 +a(g107 +Vlet +p5151 +tp5152 +a(g185 +V +tp5153 +a(g107 +Vrec +p5154 +tp5155 +a(g185 +V +tp5156 +a(g12 +Vadvance_left +p5157 +tp5158 +a(g185 +V +tp5159 +a(g12 +Vstate +p5160 +tp5161 +a(g185 +V +tp5162 +a(g340 +V= +tp5163 +a(g185 +V\u000a +p5164 +tp5165 +a(g107 +Vtry +p5166 +tp5167 +a(g185 +V\u000a +p5168 +tp5169 +a(g107 +Vmatch +p5170 +tp5171 +a(g185 +V +tp5172 +a(g12 +Vpeek_queue +p5173 +tp5174 +a(g185 +V +tp5175 +a(g12 +Vstate +p5176 +tp5177 +a(g340 +V. +tp5178 +a(g12 +Vpp_queue +p5179 +tp5180 +a(g185 +V +tp5181 +a(g107 +Vwith +p5182 +tp5183 +a(g185 +V\u000a +p5184 +tp5185 +a(g340 +V{ +tp5186 +a(g12 +Velem_size +p5187 +tp5188 +a(g185 +V +tp5189 +a(g340 +V= +tp5190 +a(g185 +V +tp5191 +a(g12 +Vsize +p5192 +tp5193 +a(g340 +V; +tp5194 +a(g185 +V +tp5195 +a(g12 +Vtoken +p5196 +tp5197 +a(g185 +V +tp5198 +a(g340 +V= +tp5199 +a(g185 +V +tp5200 +a(g12 +Vtok +p5201 +tp5202 +a(g340 +V; +tp5203 +a(g185 +V +tp5204 +a(g12 +Vlength +p5205 +tp5206 +a(g185 +V +tp5207 +a(g340 +V= +tp5208 +a(g185 +V +tp5209 +a(g12 +Vlen +p5210 +tp5211 +a(g340 +V} +tp5212 +a(g185 +V +tp5213 +a(g340 +V- +tp5214 +a(g340 +V> +tp5215 +a(g185 +V\u000a +p5216 +tp5217 +a(g107 +Vlet +p5218 +tp5219 +a(g185 +V +tp5220 +a(g12 +Vsize +p5221 +tp5222 +a(g185 +V +tp5223 +a(g340 +V= +tp5224 +a(g185 +V +tp5225 +a(g12 +Vint_of_size +p5226 +tp5227 +a(g185 +V +tp5228 +a(g12 +Vsize +p5229 +tp5230 +a(g185 +V +tp5231 +a(g107 +Vin +p5232 +tp5233 +a(g185 +V\u000a +p5234 +tp5235 +a(g107 +Vif +p5236 +tp5237 +a(g185 +V +tp5238 +a(g12 +Vnot +p5239 +tp5240 +a(g185 +V\u000a +p5241 +tp5242 +a(g340 +V( +tp5243 +a(g12 +Vsize +p5244 +tp5245 +a(g185 +V +tp5246 +a(g340 +V< +tp5247 +a(g185 +V +tp5248 +a(g315 +V0 +tp5249 +a(g185 +V +tp5250 +a(g340 +V& +tp5251 +a(g340 +V& +tp5252 +a(g185 +V\u000a +p5253 +tp5254 +a(g340 +V( +tp5255 +a(g12 +Vstate +p5256 +tp5257 +a(g340 +V. +tp5258 +a(g12 +Vpp_right_total +p5259 +tp5260 +a(g185 +V +tp5261 +a(g340 +V- +tp5262 +a(g185 +V +tp5263 +a(g12 +Vstate +p5264 +tp5265 +a(g340 +V. +tp5266 +a(g12 +Vpp_left_total +p5267 +tp5268 +a(g185 +V +tp5269 +a(g340 +V< +tp5270 +a(g185 +V +tp5271 +a(g12 +Vstate +p5272 +tp5273 +a(g340 +V. +tp5274 +a(g12 +Vpp_space_left +p5275 +tp5276 +a(g340 +V) +tp5277 +a(g340 +V) +tp5278 +a(g185 +V\u000a +p5279 +tp5280 +a(g107 +Vthen +p5281 +tp5282 +a(g185 +V +tp5283 +a(g107 +Vbegin +p5284 +tp5285 +a(g185 +V\u000a +p5286 +tp5287 +a(g12 +Vignore +p5288 +tp5289 +a(g340 +V( +tp5290 +a(g12 +Vtake_queue +p5291 +tp5292 +a(g185 +V +tp5293 +a(g12 +Vstate +p5294 +tp5295 +a(g340 +V. +tp5296 +a(g12 +Vpp_queue +p5297 +tp5298 +a(g340 +V) +tp5299 +a(g340 +V; +tp5300 +a(g185 +V\u000a +p5301 +tp5302 +a(g12 +Vformat_pp_token +p5303 +tp5304 +a(g185 +V +tp5305 +a(g12 +Vstate +p5306 +tp5307 +a(g185 +V +tp5308 +a(g340 +V( +tp5309 +a(g107 +Vif +p5310 +tp5311 +a(g185 +V +tp5312 +a(g12 +Vsize +p5313 +tp5314 +a(g185 +V +tp5315 +a(g340 +V< +tp5316 +a(g185 +V +tp5317 +a(g315 +V0 +tp5318 +a(g185 +V +tp5319 +a(g107 +Vthen +p5320 +tp5321 +a(g185 +V +tp5322 +a(g12 +Vpp_infinity +p5323 +tp5324 +a(g185 +V +tp5325 +a(g107 +Velse +p5326 +tp5327 +a(g185 +V +tp5328 +a(g12 +Vsize +p5329 +tp5330 +a(g340 +V) +tp5331 +a(g185 +V +tp5332 +a(g12 +Vtok +p5333 +tp5334 +a(g340 +V; +tp5335 +a(g185 +V\u000a +p5336 +tp5337 +a(g12 +Vstate +p5338 +tp5339 +a(g340 +V. +tp5340 +a(g12 +Vpp_left_total +p5341 +tp5342 +a(g185 +V +tp5343 +a(g340 +V< +tp5344 +a(g340 +V- +tp5345 +a(g185 +V +tp5346 +a(g12 +Vlen +p5347 +tp5348 +a(g185 +V +tp5349 +a(g340 +V+ +tp5350 +a(g185 +V +tp5351 +a(g12 +Vstate +p5352 +tp5353 +a(g340 +V. +tp5354 +a(g12 +Vpp_left_total +p5355 +tp5356 +a(g340 +V; +tp5357 +a(g185 +V\u000a +p5358 +tp5359 +a(g12 +Vadvance_left +p5360 +tp5361 +a(g185 +V +tp5362 +a(g12 +Vstate +p5363 +tp5364 +a(g185 +V\u000a +p5365 +tp5366 +a(g107 +Vend +p5367 +tp5368 +a(g185 +V\u000a +p5369 +tp5370 +a(g107 +Vwith +p5371 +tp5372 +a(g185 +V +tp5373 +a(g102 +VEmpty_queue +p5374 +tp5375 +a(g185 +V +tp5376 +a(g340 +V- +tp5377 +a(g340 +V> +tp5378 +a(g185 +V +tp5379 +a(g56 +V() +p5380 +tp5381 +a(g340 +V; +tp5382 +a(g340 +V; +tp5383 +a(g185 +V\u000a\u000a +p5384 +tp5385 +a(g107 +Vlet +p5386 +tp5387 +a(g185 +V +tp5388 +a(g12 +Venqueue_advance +p5389 +tp5390 +a(g185 +V +tp5391 +a(g12 +Vstate +p5392 +tp5393 +a(g185 +V +tp5394 +a(g12 +Vtok +p5395 +tp5396 +a(g185 +V +tp5397 +a(g340 +V= +tp5398 +a(g185 +V +tp5399 +a(g12 +Vpp_enqueue +p5400 +tp5401 +a(g185 +V +tp5402 +a(g12 +Vstate +p5403 +tp5404 +a(g185 +V +tp5405 +a(g12 +Vtok +p5406 +tp5407 +a(g340 +V; +tp5408 +a(g185 +V +tp5409 +a(g12 +Vadvance_left +p5410 +tp5411 +a(g185 +V +tp5412 +a(g12 +Vstate +p5413 +tp5414 +a(g340 +V; +tp5415 +a(g340 +V; +tp5416 +a(g185 +V\u000a\u000a +p5417 +tp5418 +a(g6 +V(* +p5419 +tp5420 +a(g6 +V To enqueue a string : try to advance. +p5421 +tp5422 +a(g6 +V*) +p5423 +tp5424 +a(g185 +V\u000a +tp5425 +a(g107 +Vlet +p5426 +tp5427 +a(g185 +V +tp5428 +a(g12 +Vmake_queue_elem +p5429 +tp5430 +a(g185 +V +tp5431 +a(g12 +Vsize +p5432 +tp5433 +a(g185 +V +tp5434 +a(g12 +Vtok +p5435 +tp5436 +a(g185 +V +tp5437 +a(g12 +Vlen +p5438 +tp5439 +a(g185 +V +tp5440 +a(g340 +V= +tp5441 +a(g185 +V\u000a +p5442 +tp5443 +a(g340 +V{ +tp5444 +a(g12 +Velem_size +p5445 +tp5446 +a(g185 +V +tp5447 +a(g340 +V= +tp5448 +a(g185 +V +tp5449 +a(g12 +Vsize +p5450 +tp5451 +a(g340 +V; +tp5452 +a(g185 +V +tp5453 +a(g12 +Vtoken +p5454 +tp5455 +a(g185 +V +tp5456 +a(g340 +V= +tp5457 +a(g185 +V +tp5458 +a(g12 +Vtok +p5459 +tp5460 +a(g340 +V; +tp5461 +a(g185 +V +tp5462 +a(g12 +Vlength +p5463 +tp5464 +a(g185 +V +tp5465 +a(g340 +V= +tp5466 +a(g185 +V +tp5467 +a(g12 +Vlen +p5468 +tp5469 +a(g340 +V} +tp5470 +a(g340 +V; +tp5471 +a(g340 +V; +tp5472 +a(g185 +V\u000a\u000a +p5473 +tp5474 +a(g107 +Vlet +p5475 +tp5476 +a(g185 +V +tp5477 +a(g12 +Venqueue_string_as +p5478 +tp5479 +a(g185 +V +tp5480 +a(g12 +Vstate +p5481 +tp5482 +a(g185 +V +tp5483 +a(g12 +Vsize +p5484 +tp5485 +a(g185 +V +tp5486 +a(g12 +Vs +tp5487 +a(g185 +V +tp5488 +a(g340 +V= +tp5489 +a(g185 +V\u000a +p5490 +tp5491 +a(g107 +Vlet +p5492 +tp5493 +a(g185 +V +tp5494 +a(g12 +Vlen +p5495 +tp5496 +a(g185 +V +tp5497 +a(g340 +V= +tp5498 +a(g185 +V +tp5499 +a(g12 +Vint_of_size +p5500 +tp5501 +a(g185 +V +tp5502 +a(g12 +Vsize +p5503 +tp5504 +a(g185 +V +tp5505 +a(g107 +Vin +p5506 +tp5507 +a(g185 +V\u000a +p5508 +tp5509 +a(g12 +Venqueue_advance +p5510 +tp5511 +a(g185 +V +tp5512 +a(g12 +Vstate +p5513 +tp5514 +a(g185 +V +tp5515 +a(g340 +V( +tp5516 +a(g12 +Vmake_queue_elem +p5517 +tp5518 +a(g185 +V +tp5519 +a(g12 +Vsize +p5520 +tp5521 +a(g185 +V +tp5522 +a(g340 +V( +tp5523 +a(g102 +VPp_text +p5524 +tp5525 +a(g185 +V +tp5526 +a(g12 +Vs +tp5527 +a(g340 +V) +tp5528 +a(g185 +V +tp5529 +a(g12 +Vlen +p5530 +tp5531 +a(g340 +V) +tp5532 +a(g340 +V; +tp5533 +a(g340 +V; +tp5534 +a(g185 +V\u000a\u000a +p5535 +tp5536 +a(g107 +Vlet +p5537 +tp5538 +a(g185 +V +tp5539 +a(g12 +Venqueue_string +p5540 +tp5541 +a(g185 +V +tp5542 +a(g12 +Vstate +p5543 +tp5544 +a(g185 +V +tp5545 +a(g12 +Vs +tp5546 +a(g185 +V +tp5547 +a(g340 +V= +tp5548 +a(g185 +V\u000a +p5549 +tp5550 +a(g107 +Vlet +p5551 +tp5552 +a(g185 +V +tp5553 +a(g12 +Vlen +p5554 +tp5555 +a(g185 +V +tp5556 +a(g340 +V= +tp5557 +a(g185 +V +tp5558 +a(g95 +VString +p5559 +tp5560 +a(g198 +V. +tp5561 +a(g12 +Vlength +p5562 +tp5563 +a(g185 +V +tp5564 +a(g12 +Vs +tp5565 +a(g185 +V +tp5566 +a(g107 +Vin +p5567 +tp5568 +a(g185 +V\u000a +p5569 +tp5570 +a(g12 +Venqueue_string_as +p5571 +tp5572 +a(g185 +V +tp5573 +a(g12 +Vstate +p5574 +tp5575 +a(g185 +V +tp5576 +a(g340 +V( +tp5577 +a(g12 +Vsize_of_int +p5578 +tp5579 +a(g185 +V +tp5580 +a(g12 +Vlen +p5581 +tp5582 +a(g340 +V) +tp5583 +a(g185 +V +tp5584 +a(g12 +Vs +tp5585 +a(g340 +V; +tp5586 +a(g340 +V; +tp5587 +a(g185 +V\u000a\u000a +p5588 +tp5589 +a(g6 +V(* +p5590 +tp5591 +a(g6 +V Routines for scan stack\u000a determine sizes of blocks. +p5592 +tp5593 +a(g6 +V*) +p5594 +tp5595 +a(g185 +V\u000a\u000a +p5596 +tp5597 +a(g6 +V(* +p5598 +tp5599 +a(g6 +V The scan_stack is never empty. +p5600 +tp5601 +a(g6 +V*) +p5602 +tp5603 +a(g185 +V\u000a +tp5604 +a(g107 +Vlet +p5605 +tp5606 +a(g185 +V +tp5607 +a(g12 +Vscan_stack_bottom +p5608 +tp5609 +a(g185 +V +tp5610 +a(g340 +V= +tp5611 +a(g185 +V\u000a +p5612 +tp5613 +a(g107 +Vlet +p5614 +tp5615 +a(g185 +V +tp5616 +a(g12 +Vq_elem +p5617 +tp5618 +a(g185 +V +tp5619 +a(g340 +V= +tp5620 +a(g185 +V +tp5621 +a(g12 +Vmake_queue_elem +p5622 +tp5623 +a(g185 +V +tp5624 +a(g340 +V( +tp5625 +a(g12 +Vsize_of_int +p5626 +tp5627 +a(g185 +V +tp5628 +a(g340 +V( +tp5629 +a(g340 +V- +tp5630 +a(g315 +V1 +tp5631 +a(g340 +V) +tp5632 +a(g340 +V) +tp5633 +a(g185 +V +tp5634 +a(g340 +V( +tp5635 +a(g102 +VPp_text +p5636 +tp5637 +a(g185 +V +tp5638 +a(g241 +V" +tp5639 +a(g241 +V" +tp5640 +a(g340 +V) +tp5641 +a(g185 +V +tp5642 +a(g315 +V0 +tp5643 +a(g185 +V +tp5644 +a(g107 +Vin +p5645 +tp5646 +a(g185 +V\u000a +p5647 +tp5648 +a(g340 +V[ +tp5649 +a(g102 +VScan_elem +p5650 +tp5651 +a(g185 +V +tp5652 +a(g340 +V( +tp5653 +a(g340 +V- +tp5654 +a(g315 +V1 +tp5655 +a(g340 +V, +tp5656 +a(g185 +V +tp5657 +a(g12 +Vq_elem +p5658 +tp5659 +a(g340 +V) +tp5660 +a(g340 +V] +tp5661 +a(g340 +V; +tp5662 +a(g340 +V; +tp5663 +a(g185 +V\u000a\u000a +p5664 +tp5665 +a(g6 +V(* +p5666 +tp5667 +a(g6 +V Set size of blocks on scan stack:\u000a if ty = true then size of break is set else size of block is set;\u000a in each case pp_scan_stack is popped. +p5668 +tp5669 +a(g6 +V*) +p5670 +tp5671 +a(g185 +V\u000a +tp5672 +a(g107 +Vlet +p5673 +tp5674 +a(g185 +V +tp5675 +a(g12 +Vclear_scan_stack +p5676 +tp5677 +a(g185 +V +tp5678 +a(g12 +Vstate +p5679 +tp5680 +a(g185 +V +tp5681 +a(g340 +V= +tp5682 +a(g185 +V +tp5683 +a(g12 +Vstate +p5684 +tp5685 +a(g340 +V. +tp5686 +a(g12 +Vpp_scan_stack +p5687 +tp5688 +a(g185 +V +tp5689 +a(g340 +V< +tp5690 +a(g340 +V- +tp5691 +a(g185 +V +tp5692 +a(g12 +Vscan_stack_bottom +p5693 +tp5694 +a(g340 +V; +tp5695 +a(g340 +V; +tp5696 +a(g185 +V\u000a\u000a +p5697 +tp5698 +a(g6 +V(* +p5699 +tp5700 +a(g6 +V Pattern matching on scan stack is exhaustive,\u000a since scan_stack is never empty.\u000a Pattern matching on token in scan stack is also exhaustive,\u000a since scan_push is used on breaks and opening of boxes. +p5701 +tp5702 +a(g6 +V*) +p5703 +tp5704 +a(g185 +V\u000a +tp5705 +a(g107 +Vlet +p5706 +tp5707 +a(g185 +V +tp5708 +a(g12 +Vset_size +p5709 +tp5710 +a(g185 +V +tp5711 +a(g12 +Vstate +p5712 +tp5713 +a(g185 +V +tp5714 +a(g12 +Vty +p5715 +tp5716 +a(g185 +V +tp5717 +a(g340 +V= +tp5718 +a(g185 +V\u000a +p5719 +tp5720 +a(g107 +Vmatch +p5721 +tp5722 +a(g185 +V +tp5723 +a(g12 +Vstate +p5724 +tp5725 +a(g340 +V. +tp5726 +a(g12 +Vpp_scan_stack +p5727 +tp5728 +a(g185 +V +tp5729 +a(g107 +Vwith +p5730 +tp5731 +a(g185 +V\u000a +p5732 +tp5733 +a(g340 +V| +tp5734 +a(g185 +V +tp5735 +a(g102 +VScan_elem +p5736 +tp5737 +a(g185 +V\u000a +p5738 +tp5739 +a(g340 +V( +tp5740 +a(g12 +Vleft_tot +p5741 +tp5742 +a(g340 +V, +tp5743 +a(g185 +V\u000a +p5744 +tp5745 +a(g340 +V( +tp5746 +a(g340 +V{ +tp5747 +a(g12 +Velem_size +p5748 +tp5749 +a(g185 +V +tp5750 +a(g340 +V= +tp5751 +a(g185 +V +tp5752 +a(g12 +Vsize +p5753 +tp5754 +a(g340 +V; +tp5755 +a(g185 +V +tp5756 +a(g12 +Vtoken +p5757 +tp5758 +a(g185 +V +tp5759 +a(g340 +V= +tp5760 +a(g185 +V +tp5761 +a(g12 +Vtok +p5762 +tp5763 +a(g340 +V} +tp5764 +a(g185 +V +tp5765 +a(g107 +Vas +p5766 +tp5767 +a(g185 +V +tp5768 +a(g12 +Vqueue_elem +p5769 +tp5770 +a(g340 +V) +tp5771 +a(g340 +V) +tp5772 +a(g185 +V +tp5773 +a(g340 +V: +tp5774 +a(g340 +V: +tp5775 +a(g185 +V +tp5776 +a(g12 +Vt +tp5777 +a(g185 +V +tp5778 +a(g340 +V- +tp5779 +a(g340 +V> +tp5780 +a(g185 +V\u000a +p5781 +tp5782 +a(g107 +Vlet +p5783 +tp5784 +a(g185 +V +tp5785 +a(g12 +Vsize +p5786 +tp5787 +a(g185 +V +tp5788 +a(g340 +V= +tp5789 +a(g185 +V +tp5790 +a(g12 +Vint_of_size +p5791 +tp5792 +a(g185 +V +tp5793 +a(g12 +Vsize +p5794 +tp5795 +a(g185 +V +tp5796 +a(g107 +Vin +p5797 +tp5798 +a(g185 +V\u000a +p5799 +tp5800 +a(g6 +V(* +p5801 +tp5802 +a(g6 +V test if scan stack contains any data that is not obsolete. +p5803 +tp5804 +a(g6 +V*) +p5805 +tp5806 +a(g185 +V\u000a +p5807 +tp5808 +a(g107 +Vif +p5809 +tp5810 +a(g185 +V +tp5811 +a(g12 +Vleft_tot +p5812 +tp5813 +a(g185 +V +tp5814 +a(g340 +V< +tp5815 +a(g185 +V +tp5816 +a(g12 +Vstate +p5817 +tp5818 +a(g340 +V. +tp5819 +a(g12 +Vpp_left_total +p5820 +tp5821 +a(g185 +V +tp5822 +a(g107 +Vthen +p5823 +tp5824 +a(g185 +V +tp5825 +a(g12 +Vclear_scan_stack +p5826 +tp5827 +a(g185 +V +tp5828 +a(g12 +Vstate +p5829 +tp5830 +a(g185 +V +tp5831 +a(g107 +Velse +p5832 +tp5833 +a(g185 +V\u000a +p5834 +tp5835 +a(g107 +Vbegin +p5836 +tp5837 +a(g185 +V +tp5838 +a(g107 +Vmatch +p5839 +tp5840 +a(g185 +V +tp5841 +a(g12 +Vtok +p5842 +tp5843 +a(g185 +V +tp5844 +a(g107 +Vwith +p5845 +tp5846 +a(g185 +V\u000a +p5847 +tp5848 +a(g340 +V| +tp5849 +a(g185 +V +tp5850 +a(g102 +VPp_break +p5851 +tp5852 +a(g185 +V +tp5853 +a(g340 +V( +tp5854 +a(g340 +V_ +tp5855 +a(g340 +V, +tp5856 +a(g185 +V +tp5857 +a(g340 +V_ +tp5858 +a(g340 +V) +tp5859 +a(g185 +V +tp5860 +a(g340 +V| +tp5861 +a(g185 +V +tp5862 +a(g102 +VPp_tbreak +p5863 +tp5864 +a(g185 +V +tp5865 +a(g340 +V( +tp5866 +a(g340 +V_ +tp5867 +a(g340 +V, +tp5868 +a(g185 +V +tp5869 +a(g340 +V_ +tp5870 +a(g340 +V) +tp5871 +a(g185 +V +tp5872 +a(g340 +V- +tp5873 +a(g340 +V> +tp5874 +a(g185 +V\u000a +p5875 +tp5876 +a(g107 +Vif +p5877 +tp5878 +a(g185 +V +tp5879 +a(g12 +Vty +p5880 +tp5881 +a(g185 +V +tp5882 +a(g107 +Vthen +p5883 +tp5884 +a(g185 +V\u000a +p5885 +tp5886 +a(g107 +Vbegin +p5887 +tp5888 +a(g185 +V\u000a +p5889 +tp5890 +a(g12 +Vqueue_elem +p5891 +tp5892 +a(g340 +V. +tp5893 +a(g12 +Velem_size +p5894 +tp5895 +a(g185 +V +tp5896 +a(g340 +V< +tp5897 +a(g340 +V- +tp5898 +a(g185 +V +tp5899 +a(g12 +Vsize_of_int +p5900 +tp5901 +a(g185 +V +tp5902 +a(g340 +V( +tp5903 +a(g12 +Vstate +p5904 +tp5905 +a(g340 +V. +tp5906 +a(g12 +Vpp_right_total +p5907 +tp5908 +a(g185 +V +tp5909 +a(g340 +V+ +tp5910 +a(g185 +V +tp5911 +a(g12 +Vsize +p5912 +tp5913 +a(g340 +V) +tp5914 +a(g340 +V; +tp5915 +a(g185 +V\u000a +p5916 +tp5917 +a(g12 +Vstate +p5918 +tp5919 +a(g340 +V. +tp5920 +a(g12 +Vpp_scan_stack +p5921 +tp5922 +a(g185 +V +tp5923 +a(g340 +V< +tp5924 +a(g340 +V- +tp5925 +a(g185 +V +tp5926 +a(g12 +Vt +tp5927 +a(g185 +V\u000a +p5928 +tp5929 +a(g107 +Vend +p5930 +tp5931 +a(g185 +V\u000a +p5932 +tp5933 +a(g340 +V| +tp5934 +a(g185 +V +tp5935 +a(g102 +VPp_begin +p5936 +tp5937 +a(g185 +V +tp5938 +a(g340 +V( +tp5939 +a(g340 +V_ +tp5940 +a(g340 +V, +tp5941 +a(g185 +V +tp5942 +a(g340 +V_ +tp5943 +a(g340 +V) +tp5944 +a(g185 +V +tp5945 +a(g340 +V- +tp5946 +a(g340 +V> +tp5947 +a(g185 +V\u000a +p5948 +tp5949 +a(g107 +Vif +p5950 +tp5951 +a(g185 +V +tp5952 +a(g12 +Vnot +p5953 +tp5954 +a(g185 +V +tp5955 +a(g12 +Vty +p5956 +tp5957 +a(g185 +V +tp5958 +a(g107 +Vthen +p5959 +tp5960 +a(g185 +V\u000a +p5961 +tp5962 +a(g107 +Vbegin +p5963 +tp5964 +a(g185 +V\u000a +p5965 +tp5966 +a(g12 +Vqueue_elem +p5967 +tp5968 +a(g340 +V. +tp5969 +a(g12 +Velem_size +p5970 +tp5971 +a(g185 +V +tp5972 +a(g340 +V< +tp5973 +a(g340 +V- +tp5974 +a(g185 +V +tp5975 +a(g12 +Vsize_of_int +p5976 +tp5977 +a(g185 +V +tp5978 +a(g340 +V( +tp5979 +a(g12 +Vstate +p5980 +tp5981 +a(g340 +V. +tp5982 +a(g12 +Vpp_right_total +p5983 +tp5984 +a(g185 +V +tp5985 +a(g340 +V+ +tp5986 +a(g185 +V +tp5987 +a(g12 +Vsize +p5988 +tp5989 +a(g340 +V) +tp5990 +a(g340 +V; +tp5991 +a(g185 +V\u000a +p5992 +tp5993 +a(g12 +Vstate +p5994 +tp5995 +a(g340 +V. +tp5996 +a(g12 +Vpp_scan_stack +p5997 +tp5998 +a(g185 +V +tp5999 +a(g340 +V< +tp6000 +a(g340 +V- +tp6001 +a(g185 +V +tp6002 +a(g12 +Vt +tp6003 +a(g185 +V\u000a +p6004 +tp6005 +a(g107 +Vend +p6006 +tp6007 +a(g185 +V\u000a +p6008 +tp6009 +a(g340 +V| +tp6010 +a(g185 +V +tp6011 +a(g340 +V_ +tp6012 +a(g185 +V +tp6013 +a(g340 +V- +tp6014 +a(g340 +V> +tp6015 +a(g185 +V +tp6016 +a(g56 +V() +p6017 +tp6018 +a(g185 +V +tp6019 +a(g6 +V(* +p6020 +tp6021 +a(g6 +V scan_push is only used for breaks and boxes. +p6022 +tp6023 +a(g6 +V*) +p6024 +tp6025 +a(g185 +V\u000a +p6026 +tp6027 +a(g107 +Vend +p6028 +tp6029 +a(g185 +V\u000a +p6030 +tp6031 +a(g340 +V| +tp6032 +a(g185 +V +tp6033 +a(g340 +V_ +tp6034 +a(g185 +V +tp6035 +a(g340 +V- +tp6036 +a(g340 +V> +tp6037 +a(g185 +V +tp6038 +a(g56 +V() +p6039 +tp6040 +a(g185 +V +tp6041 +a(g6 +V(* +p6042 +tp6043 +a(g6 +V scan_stack is never empty. +p6044 +tp6045 +a(g6 +V*) +p6046 +tp6047 +a(g340 +V; +tp6048 +a(g340 +V; +tp6049 +a(g185 +V\u000a\u000a +p6050 +tp6051 +a(g6 +V(* +p6052 +tp6053 +a(g6 +V Push a token on scan stack. If b is true set_size is called. +p6054 +tp6055 +a(g6 +V*) +p6056 +tp6057 +a(g185 +V\u000a +tp6058 +a(g107 +Vlet +p6059 +tp6060 +a(g185 +V +tp6061 +a(g12 +Vscan_push +p6062 +tp6063 +a(g185 +V +tp6064 +a(g12 +Vstate +p6065 +tp6066 +a(g185 +V +tp6067 +a(g12 +Vb +tp6068 +a(g185 +V +tp6069 +a(g12 +Vtok +p6070 +tp6071 +a(g185 +V +tp6072 +a(g340 +V= +tp6073 +a(g185 +V\u000a +p6074 +tp6075 +a(g12 +Vpp_enqueue +p6076 +tp6077 +a(g185 +V +tp6078 +a(g12 +Vstate +p6079 +tp6080 +a(g185 +V +tp6081 +a(g12 +Vtok +p6082 +tp6083 +a(g340 +V; +tp6084 +a(g185 +V\u000a +p6085 +tp6086 +a(g107 +Vif +p6087 +tp6088 +a(g185 +V +tp6089 +a(g12 +Vb +tp6090 +a(g185 +V +tp6091 +a(g107 +Vthen +p6092 +tp6093 +a(g185 +V +tp6094 +a(g12 +Vset_size +p6095 +tp6096 +a(g185 +V +tp6097 +a(g12 +Vstate +p6098 +tp6099 +a(g185 +V +tp6100 +a(g56 +Vtrue +p6101 +tp6102 +a(g340 +V; +tp6103 +a(g185 +V\u000a +p6104 +tp6105 +a(g12 +Vstate +p6106 +tp6107 +a(g340 +V. +tp6108 +a(g12 +Vpp_scan_stack +p6109 +tp6110 +a(g185 +V +tp6111 +a(g340 +V< +tp6112 +a(g340 +V- +tp6113 +a(g185 +V\u000a +p6114 +tp6115 +a(g102 +VScan_elem +p6116 +tp6117 +a(g185 +V +tp6118 +a(g340 +V( +tp6119 +a(g12 +Vstate +p6120 +tp6121 +a(g340 +V. +tp6122 +a(g12 +Vpp_right_total +p6123 +tp6124 +a(g340 +V, +tp6125 +a(g185 +V +tp6126 +a(g12 +Vtok +p6127 +tp6128 +a(g340 +V) +tp6129 +a(g185 +V +tp6130 +a(g340 +V: +tp6131 +a(g340 +V: +tp6132 +a(g185 +V +tp6133 +a(g12 +Vstate +p6134 +tp6135 +a(g340 +V. +tp6136 +a(g12 +Vpp_scan_stack +p6137 +tp6138 +a(g340 +V; +tp6139 +a(g340 +V; +tp6140 +a(g185 +V\u000a\u000a +p6141 +tp6142 +a(g6 +V(* +p6143 +tp6144 +a(g6 +V To open a new block :\u000a the user may set the depth bound pp_max_boxes\u000a any text nested deeper is printed as the ellipsis string. +p6145 +tp6146 +a(g6 +V*) +p6147 +tp6148 +a(g185 +V\u000a +tp6149 +a(g107 +Vlet +p6150 +tp6151 +a(g185 +V +tp6152 +a(g12 +Vpp_open_box_gen +p6153 +tp6154 +a(g185 +V +tp6155 +a(g12 +Vstate +p6156 +tp6157 +a(g185 +V +tp6158 +a(g12 +Vindent +p6159 +tp6160 +a(g185 +V +tp6161 +a(g12 +Vbr_ty +p6162 +tp6163 +a(g185 +V +tp6164 +a(g340 +V= +tp6165 +a(g185 +V\u000a +p6166 +tp6167 +a(g12 +Vstate +p6168 +tp6169 +a(g340 +V. +tp6170 +a(g12 +Vpp_curr_depth +p6171 +tp6172 +a(g185 +V +tp6173 +a(g340 +V< +tp6174 +a(g340 +V- +tp6175 +a(g185 +V +tp6176 +a(g12 +Vstate +p6177 +tp6178 +a(g340 +V. +tp6179 +a(g12 +Vpp_curr_depth +p6180 +tp6181 +a(g185 +V +tp6182 +a(g340 +V+ +tp6183 +a(g185 +V +tp6184 +a(g315 +V1 +tp6185 +a(g340 +V; +tp6186 +a(g185 +V\u000a +p6187 +tp6188 +a(g107 +Vif +p6189 +tp6190 +a(g185 +V +tp6191 +a(g12 +Vstate +p6192 +tp6193 +a(g340 +V. +tp6194 +a(g12 +Vpp_curr_depth +p6195 +tp6196 +a(g185 +V +tp6197 +a(g340 +V< +tp6198 +a(g185 +V +tp6199 +a(g12 +Vstate +p6200 +tp6201 +a(g340 +V. +tp6202 +a(g12 +Vpp_max_boxes +p6203 +tp6204 +a(g185 +V +tp6205 +a(g107 +Vthen +p6206 +tp6207 +a(g185 +V\u000a +p6208 +tp6209 +a(g107 +Vlet +p6210 +tp6211 +a(g185 +V +tp6212 +a(g12 +Velem +p6213 +tp6214 +a(g185 +V +tp6215 +a(g340 +V= +tp6216 +a(g185 +V\u000a +p6217 +tp6218 +a(g12 +Vmake_queue_elem +p6219 +tp6220 +a(g185 +V\u000a +p6221 +tp6222 +a(g340 +V( +tp6223 +a(g12 +Vsize_of_int +p6224 +tp6225 +a(g185 +V +tp6226 +a(g340 +V( +tp6227 +a(g340 +V- +tp6228 +a(g185 +V +tp6229 +a(g12 +Vstate +p6230 +tp6231 +a(g340 +V. +tp6232 +a(g12 +Vpp_right_total +p6233 +tp6234 +a(g340 +V) +tp6235 +a(g340 +V) +tp6236 +a(g185 +V\u000a +p6237 +tp6238 +a(g340 +V( +tp6239 +a(g102 +VPp_begin +p6240 +tp6241 +a(g185 +V +tp6242 +a(g340 +V( +tp6243 +a(g12 +Vindent +p6244 +tp6245 +a(g340 +V, +tp6246 +a(g185 +V +tp6247 +a(g12 +Vbr_ty +p6248 +tp6249 +a(g340 +V) +tp6250 +a(g340 +V) +tp6251 +a(g185 +V\u000a +p6252 +tp6253 +a(g315 +V0 +tp6254 +a(g185 +V +tp6255 +a(g107 +Vin +p6256 +tp6257 +a(g185 +V\u000a +p6258 +tp6259 +a(g12 +Vscan_push +p6260 +tp6261 +a(g185 +V +tp6262 +a(g12 +Vstate +p6263 +tp6264 +a(g185 +V +tp6265 +a(g56 +Vfalse +p6266 +tp6267 +a(g185 +V +tp6268 +a(g12 +Velem +p6269 +tp6270 +a(g185 +V +tp6271 +a(g107 +Velse +p6272 +tp6273 +a(g185 +V\u000a +p6274 +tp6275 +a(g107 +Vif +p6276 +tp6277 +a(g185 +V +tp6278 +a(g12 +Vstate +p6279 +tp6280 +a(g340 +V. +tp6281 +a(g12 +Vpp_curr_depth +p6282 +tp6283 +a(g185 +V +tp6284 +a(g340 +V= +tp6285 +a(g185 +V +tp6286 +a(g12 +Vstate +p6287 +tp6288 +a(g340 +V. +tp6289 +a(g12 +Vpp_max_boxes +p6290 +tp6291 +a(g185 +V\u000a +p6292 +tp6293 +a(g107 +Vthen +p6294 +tp6295 +a(g185 +V +tp6296 +a(g12 +Venqueue_string +p6297 +tp6298 +a(g185 +V +tp6299 +a(g12 +Vstate +p6300 +tp6301 +a(g185 +V +tp6302 +a(g12 +Vstate +p6303 +tp6304 +a(g340 +V. +tp6305 +a(g12 +Vpp_ellipsis +p6306 +tp6307 +a(g340 +V; +tp6308 +a(g340 +V; +tp6309 +a(g185 +V\u000a\u000a +p6310 +tp6311 +a(g6 +V(* +p6312 +tp6313 +a(g6 +V The box which is always opened. +p6314 +tp6315 +a(g6 +V*) +p6316 +tp6317 +a(g185 +V\u000a +tp6318 +a(g107 +Vlet +p6319 +tp6320 +a(g185 +V +tp6321 +a(g12 +Vpp_open_sys_box +p6322 +tp6323 +a(g185 +V +tp6324 +a(g12 +Vstate +p6325 +tp6326 +a(g185 +V +tp6327 +a(g340 +V= +tp6328 +a(g185 +V +tp6329 +a(g12 +Vpp_open_box_gen +p6330 +tp6331 +a(g185 +V +tp6332 +a(g12 +Vstate +p6333 +tp6334 +a(g185 +V +tp6335 +a(g315 +V0 +tp6336 +a(g185 +V +tp6337 +a(g102 +VPp_hovbox +p6338 +tp6339 +a(g340 +V; +tp6340 +a(g340 +V; +tp6341 +a(g185 +V\u000a\u000a +p6342 +tp6343 +a(g6 +V(* +p6344 +tp6345 +a(g6 +V Close a block, setting sizes of its subblocks. +p6346 +tp6347 +a(g6 +V*) +p6348 +tp6349 +a(g185 +V\u000a +tp6350 +a(g107 +Vlet +p6351 +tp6352 +a(g185 +V +tp6353 +a(g12 +Vpp_close_box +p6354 +tp6355 +a(g185 +V +tp6356 +a(g12 +Vstate +p6357 +tp6358 +a(g185 +V +tp6359 +a(g56 +V() +p6360 +tp6361 +a(g185 +V +tp6362 +a(g340 +V= +tp6363 +a(g185 +V\u000a +p6364 +tp6365 +a(g107 +Vif +p6366 +tp6367 +a(g185 +V +tp6368 +a(g12 +Vstate +p6369 +tp6370 +a(g340 +V. +tp6371 +a(g12 +Vpp_curr_depth +p6372 +tp6373 +a(g185 +V +tp6374 +a(g340 +V> +tp6375 +a(g185 +V +tp6376 +a(g315 +V1 +tp6377 +a(g185 +V +tp6378 +a(g107 +Vthen +p6379 +tp6380 +a(g185 +V\u000a +p6381 +tp6382 +a(g107 +Vbegin +p6383 +tp6384 +a(g185 +V\u000a +p6385 +tp6386 +a(g107 +Vif +p6387 +tp6388 +a(g185 +V +tp6389 +a(g12 +Vstate +p6390 +tp6391 +a(g340 +V. +tp6392 +a(g12 +Vpp_curr_depth +p6393 +tp6394 +a(g185 +V +tp6395 +a(g340 +V< +tp6396 +a(g185 +V +tp6397 +a(g12 +Vstate +p6398 +tp6399 +a(g340 +V. +tp6400 +a(g12 +Vpp_max_boxes +p6401 +tp6402 +a(g185 +V +tp6403 +a(g107 +Vthen +p6404 +tp6405 +a(g185 +V\u000a +p6406 +tp6407 +a(g107 +Vbegin +p6408 +tp6409 +a(g185 +V\u000a +p6410 +tp6411 +a(g12 +Vpp_enqueue +p6412 +tp6413 +a(g185 +V +tp6414 +a(g12 +Vstate +p6415 +tp6416 +a(g185 +V\u000a +p6417 +tp6418 +a(g340 +V{ +tp6419 +a(g12 +Velem_size +p6420 +tp6421 +a(g185 +V +tp6422 +a(g340 +V= +tp6423 +a(g185 +V +tp6424 +a(g12 +Vsize_of_int +p6425 +tp6426 +a(g185 +V +tp6427 +a(g315 +V0 +tp6428 +a(g340 +V; +tp6429 +a(g185 +V +tp6430 +a(g12 +Vtoken +p6431 +tp6432 +a(g185 +V +tp6433 +a(g340 +V= +tp6434 +a(g185 +V +tp6435 +a(g102 +VPp_end +p6436 +tp6437 +a(g340 +V; +tp6438 +a(g185 +V +tp6439 +a(g12 +Vlength +p6440 +tp6441 +a(g185 +V +tp6442 +a(g340 +V= +tp6443 +a(g185 +V +tp6444 +a(g315 +V0 +tp6445 +a(g340 +V} +tp6446 +a(g340 +V; +tp6447 +a(g185 +V\u000a +p6448 +tp6449 +a(g12 +Vset_size +p6450 +tp6451 +a(g185 +V +tp6452 +a(g12 +Vstate +p6453 +tp6454 +a(g185 +V +tp6455 +a(g56 +Vtrue +p6456 +tp6457 +a(g340 +V; +tp6458 +a(g185 +V +tp6459 +a(g12 +Vset_size +p6460 +tp6461 +a(g185 +V +tp6462 +a(g12 +Vstate +p6463 +tp6464 +a(g185 +V +tp6465 +a(g56 +Vfalse +p6466 +tp6467 +a(g185 +V\u000a +p6468 +tp6469 +a(g107 +Vend +p6470 +tp6471 +a(g340 +V; +tp6472 +a(g185 +V\u000a +p6473 +tp6474 +a(g12 +Vstate +p6475 +tp6476 +a(g340 +V. +tp6477 +a(g12 +Vpp_curr_depth +p6478 +tp6479 +a(g185 +V +tp6480 +a(g340 +V< +tp6481 +a(g340 +V- +tp6482 +a(g185 +V +tp6483 +a(g12 +Vstate +p6484 +tp6485 +a(g340 +V. +tp6486 +a(g12 +Vpp_curr_depth +p6487 +tp6488 +a(g185 +V +tp6489 +a(g340 +V- +tp6490 +a(g185 +V +tp6491 +a(g315 +V1 +tp6492 +a(g340 +V; +tp6493 +a(g185 +V\u000a +p6494 +tp6495 +a(g107 +Vend +p6496 +tp6497 +a(g340 +V; +tp6498 +a(g340 +V; +tp6499 +a(g185 +V\u000a\u000a +p6500 +tp6501 +a(g6 +V(* +p6502 +tp6503 +a(g6 +V Open a tag, pushing it on the tag stack. +p6504 +tp6505 +a(g6 +V*) +p6506 +tp6507 +a(g185 +V\u000a +tp6508 +a(g107 +Vlet +p6509 +tp6510 +a(g185 +V +tp6511 +a(g12 +Vpp_open_tag +p6512 +tp6513 +a(g185 +V +tp6514 +a(g12 +Vstate +p6515 +tp6516 +a(g185 +V +tp6517 +a(g12 +Vtag_name +p6518 +tp6519 +a(g185 +V +tp6520 +a(g340 +V= +tp6521 +a(g185 +V\u000a +p6522 +tp6523 +a(g107 +Vif +p6524 +tp6525 +a(g185 +V +tp6526 +a(g12 +Vstate +p6527 +tp6528 +a(g340 +V. +tp6529 +a(g12 +Vpp_print_tags +p6530 +tp6531 +a(g185 +V +tp6532 +a(g107 +Vthen +p6533 +tp6534 +a(g185 +V +tp6535 +a(g107 +Vbegin +p6536 +tp6537 +a(g185 +V\u000a +p6538 +tp6539 +a(g12 +Vstate +p6540 +tp6541 +a(g340 +V. +tp6542 +a(g12 +Vpp_tag_stack +p6543 +tp6544 +a(g185 +V +tp6545 +a(g340 +V< +tp6546 +a(g340 +V- +tp6547 +a(g185 +V +tp6548 +a(g12 +Vtag_name +p6549 +tp6550 +a(g185 +V +tp6551 +a(g340 +V: +tp6552 +a(g340 +V: +tp6553 +a(g185 +V +tp6554 +a(g12 +Vstate +p6555 +tp6556 +a(g340 +V. +tp6557 +a(g12 +Vpp_tag_stack +p6558 +tp6559 +a(g340 +V; +tp6560 +a(g185 +V\u000a +p6561 +tp6562 +a(g12 +Vstate +p6563 +tp6564 +a(g340 +V. +tp6565 +a(g12 +Vpp_print_open_tag +p6566 +tp6567 +a(g185 +V +tp6568 +a(g12 +Vtag_name +p6569 +tp6570 +a(g185 +V +tp6571 +a(g107 +Vend +p6572 +tp6573 +a(g340 +V; +tp6574 +a(g185 +V\u000a +p6575 +tp6576 +a(g107 +Vif +p6577 +tp6578 +a(g185 +V +tp6579 +a(g12 +Vstate +p6580 +tp6581 +a(g340 +V. +tp6582 +a(g12 +Vpp_mark_tags +p6583 +tp6584 +a(g185 +V +tp6585 +a(g107 +Vthen +p6586 +tp6587 +a(g185 +V\u000a +p6588 +tp6589 +a(g12 +Vpp_enqueue +p6590 +tp6591 +a(g185 +V +tp6592 +a(g12 +Vstate +p6593 +tp6594 +a(g185 +V\u000a +p6595 +tp6596 +a(g340 +V{ +tp6597 +a(g12 +Velem_size +p6598 +tp6599 +a(g185 +V +tp6600 +a(g340 +V= +tp6601 +a(g185 +V +tp6602 +a(g12 +Vsize_of_int +p6603 +tp6604 +a(g185 +V +tp6605 +a(g315 +V0 +tp6606 +a(g340 +V; +tp6607 +a(g185 +V +tp6608 +a(g12 +Vtoken +p6609 +tp6610 +a(g185 +V +tp6611 +a(g340 +V= +tp6612 +a(g185 +V +tp6613 +a(g102 +VPp_open_tag +p6614 +tp6615 +a(g185 +V +tp6616 +a(g12 +Vtag_name +p6617 +tp6618 +a(g340 +V; +tp6619 +a(g185 +V +tp6620 +a(g12 +Vlength +p6621 +tp6622 +a(g185 +V +tp6623 +a(g340 +V= +tp6624 +a(g185 +V +tp6625 +a(g315 +V0 +tp6626 +a(g340 +V} +tp6627 +a(g340 +V; +tp6628 +a(g340 +V; +tp6629 +a(g185 +V\u000a\u000a +p6630 +tp6631 +a(g6 +V(* +p6632 +tp6633 +a(g6 +V Close a tag, popping it from the tag stack. +p6634 +tp6635 +a(g6 +V*) +p6636 +tp6637 +a(g185 +V\u000a +tp6638 +a(g107 +Vlet +p6639 +tp6640 +a(g185 +V +tp6641 +a(g12 +Vpp_close_tag +p6642 +tp6643 +a(g185 +V +tp6644 +a(g12 +Vstate +p6645 +tp6646 +a(g185 +V +tp6647 +a(g56 +V() +p6648 +tp6649 +a(g185 +V +tp6650 +a(g340 +V= +tp6651 +a(g185 +V\u000a +p6652 +tp6653 +a(g107 +Vif +p6654 +tp6655 +a(g185 +V +tp6656 +a(g12 +Vstate +p6657 +tp6658 +a(g340 +V. +tp6659 +a(g12 +Vpp_mark_tags +p6660 +tp6661 +a(g185 +V +tp6662 +a(g107 +Vthen +p6663 +tp6664 +a(g185 +V\u000a +p6665 +tp6666 +a(g12 +Vpp_enqueue +p6667 +tp6668 +a(g185 +V +tp6669 +a(g12 +Vstate +p6670 +tp6671 +a(g185 +V\u000a +p6672 +tp6673 +a(g340 +V{ +tp6674 +a(g12 +Velem_size +p6675 +tp6676 +a(g185 +V +tp6677 +a(g340 +V= +tp6678 +a(g185 +V +tp6679 +a(g12 +Vsize_of_int +p6680 +tp6681 +a(g185 +V +tp6682 +a(g315 +V0 +tp6683 +a(g340 +V; +tp6684 +a(g185 +V +tp6685 +a(g12 +Vtoken +p6686 +tp6687 +a(g185 +V +tp6688 +a(g340 +V= +tp6689 +a(g185 +V +tp6690 +a(g102 +VPp_close_tag +p6691 +tp6692 +a(g340 +V; +tp6693 +a(g185 +V +tp6694 +a(g12 +Vlength +p6695 +tp6696 +a(g185 +V +tp6697 +a(g340 +V= +tp6698 +a(g185 +V +tp6699 +a(g315 +V0 +tp6700 +a(g340 +V} +tp6701 +a(g340 +V; +tp6702 +a(g185 +V\u000a +p6703 +tp6704 +a(g107 +Vif +p6705 +tp6706 +a(g185 +V +tp6707 +a(g12 +Vstate +p6708 +tp6709 +a(g340 +V. +tp6710 +a(g12 +Vpp_print_tags +p6711 +tp6712 +a(g185 +V +tp6713 +a(g107 +Vthen +p6714 +tp6715 +a(g185 +V\u000a +p6716 +tp6717 +a(g107 +Vbegin +p6718 +tp6719 +a(g185 +V +tp6720 +a(g107 +Vmatch +p6721 +tp6722 +a(g185 +V +tp6723 +a(g12 +Vstate +p6724 +tp6725 +a(g340 +V. +tp6726 +a(g12 +Vpp_tag_stack +p6727 +tp6728 +a(g185 +V +tp6729 +a(g107 +Vwith +p6730 +tp6731 +a(g185 +V\u000a +p6732 +tp6733 +a(g340 +V| +tp6734 +a(g185 +V +tp6735 +a(g12 +Vtag_name +p6736 +tp6737 +a(g185 +V +tp6738 +a(g340 +V: +tp6739 +a(g340 +V: +tp6740 +a(g185 +V +tp6741 +a(g12 +Vtags +p6742 +tp6743 +a(g185 +V +tp6744 +a(g340 +V- +tp6745 +a(g340 +V> +tp6746 +a(g185 +V\u000a +p6747 +tp6748 +a(g12 +Vstate +p6749 +tp6750 +a(g340 +V. +tp6751 +a(g12 +Vpp_print_close_tag +p6752 +tp6753 +a(g185 +V +tp6754 +a(g12 +Vtag_name +p6755 +tp6756 +a(g340 +V; +tp6757 +a(g185 +V\u000a +p6758 +tp6759 +a(g12 +Vstate +p6760 +tp6761 +a(g340 +V. +tp6762 +a(g12 +Vpp_tag_stack +p6763 +tp6764 +a(g185 +V +tp6765 +a(g340 +V< +tp6766 +a(g340 +V- +tp6767 +a(g185 +V +tp6768 +a(g12 +Vtags +p6769 +tp6770 +a(g185 +V\u000a +p6771 +tp6772 +a(g340 +V| +tp6773 +a(g185 +V +tp6774 +a(g340 +V_ +tp6775 +a(g185 +V +tp6776 +a(g340 +V- +tp6777 +a(g340 +V> +tp6778 +a(g185 +V +tp6779 +a(g56 +V() +p6780 +tp6781 +a(g185 +V +tp6782 +a(g6 +V(* +p6783 +tp6784 +a(g6 +V No more tag to close. +p6785 +tp6786 +a(g6 +V*) +p6787 +tp6788 +a(g185 +V\u000a +p6789 +tp6790 +a(g107 +Vend +p6791 +tp6792 +a(g340 +V; +tp6793 +a(g340 +V; +tp6794 +a(g185 +V\u000a\u000a +p6795 +tp6796 +a(g107 +Vlet +p6797 +tp6798 +a(g185 +V +tp6799 +a(g12 +Vpp_set_print_tags +p6800 +tp6801 +a(g185 +V +tp6802 +a(g12 +Vstate +p6803 +tp6804 +a(g185 +V +tp6805 +a(g12 +Vb +tp6806 +a(g185 +V +tp6807 +a(g340 +V= +tp6808 +a(g185 +V +tp6809 +a(g12 +Vstate +p6810 +tp6811 +a(g340 +V. +tp6812 +a(g12 +Vpp_print_tags +p6813 +tp6814 +a(g185 +V +tp6815 +a(g340 +V< +tp6816 +a(g340 +V- +tp6817 +a(g185 +V +tp6818 +a(g12 +Vb +tp6819 +a(g340 +V; +tp6820 +a(g340 +V; +tp6821 +a(g185 +V\u000a +tp6822 +a(g107 +Vlet +p6823 +tp6824 +a(g185 +V +tp6825 +a(g12 +Vpp_set_mark_tags +p6826 +tp6827 +a(g185 +V +tp6828 +a(g12 +Vstate +p6829 +tp6830 +a(g185 +V +tp6831 +a(g12 +Vb +tp6832 +a(g185 +V +tp6833 +a(g340 +V= +tp6834 +a(g185 +V +tp6835 +a(g12 +Vstate +p6836 +tp6837 +a(g340 +V. +tp6838 +a(g12 +Vpp_mark_tags +p6839 +tp6840 +a(g185 +V +tp6841 +a(g340 +V< +tp6842 +a(g340 +V- +tp6843 +a(g185 +V +tp6844 +a(g12 +Vb +tp6845 +a(g340 +V; +tp6846 +a(g340 +V; +tp6847 +a(g185 +V\u000a +tp6848 +a(g107 +Vlet +p6849 +tp6850 +a(g185 +V +tp6851 +a(g12 +Vpp_get_print_tags +p6852 +tp6853 +a(g185 +V +tp6854 +a(g12 +Vstate +p6855 +tp6856 +a(g185 +V +tp6857 +a(g56 +V() +p6858 +tp6859 +a(g185 +V +tp6860 +a(g340 +V= +tp6861 +a(g185 +V +tp6862 +a(g12 +Vstate +p6863 +tp6864 +a(g340 +V. +tp6865 +a(g12 +Vpp_print_tags +p6866 +tp6867 +a(g340 +V; +tp6868 +a(g340 +V; +tp6869 +a(g185 +V\u000a +tp6870 +a(g107 +Vlet +p6871 +tp6872 +a(g185 +V +tp6873 +a(g12 +Vpp_get_mark_tags +p6874 +tp6875 +a(g185 +V +tp6876 +a(g12 +Vstate +p6877 +tp6878 +a(g185 +V +tp6879 +a(g56 +V() +p6880 +tp6881 +a(g185 +V +tp6882 +a(g340 +V= +tp6883 +a(g185 +V +tp6884 +a(g12 +Vstate +p6885 +tp6886 +a(g340 +V. +tp6887 +a(g12 +Vpp_mark_tags +p6888 +tp6889 +a(g340 +V; +tp6890 +a(g340 +V; +tp6891 +a(g185 +V\u000a +tp6892 +a(g107 +Vlet +p6893 +tp6894 +a(g185 +V +tp6895 +a(g12 +Vpp_set_tags +p6896 +tp6897 +a(g185 +V +tp6898 +a(g12 +Vstate +p6899 +tp6900 +a(g185 +V +tp6901 +a(g12 +Vb +tp6902 +a(g185 +V +tp6903 +a(g340 +V= +tp6904 +a(g185 +V +tp6905 +a(g12 +Vpp_set_print_tags +p6906 +tp6907 +a(g185 +V +tp6908 +a(g12 +Vstate +p6909 +tp6910 +a(g185 +V +tp6911 +a(g12 +Vb +tp6912 +a(g340 +V; +tp6913 +a(g185 +V +tp6914 +a(g12 +Vpp_set_mark_tags +p6915 +tp6916 +a(g185 +V +tp6917 +a(g12 +Vstate +p6918 +tp6919 +a(g185 +V +tp6920 +a(g12 +Vb +tp6921 +a(g340 +V; +tp6922 +a(g340 +V; +tp6923 +a(g185 +V\u000a\u000a +p6924 +tp6925 +a(g107 +Vlet +p6926 +tp6927 +a(g185 +V +tp6928 +a(g12 +Vpp_get_formatter_tag_functions +p6929 +tp6930 +a(g185 +V +tp6931 +a(g12 +Vstate +p6932 +tp6933 +a(g185 +V +tp6934 +a(g56 +V() +p6935 +tp6936 +a(g185 +V +tp6937 +a(g340 +V= +tp6938 +a(g185 +V +tp6939 +a(g340 +V{ +tp6940 +a(g185 +V\u000a +p6941 +tp6942 +a(g12 +Vmark_open_tag +p6943 +tp6944 +a(g185 +V +tp6945 +a(g340 +V= +tp6946 +a(g185 +V +tp6947 +a(g12 +Vstate +p6948 +tp6949 +a(g340 +V. +tp6950 +a(g12 +Vpp_mark_open_tag +p6951 +tp6952 +a(g340 +V; +tp6953 +a(g185 +V\u000a +p6954 +tp6955 +a(g12 +Vmark_close_tag +p6956 +tp6957 +a(g185 +V +tp6958 +a(g340 +V= +tp6959 +a(g185 +V +tp6960 +a(g12 +Vstate +p6961 +tp6962 +a(g340 +V. +tp6963 +a(g12 +Vpp_mark_close_tag +p6964 +tp6965 +a(g340 +V; +tp6966 +a(g185 +V\u000a +p6967 +tp6968 +a(g12 +Vprint_open_tag +p6969 +tp6970 +a(g185 +V +tp6971 +a(g340 +V= +tp6972 +a(g185 +V +tp6973 +a(g12 +Vstate +p6974 +tp6975 +a(g340 +V. +tp6976 +a(g12 +Vpp_print_open_tag +p6977 +tp6978 +a(g340 +V; +tp6979 +a(g185 +V\u000a +p6980 +tp6981 +a(g12 +Vprint_close_tag +p6982 +tp6983 +a(g185 +V +tp6984 +a(g340 +V= +tp6985 +a(g185 +V +tp6986 +a(g12 +Vstate +p6987 +tp6988 +a(g340 +V. +tp6989 +a(g12 +Vpp_print_close_tag +p6990 +tp6991 +a(g340 +V; +tp6992 +a(g185 +V\u000a +tp6993 +a(g340 +V} +tp6994 +a(g340 +V; +tp6995 +a(g340 +V; +tp6996 +a(g185 +V\u000a\u000a +p6997 +tp6998 +a(g107 +Vlet +p6999 +tp7000 +a(g185 +V +tp7001 +a(g12 +Vpp_set_formatter_tag_functions +p7002 +tp7003 +a(g185 +V +tp7004 +a(g12 +Vstate +p7005 +tp7006 +a(g185 +V +tp7007 +a(g340 +V{ +tp7008 +a(g185 +V\u000a +p7009 +tp7010 +a(g12 +Vmark_open_tag +p7011 +tp7012 +a(g185 +V +tp7013 +a(g340 +V= +tp7014 +a(g185 +V +tp7015 +a(g12 +Vmot +p7016 +tp7017 +a(g340 +V; +tp7018 +a(g185 +V\u000a +p7019 +tp7020 +a(g12 +Vmark_close_tag +p7021 +tp7022 +a(g185 +V +tp7023 +a(g340 +V= +tp7024 +a(g185 +V +tp7025 +a(g12 +Vmct +p7026 +tp7027 +a(g340 +V; +tp7028 +a(g185 +V\u000a +p7029 +tp7030 +a(g12 +Vprint_open_tag +p7031 +tp7032 +a(g185 +V +tp7033 +a(g340 +V= +tp7034 +a(g185 +V +tp7035 +a(g12 +Vpot +p7036 +tp7037 +a(g340 +V; +tp7038 +a(g185 +V\u000a +p7039 +tp7040 +a(g12 +Vprint_close_tag +p7041 +tp7042 +a(g185 +V +tp7043 +a(g340 +V= +tp7044 +a(g185 +V +tp7045 +a(g12 +Vpct +p7046 +tp7047 +a(g340 +V; +tp7048 +a(g185 +V\u000a +p7049 +tp7050 +a(g340 +V} +tp7051 +a(g185 +V +tp7052 +a(g340 +V= +tp7053 +a(g185 +V\u000a +p7054 +tp7055 +a(g12 +Vstate +p7056 +tp7057 +a(g340 +V. +tp7058 +a(g12 +Vpp_mark_open_tag +p7059 +tp7060 +a(g185 +V +tp7061 +a(g340 +V< +tp7062 +a(g340 +V- +tp7063 +a(g185 +V +tp7064 +a(g12 +Vmot +p7065 +tp7066 +a(g340 +V; +tp7067 +a(g185 +V\u000a +p7068 +tp7069 +a(g12 +Vstate +p7070 +tp7071 +a(g340 +V. +tp7072 +a(g12 +Vpp_mark_close_tag +p7073 +tp7074 +a(g185 +V +tp7075 +a(g340 +V< +tp7076 +a(g340 +V- +tp7077 +a(g185 +V +tp7078 +a(g12 +Vmct +p7079 +tp7080 +a(g340 +V; +tp7081 +a(g185 +V\u000a +p7082 +tp7083 +a(g12 +Vstate +p7084 +tp7085 +a(g340 +V. +tp7086 +a(g12 +Vpp_print_open_tag +p7087 +tp7088 +a(g185 +V +tp7089 +a(g340 +V< +tp7090 +a(g340 +V- +tp7091 +a(g185 +V +tp7092 +a(g12 +Vpot +p7093 +tp7094 +a(g340 +V; +tp7095 +a(g185 +V\u000a +p7096 +tp7097 +a(g12 +Vstate +p7098 +tp7099 +a(g340 +V. +tp7100 +a(g12 +Vpp_print_close_tag +p7101 +tp7102 +a(g185 +V +tp7103 +a(g340 +V< +tp7104 +a(g340 +V- +tp7105 +a(g185 +V +tp7106 +a(g12 +Vpct +p7107 +tp7108 +a(g340 +V; +tp7109 +a(g340 +V; +tp7110 +a(g185 +V\u000a\u000a +p7111 +tp7112 +a(g6 +V(* +p7113 +tp7114 +a(g6 +V Initialize pretty-printer. +p7115 +tp7116 +a(g6 +V*) +p7117 +tp7118 +a(g185 +V\u000a +tp7119 +a(g107 +Vlet +p7120 +tp7121 +a(g185 +V +tp7122 +a(g12 +Vpp_rinit +p7123 +tp7124 +a(g185 +V +tp7125 +a(g12 +Vstate +p7126 +tp7127 +a(g185 +V +tp7128 +a(g340 +V= +tp7129 +a(g185 +V\u000a +p7130 +tp7131 +a(g12 +Vpp_clear_queue +p7132 +tp7133 +a(g185 +V +tp7134 +a(g12 +Vstate +p7135 +tp7136 +a(g340 +V; +tp7137 +a(g185 +V\u000a +p7138 +tp7139 +a(g12 +Vclear_scan_stack +p7140 +tp7141 +a(g185 +V +tp7142 +a(g12 +Vstate +p7143 +tp7144 +a(g340 +V; +tp7145 +a(g185 +V\u000a +p7146 +tp7147 +a(g12 +Vstate +p7148 +tp7149 +a(g340 +V. +tp7150 +a(g12 +Vpp_format_stack +p7151 +tp7152 +a(g185 +V +tp7153 +a(g340 +V< +tp7154 +a(g340 +V- +tp7155 +a(g185 +V +tp7156 +a(g56 +V[] +p7157 +tp7158 +a(g340 +V; +tp7159 +a(g185 +V\u000a +p7160 +tp7161 +a(g12 +Vstate +p7162 +tp7163 +a(g340 +V. +tp7164 +a(g12 +Vpp_tbox_stack +p7165 +tp7166 +a(g185 +V +tp7167 +a(g340 +V< +tp7168 +a(g340 +V- +tp7169 +a(g185 +V +tp7170 +a(g56 +V[] +p7171 +tp7172 +a(g340 +V; +tp7173 +a(g185 +V\u000a +p7174 +tp7175 +a(g12 +Vstate +p7176 +tp7177 +a(g340 +V. +tp7178 +a(g12 +Vpp_tag_stack +p7179 +tp7180 +a(g185 +V +tp7181 +a(g340 +V< +tp7182 +a(g340 +V- +tp7183 +a(g185 +V +tp7184 +a(g56 +V[] +p7185 +tp7186 +a(g340 +V; +tp7187 +a(g185 +V\u000a +p7188 +tp7189 +a(g12 +Vstate +p7190 +tp7191 +a(g340 +V. +tp7192 +a(g12 +Vpp_mark_stack +p7193 +tp7194 +a(g185 +V +tp7195 +a(g340 +V< +tp7196 +a(g340 +V- +tp7197 +a(g185 +V +tp7198 +a(g56 +V[] +p7199 +tp7200 +a(g340 +V; +tp7201 +a(g185 +V\u000a +p7202 +tp7203 +a(g12 +Vstate +p7204 +tp7205 +a(g340 +V. +tp7206 +a(g12 +Vpp_current_indent +p7207 +tp7208 +a(g185 +V +tp7209 +a(g340 +V< +tp7210 +a(g340 +V- +tp7211 +a(g185 +V +tp7212 +a(g315 +V0 +tp7213 +a(g340 +V; +tp7214 +a(g185 +V\u000a +p7215 +tp7216 +a(g12 +Vstate +p7217 +tp7218 +a(g340 +V. +tp7219 +a(g12 +Vpp_curr_depth +p7220 +tp7221 +a(g185 +V +tp7222 +a(g340 +V< +tp7223 +a(g340 +V- +tp7224 +a(g185 +V +tp7225 +a(g315 +V0 +tp7226 +a(g340 +V; +tp7227 +a(g185 +V\u000a +p7228 +tp7229 +a(g12 +Vstate +p7230 +tp7231 +a(g340 +V. +tp7232 +a(g12 +Vpp_space_left +p7233 +tp7234 +a(g185 +V +tp7235 +a(g340 +V< +tp7236 +a(g340 +V- +tp7237 +a(g185 +V +tp7238 +a(g12 +Vstate +p7239 +tp7240 +a(g340 +V. +tp7241 +a(g12 +Vpp_margin +p7242 +tp7243 +a(g340 +V; +tp7244 +a(g185 +V\u000a +p7245 +tp7246 +a(g12 +Vpp_open_sys_box +p7247 +tp7248 +a(g185 +V +tp7249 +a(g12 +Vstate +p7250 +tp7251 +a(g340 +V; +tp7252 +a(g340 +V; +tp7253 +a(g185 +V\u000a\u000a +p7254 +tp7255 +a(g6 +V(* +p7256 +tp7257 +a(g6 +V Flushing pretty-printer queue. +p7258 +tp7259 +a(g6 +V*) +p7260 +tp7261 +a(g185 +V\u000a +tp7262 +a(g107 +Vlet +p7263 +tp7264 +a(g185 +V +tp7265 +a(g12 +Vpp_flush_queue +p7266 +tp7267 +a(g185 +V +tp7268 +a(g12 +Vstate +p7269 +tp7270 +a(g185 +V +tp7271 +a(g12 +Vb +tp7272 +a(g185 +V +tp7273 +a(g340 +V= +tp7274 +a(g185 +V\u000a +p7275 +tp7276 +a(g107 +Vwhile +p7277 +tp7278 +a(g185 +V +tp7279 +a(g12 +Vstate +p7280 +tp7281 +a(g340 +V. +tp7282 +a(g12 +Vpp_curr_depth +p7283 +tp7284 +a(g185 +V +tp7285 +a(g340 +V> +tp7286 +a(g185 +V +tp7287 +a(g315 +V1 +tp7288 +a(g185 +V +tp7289 +a(g107 +Vdo +p7290 +tp7291 +a(g185 +V\u000a +p7292 +tp7293 +a(g12 +Vpp_close_box +p7294 +tp7295 +a(g185 +V +tp7296 +a(g12 +Vstate +p7297 +tp7298 +a(g185 +V +tp7299 +a(g56 +V() +p7300 +tp7301 +a(g185 +V\u000a +p7302 +tp7303 +a(g107 +Vdone +p7304 +tp7305 +a(g340 +V; +tp7306 +a(g185 +V\u000a +p7307 +tp7308 +a(g12 +Vstate +p7309 +tp7310 +a(g340 +V. +tp7311 +a(g12 +Vpp_right_total +p7312 +tp7313 +a(g185 +V +tp7314 +a(g340 +V< +tp7315 +a(g340 +V- +tp7316 +a(g185 +V +tp7317 +a(g12 +Vpp_infinity +p7318 +tp7319 +a(g340 +V; +tp7320 +a(g185 +V\u000a +p7321 +tp7322 +a(g12 +Vadvance_left +p7323 +tp7324 +a(g185 +V +tp7325 +a(g12 +Vstate +p7326 +tp7327 +a(g340 +V; +tp7328 +a(g185 +V\u000a +p7329 +tp7330 +a(g107 +Vif +p7331 +tp7332 +a(g185 +V +tp7333 +a(g12 +Vb +tp7334 +a(g185 +V +tp7335 +a(g107 +Vthen +p7336 +tp7337 +a(g185 +V +tp7338 +a(g12 +Vpp_output_newline +p7339 +tp7340 +a(g185 +V +tp7341 +a(g12 +Vstate +p7342 +tp7343 +a(g340 +V; +tp7344 +a(g185 +V\u000a +p7345 +tp7346 +a(g12 +Vpp_rinit +p7347 +tp7348 +a(g185 +V +tp7349 +a(g12 +Vstate +p7350 +tp7351 +a(g340 +V; +tp7352 +a(g340 +V; +tp7353 +a(g185 +V\u000a\u000a +p7354 +tp7355 +a(g6 +V(* +p7356 +tp7357 +a(g6 +V* +tp7358 +a(g6 +V* +tp7359 +a(g6 +V* +tp7360 +a(g6 +V* +tp7361 +a(g6 +V* +tp7362 +a(g6 +V* +tp7363 +a(g6 +V* +tp7364 +a(g6 +V* +tp7365 +a(g6 +V* +tp7366 +a(g6 +V* +tp7367 +a(g6 +V* +tp7368 +a(g6 +V* +tp7369 +a(g6 +V* +tp7370 +a(g6 +V* +tp7371 +a(g6 +V* +tp7372 +a(g6 +V* +tp7373 +a(g6 +V* +tp7374 +a(g6 +V* +tp7375 +a(g6 +V* +tp7376 +a(g6 +V* +tp7377 +a(g6 +V* +tp7378 +a(g6 +V* +tp7379 +a(g6 +V* +tp7380 +a(g6 +V* +tp7381 +a(g6 +V* +tp7382 +a(g6 +V* +tp7383 +a(g6 +V* +tp7384 +a(g6 +V* +tp7385 +a(g6 +V* +tp7386 +a(g6 +V* +tp7387 +a(g6 +V* +tp7388 +a(g6 +V* +tp7389 +a(g6 +V* +tp7390 +a(g6 +V* +tp7391 +a(g6 +V* +tp7392 +a(g6 +V* +tp7393 +a(g6 +V* +tp7394 +a(g6 +V* +tp7395 +a(g6 +V* +tp7396 +a(g6 +V* +tp7397 +a(g6 +V* +tp7398 +a(g6 +V* +tp7399 +a(g6 +V* +tp7400 +a(g6 +V* +tp7401 +a(g6 +V* +tp7402 +a(g6 +V* +tp7403 +a(g6 +V* +tp7404 +a(g6 +V* +tp7405 +a(g6 +V* +tp7406 +a(g6 +V* +tp7407 +a(g6 +V* +tp7408 +a(g6 +V* +tp7409 +a(g6 +V* +tp7410 +a(g6 +V* +tp7411 +a(g6 +V* +tp7412 +a(g6 +V* +tp7413 +a(g6 +V* +tp7414 +a(g6 +V* +tp7415 +a(g6 +V* +tp7416 +a(g6 +V* +tp7417 +a(g6 +V* +tp7418 +a(g6 +V\u000a\u000a Procedures to format objects, and use boxes\u000a\u000a +p7419 +tp7420 +a(g6 +V* +tp7421 +a(g6 +V* +tp7422 +a(g6 +V* +tp7423 +a(g6 +V* +tp7424 +a(g6 +V* +tp7425 +a(g6 +V* +tp7426 +a(g6 +V* +tp7427 +a(g6 +V* +tp7428 +a(g6 +V* +tp7429 +a(g6 +V* +tp7430 +a(g6 +V* +tp7431 +a(g6 +V* +tp7432 +a(g6 +V* +tp7433 +a(g6 +V* +tp7434 +a(g6 +V* +tp7435 +a(g6 +V* +tp7436 +a(g6 +V* +tp7437 +a(g6 +V* +tp7438 +a(g6 +V* +tp7439 +a(g6 +V* +tp7440 +a(g6 +V* +tp7441 +a(g6 +V* +tp7442 +a(g6 +V* +tp7443 +a(g6 +V* +tp7444 +a(g6 +V* +tp7445 +a(g6 +V* +tp7446 +a(g6 +V* +tp7447 +a(g6 +V* +tp7448 +a(g6 +V* +tp7449 +a(g6 +V* +tp7450 +a(g6 +V* +tp7451 +a(g6 +V* +tp7452 +a(g6 +V* +tp7453 +a(g6 +V* +tp7454 +a(g6 +V* +tp7455 +a(g6 +V* +tp7456 +a(g6 +V* +tp7457 +a(g6 +V* +tp7458 +a(g6 +V* +tp7459 +a(g6 +V* +tp7460 +a(g6 +V* +tp7461 +a(g6 +V* +tp7462 +a(g6 +V* +tp7463 +a(g6 +V* +tp7464 +a(g6 +V* +tp7465 +a(g6 +V* +tp7466 +a(g6 +V* +tp7467 +a(g6 +V* +tp7468 +a(g6 +V* +tp7469 +a(g6 +V* +tp7470 +a(g6 +V* +tp7471 +a(g6 +V* +tp7472 +a(g6 +V* +tp7473 +a(g6 +V* +tp7474 +a(g6 +V* +tp7475 +a(g6 +V* +tp7476 +a(g6 +V* +tp7477 +a(g6 +V* +tp7478 +a(g6 +V* +tp7479 +a(g6 +V* +tp7480 +a(g6 +V* +tp7481 +a(g6 +V*) +p7482 +tp7483 +a(g185 +V\u000a\u000a +p7484 +tp7485 +a(g6 +V(* +p7486 +tp7487 +a(g6 +V To format a string. +p7488 +tp7489 +a(g6 +V*) +p7490 +tp7491 +a(g185 +V\u000a +tp7492 +a(g107 +Vlet +p7493 +tp7494 +a(g185 +V +tp7495 +a(g12 +Vpp_print_as_size +p7496 +tp7497 +a(g185 +V +tp7498 +a(g12 +Vstate +p7499 +tp7500 +a(g185 +V +tp7501 +a(g12 +Vsize +p7502 +tp7503 +a(g185 +V +tp7504 +a(g12 +Vs +tp7505 +a(g185 +V +tp7506 +a(g340 +V= +tp7507 +a(g185 +V\u000a +p7508 +tp7509 +a(g107 +Vif +p7510 +tp7511 +a(g185 +V +tp7512 +a(g12 +Vstate +p7513 +tp7514 +a(g340 +V. +tp7515 +a(g12 +Vpp_curr_depth +p7516 +tp7517 +a(g185 +V +tp7518 +a(g340 +V< +tp7519 +a(g185 +V +tp7520 +a(g12 +Vstate +p7521 +tp7522 +a(g340 +V. +tp7523 +a(g12 +Vpp_max_boxes +p7524 +tp7525 +a(g185 +V\u000a +p7526 +tp7527 +a(g107 +Vthen +p7528 +tp7529 +a(g185 +V +tp7530 +a(g12 +Venqueue_string_as +p7531 +tp7532 +a(g185 +V +tp7533 +a(g12 +Vstate +p7534 +tp7535 +a(g185 +V +tp7536 +a(g12 +Vsize +p7537 +tp7538 +a(g185 +V +tp7539 +a(g12 +Vs +tp7540 +a(g340 +V; +tp7541 +a(g340 +V; +tp7542 +a(g185 +V\u000a\u000a +p7543 +tp7544 +a(g107 +Vlet +p7545 +tp7546 +a(g185 +V +tp7547 +a(g12 +Vpp_print_as +p7548 +tp7549 +a(g185 +V +tp7550 +a(g12 +Vstate +p7551 +tp7552 +a(g185 +V +tp7553 +a(g12 +Visize +p7554 +tp7555 +a(g185 +V +tp7556 +a(g12 +Vs +tp7557 +a(g185 +V +tp7558 +a(g340 +V= +tp7559 +a(g185 +V\u000a +p7560 +tp7561 +a(g12 +Vpp_print_as_size +p7562 +tp7563 +a(g185 +V +tp7564 +a(g12 +Vstate +p7565 +tp7566 +a(g185 +V +tp7567 +a(g340 +V( +tp7568 +a(g12 +Vsize_of_int +p7569 +tp7570 +a(g185 +V +tp7571 +a(g12 +Visize +p7572 +tp7573 +a(g340 +V) +tp7574 +a(g185 +V +tp7575 +a(g12 +Vs +tp7576 +a(g340 +V; +tp7577 +a(g340 +V; +tp7578 +a(g185 +V\u000a\u000a +p7579 +tp7580 +a(g107 +Vlet +p7581 +tp7582 +a(g185 +V +tp7583 +a(g12 +Vpp_print_string +p7584 +tp7585 +a(g185 +V +tp7586 +a(g12 +Vstate +p7587 +tp7588 +a(g185 +V +tp7589 +a(g12 +Vs +tp7590 +a(g185 +V +tp7591 +a(g340 +V= +tp7592 +a(g185 +V\u000a +p7593 +tp7594 +a(g12 +Vpp_print_as +p7595 +tp7596 +a(g185 +V +tp7597 +a(g12 +Vstate +p7598 +tp7599 +a(g185 +V +tp7600 +a(g340 +V( +tp7601 +a(g95 +VString +p7602 +tp7603 +a(g198 +V. +tp7604 +a(g12 +Vlength +p7605 +tp7606 +a(g185 +V +tp7607 +a(g12 +Vs +tp7608 +a(g340 +V) +tp7609 +a(g185 +V +tp7610 +a(g12 +Vs +tp7611 +a(g340 +V; +tp7612 +a(g340 +V; +tp7613 +a(g185 +V\u000a\u000a +p7614 +tp7615 +a(g6 +V(* +p7616 +tp7617 +a(g6 +V To format an integer. +p7618 +tp7619 +a(g6 +V*) +p7620 +tp7621 +a(g185 +V\u000a +tp7622 +a(g107 +Vlet +p7623 +tp7624 +a(g185 +V +tp7625 +a(g12 +Vpp_print_int +p7626 +tp7627 +a(g185 +V +tp7628 +a(g12 +Vstate +p7629 +tp7630 +a(g185 +V +tp7631 +a(g12 +Vi +tp7632 +a(g185 +V +tp7633 +a(g340 +V= +tp7634 +a(g185 +V +tp7635 +a(g12 +Vpp_print_string +p7636 +tp7637 +a(g185 +V +tp7638 +a(g12 +Vstate +p7639 +tp7640 +a(g185 +V +tp7641 +a(g340 +V( +tp7642 +a(g12 +Vstring_of_int +p7643 +tp7644 +a(g185 +V +tp7645 +a(g12 +Vi +tp7646 +a(g340 +V) +tp7647 +a(g340 +V; +tp7648 +a(g340 +V; +tp7649 +a(g185 +V\u000a\u000a +p7650 +tp7651 +a(g6 +V(* +p7652 +tp7653 +a(g6 +V To format a float. +p7654 +tp7655 +a(g6 +V*) +p7656 +tp7657 +a(g185 +V\u000a +tp7658 +a(g107 +Vlet +p7659 +tp7660 +a(g185 +V +tp7661 +a(g12 +Vpp_print_float +p7662 +tp7663 +a(g185 +V +tp7664 +a(g12 +Vstate +p7665 +tp7666 +a(g185 +V +tp7667 +a(g12 +Vf +tp7668 +a(g185 +V +tp7669 +a(g340 +V= +tp7670 +a(g185 +V +tp7671 +a(g12 +Vpp_print_string +p7672 +tp7673 +a(g185 +V +tp7674 +a(g12 +Vstate +p7675 +tp7676 +a(g185 +V +tp7677 +a(g340 +V( +tp7678 +a(g12 +Vstring_of_float +p7679 +tp7680 +a(g185 +V +tp7681 +a(g12 +Vf +tp7682 +a(g340 +V) +tp7683 +a(g340 +V; +tp7684 +a(g340 +V; +tp7685 +a(g185 +V\u000a\u000a +p7686 +tp7687 +a(g6 +V(* +p7688 +tp7689 +a(g6 +V To format a boolean. +p7690 +tp7691 +a(g6 +V*) +p7692 +tp7693 +a(g185 +V\u000a +tp7694 +a(g107 +Vlet +p7695 +tp7696 +a(g185 +V +tp7697 +a(g12 +Vpp_print_bool +p7698 +tp7699 +a(g185 +V +tp7700 +a(g12 +Vstate +p7701 +tp7702 +a(g185 +V +tp7703 +a(g12 +Vb +tp7704 +a(g185 +V +tp7705 +a(g340 +V= +tp7706 +a(g185 +V +tp7707 +a(g12 +Vpp_print_string +p7708 +tp7709 +a(g185 +V +tp7710 +a(g12 +Vstate +p7711 +tp7712 +a(g185 +V +tp7713 +a(g340 +V( +tp7714 +a(g12 +Vstring_of_bool +p7715 +tp7716 +a(g185 +V +tp7717 +a(g12 +Vb +tp7718 +a(g340 +V) +tp7719 +a(g340 +V; +tp7720 +a(g340 +V; +tp7721 +a(g185 +V\u000a\u000a +p7722 +tp7723 +a(g6 +V(* +p7724 +tp7725 +a(g6 +V To format a char. +p7726 +tp7727 +a(g6 +V*) +p7728 +tp7729 +a(g185 +V\u000a +tp7730 +a(g107 +Vlet +p7731 +tp7732 +a(g185 +V +tp7733 +a(g12 +Vpp_print_char +p7734 +tp7735 +a(g185 +V +tp7736 +a(g12 +Vstate +p7737 +tp7738 +a(g185 +V +tp7739 +a(g12 +Vc +tp7740 +a(g185 +V +tp7741 +a(g340 +V= +tp7742 +a(g185 +V\u000a +p7743 +tp7744 +a(g107 +Vlet +p7745 +tp7746 +a(g185 +V +tp7747 +a(g12 +Vs +tp7748 +a(g185 +V +tp7749 +a(g340 +V= +tp7750 +a(g185 +V +tp7751 +a(g95 +VString +p7752 +tp7753 +a(g198 +V. +tp7754 +a(g12 +Vcreate +p7755 +tp7756 +a(g185 +V +tp7757 +a(g315 +V1 +tp7758 +a(g185 +V +tp7759 +a(g107 +Vin +p7760 +tp7761 +a(g185 +V\u000a +p7762 +tp7763 +a(g12 +Vs +tp7764 +a(g340 +V. +tp7765 +a(g340 +V[ +tp7766 +a(g315 +V0 +tp7767 +a(g340 +V] +tp7768 +a(g185 +V +tp7769 +a(g340 +V< +tp7770 +a(g340 +V- +tp7771 +a(g185 +V +tp7772 +a(g12 +Vc +tp7773 +a(g340 +V; +tp7774 +a(g185 +V\u000a +p7775 +tp7776 +a(g12 +Vpp_print_as +p7777 +tp7778 +a(g185 +V +tp7779 +a(g12 +Vstate +p7780 +tp7781 +a(g185 +V +tp7782 +a(g315 +V1 +tp7783 +a(g185 +V +tp7784 +a(g12 +Vs +tp7785 +a(g340 +V; +tp7786 +a(g340 +V; +tp7787 +a(g185 +V\u000a\u000a +p7788 +tp7789 +a(g6 +V(* +p7790 +tp7791 +a(g6 +V Opening boxes. +p7792 +tp7793 +a(g6 +V*) +p7794 +tp7795 +a(g185 +V\u000a +tp7796 +a(g107 +Vlet +p7797 +tp7798 +a(g185 +V +tp7799 +a(g12 +Vpp_open_hbox +p7800 +tp7801 +a(g185 +V +tp7802 +a(g12 +Vstate +p7803 +tp7804 +a(g185 +V +tp7805 +a(g56 +V() +p7806 +tp7807 +a(g185 +V +tp7808 +a(g340 +V= +tp7809 +a(g185 +V +tp7810 +a(g12 +Vpp_open_box_gen +p7811 +tp7812 +a(g185 +V +tp7813 +a(g12 +Vstate +p7814 +tp7815 +a(g185 +V +tp7816 +a(g315 +V0 +tp7817 +a(g185 +V +tp7818 +a(g102 +VPp_hbox +p7819 +tp7820 +a(g185 +V\u000a +tp7821 +a(g344 +Vand +p7822 +tp7823 +a(g185 +V +tp7824 +a(g12 +Vpp_open_vbox +p7825 +tp7826 +a(g185 +V +tp7827 +a(g12 +Vstate +p7828 +tp7829 +a(g185 +V +tp7830 +a(g12 +Vindent +p7831 +tp7832 +a(g185 +V +tp7833 +a(g340 +V= +tp7834 +a(g185 +V +tp7835 +a(g12 +Vpp_open_box_gen +p7836 +tp7837 +a(g185 +V +tp7838 +a(g12 +Vstate +p7839 +tp7840 +a(g185 +V +tp7841 +a(g12 +Vindent +p7842 +tp7843 +a(g185 +V +tp7844 +a(g102 +VPp_vbox +p7845 +tp7846 +a(g185 +V\u000a\u000a +p7847 +tp7848 +a(g344 +Vand +p7849 +tp7850 +a(g185 +V +tp7851 +a(g12 +Vpp_open_hvbox +p7852 +tp7853 +a(g185 +V +tp7854 +a(g12 +Vstate +p7855 +tp7856 +a(g185 +V +tp7857 +a(g12 +Vindent +p7858 +tp7859 +a(g185 +V +tp7860 +a(g340 +V= +tp7861 +a(g185 +V +tp7862 +a(g12 +Vpp_open_box_gen +p7863 +tp7864 +a(g185 +V +tp7865 +a(g12 +Vstate +p7866 +tp7867 +a(g185 +V +tp7868 +a(g12 +Vindent +p7869 +tp7870 +a(g185 +V +tp7871 +a(g102 +VPp_hvbox +p7872 +tp7873 +a(g185 +V\u000a +tp7874 +a(g344 +Vand +p7875 +tp7876 +a(g185 +V +tp7877 +a(g12 +Vpp_open_hovbox +p7878 +tp7879 +a(g185 +V +tp7880 +a(g12 +Vstate +p7881 +tp7882 +a(g185 +V +tp7883 +a(g12 +Vindent +p7884 +tp7885 +a(g185 +V +tp7886 +a(g340 +V= +tp7887 +a(g185 +V +tp7888 +a(g12 +Vpp_open_box_gen +p7889 +tp7890 +a(g185 +V +tp7891 +a(g12 +Vstate +p7892 +tp7893 +a(g185 +V +tp7894 +a(g12 +Vindent +p7895 +tp7896 +a(g185 +V +tp7897 +a(g102 +VPp_hovbox +p7898 +tp7899 +a(g185 +V\u000a +tp7900 +a(g344 +Vand +p7901 +tp7902 +a(g185 +V +tp7903 +a(g12 +Vpp_open_box +p7904 +tp7905 +a(g185 +V +tp7906 +a(g12 +Vstate +p7907 +tp7908 +a(g185 +V +tp7909 +a(g12 +Vindent +p7910 +tp7911 +a(g185 +V +tp7912 +a(g340 +V= +tp7913 +a(g185 +V +tp7914 +a(g12 +Vpp_open_box_gen +p7915 +tp7916 +a(g185 +V +tp7917 +a(g12 +Vstate +p7918 +tp7919 +a(g185 +V +tp7920 +a(g12 +Vindent +p7921 +tp7922 +a(g185 +V +tp7923 +a(g102 +VPp_box +p7924 +tp7925 +a(g340 +V; +tp7926 +a(g340 +V; +tp7927 +a(g185 +V\u000a\u000a +p7928 +tp7929 +a(g6 +V(* +p7930 +tp7931 +a(g6 +V Print a new line after printing all queued text\u000a +p7932 +tp7933 +a(g6 +V( +tp7934 +a(g6 +Vsame for print_flush but without a newline +p7935 +tp7936 +a(g6 +V) +tp7937 +a(g6 +V. +p7938 +tp7939 +a(g6 +V*) +p7940 +tp7941 +a(g185 +V\u000a +tp7942 +a(g107 +Vlet +p7943 +tp7944 +a(g185 +V +tp7945 +a(g12 +Vpp_print_newline +p7946 +tp7947 +a(g185 +V +tp7948 +a(g12 +Vstate +p7949 +tp7950 +a(g185 +V +tp7951 +a(g56 +V() +p7952 +tp7953 +a(g185 +V +tp7954 +a(g340 +V= +tp7955 +a(g185 +V\u000a +p7956 +tp7957 +a(g12 +Vpp_flush_queue +p7958 +tp7959 +a(g185 +V +tp7960 +a(g12 +Vstate +p7961 +tp7962 +a(g185 +V +tp7963 +a(g56 +Vtrue +p7964 +tp7965 +a(g340 +V; +tp7966 +a(g185 +V +tp7967 +a(g12 +Vstate +p7968 +tp7969 +a(g340 +V. +tp7970 +a(g12 +Vpp_flush_function +p7971 +tp7972 +a(g185 +V +tp7973 +a(g56 +V() +p7974 +tp7975 +a(g185 +V\u000a +tp7976 +a(g344 +Vand +p7977 +tp7978 +a(g185 +V +tp7979 +a(g12 +Vpp_print_flush +p7980 +tp7981 +a(g185 +V +tp7982 +a(g12 +Vstate +p7983 +tp7984 +a(g185 +V +tp7985 +a(g56 +V() +p7986 +tp7987 +a(g185 +V +tp7988 +a(g340 +V= +tp7989 +a(g185 +V\u000a +p7990 +tp7991 +a(g12 +Vpp_flush_queue +p7992 +tp7993 +a(g185 +V +tp7994 +a(g12 +Vstate +p7995 +tp7996 +a(g185 +V +tp7997 +a(g56 +Vfalse +p7998 +tp7999 +a(g340 +V; +tp8000 +a(g185 +V +tp8001 +a(g12 +Vstate +p8002 +tp8003 +a(g340 +V. +tp8004 +a(g12 +Vpp_flush_function +p8005 +tp8006 +a(g185 +V +tp8007 +a(g56 +V() +p8008 +tp8009 +a(g340 +V; +tp8010 +a(g340 +V; +tp8011 +a(g185 +V\u000a\u000a +p8012 +tp8013 +a(g6 +V(* +p8014 +tp8015 +a(g6 +V To get a newline when one does not want to close the current block. +p8016 +tp8017 +a(g6 +V*) +p8018 +tp8019 +a(g185 +V\u000a +tp8020 +a(g107 +Vlet +p8021 +tp8022 +a(g185 +V +tp8023 +a(g12 +Vpp_force_newline +p8024 +tp8025 +a(g185 +V +tp8026 +a(g12 +Vstate +p8027 +tp8028 +a(g185 +V +tp8029 +a(g56 +V() +p8030 +tp8031 +a(g185 +V +tp8032 +a(g340 +V= +tp8033 +a(g185 +V\u000a +p8034 +tp8035 +a(g107 +Vif +p8036 +tp8037 +a(g185 +V +tp8038 +a(g12 +Vstate +p8039 +tp8040 +a(g340 +V. +tp8041 +a(g12 +Vpp_curr_depth +p8042 +tp8043 +a(g185 +V +tp8044 +a(g340 +V< +tp8045 +a(g185 +V +tp8046 +a(g12 +Vstate +p8047 +tp8048 +a(g340 +V. +tp8049 +a(g12 +Vpp_max_boxes +p8050 +tp8051 +a(g185 +V +tp8052 +a(g107 +Vthen +p8053 +tp8054 +a(g185 +V\u000a +p8055 +tp8056 +a(g12 +Venqueue_advance +p8057 +tp8058 +a(g185 +V +tp8059 +a(g12 +Vstate +p8060 +tp8061 +a(g185 +V +tp8062 +a(g340 +V( +tp8063 +a(g12 +Vmake_queue_elem +p8064 +tp8065 +a(g185 +V +tp8066 +a(g340 +V( +tp8067 +a(g12 +Vsize_of_int +p8068 +tp8069 +a(g185 +V +tp8070 +a(g315 +V0 +tp8071 +a(g340 +V) +tp8072 +a(g185 +V +tp8073 +a(g102 +VPp_newline +p8074 +tp8075 +a(g185 +V +tp8076 +a(g315 +V0 +tp8077 +a(g340 +V) +tp8078 +a(g340 +V; +tp8079 +a(g340 +V; +tp8080 +a(g185 +V\u000a\u000a +p8081 +tp8082 +a(g6 +V(* +p8083 +tp8084 +a(g6 +V To format something if the line has just been broken. +p8085 +tp8086 +a(g6 +V*) +p8087 +tp8088 +a(g185 +V\u000a +tp8089 +a(g107 +Vlet +p8090 +tp8091 +a(g185 +V +tp8092 +a(g12 +Vpp_print_if_newline +p8093 +tp8094 +a(g185 +V +tp8095 +a(g12 +Vstate +p8096 +tp8097 +a(g185 +V +tp8098 +a(g56 +V() +p8099 +tp8100 +a(g185 +V +tp8101 +a(g340 +V= +tp8102 +a(g185 +V\u000a +p8103 +tp8104 +a(g107 +Vif +p8105 +tp8106 +a(g185 +V +tp8107 +a(g12 +Vstate +p8108 +tp8109 +a(g340 +V. +tp8110 +a(g12 +Vpp_curr_depth +p8111 +tp8112 +a(g185 +V +tp8113 +a(g340 +V< +tp8114 +a(g185 +V +tp8115 +a(g12 +Vstate +p8116 +tp8117 +a(g340 +V. +tp8118 +a(g12 +Vpp_max_boxes +p8119 +tp8120 +a(g185 +V +tp8121 +a(g107 +Vthen +p8122 +tp8123 +a(g185 +V\u000a +p8124 +tp8125 +a(g12 +Venqueue_advance +p8126 +tp8127 +a(g185 +V +tp8128 +a(g12 +Vstate +p8129 +tp8130 +a(g185 +V +tp8131 +a(g340 +V( +tp8132 +a(g12 +Vmake_queue_elem +p8133 +tp8134 +a(g185 +V +tp8135 +a(g340 +V( +tp8136 +a(g12 +Vsize_of_int +p8137 +tp8138 +a(g185 +V +tp8139 +a(g315 +V0 +tp8140 +a(g340 +V) +tp8141 +a(g185 +V +tp8142 +a(g102 +VPp_if_newline +p8143 +tp8144 +a(g185 +V +tp8145 +a(g315 +V0 +tp8146 +a(g340 +V) +tp8147 +a(g340 +V; +tp8148 +a(g340 +V; +tp8149 +a(g185 +V\u000a\u000a +p8150 +tp8151 +a(g6 +V(* +p8152 +tp8153 +a(g6 +V Breaks: indicate where a block may be broken.\u000a If line is broken then offset is added to the indentation of the current\u000a block else +p8154 +tp8155 +a(g6 +V( +tp8156 +a(g6 +Vthe value of +p8157 +tp8158 +a(g6 +V) +tp8159 +a(g6 +V width blanks are printed.\u000a To do +p8160 +tp8161 +a(g6 +V( +tp8162 +a(g6 +V? +tp8163 +a(g6 +V) +tp8164 +a(g6 +V : add a maximum width and offset value. +p8165 +tp8166 +a(g6 +V*) +p8167 +tp8168 +a(g185 +V\u000a +tp8169 +a(g107 +Vlet +p8170 +tp8171 +a(g185 +V +tp8172 +a(g12 +Vpp_print_break +p8173 +tp8174 +a(g185 +V +tp8175 +a(g12 +Vstate +p8176 +tp8177 +a(g185 +V +tp8178 +a(g12 +Vwidth +p8179 +tp8180 +a(g185 +V +tp8181 +a(g12 +Voffset +p8182 +tp8183 +a(g185 +V +tp8184 +a(g340 +V= +tp8185 +a(g185 +V\u000a +p8186 +tp8187 +a(g107 +Vif +p8188 +tp8189 +a(g185 +V +tp8190 +a(g12 +Vstate +p8191 +tp8192 +a(g340 +V. +tp8193 +a(g12 +Vpp_curr_depth +p8194 +tp8195 +a(g185 +V +tp8196 +a(g340 +V< +tp8197 +a(g185 +V +tp8198 +a(g12 +Vstate +p8199 +tp8200 +a(g340 +V. +tp8201 +a(g12 +Vpp_max_boxes +p8202 +tp8203 +a(g185 +V +tp8204 +a(g107 +Vthen +p8205 +tp8206 +a(g185 +V\u000a +p8207 +tp8208 +a(g107 +Vlet +p8209 +tp8210 +a(g185 +V +tp8211 +a(g12 +Velem +p8212 +tp8213 +a(g185 +V +tp8214 +a(g340 +V= +tp8215 +a(g185 +V\u000a +p8216 +tp8217 +a(g12 +Vmake_queue_elem +p8218 +tp8219 +a(g185 +V\u000a +p8220 +tp8221 +a(g340 +V( +tp8222 +a(g12 +Vsize_of_int +p8223 +tp8224 +a(g185 +V +tp8225 +a(g340 +V( +tp8226 +a(g340 +V- +tp8227 +a(g185 +V +tp8228 +a(g12 +Vstate +p8229 +tp8230 +a(g340 +V. +tp8231 +a(g12 +Vpp_right_total +p8232 +tp8233 +a(g340 +V) +tp8234 +a(g340 +V) +tp8235 +a(g185 +V\u000a +p8236 +tp8237 +a(g340 +V( +tp8238 +a(g102 +VPp_break +p8239 +tp8240 +a(g185 +V +tp8241 +a(g340 +V( +tp8242 +a(g12 +Vwidth +p8243 +tp8244 +a(g340 +V, +tp8245 +a(g185 +V +tp8246 +a(g12 +Voffset +p8247 +tp8248 +a(g340 +V) +tp8249 +a(g340 +V) +tp8250 +a(g185 +V\u000a +p8251 +tp8252 +a(g12 +Vwidth +p8253 +tp8254 +a(g185 +V +tp8255 +a(g107 +Vin +p8256 +tp8257 +a(g185 +V\u000a +p8258 +tp8259 +a(g12 +Vscan_push +p8260 +tp8261 +a(g185 +V +tp8262 +a(g12 +Vstate +p8263 +tp8264 +a(g185 +V +tp8265 +a(g56 +Vtrue +p8266 +tp8267 +a(g185 +V +tp8268 +a(g12 +Velem +p8269 +tp8270 +a(g340 +V; +tp8271 +a(g340 +V; +tp8272 +a(g185 +V\u000a\u000a +p8273 +tp8274 +a(g107 +Vlet +p8275 +tp8276 +a(g185 +V +tp8277 +a(g12 +Vpp_print_space +p8278 +tp8279 +a(g185 +V +tp8280 +a(g12 +Vstate +p8281 +tp8282 +a(g185 +V +tp8283 +a(g56 +V() +p8284 +tp8285 +a(g185 +V +tp8286 +a(g340 +V= +tp8287 +a(g185 +V +tp8288 +a(g12 +Vpp_print_break +p8289 +tp8290 +a(g185 +V +tp8291 +a(g12 +Vstate +p8292 +tp8293 +a(g185 +V +tp8294 +a(g315 +V1 +tp8295 +a(g185 +V +tp8296 +a(g315 +V0 +tp8297 +a(g185 +V\u000a +tp8298 +a(g344 +Vand +p8299 +tp8300 +a(g185 +V +tp8301 +a(g12 +Vpp_print_cut +p8302 +tp8303 +a(g185 +V +tp8304 +a(g12 +Vstate +p8305 +tp8306 +a(g185 +V +tp8307 +a(g56 +V() +p8308 +tp8309 +a(g185 +V +tp8310 +a(g340 +V= +tp8311 +a(g185 +V +tp8312 +a(g12 +Vpp_print_break +p8313 +tp8314 +a(g185 +V +tp8315 +a(g12 +Vstate +p8316 +tp8317 +a(g185 +V +tp8318 +a(g315 +V0 +tp8319 +a(g185 +V +tp8320 +a(g315 +V0 +tp8321 +a(g340 +V; +tp8322 +a(g340 +V; +tp8323 +a(g185 +V\u000a\u000a +p8324 +tp8325 +a(g6 +V(* +p8326 +tp8327 +a(g6 +V Tabulation boxes. +p8328 +tp8329 +a(g6 +V*) +p8330 +tp8331 +a(g185 +V\u000a +tp8332 +a(g107 +Vlet +p8333 +tp8334 +a(g185 +V +tp8335 +a(g12 +Vpp_open_tbox +p8336 +tp8337 +a(g185 +V +tp8338 +a(g12 +Vstate +p8339 +tp8340 +a(g185 +V +tp8341 +a(g56 +V() +p8342 +tp8343 +a(g185 +V +tp8344 +a(g340 +V= +tp8345 +a(g185 +V\u000a +p8346 +tp8347 +a(g12 +Vstate +p8348 +tp8349 +a(g340 +V. +tp8350 +a(g12 +Vpp_curr_depth +p8351 +tp8352 +a(g185 +V +tp8353 +a(g340 +V< +tp8354 +a(g340 +V- +tp8355 +a(g185 +V +tp8356 +a(g12 +Vstate +p8357 +tp8358 +a(g340 +V. +tp8359 +a(g12 +Vpp_curr_depth +p8360 +tp8361 +a(g185 +V +tp8362 +a(g340 +V+ +tp8363 +a(g185 +V +tp8364 +a(g315 +V1 +tp8365 +a(g340 +V; +tp8366 +a(g185 +V\u000a +p8367 +tp8368 +a(g107 +Vif +p8369 +tp8370 +a(g185 +V +tp8371 +a(g12 +Vstate +p8372 +tp8373 +a(g340 +V. +tp8374 +a(g12 +Vpp_curr_depth +p8375 +tp8376 +a(g185 +V +tp8377 +a(g340 +V< +tp8378 +a(g185 +V +tp8379 +a(g12 +Vstate +p8380 +tp8381 +a(g340 +V. +tp8382 +a(g12 +Vpp_max_boxes +p8383 +tp8384 +a(g185 +V +tp8385 +a(g107 +Vthen +p8386 +tp8387 +a(g185 +V\u000a +p8388 +tp8389 +a(g107 +Vlet +p8390 +tp8391 +a(g185 +V +tp8392 +a(g12 +Velem +p8393 +tp8394 +a(g185 +V +tp8395 +a(g340 +V= +tp8396 +a(g185 +V\u000a +p8397 +tp8398 +a(g12 +Vmake_queue_elem +p8399 +tp8400 +a(g185 +V +tp8401 +a(g340 +V( +tp8402 +a(g12 +Vsize_of_int +p8403 +tp8404 +a(g185 +V +tp8405 +a(g315 +V0 +tp8406 +a(g340 +V) +tp8407 +a(g185 +V +tp8408 +a(g340 +V( +tp8409 +a(g102 +VPp_tbegin +p8410 +tp8411 +a(g185 +V +tp8412 +a(g340 +V( +tp8413 +a(g102 +VPp_tbox +p8414 +tp8415 +a(g185 +V +tp8416 +a(g340 +V( +tp8417 +a(g12 +Vref +p8418 +tp8419 +a(g185 +V +tp8420 +a(g56 +V[] +p8421 +tp8422 +a(g340 +V) +tp8423 +a(g340 +V) +tp8424 +a(g340 +V) +tp8425 +a(g185 +V +tp8426 +a(g315 +V0 +tp8427 +a(g185 +V +tp8428 +a(g107 +Vin +p8429 +tp8430 +a(g185 +V\u000a +p8431 +tp8432 +a(g12 +Venqueue_advance +p8433 +tp8434 +a(g185 +V +tp8435 +a(g12 +Vstate +p8436 +tp8437 +a(g185 +V +tp8438 +a(g12 +Velem +p8439 +tp8440 +a(g340 +V; +tp8441 +a(g340 +V; +tp8442 +a(g185 +V\u000a\u000a +p8443 +tp8444 +a(g6 +V(* +p8445 +tp8446 +a(g6 +V Close a tabulation block. +p8447 +tp8448 +a(g6 +V*) +p8449 +tp8450 +a(g185 +V\u000a +tp8451 +a(g107 +Vlet +p8452 +tp8453 +a(g185 +V +tp8454 +a(g12 +Vpp_close_tbox +p8455 +tp8456 +a(g185 +V +tp8457 +a(g12 +Vstate +p8458 +tp8459 +a(g185 +V +tp8460 +a(g56 +V() +p8461 +tp8462 +a(g185 +V +tp8463 +a(g340 +V= +tp8464 +a(g185 +V\u000a +p8465 +tp8466 +a(g107 +Vif +p8467 +tp8468 +a(g185 +V +tp8469 +a(g12 +Vstate +p8470 +tp8471 +a(g340 +V. +tp8472 +a(g12 +Vpp_curr_depth +p8473 +tp8474 +a(g185 +V +tp8475 +a(g340 +V> +tp8476 +a(g185 +V +tp8477 +a(g315 +V1 +tp8478 +a(g185 +V +tp8479 +a(g107 +Vthen +p8480 +tp8481 +a(g185 +V +tp8482 +a(g107 +Vbegin +p8483 +tp8484 +a(g185 +V\u000a +p8485 +tp8486 +a(g107 +Vif +p8487 +tp8488 +a(g185 +V +tp8489 +a(g12 +Vstate +p8490 +tp8491 +a(g340 +V. +tp8492 +a(g12 +Vpp_curr_depth +p8493 +tp8494 +a(g185 +V +tp8495 +a(g340 +V< +tp8496 +a(g185 +V +tp8497 +a(g12 +Vstate +p8498 +tp8499 +a(g340 +V. +tp8500 +a(g12 +Vpp_max_boxes +p8501 +tp8502 +a(g185 +V +tp8503 +a(g107 +Vthen +p8504 +tp8505 +a(g185 +V\u000a +p8506 +tp8507 +a(g107 +Vlet +p8508 +tp8509 +a(g185 +V +tp8510 +a(g12 +Velem +p8511 +tp8512 +a(g185 +V +tp8513 +a(g340 +V= +tp8514 +a(g185 +V +tp8515 +a(g12 +Vmake_queue_elem +p8516 +tp8517 +a(g185 +V +tp8518 +a(g340 +V( +tp8519 +a(g12 +Vsize_of_int +p8520 +tp8521 +a(g185 +V +tp8522 +a(g315 +V0 +tp8523 +a(g340 +V) +tp8524 +a(g185 +V +tp8525 +a(g102 +VPp_tend +p8526 +tp8527 +a(g185 +V +tp8528 +a(g315 +V0 +tp8529 +a(g185 +V +tp8530 +a(g107 +Vin +p8531 +tp8532 +a(g185 +V\u000a +p8533 +tp8534 +a(g12 +Venqueue_advance +p8535 +tp8536 +a(g185 +V +tp8537 +a(g12 +Vstate +p8538 +tp8539 +a(g185 +V +tp8540 +a(g12 +Velem +p8541 +tp8542 +a(g340 +V; +tp8543 +a(g185 +V\u000a +p8544 +tp8545 +a(g12 +Vstate +p8546 +tp8547 +a(g340 +V. +tp8548 +a(g12 +Vpp_curr_depth +p8549 +tp8550 +a(g185 +V +tp8551 +a(g340 +V< +tp8552 +a(g340 +V- +tp8553 +a(g185 +V +tp8554 +a(g12 +Vstate +p8555 +tp8556 +a(g340 +V. +tp8557 +a(g12 +Vpp_curr_depth +p8558 +tp8559 +a(g185 +V +tp8560 +a(g340 +V- +tp8561 +a(g185 +V +tp8562 +a(g315 +V1 +tp8563 +a(g185 +V +tp8564 +a(g107 +Vend +p8565 +tp8566 +a(g340 +V; +tp8567 +a(g340 +V; +tp8568 +a(g185 +V\u000a\u000a +p8569 +tp8570 +a(g6 +V(* +p8571 +tp8572 +a(g6 +V Print a tabulation break. +p8573 +tp8574 +a(g6 +V*) +p8575 +tp8576 +a(g185 +V\u000a +tp8577 +a(g107 +Vlet +p8578 +tp8579 +a(g185 +V +tp8580 +a(g12 +Vpp_print_tbreak +p8581 +tp8582 +a(g185 +V +tp8583 +a(g12 +Vstate +p8584 +tp8585 +a(g185 +V +tp8586 +a(g12 +Vwidth +p8587 +tp8588 +a(g185 +V +tp8589 +a(g12 +Voffset +p8590 +tp8591 +a(g185 +V +tp8592 +a(g340 +V= +tp8593 +a(g185 +V\u000a +p8594 +tp8595 +a(g107 +Vif +p8596 +tp8597 +a(g185 +V +tp8598 +a(g12 +Vstate +p8599 +tp8600 +a(g340 +V. +tp8601 +a(g12 +Vpp_curr_depth +p8602 +tp8603 +a(g185 +V +tp8604 +a(g340 +V< +tp8605 +a(g185 +V +tp8606 +a(g12 +Vstate +p8607 +tp8608 +a(g340 +V. +tp8609 +a(g12 +Vpp_max_boxes +p8610 +tp8611 +a(g185 +V +tp8612 +a(g107 +Vthen +p8613 +tp8614 +a(g185 +V\u000a +p8615 +tp8616 +a(g107 +Vlet +p8617 +tp8618 +a(g185 +V +tp8619 +a(g12 +Velem +p8620 +tp8621 +a(g185 +V +tp8622 +a(g340 +V= +tp8623 +a(g185 +V\u000a +p8624 +tp8625 +a(g12 +Vmake_queue_elem +p8626 +tp8627 +a(g185 +V\u000a +p8628 +tp8629 +a(g340 +V( +tp8630 +a(g12 +Vsize_of_int +p8631 +tp8632 +a(g185 +V +tp8633 +a(g340 +V( +tp8634 +a(g340 +V- +tp8635 +a(g185 +V +tp8636 +a(g12 +Vstate +p8637 +tp8638 +a(g340 +V. +tp8639 +a(g12 +Vpp_right_total +p8640 +tp8641 +a(g340 +V) +tp8642 +a(g340 +V) +tp8643 +a(g185 +V\u000a +p8644 +tp8645 +a(g340 +V( +tp8646 +a(g102 +VPp_tbreak +p8647 +tp8648 +a(g185 +V +tp8649 +a(g340 +V( +tp8650 +a(g12 +Vwidth +p8651 +tp8652 +a(g340 +V, +tp8653 +a(g185 +V +tp8654 +a(g12 +Voffset +p8655 +tp8656 +a(g340 +V) +tp8657 +a(g340 +V) +tp8658 +a(g185 +V\u000a +p8659 +tp8660 +a(g12 +Vwidth +p8661 +tp8662 +a(g185 +V +tp8663 +a(g107 +Vin +p8664 +tp8665 +a(g185 +V\u000a +p8666 +tp8667 +a(g12 +Vscan_push +p8668 +tp8669 +a(g185 +V +tp8670 +a(g12 +Vstate +p8671 +tp8672 +a(g185 +V +tp8673 +a(g56 +Vtrue +p8674 +tp8675 +a(g185 +V +tp8676 +a(g12 +Velem +p8677 +tp8678 +a(g340 +V; +tp8679 +a(g340 +V; +tp8680 +a(g185 +V\u000a\u000a +p8681 +tp8682 +a(g107 +Vlet +p8683 +tp8684 +a(g185 +V +tp8685 +a(g12 +Vpp_print_tab +p8686 +tp8687 +a(g185 +V +tp8688 +a(g12 +Vstate +p8689 +tp8690 +a(g185 +V +tp8691 +a(g56 +V() +p8692 +tp8693 +a(g185 +V +tp8694 +a(g340 +V= +tp8695 +a(g185 +V +tp8696 +a(g12 +Vpp_print_tbreak +p8697 +tp8698 +a(g185 +V +tp8699 +a(g12 +Vstate +p8700 +tp8701 +a(g185 +V +tp8702 +a(g315 +V0 +tp8703 +a(g185 +V +tp8704 +a(g315 +V0 +tp8705 +a(g340 +V; +tp8706 +a(g340 +V; +tp8707 +a(g185 +V\u000a\u000a +p8708 +tp8709 +a(g107 +Vlet +p8710 +tp8711 +a(g185 +V +tp8712 +a(g12 +Vpp_set_tab +p8713 +tp8714 +a(g185 +V +tp8715 +a(g12 +Vstate +p8716 +tp8717 +a(g185 +V +tp8718 +a(g56 +V() +p8719 +tp8720 +a(g185 +V +tp8721 +a(g340 +V= +tp8722 +a(g185 +V\u000a +p8723 +tp8724 +a(g107 +Vif +p8725 +tp8726 +a(g185 +V +tp8727 +a(g12 +Vstate +p8728 +tp8729 +a(g340 +V. +tp8730 +a(g12 +Vpp_curr_depth +p8731 +tp8732 +a(g185 +V +tp8733 +a(g340 +V< +tp8734 +a(g185 +V +tp8735 +a(g12 +Vstate +p8736 +tp8737 +a(g340 +V. +tp8738 +a(g12 +Vpp_max_boxes +p8739 +tp8740 +a(g185 +V +tp8741 +a(g107 +Vthen +p8742 +tp8743 +a(g185 +V\u000a +p8744 +tp8745 +a(g107 +Vlet +p8746 +tp8747 +a(g185 +V +tp8748 +a(g12 +Velem +p8749 +tp8750 +a(g185 +V +tp8751 +a(g340 +V= +tp8752 +a(g185 +V\u000a +p8753 +tp8754 +a(g12 +Vmake_queue_elem +p8755 +tp8756 +a(g185 +V +tp8757 +a(g340 +V( +tp8758 +a(g12 +Vsize_of_int +p8759 +tp8760 +a(g185 +V +tp8761 +a(g315 +V0 +tp8762 +a(g340 +V) +tp8763 +a(g185 +V +tp8764 +a(g102 +VPp_stab +p8765 +tp8766 +a(g185 +V +tp8767 +a(g315 +V0 +tp8768 +a(g185 +V +tp8769 +a(g107 +Vin +p8770 +tp8771 +a(g185 +V\u000a +p8772 +tp8773 +a(g12 +Venqueue_advance +p8774 +tp8775 +a(g185 +V +tp8776 +a(g12 +Vstate +p8777 +tp8778 +a(g185 +V +tp8779 +a(g12 +Velem +p8780 +tp8781 +a(g340 +V; +tp8782 +a(g340 +V; +tp8783 +a(g185 +V\u000a\u000a +p8784 +tp8785 +a(g6 +V(* +p8786 +tp8787 +a(g6 +V* +tp8788 +a(g6 +V* +tp8789 +a(g6 +V* +tp8790 +a(g6 +V* +tp8791 +a(g6 +V* +tp8792 +a(g6 +V* +tp8793 +a(g6 +V* +tp8794 +a(g6 +V* +tp8795 +a(g6 +V* +tp8796 +a(g6 +V* +tp8797 +a(g6 +V* +tp8798 +a(g6 +V* +tp8799 +a(g6 +V* +tp8800 +a(g6 +V* +tp8801 +a(g6 +V* +tp8802 +a(g6 +V* +tp8803 +a(g6 +V* +tp8804 +a(g6 +V* +tp8805 +a(g6 +V* +tp8806 +a(g6 +V* +tp8807 +a(g6 +V* +tp8808 +a(g6 +V* +tp8809 +a(g6 +V* +tp8810 +a(g6 +V* +tp8811 +a(g6 +V* +tp8812 +a(g6 +V* +tp8813 +a(g6 +V* +tp8814 +a(g6 +V* +tp8815 +a(g6 +V* +tp8816 +a(g6 +V* +tp8817 +a(g6 +V* +tp8818 +a(g6 +V* +tp8819 +a(g6 +V* +tp8820 +a(g6 +V* +tp8821 +a(g6 +V* +tp8822 +a(g6 +V* +tp8823 +a(g6 +V* +tp8824 +a(g6 +V* +tp8825 +a(g6 +V* +tp8826 +a(g6 +V* +tp8827 +a(g6 +V* +tp8828 +a(g6 +V* +tp8829 +a(g6 +V* +tp8830 +a(g6 +V* +tp8831 +a(g6 +V* +tp8832 +a(g6 +V* +tp8833 +a(g6 +V* +tp8834 +a(g6 +V* +tp8835 +a(g6 +V* +tp8836 +a(g6 +V* +tp8837 +a(g6 +V* +tp8838 +a(g6 +V* +tp8839 +a(g6 +V* +tp8840 +a(g6 +V* +tp8841 +a(g6 +V* +tp8842 +a(g6 +V* +tp8843 +a(g6 +V* +tp8844 +a(g6 +V* +tp8845 +a(g6 +V* +tp8846 +a(g6 +V* +tp8847 +a(g6 +V* +tp8848 +a(g6 +V\u000a\u000a Procedures to control the pretty-printers\u000a\u000a +p8849 +tp8850 +a(g6 +V* +tp8851 +a(g6 +V* +tp8852 +a(g6 +V* +tp8853 +a(g6 +V* +tp8854 +a(g6 +V* +tp8855 +a(g6 +V* +tp8856 +a(g6 +V* +tp8857 +a(g6 +V* +tp8858 +a(g6 +V* +tp8859 +a(g6 +V* +tp8860 +a(g6 +V* +tp8861 +a(g6 +V* +tp8862 +a(g6 +V* +tp8863 +a(g6 +V* +tp8864 +a(g6 +V* +tp8865 +a(g6 +V* +tp8866 +a(g6 +V* +tp8867 +a(g6 +V* +tp8868 +a(g6 +V* +tp8869 +a(g6 +V* +tp8870 +a(g6 +V* +tp8871 +a(g6 +V* +tp8872 +a(g6 +V* +tp8873 +a(g6 +V* +tp8874 +a(g6 +V* +tp8875 +a(g6 +V* +tp8876 +a(g6 +V* +tp8877 +a(g6 +V* +tp8878 +a(g6 +V* +tp8879 +a(g6 +V* +tp8880 +a(g6 +V* +tp8881 +a(g6 +V* +tp8882 +a(g6 +V* +tp8883 +a(g6 +V* +tp8884 +a(g6 +V* +tp8885 +a(g6 +V* +tp8886 +a(g6 +V* +tp8887 +a(g6 +V* +tp8888 +a(g6 +V* +tp8889 +a(g6 +V* +tp8890 +a(g6 +V* +tp8891 +a(g6 +V* +tp8892 +a(g6 +V* +tp8893 +a(g6 +V* +tp8894 +a(g6 +V* +tp8895 +a(g6 +V* +tp8896 +a(g6 +V* +tp8897 +a(g6 +V* +tp8898 +a(g6 +V* +tp8899 +a(g6 +V* +tp8900 +a(g6 +V* +tp8901 +a(g6 +V* +tp8902 +a(g6 +V* +tp8903 +a(g6 +V* +tp8904 +a(g6 +V* +tp8905 +a(g6 +V* +tp8906 +a(g6 +V* +tp8907 +a(g6 +V* +tp8908 +a(g6 +V* +tp8909 +a(g6 +V* +tp8910 +a(g6 +V* +tp8911 +a(g6 +V*) +p8912 +tp8913 +a(g185 +V\u000a\u000a +p8914 +tp8915 +a(g6 +V(* +p8916 +tp8917 +a(g6 +V Fit max_boxes. +p8918 +tp8919 +a(g6 +V*) +p8920 +tp8921 +a(g185 +V\u000a +tp8922 +a(g107 +Vlet +p8923 +tp8924 +a(g185 +V +tp8925 +a(g12 +Vpp_set_max_boxes +p8926 +tp8927 +a(g185 +V +tp8928 +a(g12 +Vstate +p8929 +tp8930 +a(g185 +V +tp8931 +a(g12 +Vn +tp8932 +a(g185 +V +tp8933 +a(g340 +V= +tp8934 +a(g185 +V +tp8935 +a(g107 +Vif +p8936 +tp8937 +a(g185 +V +tp8938 +a(g12 +Vn +tp8939 +a(g185 +V +tp8940 +a(g340 +V> +tp8941 +a(g185 +V +tp8942 +a(g315 +V1 +tp8943 +a(g185 +V +tp8944 +a(g107 +Vthen +p8945 +tp8946 +a(g185 +V +tp8947 +a(g12 +Vstate +p8948 +tp8949 +a(g340 +V. +tp8950 +a(g12 +Vpp_max_boxes +p8951 +tp8952 +a(g185 +V +tp8953 +a(g340 +V< +tp8954 +a(g340 +V- +tp8955 +a(g185 +V +tp8956 +a(g12 +Vn +tp8957 +a(g340 +V; +tp8958 +a(g340 +V; +tp8959 +a(g185 +V\u000a\u000a +p8960 +tp8961 +a(g6 +V(* +p8962 +tp8963 +a(g6 +V To know the current maximum number of boxes allowed. +p8964 +tp8965 +a(g6 +V*) +p8966 +tp8967 +a(g185 +V\u000a +tp8968 +a(g107 +Vlet +p8969 +tp8970 +a(g185 +V +tp8971 +a(g12 +Vpp_get_max_boxes +p8972 +tp8973 +a(g185 +V +tp8974 +a(g12 +Vstate +p8975 +tp8976 +a(g185 +V +tp8977 +a(g56 +V() +p8978 +tp8979 +a(g185 +V +tp8980 +a(g340 +V= +tp8981 +a(g185 +V +tp8982 +a(g12 +Vstate +p8983 +tp8984 +a(g340 +V. +tp8985 +a(g12 +Vpp_max_boxes +p8986 +tp8987 +a(g340 +V; +tp8988 +a(g340 +V; +tp8989 +a(g185 +V\u000a\u000a +p8990 +tp8991 +a(g107 +Vlet +p8992 +tp8993 +a(g185 +V +tp8994 +a(g12 +Vpp_over_max_boxes +p8995 +tp8996 +a(g185 +V +tp8997 +a(g12 +Vstate +p8998 +tp8999 +a(g185 +V +tp9000 +a(g56 +V() +p9001 +tp9002 +a(g185 +V +tp9003 +a(g340 +V= +tp9004 +a(g185 +V +tp9005 +a(g12 +Vstate +p9006 +tp9007 +a(g340 +V. +tp9008 +a(g12 +Vpp_curr_depth +p9009 +tp9010 +a(g185 +V +tp9011 +a(g340 +V= +tp9012 +a(g185 +V +tp9013 +a(g12 +Vstate +p9014 +tp9015 +a(g340 +V. +tp9016 +a(g12 +Vpp_max_boxes +p9017 +tp9018 +a(g340 +V; +tp9019 +a(g340 +V; +tp9020 +a(g185 +V\u000a\u000a +p9021 +tp9022 +a(g6 +V(* +p9023 +tp9024 +a(g6 +V Ellipsis. +p9025 +tp9026 +a(g6 +V*) +p9027 +tp9028 +a(g185 +V\u000a +tp9029 +a(g107 +Vlet +p9030 +tp9031 +a(g185 +V +tp9032 +a(g12 +Vpp_set_ellipsis_text +p9033 +tp9034 +a(g185 +V +tp9035 +a(g12 +Vstate +p9036 +tp9037 +a(g185 +V +tp9038 +a(g12 +Vs +tp9039 +a(g185 +V +tp9040 +a(g340 +V= +tp9041 +a(g185 +V +tp9042 +a(g12 +Vstate +p9043 +tp9044 +a(g340 +V. +tp9045 +a(g12 +Vpp_ellipsis +p9046 +tp9047 +a(g185 +V +tp9048 +a(g340 +V< +tp9049 +a(g340 +V- +tp9050 +a(g185 +V +tp9051 +a(g12 +Vs +tp9052 +a(g185 +V\u000a +tp9053 +a(g344 +Vand +p9054 +tp9055 +a(g185 +V +tp9056 +a(g12 +Vpp_get_ellipsis_text +p9057 +tp9058 +a(g185 +V +tp9059 +a(g12 +Vstate +p9060 +tp9061 +a(g185 +V +tp9062 +a(g56 +V() +p9063 +tp9064 +a(g185 +V +tp9065 +a(g340 +V= +tp9066 +a(g185 +V +tp9067 +a(g12 +Vstate +p9068 +tp9069 +a(g340 +V. +tp9070 +a(g12 +Vpp_ellipsis +p9071 +tp9072 +a(g340 +V; +tp9073 +a(g340 +V; +tp9074 +a(g185 +V\u000a\u000a +p9075 +tp9076 +a(g6 +V(* +p9077 +tp9078 +a(g6 +V To set the margin of pretty-printer. +p9079 +tp9080 +a(g6 +V*) +p9081 +tp9082 +a(g185 +V\u000a +tp9083 +a(g107 +Vlet +p9084 +tp9085 +a(g185 +V +tp9086 +a(g12 +Vpp_limit +p9087 +tp9088 +a(g185 +V +tp9089 +a(g12 +Vn +tp9090 +a(g185 +V +tp9091 +a(g340 +V= +tp9092 +a(g185 +V\u000a +p9093 +tp9094 +a(g107 +Vif +p9095 +tp9096 +a(g185 +V +tp9097 +a(g12 +Vn +tp9098 +a(g185 +V +tp9099 +a(g340 +V< +tp9100 +a(g185 +V +tp9101 +a(g12 +Vpp_infinity +p9102 +tp9103 +a(g185 +V +tp9104 +a(g107 +Vthen +p9105 +tp9106 +a(g185 +V +tp9107 +a(g12 +Vn +tp9108 +a(g185 +V +tp9109 +a(g107 +Velse +p9110 +tp9111 +a(g185 +V +tp9112 +a(g12 +Vpred +p9113 +tp9114 +a(g185 +V +tp9115 +a(g12 +Vpp_infinity +p9116 +tp9117 +a(g340 +V; +tp9118 +a(g340 +V; +tp9119 +a(g185 +V\u000a\u000a +p9120 +tp9121 +a(g107 +Vlet +p9122 +tp9123 +a(g185 +V +tp9124 +a(g12 +Vpp_set_min_space_left +p9125 +tp9126 +a(g185 +V +tp9127 +a(g12 +Vstate +p9128 +tp9129 +a(g185 +V +tp9130 +a(g12 +Vn +tp9131 +a(g185 +V +tp9132 +a(g340 +V= +tp9133 +a(g185 +V\u000a +p9134 +tp9135 +a(g107 +Vif +p9136 +tp9137 +a(g185 +V +tp9138 +a(g12 +Vn +tp9139 +a(g185 +V +tp9140 +a(g340 +V> +tp9141 +a(g340 +V= +tp9142 +a(g185 +V +tp9143 +a(g315 +V1 +tp9144 +a(g185 +V +tp9145 +a(g107 +Vthen +p9146 +tp9147 +a(g185 +V\u000a +p9148 +tp9149 +a(g107 +Vlet +p9150 +tp9151 +a(g185 +V +tp9152 +a(g12 +Vn +tp9153 +a(g185 +V +tp9154 +a(g340 +V= +tp9155 +a(g185 +V +tp9156 +a(g12 +Vpp_limit +p9157 +tp9158 +a(g185 +V +tp9159 +a(g12 +Vn +tp9160 +a(g185 +V +tp9161 +a(g107 +Vin +p9162 +tp9163 +a(g185 +V\u000a +p9164 +tp9165 +a(g12 +Vstate +p9166 +tp9167 +a(g340 +V. +tp9168 +a(g12 +Vpp_min_space_left +p9169 +tp9170 +a(g185 +V +tp9171 +a(g340 +V< +tp9172 +a(g340 +V- +tp9173 +a(g185 +V +tp9174 +a(g12 +Vn +tp9175 +a(g340 +V; +tp9176 +a(g185 +V\u000a +p9177 +tp9178 +a(g12 +Vstate +p9179 +tp9180 +a(g340 +V. +tp9181 +a(g12 +Vpp_max_indent +p9182 +tp9183 +a(g185 +V +tp9184 +a(g340 +V< +tp9185 +a(g340 +V- +tp9186 +a(g185 +V +tp9187 +a(g12 +Vstate +p9188 +tp9189 +a(g340 +V. +tp9190 +a(g12 +Vpp_margin +p9191 +tp9192 +a(g185 +V +tp9193 +a(g340 +V- +tp9194 +a(g185 +V +tp9195 +a(g12 +Vstate +p9196 +tp9197 +a(g340 +V. +tp9198 +a(g12 +Vpp_min_space_left +p9199 +tp9200 +a(g340 +V; +tp9201 +a(g185 +V\u000a +p9202 +tp9203 +a(g12 +Vpp_rinit +p9204 +tp9205 +a(g185 +V +tp9206 +a(g12 +Vstate +p9207 +tp9208 +a(g340 +V; +tp9209 +a(g340 +V; +tp9210 +a(g185 +V\u000a\u000a +p9211 +tp9212 +a(g6 +V(* +p9213 +tp9214 +a(g6 +V Initially, we have :\u000a pp_max_indent = pp_margin - pp_min_space_left, and\u000a pp_space_left = pp_margin. +p9215 +tp9216 +a(g6 +V*) +p9217 +tp9218 +a(g185 +V\u000a +tp9219 +a(g107 +Vlet +p9220 +tp9221 +a(g185 +V +tp9222 +a(g12 +Vpp_set_max_indent +p9223 +tp9224 +a(g185 +V +tp9225 +a(g12 +Vstate +p9226 +tp9227 +a(g185 +V +tp9228 +a(g12 +Vn +tp9229 +a(g185 +V +tp9230 +a(g340 +V= +tp9231 +a(g185 +V\u000a +p9232 +tp9233 +a(g12 +Vpp_set_min_space_left +p9234 +tp9235 +a(g185 +V +tp9236 +a(g12 +Vstate +p9237 +tp9238 +a(g185 +V +tp9239 +a(g340 +V( +tp9240 +a(g12 +Vstate +p9241 +tp9242 +a(g340 +V. +tp9243 +a(g12 +Vpp_margin +p9244 +tp9245 +a(g185 +V +tp9246 +a(g340 +V- +tp9247 +a(g185 +V +tp9248 +a(g12 +Vn +tp9249 +a(g340 +V) +tp9250 +a(g340 +V; +tp9251 +a(g340 +V; +tp9252 +a(g185 +V\u000a +tp9253 +a(g107 +Vlet +p9254 +tp9255 +a(g185 +V +tp9256 +a(g12 +Vpp_get_max_indent +p9257 +tp9258 +a(g185 +V +tp9259 +a(g12 +Vstate +p9260 +tp9261 +a(g185 +V +tp9262 +a(g56 +V() +p9263 +tp9264 +a(g185 +V +tp9265 +a(g340 +V= +tp9266 +a(g185 +V +tp9267 +a(g12 +Vstate +p9268 +tp9269 +a(g340 +V. +tp9270 +a(g12 +Vpp_max_indent +p9271 +tp9272 +a(g340 +V; +tp9273 +a(g340 +V; +tp9274 +a(g185 +V\u000a\u000a +p9275 +tp9276 +a(g107 +Vlet +p9277 +tp9278 +a(g185 +V +tp9279 +a(g12 +Vpp_set_margin +p9280 +tp9281 +a(g185 +V +tp9282 +a(g12 +Vstate +p9283 +tp9284 +a(g185 +V +tp9285 +a(g12 +Vn +tp9286 +a(g185 +V +tp9287 +a(g340 +V= +tp9288 +a(g185 +V\u000a +p9289 +tp9290 +a(g107 +Vif +p9291 +tp9292 +a(g185 +V +tp9293 +a(g12 +Vn +tp9294 +a(g185 +V +tp9295 +a(g340 +V> +tp9296 +a(g340 +V= +tp9297 +a(g185 +V +tp9298 +a(g315 +V1 +tp9299 +a(g185 +V +tp9300 +a(g107 +Vthen +p9301 +tp9302 +a(g185 +V\u000a +p9303 +tp9304 +a(g107 +Vlet +p9305 +tp9306 +a(g185 +V +tp9307 +a(g12 +Vn +tp9308 +a(g185 +V +tp9309 +a(g340 +V= +tp9310 +a(g185 +V +tp9311 +a(g12 +Vpp_limit +p9312 +tp9313 +a(g185 +V +tp9314 +a(g12 +Vn +tp9315 +a(g185 +V +tp9316 +a(g107 +Vin +p9317 +tp9318 +a(g185 +V\u000a +p9319 +tp9320 +a(g12 +Vstate +p9321 +tp9322 +a(g340 +V. +tp9323 +a(g12 +Vpp_margin +p9324 +tp9325 +a(g185 +V +tp9326 +a(g340 +V< +tp9327 +a(g340 +V- +tp9328 +a(g185 +V +tp9329 +a(g12 +Vn +tp9330 +a(g340 +V; +tp9331 +a(g185 +V\u000a +p9332 +tp9333 +a(g107 +Vlet +p9334 +tp9335 +a(g185 +V +tp9336 +a(g12 +Vnew_max_indent +p9337 +tp9338 +a(g185 +V +tp9339 +a(g340 +V= +tp9340 +a(g185 +V\u000a +p9341 +tp9342 +a(g6 +V(* +p9343 +tp9344 +a(g6 +V Try to maintain max_indent to its actual value. +p9345 +tp9346 +a(g6 +V*) +p9347 +tp9348 +a(g185 +V\u000a +p9349 +tp9350 +a(g107 +Vif +p9351 +tp9352 +a(g185 +V +tp9353 +a(g12 +Vstate +p9354 +tp9355 +a(g340 +V. +tp9356 +a(g12 +Vpp_max_indent +p9357 +tp9358 +a(g185 +V +tp9359 +a(g340 +V< +tp9360 +a(g340 +V= +tp9361 +a(g185 +V +tp9362 +a(g12 +Vstate +p9363 +tp9364 +a(g340 +V. +tp9365 +a(g12 +Vpp_margin +p9366 +tp9367 +a(g185 +V\u000a +p9368 +tp9369 +a(g107 +Vthen +p9370 +tp9371 +a(g185 +V +tp9372 +a(g12 +Vstate +p9373 +tp9374 +a(g340 +V. +tp9375 +a(g12 +Vpp_max_indent +p9376 +tp9377 +a(g185 +V +tp9378 +a(g107 +Velse +p9379 +tp9380 +a(g185 +V\u000a +p9381 +tp9382 +a(g6 +V(* +p9383 +tp9384 +a(g6 +V If possible maintain pp_min_space_left to its actual value,\u000a if this leads to a too small max_indent, take half of the\u000a new margin, if it is greater than 1. +p9385 +tp9386 +a(g6 +V*) +p9387 +tp9388 +a(g185 +V\u000a +p9389 +tp9390 +a(g12 +Vmax +p9391 +tp9392 +a(g185 +V +tp9393 +a(g340 +V( +tp9394 +a(g12 +Vmax +p9395 +tp9396 +a(g185 +V +tp9397 +a(g340 +V( +tp9398 +a(g12 +Vstate +p9399 +tp9400 +a(g340 +V. +tp9401 +a(g12 +Vpp_margin +p9402 +tp9403 +a(g185 +V +tp9404 +a(g340 +V- +tp9405 +a(g185 +V +tp9406 +a(g12 +Vstate +p9407 +tp9408 +a(g340 +V. +tp9409 +a(g12 +Vpp_min_space_left +p9410 +tp9411 +a(g340 +V) +tp9412 +a(g185 +V\u000a +p9413 +tp9414 +a(g340 +V( +tp9415 +a(g12 +Vstate +p9416 +tp9417 +a(g340 +V. +tp9418 +a(g12 +Vpp_margin +p9419 +tp9420 +a(g185 +V +tp9421 +a(g340 +V/ +tp9422 +a(g185 +V +tp9423 +a(g315 +V2 +tp9424 +a(g340 +V) +tp9425 +a(g340 +V) +tp9426 +a(g185 +V +tp9427 +a(g315 +V1 +tp9428 +a(g185 +V +tp9429 +a(g107 +Vin +p9430 +tp9431 +a(g185 +V\u000a +p9432 +tp9433 +a(g6 +V(* +p9434 +tp9435 +a(g6 +V Rebuild invariants. +p9436 +tp9437 +a(g6 +V*) +p9438 +tp9439 +a(g185 +V\u000a +p9440 +tp9441 +a(g12 +Vpp_set_max_indent +p9442 +tp9443 +a(g185 +V +tp9444 +a(g12 +Vstate +p9445 +tp9446 +a(g185 +V +tp9447 +a(g12 +Vnew_max_indent +p9448 +tp9449 +a(g340 +V; +tp9450 +a(g340 +V; +tp9451 +a(g185 +V\u000a\u000a +p9452 +tp9453 +a(g107 +Vlet +p9454 +tp9455 +a(g185 +V +tp9456 +a(g12 +Vpp_get_margin +p9457 +tp9458 +a(g185 +V +tp9459 +a(g12 +Vstate +p9460 +tp9461 +a(g185 +V +tp9462 +a(g56 +V() +p9463 +tp9464 +a(g185 +V +tp9465 +a(g340 +V= +tp9466 +a(g185 +V +tp9467 +a(g12 +Vstate +p9468 +tp9469 +a(g340 +V. +tp9470 +a(g12 +Vpp_margin +p9471 +tp9472 +a(g340 +V; +tp9473 +a(g340 +V; +tp9474 +a(g185 +V\u000a\u000a +p9475 +tp9476 +a(g107 +Vlet +p9477 +tp9478 +a(g185 +V +tp9479 +a(g12 +Vpp_set_formatter_output_functions +p9480 +tp9481 +a(g185 +V +tp9482 +a(g12 +Vstate +p9483 +tp9484 +a(g185 +V +tp9485 +a(g12 +Vf +tp9486 +a(g185 +V +tp9487 +a(g12 +Vg +tp9488 +a(g185 +V +tp9489 +a(g340 +V= +tp9490 +a(g185 +V\u000a +p9491 +tp9492 +a(g12 +Vstate +p9493 +tp9494 +a(g340 +V. +tp9495 +a(g12 +Vpp_output_function +p9496 +tp9497 +a(g185 +V +tp9498 +a(g340 +V< +tp9499 +a(g340 +V- +tp9500 +a(g185 +V +tp9501 +a(g12 +Vf +tp9502 +a(g340 +V; +tp9503 +a(g185 +V +tp9504 +a(g12 +Vstate +p9505 +tp9506 +a(g340 +V. +tp9507 +a(g12 +Vpp_flush_function +p9508 +tp9509 +a(g185 +V +tp9510 +a(g340 +V< +tp9511 +a(g340 +V- +tp9512 +a(g185 +V +tp9513 +a(g12 +Vg +tp9514 +a(g340 +V; +tp9515 +a(g340 +V; +tp9516 +a(g185 +V\u000a +tp9517 +a(g107 +Vlet +p9518 +tp9519 +a(g185 +V +tp9520 +a(g12 +Vpp_get_formatter_output_functions +p9521 +tp9522 +a(g185 +V +tp9523 +a(g12 +Vstate +p9524 +tp9525 +a(g185 +V +tp9526 +a(g56 +V() +p9527 +tp9528 +a(g185 +V +tp9529 +a(g340 +V= +tp9530 +a(g185 +V\u000a +p9531 +tp9532 +a(g340 +V( +tp9533 +a(g12 +Vstate +p9534 +tp9535 +a(g340 +V. +tp9536 +a(g12 +Vpp_output_function +p9537 +tp9538 +a(g340 +V, +tp9539 +a(g185 +V +tp9540 +a(g12 +Vstate +p9541 +tp9542 +a(g340 +V. +tp9543 +a(g12 +Vpp_flush_function +p9544 +tp9545 +a(g340 +V) +tp9546 +a(g340 +V; +tp9547 +a(g340 +V; +tp9548 +a(g185 +V\u000a\u000a +p9549 +tp9550 +a(g107 +Vlet +p9551 +tp9552 +a(g185 +V +tp9553 +a(g12 +Vpp_set_all_formatter_output_functions +p9554 +tp9555 +a(g185 +V +tp9556 +a(g12 +Vstate +p9557 +tp9558 +a(g185 +V\u000a +p9559 +tp9560 +a(g340 +V~ +tp9561 +a(g12 +Vout +p9562 +tp9563 +a(g340 +V: +tp9564 +a(g12 +Vf +tp9565 +a(g185 +V +tp9566 +a(g340 +V~ +tp9567 +a(g12 +Vflush +p9568 +tp9569 +a(g340 +V: +tp9570 +a(g12 +Vg +tp9571 +a(g185 +V +tp9572 +a(g340 +V~ +tp9573 +a(g12 +Vnewline +p9574 +tp9575 +a(g340 +V: +tp9576 +a(g12 +Vh +tp9577 +a(g185 +V +tp9578 +a(g340 +V~ +tp9579 +a(g12 +Vspaces +p9580 +tp9581 +a(g340 +V: +tp9582 +a(g12 +Vi +tp9583 +a(g185 +V +tp9584 +a(g340 +V= +tp9585 +a(g185 +V\u000a +p9586 +tp9587 +a(g12 +Vpp_set_formatter_output_functions +p9588 +tp9589 +a(g185 +V +tp9590 +a(g12 +Vstate +p9591 +tp9592 +a(g185 +V +tp9593 +a(g12 +Vf +tp9594 +a(g185 +V +tp9595 +a(g12 +Vg +tp9596 +a(g340 +V; +tp9597 +a(g185 +V\u000a +p9598 +tp9599 +a(g12 +Vstate +p9600 +tp9601 +a(g340 +V. +tp9602 +a(g12 +Vpp_output_newline +p9603 +tp9604 +a(g185 +V +tp9605 +a(g340 +V< +tp9606 +a(g340 +V- +tp9607 +a(g185 +V +tp9608 +a(g340 +V( +tp9609 +a(g107 +Vfunction +p9610 +tp9611 +a(g185 +V +tp9612 +a(g56 +V() +p9613 +tp9614 +a(g185 +V +tp9615 +a(g340 +V- +tp9616 +a(g340 +V> +tp9617 +a(g185 +V +tp9618 +a(g12 +Vh +tp9619 +a(g185 +V +tp9620 +a(g56 +V() +p9621 +tp9622 +a(g340 +V) +tp9623 +a(g340 +V; +tp9624 +a(g185 +V\u000a +p9625 +tp9626 +a(g12 +Vstate +p9627 +tp9628 +a(g340 +V. +tp9629 +a(g12 +Vpp_output_spaces +p9630 +tp9631 +a(g185 +V +tp9632 +a(g340 +V< +tp9633 +a(g340 +V- +tp9634 +a(g185 +V +tp9635 +a(g340 +V( +tp9636 +a(g107 +Vfunction +p9637 +tp9638 +a(g185 +V +tp9639 +a(g12 +Vn +tp9640 +a(g185 +V +tp9641 +a(g340 +V- +tp9642 +a(g340 +V> +tp9643 +a(g185 +V +tp9644 +a(g12 +Vi +tp9645 +a(g185 +V +tp9646 +a(g12 +Vn +tp9647 +a(g340 +V) +tp9648 +a(g340 +V; +tp9649 +a(g340 +V; +tp9650 +a(g185 +V\u000a +tp9651 +a(g107 +Vlet +p9652 +tp9653 +a(g185 +V +tp9654 +a(g12 +Vpp_get_all_formatter_output_functions +p9655 +tp9656 +a(g185 +V +tp9657 +a(g12 +Vstate +p9658 +tp9659 +a(g185 +V +tp9660 +a(g56 +V() +p9661 +tp9662 +a(g185 +V +tp9663 +a(g340 +V= +tp9664 +a(g185 +V\u000a +p9665 +tp9666 +a(g340 +V( +tp9667 +a(g12 +Vstate +p9668 +tp9669 +a(g340 +V. +tp9670 +a(g12 +Vpp_output_function +p9671 +tp9672 +a(g340 +V, +tp9673 +a(g185 +V +tp9674 +a(g12 +Vstate +p9675 +tp9676 +a(g340 +V. +tp9677 +a(g12 +Vpp_flush_function +p9678 +tp9679 +a(g340 +V, +tp9680 +a(g185 +V\u000a +p9681 +tp9682 +a(g12 +Vstate +p9683 +tp9684 +a(g340 +V. +tp9685 +a(g12 +Vpp_output_newline +p9686 +tp9687 +a(g340 +V, +tp9688 +a(g185 +V +tp9689 +a(g12 +Vstate +p9690 +tp9691 +a(g340 +V. +tp9692 +a(g12 +Vpp_output_spaces +p9693 +tp9694 +a(g340 +V) +tp9695 +a(g340 +V; +tp9696 +a(g340 +V; +tp9697 +a(g185 +V\u000a\u000a +p9698 +tp9699 +a(g107 +Vlet +p9700 +tp9701 +a(g185 +V +tp9702 +a(g12 +Vpp_set_formatter_out_channel +p9703 +tp9704 +a(g185 +V +tp9705 +a(g12 +Vstate +p9706 +tp9707 +a(g185 +V +tp9708 +a(g12 +Vos +p9709 +tp9710 +a(g185 +V +tp9711 +a(g340 +V= +tp9712 +a(g185 +V\u000a +p9713 +tp9714 +a(g12 +Vstate +p9715 +tp9716 +a(g340 +V. +tp9717 +a(g12 +Vpp_output_function +p9718 +tp9719 +a(g185 +V +tp9720 +a(g340 +V< +tp9721 +a(g340 +V- +tp9722 +a(g185 +V +tp9723 +a(g12 +Voutput +p9724 +tp9725 +a(g185 +V +tp9726 +a(g12 +Vos +p9727 +tp9728 +a(g340 +V; +tp9729 +a(g185 +V\u000a +p9730 +tp9731 +a(g12 +Vstate +p9732 +tp9733 +a(g340 +V. +tp9734 +a(g12 +Vpp_flush_function +p9735 +tp9736 +a(g185 +V +tp9737 +a(g340 +V< +tp9738 +a(g340 +V- +tp9739 +a(g185 +V +tp9740 +a(g340 +V( +tp9741 +a(g107 +Vfun +p9742 +tp9743 +a(g185 +V +tp9744 +a(g56 +V() +p9745 +tp9746 +a(g185 +V +tp9747 +a(g340 +V- +tp9748 +a(g340 +V> +tp9749 +a(g185 +V +tp9750 +a(g12 +Vflush +p9751 +tp9752 +a(g185 +V +tp9753 +a(g12 +Vos +p9754 +tp9755 +a(g340 +V) +tp9756 +a(g340 +V; +tp9757 +a(g340 +V; +tp9758 +a(g185 +V\u000a\u000a +p9759 +tp9760 +a(g6 +V(* +p9761 +tp9762 +a(g6 +V* +tp9763 +a(g6 +V* +tp9764 +a(g6 +V* +tp9765 +a(g6 +V* +tp9766 +a(g6 +V* +tp9767 +a(g6 +V* +tp9768 +a(g6 +V* +tp9769 +a(g6 +V* +tp9770 +a(g6 +V* +tp9771 +a(g6 +V* +tp9772 +a(g6 +V* +tp9773 +a(g6 +V* +tp9774 +a(g6 +V* +tp9775 +a(g6 +V* +tp9776 +a(g6 +V* +tp9777 +a(g6 +V* +tp9778 +a(g6 +V* +tp9779 +a(g6 +V* +tp9780 +a(g6 +V* +tp9781 +a(g6 +V* +tp9782 +a(g6 +V* +tp9783 +a(g6 +V* +tp9784 +a(g6 +V* +tp9785 +a(g6 +V* +tp9786 +a(g6 +V* +tp9787 +a(g6 +V* +tp9788 +a(g6 +V* +tp9789 +a(g6 +V* +tp9790 +a(g6 +V* +tp9791 +a(g6 +V* +tp9792 +a(g6 +V* +tp9793 +a(g6 +V* +tp9794 +a(g6 +V* +tp9795 +a(g6 +V* +tp9796 +a(g6 +V* +tp9797 +a(g6 +V* +tp9798 +a(g6 +V* +tp9799 +a(g6 +V* +tp9800 +a(g6 +V* +tp9801 +a(g6 +V* +tp9802 +a(g6 +V* +tp9803 +a(g6 +V* +tp9804 +a(g6 +V* +tp9805 +a(g6 +V* +tp9806 +a(g6 +V* +tp9807 +a(g6 +V* +tp9808 +a(g6 +V* +tp9809 +a(g6 +V* +tp9810 +a(g6 +V* +tp9811 +a(g6 +V* +tp9812 +a(g6 +V* +tp9813 +a(g6 +V* +tp9814 +a(g6 +V* +tp9815 +a(g6 +V* +tp9816 +a(g6 +V* +tp9817 +a(g6 +V* +tp9818 +a(g6 +V* +tp9819 +a(g6 +V* +tp9820 +a(g6 +V* +tp9821 +a(g6 +V* +tp9822 +a(g6 +V* +tp9823 +a(g6 +V\u000a\u000a Creation of specific formatters\u000a\u000a +p9824 +tp9825 +a(g6 +V* +tp9826 +a(g6 +V* +tp9827 +a(g6 +V* +tp9828 +a(g6 +V* +tp9829 +a(g6 +V* +tp9830 +a(g6 +V* +tp9831 +a(g6 +V* +tp9832 +a(g6 +V* +tp9833 +a(g6 +V* +tp9834 +a(g6 +V* +tp9835 +a(g6 +V* +tp9836 +a(g6 +V* +tp9837 +a(g6 +V* +tp9838 +a(g6 +V* +tp9839 +a(g6 +V* +tp9840 +a(g6 +V* +tp9841 +a(g6 +V* +tp9842 +a(g6 +V* +tp9843 +a(g6 +V* +tp9844 +a(g6 +V* +tp9845 +a(g6 +V* +tp9846 +a(g6 +V* +tp9847 +a(g6 +V* +tp9848 +a(g6 +V* +tp9849 +a(g6 +V* +tp9850 +a(g6 +V* +tp9851 +a(g6 +V* +tp9852 +a(g6 +V* +tp9853 +a(g6 +V* +tp9854 +a(g6 +V* +tp9855 +a(g6 +V* +tp9856 +a(g6 +V* +tp9857 +a(g6 +V* +tp9858 +a(g6 +V* +tp9859 +a(g6 +V* +tp9860 +a(g6 +V* +tp9861 +a(g6 +V* +tp9862 +a(g6 +V* +tp9863 +a(g6 +V* +tp9864 +a(g6 +V* +tp9865 +a(g6 +V* +tp9866 +a(g6 +V* +tp9867 +a(g6 +V* +tp9868 +a(g6 +V* +tp9869 +a(g6 +V* +tp9870 +a(g6 +V* +tp9871 +a(g6 +V* +tp9872 +a(g6 +V* +tp9873 +a(g6 +V* +tp9874 +a(g6 +V* +tp9875 +a(g6 +V* +tp9876 +a(g6 +V* +tp9877 +a(g6 +V* +tp9878 +a(g6 +V* +tp9879 +a(g6 +V* +tp9880 +a(g6 +V* +tp9881 +a(g6 +V* +tp9882 +a(g6 +V* +tp9883 +a(g6 +V* +tp9884 +a(g6 +V* +tp9885 +a(g6 +V* +tp9886 +a(g6 +V*) +p9887 +tp9888 +a(g185 +V\u000a\u000a +p9889 +tp9890 +a(g107 +Vlet +p9891 +tp9892 +a(g185 +V +tp9893 +a(g12 +Vdefault_pp_mark_open_tag +p9894 +tp9895 +a(g185 +V +tp9896 +a(g12 +Vs +tp9897 +a(g185 +V +tp9898 +a(g340 +V= +tp9899 +a(g185 +V +tp9900 +a(g241 +V" +tp9901 +a(g241 +V< +tp9902 +a(g241 +V" +tp9903 +a(g185 +V +tp9904 +a(g340 +V^ +tp9905 +a(g185 +V +tp9906 +a(g12 +Vs +tp9907 +a(g185 +V +tp9908 +a(g340 +V^ +tp9909 +a(g185 +V +tp9910 +a(g241 +V" +tp9911 +a(g241 +V> +tp9912 +a(g241 +V" +tp9913 +a(g340 +V; +tp9914 +a(g340 +V; +tp9915 +a(g185 +V\u000a +tp9916 +a(g107 +Vlet +p9917 +tp9918 +a(g185 +V +tp9919 +a(g12 +Vdefault_pp_mark_close_tag +p9920 +tp9921 +a(g185 +V +tp9922 +a(g12 +Vs +tp9923 +a(g185 +V +tp9924 +a(g340 +V= +tp9925 +a(g185 +V +tp9926 +a(g241 +V" +tp9927 +a(g241 +V +tp9939 +a(g241 +V" +tp9940 +a(g340 +V; +tp9941 +a(g340 +V; +tp9942 +a(g185 +V\u000a\u000a +p9943 +tp9944 +a(g107 +Vlet +p9945 +tp9946 +a(g185 +V +tp9947 +a(g12 +Vdefault_pp_print_open_tag +p9948 +tp9949 +a(g185 +V +tp9950 +a(g12 +Vs +tp9951 +a(g185 +V +tp9952 +a(g340 +V= +tp9953 +a(g185 +V +tp9954 +a(g56 +V() +p9955 +tp9956 +a(g340 +V; +tp9957 +a(g340 +V; +tp9958 +a(g185 +V\u000a +tp9959 +a(g107 +Vlet +p9960 +tp9961 +a(g185 +V +tp9962 +a(g12 +Vdefault_pp_print_close_tag +p9963 +tp9964 +a(g185 +V +tp9965 +a(g340 +V= +tp9966 +a(g185 +V +tp9967 +a(g12 +Vdefault_pp_print_open_tag +p9968 +tp9969 +a(g340 +V; +tp9970 +a(g340 +V; +tp9971 +a(g185 +V\u000a\u000a +p9972 +tp9973 +a(g107 +Vlet +p9974 +tp9975 +a(g185 +V +tp9976 +a(g12 +Vpp_make_formatter +p9977 +tp9978 +a(g185 +V +tp9979 +a(g12 +Vf +tp9980 +a(g185 +V +tp9981 +a(g12 +Vg +tp9982 +a(g185 +V +tp9983 +a(g12 +Vh +tp9984 +a(g185 +V +tp9985 +a(g12 +Vi +tp9986 +a(g185 +V +tp9987 +a(g340 +V= +tp9988 +a(g185 +V\u000a +p9989 +tp9990 +a(g6 +V(* +p9991 +tp9992 +a(g6 +V The initial state of the formatter contains a dummy box. +p9993 +tp9994 +a(g6 +V*) +p9995 +tp9996 +a(g185 +V\u000a +p9997 +tp9998 +a(g107 +Vlet +p9999 +tp10000 +a(g185 +V +tp10001 +a(g12 +Vpp_q +p10002 +tp10003 +a(g185 +V +tp10004 +a(g340 +V= +tp10005 +a(g185 +V +tp10006 +a(g12 +Vmake_queue +p10007 +tp10008 +a(g185 +V +tp10009 +a(g56 +V() +p10010 +tp10011 +a(g185 +V +tp10012 +a(g107 +Vin +p10013 +tp10014 +a(g185 +V\u000a +p10015 +tp10016 +a(g107 +Vlet +p10017 +tp10018 +a(g185 +V +tp10019 +a(g12 +Vsys_tok +p10020 +tp10021 +a(g185 +V +tp10022 +a(g340 +V= +tp10023 +a(g185 +V\u000a +p10024 +tp10025 +a(g12 +Vmake_queue_elem +p10026 +tp10027 +a(g185 +V +tp10028 +a(g340 +V( +tp10029 +a(g12 +Vsize_of_int +p10030 +tp10031 +a(g185 +V +tp10032 +a(g340 +V( +tp10033 +a(g340 +V- +tp10034 +a(g315 +V1 +tp10035 +a(g340 +V) +tp10036 +a(g340 +V) +tp10037 +a(g185 +V +tp10038 +a(g340 +V( +tp10039 +a(g102 +VPp_begin +p10040 +tp10041 +a(g185 +V +tp10042 +a(g340 +V( +tp10043 +a(g315 +V0 +tp10044 +a(g340 +V, +tp10045 +a(g185 +V +tp10046 +a(g102 +VPp_hovbox +p10047 +tp10048 +a(g340 +V) +tp10049 +a(g340 +V) +tp10050 +a(g185 +V +tp10051 +a(g315 +V0 +tp10052 +a(g185 +V +tp10053 +a(g107 +Vin +p10054 +tp10055 +a(g185 +V\u000a +p10056 +tp10057 +a(g12 +Vadd_queue +p10058 +tp10059 +a(g185 +V +tp10060 +a(g12 +Vsys_tok +p10061 +tp10062 +a(g185 +V +tp10063 +a(g12 +Vpp_q +p10064 +tp10065 +a(g340 +V; +tp10066 +a(g185 +V\u000a +p10067 +tp10068 +a(g107 +Vlet +p10069 +tp10070 +a(g185 +V +tp10071 +a(g12 +Vsys_scan_stack +p10072 +tp10073 +a(g185 +V +tp10074 +a(g340 +V= +tp10075 +a(g185 +V\u000a +p10076 +tp10077 +a(g340 +V( +tp10078 +a(g102 +VScan_elem +p10079 +tp10080 +a(g185 +V +tp10081 +a(g340 +V( +tp10082 +a(g315 +V1 +tp10083 +a(g340 +V, +tp10084 +a(g185 +V +tp10085 +a(g12 +Vsys_tok +p10086 +tp10087 +a(g340 +V) +tp10088 +a(g340 +V) +tp10089 +a(g185 +V +tp10090 +a(g340 +V: +tp10091 +a(g340 +V: +tp10092 +a(g185 +V +tp10093 +a(g12 +Vscan_stack_bottom +p10094 +tp10095 +a(g185 +V +tp10096 +a(g107 +Vin +p10097 +tp10098 +a(g185 +V\u000a +p10099 +tp10100 +a(g340 +V{ +tp10101 +a(g12 +Vpp_scan_stack +p10102 +tp10103 +a(g185 +V +tp10104 +a(g340 +V= +tp10105 +a(g185 +V +tp10106 +a(g12 +Vsys_scan_stack +p10107 +tp10108 +a(g340 +V; +tp10109 +a(g185 +V\u000a +p10110 +tp10111 +a(g12 +Vpp_format_stack +p10112 +tp10113 +a(g185 +V +tp10114 +a(g340 +V= +tp10115 +a(g185 +V +tp10116 +a(g56 +V[] +p10117 +tp10118 +a(g340 +V; +tp10119 +a(g185 +V\u000a +p10120 +tp10121 +a(g12 +Vpp_tbox_stack +p10122 +tp10123 +a(g185 +V +tp10124 +a(g340 +V= +tp10125 +a(g185 +V +tp10126 +a(g56 +V[] +p10127 +tp10128 +a(g340 +V; +tp10129 +a(g185 +V\u000a +p10130 +tp10131 +a(g12 +Vpp_tag_stack +p10132 +tp10133 +a(g185 +V +tp10134 +a(g340 +V= +tp10135 +a(g185 +V +tp10136 +a(g56 +V[] +p10137 +tp10138 +a(g340 +V; +tp10139 +a(g185 +V\u000a +p10140 +tp10141 +a(g12 +Vpp_mark_stack +p10142 +tp10143 +a(g185 +V +tp10144 +a(g340 +V= +tp10145 +a(g185 +V +tp10146 +a(g56 +V[] +p10147 +tp10148 +a(g340 +V; +tp10149 +a(g185 +V\u000a +p10150 +tp10151 +a(g12 +Vpp_margin +p10152 +tp10153 +a(g185 +V +tp10154 +a(g340 +V= +tp10155 +a(g185 +V +tp10156 +a(g315 +V78 +p10157 +tp10158 +a(g340 +V; +tp10159 +a(g185 +V\u000a +p10160 +tp10161 +a(g12 +Vpp_min_space_left +p10162 +tp10163 +a(g185 +V +tp10164 +a(g340 +V= +tp10165 +a(g185 +V +tp10166 +a(g315 +V10 +p10167 +tp10168 +a(g340 +V; +tp10169 +a(g185 +V\u000a +p10170 +tp10171 +a(g12 +Vpp_max_indent +p10172 +tp10173 +a(g185 +V +tp10174 +a(g340 +V= +tp10175 +a(g185 +V +tp10176 +a(g315 +V78 +p10177 +tp10178 +a(g185 +V +tp10179 +a(g340 +V- +tp10180 +a(g185 +V +tp10181 +a(g315 +V10 +p10182 +tp10183 +a(g340 +V; +tp10184 +a(g185 +V\u000a +p10185 +tp10186 +a(g12 +Vpp_space_left +p10187 +tp10188 +a(g185 +V +tp10189 +a(g340 +V= +tp10190 +a(g185 +V +tp10191 +a(g315 +V78 +p10192 +tp10193 +a(g340 +V; +tp10194 +a(g185 +V\u000a +p10195 +tp10196 +a(g12 +Vpp_current_indent +p10197 +tp10198 +a(g185 +V +tp10199 +a(g340 +V= +tp10200 +a(g185 +V +tp10201 +a(g315 +V0 +tp10202 +a(g340 +V; +tp10203 +a(g185 +V\u000a +p10204 +tp10205 +a(g12 +Vpp_is_new_line +p10206 +tp10207 +a(g185 +V +tp10208 +a(g340 +V= +tp10209 +a(g185 +V +tp10210 +a(g56 +Vtrue +p10211 +tp10212 +a(g340 +V; +tp10213 +a(g185 +V\u000a +p10214 +tp10215 +a(g12 +Vpp_left_total +p10216 +tp10217 +a(g185 +V +tp10218 +a(g340 +V= +tp10219 +a(g185 +V +tp10220 +a(g315 +V1 +tp10221 +a(g340 +V; +tp10222 +a(g185 +V\u000a +p10223 +tp10224 +a(g12 +Vpp_right_total +p10225 +tp10226 +a(g185 +V +tp10227 +a(g340 +V= +tp10228 +a(g185 +V +tp10229 +a(g315 +V1 +tp10230 +a(g340 +V; +tp10231 +a(g185 +V\u000a +p10232 +tp10233 +a(g12 +Vpp_curr_depth +p10234 +tp10235 +a(g185 +V +tp10236 +a(g340 +V= +tp10237 +a(g185 +V +tp10238 +a(g315 +V1 +tp10239 +a(g340 +V; +tp10240 +a(g185 +V\u000a +p10241 +tp10242 +a(g12 +Vpp_max_boxes +p10243 +tp10244 +a(g185 +V +tp10245 +a(g340 +V= +tp10246 +a(g185 +V +tp10247 +a(g12 +Vmax_int +p10248 +tp10249 +a(g340 +V; +tp10250 +a(g185 +V\u000a +p10251 +tp10252 +a(g12 +Vpp_ellipsis +p10253 +tp10254 +a(g185 +V +tp10255 +a(g340 +V= +tp10256 +a(g185 +V +tp10257 +a(g241 +V" +tp10258 +a(g241 +V. +tp10259 +a(g241 +V" +tp10260 +a(g340 +V; +tp10261 +a(g185 +V\u000a +p10262 +tp10263 +a(g12 +Vpp_output_function +p10264 +tp10265 +a(g185 +V +tp10266 +a(g340 +V= +tp10267 +a(g185 +V +tp10268 +a(g12 +Vf +tp10269 +a(g340 +V; +tp10270 +a(g185 +V\u000a +p10271 +tp10272 +a(g12 +Vpp_flush_function +p10273 +tp10274 +a(g185 +V +tp10275 +a(g340 +V= +tp10276 +a(g185 +V +tp10277 +a(g12 +Vg +tp10278 +a(g340 +V; +tp10279 +a(g185 +V\u000a +p10280 +tp10281 +a(g12 +Vpp_output_newline +p10282 +tp10283 +a(g185 +V +tp10284 +a(g340 +V= +tp10285 +a(g185 +V +tp10286 +a(g12 +Vh +tp10287 +a(g340 +V; +tp10288 +a(g185 +V\u000a +p10289 +tp10290 +a(g12 +Vpp_output_spaces +p10291 +tp10292 +a(g185 +V +tp10293 +a(g340 +V= +tp10294 +a(g185 +V +tp10295 +a(g12 +Vi +tp10296 +a(g340 +V; +tp10297 +a(g185 +V\u000a +p10298 +tp10299 +a(g12 +Vpp_print_tags +p10300 +tp10301 +a(g185 +V +tp10302 +a(g340 +V= +tp10303 +a(g185 +V +tp10304 +a(g56 +Vfalse +p10305 +tp10306 +a(g340 +V; +tp10307 +a(g185 +V\u000a +p10308 +tp10309 +a(g12 +Vpp_mark_tags +p10310 +tp10311 +a(g185 +V +tp10312 +a(g340 +V= +tp10313 +a(g185 +V +tp10314 +a(g56 +Vfalse +p10315 +tp10316 +a(g340 +V; +tp10317 +a(g185 +V\u000a +p10318 +tp10319 +a(g12 +Vpp_mark_open_tag +p10320 +tp10321 +a(g185 +V +tp10322 +a(g340 +V= +tp10323 +a(g185 +V +tp10324 +a(g12 +Vdefault_pp_mark_open_tag +p10325 +tp10326 +a(g340 +V; +tp10327 +a(g185 +V\u000a +p10328 +tp10329 +a(g12 +Vpp_mark_close_tag +p10330 +tp10331 +a(g185 +V +tp10332 +a(g340 +V= +tp10333 +a(g185 +V +tp10334 +a(g12 +Vdefault_pp_mark_close_tag +p10335 +tp10336 +a(g340 +V; +tp10337 +a(g185 +V\u000a +p10338 +tp10339 +a(g12 +Vpp_print_open_tag +p10340 +tp10341 +a(g185 +V +tp10342 +a(g340 +V= +tp10343 +a(g185 +V +tp10344 +a(g12 +Vdefault_pp_print_open_tag +p10345 +tp10346 +a(g340 +V; +tp10347 +a(g185 +V\u000a +p10348 +tp10349 +a(g12 +Vpp_print_close_tag +p10350 +tp10351 +a(g185 +V +tp10352 +a(g340 +V= +tp10353 +a(g185 +V +tp10354 +a(g12 +Vdefault_pp_print_close_tag +p10355 +tp10356 +a(g340 +V; +tp10357 +a(g185 +V\u000a +p10358 +tp10359 +a(g12 +Vpp_queue +p10360 +tp10361 +a(g185 +V +tp10362 +a(g340 +V= +tp10363 +a(g185 +V +tp10364 +a(g12 +Vpp_q +p10365 +tp10366 +a(g185 +V\u000a +p10367 +tp10368 +a(g340 +V} +tp10369 +a(g340 +V; +tp10370 +a(g340 +V; +tp10371 +a(g185 +V\u000a\u000a +p10372 +tp10373 +a(g6 +V(* +p10374 +tp10375 +a(g6 +V Default function to output spaces. +p10376 +tp10377 +a(g6 +V*) +p10378 +tp10379 +a(g185 +V\u000a +tp10380 +a(g107 +Vlet +p10381 +tp10382 +a(g185 +V +tp10383 +a(g12 +Vblank_line +p10384 +tp10385 +a(g185 +V +tp10386 +a(g340 +V= +tp10387 +a(g185 +V +tp10388 +a(g95 +VString +p10389 +tp10390 +a(g198 +V. +tp10391 +a(g12 +Vmake +p10392 +tp10393 +a(g185 +V +tp10394 +a(g315 +V80 +p10395 +tp10396 +a(g185 +V +tp10397 +a(g264 +V' ' +p10398 +tp10399 +a(g340 +V; +tp10400 +a(g340 +V; +tp10401 +a(g185 +V\u000a +tp10402 +a(g107 +Vlet +p10403 +tp10404 +a(g185 +V +tp10405 +a(g107 +Vrec +p10406 +tp10407 +a(g185 +V +tp10408 +a(g12 +Vdisplay_blanks +p10409 +tp10410 +a(g185 +V +tp10411 +a(g12 +Vstate +p10412 +tp10413 +a(g185 +V +tp10414 +a(g12 +Vn +tp10415 +a(g185 +V +tp10416 +a(g340 +V= +tp10417 +a(g185 +V\u000a +p10418 +tp10419 +a(g107 +Vif +p10420 +tp10421 +a(g185 +V +tp10422 +a(g12 +Vn +tp10423 +a(g185 +V +tp10424 +a(g340 +V> +tp10425 +a(g185 +V +tp10426 +a(g315 +V0 +tp10427 +a(g185 +V +tp10428 +a(g107 +Vthen +p10429 +tp10430 +a(g185 +V\u000a +p10431 +tp10432 +a(g107 +Vif +p10433 +tp10434 +a(g185 +V +tp10435 +a(g12 +Vn +tp10436 +a(g185 +V +tp10437 +a(g340 +V< +tp10438 +a(g340 +V= +tp10439 +a(g185 +V +tp10440 +a(g315 +V80 +p10441 +tp10442 +a(g185 +V +tp10443 +a(g107 +Vthen +p10444 +tp10445 +a(g185 +V +tp10446 +a(g12 +Vstate +p10447 +tp10448 +a(g340 +V. +tp10449 +a(g12 +Vpp_output_function +p10450 +tp10451 +a(g185 +V +tp10452 +a(g12 +Vblank_line +p10453 +tp10454 +a(g185 +V +tp10455 +a(g315 +V0 +tp10456 +a(g185 +V +tp10457 +a(g12 +Vn +tp10458 +a(g185 +V +tp10459 +a(g107 +Velse +p10460 +tp10461 +a(g185 +V\u000a +p10462 +tp10463 +a(g107 +Vbegin +p10464 +tp10465 +a(g185 +V\u000a +p10466 +tp10467 +a(g12 +Vstate +p10468 +tp10469 +a(g340 +V. +tp10470 +a(g12 +Vpp_output_function +p10471 +tp10472 +a(g185 +V +tp10473 +a(g12 +Vblank_line +p10474 +tp10475 +a(g185 +V +tp10476 +a(g315 +V0 +tp10477 +a(g185 +V +tp10478 +a(g315 +V80 +p10479 +tp10480 +a(g340 +V; +tp10481 +a(g185 +V\u000a +p10482 +tp10483 +a(g12 +Vdisplay_blanks +p10484 +tp10485 +a(g185 +V +tp10486 +a(g12 +Vstate +p10487 +tp10488 +a(g185 +V +tp10489 +a(g340 +V( +tp10490 +a(g12 +Vn +tp10491 +a(g185 +V +tp10492 +a(g340 +V- +tp10493 +a(g185 +V +tp10494 +a(g315 +V80 +p10495 +tp10496 +a(g340 +V) +tp10497 +a(g185 +V\u000a +p10498 +tp10499 +a(g107 +Vend +p10500 +tp10501 +a(g340 +V; +tp10502 +a(g340 +V; +tp10503 +a(g185 +V\u000a\u000a +p10504 +tp10505 +a(g6 +V(* +p10506 +tp10507 +a(g6 +V Default function to output new lines. +p10508 +tp10509 +a(g6 +V*) +p10510 +tp10511 +a(g185 +V\u000a +tp10512 +a(g107 +Vlet +p10513 +tp10514 +a(g185 +V +tp10515 +a(g12 +Vdisplay_newline +p10516 +tp10517 +a(g185 +V +tp10518 +a(g12 +Vstate +p10519 +tp10520 +a(g185 +V +tp10521 +a(g56 +V() +p10522 +tp10523 +a(g185 +V +tp10524 +a(g340 +V= +tp10525 +a(g185 +V +tp10526 +a(g12 +Vstate +p10527 +tp10528 +a(g340 +V. +tp10529 +a(g12 +Vpp_output_function +p10530 +tp10531 +a(g185 +V +tp10532 +a(g241 +V" +tp10533 +a(g248 +V\u005cn +p10534 +tp10535 +a(g241 +V" +tp10536 +a(g185 +V +tp10537 +a(g315 +V0 +tp10538 +a(g185 +V +p10539 +tp10540 +a(g315 +V1 +tp10541 +a(g340 +V; +tp10542 +a(g340 +V; +tp10543 +a(g185 +V\u000a\u000a +p10544 +tp10545 +a(g107 +Vlet +p10546 +tp10547 +a(g185 +V +tp10548 +a(g12 +Vmake_formatter +p10549 +tp10550 +a(g185 +V +tp10551 +a(g12 +Vf +tp10552 +a(g185 +V +tp10553 +a(g12 +Vg +tp10554 +a(g185 +V +tp10555 +a(g340 +V= +tp10556 +a(g185 +V\u000a +p10557 +tp10558 +a(g107 +Vlet +p10559 +tp10560 +a(g185 +V +tp10561 +a(g12 +Vff +p10562 +tp10563 +a(g185 +V +tp10564 +a(g340 +V= +tp10565 +a(g185 +V +tp10566 +a(g12 +Vpp_make_formatter +p10567 +tp10568 +a(g185 +V +tp10569 +a(g12 +Vf +tp10570 +a(g185 +V +tp10571 +a(g12 +Vg +tp10572 +a(g185 +V +tp10573 +a(g12 +Vignore +p10574 +tp10575 +a(g185 +V +tp10576 +a(g12 +Vignore +p10577 +tp10578 +a(g185 +V +tp10579 +a(g107 +Vin +p10580 +tp10581 +a(g185 +V\u000a +p10582 +tp10583 +a(g12 +Vff +p10584 +tp10585 +a(g340 +V. +tp10586 +a(g12 +Vpp_output_newline +p10587 +tp10588 +a(g185 +V +tp10589 +a(g340 +V< +tp10590 +a(g340 +V- +tp10591 +a(g185 +V +tp10592 +a(g12 +Vdisplay_newline +p10593 +tp10594 +a(g185 +V +tp10595 +a(g12 +Vff +p10596 +tp10597 +a(g340 +V; +tp10598 +a(g185 +V\u000a +p10599 +tp10600 +a(g12 +Vff +p10601 +tp10602 +a(g340 +V. +tp10603 +a(g12 +Vpp_output_spaces +p10604 +tp10605 +a(g185 +V +tp10606 +a(g340 +V< +tp10607 +a(g340 +V- +tp10608 +a(g185 +V +tp10609 +a(g12 +Vdisplay_blanks +p10610 +tp10611 +a(g185 +V +tp10612 +a(g12 +Vff +p10613 +tp10614 +a(g340 +V; +tp10615 +a(g185 +V\u000a +p10616 +tp10617 +a(g12 +Vff +p10618 +tp10619 +a(g340 +V; +tp10620 +a(g340 +V; +tp10621 +a(g185 +V\u000a\u000a +p10622 +tp10623 +a(g107 +Vlet +p10624 +tp10625 +a(g185 +V +tp10626 +a(g12 +Vformatter_of_out_channel +p10627 +tp10628 +a(g185 +V +tp10629 +a(g12 +Voc +p10630 +tp10631 +a(g185 +V +tp10632 +a(g340 +V= +tp10633 +a(g185 +V\u000a +p10634 +tp10635 +a(g12 +Vmake_formatter +p10636 +tp10637 +a(g185 +V +tp10638 +a(g340 +V( +tp10639 +a(g12 +Voutput +p10640 +tp10641 +a(g185 +V +tp10642 +a(g12 +Voc +p10643 +tp10644 +a(g340 +V) +tp10645 +a(g185 +V +tp10646 +a(g340 +V( +tp10647 +a(g107 +Vfun +p10648 +tp10649 +a(g185 +V +tp10650 +a(g56 +V() +p10651 +tp10652 +a(g185 +V +tp10653 +a(g340 +V- +tp10654 +a(g340 +V> +tp10655 +a(g185 +V +tp10656 +a(g12 +Vflush +p10657 +tp10658 +a(g185 +V +tp10659 +a(g12 +Voc +p10660 +tp10661 +a(g340 +V) +tp10662 +a(g340 +V; +tp10663 +a(g340 +V; +tp10664 +a(g185 +V\u000a\u000a +p10665 +tp10666 +a(g107 +Vlet +p10667 +tp10668 +a(g185 +V +tp10669 +a(g12 +Vformatter_of_buffer +p10670 +tp10671 +a(g185 +V +tp10672 +a(g12 +Vb +tp10673 +a(g185 +V +tp10674 +a(g340 +V= +tp10675 +a(g185 +V\u000a +p10676 +tp10677 +a(g12 +Vmake_formatter +p10678 +tp10679 +a(g185 +V +tp10680 +a(g340 +V( +tp10681 +a(g95 +VBuffer +p10682 +tp10683 +a(g198 +V. +tp10684 +a(g12 +Vadd_substring +p10685 +tp10686 +a(g185 +V +tp10687 +a(g12 +Vb +tp10688 +a(g340 +V) +tp10689 +a(g185 +V +tp10690 +a(g12 +Vignore +p10691 +tp10692 +a(g340 +V; +tp10693 +a(g340 +V; +tp10694 +a(g185 +V\u000a\u000a +p10695 +tp10696 +a(g107 +Vlet +p10697 +tp10698 +a(g185 +V +tp10699 +a(g12 +Vstdbuf +p10700 +tp10701 +a(g185 +V +tp10702 +a(g340 +V= +tp10703 +a(g185 +V +tp10704 +a(g95 +VBuffer +p10705 +tp10706 +a(g198 +V. +tp10707 +a(g12 +Vcreate +p10708 +tp10709 +a(g185 +V +tp10710 +a(g315 +V512 +p10711 +tp10712 +a(g340 +V; +tp10713 +a(g340 +V; +tp10714 +a(g185 +V\u000a\u000a +p10715 +tp10716 +a(g107 +Vlet +p10717 +tp10718 +a(g185 +V +tp10719 +a(g12 +Vstr_formatter +p10720 +tp10721 +a(g185 +V +tp10722 +a(g340 +V= +tp10723 +a(g185 +V +tp10724 +a(g12 +Vformatter_of_buffer +p10725 +tp10726 +a(g185 +V +tp10727 +a(g12 +Vstdbuf +p10728 +tp10729 +a(g340 +V; +tp10730 +a(g340 +V; +tp10731 +a(g185 +V\u000a +tp10732 +a(g107 +Vlet +p10733 +tp10734 +a(g185 +V +tp10735 +a(g12 +Vstd_formatter +p10736 +tp10737 +a(g185 +V +tp10738 +a(g340 +V= +tp10739 +a(g185 +V +tp10740 +a(g12 +Vformatter_of_out_channel +p10741 +tp10742 +a(g185 +V +tp10743 +a(g12 +Vstdout +p10744 +tp10745 +a(g340 +V; +tp10746 +a(g340 +V; +tp10747 +a(g185 +V\u000a +tp10748 +a(g107 +Vlet +p10749 +tp10750 +a(g185 +V +tp10751 +a(g12 +Verr_formatter +p10752 +tp10753 +a(g185 +V +tp10754 +a(g340 +V= +tp10755 +a(g185 +V +tp10756 +a(g12 +Vformatter_of_out_channel +p10757 +tp10758 +a(g185 +V +tp10759 +a(g12 +Vstderr +p10760 +tp10761 +a(g340 +V; +tp10762 +a(g340 +V; +tp10763 +a(g185 +V\u000a\u000a +p10764 +tp10765 +a(g107 +Vlet +p10766 +tp10767 +a(g185 +V +tp10768 +a(g12 +Vflush_str_formatter +p10769 +tp10770 +a(g185 +V +tp10771 +a(g56 +V() +p10772 +tp10773 +a(g185 +V +tp10774 +a(g340 +V= +tp10775 +a(g185 +V\u000a +p10776 +tp10777 +a(g12 +Vpp_flush_queue +p10778 +tp10779 +a(g185 +V +tp10780 +a(g12 +Vstr_formatter +p10781 +tp10782 +a(g185 +V +tp10783 +a(g56 +Vfalse +p10784 +tp10785 +a(g340 +V; +tp10786 +a(g185 +V\u000a +p10787 +tp10788 +a(g107 +Vlet +p10789 +tp10790 +a(g185 +V +tp10791 +a(g12 +Vs +tp10792 +a(g185 +V +tp10793 +a(g340 +V= +tp10794 +a(g185 +V +tp10795 +a(g95 +VBuffer +p10796 +tp10797 +a(g198 +V. +tp10798 +a(g12 +Vcontents +p10799 +tp10800 +a(g185 +V +tp10801 +a(g12 +Vstdbuf +p10802 +tp10803 +a(g185 +V +tp10804 +a(g107 +Vin +p10805 +tp10806 +a(g185 +V\u000a +p10807 +tp10808 +a(g95 +VBuffer +p10809 +tp10810 +a(g198 +V. +tp10811 +a(g12 +Vreset +p10812 +tp10813 +a(g185 +V +tp10814 +a(g12 +Vstdbuf +p10815 +tp10816 +a(g340 +V; +tp10817 +a(g185 +V\u000a +p10818 +tp10819 +a(g12 +Vs +tp10820 +a(g340 +V; +tp10821 +a(g340 +V; +tp10822 +a(g185 +V\u000a\u000a +p10823 +tp10824 +a(g6 +V(* +p10825 +tp10826 +a(g6 +V* +tp10827 +a(g6 +V* +tp10828 +a(g6 +V* +tp10829 +a(g6 +V* +tp10830 +a(g6 +V* +tp10831 +a(g6 +V* +tp10832 +a(g6 +V* +tp10833 +a(g6 +V* +tp10834 +a(g6 +V* +tp10835 +a(g6 +V* +tp10836 +a(g6 +V* +tp10837 +a(g6 +V* +tp10838 +a(g6 +V* +tp10839 +a(g6 +V* +tp10840 +a(g6 +V* +tp10841 +a(g6 +V* +tp10842 +a(g6 +V* +tp10843 +a(g6 +V* +tp10844 +a(g6 +V* +tp10845 +a(g6 +V* +tp10846 +a(g6 +V* +tp10847 +a(g6 +V* +tp10848 +a(g6 +V* +tp10849 +a(g6 +V* +tp10850 +a(g6 +V* +tp10851 +a(g6 +V* +tp10852 +a(g6 +V* +tp10853 +a(g6 +V* +tp10854 +a(g6 +V* +tp10855 +a(g6 +V* +tp10856 +a(g6 +V* +tp10857 +a(g6 +V* +tp10858 +a(g6 +V* +tp10859 +a(g6 +V* +tp10860 +a(g6 +V* +tp10861 +a(g6 +V* +tp10862 +a(g6 +V* +tp10863 +a(g6 +V* +tp10864 +a(g6 +V* +tp10865 +a(g6 +V* +tp10866 +a(g6 +V* +tp10867 +a(g6 +V* +tp10868 +a(g6 +V* +tp10869 +a(g6 +V* +tp10870 +a(g6 +V* +tp10871 +a(g6 +V* +tp10872 +a(g6 +V* +tp10873 +a(g6 +V* +tp10874 +a(g6 +V* +tp10875 +a(g6 +V* +tp10876 +a(g6 +V* +tp10877 +a(g6 +V* +tp10878 +a(g6 +V* +tp10879 +a(g6 +V* +tp10880 +a(g6 +V* +tp10881 +a(g6 +V* +tp10882 +a(g6 +V* +tp10883 +a(g6 +V* +tp10884 +a(g6 +V* +tp10885 +a(g6 +V* +tp10886 +a(g6 +V* +tp10887 +a(g6 +V\u000a\u000a Basic functions on the standard formatter\u000a\u000a +p10888 +tp10889 +a(g6 +V* +tp10890 +a(g6 +V* +tp10891 +a(g6 +V* +tp10892 +a(g6 +V* +tp10893 +a(g6 +V* +tp10894 +a(g6 +V* +tp10895 +a(g6 +V* +tp10896 +a(g6 +V* +tp10897 +a(g6 +V* +tp10898 +a(g6 +V* +tp10899 +a(g6 +V* +tp10900 +a(g6 +V* +tp10901 +a(g6 +V* +tp10902 +a(g6 +V* +tp10903 +a(g6 +V* +tp10904 +a(g6 +V* +tp10905 +a(g6 +V* +tp10906 +a(g6 +V* +tp10907 +a(g6 +V* +tp10908 +a(g6 +V* +tp10909 +a(g6 +V* +tp10910 +a(g6 +V* +tp10911 +a(g6 +V* +tp10912 +a(g6 +V* +tp10913 +a(g6 +V* +tp10914 +a(g6 +V* +tp10915 +a(g6 +V* +tp10916 +a(g6 +V* +tp10917 +a(g6 +V* +tp10918 +a(g6 +V* +tp10919 +a(g6 +V* +tp10920 +a(g6 +V* +tp10921 +a(g6 +V* +tp10922 +a(g6 +V* +tp10923 +a(g6 +V* +tp10924 +a(g6 +V* +tp10925 +a(g6 +V* +tp10926 +a(g6 +V* +tp10927 +a(g6 +V* +tp10928 +a(g6 +V* +tp10929 +a(g6 +V* +tp10930 +a(g6 +V* +tp10931 +a(g6 +V* +tp10932 +a(g6 +V* +tp10933 +a(g6 +V* +tp10934 +a(g6 +V* +tp10935 +a(g6 +V* +tp10936 +a(g6 +V* +tp10937 +a(g6 +V* +tp10938 +a(g6 +V* +tp10939 +a(g6 +V* +tp10940 +a(g6 +V* +tp10941 +a(g6 +V* +tp10942 +a(g6 +V* +tp10943 +a(g6 +V* +tp10944 +a(g6 +V* +tp10945 +a(g6 +V* +tp10946 +a(g6 +V* +tp10947 +a(g6 +V* +tp10948 +a(g6 +V* +tp10949 +a(g6 +V* +tp10950 +a(g6 +V*) +p10951 +tp10952 +a(g185 +V\u000a\u000a +p10953 +tp10954 +a(g107 +Vlet +p10955 +tp10956 +a(g185 +V +tp10957 +a(g12 +Vopen_hbox +p10958 +tp10959 +a(g185 +V +tp10960 +a(g340 +V= +tp10961 +a(g185 +V +tp10962 +a(g12 +Vpp_open_hbox +p10963 +tp10964 +a(g185 +V +tp10965 +a(g12 +Vstd_formatter +p10966 +tp10967 +a(g185 +V\u000a +tp10968 +a(g344 +Vand +p10969 +tp10970 +a(g185 +V +tp10971 +a(g12 +Vopen_vbox +p10972 +tp10973 +a(g185 +V +tp10974 +a(g340 +V= +tp10975 +a(g185 +V +tp10976 +a(g12 +Vpp_open_vbox +p10977 +tp10978 +a(g185 +V +tp10979 +a(g12 +Vstd_formatter +p10980 +tp10981 +a(g185 +V\u000a +tp10982 +a(g344 +Vand +p10983 +tp10984 +a(g185 +V +tp10985 +a(g12 +Vopen_hvbox +p10986 +tp10987 +a(g185 +V +tp10988 +a(g340 +V= +tp10989 +a(g185 +V +tp10990 +a(g12 +Vpp_open_hvbox +p10991 +tp10992 +a(g185 +V +tp10993 +a(g12 +Vstd_formatter +p10994 +tp10995 +a(g185 +V\u000a +tp10996 +a(g344 +Vand +p10997 +tp10998 +a(g185 +V +tp10999 +a(g12 +Vopen_hovbox +p11000 +tp11001 +a(g185 +V +tp11002 +a(g340 +V= +tp11003 +a(g185 +V +tp11004 +a(g12 +Vpp_open_hovbox +p11005 +tp11006 +a(g185 +V +tp11007 +a(g12 +Vstd_formatter +p11008 +tp11009 +a(g185 +V\u000a +tp11010 +a(g344 +Vand +p11011 +tp11012 +a(g185 +V +tp11013 +a(g12 +Vopen_box +p11014 +tp11015 +a(g185 +V +tp11016 +a(g340 +V= +tp11017 +a(g185 +V +tp11018 +a(g12 +Vpp_open_box +p11019 +tp11020 +a(g185 +V +tp11021 +a(g12 +Vstd_formatter +p11022 +tp11023 +a(g185 +V\u000a +tp11024 +a(g344 +Vand +p11025 +tp11026 +a(g185 +V +tp11027 +a(g12 +Vclose_box +p11028 +tp11029 +a(g185 +V +tp11030 +a(g340 +V= +tp11031 +a(g185 +V +tp11032 +a(g12 +Vpp_close_box +p11033 +tp11034 +a(g185 +V +tp11035 +a(g12 +Vstd_formatter +p11036 +tp11037 +a(g185 +V\u000a +tp11038 +a(g344 +Vand +p11039 +tp11040 +a(g185 +V +tp11041 +a(g12 +Vopen_tag +p11042 +tp11043 +a(g185 +V +tp11044 +a(g340 +V= +tp11045 +a(g185 +V +tp11046 +a(g12 +Vpp_open_tag +p11047 +tp11048 +a(g185 +V +tp11049 +a(g12 +Vstd_formatter +p11050 +tp11051 +a(g185 +V\u000a +tp11052 +a(g344 +Vand +p11053 +tp11054 +a(g185 +V +tp11055 +a(g12 +Vclose_tag +p11056 +tp11057 +a(g185 +V +tp11058 +a(g340 +V= +tp11059 +a(g185 +V +tp11060 +a(g12 +Vpp_close_tag +p11061 +tp11062 +a(g185 +V +tp11063 +a(g12 +Vstd_formatter +p11064 +tp11065 +a(g185 +V\u000a +tp11066 +a(g344 +Vand +p11067 +tp11068 +a(g185 +V +tp11069 +a(g12 +Vprint_as +p11070 +tp11071 +a(g185 +V +tp11072 +a(g340 +V= +tp11073 +a(g185 +V +tp11074 +a(g12 +Vpp_print_as +p11075 +tp11076 +a(g185 +V +tp11077 +a(g12 +Vstd_formatter +p11078 +tp11079 +a(g185 +V\u000a +tp11080 +a(g344 +Vand +p11081 +tp11082 +a(g185 +V +tp11083 +a(g12 +Vprint_string +p11084 +tp11085 +a(g185 +V +tp11086 +a(g340 +V= +tp11087 +a(g185 +V +tp11088 +a(g12 +Vpp_print_string +p11089 +tp11090 +a(g185 +V +tp11091 +a(g12 +Vstd_formatter +p11092 +tp11093 +a(g185 +V\u000a +tp11094 +a(g344 +Vand +p11095 +tp11096 +a(g185 +V +tp11097 +a(g12 +Vprint_int +p11098 +tp11099 +a(g185 +V +tp11100 +a(g340 +V= +tp11101 +a(g185 +V +tp11102 +a(g12 +Vpp_print_int +p11103 +tp11104 +a(g185 +V +tp11105 +a(g12 +Vstd_formatter +p11106 +tp11107 +a(g185 +V\u000a +tp11108 +a(g344 +Vand +p11109 +tp11110 +a(g185 +V +tp11111 +a(g12 +Vprint_float +p11112 +tp11113 +a(g185 +V +tp11114 +a(g340 +V= +tp11115 +a(g185 +V +tp11116 +a(g12 +Vpp_print_float +p11117 +tp11118 +a(g185 +V +tp11119 +a(g12 +Vstd_formatter +p11120 +tp11121 +a(g185 +V\u000a +tp11122 +a(g344 +Vand +p11123 +tp11124 +a(g185 +V +tp11125 +a(g12 +Vprint_char +p11126 +tp11127 +a(g185 +V +tp11128 +a(g340 +V= +tp11129 +a(g185 +V +tp11130 +a(g12 +Vpp_print_char +p11131 +tp11132 +a(g185 +V +tp11133 +a(g12 +Vstd_formatter +p11134 +tp11135 +a(g185 +V\u000a +tp11136 +a(g344 +Vand +p11137 +tp11138 +a(g185 +V +tp11139 +a(g12 +Vprint_bool +p11140 +tp11141 +a(g185 +V +tp11142 +a(g340 +V= +tp11143 +a(g185 +V +tp11144 +a(g12 +Vpp_print_bool +p11145 +tp11146 +a(g185 +V +tp11147 +a(g12 +Vstd_formatter +p11148 +tp11149 +a(g185 +V\u000a +tp11150 +a(g344 +Vand +p11151 +tp11152 +a(g185 +V +tp11153 +a(g12 +Vprint_break +p11154 +tp11155 +a(g185 +V +tp11156 +a(g340 +V= +tp11157 +a(g185 +V +tp11158 +a(g12 +Vpp_print_break +p11159 +tp11160 +a(g185 +V +tp11161 +a(g12 +Vstd_formatter +p11162 +tp11163 +a(g185 +V\u000a +tp11164 +a(g344 +Vand +p11165 +tp11166 +a(g185 +V +tp11167 +a(g12 +Vprint_cut +p11168 +tp11169 +a(g185 +V +tp11170 +a(g340 +V= +tp11171 +a(g185 +V +tp11172 +a(g12 +Vpp_print_cut +p11173 +tp11174 +a(g185 +V +tp11175 +a(g12 +Vstd_formatter +p11176 +tp11177 +a(g185 +V\u000a +tp11178 +a(g344 +Vand +p11179 +tp11180 +a(g185 +V +tp11181 +a(g12 +Vprint_space +p11182 +tp11183 +a(g185 +V +tp11184 +a(g340 +V= +tp11185 +a(g185 +V +tp11186 +a(g12 +Vpp_print_space +p11187 +tp11188 +a(g185 +V +tp11189 +a(g12 +Vstd_formatter +p11190 +tp11191 +a(g185 +V\u000a +tp11192 +a(g344 +Vand +p11193 +tp11194 +a(g185 +V +tp11195 +a(g12 +Vforce_newline +p11196 +tp11197 +a(g185 +V +tp11198 +a(g340 +V= +tp11199 +a(g185 +V +tp11200 +a(g12 +Vpp_force_newline +p11201 +tp11202 +a(g185 +V +tp11203 +a(g12 +Vstd_formatter +p11204 +tp11205 +a(g185 +V\u000a +tp11206 +a(g344 +Vand +p11207 +tp11208 +a(g185 +V +tp11209 +a(g12 +Vprint_flush +p11210 +tp11211 +a(g185 +V +tp11212 +a(g340 +V= +tp11213 +a(g185 +V +tp11214 +a(g12 +Vpp_print_flush +p11215 +tp11216 +a(g185 +V +tp11217 +a(g12 +Vstd_formatter +p11218 +tp11219 +a(g185 +V\u000a +tp11220 +a(g344 +Vand +p11221 +tp11222 +a(g185 +V +tp11223 +a(g12 +Vprint_newline +p11224 +tp11225 +a(g185 +V +tp11226 +a(g340 +V= +tp11227 +a(g185 +V +tp11228 +a(g12 +Vpp_print_newline +p11229 +tp11230 +a(g185 +V +tp11231 +a(g12 +Vstd_formatter +p11232 +tp11233 +a(g185 +V\u000a +tp11234 +a(g344 +Vand +p11235 +tp11236 +a(g185 +V +tp11237 +a(g12 +Vprint_if_newline +p11238 +tp11239 +a(g185 +V +tp11240 +a(g340 +V= +tp11241 +a(g185 +V +tp11242 +a(g12 +Vpp_print_if_newline +p11243 +tp11244 +a(g185 +V +tp11245 +a(g12 +Vstd_formatter +p11246 +tp11247 +a(g185 +V\u000a\u000a +p11248 +tp11249 +a(g344 +Vand +p11250 +tp11251 +a(g185 +V +tp11252 +a(g12 +Vopen_tbox +p11253 +tp11254 +a(g185 +V +tp11255 +a(g340 +V= +tp11256 +a(g185 +V +tp11257 +a(g12 +Vpp_open_tbox +p11258 +tp11259 +a(g185 +V +tp11260 +a(g12 +Vstd_formatter +p11261 +tp11262 +a(g185 +V\u000a +tp11263 +a(g344 +Vand +p11264 +tp11265 +a(g185 +V +tp11266 +a(g12 +Vclose_tbox +p11267 +tp11268 +a(g185 +V +tp11269 +a(g340 +V= +tp11270 +a(g185 +V +tp11271 +a(g12 +Vpp_close_tbox +p11272 +tp11273 +a(g185 +V +tp11274 +a(g12 +Vstd_formatter +p11275 +tp11276 +a(g185 +V\u000a +tp11277 +a(g344 +Vand +p11278 +tp11279 +a(g185 +V +tp11280 +a(g12 +Vprint_tbreak +p11281 +tp11282 +a(g185 +V +tp11283 +a(g340 +V= +tp11284 +a(g185 +V +tp11285 +a(g12 +Vpp_print_tbreak +p11286 +tp11287 +a(g185 +V +tp11288 +a(g12 +Vstd_formatter +p11289 +tp11290 +a(g185 +V\u000a\u000a +p11291 +tp11292 +a(g344 +Vand +p11293 +tp11294 +a(g185 +V +tp11295 +a(g12 +Vset_tab +p11296 +tp11297 +a(g185 +V +tp11298 +a(g340 +V= +tp11299 +a(g185 +V +tp11300 +a(g12 +Vpp_set_tab +p11301 +tp11302 +a(g185 +V +tp11303 +a(g12 +Vstd_formatter +p11304 +tp11305 +a(g185 +V\u000a +tp11306 +a(g344 +Vand +p11307 +tp11308 +a(g185 +V +tp11309 +a(g12 +Vprint_tab +p11310 +tp11311 +a(g185 +V +tp11312 +a(g340 +V= +tp11313 +a(g185 +V +tp11314 +a(g12 +Vpp_print_tab +p11315 +tp11316 +a(g185 +V +tp11317 +a(g12 +Vstd_formatter +p11318 +tp11319 +a(g185 +V\u000a\u000a +p11320 +tp11321 +a(g344 +Vand +p11322 +tp11323 +a(g185 +V +tp11324 +a(g12 +Vset_margin +p11325 +tp11326 +a(g185 +V +tp11327 +a(g340 +V= +tp11328 +a(g185 +V +tp11329 +a(g12 +Vpp_set_margin +p11330 +tp11331 +a(g185 +V +tp11332 +a(g12 +Vstd_formatter +p11333 +tp11334 +a(g185 +V\u000a +tp11335 +a(g344 +Vand +p11336 +tp11337 +a(g185 +V +tp11338 +a(g12 +Vget_margin +p11339 +tp11340 +a(g185 +V +tp11341 +a(g340 +V= +tp11342 +a(g185 +V +tp11343 +a(g12 +Vpp_get_margin +p11344 +tp11345 +a(g185 +V +tp11346 +a(g12 +Vstd_formatter +p11347 +tp11348 +a(g185 +V\u000a\u000a +p11349 +tp11350 +a(g344 +Vand +p11351 +tp11352 +a(g185 +V +tp11353 +a(g12 +Vset_max_indent +p11354 +tp11355 +a(g185 +V +tp11356 +a(g340 +V= +tp11357 +a(g185 +V +tp11358 +a(g12 +Vpp_set_max_indent +p11359 +tp11360 +a(g185 +V +tp11361 +a(g12 +Vstd_formatter +p11362 +tp11363 +a(g185 +V\u000a +tp11364 +a(g344 +Vand +p11365 +tp11366 +a(g185 +V +tp11367 +a(g12 +Vget_max_indent +p11368 +tp11369 +a(g185 +V +tp11370 +a(g340 +V= +tp11371 +a(g185 +V +tp11372 +a(g12 +Vpp_get_max_indent +p11373 +tp11374 +a(g185 +V +tp11375 +a(g12 +Vstd_formatter +p11376 +tp11377 +a(g185 +V\u000a\u000a +p11378 +tp11379 +a(g344 +Vand +p11380 +tp11381 +a(g185 +V +tp11382 +a(g12 +Vset_max_boxes +p11383 +tp11384 +a(g185 +V +tp11385 +a(g340 +V= +tp11386 +a(g185 +V +tp11387 +a(g12 +Vpp_set_max_boxes +p11388 +tp11389 +a(g185 +V +tp11390 +a(g12 +Vstd_formatter +p11391 +tp11392 +a(g185 +V\u000a +tp11393 +a(g344 +Vand +p11394 +tp11395 +a(g185 +V +tp11396 +a(g12 +Vget_max_boxes +p11397 +tp11398 +a(g185 +V +tp11399 +a(g340 +V= +tp11400 +a(g185 +V +tp11401 +a(g12 +Vpp_get_max_boxes +p11402 +tp11403 +a(g185 +V +tp11404 +a(g12 +Vstd_formatter +p11405 +tp11406 +a(g185 +V\u000a +tp11407 +a(g344 +Vand +p11408 +tp11409 +a(g185 +V +tp11410 +a(g12 +Vover_max_boxes +p11411 +tp11412 +a(g185 +V +tp11413 +a(g340 +V= +tp11414 +a(g185 +V +tp11415 +a(g12 +Vpp_over_max_boxes +p11416 +tp11417 +a(g185 +V +tp11418 +a(g12 +Vstd_formatter +p11419 +tp11420 +a(g185 +V\u000a\u000a +p11421 +tp11422 +a(g344 +Vand +p11423 +tp11424 +a(g185 +V +tp11425 +a(g12 +Vset_ellipsis_text +p11426 +tp11427 +a(g185 +V +tp11428 +a(g340 +V= +tp11429 +a(g185 +V +tp11430 +a(g12 +Vpp_set_ellipsis_text +p11431 +tp11432 +a(g185 +V +tp11433 +a(g12 +Vstd_formatter +p11434 +tp11435 +a(g185 +V\u000a +tp11436 +a(g344 +Vand +p11437 +tp11438 +a(g185 +V +tp11439 +a(g12 +Vget_ellipsis_text +p11440 +tp11441 +a(g185 +V +tp11442 +a(g340 +V= +tp11443 +a(g185 +V +tp11444 +a(g12 +Vpp_get_ellipsis_text +p11445 +tp11446 +a(g185 +V +tp11447 +a(g12 +Vstd_formatter +p11448 +tp11449 +a(g185 +V\u000a\u000a +p11450 +tp11451 +a(g344 +Vand +p11452 +tp11453 +a(g185 +V +tp11454 +a(g12 +Vset_formatter_out_channel +p11455 +tp11456 +a(g185 +V +tp11457 +a(g340 +V= +tp11458 +a(g185 +V\u000a +p11459 +tp11460 +a(g12 +Vpp_set_formatter_out_channel +p11461 +tp11462 +a(g185 +V +tp11463 +a(g12 +Vstd_formatter +p11464 +tp11465 +a(g185 +V\u000a\u000a +p11466 +tp11467 +a(g344 +Vand +p11468 +tp11469 +a(g185 +V +tp11470 +a(g12 +Vset_formatter_output_functions +p11471 +tp11472 +a(g185 +V +tp11473 +a(g340 +V= +tp11474 +a(g185 +V\u000a +p11475 +tp11476 +a(g12 +Vpp_set_formatter_output_functions +p11477 +tp11478 +a(g185 +V +tp11479 +a(g12 +Vstd_formatter +p11480 +tp11481 +a(g185 +V\u000a +tp11482 +a(g344 +Vand +p11483 +tp11484 +a(g185 +V +tp11485 +a(g12 +Vget_formatter_output_functions +p11486 +tp11487 +a(g185 +V +tp11488 +a(g340 +V= +tp11489 +a(g185 +V\u000a +p11490 +tp11491 +a(g12 +Vpp_get_formatter_output_functions +p11492 +tp11493 +a(g185 +V +tp11494 +a(g12 +Vstd_formatter +p11495 +tp11496 +a(g185 +V\u000a\u000a +p11497 +tp11498 +a(g344 +Vand +p11499 +tp11500 +a(g185 +V +tp11501 +a(g12 +Vset_all_formatter_output_functions +p11502 +tp11503 +a(g185 +V +tp11504 +a(g340 +V= +tp11505 +a(g185 +V\u000a +p11506 +tp11507 +a(g12 +Vpp_set_all_formatter_output_functions +p11508 +tp11509 +a(g185 +V +tp11510 +a(g12 +Vstd_formatter +p11511 +tp11512 +a(g185 +V\u000a +tp11513 +a(g344 +Vand +p11514 +tp11515 +a(g185 +V +tp11516 +a(g12 +Vget_all_formatter_output_functions +p11517 +tp11518 +a(g185 +V +tp11519 +a(g340 +V= +tp11520 +a(g185 +V\u000a +p11521 +tp11522 +a(g12 +Vpp_get_all_formatter_output_functions +p11523 +tp11524 +a(g185 +V +tp11525 +a(g12 +Vstd_formatter +p11526 +tp11527 +a(g185 +V\u000a\u000a +p11528 +tp11529 +a(g344 +Vand +p11530 +tp11531 +a(g185 +V +tp11532 +a(g12 +Vset_formatter_tag_functions +p11533 +tp11534 +a(g185 +V +tp11535 +a(g340 +V= +tp11536 +a(g185 +V\u000a +p11537 +tp11538 +a(g12 +Vpp_set_formatter_tag_functions +p11539 +tp11540 +a(g185 +V +tp11541 +a(g12 +Vstd_formatter +p11542 +tp11543 +a(g185 +V\u000a +tp11544 +a(g344 +Vand +p11545 +tp11546 +a(g185 +V +tp11547 +a(g12 +Vget_formatter_tag_functions +p11548 +tp11549 +a(g185 +V +tp11550 +a(g340 +V= +tp11551 +a(g185 +V\u000a +p11552 +tp11553 +a(g12 +Vpp_get_formatter_tag_functions +p11554 +tp11555 +a(g185 +V +tp11556 +a(g12 +Vstd_formatter +p11557 +tp11558 +a(g185 +V\u000a +tp11559 +a(g344 +Vand +p11560 +tp11561 +a(g185 +V +tp11562 +a(g12 +Vset_print_tags +p11563 +tp11564 +a(g185 +V +tp11565 +a(g340 +V= +tp11566 +a(g185 +V\u000a +p11567 +tp11568 +a(g12 +Vpp_set_print_tags +p11569 +tp11570 +a(g185 +V +tp11571 +a(g12 +Vstd_formatter +p11572 +tp11573 +a(g185 +V\u000a +tp11574 +a(g344 +Vand +p11575 +tp11576 +a(g185 +V +tp11577 +a(g12 +Vget_print_tags +p11578 +tp11579 +a(g185 +V +tp11580 +a(g340 +V= +tp11581 +a(g185 +V\u000a +p11582 +tp11583 +a(g12 +Vpp_get_print_tags +p11584 +tp11585 +a(g185 +V +tp11586 +a(g12 +Vstd_formatter +p11587 +tp11588 +a(g185 +V\u000a +tp11589 +a(g344 +Vand +p11590 +tp11591 +a(g185 +V +tp11592 +a(g12 +Vset_mark_tags +p11593 +tp11594 +a(g185 +V +tp11595 +a(g340 +V= +tp11596 +a(g185 +V\u000a +p11597 +tp11598 +a(g12 +Vpp_set_mark_tags +p11599 +tp11600 +a(g185 +V +tp11601 +a(g12 +Vstd_formatter +p11602 +tp11603 +a(g185 +V\u000a +tp11604 +a(g344 +Vand +p11605 +tp11606 +a(g185 +V +tp11607 +a(g12 +Vget_mark_tags +p11608 +tp11609 +a(g185 +V +tp11610 +a(g340 +V= +tp11611 +a(g185 +V\u000a +p11612 +tp11613 +a(g12 +Vpp_get_mark_tags +p11614 +tp11615 +a(g185 +V +tp11616 +a(g12 +Vstd_formatter +p11617 +tp11618 +a(g185 +V\u000a +tp11619 +a(g344 +Vand +p11620 +tp11621 +a(g185 +V +tp11622 +a(g12 +Vset_tags +p11623 +tp11624 +a(g185 +V +tp11625 +a(g340 +V= +tp11626 +a(g185 +V\u000a +p11627 +tp11628 +a(g12 +Vpp_set_tags +p11629 +tp11630 +a(g185 +V +tp11631 +a(g12 +Vstd_formatter +p11632 +tp11633 +a(g185 +V\u000a +tp11634 +a(g340 +V; +tp11635 +a(g340 +V; +tp11636 +a(g185 +V\u000a\u000a\u000a +p11637 +tp11638 +a(g6 +V(* +p11639 +tp11640 +a(g6 +V* +tp11641 +a(g6 +V* +tp11642 +a(g6 +V* +tp11643 +a(g6 +V* +tp11644 +a(g6 +V* +tp11645 +a(g6 +V* +tp11646 +a(g6 +V* +tp11647 +a(g6 +V* +tp11648 +a(g6 +V* +tp11649 +a(g6 +V* +tp11650 +a(g6 +V* +tp11651 +a(g6 +V* +tp11652 +a(g6 +V* +tp11653 +a(g6 +V* +tp11654 +a(g6 +V* +tp11655 +a(g6 +V* +tp11656 +a(g6 +V* +tp11657 +a(g6 +V* +tp11658 +a(g6 +V* +tp11659 +a(g6 +V* +tp11660 +a(g6 +V* +tp11661 +a(g6 +V* +tp11662 +a(g6 +V* +tp11663 +a(g6 +V* +tp11664 +a(g6 +V* +tp11665 +a(g6 +V* +tp11666 +a(g6 +V* +tp11667 +a(g6 +V* +tp11668 +a(g6 +V* +tp11669 +a(g6 +V* +tp11670 +a(g6 +V* +tp11671 +a(g6 +V* +tp11672 +a(g6 +V* +tp11673 +a(g6 +V* +tp11674 +a(g6 +V* +tp11675 +a(g6 +V* +tp11676 +a(g6 +V* +tp11677 +a(g6 +V* +tp11678 +a(g6 +V* +tp11679 +a(g6 +V* +tp11680 +a(g6 +V* +tp11681 +a(g6 +V* +tp11682 +a(g6 +V* +tp11683 +a(g6 +V* +tp11684 +a(g6 +V* +tp11685 +a(g6 +V* +tp11686 +a(g6 +V* +tp11687 +a(g6 +V* +tp11688 +a(g6 +V* +tp11689 +a(g6 +V* +tp11690 +a(g6 +V* +tp11691 +a(g6 +V* +tp11692 +a(g6 +V* +tp11693 +a(g6 +V* +tp11694 +a(g6 +V* +tp11695 +a(g6 +V* +tp11696 +a(g6 +V* +tp11697 +a(g6 +V* +tp11698 +a(g6 +V* +tp11699 +a(g6 +V* +tp11700 +a(g6 +V* +tp11701 +a(g6 +V\u000a\u000a Printf implementation.\u000a\u000a +p11702 +tp11703 +a(g6 +V* +tp11704 +a(g6 +V* +tp11705 +a(g6 +V* +tp11706 +a(g6 +V* +tp11707 +a(g6 +V* +tp11708 +a(g6 +V* +tp11709 +a(g6 +V* +tp11710 +a(g6 +V* +tp11711 +a(g6 +V* +tp11712 +a(g6 +V* +tp11713 +a(g6 +V* +tp11714 +a(g6 +V* +tp11715 +a(g6 +V* +tp11716 +a(g6 +V* +tp11717 +a(g6 +V* +tp11718 +a(g6 +V* +tp11719 +a(g6 +V* +tp11720 +a(g6 +V* +tp11721 +a(g6 +V* +tp11722 +a(g6 +V* +tp11723 +a(g6 +V* +tp11724 +a(g6 +V* +tp11725 +a(g6 +V* +tp11726 +a(g6 +V* +tp11727 +a(g6 +V* +tp11728 +a(g6 +V* +tp11729 +a(g6 +V* +tp11730 +a(g6 +V* +tp11731 +a(g6 +V* +tp11732 +a(g6 +V* +tp11733 +a(g6 +V* +tp11734 +a(g6 +V* +tp11735 +a(g6 +V* +tp11736 +a(g6 +V* +tp11737 +a(g6 +V* +tp11738 +a(g6 +V* +tp11739 +a(g6 +V* +tp11740 +a(g6 +V* +tp11741 +a(g6 +V* +tp11742 +a(g6 +V* +tp11743 +a(g6 +V* +tp11744 +a(g6 +V* +tp11745 +a(g6 +V* +tp11746 +a(g6 +V* +tp11747 +a(g6 +V* +tp11748 +a(g6 +V* +tp11749 +a(g6 +V* +tp11750 +a(g6 +V* +tp11751 +a(g6 +V* +tp11752 +a(g6 +V* +tp11753 +a(g6 +V* +tp11754 +a(g6 +V* +tp11755 +a(g6 +V* +tp11756 +a(g6 +V* +tp11757 +a(g6 +V* +tp11758 +a(g6 +V* +tp11759 +a(g6 +V* +tp11760 +a(g6 +V* +tp11761 +a(g6 +V* +tp11762 +a(g6 +V* +tp11763 +a(g6 +V* +tp11764 +a(g6 +V*) +p11765 +tp11766 +a(g185 +V\u000a\u000a +p11767 +tp11768 +a(g6 +V(* +p11769 +tp11770 +a(g6 +V Error messages when processing formats. +p11771 +tp11772 +a(g6 +V*) +p11773 +tp11774 +a(g185 +V\u000a\u000a +p11775 +tp11776 +a(g6 +V(* +p11777 +tp11778 +a(g6 +V Trailer: giving up at character number ... +p11779 +tp11780 +a(g6 +V*) +p11781 +tp11782 +a(g185 +V\u000a +tp11783 +a(g107 +Vlet +p11784 +tp11785 +a(g185 +V +tp11786 +a(g12 +Vgiving_up +p11787 +tp11788 +a(g185 +V +tp11789 +a(g12 +Vmess +p11790 +tp11791 +a(g185 +V +tp11792 +a(g12 +Vfmt +p11793 +tp11794 +a(g185 +V +tp11795 +a(g12 +Vi +tp11796 +a(g185 +V +tp11797 +a(g340 +V= +tp11798 +a(g185 +V\u000a +p11799 +tp11800 +a(g241 +V" +tp11801 +a(g241 +Vfprintf: +p11802 +tp11803 +a(g241 +V" +tp11804 +a(g185 +V +tp11805 +a(g340 +V^ +tp11806 +a(g185 +V +tp11807 +a(g12 +Vmess +p11808 +tp11809 +a(g185 +V +tp11810 +a(g340 +V^ +tp11811 +a(g185 +V +tp11812 +a(g241 +V" +tp11813 +a(g241 +V `` +p11814 +tp11815 +a(g241 +V" +tp11816 +a(g185 +V +tp11817 +a(g340 +V^ +tp11818 +a(g185 +V +tp11819 +a(g12 +Vfmt +p11820 +tp11821 +a(g185 +V +tp11822 +a(g340 +V^ +tp11823 +a(g185 +V +tp11824 +a(g241 +V" +tp11825 +a(g241 +V'', +p11826 +tp11827 +a(g241 +V\u005c\u000a +p11828 +tp11829 +a(g241 +V giving up at character number +p11830 +tp11831 +a(g241 +V" +tp11832 +a(g185 +V +tp11833 +a(g340 +V^ +tp11834 +a(g185 +V +tp11835 +a(g12 +Vstring_of_int +p11836 +tp11837 +a(g185 +V +tp11838 +a(g12 +Vi +tp11839 +a(g185 +V +tp11840 +a(g340 +V^ +tp11841 +a(g185 +V\u000a +p11842 +tp11843 +a(g340 +V( +tp11844 +a(g107 +Vif +p11845 +tp11846 +a(g185 +V +tp11847 +a(g12 +Vi +tp11848 +a(g185 +V +tp11849 +a(g340 +V< +tp11850 +a(g185 +V +tp11851 +a(g95 +VString +p11852 +tp11853 +a(g198 +V. +tp11854 +a(g12 +Vlength +p11855 +tp11856 +a(g185 +V +tp11857 +a(g12 +Vfmt +p11858 +tp11859 +a(g185 +V\u000a +p11860 +tp11861 +a(g107 +Vthen +p11862 +tp11863 +a(g185 +V +tp11864 +a(g241 +V" +tp11865 +a(g241 +V ( +p11866 +tp11867 +a(g241 +V" +tp11868 +a(g185 +V +tp11869 +a(g340 +V^ +tp11870 +a(g185 +V +tp11871 +a(g95 +VString +p11872 +tp11873 +a(g198 +V. +tp11874 +a(g12 +Vmake +p11875 +tp11876 +a(g185 +V +tp11877 +a(g315 +V1 +tp11878 +a(g185 +V +tp11879 +a(g12 +Vfmt +p11880 +tp11881 +a(g340 +V. +tp11882 +a(g340 +V[ +tp11883 +a(g12 +Vi +tp11884 +a(g340 +V] +tp11885 +a(g185 +V +tp11886 +a(g340 +V^ +tp11887 +a(g185 +V +tp11888 +a(g241 +V" +tp11889 +a(g241 +V). +p11890 +tp11891 +a(g241 +V" +tp11892 +a(g185 +V\u000a +p11893 +tp11894 +a(g107 +Velse +p11895 +tp11896 +a(g185 +V +tp11897 +a(g95 +VString +p11898 +tp11899 +a(g198 +V. +tp11900 +a(g12 +Vmake +p11901 +tp11902 +a(g185 +V +tp11903 +a(g315 +V1 +tp11904 +a(g185 +V +tp11905 +a(g264 +V'.' +p11906 +tp11907 +a(g340 +V) +tp11908 +a(g340 +V; +tp11909 +a(g340 +V; +tp11910 +a(g185 +V\u000a\u000a +p11911 +tp11912 +a(g6 +V(* +p11913 +tp11914 +a(g6 +V When an invalid format deserves a special error explanation. +p11915 +tp11916 +a(g6 +V*) +p11917 +tp11918 +a(g185 +V\u000a +tp11919 +a(g107 +Vlet +p11920 +tp11921 +a(g185 +V +tp11922 +a(g12 +Vformat_invalid_arg +p11923 +tp11924 +a(g185 +V +tp11925 +a(g12 +Vmess +p11926 +tp11927 +a(g185 +V +tp11928 +a(g12 +Vfmt +p11929 +tp11930 +a(g185 +V +tp11931 +a(g12 +Vi +tp11932 +a(g185 +V +tp11933 +a(g340 +V= +tp11934 +a(g185 +V +tp11935 +a(g12 +Vinvalid_arg +p11936 +tp11937 +a(g185 +V +tp11938 +a(g340 +V( +tp11939 +a(g12 +Vgiving_up +p11940 +tp11941 +a(g185 +V +tp11942 +a(g12 +Vmess +p11943 +tp11944 +a(g185 +V +tp11945 +a(g12 +Vfmt +p11946 +tp11947 +a(g185 +V +tp11948 +a(g12 +Vi +tp11949 +a(g340 +V) +tp11950 +a(g340 +V; +tp11951 +a(g340 +V; +tp11952 +a(g185 +V\u000a\u000a +p11953 +tp11954 +a(g6 +V(* +p11955 +tp11956 +a(g6 +V Standard invalid format. +p11957 +tp11958 +a(g6 +V*) +p11959 +tp11960 +a(g185 +V\u000a +tp11961 +a(g107 +Vlet +p11962 +tp11963 +a(g185 +V +tp11964 +a(g12 +Vinvalid_format +p11965 +tp11966 +a(g185 +V +tp11967 +a(g12 +Vfmt +p11968 +tp11969 +a(g185 +V +tp11970 +a(g12 +Vi +tp11971 +a(g185 +V +tp11972 +a(g340 +V= +tp11973 +a(g185 +V +tp11974 +a(g12 +Vformat_invalid_arg +p11975 +tp11976 +a(g185 +V +tp11977 +a(g241 +V" +tp11978 +a(g241 +Vbad format +p11979 +tp11980 +a(g241 +V" +tp11981 +a(g185 +V +tp11982 +a(g12 +Vfmt +p11983 +tp11984 +a(g185 +V +tp11985 +a(g12 +Vi +tp11986 +a(g340 +V; +tp11987 +a(g340 +V; +tp11988 +a(g185 +V\u000a\u000a +p11989 +tp11990 +a(g6 +V(* +p11991 +tp11992 +a(g6 +V Cannot find a valid integer into that format. +p11993 +tp11994 +a(g6 +V*) +p11995 +tp11996 +a(g185 +V\u000a +tp11997 +a(g107 +Vlet +p11998 +tp11999 +a(g185 +V +tp12000 +a(g12 +Vinvalid_integer +p12001 +tp12002 +a(g185 +V +tp12003 +a(g12 +Vfmt +p12004 +tp12005 +a(g185 +V +tp12006 +a(g12 +Vi +tp12007 +a(g185 +V +tp12008 +a(g340 +V= +tp12009 +a(g185 +V\u000a +p12010 +tp12011 +a(g12 +Vinvalid_arg +p12012 +tp12013 +a(g185 +V +tp12014 +a(g340 +V( +tp12015 +a(g12 +Vgiving_up +p12016 +tp12017 +a(g185 +V +tp12018 +a(g241 +V" +tp12019 +a(g241 +Vbad integer specification +p12020 +tp12021 +a(g241 +V" +tp12022 +a(g185 +V +tp12023 +a(g12 +Vfmt +p12024 +tp12025 +a(g185 +V +tp12026 +a(g12 +Vi +tp12027 +a(g340 +V) +tp12028 +a(g340 +V; +tp12029 +a(g340 +V; +tp12030 +a(g185 +V\u000a\u000a +p12031 +tp12032 +a(g6 +V(* +p12033 +tp12034 +a(g6 +V Finding an integer out of a sub-string of the format. +p12035 +tp12036 +a(g6 +V*) +p12037 +tp12038 +a(g185 +V\u000a +tp12039 +a(g107 +Vlet +p12040 +tp12041 +a(g185 +V +tp12042 +a(g12 +Vformat_int_of_string +p12043 +tp12044 +a(g185 +V +tp12045 +a(g12 +Vfmt +p12046 +tp12047 +a(g185 +V +tp12048 +a(g12 +Vi +tp12049 +a(g185 +V +tp12050 +a(g12 +Vs +tp12051 +a(g185 +V +tp12052 +a(g340 +V= +tp12053 +a(g185 +V\u000a +p12054 +tp12055 +a(g107 +Vlet +p12056 +tp12057 +a(g185 +V +tp12058 +a(g12 +Vsz +p12059 +tp12060 +a(g185 +V +tp12061 +a(g340 +V= +tp12062 +a(g185 +V\u000a +p12063 +tp12064 +a(g107 +Vtry +p12065 +tp12066 +a(g185 +V +tp12067 +a(g12 +Vint_of_string +p12068 +tp12069 +a(g185 +V +tp12070 +a(g12 +Vs +tp12071 +a(g185 +V +tp12072 +a(g107 +Vwith +p12073 +tp12074 +a(g185 +V\u000a +p12075 +tp12076 +a(g340 +V| +tp12077 +a(g185 +V +tp12078 +a(g102 +VFailure +p12079 +tp12080 +a(g185 +V +tp12081 +a(g12 +Vs +tp12082 +a(g185 +V +tp12083 +a(g340 +V- +tp12084 +a(g340 +V> +tp12085 +a(g185 +V +tp12086 +a(g12 +Vinvalid_integer +p12087 +tp12088 +a(g185 +V +tp12089 +a(g12 +Vfmt +p12090 +tp12091 +a(g185 +V +tp12092 +a(g12 +Vi +tp12093 +a(g185 +V +tp12094 +a(g107 +Vin +p12095 +tp12096 +a(g185 +V\u000a +p12097 +tp12098 +a(g12 +Vsize_of_int +p12099 +tp12100 +a(g185 +V +tp12101 +a(g12 +Vsz +p12102 +tp12103 +a(g340 +V; +tp12104 +a(g340 +V; +tp12105 +a(g185 +V\u000a\u000a +p12106 +tp12107 +a(g6 +V(* +p12108 +tp12109 +a(g6 +V Getting strings out of buffers. +p12110 +tp12111 +a(g6 +V*) +p12112 +tp12113 +a(g185 +V\u000a +tp12114 +a(g107 +Vlet +p12115 +tp12116 +a(g185 +V +tp12117 +a(g12 +Vget_buffer_out +p12118 +tp12119 +a(g185 +V +tp12120 +a(g12 +Vb +tp12121 +a(g185 +V +tp12122 +a(g340 +V= +tp12123 +a(g185 +V\u000a +p12124 +tp12125 +a(g107 +Vlet +p12126 +tp12127 +a(g185 +V +tp12128 +a(g12 +Vs +tp12129 +a(g185 +V +tp12130 +a(g340 +V= +tp12131 +a(g185 +V +tp12132 +a(g95 +VBuffer +p12133 +tp12134 +a(g198 +V. +tp12135 +a(g12 +Vcontents +p12136 +tp12137 +a(g185 +V +tp12138 +a(g12 +Vb +tp12139 +a(g185 +V +tp12140 +a(g107 +Vin +p12141 +tp12142 +a(g185 +V\u000a +p12143 +tp12144 +a(g95 +VBuffer +p12145 +tp12146 +a(g198 +V. +tp12147 +a(g12 +Vreset +p12148 +tp12149 +a(g185 +V +tp12150 +a(g12 +Vb +tp12151 +a(g340 +V; +tp12152 +a(g185 +V\u000a +p12153 +tp12154 +a(g12 +Vs +tp12155 +a(g340 +V; +tp12156 +a(g340 +V; +tp12157 +a(g185 +V\u000a\u000a +p12158 +tp12159 +a(g6 +V(* +p12160 +tp12161 +a(g6 +V [ppf] is supposed to be a pretty-printer that outputs in buffer [b]:\u000a to extract contents of [ppf] as a string we flush [ppf] and get the string\u000a out of [b]. +p12162 +tp12163 +a(g6 +V*) +p12164 +tp12165 +a(g185 +V\u000a +tp12166 +a(g107 +Vlet +p12167 +tp12168 +a(g185 +V +tp12169 +a(g12 +Vstring_out +p12170 +tp12171 +a(g185 +V +tp12172 +a(g12 +Vb +tp12173 +a(g185 +V +tp12174 +a(g12 +Vppf +p12175 +tp12176 +a(g185 +V +tp12177 +a(g340 +V= +tp12178 +a(g185 +V\u000a +p12179 +tp12180 +a(g12 +Vpp_flush_queue +p12181 +tp12182 +a(g185 +V +tp12183 +a(g12 +Vppf +p12184 +tp12185 +a(g185 +V +tp12186 +a(g56 +Vfalse +p12187 +tp12188 +a(g340 +V; +tp12189 +a(g185 +V\u000a +p12190 +tp12191 +a(g12 +Vget_buffer_out +p12192 +tp12193 +a(g185 +V +tp12194 +a(g12 +Vb +tp12195 +a(g340 +V; +tp12196 +a(g340 +V; +tp12197 +a(g185 +V\u000a\u000a +p12198 +tp12199 +a(g6 +V(* +p12200 +tp12201 +a(g6 +V Applies [printer] to a formatter that outputs on a fresh buffer,\u000a then returns the resulting material. +p12202 +tp12203 +a(g6 +V*) +p12204 +tp12205 +a(g185 +V\u000a +tp12206 +a(g107 +Vlet +p12207 +tp12208 +a(g185 +V +tp12209 +a(g12 +Vexstring +p12210 +tp12211 +a(g185 +V +tp12212 +a(g12 +Vprinter +p12213 +tp12214 +a(g185 +V +tp12215 +a(g12 +Varg +p12216 +tp12217 +a(g185 +V +tp12218 +a(g340 +V= +tp12219 +a(g185 +V\u000a +p12220 +tp12221 +a(g107 +Vlet +p12222 +tp12223 +a(g185 +V +tp12224 +a(g12 +Vb +tp12225 +a(g185 +V +tp12226 +a(g340 +V= +tp12227 +a(g185 +V +tp12228 +a(g95 +VBuffer +p12229 +tp12230 +a(g198 +V. +tp12231 +a(g12 +Vcreate +p12232 +tp12233 +a(g185 +V +tp12234 +a(g315 +V512 +p12235 +tp12236 +a(g185 +V +tp12237 +a(g107 +Vin +p12238 +tp12239 +a(g185 +V\u000a +p12240 +tp12241 +a(g107 +Vlet +p12242 +tp12243 +a(g185 +V +tp12244 +a(g12 +Vppf +p12245 +tp12246 +a(g185 +V +tp12247 +a(g340 +V= +tp12248 +a(g185 +V +tp12249 +a(g12 +Vformatter_of_buffer +p12250 +tp12251 +a(g185 +V +tp12252 +a(g12 +Vb +tp12253 +a(g185 +V +tp12254 +a(g107 +Vin +p12255 +tp12256 +a(g185 +V\u000a +p12257 +tp12258 +a(g12 +Vprinter +p12259 +tp12260 +a(g185 +V +tp12261 +a(g12 +Vppf +p12262 +tp12263 +a(g185 +V +tp12264 +a(g12 +Varg +p12265 +tp12266 +a(g340 +V; +tp12267 +a(g185 +V\u000a +p12268 +tp12269 +a(g12 +Vstring_out +p12270 +tp12271 +a(g185 +V +tp12272 +a(g12 +Vb +tp12273 +a(g185 +V +tp12274 +a(g12 +Vppf +p12275 +tp12276 +a(g340 +V; +tp12277 +a(g340 +V; +tp12278 +a(g185 +V\u000a\u000a +p12279 +tp12280 +a(g6 +V(* +p12281 +tp12282 +a(g6 +V To turn out a character accumulator into the proper string result. +p12283 +tp12284 +a(g6 +V*) +p12285 +tp12286 +a(g185 +V\u000a +tp12287 +a(g107 +Vlet +p12288 +tp12289 +a(g185 +V +tp12290 +a(g12 +Vimplode_rev +p12291 +tp12292 +a(g185 +V +tp12293 +a(g12 +Vs0 +p12294 +tp12295 +a(g185 +V +tp12296 +a(g340 +V= +tp12297 +a(g185 +V +tp12298 +a(g107 +Vfunction +p12299 +tp12300 +a(g185 +V\u000a +p12301 +tp12302 +a(g340 +V| +tp12303 +a(g185 +V +tp12304 +a(g56 +V[] +p12305 +tp12306 +a(g185 +V +tp12307 +a(g340 +V- +tp12308 +a(g340 +V> +tp12309 +a(g185 +V +tp12310 +a(g12 +Vs0 +p12311 +tp12312 +a(g185 +V\u000a +p12313 +tp12314 +a(g340 +V| +tp12315 +a(g185 +V +tp12316 +a(g12 +Vl +tp12317 +a(g185 +V +tp12318 +a(g340 +V- +tp12319 +a(g340 +V> +tp12320 +a(g185 +V +tp12321 +a(g95 +VString +p12322 +tp12323 +a(g198 +V. +tp12324 +a(g12 +Vconcat +p12325 +tp12326 +a(g185 +V +tp12327 +a(g241 +V" +tp12328 +a(g241 +V" +tp12329 +a(g185 +V +tp12330 +a(g340 +V( +tp12331 +a(g95 +VList +p12332 +tp12333 +a(g198 +V. +tp12334 +a(g12 +Vrev +p12335 +tp12336 +a(g185 +V +tp12337 +a(g340 +V( +tp12338 +a(g12 +Vs0 +p12339 +tp12340 +a(g185 +V +tp12341 +a(g340 +V: +tp12342 +a(g340 +V: +tp12343 +a(g185 +V +tp12344 +a(g12 +Vl +tp12345 +a(g340 +V) +tp12346 +a(g340 +V) +tp12347 +a(g340 +V; +tp12348 +a(g340 +V; +tp12349 +a(g185 +V\u000a\u000a +p12350 +tp12351 +a(g107 +Vexternal +p12352 +tp12353 +a(g185 +V +tp12354 +a(g12 +Vformat_to_string +p12355 +tp12356 +a(g185 +V +tp12357 +a(g340 +V: +tp12358 +a(g185 +V +tp12359 +a(g340 +V( +tp12360 +a(g107 +V' +tp12361 +a(g12 +Va +tp12362 +a(g340 +V, +tp12363 +a(g185 +V +tp12364 +a(g107 +V' +tp12365 +a(g12 +Vb +tp12366 +a(g340 +V, +tp12367 +a(g185 +V +tp12368 +a(g107 +V' +tp12369 +a(g12 +Vc +tp12370 +a(g340 +V, +tp12371 +a(g185 +V +tp12372 +a(g107 +V' +tp12373 +a(g12 +Vd +tp12374 +a(g340 +V) +tp12375 +a(g185 +V +tp12376 +a(g12 +Vformat4 +p12377 +tp12378 +a(g185 +V +tp12379 +a(g340 +V- +tp12380 +a(g340 +V> +tp12381 +a(g185 +V +tp12382 +a(g135 +Vstring +p12383 +tp12384 +a(g185 +V +tp12385 +a(g340 +V= +tp12386 +a(g185 +V +tp12387 +a(g241 +V" +tp12388 +a(g241 +V%identity +p12389 +tp12390 +a(g241 +V" +tp12391 +a(g340 +V; +tp12392 +a(g340 +V; +tp12393 +a(g185 +V\u000a\u000a +p12394 +tp12395 +a(g6 +V(* +p12396 +tp12397 +a(g6 +V [fprintf_out] is the printf-like function generator: given the\u000a - [str] flag that tells if we are printing into a string,\u000a - the [out] function that has to be called at the end of formatting,\u000a it generates a [fprintf] function that takes as arguments a [ppf]\u000a formatter and a printing format to print the rest of arguments\u000a according to the format.\u000a Regular [fprintf]-like functions of this module are obtained via partial\u000a applications of [fprintf_out]. +p12398 +tp12399 +a(g6 +V*) +p12400 +tp12401 +a(g185 +V\u000a +tp12402 +a(g107 +Vlet +p12403 +tp12404 +a(g185 +V +tp12405 +a(g12 +Vmkprintf +p12406 +tp12407 +a(g185 +V +tp12408 +a(g12 +Vstr +p12409 +tp12410 +a(g185 +V +tp12411 +a(g12 +Vget_out +p12412 +tp12413 +a(g185 +V +tp12414 +a(g340 +V= +tp12415 +a(g185 +V\u000a +p12416 +tp12417 +a(g107 +Vlet +p12418 +tp12419 +a(g185 +V +tp12420 +a(g107 +Vrec +p12421 +tp12422 +a(g185 +V +tp12423 +a(g12 +Vkprintf +p12424 +tp12425 +a(g185 +V +tp12426 +a(g12 +Vk +tp12427 +a(g185 +V +tp12428 +a(g12 +Vfmt +p12429 +tp12430 +a(g185 +V +tp12431 +a(g340 +V= +tp12432 +a(g185 +V\u000a +p12433 +tp12434 +a(g107 +Vlet +p12435 +tp12436 +a(g185 +V +tp12437 +a(g12 +Vfmt +p12438 +tp12439 +a(g185 +V +tp12440 +a(g340 +V= +tp12441 +a(g185 +V +tp12442 +a(g12 +Vformat_to_string +p12443 +tp12444 +a(g185 +V +tp12445 +a(g12 +Vfmt +p12446 +tp12447 +a(g185 +V +tp12448 +a(g107 +Vin +p12449 +tp12450 +a(g185 +V\u000a +p12451 +tp12452 +a(g107 +Vlet +p12453 +tp12454 +a(g185 +V +tp12455 +a(g12 +Vlen +p12456 +tp12457 +a(g185 +V +tp12458 +a(g340 +V= +tp12459 +a(g185 +V +tp12460 +a(g95 +VString +p12461 +tp12462 +a(g198 +V. +tp12463 +a(g12 +Vlength +p12464 +tp12465 +a(g185 +V +tp12466 +a(g12 +Vfmt +p12467 +tp12468 +a(g185 +V +tp12469 +a(g107 +Vin +p12470 +tp12471 +a(g185 +V\u000a\u000a +p12472 +tp12473 +a(g107 +Vlet +p12474 +tp12475 +a(g185 +V +tp12476 +a(g12 +Vkpr +p12477 +tp12478 +a(g185 +V +tp12479 +a(g12 +Vfmt +p12480 +tp12481 +a(g185 +V +tp12482 +a(g12 +Vv +tp12483 +a(g185 +V +tp12484 +a(g340 +V= +tp12485 +a(g185 +V\u000a +p12486 +tp12487 +a(g107 +Vlet +p12488 +tp12489 +a(g185 +V +tp12490 +a(g12 +Vppf +p12491 +tp12492 +a(g185 +V +tp12493 +a(g340 +V= +tp12494 +a(g185 +V +tp12495 +a(g12 +Vget_out +p12496 +tp12497 +a(g185 +V +tp12498 +a(g12 +Vfmt +p12499 +tp12500 +a(g185 +V +tp12501 +a(g107 +Vin +p12502 +tp12503 +a(g185 +V\u000a +p12504 +tp12505 +a(g107 +Vlet +p12506 +tp12507 +a(g185 +V +tp12508 +a(g12 +Vprint_as +p12509 +tp12510 +a(g185 +V +tp12511 +a(g340 +V= +tp12512 +a(g185 +V +tp12513 +a(g12 +Vref +p12514 +tp12515 +a(g185 +V +tp12516 +a(g102 +VNone +p12517 +tp12518 +a(g185 +V +tp12519 +a(g107 +Vin +p12520 +tp12521 +a(g185 +V\u000a +p12522 +tp12523 +a(g107 +Vlet +p12524 +tp12525 +a(g185 +V +tp12526 +a(g12 +Vpp_print_as_char +p12527 +tp12528 +a(g185 +V +tp12529 +a(g12 +Vc +tp12530 +a(g185 +V +tp12531 +a(g340 +V= +tp12532 +a(g185 +V\u000a +p12533 +tp12534 +a(g107 +Vmatch +p12535 +tp12536 +a(g185 +V +tp12537 +a(g340 +V! +tp12538 +a(g12 +Vprint_as +p12539 +tp12540 +a(g185 +V +tp12541 +a(g107 +Vwith +p12542 +tp12543 +a(g185 +V\u000a +p12544 +tp12545 +a(g340 +V| +tp12546 +a(g185 +V +tp12547 +a(g102 +VNone +p12548 +tp12549 +a(g185 +V +tp12550 +a(g340 +V- +tp12551 +a(g340 +V> +tp12552 +a(g185 +V +tp12553 +a(g12 +Vpp_print_char +p12554 +tp12555 +a(g185 +V +tp12556 +a(g12 +Vppf +p12557 +tp12558 +a(g185 +V +tp12559 +a(g12 +Vc +tp12560 +a(g185 +V\u000a +p12561 +tp12562 +a(g340 +V| +tp12563 +a(g185 +V +tp12564 +a(g102 +VSome +p12565 +tp12566 +a(g185 +V +tp12567 +a(g12 +Vsize +p12568 +tp12569 +a(g185 +V +tp12570 +a(g340 +V- +tp12571 +a(g340 +V> +tp12572 +a(g185 +V\u000a +p12573 +tp12574 +a(g12 +Vpp_print_as_size +p12575 +tp12576 +a(g185 +V +tp12577 +a(g12 +Vppf +p12578 +tp12579 +a(g185 +V +tp12580 +a(g12 +Vsize +p12581 +tp12582 +a(g185 +V +tp12583 +a(g340 +V( +tp12584 +a(g95 +VString +p12585 +tp12586 +a(g198 +V. +tp12587 +a(g12 +Vmake +p12588 +tp12589 +a(g185 +V +tp12590 +a(g315 +V1 +tp12591 +a(g185 +V +tp12592 +a(g12 +Vc +tp12593 +a(g340 +V) +tp12594 +a(g340 +V; +tp12595 +a(g185 +V\u000a +p12596 +tp12597 +a(g12 +Vprint_as +p12598 +tp12599 +a(g185 +V +tp12600 +a(g340 +V: +tp12601 +a(g340 +V= +tp12602 +a(g185 +V +tp12603 +a(g102 +VNone +p12604 +tp12605 +a(g185 +V\u000a +p12606 +tp12607 +a(g344 +Vand +p12608 +tp12609 +a(g185 +V +tp12610 +a(g12 +Vpp_print_as_string +p12611 +tp12612 +a(g185 +V +tp12613 +a(g12 +Vs +tp12614 +a(g185 +V +tp12615 +a(g340 +V= +tp12616 +a(g185 +V\u000a +p12617 +tp12618 +a(g107 +Vmatch +p12619 +tp12620 +a(g185 +V +tp12621 +a(g340 +V! +tp12622 +a(g12 +Vprint_as +p12623 +tp12624 +a(g185 +V +tp12625 +a(g107 +Vwith +p12626 +tp12627 +a(g185 +V\u000a +p12628 +tp12629 +a(g340 +V| +tp12630 +a(g185 +V +tp12631 +a(g102 +VNone +p12632 +tp12633 +a(g185 +V +tp12634 +a(g340 +V- +tp12635 +a(g340 +V> +tp12636 +a(g185 +V +tp12637 +a(g12 +Vpp_print_string +p12638 +tp12639 +a(g185 +V +tp12640 +a(g12 +Vppf +p12641 +tp12642 +a(g185 +V +tp12643 +a(g12 +Vs +tp12644 +a(g185 +V\u000a +p12645 +tp12646 +a(g340 +V| +tp12647 +a(g185 +V +tp12648 +a(g102 +VSome +p12649 +tp12650 +a(g185 +V +tp12651 +a(g12 +Vsize +p12652 +tp12653 +a(g185 +V +tp12654 +a(g340 +V- +tp12655 +a(g340 +V> +tp12656 +a(g185 +V\u000a +p12657 +tp12658 +a(g12 +Vpp_print_as_size +p12659 +tp12660 +a(g185 +V +tp12661 +a(g12 +Vppf +p12662 +tp12663 +a(g185 +V +tp12664 +a(g12 +Vsize +p12665 +tp12666 +a(g185 +V +tp12667 +a(g12 +Vs +tp12668 +a(g340 +V; +tp12669 +a(g185 +V\u000a +p12670 +tp12671 +a(g12 +Vprint_as +p12672 +tp12673 +a(g185 +V +tp12674 +a(g340 +V: +tp12675 +a(g340 +V= +tp12676 +a(g185 +V +tp12677 +a(g102 +VNone +p12678 +tp12679 +a(g185 +V +tp12680 +a(g107 +Vin +p12681 +tp12682 +a(g185 +V\u000a\u000a +p12683 +tp12684 +a(g107 +Vlet +p12685 +tp12686 +a(g185 +V +tp12687 +a(g107 +Vrec +p12688 +tp12689 +a(g185 +V +tp12690 +a(g12 +Vdoprn +p12691 +tp12692 +a(g185 +V +tp12693 +a(g12 +Vn +tp12694 +a(g185 +V +tp12695 +a(g12 +Vi +tp12696 +a(g185 +V +tp12697 +a(g340 +V= +tp12698 +a(g185 +V\u000a +p12699 +tp12700 +a(g107 +Vif +p12701 +tp12702 +a(g185 +V +tp12703 +a(g12 +Vi +tp12704 +a(g185 +V +tp12705 +a(g340 +V> +tp12706 +a(g340 +V= +tp12707 +a(g185 +V +tp12708 +a(g12 +Vlen +p12709 +tp12710 +a(g185 +V +tp12711 +a(g107 +Vthen +p12712 +tp12713 +a(g185 +V +tp12714 +a(g95 +VObj +p12715 +tp12716 +a(g198 +V. +tp12717 +a(g12 +Vmagic +p12718 +tp12719 +a(g185 +V +tp12720 +a(g340 +V( +tp12721 +a(g12 +Vk +tp12722 +a(g185 +V +tp12723 +a(g12 +Vppf +p12724 +tp12725 +a(g340 +V) +tp12726 +a(g185 +V +tp12727 +a(g107 +Velse +p12728 +tp12729 +a(g185 +V\u000a +p12730 +tp12731 +a(g107 +Vmatch +p12732 +tp12733 +a(g185 +V +tp12734 +a(g12 +Vfmt +p12735 +tp12736 +a(g340 +V. +tp12737 +a(g340 +V[ +tp12738 +a(g12 +Vi +tp12739 +a(g340 +V] +tp12740 +a(g185 +V +tp12741 +a(g107 +Vwith +p12742 +tp12743 +a(g185 +V\u000a +p12744 +tp12745 +a(g340 +V| +tp12746 +a(g185 +V +tp12747 +a(g264 +V'%' +p12748 +tp12749 +a(g185 +V +tp12750 +a(g340 +V- +tp12751 +a(g340 +V> +tp12752 +a(g185 +V\u000a +p12753 +tp12754 +a(g95 +VPrintf +p12755 +tp12756 +a(g198 +V. +tp12757 +a(g12 +Vscan_format +p12758 +tp12759 +a(g185 +V +tp12760 +a(g12 +Vfmt +p12761 +tp12762 +a(g185 +V +tp12763 +a(g12 +Vv +tp12764 +a(g185 +V +tp12765 +a(g12 +Vn +tp12766 +a(g185 +V +tp12767 +a(g12 +Vi +tp12768 +a(g185 +V +tp12769 +a(g12 +Vcont_s +p12770 +tp12771 +a(g185 +V +tp12772 +a(g12 +Vcont_a +p12773 +tp12774 +a(g185 +V +tp12775 +a(g12 +Vcont_t +p12776 +tp12777 +a(g185 +V +tp12778 +a(g12 +Vcont_f +p12779 +tp12780 +a(g185 +V +tp12781 +a(g12 +Vcont_m +p12782 +tp12783 +a(g185 +V\u000a +p12784 +tp12785 +a(g340 +V| +tp12786 +a(g185 +V +tp12787 +a(g264 +V'@' +p12788 +tp12789 +a(g185 +V +tp12790 +a(g340 +V- +tp12791 +a(g340 +V> +tp12792 +a(g185 +V\u000a +p12793 +tp12794 +a(g107 +Vlet +p12795 +tp12796 +a(g185 +V +tp12797 +a(g12 +Vi +tp12798 +a(g185 +V +tp12799 +a(g340 +V= +tp12800 +a(g185 +V +tp12801 +a(g12 +Vsucc +p12802 +tp12803 +a(g185 +V +tp12804 +a(g12 +Vi +tp12805 +a(g185 +V +tp12806 +a(g107 +Vin +p12807 +tp12808 +a(g185 +V\u000a +p12809 +tp12810 +a(g107 +Vif +p12811 +tp12812 +a(g185 +V +tp12813 +a(g12 +Vi +tp12814 +a(g185 +V +tp12815 +a(g340 +V> +tp12816 +a(g340 +V= +tp12817 +a(g185 +V +tp12818 +a(g12 +Vlen +p12819 +tp12820 +a(g185 +V +tp12821 +a(g107 +Vthen +p12822 +tp12823 +a(g185 +V +tp12824 +a(g12 +Vinvalid_format +p12825 +tp12826 +a(g185 +V +tp12827 +a(g12 +Vfmt +p12828 +tp12829 +a(g185 +V +tp12830 +a(g12 +Vi +tp12831 +a(g185 +V +tp12832 +a(g107 +Velse +p12833 +tp12834 +a(g185 +V\u000a +p12835 +tp12836 +a(g107 +Vbegin +p12837 +tp12838 +a(g185 +V +tp12839 +a(g107 +Vmatch +p12840 +tp12841 +a(g185 +V +tp12842 +a(g12 +Vfmt +p12843 +tp12844 +a(g340 +V. +tp12845 +a(g340 +V[ +tp12846 +a(g12 +Vi +tp12847 +a(g340 +V] +tp12848 +a(g185 +V +tp12849 +a(g107 +Vwith +p12850 +tp12851 +a(g185 +V\u000a +p12852 +tp12853 +a(g340 +V| +tp12854 +a(g185 +V +tp12855 +a(g264 +V'[' +p12856 +tp12857 +a(g185 +V +tp12858 +a(g340 +V- +tp12859 +a(g340 +V> +tp12860 +a(g185 +V\u000a +p12861 +tp12862 +a(g12 +Vdo_pp_open_box +p12863 +tp12864 +a(g185 +V +tp12865 +a(g12 +Vppf +p12866 +tp12867 +a(g185 +V +tp12868 +a(g12 +Vn +tp12869 +a(g185 +V +tp12870 +a(g340 +V( +tp12871 +a(g12 +Vsucc +p12872 +tp12873 +a(g185 +V +tp12874 +a(g12 +Vi +tp12875 +a(g340 +V) +tp12876 +a(g185 +V\u000a +p12877 +tp12878 +a(g340 +V| +tp12879 +a(g185 +V +tp12880 +a(g264 +V']' +p12881 +tp12882 +a(g185 +V +tp12883 +a(g340 +V- +tp12884 +a(g340 +V> +tp12885 +a(g185 +V\u000a +p12886 +tp12887 +a(g12 +Vpp_close_box +p12888 +tp12889 +a(g185 +V +tp12890 +a(g12 +Vppf +p12891 +tp12892 +a(g185 +V +tp12893 +a(g56 +V() +p12894 +tp12895 +a(g340 +V; +tp12896 +a(g185 +V\u000a +p12897 +tp12898 +a(g12 +Vdoprn +p12899 +tp12900 +a(g185 +V +tp12901 +a(g12 +Vn +tp12902 +a(g185 +V +tp12903 +a(g340 +V( +tp12904 +a(g12 +Vsucc +p12905 +tp12906 +a(g185 +V +tp12907 +a(g12 +Vi +tp12908 +a(g340 +V) +tp12909 +a(g185 +V\u000a +p12910 +tp12911 +a(g340 +V| +tp12912 +a(g185 +V +tp12913 +a(g264 +V'{' +p12914 +tp12915 +a(g185 +V +tp12916 +a(g340 +V- +tp12917 +a(g340 +V> +tp12918 +a(g185 +V\u000a +p12919 +tp12920 +a(g12 +Vdo_pp_open_tag +p12921 +tp12922 +a(g185 +V +tp12923 +a(g12 +Vppf +p12924 +tp12925 +a(g185 +V +tp12926 +a(g12 +Vn +tp12927 +a(g185 +V +tp12928 +a(g340 +V( +tp12929 +a(g12 +Vsucc +p12930 +tp12931 +a(g185 +V +tp12932 +a(g12 +Vi +tp12933 +a(g340 +V) +tp12934 +a(g185 +V\u000a +p12935 +tp12936 +a(g340 +V| +tp12937 +a(g185 +V +tp12938 +a(g264 +V'}' +p12939 +tp12940 +a(g185 +V +tp12941 +a(g340 +V- +tp12942 +a(g340 +V> +tp12943 +a(g185 +V\u000a +p12944 +tp12945 +a(g12 +Vpp_close_tag +p12946 +tp12947 +a(g185 +V +tp12948 +a(g12 +Vppf +p12949 +tp12950 +a(g185 +V +tp12951 +a(g56 +V() +p12952 +tp12953 +a(g340 +V; +tp12954 +a(g185 +V\u000a +p12955 +tp12956 +a(g12 +Vdoprn +p12957 +tp12958 +a(g185 +V +tp12959 +a(g12 +Vn +tp12960 +a(g185 +V +tp12961 +a(g340 +V( +tp12962 +a(g12 +Vsucc +p12963 +tp12964 +a(g185 +V +tp12965 +a(g12 +Vi +tp12966 +a(g340 +V) +tp12967 +a(g185 +V\u000a +p12968 +tp12969 +a(g340 +V| +tp12970 +a(g185 +V +tp12971 +a(g264 +V' ' +p12972 +tp12973 +a(g185 +V +tp12974 +a(g340 +V- +tp12975 +a(g340 +V> +tp12976 +a(g185 +V\u000a +p12977 +tp12978 +a(g12 +Vpp_print_space +p12979 +tp12980 +a(g185 +V +tp12981 +a(g12 +Vppf +p12982 +tp12983 +a(g185 +V +tp12984 +a(g56 +V() +p12985 +tp12986 +a(g340 +V; +tp12987 +a(g185 +V\u000a +p12988 +tp12989 +a(g12 +Vdoprn +p12990 +tp12991 +a(g185 +V +tp12992 +a(g12 +Vn +tp12993 +a(g185 +V +tp12994 +a(g340 +V( +tp12995 +a(g12 +Vsucc +p12996 +tp12997 +a(g185 +V +tp12998 +a(g12 +Vi +tp12999 +a(g340 +V) +tp13000 +a(g185 +V\u000a +p13001 +tp13002 +a(g340 +V| +tp13003 +a(g185 +V +tp13004 +a(g264 +V',' +p13005 +tp13006 +a(g185 +V +tp13007 +a(g340 +V- +tp13008 +a(g340 +V> +tp13009 +a(g185 +V\u000a +p13010 +tp13011 +a(g12 +Vpp_print_cut +p13012 +tp13013 +a(g185 +V +tp13014 +a(g12 +Vppf +p13015 +tp13016 +a(g185 +V +tp13017 +a(g56 +V() +p13018 +tp13019 +a(g340 +V; +tp13020 +a(g185 +V\u000a +p13021 +tp13022 +a(g12 +Vdoprn +p13023 +tp13024 +a(g185 +V +tp13025 +a(g12 +Vn +tp13026 +a(g185 +V +tp13027 +a(g340 +V( +tp13028 +a(g12 +Vsucc +p13029 +tp13030 +a(g185 +V +tp13031 +a(g12 +Vi +tp13032 +a(g340 +V) +tp13033 +a(g185 +V\u000a +p13034 +tp13035 +a(g340 +V| +tp13036 +a(g185 +V +tp13037 +a(g264 +V'?' +p13038 +tp13039 +a(g185 +V +tp13040 +a(g340 +V- +tp13041 +a(g340 +V> +tp13042 +a(g185 +V\u000a +p13043 +tp13044 +a(g12 +Vpp_print_flush +p13045 +tp13046 +a(g185 +V +tp13047 +a(g12 +Vppf +p13048 +tp13049 +a(g185 +V +tp13050 +a(g56 +V() +p13051 +tp13052 +a(g340 +V; +tp13053 +a(g185 +V\u000a +p13054 +tp13055 +a(g12 +Vdoprn +p13056 +tp13057 +a(g185 +V +tp13058 +a(g12 +Vn +tp13059 +a(g185 +V +tp13060 +a(g340 +V( +tp13061 +a(g12 +Vsucc +p13062 +tp13063 +a(g185 +V +tp13064 +a(g12 +Vi +tp13065 +a(g340 +V) +tp13066 +a(g185 +V\u000a +p13067 +tp13068 +a(g340 +V| +tp13069 +a(g185 +V +tp13070 +a(g264 +V'.' +p13071 +tp13072 +a(g185 +V +tp13073 +a(g340 +V- +tp13074 +a(g340 +V> +tp13075 +a(g185 +V\u000a +p13076 +tp13077 +a(g12 +Vpp_print_newline +p13078 +tp13079 +a(g185 +V +tp13080 +a(g12 +Vppf +p13081 +tp13082 +a(g185 +V +tp13083 +a(g56 +V() +p13084 +tp13085 +a(g340 +V; +tp13086 +a(g185 +V\u000a +p13087 +tp13088 +a(g12 +Vdoprn +p13089 +tp13090 +a(g185 +V +tp13091 +a(g12 +Vn +tp13092 +a(g185 +V +tp13093 +a(g340 +V( +tp13094 +a(g12 +Vsucc +p13095 +tp13096 +a(g185 +V +tp13097 +a(g12 +Vi +tp13098 +a(g340 +V) +tp13099 +a(g185 +V\u000a +p13100 +tp13101 +a(g340 +V| +tp13102 +a(g185 +V +tp13103 +a(g264 +V'\u005cn' +p13104 +tp13105 +a(g185 +V +tp13106 +a(g340 +V- +tp13107 +a(g340 +V> +tp13108 +a(g185 +V\u000a +p13109 +tp13110 +a(g12 +Vpp_force_newline +p13111 +tp13112 +a(g185 +V +tp13113 +a(g12 +Vppf +p13114 +tp13115 +a(g185 +V +tp13116 +a(g56 +V() +p13117 +tp13118 +a(g340 +V; +tp13119 +a(g185 +V\u000a +p13120 +tp13121 +a(g12 +Vdoprn +p13122 +tp13123 +a(g185 +V +tp13124 +a(g12 +Vn +tp13125 +a(g185 +V +tp13126 +a(g340 +V( +tp13127 +a(g12 +Vsucc +p13128 +tp13129 +a(g185 +V +tp13130 +a(g12 +Vi +tp13131 +a(g340 +V) +tp13132 +a(g185 +V\u000a +p13133 +tp13134 +a(g340 +V| +tp13135 +a(g185 +V +tp13136 +a(g264 +V';' +p13137 +tp13138 +a(g185 +V +tp13139 +a(g340 +V- +tp13140 +a(g340 +V> +tp13141 +a(g185 +V\u000a +p13142 +tp13143 +a(g12 +Vdo_pp_break +p13144 +tp13145 +a(g185 +V +tp13146 +a(g12 +Vppf +p13147 +tp13148 +a(g185 +V +tp13149 +a(g12 +Vn +tp13150 +a(g185 +V +tp13151 +a(g340 +V( +tp13152 +a(g12 +Vsucc +p13153 +tp13154 +a(g185 +V +tp13155 +a(g12 +Vi +tp13156 +a(g340 +V) +tp13157 +a(g185 +V\u000a +p13158 +tp13159 +a(g340 +V| +tp13160 +a(g185 +V +tp13161 +a(g264 +V'<' +p13162 +tp13163 +a(g185 +V +tp13164 +a(g340 +V- +tp13165 +a(g340 +V> +tp13166 +a(g185 +V\u000a +p13167 +tp13168 +a(g107 +Vlet +p13169 +tp13170 +a(g185 +V +tp13171 +a(g12 +Vgot_size +p13172 +tp13173 +a(g185 +V +tp13174 +a(g12 +Vsize +p13175 +tp13176 +a(g185 +V +tp13177 +a(g12 +Vn +tp13178 +a(g185 +V +tp13179 +a(g12 +Vi +tp13180 +a(g185 +V +tp13181 +a(g340 +V= +tp13182 +a(g185 +V\u000a +p13183 +tp13184 +a(g12 +Vprint_as +p13185 +tp13186 +a(g185 +V +tp13187 +a(g340 +V: +tp13188 +a(g340 +V= +tp13189 +a(g185 +V +tp13190 +a(g102 +VSome +p13191 +tp13192 +a(g185 +V +tp13193 +a(g12 +Vsize +p13194 +tp13195 +a(g340 +V; +tp13196 +a(g185 +V\u000a +p13197 +tp13198 +a(g12 +Vdoprn +p13199 +tp13200 +a(g185 +V +tp13201 +a(g12 +Vn +tp13202 +a(g185 +V +tp13203 +a(g340 +V( +tp13204 +a(g12 +Vskip_gt +p13205 +tp13206 +a(g185 +V +tp13207 +a(g12 +Vi +tp13208 +a(g340 +V) +tp13209 +a(g185 +V +tp13210 +a(g107 +Vin +p13211 +tp13212 +a(g185 +V\u000a +p13213 +tp13214 +a(g12 +Vget_int +p13215 +tp13216 +a(g185 +V +tp13217 +a(g12 +Vn +tp13218 +a(g185 +V +tp13219 +a(g340 +V( +tp13220 +a(g12 +Vsucc +p13221 +tp13222 +a(g185 +V +tp13223 +a(g12 +Vi +tp13224 +a(g340 +V) +tp13225 +a(g185 +V +tp13226 +a(g12 +Vgot_size +p13227 +tp13228 +a(g185 +V\u000a +p13229 +tp13230 +a(g340 +V| +tp13231 +a(g185 +V +tp13232 +a(g264 +V'@' +p13233 +tp13234 +a(g185 +V +tp13235 +a(g107 +Vas +p13236 +tp13237 +a(g185 +V +tp13238 +a(g12 +Vc +tp13239 +a(g185 +V +tp13240 +a(g340 +V- +tp13241 +a(g340 +V> +tp13242 +a(g185 +V\u000a +p13243 +tp13244 +a(g12 +Vpp_print_as_char +p13245 +tp13246 +a(g185 +V +tp13247 +a(g12 +Vc +tp13248 +a(g340 +V; +tp13249 +a(g185 +V\u000a +p13250 +tp13251 +a(g12 +Vdoprn +p13252 +tp13253 +a(g185 +V +tp13254 +a(g12 +Vn +tp13255 +a(g185 +V +tp13256 +a(g340 +V( +tp13257 +a(g12 +Vsucc +p13258 +tp13259 +a(g185 +V +tp13260 +a(g12 +Vi +tp13261 +a(g340 +V) +tp13262 +a(g185 +V\u000a +p13263 +tp13264 +a(g340 +V| +tp13265 +a(g185 +V +tp13266 +a(g12 +Vc +tp13267 +a(g185 +V +tp13268 +a(g340 +V- +tp13269 +a(g340 +V> +tp13270 +a(g185 +V +tp13271 +a(g12 +Vinvalid_format +p13272 +tp13273 +a(g185 +V +tp13274 +a(g12 +Vfmt +p13275 +tp13276 +a(g185 +V +tp13277 +a(g12 +Vi +tp13278 +a(g185 +V\u000a +p13279 +tp13280 +a(g107 +Vend +p13281 +tp13282 +a(g185 +V\u000a +p13283 +tp13284 +a(g340 +V| +tp13285 +a(g185 +V +tp13286 +a(g12 +Vc +tp13287 +a(g185 +V +tp13288 +a(g340 +V- +tp13289 +a(g340 +V> +tp13290 +a(g185 +V\u000a +p13291 +tp13292 +a(g12 +Vpp_print_as_char +p13293 +tp13294 +a(g185 +V +tp13295 +a(g12 +Vc +tp13296 +a(g340 +V; +tp13297 +a(g185 +V\u000a +p13298 +tp13299 +a(g12 +Vdoprn +p13300 +tp13301 +a(g185 +V +tp13302 +a(g12 +Vn +tp13303 +a(g185 +V +tp13304 +a(g340 +V( +tp13305 +a(g12 +Vsucc +p13306 +tp13307 +a(g185 +V +tp13308 +a(g12 +Vi +tp13309 +a(g340 +V) +tp13310 +a(g185 +V\u000a\u000a +p13311 +tp13312 +a(g344 +Vand +p13313 +tp13314 +a(g185 +V +tp13315 +a(g12 +Vcont_s +p13316 +tp13317 +a(g185 +V +tp13318 +a(g12 +Vn +tp13319 +a(g185 +V +tp13320 +a(g12 +Vs +tp13321 +a(g185 +V +tp13322 +a(g12 +Vi +tp13323 +a(g185 +V +tp13324 +a(g340 +V= +tp13325 +a(g185 +V\u000a +p13326 +tp13327 +a(g12 +Vpp_print_as_string +p13328 +tp13329 +a(g185 +V +tp13330 +a(g12 +Vs +tp13331 +a(g340 +V; +tp13332 +a(g185 +V +tp13333 +a(g12 +Vdoprn +p13334 +tp13335 +a(g185 +V +tp13336 +a(g12 +Vn +tp13337 +a(g185 +V +tp13338 +a(g12 +Vi +tp13339 +a(g185 +V\u000a +p13340 +tp13341 +a(g344 +Vand +p13342 +tp13343 +a(g185 +V +tp13344 +a(g12 +Vcont_a +p13345 +tp13346 +a(g185 +V +tp13347 +a(g12 +Vn +tp13348 +a(g185 +V +tp13349 +a(g12 +Vprinter +p13350 +tp13351 +a(g185 +V +tp13352 +a(g12 +Varg +p13353 +tp13354 +a(g185 +V +tp13355 +a(g12 +Vi +tp13356 +a(g185 +V +tp13357 +a(g340 +V= +tp13358 +a(g185 +V\u000a +p13359 +tp13360 +a(g107 +Vif +p13361 +tp13362 +a(g185 +V +tp13363 +a(g12 +Vstr +p13364 +tp13365 +a(g185 +V +tp13366 +a(g107 +Vthen +p13367 +tp13368 +a(g185 +V\u000a +p13369 +tp13370 +a(g12 +Vpp_print_as_string +p13371 +tp13372 +a(g185 +V +tp13373 +a(g340 +V( +tp13374 +a(g340 +V( +tp13375 +a(g95 +VObj +p13376 +tp13377 +a(g198 +V. +tp13378 +a(g12 +Vmagic +p13379 +tp13380 +a(g185 +V +tp13381 +a(g12 +Vprinter +p13382 +tp13383 +a(g185 +V +tp13384 +a(g340 +V: +tp13385 +a(g185 +V +tp13386 +a(g135 +Vunit +p13387 +tp13388 +a(g185 +V +tp13389 +a(g340 +V- +tp13390 +a(g340 +V> +tp13391 +a(g185 +V +tp13392 +a(g340 +V_ +tp13393 +a(g185 +V +tp13394 +a(g340 +V- +tp13395 +a(g340 +V> +tp13396 +a(g185 +V +tp13397 +a(g135 +Vstring +p13398 +tp13399 +a(g340 +V) +tp13400 +a(g185 +V +tp13401 +a(g56 +V() +p13402 +tp13403 +a(g185 +V +tp13404 +a(g12 +Varg +p13405 +tp13406 +a(g340 +V) +tp13407 +a(g185 +V\u000a +p13408 +tp13409 +a(g107 +Velse +p13410 +tp13411 +a(g185 +V\u000a +p13412 +tp13413 +a(g12 +Vprinter +p13414 +tp13415 +a(g185 +V +tp13416 +a(g12 +Vppf +p13417 +tp13418 +a(g185 +V +tp13419 +a(g12 +Varg +p13420 +tp13421 +a(g340 +V; +tp13422 +a(g185 +V\u000a +p13423 +tp13424 +a(g12 +Vdoprn +p13425 +tp13426 +a(g185 +V +tp13427 +a(g12 +Vn +tp13428 +a(g185 +V +tp13429 +a(g12 +Vi +tp13430 +a(g185 +V\u000a +p13431 +tp13432 +a(g344 +Vand +p13433 +tp13434 +a(g185 +V +tp13435 +a(g12 +Vcont_t +p13436 +tp13437 +a(g185 +V +tp13438 +a(g12 +Vn +tp13439 +a(g185 +V +tp13440 +a(g12 +Vprinter +p13441 +tp13442 +a(g185 +V +tp13443 +a(g12 +Vi +tp13444 +a(g185 +V +tp13445 +a(g340 +V= +tp13446 +a(g185 +V\u000a +p13447 +tp13448 +a(g107 +Vif +p13449 +tp13450 +a(g185 +V +tp13451 +a(g12 +Vstr +p13452 +tp13453 +a(g185 +V +tp13454 +a(g107 +Vthen +p13455 +tp13456 +a(g185 +V\u000a +p13457 +tp13458 +a(g12 +Vpp_print_as_string +p13459 +tp13460 +a(g185 +V +tp13461 +a(g340 +V( +tp13462 +a(g340 +V( +tp13463 +a(g95 +VObj +p13464 +tp13465 +a(g198 +V. +tp13466 +a(g12 +Vmagic +p13467 +tp13468 +a(g185 +V +tp13469 +a(g12 +Vprinter +p13470 +tp13471 +a(g185 +V +tp13472 +a(g340 +V: +tp13473 +a(g185 +V +tp13474 +a(g135 +Vunit +p13475 +tp13476 +a(g185 +V +tp13477 +a(g340 +V- +tp13478 +a(g340 +V> +tp13479 +a(g185 +V +tp13480 +a(g135 +Vstring +p13481 +tp13482 +a(g340 +V) +tp13483 +a(g185 +V +tp13484 +a(g56 +V() +p13485 +tp13486 +a(g340 +V) +tp13487 +a(g185 +V\u000a +p13488 +tp13489 +a(g107 +Velse +p13490 +tp13491 +a(g185 +V\u000a +p13492 +tp13493 +a(g12 +Vprinter +p13494 +tp13495 +a(g185 +V +tp13496 +a(g12 +Vppf +p13497 +tp13498 +a(g340 +V; +tp13499 +a(g185 +V\u000a +p13500 +tp13501 +a(g12 +Vdoprn +p13502 +tp13503 +a(g185 +V +tp13504 +a(g12 +Vn +tp13505 +a(g185 +V +tp13506 +a(g12 +Vi +tp13507 +a(g185 +V\u000a +p13508 +tp13509 +a(g344 +Vand +p13510 +tp13511 +a(g185 +V +tp13512 +a(g12 +Vcont_f +p13513 +tp13514 +a(g185 +V +tp13515 +a(g12 +Vn +tp13516 +a(g185 +V +tp13517 +a(g12 +Vi +tp13518 +a(g185 +V +tp13519 +a(g340 +V= +tp13520 +a(g185 +V\u000a +p13521 +tp13522 +a(g12 +Vpp_print_flush +p13523 +tp13524 +a(g185 +V +tp13525 +a(g12 +Vppf +p13526 +tp13527 +a(g185 +V +tp13528 +a(g56 +V() +p13529 +tp13530 +a(g340 +V; +tp13531 +a(g185 +V +tp13532 +a(g12 +Vdoprn +p13533 +tp13534 +a(g185 +V +tp13535 +a(g12 +Vn +tp13536 +a(g185 +V +tp13537 +a(g12 +Vi +tp13538 +a(g185 +V\u000a\u000a +p13539 +tp13540 +a(g344 +Vand +p13541 +tp13542 +a(g185 +V +tp13543 +a(g12 +Vcont_m +p13544 +tp13545 +a(g185 +V +tp13546 +a(g12 +Vn +tp13547 +a(g185 +V +tp13548 +a(g12 +Vsfmt +p13549 +tp13550 +a(g185 +V +tp13551 +a(g12 +Vi +tp13552 +a(g185 +V +tp13553 +a(g340 +V= +tp13554 +a(g185 +V\u000a +p13555 +tp13556 +a(g12 +Vkprintf +p13557 +tp13558 +a(g185 +V +tp13559 +a(g340 +V( +tp13560 +a(g95 +VObj +p13561 +tp13562 +a(g198 +V. +tp13563 +a(g12 +Vmagic +p13564 +tp13565 +a(g185 +V +tp13566 +a(g340 +V( +tp13567 +a(g107 +Vfun +p13568 +tp13569 +a(g185 +V +tp13570 +a(g340 +V_ +tp13571 +a(g185 +V +tp13572 +a(g340 +V- +tp13573 +a(g340 +V> +tp13574 +a(g185 +V +tp13575 +a(g12 +Vdoprn +p13576 +tp13577 +a(g185 +V +tp13578 +a(g12 +Vn +tp13579 +a(g185 +V +tp13580 +a(g12 +Vi +tp13581 +a(g340 +V) +tp13582 +a(g340 +V) +tp13583 +a(g185 +V +tp13584 +a(g12 +Vsfmt +p13585 +tp13586 +a(g185 +V\u000a\u000a +p13587 +tp13588 +a(g344 +Vand +p13589 +tp13590 +a(g185 +V +tp13591 +a(g12 +Vget_int +p13592 +tp13593 +a(g185 +V +tp13594 +a(g12 +Vn +tp13595 +a(g185 +V +tp13596 +a(g12 +Vi +tp13597 +a(g185 +V +tp13598 +a(g12 +Vc +tp13599 +a(g185 +V +tp13600 +a(g340 +V= +tp13601 +a(g185 +V\u000a +p13602 +tp13603 +a(g107 +Vif +p13604 +tp13605 +a(g185 +V +tp13606 +a(g12 +Vi +tp13607 +a(g185 +V +tp13608 +a(g340 +V> +tp13609 +a(g340 +V= +tp13610 +a(g185 +V +tp13611 +a(g12 +Vlen +p13612 +tp13613 +a(g185 +V +tp13614 +a(g107 +Vthen +p13615 +tp13616 +a(g185 +V +tp13617 +a(g12 +Vinvalid_integer +p13618 +tp13619 +a(g185 +V +tp13620 +a(g12 +Vfmt +p13621 +tp13622 +a(g185 +V +tp13623 +a(g12 +Vi +tp13624 +a(g185 +V +tp13625 +a(g107 +Velse +p13626 +tp13627 +a(g185 +V\u000a +p13628 +tp13629 +a(g107 +Vmatch +p13630 +tp13631 +a(g185 +V +tp13632 +a(g12 +Vfmt +p13633 +tp13634 +a(g340 +V. +tp13635 +a(g340 +V[ +tp13636 +a(g12 +Vi +tp13637 +a(g340 +V] +tp13638 +a(g185 +V +tp13639 +a(g107 +Vwith +p13640 +tp13641 +a(g185 +V\u000a +p13642 +tp13643 +a(g340 +V| +tp13644 +a(g185 +V +tp13645 +a(g264 +V' ' +p13646 +tp13647 +a(g185 +V +tp13648 +a(g340 +V- +tp13649 +a(g340 +V> +tp13650 +a(g185 +V +tp13651 +a(g12 +Vget_int +p13652 +tp13653 +a(g185 +V +tp13654 +a(g12 +Vn +tp13655 +a(g185 +V +tp13656 +a(g340 +V( +tp13657 +a(g12 +Vsucc +p13658 +tp13659 +a(g185 +V +tp13660 +a(g12 +Vi +tp13661 +a(g340 +V) +tp13662 +a(g185 +V +tp13663 +a(g12 +Vc +tp13664 +a(g185 +V\u000a +p13665 +tp13666 +a(g340 +V| +tp13667 +a(g185 +V +tp13668 +a(g264 +V'%' +p13669 +tp13670 +a(g185 +V +tp13671 +a(g340 +V- +tp13672 +a(g340 +V> +tp13673 +a(g185 +V\u000a +p13674 +tp13675 +a(g107 +Vlet +p13676 +tp13677 +a(g185 +V +tp13678 +a(g12 +Vcont_s +p13679 +tp13680 +a(g185 +V +tp13681 +a(g12 +Vn +tp13682 +a(g185 +V +tp13683 +a(g12 +Vs +tp13684 +a(g185 +V +tp13685 +a(g12 +Vi +tp13686 +a(g185 +V +tp13687 +a(g340 +V= +tp13688 +a(g185 +V +tp13689 +a(g12 +Vc +tp13690 +a(g185 +V +tp13691 +a(g340 +V( +tp13692 +a(g12 +Vformat_int_of_string +p13693 +tp13694 +a(g185 +V +tp13695 +a(g12 +Vfmt +p13696 +tp13697 +a(g185 +V +tp13698 +a(g12 +Vi +tp13699 +a(g185 +V +tp13700 +a(g12 +Vs +tp13701 +a(g340 +V) +tp13702 +a(g185 +V +tp13703 +a(g12 +Vn +tp13704 +a(g185 +V +tp13705 +a(g12 +Vi +tp13706 +a(g185 +V\u000a +p13707 +tp13708 +a(g344 +Vand +p13709 +tp13710 +a(g185 +V +tp13711 +a(g12 +Vcont_a +p13712 +tp13713 +a(g185 +V +tp13714 +a(g12 +Vn +tp13715 +a(g185 +V +tp13716 +a(g12 +Vprinter +p13717 +tp13718 +a(g185 +V +tp13719 +a(g12 +Varg +p13720 +tp13721 +a(g185 +V +tp13722 +a(g12 +Vi +tp13723 +a(g185 +V +tp13724 +a(g340 +V= +tp13725 +a(g185 +V +tp13726 +a(g12 +Vinvalid_integer +p13727 +tp13728 +a(g185 +V +tp13729 +a(g12 +Vfmt +p13730 +tp13731 +a(g185 +V +tp13732 +a(g12 +Vi +tp13733 +a(g185 +V\u000a +p13734 +tp13735 +a(g344 +Vand +p13736 +tp13737 +a(g185 +V +tp13738 +a(g12 +Vcont_t +p13739 +tp13740 +a(g185 +V +tp13741 +a(g12 +Vn +tp13742 +a(g185 +V +tp13743 +a(g12 +Vprinter +p13744 +tp13745 +a(g185 +V +tp13746 +a(g12 +Vi +tp13747 +a(g185 +V +tp13748 +a(g340 +V= +tp13749 +a(g185 +V +tp13750 +a(g12 +Vinvalid_integer +p13751 +tp13752 +a(g185 +V +tp13753 +a(g12 +Vfmt +p13754 +tp13755 +a(g185 +V +tp13756 +a(g12 +Vi +tp13757 +a(g185 +V\u000a +p13758 +tp13759 +a(g344 +Vand +p13760 +tp13761 +a(g185 +V +tp13762 +a(g12 +Vcont_f +p13763 +tp13764 +a(g185 +V +tp13765 +a(g12 +Vn +tp13766 +a(g185 +V +tp13767 +a(g12 +Vi +tp13768 +a(g185 +V +tp13769 +a(g340 +V= +tp13770 +a(g185 +V +tp13771 +a(g12 +Vinvalid_integer +p13772 +tp13773 +a(g185 +V +tp13774 +a(g12 +Vfmt +p13775 +tp13776 +a(g185 +V +tp13777 +a(g12 +Vi +tp13778 +a(g185 +V\u000a +p13779 +tp13780 +a(g344 +Vand +p13781 +tp13782 +a(g185 +V +tp13783 +a(g12 +Vcont_m +p13784 +tp13785 +a(g185 +V +tp13786 +a(g12 +Vn +tp13787 +a(g185 +V +tp13788 +a(g12 +Vsfmt +p13789 +tp13790 +a(g185 +V +tp13791 +a(g12 +Vi +tp13792 +a(g185 +V +tp13793 +a(g340 +V= +tp13794 +a(g185 +V +tp13795 +a(g12 +Vinvalid_integer +p13796 +tp13797 +a(g185 +V +tp13798 +a(g12 +Vfmt +p13799 +tp13800 +a(g185 +V +tp13801 +a(g12 +Vi +tp13802 +a(g185 +V +tp13803 +a(g107 +Vin +p13804 +tp13805 +a(g185 +V\u000a +p13806 +tp13807 +a(g95 +VPrintf +p13808 +tp13809 +a(g198 +V. +tp13810 +a(g12 +Vscan_format +p13811 +tp13812 +a(g185 +V +tp13813 +a(g12 +Vfmt +p13814 +tp13815 +a(g185 +V +tp13816 +a(g12 +Vv +tp13817 +a(g185 +V +tp13818 +a(g12 +Vn +tp13819 +a(g185 +V +tp13820 +a(g12 +Vi +tp13821 +a(g185 +V +tp13822 +a(g12 +Vcont_s +p13823 +tp13824 +a(g185 +V +tp13825 +a(g12 +Vcont_a +p13826 +tp13827 +a(g185 +V +tp13828 +a(g12 +Vcont_t +p13829 +tp13830 +a(g185 +V +tp13831 +a(g12 +Vcont_f +p13832 +tp13833 +a(g185 +V +tp13834 +a(g12 +Vcont_m +p13835 +tp13836 +a(g185 +V\u000a +p13837 +tp13838 +a(g340 +V| +tp13839 +a(g185 +V +tp13840 +a(g340 +V_ +tp13841 +a(g185 +V +tp13842 +a(g340 +V- +tp13843 +a(g340 +V> +tp13844 +a(g185 +V\u000a +p13845 +tp13846 +a(g107 +Vlet +p13847 +tp13848 +a(g185 +V +tp13849 +a(g107 +Vrec +p13850 +tp13851 +a(g185 +V +tp13852 +a(g12 +Vget +p13853 +tp13854 +a(g185 +V +tp13855 +a(g12 +Vj +tp13856 +a(g185 +V +tp13857 +a(g340 +V= +tp13858 +a(g185 +V\u000a +p13859 +tp13860 +a(g107 +Vif +p13861 +tp13862 +a(g185 +V +tp13863 +a(g12 +Vj +tp13864 +a(g185 +V +tp13865 +a(g340 +V> +tp13866 +a(g340 +V= +tp13867 +a(g185 +V +tp13868 +a(g12 +Vlen +p13869 +tp13870 +a(g185 +V +tp13871 +a(g107 +Vthen +p13872 +tp13873 +a(g185 +V +tp13874 +a(g12 +Vinvalid_integer +p13875 +tp13876 +a(g185 +V +tp13877 +a(g12 +Vfmt +p13878 +tp13879 +a(g185 +V +tp13880 +a(g12 +Vj +tp13881 +a(g185 +V +tp13882 +a(g107 +Velse +p13883 +tp13884 +a(g185 +V\u000a +p13885 +tp13886 +a(g107 +Vmatch +p13887 +tp13888 +a(g185 +V +tp13889 +a(g12 +Vfmt +p13890 +tp13891 +a(g340 +V. +tp13892 +a(g340 +V[ +tp13893 +a(g12 +Vj +tp13894 +a(g340 +V] +tp13895 +a(g185 +V +tp13896 +a(g107 +Vwith +p13897 +tp13898 +a(g185 +V\u000a +p13899 +tp13900 +a(g340 +V| +tp13901 +a(g185 +V +tp13902 +a(g264 +V'0' +p13903 +tp13904 +a(g185 +V +tp13905 +a(g340 +V. +tp13906 +a(g340 +V. +tp13907 +a(g185 +V +tp13908 +a(g264 +V'9' +p13909 +tp13910 +a(g185 +V +tp13911 +a(g340 +V| +tp13912 +a(g185 +V +tp13913 +a(g264 +V'-' +p13914 +tp13915 +a(g185 +V +tp13916 +a(g340 +V- +tp13917 +a(g340 +V> +tp13918 +a(g185 +V +tp13919 +a(g12 +Vget +p13920 +tp13921 +a(g185 +V +tp13922 +a(g340 +V( +tp13923 +a(g12 +Vsucc +p13924 +tp13925 +a(g185 +V +tp13926 +a(g12 +Vj +tp13927 +a(g340 +V) +tp13928 +a(g185 +V\u000a +p13929 +tp13930 +a(g340 +V| +tp13931 +a(g185 +V +tp13932 +a(g340 +V_ +tp13933 +a(g185 +V +tp13934 +a(g340 +V- +tp13935 +a(g340 +V> +tp13936 +a(g185 +V\u000a +p13937 +tp13938 +a(g107 +Vlet +p13939 +tp13940 +a(g185 +V +tp13941 +a(g12 +Vsize +p13942 +tp13943 +a(g185 +V +tp13944 +a(g340 +V= +tp13945 +a(g185 +V\u000a +p13946 +tp13947 +a(g107 +Vif +p13948 +tp13949 +a(g185 +V +tp13950 +a(g12 +Vj +tp13951 +a(g185 +V +tp13952 +a(g340 +V= +tp13953 +a(g185 +V +tp13954 +a(g12 +Vi +tp13955 +a(g185 +V +tp13956 +a(g107 +Vthen +p13957 +tp13958 +a(g185 +V +tp13959 +a(g12 +Vsize_of_int +p13960 +tp13961 +a(g185 +V +tp13962 +a(g315 +V0 +tp13963 +a(g185 +V +tp13964 +a(g107 +Velse +p13965 +tp13966 +a(g185 +V\u000a +p13967 +tp13968 +a(g12 +Vformat_int_of_string +p13969 +tp13970 +a(g185 +V +tp13971 +a(g12 +Vfmt +p13972 +tp13973 +a(g185 +V +tp13974 +a(g12 +Vj +tp13975 +a(g185 +V +tp13976 +a(g340 +V( +tp13977 +a(g95 +VString +p13978 +tp13979 +a(g198 +V. +tp13980 +a(g12 +Vsub +p13981 +tp13982 +a(g185 +V +tp13983 +a(g12 +Vfmt +p13984 +tp13985 +a(g185 +V +tp13986 +a(g12 +Vi +tp13987 +a(g185 +V +tp13988 +a(g340 +V( +tp13989 +a(g12 +Vj +tp13990 +a(g185 +V +tp13991 +a(g340 +V- +tp13992 +a(g185 +V +tp13993 +a(g12 +Vi +tp13994 +a(g340 +V) +tp13995 +a(g340 +V) +tp13996 +a(g185 +V +tp13997 +a(g107 +Vin +p13998 +tp13999 +a(g185 +V\u000a +p14000 +tp14001 +a(g12 +Vc +tp14002 +a(g185 +V +tp14003 +a(g12 +Vsize +p14004 +tp14005 +a(g185 +V +tp14006 +a(g12 +Vn +tp14007 +a(g185 +V +tp14008 +a(g12 +Vj +tp14009 +a(g185 +V +tp14010 +a(g107 +Vin +p14011 +tp14012 +a(g185 +V\u000a +p14013 +tp14014 +a(g12 +Vget +p14015 +tp14016 +a(g185 +V +tp14017 +a(g12 +Vi +tp14018 +a(g185 +V\u000a\u000a +p14019 +tp14020 +a(g344 +Vand +p14021 +tp14022 +a(g185 +V +tp14023 +a(g12 +Vskip_gt +p14024 +tp14025 +a(g185 +V +tp14026 +a(g12 +Vi +tp14027 +a(g185 +V +tp14028 +a(g340 +V= +tp14029 +a(g185 +V\u000a +p14030 +tp14031 +a(g107 +Vif +p14032 +tp14033 +a(g185 +V +tp14034 +a(g12 +Vi +tp14035 +a(g185 +V +tp14036 +a(g340 +V> +tp14037 +a(g340 +V= +tp14038 +a(g185 +V +tp14039 +a(g12 +Vlen +p14040 +tp14041 +a(g185 +V +tp14042 +a(g107 +Vthen +p14043 +tp14044 +a(g185 +V +tp14045 +a(g12 +Vinvalid_format +p14046 +tp14047 +a(g185 +V +tp14048 +a(g12 +Vfmt +p14049 +tp14050 +a(g185 +V +tp14051 +a(g12 +Vi +tp14052 +a(g185 +V +tp14053 +a(g107 +Velse +p14054 +tp14055 +a(g185 +V\u000a +p14056 +tp14057 +a(g107 +Vmatch +p14058 +tp14059 +a(g185 +V +tp14060 +a(g12 +Vfmt +p14061 +tp14062 +a(g340 +V. +tp14063 +a(g340 +V[ +tp14064 +a(g12 +Vi +tp14065 +a(g340 +V] +tp14066 +a(g185 +V +tp14067 +a(g107 +Vwith +p14068 +tp14069 +a(g185 +V\u000a +p14070 +tp14071 +a(g340 +V| +tp14072 +a(g185 +V +tp14073 +a(g264 +V' ' +p14074 +tp14075 +a(g185 +V +tp14076 +a(g340 +V- +tp14077 +a(g340 +V> +tp14078 +a(g185 +V +tp14079 +a(g12 +Vskip_gt +p14080 +tp14081 +a(g185 +V +tp14082 +a(g340 +V( +tp14083 +a(g12 +Vsucc +p14084 +tp14085 +a(g185 +V +tp14086 +a(g12 +Vi +tp14087 +a(g340 +V) +tp14088 +a(g185 +V\u000a +p14089 +tp14090 +a(g340 +V| +tp14091 +a(g185 +V +tp14092 +a(g264 +V'>' +p14093 +tp14094 +a(g185 +V +tp14095 +a(g340 +V- +tp14096 +a(g340 +V> +tp14097 +a(g185 +V +tp14098 +a(g12 +Vsucc +p14099 +tp14100 +a(g185 +V +tp14101 +a(g12 +Vi +tp14102 +a(g185 +V\u000a +p14103 +tp14104 +a(g340 +V| +tp14105 +a(g185 +V +tp14106 +a(g340 +V_ +tp14107 +a(g185 +V +tp14108 +a(g340 +V- +tp14109 +a(g340 +V> +tp14110 +a(g185 +V +tp14111 +a(g12 +Vinvalid_format +p14112 +tp14113 +a(g185 +V +tp14114 +a(g12 +Vfmt +p14115 +tp14116 +a(g185 +V +tp14117 +a(g12 +Vi +tp14118 +a(g185 +V\u000a\u000a +p14119 +tp14120 +a(g344 +Vand +p14121 +tp14122 +a(g185 +V +tp14123 +a(g12 +Vget_box_kind +p14124 +tp14125 +a(g185 +V +tp14126 +a(g12 +Vi +tp14127 +a(g185 +V +tp14128 +a(g340 +V= +tp14129 +a(g185 +V\u000a +p14130 +tp14131 +a(g107 +Vif +p14132 +tp14133 +a(g185 +V +tp14134 +a(g12 +Vi +tp14135 +a(g185 +V +tp14136 +a(g340 +V> +tp14137 +a(g340 +V= +tp14138 +a(g185 +V +tp14139 +a(g12 +Vlen +p14140 +tp14141 +a(g185 +V +tp14142 +a(g107 +Vthen +p14143 +tp14144 +a(g185 +V +tp14145 +a(g102 +VPp_box +p14146 +tp14147 +a(g340 +V, +tp14148 +a(g185 +V +tp14149 +a(g12 +Vi +tp14150 +a(g185 +V +tp14151 +a(g107 +Velse +p14152 +tp14153 +a(g185 +V\u000a +p14154 +tp14155 +a(g107 +Vmatch +p14156 +tp14157 +a(g185 +V +tp14158 +a(g12 +Vfmt +p14159 +tp14160 +a(g340 +V. +tp14161 +a(g340 +V[ +tp14162 +a(g12 +Vi +tp14163 +a(g340 +V] +tp14164 +a(g185 +V +tp14165 +a(g107 +Vwith +p14166 +tp14167 +a(g185 +V\u000a +p14168 +tp14169 +a(g340 +V| +tp14170 +a(g185 +V +tp14171 +a(g264 +V'h' +p14172 +tp14173 +a(g185 +V +tp14174 +a(g340 +V- +tp14175 +a(g340 +V> +tp14176 +a(g185 +V\u000a +p14177 +tp14178 +a(g107 +Vlet +p14179 +tp14180 +a(g185 +V +tp14181 +a(g12 +Vi +tp14182 +a(g185 +V +tp14183 +a(g340 +V= +tp14184 +a(g185 +V +tp14185 +a(g12 +Vsucc +p14186 +tp14187 +a(g185 +V +tp14188 +a(g12 +Vi +tp14189 +a(g185 +V +tp14190 +a(g107 +Vin +p14191 +tp14192 +a(g185 +V\u000a +p14193 +tp14194 +a(g107 +Vif +p14195 +tp14196 +a(g185 +V +tp14197 +a(g12 +Vi +tp14198 +a(g185 +V +tp14199 +a(g340 +V> +tp14200 +a(g340 +V= +tp14201 +a(g185 +V +tp14202 +a(g12 +Vlen +p14203 +tp14204 +a(g185 +V +tp14205 +a(g107 +Vthen +p14206 +tp14207 +a(g185 +V +tp14208 +a(g102 +VPp_hbox +p14209 +tp14210 +a(g340 +V, +tp14211 +a(g185 +V +tp14212 +a(g12 +Vi +tp14213 +a(g185 +V +tp14214 +a(g107 +Velse +p14215 +tp14216 +a(g185 +V\u000a +p14217 +tp14218 +a(g107 +Vbegin +p14219 +tp14220 +a(g185 +V +tp14221 +a(g107 +Vmatch +p14222 +tp14223 +a(g185 +V +tp14224 +a(g12 +Vfmt +p14225 +tp14226 +a(g340 +V. +tp14227 +a(g340 +V[ +tp14228 +a(g12 +Vi +tp14229 +a(g340 +V] +tp14230 +a(g185 +V +tp14231 +a(g107 +Vwith +p14232 +tp14233 +a(g185 +V\u000a +p14234 +tp14235 +a(g340 +V| +tp14236 +a(g185 +V +tp14237 +a(g264 +V'o' +p14238 +tp14239 +a(g185 +V +tp14240 +a(g340 +V- +tp14241 +a(g340 +V> +tp14242 +a(g185 +V\u000a +p14243 +tp14244 +a(g107 +Vlet +p14245 +tp14246 +a(g185 +V +tp14247 +a(g12 +Vi +tp14248 +a(g185 +V +tp14249 +a(g340 +V= +tp14250 +a(g185 +V +tp14251 +a(g12 +Vsucc +p14252 +tp14253 +a(g185 +V +tp14254 +a(g12 +Vi +tp14255 +a(g185 +V +tp14256 +a(g107 +Vin +p14257 +tp14258 +a(g185 +V\u000a +p14259 +tp14260 +a(g107 +Vif +p14261 +tp14262 +a(g185 +V +tp14263 +a(g12 +Vi +tp14264 +a(g185 +V +tp14265 +a(g340 +V> +tp14266 +a(g340 +V= +tp14267 +a(g185 +V +tp14268 +a(g12 +Vlen +p14269 +tp14270 +a(g185 +V +tp14271 +a(g107 +Vthen +p14272 +tp14273 +a(g185 +V +tp14274 +a(g12 +Vformat_invalid_arg +p14275 +tp14276 +a(g185 +V +tp14277 +a(g241 +V" +tp14278 +a(g241 +Vbad box format +p14279 +tp14280 +a(g241 +V" +tp14281 +a(g185 +V +tp14282 +a(g12 +Vfmt +p14283 +tp14284 +a(g185 +V +tp14285 +a(g12 +Vi +tp14286 +a(g185 +V +tp14287 +a(g107 +Velse +p14288 +tp14289 +a(g185 +V\u000a +p14290 +tp14291 +a(g107 +Vbegin +p14292 +tp14293 +a(g185 +V +tp14294 +a(g107 +Vmatch +p14295 +tp14296 +a(g185 +V +tp14297 +a(g12 +Vfmt +p14298 +tp14299 +a(g340 +V. +tp14300 +a(g340 +V[ +tp14301 +a(g12 +Vi +tp14302 +a(g340 +V] +tp14303 +a(g185 +V +tp14304 +a(g107 +Vwith +p14305 +tp14306 +a(g185 +V\u000a +p14307 +tp14308 +a(g340 +V| +tp14309 +a(g185 +V +tp14310 +a(g264 +V'v' +p14311 +tp14312 +a(g185 +V +tp14313 +a(g340 +V- +tp14314 +a(g340 +V> +tp14315 +a(g185 +V +tp14316 +a(g102 +VPp_hovbox +p14317 +tp14318 +a(g340 +V, +tp14319 +a(g185 +V +tp14320 +a(g12 +Vsucc +p14321 +tp14322 +a(g185 +V +tp14323 +a(g12 +Vi +tp14324 +a(g185 +V\u000a +p14325 +tp14326 +a(g340 +V| +tp14327 +a(g185 +V +tp14328 +a(g12 +Vc +tp14329 +a(g185 +V +tp14330 +a(g340 +V- +tp14331 +a(g340 +V> +tp14332 +a(g185 +V\u000a +p14333 +tp14334 +a(g12 +Vformat_invalid_arg +p14335 +tp14336 +a(g185 +V\u000a +p14337 +tp14338 +a(g340 +V( +tp14339 +a(g241 +V" +tp14340 +a(g241 +Vbad box name ho +p14341 +tp14342 +a(g241 +V" +tp14343 +a(g185 +V +tp14344 +a(g340 +V^ +tp14345 +a(g185 +V +tp14346 +a(g95 +VString +p14347 +tp14348 +a(g198 +V. +tp14349 +a(g12 +Vmake +p14350 +tp14351 +a(g185 +V +tp14352 +a(g315 +V1 +tp14353 +a(g185 +V +tp14354 +a(g12 +Vc +tp14355 +a(g340 +V) +tp14356 +a(g185 +V +tp14357 +a(g12 +Vfmt +p14358 +tp14359 +a(g185 +V +tp14360 +a(g12 +Vi +tp14361 +a(g185 +V +tp14362 +a(g107 +Vend +p14363 +tp14364 +a(g185 +V\u000a +p14365 +tp14366 +a(g340 +V| +tp14367 +a(g185 +V +tp14368 +a(g264 +V'v' +p14369 +tp14370 +a(g185 +V +tp14371 +a(g340 +V- +tp14372 +a(g340 +V> +tp14373 +a(g185 +V +tp14374 +a(g102 +VPp_hvbox +p14375 +tp14376 +a(g340 +V, +tp14377 +a(g185 +V +tp14378 +a(g12 +Vsucc +p14379 +tp14380 +a(g185 +V +tp14381 +a(g12 +Vi +tp14382 +a(g185 +V\u000a +p14383 +tp14384 +a(g340 +V| +tp14385 +a(g185 +V +tp14386 +a(g12 +Vc +tp14387 +a(g185 +V +tp14388 +a(g340 +V- +tp14389 +a(g340 +V> +tp14390 +a(g185 +V +tp14391 +a(g102 +VPp_hbox +p14392 +tp14393 +a(g340 +V, +tp14394 +a(g185 +V +tp14395 +a(g12 +Vi +tp14396 +a(g185 +V\u000a +p14397 +tp14398 +a(g107 +Vend +p14399 +tp14400 +a(g185 +V\u000a +p14401 +tp14402 +a(g340 +V| +tp14403 +a(g185 +V +tp14404 +a(g264 +V'b' +p14405 +tp14406 +a(g185 +V +tp14407 +a(g340 +V- +tp14408 +a(g340 +V> +tp14409 +a(g185 +V +tp14410 +a(g102 +VPp_box +p14411 +tp14412 +a(g340 +V, +tp14413 +a(g185 +V +tp14414 +a(g12 +Vsucc +p14415 +tp14416 +a(g185 +V +tp14417 +a(g12 +Vi +tp14418 +a(g185 +V\u000a +p14419 +tp14420 +a(g340 +V| +tp14421 +a(g185 +V +tp14422 +a(g264 +V'v' +p14423 +tp14424 +a(g185 +V +tp14425 +a(g340 +V- +tp14426 +a(g340 +V> +tp14427 +a(g185 +V +tp14428 +a(g102 +VPp_vbox +p14429 +tp14430 +a(g340 +V, +tp14431 +a(g185 +V +tp14432 +a(g12 +Vsucc +p14433 +tp14434 +a(g185 +V +tp14435 +a(g12 +Vi +tp14436 +a(g185 +V\u000a +p14437 +tp14438 +a(g340 +V| +tp14439 +a(g185 +V +tp14440 +a(g340 +V_ +tp14441 +a(g185 +V +tp14442 +a(g340 +V- +tp14443 +a(g340 +V> +tp14444 +a(g185 +V +tp14445 +a(g102 +VPp_box +p14446 +tp14447 +a(g340 +V, +tp14448 +a(g185 +V +tp14449 +a(g12 +Vi +tp14450 +a(g185 +V\u000a\u000a +p14451 +tp14452 +a(g344 +Vand +p14453 +tp14454 +a(g185 +V +tp14455 +a(g12 +Vget_tag_name +p14456 +tp14457 +a(g185 +V +tp14458 +a(g12 +Vn +tp14459 +a(g185 +V +tp14460 +a(g12 +Vi +tp14461 +a(g185 +V +tp14462 +a(g12 +Vc +tp14463 +a(g185 +V +tp14464 +a(g340 +V= +tp14465 +a(g185 +V\u000a +p14466 +tp14467 +a(g107 +Vlet +p14468 +tp14469 +a(g185 +V +tp14470 +a(g107 +Vrec +p14471 +tp14472 +a(g185 +V +tp14473 +a(g12 +Vget +p14474 +tp14475 +a(g185 +V +tp14476 +a(g12 +Vaccu +p14477 +tp14478 +a(g185 +V +tp14479 +a(g12 +Vn +tp14480 +a(g185 +V +tp14481 +a(g12 +Vi +tp14482 +a(g185 +V +tp14483 +a(g12 +Vj +tp14484 +a(g185 +V +tp14485 +a(g340 +V= +tp14486 +a(g185 +V\u000a +p14487 +tp14488 +a(g107 +Vif +p14489 +tp14490 +a(g185 +V +tp14491 +a(g12 +Vj +tp14492 +a(g185 +V +tp14493 +a(g340 +V> +tp14494 +a(g340 +V= +tp14495 +a(g185 +V +tp14496 +a(g12 +Vlen +p14497 +tp14498 +a(g185 +V\u000a +p14499 +tp14500 +a(g107 +Vthen +p14501 +tp14502 +a(g185 +V +tp14503 +a(g12 +Vc +tp14504 +a(g185 +V +tp14505 +a(g340 +V( +tp14506 +a(g12 +Vimplode_rev +p14507 +tp14508 +a(g185 +V +tp14509 +a(g340 +V( +tp14510 +a(g95 +VString +p14511 +tp14512 +a(g198 +V. +tp14513 +a(g12 +Vsub +p14514 +tp14515 +a(g185 +V +tp14516 +a(g12 +Vfmt +p14517 +tp14518 +a(g185 +V +tp14519 +a(g12 +Vi +tp14520 +a(g185 +V +tp14521 +a(g340 +V( +tp14522 +a(g12 +Vj +tp14523 +a(g185 +V +tp14524 +a(g340 +V- +tp14525 +a(g185 +V +tp14526 +a(g12 +Vi +tp14527 +a(g340 +V) +tp14528 +a(g340 +V) +tp14529 +a(g185 +V +tp14530 +a(g12 +Vaccu +p14531 +tp14532 +a(g340 +V) +tp14533 +a(g185 +V +tp14534 +a(g12 +Vn +tp14535 +a(g185 +V +tp14536 +a(g12 +Vj +tp14537 +a(g185 +V +tp14538 +a(g107 +Velse +p14539 +tp14540 +a(g185 +V\u000a +p14541 +tp14542 +a(g107 +Vmatch +p14543 +tp14544 +a(g185 +V +tp14545 +a(g12 +Vfmt +p14546 +tp14547 +a(g340 +V. +tp14548 +a(g340 +V[ +tp14549 +a(g12 +Vj +tp14550 +a(g340 +V] +tp14551 +a(g185 +V +tp14552 +a(g107 +Vwith +p14553 +tp14554 +a(g185 +V\u000a +p14555 +tp14556 +a(g340 +V| +tp14557 +a(g185 +V +tp14558 +a(g264 +V'>' +p14559 +tp14560 +a(g185 +V +tp14561 +a(g340 +V- +tp14562 +a(g340 +V> +tp14563 +a(g185 +V +tp14564 +a(g12 +Vc +tp14565 +a(g185 +V +tp14566 +a(g340 +V( +tp14567 +a(g12 +Vimplode_rev +p14568 +tp14569 +a(g185 +V +tp14570 +a(g340 +V( +tp14571 +a(g95 +VString +p14572 +tp14573 +a(g198 +V. +tp14574 +a(g12 +Vsub +p14575 +tp14576 +a(g185 +V +tp14577 +a(g12 +Vfmt +p14578 +tp14579 +a(g185 +V +tp14580 +a(g12 +Vi +tp14581 +a(g185 +V +tp14582 +a(g340 +V( +tp14583 +a(g12 +Vj +tp14584 +a(g185 +V +tp14585 +a(g340 +V- +tp14586 +a(g185 +V +tp14587 +a(g12 +Vi +tp14588 +a(g340 +V) +tp14589 +a(g340 +V) +tp14590 +a(g185 +V +tp14591 +a(g12 +Vaccu +p14592 +tp14593 +a(g340 +V) +tp14594 +a(g185 +V +tp14595 +a(g12 +Vn +tp14596 +a(g185 +V +tp14597 +a(g12 +Vj +tp14598 +a(g185 +V\u000a +p14599 +tp14600 +a(g340 +V| +tp14601 +a(g185 +V +tp14602 +a(g264 +V'%' +p14603 +tp14604 +a(g185 +V +tp14605 +a(g340 +V- +tp14606 +a(g340 +V> +tp14607 +a(g185 +V\u000a +p14608 +tp14609 +a(g107 +Vlet +p14610 +tp14611 +a(g185 +V +tp14612 +a(g12 +Vs0 +p14613 +tp14614 +a(g185 +V +tp14615 +a(g340 +V= +tp14616 +a(g185 +V +tp14617 +a(g95 +VString +p14618 +tp14619 +a(g198 +V. +tp14620 +a(g12 +Vsub +p14621 +tp14622 +a(g185 +V +tp14623 +a(g12 +Vfmt +p14624 +tp14625 +a(g185 +V +tp14626 +a(g12 +Vi +tp14627 +a(g185 +V +tp14628 +a(g340 +V( +tp14629 +a(g12 +Vj +tp14630 +a(g185 +V +tp14631 +a(g340 +V- +tp14632 +a(g185 +V +tp14633 +a(g12 +Vi +tp14634 +a(g340 +V) +tp14635 +a(g185 +V +tp14636 +a(g107 +Vin +p14637 +tp14638 +a(g185 +V\u000a +p14639 +tp14640 +a(g107 +Vlet +p14641 +tp14642 +a(g185 +V +tp14643 +a(g12 +Vcont_s +p14644 +tp14645 +a(g185 +V +tp14646 +a(g12 +Vn +tp14647 +a(g185 +V +tp14648 +a(g12 +Vs +tp14649 +a(g185 +V +tp14650 +a(g12 +Vi +tp14651 +a(g185 +V +tp14652 +a(g340 +V= +tp14653 +a(g185 +V +tp14654 +a(g12 +Vget +p14655 +tp14656 +a(g185 +V +tp14657 +a(g340 +V( +tp14658 +a(g12 +Vs +tp14659 +a(g185 +V +tp14660 +a(g340 +V: +tp14661 +a(g340 +V: +tp14662 +a(g185 +V +tp14663 +a(g12 +Vs0 +p14664 +tp14665 +a(g185 +V +tp14666 +a(g340 +V: +tp14667 +a(g340 +V: +tp14668 +a(g185 +V +tp14669 +a(g12 +Vaccu +p14670 +tp14671 +a(g340 +V) +tp14672 +a(g185 +V +tp14673 +a(g12 +Vn +tp14674 +a(g185 +V +tp14675 +a(g12 +Vi +tp14676 +a(g185 +V +tp14677 +a(g12 +Vi +tp14678 +a(g185 +V\u000a +p14679 +tp14680 +a(g344 +Vand +p14681 +tp14682 +a(g185 +V +tp14683 +a(g12 +Vcont_a +p14684 +tp14685 +a(g185 +V +tp14686 +a(g12 +Vn +tp14687 +a(g185 +V +tp14688 +a(g12 +Vprinter +p14689 +tp14690 +a(g185 +V +tp14691 +a(g12 +Varg +p14692 +tp14693 +a(g185 +V +tp14694 +a(g12 +Vi +tp14695 +a(g185 +V +tp14696 +a(g340 +V= +tp14697 +a(g185 +V\u000a +p14698 +tp14699 +a(g107 +Vlet +p14700 +tp14701 +a(g185 +V +tp14702 +a(g12 +Vs +tp14703 +a(g185 +V +tp14704 +a(g340 +V= +tp14705 +a(g185 +V\u000a +p14706 +tp14707 +a(g107 +Vif +p14708 +tp14709 +a(g185 +V +tp14710 +a(g12 +Vstr +p14711 +tp14712 +a(g185 +V\u000a +p14713 +tp14714 +a(g107 +Vthen +p14715 +tp14716 +a(g185 +V +tp14717 +a(g340 +V( +tp14718 +a(g95 +VObj +p14719 +tp14720 +a(g198 +V. +tp14721 +a(g12 +Vmagic +p14722 +tp14723 +a(g185 +V +tp14724 +a(g12 +Vprinter +p14725 +tp14726 +a(g185 +V +tp14727 +a(g340 +V: +tp14728 +a(g185 +V +tp14729 +a(g135 +Vunit +p14730 +tp14731 +a(g185 +V +tp14732 +a(g340 +V- +tp14733 +a(g340 +V> +tp14734 +a(g185 +V +tp14735 +a(g340 +V_ +tp14736 +a(g185 +V +tp14737 +a(g340 +V- +tp14738 +a(g340 +V> +tp14739 +a(g185 +V +tp14740 +a(g135 +Vstring +p14741 +tp14742 +a(g340 +V) +tp14743 +a(g185 +V +tp14744 +a(g56 +V() +p14745 +tp14746 +a(g185 +V +tp14747 +a(g12 +Varg +p14748 +tp14749 +a(g185 +V\u000a +p14750 +tp14751 +a(g107 +Velse +p14752 +tp14753 +a(g185 +V +tp14754 +a(g12 +Vexstring +p14755 +tp14756 +a(g185 +V +tp14757 +a(g12 +Vprinter +p14758 +tp14759 +a(g185 +V +tp14760 +a(g12 +Varg +p14761 +tp14762 +a(g185 +V +tp14763 +a(g107 +Vin +p14764 +tp14765 +a(g185 +V\u000a +p14766 +tp14767 +a(g12 +Vget +p14768 +tp14769 +a(g185 +V +tp14770 +a(g340 +V( +tp14771 +a(g12 +Vs +tp14772 +a(g185 +V +tp14773 +a(g340 +V: +tp14774 +a(g340 +V: +tp14775 +a(g185 +V +tp14776 +a(g12 +Vs0 +p14777 +tp14778 +a(g185 +V +tp14779 +a(g340 +V: +tp14780 +a(g340 +V: +tp14781 +a(g185 +V +tp14782 +a(g12 +Vaccu +p14783 +tp14784 +a(g340 +V) +tp14785 +a(g185 +V +tp14786 +a(g12 +Vn +tp14787 +a(g185 +V +tp14788 +a(g12 +Vi +tp14789 +a(g185 +V +tp14790 +a(g12 +Vi +tp14791 +a(g185 +V\u000a +p14792 +tp14793 +a(g344 +Vand +p14794 +tp14795 +a(g185 +V +tp14796 +a(g12 +Vcont_t +p14797 +tp14798 +a(g185 +V +tp14799 +a(g12 +Vn +tp14800 +a(g185 +V +tp14801 +a(g12 +Vprinter +p14802 +tp14803 +a(g185 +V +tp14804 +a(g12 +Vi +tp14805 +a(g185 +V +tp14806 +a(g340 +V= +tp14807 +a(g185 +V\u000a +p14808 +tp14809 +a(g107 +Vlet +p14810 +tp14811 +a(g185 +V +tp14812 +a(g12 +Vs +tp14813 +a(g185 +V +tp14814 +a(g340 +V= +tp14815 +a(g185 +V\u000a +p14816 +tp14817 +a(g107 +Vif +p14818 +tp14819 +a(g185 +V +tp14820 +a(g12 +Vstr +p14821 +tp14822 +a(g185 +V\u000a +p14823 +tp14824 +a(g107 +Vthen +p14825 +tp14826 +a(g185 +V +tp14827 +a(g340 +V( +tp14828 +a(g95 +VObj +p14829 +tp14830 +a(g198 +V. +tp14831 +a(g12 +Vmagic +p14832 +tp14833 +a(g185 +V +tp14834 +a(g12 +Vprinter +p14835 +tp14836 +a(g185 +V +tp14837 +a(g340 +V: +tp14838 +a(g185 +V +tp14839 +a(g135 +Vunit +p14840 +tp14841 +a(g185 +V +tp14842 +a(g340 +V- +tp14843 +a(g340 +V> +tp14844 +a(g185 +V +tp14845 +a(g135 +Vstring +p14846 +tp14847 +a(g340 +V) +tp14848 +a(g185 +V +tp14849 +a(g56 +V() +p14850 +tp14851 +a(g185 +V\u000a +p14852 +tp14853 +a(g107 +Velse +p14854 +tp14855 +a(g185 +V +tp14856 +a(g12 +Vexstring +p14857 +tp14858 +a(g185 +V +tp14859 +a(g340 +V( +tp14860 +a(g107 +Vfun +p14861 +tp14862 +a(g185 +V +tp14863 +a(g12 +Vppf +p14864 +tp14865 +a(g185 +V +tp14866 +a(g56 +V() +p14867 +tp14868 +a(g185 +V +tp14869 +a(g340 +V- +tp14870 +a(g340 +V> +tp14871 +a(g185 +V +tp14872 +a(g12 +Vprinter +p14873 +tp14874 +a(g185 +V +tp14875 +a(g12 +Vppf +p14876 +tp14877 +a(g340 +V) +tp14878 +a(g185 +V +tp14879 +a(g56 +V() +p14880 +tp14881 +a(g185 +V +tp14882 +a(g107 +Vin +p14883 +tp14884 +a(g185 +V\u000a +p14885 +tp14886 +a(g12 +Vget +p14887 +tp14888 +a(g185 +V +tp14889 +a(g340 +V( +tp14890 +a(g12 +Vs +tp14891 +a(g185 +V +tp14892 +a(g340 +V: +tp14893 +a(g340 +V: +tp14894 +a(g185 +V +tp14895 +a(g12 +Vs0 +p14896 +tp14897 +a(g185 +V +tp14898 +a(g340 +V: +tp14899 +a(g340 +V: +tp14900 +a(g185 +V +tp14901 +a(g12 +Vaccu +p14902 +tp14903 +a(g340 +V) +tp14904 +a(g185 +V +tp14905 +a(g12 +Vn +tp14906 +a(g185 +V +tp14907 +a(g12 +Vi +tp14908 +a(g185 +V +tp14909 +a(g12 +Vi +tp14910 +a(g185 +V\u000a +p14911 +tp14912 +a(g344 +Vand +p14913 +tp14914 +a(g185 +V +tp14915 +a(g12 +Vcont_f +p14916 +tp14917 +a(g185 +V +tp14918 +a(g12 +Vn +tp14919 +a(g185 +V +tp14920 +a(g12 +Vi +tp14921 +a(g185 +V +tp14922 +a(g340 +V= +tp14923 +a(g185 +V\u000a +p14924 +tp14925 +a(g12 +Vformat_invalid_arg +p14926 +tp14927 +a(g185 +V +tp14928 +a(g241 +V" +tp14929 +a(g241 +Vbad tag name specification +p14930 +tp14931 +a(g241 +V" +tp14932 +a(g185 +V +tp14933 +a(g12 +Vfmt +p14934 +tp14935 +a(g185 +V +tp14936 +a(g12 +Vi +tp14937 +a(g185 +V\u000a +p14938 +tp14939 +a(g344 +Vand +p14940 +tp14941 +a(g185 +V +tp14942 +a(g12 +Vcont_m +p14943 +tp14944 +a(g185 +V +tp14945 +a(g12 +Vn +tp14946 +a(g185 +V +tp14947 +a(g12 +Vsfmt +p14948 +tp14949 +a(g185 +V +tp14950 +a(g12 +Vi +tp14951 +a(g185 +V +tp14952 +a(g340 +V= +tp14953 +a(g185 +V\u000a +p14954 +tp14955 +a(g12 +Vformat_invalid_arg +p14956 +tp14957 +a(g185 +V +tp14958 +a(g241 +V" +tp14959 +a(g241 +Vbad tag name specification +p14960 +tp14961 +a(g241 +V" +tp14962 +a(g185 +V +tp14963 +a(g12 +Vfmt +p14964 +tp14965 +a(g185 +V +tp14966 +a(g12 +Vi +tp14967 +a(g185 +V +tp14968 +a(g107 +Vin +p14969 +tp14970 +a(g185 +V\u000a +p14971 +tp14972 +a(g95 +VPrintf +p14973 +tp14974 +a(g198 +V. +tp14975 +a(g12 +Vscan_format +p14976 +tp14977 +a(g185 +V +tp14978 +a(g12 +Vfmt +p14979 +tp14980 +a(g185 +V +tp14981 +a(g12 +Vv +tp14982 +a(g185 +V +tp14983 +a(g12 +Vn +tp14984 +a(g185 +V +tp14985 +a(g12 +Vj +tp14986 +a(g185 +V +tp14987 +a(g12 +Vcont_s +p14988 +tp14989 +a(g185 +V +tp14990 +a(g12 +Vcont_a +p14991 +tp14992 +a(g185 +V +tp14993 +a(g12 +Vcont_t +p14994 +tp14995 +a(g185 +V +tp14996 +a(g12 +Vcont_f +p14997 +tp14998 +a(g185 +V +tp14999 +a(g12 +Vcont_m +p15000 +tp15001 +a(g185 +V\u000a +p15002 +tp15003 +a(g340 +V| +tp15004 +a(g185 +V +tp15005 +a(g12 +Vc +tp15006 +a(g185 +V +tp15007 +a(g340 +V- +tp15008 +a(g340 +V> +tp15009 +a(g185 +V +tp15010 +a(g12 +Vget +p15011 +tp15012 +a(g185 +V +tp15013 +a(g12 +Vaccu +p15014 +tp15015 +a(g185 +V +tp15016 +a(g12 +Vn +tp15017 +a(g185 +V +tp15018 +a(g12 +Vi +tp15019 +a(g185 +V +tp15020 +a(g340 +V( +tp15021 +a(g12 +Vsucc +p15022 +tp15023 +a(g185 +V +tp15024 +a(g12 +Vj +tp15025 +a(g340 +V) +tp15026 +a(g185 +V +tp15027 +a(g107 +Vin +p15028 +tp15029 +a(g185 +V\u000a +p15030 +tp15031 +a(g12 +Vget +p15032 +tp15033 +a(g185 +V +tp15034 +a(g56 +V[] +p15035 +tp15036 +a(g185 +V +tp15037 +a(g12 +Vn +tp15038 +a(g185 +V +tp15039 +a(g12 +Vi +tp15040 +a(g185 +V +tp15041 +a(g12 +Vi +tp15042 +a(g185 +V\u000a\u000a +p15043 +tp15044 +a(g344 +Vand +p15045 +tp15046 +a(g185 +V +tp15047 +a(g12 +Vdo_pp_break +p15048 +tp15049 +a(g185 +V +tp15050 +a(g12 +Vppf +p15051 +tp15052 +a(g185 +V +tp15053 +a(g12 +Vn +tp15054 +a(g185 +V +tp15055 +a(g12 +Vi +tp15056 +a(g185 +V +tp15057 +a(g340 +V= +tp15058 +a(g185 +V\u000a +p15059 +tp15060 +a(g107 +Vif +p15061 +tp15062 +a(g185 +V +tp15063 +a(g12 +Vi +tp15064 +a(g185 +V +tp15065 +a(g340 +V> +tp15066 +a(g340 +V= +tp15067 +a(g185 +V +tp15068 +a(g12 +Vlen +p15069 +tp15070 +a(g185 +V +tp15071 +a(g107 +Vthen +p15072 +tp15073 +a(g185 +V +tp15074 +a(g107 +Vbegin +p15075 +tp15076 +a(g185 +V +tp15077 +a(g12 +Vpp_print_space +p15078 +tp15079 +a(g185 +V +tp15080 +a(g12 +Vppf +p15081 +tp15082 +a(g185 +V +tp15083 +a(g56 +V() +p15084 +tp15085 +a(g340 +V; +tp15086 +a(g185 +V +tp15087 +a(g12 +Vdoprn +p15088 +tp15089 +a(g185 +V +tp15090 +a(g12 +Vn +tp15091 +a(g185 +V +tp15092 +a(g12 +Vi +tp15093 +a(g185 +V +tp15094 +a(g107 +Vend +p15095 +tp15096 +a(g185 +V +tp15097 +a(g107 +Velse +p15098 +tp15099 +a(g185 +V\u000a +p15100 +tp15101 +a(g107 +Vmatch +p15102 +tp15103 +a(g185 +V +tp15104 +a(g12 +Vfmt +p15105 +tp15106 +a(g340 +V. +tp15107 +a(g340 +V[ +tp15108 +a(g12 +Vi +tp15109 +a(g340 +V] +tp15110 +a(g185 +V +tp15111 +a(g107 +Vwith +p15112 +tp15113 +a(g185 +V\u000a +p15114 +tp15115 +a(g340 +V| +tp15116 +a(g185 +V +tp15117 +a(g264 +V'<' +p15118 +tp15119 +a(g185 +V +tp15120 +a(g340 +V- +tp15121 +a(g340 +V> +tp15122 +a(g185 +V\u000a +p15123 +tp15124 +a(g107 +Vlet +p15125 +tp15126 +a(g185 +V +tp15127 +a(g107 +Vrec +p15128 +tp15129 +a(g185 +V +tp15130 +a(g12 +Vgot_nspaces +p15131 +tp15132 +a(g185 +V +tp15133 +a(g12 +Vnspaces +p15134 +tp15135 +a(g185 +V +tp15136 +a(g12 +Vn +tp15137 +a(g185 +V +tp15138 +a(g12 +Vi +tp15139 +a(g185 +V +tp15140 +a(g340 +V= +tp15141 +a(g185 +V\u000a +p15142 +tp15143 +a(g12 +Vget_int +p15144 +tp15145 +a(g185 +V +tp15146 +a(g12 +Vn +tp15147 +a(g185 +V +tp15148 +a(g12 +Vi +tp15149 +a(g185 +V +tp15150 +a(g340 +V( +tp15151 +a(g12 +Vgot_offset +p15152 +tp15153 +a(g185 +V +tp15154 +a(g12 +Vnspaces +p15155 +tp15156 +a(g340 +V) +tp15157 +a(g185 +V\u000a +p15158 +tp15159 +a(g344 +Vand +p15160 +tp15161 +a(g185 +V +tp15162 +a(g12 +Vgot_offset +p15163 +tp15164 +a(g185 +V +tp15165 +a(g12 +Vnspaces +p15166 +tp15167 +a(g185 +V +tp15168 +a(g12 +Voffset +p15169 +tp15170 +a(g185 +V +tp15171 +a(g12 +Vn +tp15172 +a(g185 +V +tp15173 +a(g12 +Vi +tp15174 +a(g185 +V +tp15175 +a(g340 +V= +tp15176 +a(g185 +V\u000a +p15177 +tp15178 +a(g12 +Vpp_print_break +p15179 +tp15180 +a(g185 +V +tp15181 +a(g12 +Vppf +p15182 +tp15183 +a(g185 +V +tp15184 +a(g340 +V( +tp15185 +a(g12 +Vint_of_size +p15186 +tp15187 +a(g185 +V +tp15188 +a(g12 +Vnspaces +p15189 +tp15190 +a(g340 +V) +tp15191 +a(g185 +V +tp15192 +a(g340 +V( +tp15193 +a(g12 +Vint_of_size +p15194 +tp15195 +a(g185 +V +tp15196 +a(g12 +Voffset +p15197 +tp15198 +a(g340 +V) +tp15199 +a(g340 +V; +tp15200 +a(g185 +V\u000a +p15201 +tp15202 +a(g12 +Vdoprn +p15203 +tp15204 +a(g185 +V +tp15205 +a(g12 +Vn +tp15206 +a(g185 +V +tp15207 +a(g340 +V( +tp15208 +a(g12 +Vskip_gt +p15209 +tp15210 +a(g185 +V +tp15211 +a(g12 +Vi +tp15212 +a(g340 +V) +tp15213 +a(g185 +V +tp15214 +a(g107 +Vin +p15215 +tp15216 +a(g185 +V\u000a +p15217 +tp15218 +a(g12 +Vget_int +p15219 +tp15220 +a(g185 +V +tp15221 +a(g12 +Vn +tp15222 +a(g185 +V +tp15223 +a(g340 +V( +tp15224 +a(g12 +Vsucc +p15225 +tp15226 +a(g185 +V +tp15227 +a(g12 +Vi +tp15228 +a(g340 +V) +tp15229 +a(g185 +V +tp15230 +a(g12 +Vgot_nspaces +p15231 +tp15232 +a(g185 +V\u000a +p15233 +tp15234 +a(g340 +V| +tp15235 +a(g185 +V +tp15236 +a(g12 +Vc +tp15237 +a(g185 +V +tp15238 +a(g340 +V- +tp15239 +a(g340 +V> +tp15240 +a(g185 +V +tp15241 +a(g12 +Vpp_print_space +p15242 +tp15243 +a(g185 +V +tp15244 +a(g12 +Vppf +p15245 +tp15246 +a(g185 +V +tp15247 +a(g56 +V() +p15248 +tp15249 +a(g340 +V; +tp15250 +a(g185 +V +tp15251 +a(g12 +Vdoprn +p15252 +tp15253 +a(g185 +V +tp15254 +a(g12 +Vn +tp15255 +a(g185 +V +tp15256 +a(g12 +Vi +tp15257 +a(g185 +V\u000a\u000a +p15258 +tp15259 +a(g344 +Vand +p15260 +tp15261 +a(g185 +V +tp15262 +a(g12 +Vdo_pp_open_box +p15263 +tp15264 +a(g185 +V +tp15265 +a(g12 +Vppf +p15266 +tp15267 +a(g185 +V +tp15268 +a(g12 +Vn +tp15269 +a(g185 +V +tp15270 +a(g12 +Vi +tp15271 +a(g185 +V +tp15272 +a(g340 +V= +tp15273 +a(g185 +V\u000a +p15274 +tp15275 +a(g107 +Vif +p15276 +tp15277 +a(g185 +V +tp15278 +a(g12 +Vi +tp15279 +a(g185 +V +tp15280 +a(g340 +V> +tp15281 +a(g340 +V= +tp15282 +a(g185 +V +tp15283 +a(g12 +Vlen +p15284 +tp15285 +a(g185 +V +tp15286 +a(g107 +Vthen +p15287 +tp15288 +a(g185 +V +tp15289 +a(g107 +Vbegin +p15290 +tp15291 +a(g185 +V +tp15292 +a(g12 +Vpp_open_box_gen +p15293 +tp15294 +a(g185 +V +tp15295 +a(g12 +Vppf +p15296 +tp15297 +a(g185 +V +tp15298 +a(g315 +V0 +tp15299 +a(g185 +V +tp15300 +a(g102 +VPp_box +p15301 +tp15302 +a(g340 +V; +tp15303 +a(g185 +V +tp15304 +a(g12 +Vdoprn +p15305 +tp15306 +a(g185 +V +tp15307 +a(g12 +Vn +tp15308 +a(g185 +V +tp15309 +a(g12 +Vi +tp15310 +a(g185 +V +tp15311 +a(g107 +Vend +p15312 +tp15313 +a(g185 +V +tp15314 +a(g107 +Velse +p15315 +tp15316 +a(g185 +V\u000a +p15317 +tp15318 +a(g107 +Vmatch +p15319 +tp15320 +a(g185 +V +tp15321 +a(g12 +Vfmt +p15322 +tp15323 +a(g340 +V. +tp15324 +a(g340 +V[ +tp15325 +a(g12 +Vi +tp15326 +a(g340 +V] +tp15327 +a(g185 +V +tp15328 +a(g107 +Vwith +p15329 +tp15330 +a(g185 +V\u000a +p15331 +tp15332 +a(g340 +V| +tp15333 +a(g185 +V +tp15334 +a(g264 +V'<' +p15335 +tp15336 +a(g185 +V +tp15337 +a(g340 +V- +tp15338 +a(g340 +V> +tp15339 +a(g185 +V\u000a +p15340 +tp15341 +a(g107 +Vlet +p15342 +tp15343 +a(g185 +V +tp15344 +a(g12 +Vkind +p15345 +tp15346 +a(g340 +V, +tp15347 +a(g185 +V +tp15348 +a(g12 +Vi +tp15349 +a(g185 +V +tp15350 +a(g340 +V= +tp15351 +a(g185 +V +tp15352 +a(g12 +Vget_box_kind +p15353 +tp15354 +a(g185 +V +tp15355 +a(g340 +V( +tp15356 +a(g12 +Vsucc +p15357 +tp15358 +a(g185 +V +tp15359 +a(g12 +Vi +tp15360 +a(g340 +V) +tp15361 +a(g185 +V +tp15362 +a(g107 +Vin +p15363 +tp15364 +a(g185 +V\u000a +p15365 +tp15366 +a(g107 +Vlet +p15367 +tp15368 +a(g185 +V +tp15369 +a(g12 +Vgot_size +p15370 +tp15371 +a(g185 +V +tp15372 +a(g12 +Vsize +p15373 +tp15374 +a(g185 +V +tp15375 +a(g12 +Vn +tp15376 +a(g185 +V +tp15377 +a(g12 +Vi +tp15378 +a(g185 +V +tp15379 +a(g340 +V= +tp15380 +a(g185 +V\u000a +p15381 +tp15382 +a(g12 +Vpp_open_box_gen +p15383 +tp15384 +a(g185 +V +tp15385 +a(g12 +Vppf +p15386 +tp15387 +a(g185 +V +tp15388 +a(g340 +V( +tp15389 +a(g12 +Vint_of_size +p15390 +tp15391 +a(g185 +V +tp15392 +a(g12 +Vsize +p15393 +tp15394 +a(g340 +V) +tp15395 +a(g185 +V +tp15396 +a(g12 +Vkind +p15397 +tp15398 +a(g340 +V; +tp15399 +a(g185 +V\u000a +p15400 +tp15401 +a(g12 +Vdoprn +p15402 +tp15403 +a(g185 +V +tp15404 +a(g12 +Vn +tp15405 +a(g185 +V +tp15406 +a(g340 +V( +tp15407 +a(g12 +Vskip_gt +p15408 +tp15409 +a(g185 +V +tp15410 +a(g12 +Vi +tp15411 +a(g340 +V) +tp15412 +a(g185 +V +tp15413 +a(g107 +Vin +p15414 +tp15415 +a(g185 +V\u000a +p15416 +tp15417 +a(g12 +Vget_int +p15418 +tp15419 +a(g185 +V +tp15420 +a(g12 +Vn +tp15421 +a(g185 +V +tp15422 +a(g12 +Vi +tp15423 +a(g185 +V +tp15424 +a(g12 +Vgot_size +p15425 +tp15426 +a(g185 +V\u000a +p15427 +tp15428 +a(g340 +V| +tp15429 +a(g185 +V +tp15430 +a(g12 +Vc +tp15431 +a(g185 +V +tp15432 +a(g340 +V- +tp15433 +a(g340 +V> +tp15434 +a(g185 +V +tp15435 +a(g12 +Vpp_open_box_gen +p15436 +tp15437 +a(g185 +V +tp15438 +a(g12 +Vppf +p15439 +tp15440 +a(g185 +V +tp15441 +a(g315 +V0 +tp15442 +a(g185 +V +tp15443 +a(g102 +VPp_box +p15444 +tp15445 +a(g340 +V; +tp15446 +a(g185 +V +tp15447 +a(g12 +Vdoprn +p15448 +tp15449 +a(g185 +V +tp15450 +a(g12 +Vn +tp15451 +a(g185 +V +tp15452 +a(g12 +Vi +tp15453 +a(g185 +V\u000a\u000a +p15454 +tp15455 +a(g344 +Vand +p15456 +tp15457 +a(g185 +V +tp15458 +a(g12 +Vdo_pp_open_tag +p15459 +tp15460 +a(g185 +V +tp15461 +a(g12 +Vppf +p15462 +tp15463 +a(g185 +V +tp15464 +a(g12 +Vn +tp15465 +a(g185 +V +tp15466 +a(g12 +Vi +tp15467 +a(g185 +V +tp15468 +a(g340 +V= +tp15469 +a(g185 +V\u000a +p15470 +tp15471 +a(g107 +Vif +p15472 +tp15473 +a(g185 +V +tp15474 +a(g12 +Vi +tp15475 +a(g185 +V +tp15476 +a(g340 +V> +tp15477 +a(g340 +V= +tp15478 +a(g185 +V +tp15479 +a(g12 +Vlen +p15480 +tp15481 +a(g185 +V +tp15482 +a(g107 +Vthen +p15483 +tp15484 +a(g185 +V +tp15485 +a(g107 +Vbegin +p15486 +tp15487 +a(g185 +V +tp15488 +a(g12 +Vpp_open_tag +p15489 +tp15490 +a(g185 +V +tp15491 +a(g12 +Vppf +p15492 +tp15493 +a(g185 +V +tp15494 +a(g241 +V" +tp15495 +a(g241 +V" +tp15496 +a(g340 +V; +tp15497 +a(g185 +V +tp15498 +a(g12 +Vdoprn +p15499 +tp15500 +a(g185 +V +tp15501 +a(g12 +Vn +tp15502 +a(g185 +V +tp15503 +a(g12 +Vi +tp15504 +a(g185 +V +tp15505 +a(g107 +Vend +p15506 +tp15507 +a(g185 +V +tp15508 +a(g107 +Velse +p15509 +tp15510 +a(g185 +V\u000a +p15511 +tp15512 +a(g107 +Vmatch +p15513 +tp15514 +a(g185 +V +tp15515 +a(g12 +Vfmt +p15516 +tp15517 +a(g340 +V. +tp15518 +a(g340 +V[ +tp15519 +a(g12 +Vi +tp15520 +a(g340 +V] +tp15521 +a(g185 +V +tp15522 +a(g107 +Vwith +p15523 +tp15524 +a(g185 +V\u000a +p15525 +tp15526 +a(g340 +V| +tp15527 +a(g185 +V +tp15528 +a(g264 +V'<' +p15529 +tp15530 +a(g185 +V +tp15531 +a(g340 +V- +tp15532 +a(g340 +V> +tp15533 +a(g185 +V\u000a +p15534 +tp15535 +a(g107 +Vlet +p15536 +tp15537 +a(g185 +V +tp15538 +a(g12 +Vgot_name +p15539 +tp15540 +a(g185 +V +tp15541 +a(g12 +Vtag_name +p15542 +tp15543 +a(g185 +V +tp15544 +a(g12 +Vn +tp15545 +a(g185 +V +tp15546 +a(g12 +Vi +tp15547 +a(g185 +V +tp15548 +a(g340 +V= +tp15549 +a(g185 +V\u000a +p15550 +tp15551 +a(g12 +Vpp_open_tag +p15552 +tp15553 +a(g185 +V +tp15554 +a(g12 +Vppf +p15555 +tp15556 +a(g185 +V +tp15557 +a(g12 +Vtag_name +p15558 +tp15559 +a(g340 +V; +tp15560 +a(g185 +V\u000a +p15561 +tp15562 +a(g12 +Vdoprn +p15563 +tp15564 +a(g185 +V +tp15565 +a(g12 +Vn +tp15566 +a(g185 +V +tp15567 +a(g340 +V( +tp15568 +a(g12 +Vskip_gt +p15569 +tp15570 +a(g185 +V +tp15571 +a(g12 +Vi +tp15572 +a(g340 +V) +tp15573 +a(g185 +V +tp15574 +a(g107 +Vin +p15575 +tp15576 +a(g185 +V\u000a +p15577 +tp15578 +a(g12 +Vget_tag_name +p15579 +tp15580 +a(g185 +V +tp15581 +a(g12 +Vn +tp15582 +a(g185 +V +tp15583 +a(g340 +V( +tp15584 +a(g12 +Vsucc +p15585 +tp15586 +a(g185 +V +tp15587 +a(g12 +Vi +tp15588 +a(g340 +V) +tp15589 +a(g185 +V +tp15590 +a(g12 +Vgot_name +p15591 +tp15592 +a(g185 +V\u000a +p15593 +tp15594 +a(g340 +V| +tp15595 +a(g185 +V +tp15596 +a(g12 +Vc +tp15597 +a(g185 +V +tp15598 +a(g340 +V- +tp15599 +a(g340 +V> +tp15600 +a(g185 +V +tp15601 +a(g12 +Vpp_open_tag +p15602 +tp15603 +a(g185 +V +tp15604 +a(g12 +Vppf +p15605 +tp15606 +a(g185 +V +tp15607 +a(g241 +V" +tp15608 +a(g241 +V" +tp15609 +a(g340 +V; +tp15610 +a(g185 +V +tp15611 +a(g12 +Vdoprn +p15612 +tp15613 +a(g185 +V +tp15614 +a(g12 +Vn +tp15615 +a(g185 +V +tp15616 +a(g12 +Vi +tp15617 +a(g185 +V +tp15618 +a(g107 +Vin +p15619 +tp15620 +a(g185 +V\u000a\u000a +p15621 +tp15622 +a(g12 +Vdoprn +p15623 +tp15624 +a(g185 +V +tp15625 +a(g340 +V( +tp15626 +a(g95 +VPrintf +p15627 +tp15628 +a(g198 +V. +tp15629 +a(g12 +Vindex_of_int +p15630 +tp15631 +a(g185 +V +tp15632 +a(g315 +V0 +tp15633 +a(g340 +V) +tp15634 +a(g185 +V +tp15635 +a(g315 +V0 +tp15636 +a(g185 +V +tp15637 +a(g107 +Vin +p15638 +tp15639 +a(g185 +V\u000a\u000a +p15640 +tp15641 +a(g95 +VPrintf +p15642 +tp15643 +a(g198 +V. +tp15644 +a(g12 +Vkapr +p15645 +tp15646 +a(g185 +V +tp15647 +a(g12 +Vkpr +p15648 +tp15649 +a(g185 +V +tp15650 +a(g12 +Vfmt +p15651 +tp15652 +a(g185 +V +tp15653 +a(g107 +Vin +p15654 +tp15655 +a(g185 +V\u000a\u000a +p15656 +tp15657 +a(g12 +Vkprintf +p15658 +tp15659 +a(g340 +V; +tp15660 +a(g340 +V; +tp15661 +a(g185 +V\u000a\u000a +p15662 +tp15663 +a(g6 +V(* +p15664 +tp15665 +a(g6 +V* +tp15666 +a(g6 +V* +tp15667 +a(g6 +V* +tp15668 +a(g6 +V* +tp15669 +a(g6 +V* +tp15670 +a(g6 +V* +tp15671 +a(g6 +V* +tp15672 +a(g6 +V* +tp15673 +a(g6 +V* +tp15674 +a(g6 +V* +tp15675 +a(g6 +V* +tp15676 +a(g6 +V* +tp15677 +a(g6 +V* +tp15678 +a(g6 +V* +tp15679 +a(g6 +V* +tp15680 +a(g6 +V* +tp15681 +a(g6 +V* +tp15682 +a(g6 +V* +tp15683 +a(g6 +V* +tp15684 +a(g6 +V* +tp15685 +a(g6 +V* +tp15686 +a(g6 +V* +tp15687 +a(g6 +V* +tp15688 +a(g6 +V* +tp15689 +a(g6 +V* +tp15690 +a(g6 +V* +tp15691 +a(g6 +V* +tp15692 +a(g6 +V* +tp15693 +a(g6 +V* +tp15694 +a(g6 +V* +tp15695 +a(g6 +V* +tp15696 +a(g6 +V* +tp15697 +a(g6 +V* +tp15698 +a(g6 +V* +tp15699 +a(g6 +V* +tp15700 +a(g6 +V* +tp15701 +a(g6 +V* +tp15702 +a(g6 +V* +tp15703 +a(g6 +V* +tp15704 +a(g6 +V* +tp15705 +a(g6 +V* +tp15706 +a(g6 +V* +tp15707 +a(g6 +V* +tp15708 +a(g6 +V* +tp15709 +a(g6 +V* +tp15710 +a(g6 +V* +tp15711 +a(g6 +V* +tp15712 +a(g6 +V* +tp15713 +a(g6 +V* +tp15714 +a(g6 +V* +tp15715 +a(g6 +V* +tp15716 +a(g6 +V* +tp15717 +a(g6 +V* +tp15718 +a(g6 +V* +tp15719 +a(g6 +V* +tp15720 +a(g6 +V* +tp15721 +a(g6 +V* +tp15722 +a(g6 +V* +tp15723 +a(g6 +V* +tp15724 +a(g6 +V* +tp15725 +a(g6 +V* +tp15726 +a(g6 +V\u000a\u000a Defining [fprintf] and various flavors of [fprintf].\u000a\u000a +p15727 +tp15728 +a(g6 +V* +tp15729 +a(g6 +V* +tp15730 +a(g6 +V* +tp15731 +a(g6 +V* +tp15732 +a(g6 +V* +tp15733 +a(g6 +V* +tp15734 +a(g6 +V* +tp15735 +a(g6 +V* +tp15736 +a(g6 +V* +tp15737 +a(g6 +V* +tp15738 +a(g6 +V* +tp15739 +a(g6 +V* +tp15740 +a(g6 +V* +tp15741 +a(g6 +V* +tp15742 +a(g6 +V* +tp15743 +a(g6 +V* +tp15744 +a(g6 +V* +tp15745 +a(g6 +V* +tp15746 +a(g6 +V* +tp15747 +a(g6 +V* +tp15748 +a(g6 +V* +tp15749 +a(g6 +V* +tp15750 +a(g6 +V* +tp15751 +a(g6 +V* +tp15752 +a(g6 +V* +tp15753 +a(g6 +V* +tp15754 +a(g6 +V* +tp15755 +a(g6 +V* +tp15756 +a(g6 +V* +tp15757 +a(g6 +V* +tp15758 +a(g6 +V* +tp15759 +a(g6 +V* +tp15760 +a(g6 +V* +tp15761 +a(g6 +V* +tp15762 +a(g6 +V* +tp15763 +a(g6 +V* +tp15764 +a(g6 +V* +tp15765 +a(g6 +V* +tp15766 +a(g6 +V* +tp15767 +a(g6 +V* +tp15768 +a(g6 +V* +tp15769 +a(g6 +V* +tp15770 +a(g6 +V* +tp15771 +a(g6 +V* +tp15772 +a(g6 +V* +tp15773 +a(g6 +V* +tp15774 +a(g6 +V* +tp15775 +a(g6 +V* +tp15776 +a(g6 +V* +tp15777 +a(g6 +V* +tp15778 +a(g6 +V* +tp15779 +a(g6 +V* +tp15780 +a(g6 +V* +tp15781 +a(g6 +V* +tp15782 +a(g6 +V* +tp15783 +a(g6 +V* +tp15784 +a(g6 +V* +tp15785 +a(g6 +V* +tp15786 +a(g6 +V* +tp15787 +a(g6 +V* +tp15788 +a(g6 +V* +tp15789 +a(g6 +V*) +p15790 +tp15791 +a(g185 +V\u000a\u000a +p15792 +tp15793 +a(g107 +Vlet +p15794 +tp15795 +a(g185 +V +tp15796 +a(g12 +Vkfprintf +p15797 +tp15798 +a(g185 +V +tp15799 +a(g12 +Vk +tp15800 +a(g185 +V +tp15801 +a(g12 +Vppf +p15802 +tp15803 +a(g185 +V +tp15804 +a(g340 +V= +tp15805 +a(g185 +V +tp15806 +a(g12 +Vmkprintf +p15807 +tp15808 +a(g185 +V +tp15809 +a(g56 +Vfalse +p15810 +tp15811 +a(g185 +V +tp15812 +a(g340 +V( +tp15813 +a(g107 +Vfun +p15814 +tp15815 +a(g185 +V +tp15816 +a(g340 +V_ +tp15817 +a(g185 +V +tp15818 +a(g340 +V- +tp15819 +a(g340 +V> +tp15820 +a(g185 +V +tp15821 +a(g12 +Vppf +p15822 +tp15823 +a(g340 +V) +tp15824 +a(g185 +V +tp15825 +a(g12 +Vk +tp15826 +a(g340 +V; +tp15827 +a(g340 +V; +tp15828 +a(g185 +V\u000a\u000a +p15829 +tp15830 +a(g107 +Vlet +p15831 +tp15832 +a(g185 +V +tp15833 +a(g12 +Vfprintf +p15834 +tp15835 +a(g185 +V +tp15836 +a(g12 +Vppf +p15837 +tp15838 +a(g185 +V +tp15839 +a(g340 +V= +tp15840 +a(g185 +V +tp15841 +a(g12 +Vkfprintf +p15842 +tp15843 +a(g185 +V +tp15844 +a(g12 +Vignore +p15845 +tp15846 +a(g185 +V +tp15847 +a(g12 +Vppf +p15848 +tp15849 +a(g340 +V; +tp15850 +a(g340 +V; +tp15851 +a(g185 +V\u000a +tp15852 +a(g107 +Vlet +p15853 +tp15854 +a(g185 +V +tp15855 +a(g12 +Vprintf +p15856 +tp15857 +a(g185 +V +tp15858 +a(g12 +Vfmt +p15859 +tp15860 +a(g185 +V +tp15861 +a(g340 +V= +tp15862 +a(g185 +V +tp15863 +a(g12 +Vfprintf +p15864 +tp15865 +a(g185 +V +tp15866 +a(g12 +Vstd_formatter +p15867 +tp15868 +a(g185 +V +tp15869 +a(g12 +Vfmt +p15870 +tp15871 +a(g340 +V; +tp15872 +a(g340 +V; +tp15873 +a(g185 +V\u000a +tp15874 +a(g107 +Vlet +p15875 +tp15876 +a(g185 +V +tp15877 +a(g12 +Veprintf +p15878 +tp15879 +a(g185 +V +tp15880 +a(g12 +Vfmt +p15881 +tp15882 +a(g185 +V +tp15883 +a(g340 +V= +tp15884 +a(g185 +V +tp15885 +a(g12 +Vfprintf +p15886 +tp15887 +a(g185 +V +tp15888 +a(g12 +Verr_formatter +p15889 +tp15890 +a(g185 +V +tp15891 +a(g12 +Vfmt +p15892 +tp15893 +a(g340 +V; +tp15894 +a(g340 +V; +tp15895 +a(g185 +V\u000a\u000a +p15896 +tp15897 +a(g107 +Vlet +p15898 +tp15899 +a(g185 +V +tp15900 +a(g12 +Vkbprintf +p15901 +tp15902 +a(g185 +V +tp15903 +a(g12 +Vk +tp15904 +a(g185 +V +tp15905 +a(g12 +Vb +tp15906 +a(g185 +V +tp15907 +a(g340 +V= +tp15908 +a(g185 +V\u000a +p15909 +tp15910 +a(g12 +Vmkprintf +p15911 +tp15912 +a(g185 +V +tp15913 +a(g56 +Vfalse +p15914 +tp15915 +a(g185 +V +tp15916 +a(g340 +V( +tp15917 +a(g107 +Vfun +p15918 +tp15919 +a(g185 +V +tp15920 +a(g340 +V_ +tp15921 +a(g185 +V +tp15922 +a(g340 +V- +tp15923 +a(g340 +V> +tp15924 +a(g185 +V +tp15925 +a(g12 +Vformatter_of_buffer +p15926 +tp15927 +a(g185 +V +tp15928 +a(g12 +Vb +tp15929 +a(g340 +V) +tp15930 +a(g185 +V +tp15931 +a(g12 +Vk +tp15932 +a(g340 +V; +tp15933 +a(g340 +V; +tp15934 +a(g185 +V\u000a\u000a +p15935 +tp15936 +a(g107 +Vlet +p15937 +tp15938 +a(g185 +V +tp15939 +a(g12 +Vbprintf +p15940 +tp15941 +a(g185 +V +tp15942 +a(g12 +Vb +tp15943 +a(g185 +V +tp15944 +a(g340 +V= +tp15945 +a(g185 +V +tp15946 +a(g12 +Vkbprintf +p15947 +tp15948 +a(g185 +V +tp15949 +a(g12 +Vignore +p15950 +tp15951 +a(g185 +V +tp15952 +a(g12 +Vb +tp15953 +a(g340 +V; +tp15954 +a(g340 +V; +tp15955 +a(g185 +V\u000a\u000a +p15956 +tp15957 +a(g107 +Vlet +p15958 +tp15959 +a(g185 +V +tp15960 +a(g12 +Vksprintf +p15961 +tp15962 +a(g185 +V +tp15963 +a(g12 +Vk +tp15964 +a(g185 +V +tp15965 +a(g340 +V= +tp15966 +a(g185 +V\u000a +p15967 +tp15968 +a(g107 +Vlet +p15969 +tp15970 +a(g185 +V +tp15971 +a(g12 +Vb +tp15972 +a(g185 +V +tp15973 +a(g340 +V= +tp15974 +a(g185 +V +tp15975 +a(g95 +VBuffer +p15976 +tp15977 +a(g198 +V. +tp15978 +a(g12 +Vcreate +p15979 +tp15980 +a(g185 +V +tp15981 +a(g315 +V512 +p15982 +tp15983 +a(g185 +V +tp15984 +a(g107 +Vin +p15985 +tp15986 +a(g185 +V\u000a +p15987 +tp15988 +a(g107 +Vlet +p15989 +tp15990 +a(g185 +V +tp15991 +a(g12 +Vk +tp15992 +a(g185 +V +tp15993 +a(g12 +Vppf +p15994 +tp15995 +a(g185 +V +tp15996 +a(g340 +V= +tp15997 +a(g185 +V +tp15998 +a(g12 +Vk +tp15999 +a(g185 +V +tp16000 +a(g340 +V( +tp16001 +a(g12 +Vstring_out +p16002 +tp16003 +a(g185 +V +tp16004 +a(g12 +Vb +tp16005 +a(g185 +V +tp16006 +a(g12 +Vppf +p16007 +tp16008 +a(g340 +V) +tp16009 +a(g185 +V +tp16010 +a(g107 +Vin +p16011 +tp16012 +a(g185 +V\u000a +p16013 +tp16014 +a(g12 +Vmkprintf +p16015 +tp16016 +a(g185 +V +tp16017 +a(g56 +Vtrue +p16018 +tp16019 +a(g185 +V +tp16020 +a(g340 +V( +tp16021 +a(g107 +Vfun +p16022 +tp16023 +a(g185 +V +tp16024 +a(g340 +V_ +tp16025 +a(g185 +V +tp16026 +a(g340 +V- +tp16027 +a(g340 +V> +tp16028 +a(g185 +V +tp16029 +a(g12 +Vformatter_of_buffer +p16030 +tp16031 +a(g185 +V +tp16032 +a(g12 +Vb +tp16033 +a(g340 +V) +tp16034 +a(g185 +V +tp16035 +a(g12 +Vk +tp16036 +a(g340 +V; +tp16037 +a(g340 +V; +tp16038 +a(g185 +V\u000a\u000a +p16039 +tp16040 +a(g107 +Vlet +p16041 +tp16042 +a(g185 +V +tp16043 +a(g12 +Vkprintf +p16044 +tp16045 +a(g185 +V +tp16046 +a(g340 +V= +tp16047 +a(g185 +V +tp16048 +a(g12 +Vksprintf +p16049 +tp16050 +a(g340 +V; +tp16051 +a(g340 +V; +tp16052 +a(g185 +V\u000a\u000a +p16053 +tp16054 +a(g107 +Vlet +p16055 +tp16056 +a(g185 +V +tp16057 +a(g12 +Vsprintf +p16058 +tp16059 +a(g185 +V +tp16060 +a(g12 +Vfmt +p16061 +tp16062 +a(g185 +V +tp16063 +a(g340 +V= +tp16064 +a(g185 +V +tp16065 +a(g12 +Vksprintf +p16066 +tp16067 +a(g185 +V +tp16068 +a(g340 +V( +tp16069 +a(g107 +Vfun +p16070 +tp16071 +a(g185 +V +tp16072 +a(g12 +Vs +tp16073 +a(g185 +V +tp16074 +a(g340 +V- +tp16075 +a(g340 +V> +tp16076 +a(g185 +V +tp16077 +a(g12 +Vs +tp16078 +a(g340 +V) +tp16079 +a(g185 +V +tp16080 +a(g12 +Vfmt +p16081 +tp16082 +a(g340 +V; +tp16083 +a(g340 +V; +tp16084 +a(g185 +V\u000a\u000a +p16085 +tp16086 +a(g12 +Vat_exit +p16087 +tp16088 +a(g185 +V +tp16089 +a(g12 +Vprint_flush +p16090 +tp16091 +a(g340 +V; +tp16092 +a(g340 +V; +tp16093 +a(g185 +V\u000a +tp16094 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/fucked_up.rb b/tests/examplefiles/output/fucked_up.rb new file mode 100644 index 0000000..e698bbd --- /dev/null +++ b/tests/examplefiles/output/fucked_up.rb @@ -0,0 +1,2631 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV# vim:ft=ruby +p367 +tp368 +a(g189 +V\u000a\u000a +p369 +tp370 +a(g18 +Vevents +p371 +tp372 +a(g189 +V +tp373 +a(g343 +V= +tp374 +a(g189 +V +tp375 +a(g33 +VHash +p376 +tp377 +a(g343 +V. +tp378 +a(g18 +Vnew +p379 +tp380 +a(g189 +V +tp381 +a(g202 +V{ +tp382 +a(g189 +V +tp383 +a(g343 +V| +tp384 +a(g18 +Vh +tp385 +a(g202 +V, +tp386 +a(g189 +V +tp387 +a(g18 +Vk +tp388 +a(g343 +V| +tp389 +a(g189 +V +tp390 +a(g18 +Vh +tp391 +a(g343 +V[ +tp392 +a(g18 +Vk +tp393 +a(g343 +V] +tp394 +a(g189 +V +tp395 +a(g343 +V= +tp396 +a(g189 +V +tp397 +a(g343 +V[ +tp398 +a(g343 +V] +tp399 +a(g189 +V +tp400 +a(g202 +V} +tp401 +a(g189 +V\u000a +tp402 +a(g33 +VDATA +p403 +tp404 +a(g343 +V. +tp405 +a(g18 +Vread +p406 +tp407 +a(g343 +V. +tp408 +a(g18 +Vsplit +p409 +tp410 +a(g202 +V( +tp411 +a(g229 +V/ +tp412 +a(g229 +V\u005c +tp413 +a(g229 +Vn +tp414 +a(g229 +V\u005c +tp415 +a(g229 +Vn +tp416 +a(g229 +V\u005c +tp417 +a(g229 +Vn +tp418 +a(g229 +V\u005c +tp419 +a(g229 +Vs* +p420 +tp421 +a(g229 +V/ +tp422 +a(g202 +V) +tp423 +a(g343 +V. +tp424 +a(g18 +Veach +p425 +tp426 +a(g189 +V +tp427 +a(g111 +Vdo +p428 +tp429 +a(g189 +V +tp430 +a(g343 +V| +tp431 +a(g18 +Vevent +p432 +tp433 +a(g343 +V| +tp434 +a(g189 +V\u000a +p435 +tp436 +a(g57 +Vname +p437 +tp438 +a(g189 +V +tp439 +a(g343 +V= +tp440 +a(g189 +V +tp441 +a(g18 +Vevent +p442 +tp443 +a(g343 +V[ +tp444 +a(g343 +V/ +tp445 +a(g343 +V^ +tp446 +a(g343 +V. +tp447 +a(g18 +V* +tp448 +a(g343 +V/ +tp449 +a(g343 +V] +tp450 +a(g343 +V. +tp451 +a(g18 +Vsub +p452 +tp453 +a(g202 +V( +tp454 +a(g229 +V/ +tp455 +a(g229 +Vhttp:.* +p456 +tp457 +a(g229 +V/ +tp458 +a(g202 +V, +tp459 +a(g189 +V +tp460 +a(g271 +V'' +p461 +tp462 +a(g202 +V) +tp463 +a(g189 +V\u000a +p464 +tp465 +a(g18 +Vevent +p466 +tp467 +a(g343 +V[ +tp468 +a(g343 +V/ +tp469 +a(g202 +V\u005c +tp470 +a(g18 +Vn +tp471 +a(g343 +V. +tp472 +a(g18 +V* +tp473 +a(g343 +V/ +tp474 +a(g18 +Vm +tp475 +a(g343 +V] +tp476 +a(g343 +V. +tp477 +a(g18 +Vscan +p478 +tp479 +a(g202 +V( +tp480 +a(g229 +V/ +tp481 +a(g229 +V^([A-Z]{2} +p482 +tp483 +a(g229 +V\u005c +tp484 +a(g229 +VS*) +p485 +tp486 +a(g229 +V\u005c +tp487 +a(g229 +Vs*( +p488 +tp489 +a(g229 +V\u005c +tp490 +a(g229 +VS*) +p491 +tp492 +a(g229 +V\u005c +tp493 +a(g229 +Vs*( +p494 +tp495 +a(g229 +V\u005c +tp496 +a(g229 +VS*)( +p497 +tp498 +a(g229 +V\u005c +tp499 +a(g229 +Vs* +p500 +tp501 +a(g229 +V\u005c +tp502 +a(g229 +VS*) +p503 +tp504 +a(g229 +V/ +tp505 +a(g202 +V) +tp506 +a(g189 +V +tp507 +a(g111 +Vdo +p508 +tp509 +a(g189 +V +tp510 +a(g343 +V| +tp511 +a(g18 +Vkind +p512 +tp513 +a(g202 +V, +tp514 +a(g189 +V +tp515 +a(g18 +Vday +p516 +tp517 +a(g202 +V, +tp518 +a(g189 +V +tp519 +a(g18 +Vdaytime +p520 +tp521 +a(g202 +V, +tp522 +a(g189 +V +tp523 +a(g18 +Vcomment +p524 +tp525 +a(g343 +V| +tp526 +a(g189 +V\u000a +p527 +tp528 +a(g18 +Vevents +p529 +tp530 +a(g343 +V[ +tp531 +a(g189 +V +tp532 +a(g343 +V[ +tp533 +a(g18 +Vday +p534 +tp535 +a(g202 +V, +tp536 +a(g189 +V +tp537 +a(g18 +Vdaytime +p538 +tp539 +a(g343 +V] +tp540 +a(g189 +V +tp541 +a(g343 +V] +tp542 +a(g189 +V +tp543 +a(g343 +V<< +p544 +tp545 +a(g189 +V +tp546 +a(g343 +V[ +tp547 +a(g18 +Vkind +p548 +tp549 +a(g202 +V, +tp550 +a(g189 +V +tp551 +a(g57 +Vname +p552 +tp553 +a(g189 +V +tp554 +a(g343 +V+ +tp555 +a(g189 +V +tp556 +a(g18 +Vcomment +p557 +tp558 +a(g343 +V] +tp559 +a(g189 +V\u000a +p560 +tp561 +a(g111 +Vend +p562 +tp563 +a(g189 +V\u000a +tp564 +a(g111 +Vend +p565 +tp566 +a(g189 +V\u000a\u000a +p567 +tp568 +a(g18 +Vconflicts +p569 +tp570 +a(g189 +V +tp571 +a(g343 +V= +tp572 +a(g189 +V +tp573 +a(g318 +V0 +tp574 +a(g189 +V\u000a +tp575 +a(g18 +Vevents +p576 +tp577 +a(g343 +V. +tp578 +a(g18 +Vto_a +p579 +tp580 +a(g343 +V. +tp581 +a(g18 +Vsort_by +p582 +tp583 +a(g189 +V +tp584 +a(g111 +Vdo +p585 +tp586 +a(g189 +V +tp587 +a(g343 +V| +tp588 +a(g202 +V( +tp589 +a(g18 +Vday +p590 +tp591 +a(g202 +V, +tp592 +a(g189 +V +tp593 +a(g18 +Vdaytime +p594 +tp595 +a(g202 +V) +tp596 +a(g202 +V, +tp597 +a(g343 +V| +tp598 +a(g189 +V\u000a +p599 +tp600 +a(g343 +V[ +tp601 +a(g274 +V%w( +p602 +tp603 +a(g274 +VMo Di Mi Do Fr +p604 +tp605 +a(g274 +V) +tp606 +a(g343 +V. +tp607 +a(g18 +Vindex +p608 +tp609 +a(g202 +V( +tp610 +a(g18 +Vday +p611 +tp612 +a(g202 +V) +tp613 +a(g189 +V +tp614 +a(g343 +V|| +p615 +tp616 +a(g189 +V +tp617 +a(g318 +V0 +tp618 +a(g202 +V, +tp619 +a(g189 +V +tp620 +a(g18 +Vdaytime +p621 +tp622 +a(g343 +V] +tp623 +a(g189 +V\u000a +tp624 +a(g111 +Vend +p625 +tp626 +a(g343 +V. +tp627 +a(g18 +Veach +p628 +tp629 +a(g189 +V +tp630 +a(g111 +Vdo +p631 +tp632 +a(g189 +V +tp633 +a(g343 +V| +tp634 +a(g202 +V( +tp635 +a(g18 +Vday +p636 +tp637 +a(g202 +V, +tp638 +a(g189 +V +tp639 +a(g18 +Vdaytime +p640 +tp641 +a(g202 +V) +tp642 +a(g202 +V, +tp643 +a(g189 +V +tp644 +a(g18 +Vnames +p645 +tp646 +a(g343 +V| +tp647 +a(g189 +V\u000a +p648 +tp649 +a(g111 +Vif +p650 +tp651 +a(g189 +V +tp652 +a(g18 +Vnames +p653 +tp654 +a(g343 +V. +tp655 +a(g18 +Vsize +p656 +tp657 +a(g189 +V +tp658 +a(g343 +V> +tp659 +a(g189 +V +tp660 +a(g318 +V1 +tp661 +a(g189 +V\u000a +p662 +tp663 +a(g18 +Vconflicts +p664 +tp665 +a(g189 +V +tp666 +a(g343 +V+= +p667 +tp668 +a(g189 +V +tp669 +a(g318 +V1 +tp670 +a(g189 +V\u000a +p671 +tp672 +a(g57 +Vprint +p673 +tp674 +a(g189 +V +tp675 +a(g271 +V'!!! ' +p676 +tp677 +a(g189 +V\u000a +p678 +tp679 +a(g111 +Vend +p680 +tp681 +a(g189 +V\u000a +p682 +tp683 +a(g57 +Vprint +p684 +tp685 +a(g189 +V +tp686 +a(g245 +V" +tp687 +a(g233 +V#{ +p688 +tp689 +a(g18 +Vday +p690 +tp691 +a(g233 +V} +tp692 +a(g245 +V +tp693 +a(g233 +V#{ +p694 +tp695 +a(g18 +Vdaytime +p696 +tp697 +a(g233 +V} +tp698 +a(g245 +V: +p699 +tp700 +a(g245 +V" +tp701 +a(g189 +V\u000a +p702 +tp703 +a(g18 +Vnames +p704 +tp705 +a(g343 +V. +tp706 +a(g18 +Veach +p707 +tp708 +a(g189 +V +tp709 +a(g202 +V{ +tp710 +a(g189 +V +tp711 +a(g343 +V| +tp712 +a(g18 +Vkind +p713 +tp714 +a(g202 +V, +tp715 +a(g189 +V +tp716 +a(g57 +Vname +p717 +tp718 +a(g343 +V| +tp719 +a(g189 +V +tp720 +a(g57 +Vputs +p721 +tp722 +a(g189 +V +tp723 +a(g245 +V" +tp724 +a(g245 +V +p725 +tp726 +a(g233 +V#{ +p727 +tp728 +a(g18 +Vkind +p729 +tp730 +a(g233 +V} +tp731 +a(g245 +V +p732 +tp733 +a(g233 +V#{ +p734 +tp735 +a(g57 +Vname +p736 +tp737 +a(g233 +V} +tp738 +a(g245 +V" +tp739 +a(g189 +V +tp740 +a(g202 +V} +tp741 +a(g189 +V\u000a +p742 +tp743 +a(g57 +Vputs +p744 +tp745 +a(g189 +V\u000a +tp746 +a(g111 +Vend +p747 +tp748 +a(g189 +V\u000a\u000a +p749 +tp750 +a(g57 +Vputs +p751 +tp752 +a(g189 +V +tp753 +a(g271 +V'%d conflicts' +p754 +tp755 +a(g189 +V +tp756 +a(g343 +V% +tp757 +a(g189 +V +tp758 +a(g18 +Vconflicts +p759 +tp760 +a(g189 +V\u000a +tp761 +a(g57 +Vputs +p762 +tp763 +a(g189 +V +tp764 +a(g271 +V'%d SWS' +p765 +tp766 +a(g189 +V +tp767 +a(g343 +V% +tp768 +a(g189 +V +tp769 +a(g202 +V( +tp770 +a(g18 +Vevents +p771 +tp772 +a(g343 +V. +tp773 +a(g18 +Vinject +p774 +tp775 +a(g202 +V( +tp776 +a(g318 +V0 +tp777 +a(g202 +V) +tp778 +a(g189 +V +tp779 +a(g202 +V{ +tp780 +a(g189 +V +tp781 +a(g343 +V| +tp782 +a(g18 +Vsum +p783 +tp784 +a(g202 +V, +tp785 +a(g189 +V +tp786 +a(g202 +V( +tp787 +a(g202 +V( +tp788 +a(g18 +Vday +p789 +tp790 +a(g202 +V, +tp791 +a(g189 +V +tp792 +a(g18 +Vdaytime +p793 +tp794 +a(g202 +V) +tp795 +a(g202 +V, +tp796 +a(g202 +V) +tp797 +a(g343 +V| +tp798 +a(g189 +V +tp799 +a(g18 +Vsum +p800 +tp801 +a(g189 +V +tp802 +a(g343 +V+ +tp803 +a(g189 +V +tp804 +a(g202 +V( +tp805 +a(g18 +Vdaytime +p806 +tp807 +a(g343 +V[ +tp808 +a(g343 +V/ +tp809 +a(g202 +V\u005c +tp810 +a(g18 +Vd +tp811 +a(g343 +V+ +tp812 +a(g76 +V$/ +p813 +tp814 +a(g343 +V] +tp815 +a(g343 +V. +tp816 +a(g18 +Vto_i +p817 +tp818 +a(g189 +V +tp819 +a(g343 +V- +tp820 +a(g189 +V +tp821 +a(g18 +Vdaytime +p822 +tp823 +a(g343 +V[ +tp824 +a(g343 +V/ +tp825 +a(g343 +V^ +tp826 +a(g202 +V\u005c +tp827 +a(g18 +Vd +tp828 +a(g343 +V+ +tp829 +a(g343 +V/ +tp830 +a(g343 +V] +tp831 +a(g343 +V. +tp832 +a(g18 +Vto_i +p833 +tp834 +a(g202 +V) +tp835 +a(g189 +V +tp836 +a(g202 +V} +tp837 +a(g202 +V) +tp838 +a(g189 +V\u000a\u000a +p839 +tp840 +a(g18 +Vstring +p841 +tp842 +a(g189 +V +tp843 +a(g343 +V= +tp844 +a(g189 +V +tp845 +a(g274 +V% foo +p846 +tp847 +a(g189 +V +p848 +tp849 +a(g7 +V# strange. huh? +p850 +tp851 +a(g189 +V\u000a +tp852 +a(g57 +Vprint +p853 +tp854 +a(g189 +V +tp855 +a(g245 +V" +tp856 +a(g245 +VEscape here: +p857 +tp858 +a(g252 +V\u005cn +p859 +tp860 +a(g245 +V" +tp861 +a(g189 +V\u000a +tp862 +a(g57 +Vprint +p863 +tp864 +a(g189 +V +tp865 +a(g271 +V'Dont escape here: \u005cn' +p866 +tp867 +a(g189 +V\u000a\u000a +p868 +tp869 +a(g354 +V__END__ +p870 +tp871 +a(g354 +V\u000aInformatik und Informationsgesellschaft I: Digitale Medien (32 214)\u000aComputer lassen ihre eigentliche Bestimmung durch Multimedia und Vernetzung erkennen: Es sind digitale Medien, die alle bisherigen Massen- und Kommunikationsmedien simulieren, kopieren oder ersetzen können. Die kurze Geschichte elektronischer Medien vom Telegramm bis zum Fernsehen wird so zur Vorgeschichte des Computers als Medium. Der Prozess der Mediatisierung der Rechnernetze soll in Technik, Theorie und Praxis untersucht werden. Das PR soll die Techniken der ortsverteilten und zeitversetzten Lehre an Hand praktischer Übungen vorführen und untersuchen.\u000aVL Di 15-17 wöch. RUD 25, 3.101 J. Koubek\u000aVL Do 15-17 wöch. RUD 25, 3.101\u000aUE/PR Do 17-19 wöch. RUD 25, 3.101 J.-M. Loebel\u000a\u000a\u000aMethoden und Modelle des Systementwurfs (32 223)\u000aGute Methoden zum Entwurf und zur Verifikation von Systemen sind ein Schlüssel für gute Software. Dieses Seminar betrachtet moderne Entwurfsmethoden.\u000a VL Di 09-11 wöch. RUD 26, 0’313 W. Reisig\u000a VL Do 09-11 wöch. RUD 26, 0’313 \u000a UE Di 11-13 wöch. RUD 26, 0’313 \u000a PR Di 13-15 wöch. RUD 26, 0’313 D. Weinberg\u000a\u000a\u000aKomplexitätstheorie (32 229)\u000aIn dieser Vorlesung untersuchen wir eine Reihe von wichtigen algorithmischen Problemstellungen aus verschiedenen Bereichen der Informatik. Unser besonderes Interesse gilt dabei der Abschätzung der Rechenressourcen, die zu ihrer Lösung aufzubringen sind. Die Vorlesung bildet eine wichtige Grundlage für weiterführende Veranstaltungen in den Bereichen Algorithmen, Kryptologie, Algorithmisches Lernen und Algorithmisches Beweisen.\u000a VL Di 09-11 wöch. RUD 26, 1’303 J. Köbler\u000a VL Do 09-11 wöch. RUD 26, 1’305 \u000a UE Do 11-13 wöch. RUD 26, 1’305 \u000a\u000a\u000aZuverlässige Systeme (32 234)\u000aMit zunehmender Verbreitung der Computertechnologie in immer mehr Bereichen des menschlichen Lebens wird die Zuverlässigkeit solcher Systeme zu einer immer zentraleren Frage.\u000aDer Halbkurs "Zuverlässige Systeme" konzentriert sich auf folgende Schwerpunkte: Zuverlässigkeit, Fehlertoleranz, Responsivität, Messungen, Anwendungen, Systemmodelle und Techniken, Ausfallverhalten, Fehlermodelle, Schedulingtechniken, Software/Hardware - responsives Systemdesign, Analyse und Synthese, Bewertung, Fallstudien in Forschung und Industrie.\u000aDer Halbkurs kann mit dem Halbkurs "Eigenschaften mobiler und eingebetteter Systeme" zu einem Projektkurs kombiniert werden. Ein gemeinsames Projekt begleitet beide Halbkurse.\u000aVL Di 09-11 wöch. RUD 26, 1’308 M. Malek\u000aVL Do 09-11 wöch. RUD 26, 1’308\u000aPR n.V.\u000a\u000a\u000aStochastik für InformatikerInnen (32 239)\u000aGrundlagen der Wahrscheinlichkeitsrechnung, Diskrete und stetige Wahrscheinlichkeitsmodelle in der Informatik, Grenzwertsätze, Simulationsverfahren, Zufallszahlen, Statistische Schätz- und Testverfahren, Markoffsche Ketten, Simulated Annealing, Probabilistische Analyse von Algorithmen.\u000aVL Mo 09-11 wöch. RUD 25, 3.101 W. Kössler\u000aVL Mi 09-11 wöch. RUD 25, 3.101\u000aUE Mo 11-13 wöch. RUD 25, 3.101\u000a UE Mi 11-13 wöch. RUD 25. 3.101\u000a\u000a\u000aGeschichte der Informatik – Ausgewählte Kapitel (32 243)\u000aVL Mi 13-15 wöch. RUD 25, 3.113 W. Coy\u000a\u000a\u000aAktuelle Themen der Theoretischen Informatik (32 260)\u000aIn diesem Seminar sollen wichtige aktuelle Veröffentlichungen aus der theoretischen Informatik gemeinsam erarbeitet werden. Genaueres wird erst kurz vor dem Seminar entschieden. Bei Interesse wenden Sie sich bitte möglichst frühzeitig an den Veranstalter.\u000a SE Fr 09-11 wöch. RUD 26, 1’307 M. Grohe \u000a +p872 +tp873 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/functional.rst b/tests/examplefiles/output/functional.rst new file mode 100644 index 0000000..5a34580 --- /dev/null +++ b/tests/examplefiles/output/functional.rst @@ -0,0 +1,12608 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Generic' +p5 +S'Heading' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Prompt' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g12 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g22 +sbsg9 +g10 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g9 +g10 +((ltRp40 +sg12 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g43 +sbsS'Constant' +p57 +g2 +(g3 +g4 +(g42 +g57 +ttRp58 +(dp59 +g9 +g10 +((ltRp60 +sg12 +g43 +sbsg12 +g19 +sS'Pseudo' +p61 +g2 +(g3 +g4 +(g42 +g61 +ttRp62 +(dp63 +g9 +g10 +((ltRp64 +sg12 +g43 +sbsS'Attribute' +p65 +g2 +(g3 +g4 +(g42 +g65 +ttRp66 +(dp67 +g9 +g10 +((ltRp68 +sg12 +g43 +sbsS'Label' +p69 +g2 +(g3 +g4 +(g42 +g69 +ttRp70 +(dp71 +g9 +g10 +((ltRp72 +sg12 +g43 +sbsS'Blubb' +p73 +g2 +(g3 +g4 +(g42 +g73 +ttRp74 +(dp75 +g9 +g10 +((ltRp76 +sg12 +g43 +sbsS'Entity' +p77 +g2 +(g3 +g4 +(g42 +g77 +ttRp78 +(dp79 +g9 +g10 +((ltRp80 +sg12 +g43 +sbsS'Builtin' +p81 +g2 +(g3 +g4 +(g42 +g81 +ttRp82 +(dp83 +g9 +g10 +((lp84 +g2 +(g3 +g4 +(g42 +g81 +g61 +ttRp85 +(dp86 +g9 +g10 +((ltRp87 +sg12 +g82 +sbatRp88 +sg61 +g85 +sg12 +g43 +sbsS'Other' +p89 +g2 +(g3 +g4 +(g42 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g43 +sbsS'Identifier' +p93 +g2 +(g3 +g4 +(g42 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g43 +sbsS'Variable' +p97 +g2 +(g3 +g4 +(g42 +g97 +ttRp98 +(dp99 +g12 +g43 +sS'Global' +p100 +g2 +(g3 +g4 +(g42 +g97 +g100 +ttRp101 +(dp102 +g9 +g10 +((ltRp103 +sg12 +g98 +sbsS'Instance' +p104 +g2 +(g3 +g4 +(g42 +g97 +g104 +ttRp105 +(dp106 +g9 +g10 +((ltRp107 +sg12 +g98 +sbsS'Anonymous' +p108 +g2 +(g3 +g4 +(g42 +g97 +g108 +ttRp109 +(dp110 +g9 +g10 +((ltRp111 +sg12 +g98 +sbsg9 +g10 +((lp112 +g109 +ag105 +ag101 +ag2 +(g3 +g4 +(g42 +g97 +S'Class' +p113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g98 +sbatRp117 +sg113 +g114 +sbsg9 +g10 +((lp118 +g2 +(g3 +g4 +(g42 +S'Decorator' +p119 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g43 +sbag66 +ag58 +ag62 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p123 +ttRp124 +(dp125 +g9 +g10 +((ltRp126 +sg12 +g43 +sbag94 +ag82 +ag98 +ag90 +ag74 +ag78 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p127 +ttRp128 +(dp129 +g9 +g10 +((ltRp130 +sg12 +g43 +sbag70 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g113 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g43 +sbatRp134 +sg127 +g128 +sg113 +g131 +sg119 +g120 +sg123 +g124 +sbsS'Keyword' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +g57 +g2 +(g3 +g4 +(g135 +g57 +ttRp138 +(dp139 +g9 +g10 +((ltRp140 +sg12 +g136 +sbsg12 +g19 +sg123 +g2 +(g3 +g4 +(g135 +g123 +ttRp141 +(dp142 +g9 +g10 +((ltRp143 +sg12 +g136 +sbsg61 +g2 +(g3 +g4 +(g135 +g61 +ttRp144 +(dp145 +g9 +g10 +((ltRp146 +sg12 +g136 +sbsS'Reserved' +p147 +g2 +(g3 +g4 +(g135 +g147 +ttRp148 +(dp149 +g9 +g10 +((ltRp150 +sg12 +g136 +sbsS'Declaration' +p151 +g2 +(g3 +g4 +(g135 +g151 +ttRp152 +(dp153 +g9 +g10 +((ltRp154 +sg12 +g136 +sbsg97 +g2 +(g3 +g4 +(g135 +g97 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g136 +sbsg9 +g10 +((lp158 +g138 +ag148 +ag2 +(g3 +g4 +(g135 +S'Type' +p159 +ttRp160 +(dp161 +g9 +g10 +((ltRp162 +sg12 +g136 +sbag152 +ag155 +ag141 +ag144 +atRp163 +sg159 +g160 +sbsg5 +g13 +sS'Text' +p164 +g2 +(g3 +g4 +(g164 +ttRp165 +(dp166 +g9 +g10 +((lp167 +g2 +(g3 +g4 +(g164 +S'Symbol' +p168 +ttRp169 +(dp170 +g9 +g10 +((ltRp171 +sg12 +g165 +sbag2 +(g3 +g4 +(g164 +S'Whitespace' +p172 +ttRp173 +(dp174 +g9 +g10 +((ltRp175 +sg12 +g165 +sbatRp176 +sg168 +g169 +sg172 +g173 +sg12 +g19 +sbsS'Punctuation' +p177 +g2 +(g3 +g4 +(g177 +ttRp178 +(dp179 +g9 +g10 +((lp180 +g2 +(g3 +g4 +(g177 +S'Indicator' +p181 +ttRp182 +(dp183 +g9 +g10 +((ltRp184 +sg12 +g178 +sbatRp185 +sg181 +g182 +sg12 +g19 +sbsS'Token' +p186 +g19 +sS'Number' +p187 +g2 +(g3 +g4 +(S'Literal' +p188 +g187 +ttRp189 +(dp190 +S'Bin' +p191 +g2 +(g3 +g4 +(g188 +g187 +g191 +ttRp192 +(dp193 +g9 +g10 +((ltRp194 +sg12 +g189 +sbsS'Binary' +p195 +g2 +(g3 +g4 +(g188 +g187 +g195 +ttRp196 +(dp197 +g9 +g10 +((ltRp198 +sg12 +g189 +sbsg12 +g2 +(g3 +g4 +(g188 +ttRp199 +(dp200 +S'String' +p201 +g2 +(g3 +g4 +(g188 +g201 +ttRp202 +(dp203 +S'Regex' +p204 +g2 +(g3 +g4 +(g188 +g201 +g204 +ttRp205 +(dp206 +g9 +g10 +((ltRp207 +sg12 +g202 +sbsS'Interpol' +p208 +g2 +(g3 +g4 +(g188 +g201 +g208 +ttRp209 +(dp210 +g9 +g10 +((ltRp211 +sg12 +g202 +sbsS'Regexp' +p212 +g2 +(g3 +g4 +(g188 +g201 +g212 +ttRp213 +(dp214 +g9 +g10 +((ltRp215 +sg12 +g202 +sbsg12 +g199 +sS'Heredoc' +p216 +g2 +(g3 +g4 +(g188 +g201 +g216 +ttRp217 +(dp218 +g9 +g10 +((ltRp219 +sg12 +g202 +sbsS'Double' +p220 +g2 +(g3 +g4 +(g188 +g201 +g220 +ttRp221 +(dp222 +g9 +g10 +((ltRp223 +sg12 +g202 +sbsg168 +g2 +(g3 +g4 +(g188 +g201 +g168 +ttRp224 +(dp225 +g9 +g10 +((ltRp226 +sg12 +g202 +sbsS'Escape' +p227 +g2 +(g3 +g4 +(g188 +g201 +g227 +ttRp228 +(dp229 +g9 +g10 +((ltRp230 +sg12 +g202 +sbsS'Character' +p231 +g2 +(g3 +g4 +(g188 +g201 +g231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g202 +sbsS'Interp' +p235 +g2 +(g3 +g4 +(g188 +g201 +g235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g202 +sbsS'Backtick' +p239 +g2 +(g3 +g4 +(g188 +g201 +g239 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g202 +sbsS'Char' +p243 +g2 +(g3 +g4 +(g188 +g201 +g243 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g202 +sbsg28 +g2 +(g3 +g4 +(g188 +g201 +g28 +ttRp247 +(dp248 +g9 +g10 +((ltRp249 +sg12 +g202 +sbsg89 +g2 +(g3 +g4 +(g188 +g201 +g89 +ttRp250 +(dp251 +g9 +g10 +((ltRp252 +sg12 +g202 +sbsS'Doc' +p253 +g2 +(g3 +g4 +(g188 +g201 +g253 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g202 +sbsg9 +g10 +((lp257 +g250 +ag2 +(g3 +g4 +(g188 +g201 +S'Atom' +p258 +ttRp259 +(dp260 +g9 +g10 +((ltRp261 +sg12 +g202 +sbag221 +ag244 +ag236 +ag254 +ag217 +ag240 +ag209 +ag224 +ag213 +ag205 +ag247 +ag232 +ag228 +atRp262 +sg258 +g259 +sbsg12 +g19 +sg187 +g189 +sS'Scalar' +p263 +g2 +(g3 +g4 +(g188 +g263 +ttRp264 +(dp265 +g9 +g10 +((lp266 +g2 +(g3 +g4 +(g188 +g263 +S'Plain' +p267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g264 +sbatRp271 +sg12 +g199 +sg267 +g268 +sbsg89 +g2 +(g3 +g4 +(g188 +g89 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g199 +sbsS'Date' +p275 +g2 +(g3 +g4 +(g188 +g275 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g199 +sbsg9 +g10 +((lp279 +g276 +ag202 +ag272 +ag189 +ag264 +atRp280 +sbsS'Decimal' +p281 +g2 +(g3 +g4 +(g188 +g187 +g281 +ttRp282 +(dp283 +g9 +g10 +((ltRp284 +sg12 +g189 +sbsS'Float' +p285 +g2 +(g3 +g4 +(g188 +g187 +g285 +ttRp286 +(dp287 +g9 +g10 +((ltRp288 +sg12 +g189 +sbsS'Hex' +p289 +g2 +(g3 +g4 +(g188 +g187 +g289 +ttRp290 +(dp291 +g9 +g10 +((ltRp292 +sg12 +g189 +sbsS'Integer' +p293 +g2 +(g3 +g4 +(g188 +g187 +g293 +ttRp294 +(dp295 +g9 +g10 +((lp296 +g2 +(g3 +g4 +(g188 +g187 +g293 +S'Long' +p297 +ttRp298 +(dp299 +g9 +g10 +((ltRp300 +sg12 +g294 +sbatRp301 +sg297 +g298 +sg12 +g189 +sbsS'Octal' +p302 +g2 +(g3 +g4 +(g188 +g187 +g302 +ttRp303 +(dp304 +g9 +g10 +((ltRp305 +sg12 +g189 +sbsg9 +g10 +((lp306 +g192 +ag196 +ag303 +ag282 +ag2 +(g3 +g4 +(g188 +g187 +S'Oct' +p307 +ttRp308 +(dp309 +g9 +g10 +((ltRp310 +sg12 +g189 +sbag294 +ag286 +ag290 +atRp311 +sg307 +g308 +sbsg188 +g199 +sg89 +g2 +(g3 +g4 +(g89 +ttRp312 +(dp313 +g9 +g10 +((ltRp314 +sg12 +g19 +sbsS'Error' +p315 +g2 +(g3 +g4 +(g315 +ttRp316 +(dp317 +g9 +g10 +((ltRp318 +sg12 +g19 +sbsS'Operator' +p319 +g2 +(g3 +g4 +(g319 +ttRp320 +(dp321 +g9 +g10 +((lp322 +g2 +(g3 +g4 +(g319 +S'Word' +p323 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g320 +sbatRp327 +sg323 +g324 +sg12 +g19 +sbsg9 +g10 +((lp328 +g22 +ag316 +ag13 +ag165 +ag43 +ag178 +ag136 +ag199 +ag320 +ag312 +atRp329 +sg201 +g202 +sbsS'Deleted' +p330 +g2 +(g3 +g4 +(g5 +g330 +ttRp331 +(dp332 +g9 +g10 +((ltRp333 +sg12 +g13 +sbsS'Traceback' +p334 +g2 +(g3 +g4 +(g5 +g334 +ttRp335 +(dp336 +g9 +g10 +((ltRp337 +sg12 +g13 +sbsS'Emph' +p338 +g2 +(g3 +g4 +(g5 +g338 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g13 +sbsS'Output' +p342 +g2 +(g3 +g4 +(g5 +g342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g13 +sbsS'Subheading' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g13 +sbsg315 +g2 +(g3 +g4 +(g5 +g315 +ttRp350 +(dp351 +g9 +g10 +((ltRp352 +sg12 +g13 +sbsg9 +g10 +((lp353 +g343 +ag339 +ag350 +ag347 +ag335 +ag331 +ag7 +ag2 +(g3 +g4 +(g5 +S'Inserted' +p354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbag2 +(g3 +g4 +(g5 +S'Strong' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag16 +atRp362 +sg358 +g359 +sg354 +g355 +sg6 +g7 +sbsbVFunctional Programming HOWTO +p363 +tp364 +a(g165 +V\u000a +tp365 +a(g7 +V================================ +p366 +tp367 +a(g165 +V\u000a +tp368 +a(g165 +V\u000a +tp369 +a(g359 +V**Version 0.30** +p370 +tp371 +a(g165 +V\u000a +tp372 +a(g165 +V\u000a +tp373 +a(g165 +V(This is a first draft. Please send comments/error +p374 +tp375 +a(g165 +V\u000a +tp376 +a(g165 +Vreports/suggestions to amk@amk.ca. This URL is probably not going to +p377 +tp378 +a(g165 +V\u000a +tp379 +a(g165 +Vbe the final location of the document, so be careful about linking to +p380 +tp381 +a(g165 +V\u000a +tp382 +a(g165 +Vit -- you may want to add a disclaimer.) +p383 +tp384 +a(g165 +V\u000a +tp385 +a(g165 +V\u000a +tp386 +a(g165 +VIn this document, we'll take a tour of Python's features suitable for +p387 +tp388 +a(g165 +V\u000a +tp389 +a(g165 +Vimplementing programs in a functional style. After an introduction to +p390 +tp391 +a(g165 +V\u000a +tp392 +a(g165 +Vthe concepts of functional programming, we'll look at language +p393 +tp394 +a(g165 +V\u000a +tp395 +a(g165 +Vfeatures such as iterators and generators and relevant library modules +p396 +tp397 +a(g165 +V\u000a +tp398 +a(g165 +Vsuch as +p399 +tp400 +a(g202 +V`` +p401 +tp402 +a(g202 +Vitertools +p403 +tp404 +a(g202 +V`` +p405 +tp406 +a(g165 +V and +p407 +tp408 +a(g202 +V`` +p409 +tp410 +a(g202 +Vfunctools +p411 +tp412 +a(g202 +V`` +p413 +tp414 +a(g165 +V. +tp415 +a(g165 +V\u000a +tp416 +a(g165 +V\u000a +tp417 +a(g165 +V\u000a +tp418 +a(g178 +V.. +p419 +tp420 +a(g165 +V +tp421 +a(g324 +Vcontents +p422 +tp423 +a(g178 +V:: +p424 +tp425 +a(g165 +V\u000a +tp426 +a(g165 +V\u000a +tp427 +a(g7 +VIntroduction +p428 +tp429 +a(g165 +V\u000a +tp430 +a(g7 +V---------------------- +p431 +tp432 +a(g165 +V\u000a +tp433 +a(g165 +V\u000a +tp434 +a(g165 +VThis section explains the basic concept of functional programming; if +p435 +tp436 +a(g165 +V\u000a +tp437 +a(g165 +Vyou're just interested in learning about Python language features, +p438 +tp439 +a(g165 +V\u000a +tp440 +a(g165 +Vskip to the next section. +p441 +tp442 +a(g165 +V\u000a +tp443 +a(g165 +V\u000a +tp444 +a(g165 +VProgramming languages support decomposing problems in several different +p445 +tp446 +a(g165 +V\u000a +tp447 +a(g165 +Vways +p448 +tp449 +a(g165 +V: +tp450 +a(g165 +V\u000a +tp451 +a(g165 +V\u000a +tp452 +a(g189 +V* +tp453 +a(g165 +V Most programming languages are +p454 +tp455 +a(g359 +V**procedural** +p456 +tp457 +a(g165 +V: +tp458 +a(g165 +V +tp459 +a(g165 +V\u000a +tp460 +a(g165 +V programs are lists of instructions that tell the computer what to +p461 +tp462 +a(g165 +V\u000a +tp463 +a(g165 +V do with the program's input. +p464 +tp465 +a(g165 +V\u000a +tp466 +a(g165 +V C, Pascal, and even Unix shells are procedural languages. +p467 +tp468 +a(g165 +V\u000a +tp469 +a(g165 +V\u000a +tp470 +a(g189 +V* +tp471 +a(g165 +V In +p472 +tp473 +a(g359 +V**declarative** +p474 +tp475 +a(g165 +V languages, you write a specification that describes +p476 +tp477 +a(g165 +V\u000a +tp478 +a(g165 +V the problem to be solved, and the language implementation figures out +p479 +tp480 +a(g165 +V\u000a +tp481 +a(g165 +V how to perform the computation efficiently. SQL is the declarative +p482 +tp483 +a(g165 +V\u000a +tp484 +a(g165 +V language you're most likely to be familiar with; a SQL query describes +p485 +tp486 +a(g165 +V\u000a +tp487 +a(g165 +V the data set you want to retrieve, and the SQL engine decides whether to +p488 +tp489 +a(g165 +V\u000a +tp490 +a(g165 +V scan tables or use indexes, which subclauses should be performed first, +p491 +tp492 +a(g165 +V\u000a +tp493 +a(g165 +V etc. +p494 +tp495 +a(g165 +V\u000a +tp496 +a(g165 +V\u000a +tp497 +a(g189 +V* +tp498 +a(g165 +V +tp499 +a(g359 +V**Object-oriented** +p500 +tp501 +a(g165 +V programs manipulate collections of objects. +p502 +tp503 +a(g165 +V\u000a +tp504 +a(g165 +V Objects have internal state and support methods that query or modify +p505 +tp506 +a(g165 +V\u000a +tp507 +a(g165 +V this internal state in some way. Smalltalk and Java are +p508 +tp509 +a(g165 +V\u000a +tp510 +a(g165 +V object-oriented languages. C++ and Python are languages that +p511 +tp512 +a(g165 +V\u000a +tp513 +a(g165 +V support object-oriented programming, but don't force the use +p514 +tp515 +a(g165 +V\u000a +tp516 +a(g165 +V of object-oriented features. +p517 +tp518 +a(g165 +V\u000a +tp519 +a(g165 +V\u000a +tp520 +a(g189 +V* +tp521 +a(g165 +V +tp522 +a(g359 +V**Functional** +p523 +tp524 +a(g165 +V programming decomposes a problem into a set of functions. +p525 +tp526 +a(g165 +V\u000a +tp527 +a(g165 +V Ideally, functions only take inputs and produce outputs, and don't have any +p528 +tp529 +a(g165 +V\u000a +tp530 +a(g165 +V internal state that affects the output produced for a given input. +p531 +tp532 +a(g165 +V\u000a +tp533 +a(g165 +V Well-known functional languages include the ML family (Standard ML, +p534 +tp535 +a(g165 +V\u000a +tp536 +a(g165 +V OCaml, and other variants) and Haskell. +p537 +tp538 +a(g165 +V\u000a +tp539 +a(g165 +V\u000a +tp540 +a(g165 +VThe designers of some computer languages have chosen one approach to +p541 +tp542 +a(g165 +V\u000a +tp543 +a(g165 +Vprogramming that's emphasized. This often makes it difficult to +p544 +tp545 +a(g165 +V\u000a +tp546 +a(g165 +Vwrite programs that use a different approach. Other languages are +p547 +tp548 +a(g165 +V\u000a +tp549 +a(g165 +Vmulti-paradigm languages that support several different approaches. Lisp, +p550 +tp551 +a(g165 +V\u000a +tp552 +a(g165 +VC++, and Python are multi-paradigm; you can write programs or +p553 +tp554 +a(g165 +V\u000a +tp555 +a(g165 +Vlibraries that are largely procedural, object-oriented, or functional +p556 +tp557 +a(g165 +V\u000a +tp558 +a(g165 +Vin all of these languages. In a large program, different sections +p559 +tp560 +a(g165 +V\u000a +tp561 +a(g165 +Vmight be written using different approaches; the GUI might be object-oriented +p562 +tp563 +a(g165 +V\u000a +tp564 +a(g165 +Vwhile the processing logic is procedural or functional, for example. +p565 +tp566 +a(g165 +V\u000a +tp567 +a(g165 +V\u000a +tp568 +a(g165 +VIn a functional program, input flows through a set of functions. Each +p569 +tp570 +a(g165 +V\u000a +tp571 +a(g165 +Vfunction operates on its input and produces some output. Functional +p572 +tp573 +a(g165 +V\u000a +tp574 +a(g165 +Vstyle frowns upon functions with side effects that modify internal +p575 +tp576 +a(g165 +V\u000a +tp577 +a(g165 +Vstate or make other changes that aren't visible in the function's +p578 +tp579 +a(g165 +V\u000a +tp580 +a(g165 +Vreturn value. Functions that have no side effects at all are +p581 +tp582 +a(g165 +V\u000a +tp583 +a(g165 +Vcalled +p584 +tp585 +a(g359 +V**purely functional** +p586 +tp587 +a(g165 +V. +tp588 +a(g165 +V\u000a +tp589 +a(g165 +VAvoiding side effects means not using data structures +p590 +tp591 +a(g165 +V\u000a +tp592 +a(g165 +Vthat get updated as a program runs; every function's output +p593 +tp594 +a(g165 +V\u000a +tp595 +a(g165 +Vmust only depend on its input. +p596 +tp597 +a(g165 +V\u000a +tp598 +a(g165 +V\u000a +tp599 +a(g165 +VSome languages are very strict about purity and don't even have +p600 +tp601 +a(g165 +V\u000a +tp602 +a(g165 +Vassignment statements such as +p603 +tp604 +a(g202 +V`` +p605 +tp606 +a(g202 +Va=3 +p607 +tp608 +a(g202 +V`` +p609 +tp610 +a(g165 +V or +p611 +tp612 +a(g202 +V`` +p613 +tp614 +a(g202 +Vc = a + b +p615 +tp616 +a(g202 +V`` +p617 +tp618 +a(g165 +V, but it's +p619 +tp620 +a(g165 +V\u000a +tp621 +a(g165 +Vdifficult to avoid all side effects. Printing to the screen or +p622 +tp623 +a(g165 +V\u000a +tp624 +a(g165 +Vwriting to a disk file are side effects, for example. For example, in +p625 +tp626 +a(g165 +V\u000a +tp627 +a(g165 +VPython a +p628 +tp629 +a(g202 +V`` +p630 +tp631 +a(g202 +Vprint +p632 +tp633 +a(g202 +V`` +p634 +tp635 +a(g165 +V statement or a +p636 +tp637 +a(g202 +V`` +p638 +tp639 +a(g202 +Vtime.sleep(1) +p640 +tp641 +a(g202 +V`` +p642 +tp643 +a(g165 +V both return no +p644 +tp645 +a(g165 +V\u000a +tp646 +a(g165 +Vuseful value; they're only called for their side effects of sending +p647 +tp648 +a(g165 +V\u000a +tp649 +a(g165 +Vsome text to the screen or pausing execution for a second. +p650 +tp651 +a(g165 +V\u000a +tp652 +a(g165 +V\u000a +tp653 +a(g165 +VPython programs written in functional style usually won't go to the +p654 +tp655 +a(g165 +V\u000a +tp656 +a(g165 +Vextreme of avoiding all I/O or all assignments; instead, they'll +p657 +tp658 +a(g165 +V\u000a +tp659 +a(g165 +Vprovide a functional-appearing interface but will use non-functional +p660 +tp661 +a(g165 +V\u000a +tp662 +a(g165 +Vfeatures internally. For example, the implementation of a function +p663 +tp664 +a(g165 +V\u000a +tp665 +a(g165 +Vwill still use assignments to local variables, but won't modify global +p666 +tp667 +a(g165 +V\u000a +tp668 +a(g165 +Vvariables or have other side effects. +p669 +tp670 +a(g165 +V\u000a +tp671 +a(g165 +V\u000a +tp672 +a(g165 +VFunctional programming can be considered the opposite of +p673 +tp674 +a(g165 +V\u000a +tp675 +a(g165 +Vobject-oriented programming. Objects are little capsules containing +p676 +tp677 +a(g165 +V\u000a +tp678 +a(g165 +Vsome internal state along with a collection of method calls that let +p679 +tp680 +a(g165 +V\u000a +tp681 +a(g165 +Vyou modify this state, and programs consist of making the right set of +p682 +tp683 +a(g165 +V\u000a +tp684 +a(g165 +Vstate changes. Functional programming wants to avoid state changes as +p685 +tp686 +a(g165 +V\u000a +tp687 +a(g165 +Vmuch as possible and works with data flowing between functions. In +p688 +tp689 +a(g165 +V\u000a +tp690 +a(g165 +VPython you might combine the two approaches by writing functions that +p691 +tp692 +a(g165 +V\u000a +tp693 +a(g165 +Vtake and return instances representing objects in your application +p694 +tp695 +a(g165 +V\u000a +tp696 +a(g165 +V(e-mail messages, transactions, etc.). +p697 +tp698 +a(g165 +V\u000a +tp699 +a(g165 +V\u000a +tp700 +a(g165 +VFunctional design may seem like an odd constraint to work under. Why +p701 +tp702 +a(g165 +V\u000a +tp703 +a(g165 +Vshould you avoid objects and side effects? There are theoretical and +p704 +tp705 +a(g165 +V\u000a +tp706 +a(g165 +Vpractical advantages to the functional style +p707 +tp708 +a(g165 +V: +tp709 +a(g165 +V\u000a +tp710 +a(g165 +V\u000a +tp711 +a(g189 +V* +tp712 +a(g165 +V Formal provability. +p713 +tp714 +a(g165 +V\u000a +tp715 +a(g189 +V* +tp716 +a(g165 +V Modularity. +p717 +tp718 +a(g165 +V\u000a +tp719 +a(g189 +V* +tp720 +a(g165 +V Composability. +p721 +tp722 +a(g165 +V\u000a +tp723 +a(g189 +V* +tp724 +a(g165 +V Ease of debugging and testing. +p725 +tp726 +a(g165 +V\u000a +tp727 +a(g165 +V\u000a +tp728 +a(g7 +VFormal provability +p729 +tp730 +a(g165 +V\u000a +tp731 +a(g7 +V'''''''''''''''''''''' +p732 +tp733 +a(g165 +V\u000a +tp734 +a(g165 +V\u000a +tp735 +a(g165 +VA theoretical benefit is that it's easier to construct a mathematical proof +p736 +tp737 +a(g165 +V\u000a +tp738 +a(g165 +Vthat a functional program is correct. +p739 +tp740 +a(g165 +V\u000a +tp741 +a(g165 +V\u000a +tp742 +a(g165 +VFor a long time researchers have been interested in finding ways to +p743 +tp744 +a(g165 +V\u000a +tp745 +a(g165 +Vmathematically prove programs correct. This is different from testing +p746 +tp747 +a(g165 +V\u000a +tp748 +a(g165 +Va program on numerous inputs and concluding that its output is usually +p749 +tp750 +a(g165 +V\u000a +tp751 +a(g165 +Vcorrect, or reading a program's source code and concluding that the +p752 +tp753 +a(g165 +V\u000a +tp754 +a(g165 +Vcode looks right; the goal is instead a rigorous proof that a program +p755 +tp756 +a(g165 +V\u000a +tp757 +a(g165 +Vproduces the right result for all possible inputs. +p758 +tp759 +a(g165 +V\u000a +tp760 +a(g165 +V\u000a +tp761 +a(g165 +VThe technique used to prove programs correct is to write down +p762 +tp763 +a(g165 +V\u000a +tp764 +a(g359 +V**invariants** +p765 +tp766 +a(g165 +V, properties of the input data and of the program's +p767 +tp768 +a(g165 +V\u000a +tp769 +a(g165 +Vvariables that are always true. For each line of code, you then show +p770 +tp771 +a(g165 +V\u000a +tp772 +a(g165 +Vthat if invariants X and Y are true +p773 +tp774 +a(g359 +V**before** +p775 +tp776 +a(g165 +V the line is executed, +p777 +tp778 +a(g165 +V\u000a +tp779 +a(g165 +Vthe slightly different invariants X' and Y' are true +p780 +tp781 +a(g359 +V**after** +p782 +tp783 +a(g165 +V\u000a +tp784 +a(g165 +Vthe line is executed. This continues until you reach the end of the +p785 +tp786 +a(g165 +V\u000a +tp787 +a(g165 +Vprogram, at which point the invariants should match the desired +p788 +tp789 +a(g165 +V\u000a +tp790 +a(g165 +Vconditions on the program's output. +p791 +tp792 +a(g165 +V\u000a +tp793 +a(g165 +V\u000a +tp794 +a(g165 +VFunctional programming's avoidance of assignments arose because +p795 +tp796 +a(g165 +V\u000a +tp797 +a(g165 +Vassignments are difficult to handle with this technique; +p798 +tp799 +a(g165 +V\u000a +tp800 +a(g165 +Vassignments can break invariants that were true before the assignment +p801 +tp802 +a(g165 +V\u000a +tp803 +a(g165 +Vwithout producing any new invariants that can be propagated onward. +p804 +tp805 +a(g165 +V\u000a +tp806 +a(g165 +V\u000a +tp807 +a(g165 +VUnfortunately, proving programs correct is largely impractical and not +p808 +tp809 +a(g165 +V\u000a +tp810 +a(g165 +Vrelevant to Python software. Even trivial programs require proofs that +p811 +tp812 +a(g165 +V\u000a +tp813 +a(g165 +Vare several pages long; the proof of correctness for a moderately +p814 +tp815 +a(g165 +V\u000a +tp816 +a(g165 +Vcomplicated program would be enormous, and few or none of the programs +p817 +tp818 +a(g165 +V\u000a +tp819 +a(g165 +Vyou use daily (the Python interpreter, your XML parser, your web +p820 +tp821 +a(g165 +V\u000a +tp822 +a(g165 +Vbrowser) could be proven correct. Even if you wrote down or generated +p823 +tp824 +a(g165 +V\u000a +tp825 +a(g165 +Va proof, there would then be the question of verifying the proof; +p826 +tp827 +a(g165 +V\u000a +tp828 +a(g165 +Vmaybe there's an error in it, and you wrongly believe you've proved +p829 +tp830 +a(g165 +V\u000a +tp831 +a(g165 +Vthe program correct. +p832 +tp833 +a(g165 +V\u000a +tp834 +a(g165 +V\u000a +tp835 +a(g7 +VModularity +p836 +tp837 +a(g165 +V\u000a +tp838 +a(g7 +V'''''''''''''''''''''' +p839 +tp840 +a(g165 +V\u000a +tp841 +a(g165 +V\u000a +tp842 +a(g165 +VA more practical benefit of functional programming is that it forces +p843 +tp844 +a(g165 +V\u000a +tp845 +a(g165 +Vyou to break apart your problem into small pieces. Programs are more +p846 +tp847 +a(g165 +V\u000a +tp848 +a(g165 +Vmodular as a result. It's easier to specify and write a small +p849 +tp850 +a(g165 +V\u000a +tp851 +a(g165 +Vfunction that does one thing than a large function that performs a +p852 +tp853 +a(g165 +V\u000a +tp854 +a(g165 +Vcomplicated transformation. Small functions are also easier to read +p855 +tp856 +a(g165 +V\u000a +tp857 +a(g165 +Vand to check for errors. +p858 +tp859 +a(g165 +V\u000a +tp860 +a(g165 +V\u000a +tp861 +a(g165 +V\u000a +tp862 +a(g7 +VEase of debugging and testing +p863 +tp864 +a(g165 +V\u000a +tp865 +a(g7 +V'''''''''''''''''''''''''''''''''' +p866 +tp867 +a(g165 +V\u000a +tp868 +a(g165 +V\u000a +tp869 +a(g165 +VTesting and debugging a functional-style program is easier. +p870 +tp871 +a(g165 +V\u000a +tp872 +a(g165 +V\u000a +tp873 +a(g165 +VDebugging is simplified because functions are generally small and +p874 +tp875 +a(g165 +V\u000a +tp876 +a(g165 +Vclearly specified. When a program doesn't work, each function is an +p877 +tp878 +a(g165 +V\u000a +tp879 +a(g165 +Vinterface point where you can check that the data are correct. You +p880 +tp881 +a(g165 +V\u000a +tp882 +a(g165 +Vcan look at the intermediate inputs and outputs to quickly isolate the +p883 +tp884 +a(g165 +V\u000a +tp885 +a(g165 +Vfunction that's responsible for a bug. +p886 +tp887 +a(g165 +V\u000a +tp888 +a(g165 +V\u000a +tp889 +a(g165 +VTesting is easier because each function is a potential subject for a +p890 +tp891 +a(g165 +V\u000a +tp892 +a(g165 +Vunit test. Functions don't depend on system state that needs to be +p893 +tp894 +a(g165 +V\u000a +tp895 +a(g165 +Vreplicated before running a test; instead you only have to synthesize +p896 +tp897 +a(g165 +V\u000a +tp898 +a(g165 +Vthe right input and then check that the output matches expectations. +p899 +tp900 +a(g165 +V\u000a +tp901 +a(g165 +V\u000a +tp902 +a(g165 +V\u000a +tp903 +a(g165 +V\u000a +tp904 +a(g7 +VComposability +p905 +tp906 +a(g165 +V\u000a +tp907 +a(g7 +V'''''''''''''''''''''' +p908 +tp909 +a(g165 +V\u000a +tp910 +a(g165 +V\u000a +tp911 +a(g165 +VAs you work on a functional-style program, you'll write a number of +p912 +tp913 +a(g165 +V\u000a +tp914 +a(g165 +Vfunctions with varying inputs and outputs. Some of these functions +p915 +tp916 +a(g165 +V\u000a +tp917 +a(g165 +Vwill be unavoidably specialized to a particular application, but +p918 +tp919 +a(g165 +V\u000a +tp920 +a(g165 +Vothers will be useful in a wide variety of programs. For example, a +p921 +tp922 +a(g165 +V\u000a +tp923 +a(g165 +Vfunction that takes a directory path and returns all the XML files in +p924 +tp925 +a(g165 +V\u000a +tp926 +a(g165 +Vthe directory, or a function that takes a filename and returns its +p927 +tp928 +a(g165 +V\u000a +tp929 +a(g165 +Vcontents, can be applied to many different situations. +p930 +tp931 +a(g165 +V\u000a +tp932 +a(g165 +V\u000a +tp933 +a(g165 +VOver time you'll form a personal library of utilities. Often you'll +p934 +tp935 +a(g165 +V\u000a +tp936 +a(g165 +Vassemble new programs by arranging existing functions in a new +p937 +tp938 +a(g165 +V\u000a +tp939 +a(g165 +Vconfiguration and writing a few functions specialized for the current +p940 +tp941 +a(g165 +V\u000a +tp942 +a(g165 +Vtask. +p943 +tp944 +a(g165 +V\u000a +tp945 +a(g165 +V\u000a +tp946 +a(g165 +V\u000a +tp947 +a(g165 +V\u000a +tp948 +a(g7 +VIterators +p949 +tp950 +a(g165 +V\u000a +tp951 +a(g7 +V----------------------- +p952 +tp953 +a(g165 +V\u000a +tp954 +a(g165 +V\u000a +tp955 +a(g165 +VI'll start by looking at a Python language feature that's an important +p956 +tp957 +a(g165 +V\u000a +tp958 +a(g165 +Vfoundation for writing functional-style programs +p959 +tp960 +a(g165 +V: +tp961 +a(g165 +V iterators. +p962 +tp963 +a(g165 +V\u000a +tp964 +a(g165 +V\u000a +tp965 +a(g165 +VAn iterator is an object representing a stream of data; this object +p966 +tp967 +a(g165 +V\u000a +tp968 +a(g165 +Vreturns the data one element at a time. A Python iterator must +p969 +tp970 +a(g165 +V\u000a +tp971 +a(g165 +Vsupport a method called +p972 +tp973 +a(g202 +V`` +p974 +tp975 +a(g202 +Vnext() +p976 +tp977 +a(g202 +V`` +p978 +tp979 +a(g165 +V that takes no arguments and always +p980 +tp981 +a(g165 +V\u000a +tp982 +a(g165 +Vreturns the next element of the stream. If there are no more elements +p983 +tp984 +a(g165 +V\u000a +tp985 +a(g165 +Vin the stream, +p986 +tp987 +a(g202 +V`` +p988 +tp989 +a(g202 +Vnext() +p990 +tp991 +a(g202 +V`` +p992 +tp993 +a(g165 +V must raise the +p994 +tp995 +a(g202 +V`` +p996 +tp997 +a(g202 +VStopIteration +p998 +tp999 +a(g202 +V`` +p1000 +tp1001 +a(g165 +V exception. +p1002 +tp1003 +a(g165 +V\u000a +tp1004 +a(g165 +VIterators don't have to be finite, though; it's perfectly reasonable +p1005 +tp1006 +a(g165 +V\u000a +tp1007 +a(g165 +Vto write an iterator that produces an infinite stream of data. +p1008 +tp1009 +a(g165 +V\u000a +tp1010 +a(g165 +V\u000a +tp1011 +a(g165 +VThe built-in +p1012 +tp1013 +a(g202 +V`` +p1014 +tp1015 +a(g202 +Viter() +p1016 +tp1017 +a(g202 +V`` +p1018 +tp1019 +a(g165 +V function takes an arbitrary object and tries +p1020 +tp1021 +a(g165 +V\u000a +tp1022 +a(g165 +Vto return an iterator that will return the object's contents or +p1023 +tp1024 +a(g165 +V\u000a +tp1025 +a(g165 +Velements, raising +p1026 +tp1027 +a(g202 +V`` +p1028 +tp1029 +a(g202 +VTypeError +p1030 +tp1031 +a(g202 +V`` +p1032 +tp1033 +a(g165 +V if the object doesn't support +p1034 +tp1035 +a(g165 +V\u000a +tp1036 +a(g165 +Viteration. Several of Python's built-in data types support iteration, +p1037 +tp1038 +a(g165 +V\u000a +tp1039 +a(g165 +Vthe most common being lists and dictionaries. An object is called +p1040 +tp1041 +a(g165 +V\u000a +tp1042 +a(g165 +Van +p1043 +tp1044 +a(g359 +V**iterable** +p1045 +tp1046 +a(g165 +V object if you can get an iterator for it. +p1047 +tp1048 +a(g165 +V\u000a +tp1049 +a(g165 +V\u000a +tp1050 +a(g165 +VYou can experiment with the iteration interface manually +p1051 +tp1052 +a(g228 +V:: +p1053 +tp1054 +a(g165 +V\u000a\u000a +p1055 +tp1056 +a(g202 +V +p1057 +tp1058 +a(g202 +V>>> L = [1,2,3] +p1059 +tp1060 +a(g165 +V\u000a +tp1061 +a(g202 +V >>> it = iter(L)\u000a >>> print it\u000a \u000a >>> it.next()\u000a 1\u000a >>> it.next()\u000a 2\u000a >>> it.next()\u000a 3\u000a >>> it.next()\u000a Traceback (most recent call last):\u000a File "", line 1, in ?\u000a StopIteration\u000a >>> \u000a\u000a +p1062 +tp1063 +a(g165 +VPython expects iterable objects in several different contexts, the +p1064 +tp1065 +a(g165 +V\u000a +tp1066 +a(g165 +Vmost important being the +p1067 +tp1068 +a(g202 +V`` +p1069 +tp1070 +a(g202 +Vfor +p1071 +tp1072 +a(g202 +V`` +p1073 +tp1074 +a(g165 +V statement. In the statement +p1075 +tp1076 +a(g202 +V`` +p1077 +tp1078 +a(g202 +Vfor X in Y +p1079 +tp1080 +a(g202 +V`` +p1081 +tp1082 +a(g165 +V, +tp1083 +a(g165 +V\u000a +tp1084 +a(g165 +VY must be an iterator or some object for which +p1085 +tp1086 +a(g202 +V`` +p1087 +tp1088 +a(g202 +Viter() +p1089 +tp1090 +a(g202 +V`` +p1091 +tp1092 +a(g165 +V can create +p1093 +tp1094 +a(g165 +V\u000a +tp1095 +a(g165 +Van iterator. These two statements are equivalent +p1096 +tp1097 +a(g228 +V:: +p1098 +tp1099 +a(g165 +V\u000a\u000a +p1100 +tp1101 +a(g202 +V +p1102 +tp1103 +a(g202 +Vfor i in iter(obj): +p1104 +tp1105 +a(g165 +V\u000a +tp1106 +a(g202 +V print i\u000a\u000a for i in obj:\u000a print i\u000a\u000a +p1107 +tp1108 +a(g165 +VIterators can be materialized as lists or tuples by using the +p1109 +tp1110 +a(g165 +V\u000a +tp1111 +a(g202 +V`` +p1112 +tp1113 +a(g202 +Vlist() +p1114 +tp1115 +a(g202 +V`` +p1116 +tp1117 +a(g165 +V or +p1118 +tp1119 +a(g202 +V`` +p1120 +tp1121 +a(g202 +Vtuple() +p1122 +tp1123 +a(g202 +V`` +p1124 +tp1125 +a(g165 +V constructor functions +p1126 +tp1127 +a(g228 +V:: +p1128 +tp1129 +a(g165 +V\u000a\u000a +p1130 +tp1131 +a(g202 +V +p1132 +tp1133 +a(g202 +V>>> L = [1,2,3] +p1134 +tp1135 +a(g165 +V\u000a +tp1136 +a(g202 +V >>> iterator = iter(L)\u000a >>> t = tuple(iterator)\u000a >>> t\u000a (1, 2, 3)\u000a\u000a +p1137 +tp1138 +a(g165 +VSequence unpacking also supports iterators +p1139 +tp1140 +a(g165 +V: +tp1141 +a(g165 +V if you know an iterator +p1142 +tp1143 +a(g165 +V\u000a +tp1144 +a(g165 +Vwill return N elements, you can unpack them into an N-tuple +p1145 +tp1146 +a(g228 +V:: +p1147 +tp1148 +a(g165 +V\u000a\u000a +p1149 +tp1150 +a(g202 +V +p1151 +tp1152 +a(g202 +V>>> L = [1,2,3] +p1153 +tp1154 +a(g165 +V\u000a +tp1155 +a(g202 +V >>> iterator = iter(L)\u000a >>> a,b,c = iterator\u000a >>> a,b,c\u000a (1, 2, 3)\u000a\u000a +p1156 +tp1157 +a(g165 +VBuilt-in functions such as +p1158 +tp1159 +a(g202 +V`` +p1160 +tp1161 +a(g202 +Vmax() +p1162 +tp1163 +a(g202 +V`` +p1164 +tp1165 +a(g165 +V and +p1166 +tp1167 +a(g202 +V`` +p1168 +tp1169 +a(g202 +Vmin() +p1170 +tp1171 +a(g202 +V`` +p1172 +tp1173 +a(g165 +V can take a single +p1174 +tp1175 +a(g165 +V\u000a +tp1176 +a(g165 +Viterator argument and will return the largest or smallest element. +p1177 +tp1178 +a(g165 +V\u000a +tp1179 +a(g165 +VThe +p1180 +tp1181 +a(g202 +V`` +p1182 +tp1183 +a(g202 +V"in" +p1184 +tp1185 +a(g202 +V`` +p1186 +tp1187 +a(g165 +V and +p1188 +tp1189 +a(g202 +V`` +p1190 +tp1191 +a(g202 +V"not in" +p1192 +tp1193 +a(g202 +V`` +p1194 +tp1195 +a(g165 +V operators also support iterators +p1196 +tp1197 +a(g165 +V: +tp1198 +a(g165 +V +tp1199 +a(g202 +V`` +p1200 +tp1201 +a(g202 +VX in\u000aiterator +p1202 +tp1203 +a(g202 +V`` +p1204 +tp1205 +a(g165 +V is true if X is found in the stream returned by the +p1206 +tp1207 +a(g165 +V\u000a +tp1208 +a(g165 +Viterator. You'll run into obvious problems if the iterator is +p1209 +tp1210 +a(g165 +V\u000a +tp1211 +a(g165 +Vinfinite; +p1212 +tp1213 +a(g202 +V`` +p1214 +tp1215 +a(g202 +Vmax() +p1216 +tp1217 +a(g202 +V`` +p1218 +tp1219 +a(g165 +V, +p1220 +tp1221 +a(g202 +V`` +p1222 +tp1223 +a(g202 +Vmin() +p1224 +tp1225 +a(g202 +V`` +p1226 +tp1227 +a(g165 +V, and +p1228 +tp1229 +a(g202 +V`` +p1230 +tp1231 +a(g202 +V"not in" +p1232 +tp1233 +a(g202 +V`` +p1234 +tp1235 +a(g165 +V will never return, and +p1236 +tp1237 +a(g165 +V\u000a +tp1238 +a(g165 +Vif the element X never appears in the stream, the +p1239 +tp1240 +a(g202 +V`` +p1241 +tp1242 +a(g202 +V"in" +p1243 +tp1244 +a(g202 +V`` +p1245 +tp1246 +a(g165 +V operator +p1247 +tp1248 +a(g165 +V\u000a +tp1249 +a(g165 +Vwon't return either. +p1250 +tp1251 +a(g165 +V\u000a +tp1252 +a(g165 +V\u000a +tp1253 +a(g165 +VNote that you can only go forward in an iterator; there's no way to +p1254 +tp1255 +a(g165 +V\u000a +tp1256 +a(g165 +Vget the previous element, reset the iterator, or make a copy of it. +p1257 +tp1258 +a(g165 +V\u000a +tp1259 +a(g165 +VIterator objects can optionally provide these additional capabilities, +p1260 +tp1261 +a(g165 +V\u000a +tp1262 +a(g165 +Vbut the iterator protocol only specifies the +p1263 +tp1264 +a(g202 +V`` +p1265 +tp1266 +a(g202 +Vnext() +p1267 +tp1268 +a(g202 +V`` +p1269 +tp1270 +a(g165 +V method. +p1271 +tp1272 +a(g165 +V\u000a +tp1273 +a(g165 +VFunctions may therefore consume all of the iterator's output, and if +p1274 +tp1275 +a(g165 +V\u000a +tp1276 +a(g165 +Vyou need to do something different with the same stream, you'll have +p1277 +tp1278 +a(g165 +V\u000a +tp1279 +a(g165 +Vto create a new iterator. +p1280 +tp1281 +a(g165 +V\u000a +tp1282 +a(g165 +V\u000a +tp1283 +a(g165 +V\u000a +tp1284 +a(g165 +V\u000a +tp1285 +a(g7 +VData Types That Support Iterators +p1286 +tp1287 +a(g165 +V\u000a +tp1288 +a(g7 +V''''''''''''''''''''''''''''''''''' +p1289 +tp1290 +a(g165 +V\u000a +tp1291 +a(g165 +V\u000a +tp1292 +a(g165 +VWe've already seen how lists and tuples support iterators. In fact, +p1293 +tp1294 +a(g165 +V\u000a +tp1295 +a(g165 +Vany Python sequence type, such as strings, will automatically support +p1296 +tp1297 +a(g165 +V\u000a +tp1298 +a(g165 +Vcreation of an iterator. +p1299 +tp1300 +a(g165 +V\u000a +tp1301 +a(g165 +V\u000a +tp1302 +a(g165 +VCalling +p1303 +tp1304 +a(g202 +V`` +p1305 +tp1306 +a(g202 +Viter() +p1307 +tp1308 +a(g202 +V`` +p1309 +tp1310 +a(g165 +V on a dictionary returns an iterator that will loop +p1311 +tp1312 +a(g165 +V\u000a +tp1313 +a(g165 +Vover the dictionary's keys +p1314 +tp1315 +a(g228 +V:: +p1316 +tp1317 +a(g165 +V\u000a\u000a +p1318 +tp1319 +a(g202 +V +p1320 +tp1321 +a(g202 +V>>> m = {'Jan': 1, 'Feb': 2, 'Mar': 3, 'Apr': 4, 'May': 5, 'Jun': 6, +p1322 +tp1323 +a(g165 +V\u000a +tp1324 +a(g202 +V ... 'Jul': 7, 'Aug': 8, 'Sep': 9, 'Oct': 10, 'Nov': 11, 'Dec': 12}\u000a >>> for key in m:\u000a ... print key, m[key]\u000a Mar 3\u000a Feb 2\u000a Aug 8\u000a Sep 9\u000a May 5\u000a Jun 6\u000a Jul 7\u000a Jan 1\u000a Apr 4\u000a Nov 11\u000a Dec 12\u000a Oct 10\u000a\u000a +p1325 +tp1326 +a(g165 +VNote that the order is essentially random, because it's based on the +p1327 +tp1328 +a(g165 +V\u000a +tp1329 +a(g165 +Vhash ordering of the objects in the dictionary. +p1330 +tp1331 +a(g165 +V\u000a +tp1332 +a(g165 +V\u000a +tp1333 +a(g165 +VApplying +p1334 +tp1335 +a(g202 +V`` +p1336 +tp1337 +a(g202 +Viter() +p1338 +tp1339 +a(g202 +V`` +p1340 +tp1341 +a(g165 +V to a dictionary always loops over the keys, but +p1342 +tp1343 +a(g165 +V\u000a +tp1344 +a(g165 +Vdictionaries have methods that return other iterators. If you want to +p1345 +tp1346 +a(g165 +V\u000a +tp1347 +a(g165 +Viterate over keys, values, or key/value pairs, you can explicitly call +p1348 +tp1349 +a(g165 +V\u000a +tp1350 +a(g165 +Vthe +p1351 +tp1352 +a(g202 +V`` +p1353 +tp1354 +a(g202 +Viterkeys() +p1355 +tp1356 +a(g202 +V`` +p1357 +tp1358 +a(g165 +V, +p1359 +tp1360 +a(g202 +V`` +p1361 +tp1362 +a(g202 +Vitervalues() +p1363 +tp1364 +a(g202 +V`` +p1365 +tp1366 +a(g165 +V, or +p1367 +tp1368 +a(g202 +V`` +p1369 +tp1370 +a(g202 +Viteritems() +p1371 +tp1372 +a(g202 +V`` +p1373 +tp1374 +a(g165 +V methods to +p1375 +tp1376 +a(g165 +V\u000a +tp1377 +a(g165 +Vget an appropriate iterator. +p1378 +tp1379 +a(g165 +V\u000a +tp1380 +a(g165 +V\u000a +tp1381 +a(g165 +VThe +p1382 +tp1383 +a(g202 +V`` +p1384 +tp1385 +a(g202 +Vdict() +p1386 +tp1387 +a(g202 +V`` +p1388 +tp1389 +a(g165 +V constructor can accept an iterator that returns a +p1390 +tp1391 +a(g165 +V\u000a +tp1392 +a(g165 +Vfinite stream of +p1393 +tp1394 +a(g202 +V`` +p1395 +tp1396 +a(g202 +V(key, value) +p1397 +tp1398 +a(g202 +V`` +p1399 +tp1400 +a(g165 +V tuples +p1401 +tp1402 +a(g228 +V:: +p1403 +tp1404 +a(g165 +V\u000a\u000a +p1405 +tp1406 +a(g202 +V +p1407 +tp1408 +a(g202 +V>>> L = [('Italy', 'Rome'), ('France', 'Paris'), ('US', 'Washington DC')] +p1409 +tp1410 +a(g165 +V\u000a +tp1411 +a(g202 +V >>> dict(iter(L))\u000a {'Italy': 'Rome', 'US': 'Washington DC', 'France': 'Paris'}\u000a\u000a +p1412 +tp1413 +a(g165 +VFiles also support iteration by calling the +p1414 +tp1415 +a(g202 +V`` +p1416 +tp1417 +a(g202 +Vreadline() +p1418 +tp1419 +a(g202 +V`` +p1420 +tp1421 +a(g165 +V\u000a +tp1422 +a(g165 +Vmethod until there are no more lines in the file. This means you can +p1423 +tp1424 +a(g165 +V\u000a +tp1425 +a(g165 +Vread each line of a file like this +p1426 +tp1427 +a(g228 +V:: +p1428 +tp1429 +a(g165 +V\u000a\u000a +p1430 +tp1431 +a(g202 +V +p1432 +tp1433 +a(g202 +Vfor line in file: +p1434 +tp1435 +a(g165 +V\u000a +tp1436 +a(g202 +V # do something for each line\u000a ...\u000a\u000a +p1437 +tp1438 +a(g165 +VSets can take their contents from an iterable and let you iterate over +p1439 +tp1440 +a(g165 +V\u000a +tp1441 +a(g165 +Vthe set's elements +p1442 +tp1443 +a(g228 +V:: +p1444 +tp1445 +a(g165 +V\u000a\u000a +p1446 +tp1447 +a(g202 +V +p1448 +tp1449 +a(g202 +VS = set((2, 3, 5, 7, 11, 13)) +p1450 +tp1451 +a(g165 +V\u000a +tp1452 +a(g202 +V for i in S:\u000a print i\u000a\u000a\u000a\u000a +p1453 +tp1454 +a(g7 +VGenerator expressions and list comprehensions +p1455 +tp1456 +a(g165 +V\u000a +tp1457 +a(g7 +V---------------------------------------------------- +p1458 +tp1459 +a(g165 +V\u000a +tp1460 +a(g165 +V\u000a +tp1461 +a(g165 +VTwo common operations on an iterator's output are 1) performing some +p1462 +tp1463 +a(g165 +V\u000a +tp1464 +a(g165 +Voperation for every element, 2) selecting a subset of elements that +p1465 +tp1466 +a(g165 +V\u000a +tp1467 +a(g165 +Vmeet some condition. For example, given a list of strings, you might +p1468 +tp1469 +a(g165 +V\u000a +tp1470 +a(g165 +Vwant to strip off trailing whitespace from each line or extract all +p1471 +tp1472 +a(g165 +V\u000a +tp1473 +a(g165 +Vthe strings containing a given substring. +p1474 +tp1475 +a(g165 +V\u000a +tp1476 +a(g165 +V\u000a +tp1477 +a(g165 +VList comprehensions and generator expressions (short form +p1478 +tp1479 +a(g165 +V: +tp1480 +a(g165 +V "listcomps" +p1481 +tp1482 +a(g165 +V\u000a +tp1483 +a(g165 +Vand "genexps") are a concise notation for such operations, borrowed +p1484 +tp1485 +a(g165 +V\u000a +tp1486 +a(g165 +Vfrom the functional programming language Haskell +p1487 +tp1488 +a(g165 +V\u000a +tp1489 +a(g165 +V(http +p1490 +tp1491 +a(g165 +V: +tp1492 +a(g165 +V//www.haskell.org). You can strip all the whitespace from a +p1493 +tp1494 +a(g165 +V\u000a +tp1495 +a(g165 +Vstream of strings with the following code +p1496 +tp1497 +a(g228 +V:: +p1498 +tp1499 +a(g165 +V\u000a\u000a +p1500 +tp1501 +a(g202 +V +p1502 +tp1503 +a(g202 +Vline_list = [' line 1\u005cn', 'line 2 \u005cn', ...] +p1504 +tp1505 +a(g165 +V\u000a +tp1506 +a(g202 +V\u000a # Generator expression -- returns iterator\u000a stripped_iter = (line.strip() for line in line_list)\u000a\u000a # List comprehension -- returns list\u000a stripped_list = [line.strip() for line in line_list]\u000a\u000a +p1507 +tp1508 +a(g165 +VYou can select only certain elements by adding an +p1509 +tp1510 +a(g202 +V`` +p1511 +tp1512 +a(g202 +V"if" +p1513 +tp1514 +a(g202 +V`` +p1515 +tp1516 +a(g165 +V condition +p1517 +tp1518 +a(g228 +V:: +p1519 +tp1520 +a(g165 +V\u000a\u000a +p1521 +tp1522 +a(g202 +V +p1523 +tp1524 +a(g202 +Vstripped_list = [line.strip() for line in line_list +p1525 +tp1526 +a(g165 +V\u000a +tp1527 +a(g202 +V if line != ""]\u000a\u000a +p1528 +tp1529 +a(g165 +VWith a list comprehension, you get back a Python list; +p1530 +tp1531 +a(g165 +V\u000a +tp1532 +a(g202 +V`` +p1533 +tp1534 +a(g202 +Vstripped_list +p1535 +tp1536 +a(g202 +V`` +p1537 +tp1538 +a(g165 +V is a list containing the resulting lines, not an +p1539 +tp1540 +a(g165 +V\u000a +tp1541 +a(g165 +Viterator. Generator expressions return an iterator that computes the +p1542 +tp1543 +a(g165 +V\u000a +tp1544 +a(g165 +Vvalues as necessary, not needing to materialize all the values at +p1545 +tp1546 +a(g165 +V\u000a +tp1547 +a(g165 +Vonce. This means that list comprehensions aren't useful if you're +p1548 +tp1549 +a(g165 +V\u000a +tp1550 +a(g165 +Vworking with iterators that return an infinite stream or a very large +p1551 +tp1552 +a(g165 +V\u000a +tp1553 +a(g165 +Vamount of data. Generator expressions are preferable in these +p1554 +tp1555 +a(g165 +V\u000a +tp1556 +a(g165 +Vsituations. +p1557 +tp1558 +a(g165 +V\u000a +tp1559 +a(g165 +V\u000a +tp1560 +a(g165 +VGenerator expressions are surrounded by parentheses ("()") and list +p1561 +tp1562 +a(g165 +V\u000a +tp1563 +a(g165 +Vcomprehensions are surrounded by square brackets (" +p1564 +tp1565 +a(g165 +V[ +tp1566 +a(g165 +V]"). Generator +p1567 +tp1568 +a(g165 +V\u000a +tp1569 +a(g165 +Vexpressions have the form +p1570 +tp1571 +a(g228 +V:: +p1572 +tp1573 +a(g165 +V\u000a\u000a +p1574 +tp1575 +a(g202 +V +p1576 +tp1577 +a(g202 +V( expression for expr in sequence1 +p1578 +tp1579 +a(g165 +V\u000a +tp1580 +a(g202 +V if condition1\u000a for expr2 in sequence2\u000a if condition2\u000a for expr3 in sequence3 ...\u000a if condition3\u000a for exprN in sequenceN\u000a if conditionN )\u000a\u000a +p1581 +tp1582 +a(g165 +VAgain, for a list comprehension only the outside brackets are +p1583 +tp1584 +a(g165 +V\u000a +tp1585 +a(g165 +Vdifferent (square brackets instead of parentheses). +p1586 +tp1587 +a(g165 +V\u000a +tp1588 +a(g165 +V\u000a +tp1589 +a(g165 +VThe elements of the generated output will be the successive values of +p1590 +tp1591 +a(g165 +V\u000a +tp1592 +a(g202 +V`` +p1593 +tp1594 +a(g202 +Vexpression +p1595 +tp1596 +a(g202 +V`` +p1597 +tp1598 +a(g165 +V. The +p1599 +tp1600 +a(g202 +V`` +p1601 +tp1602 +a(g202 +Vif +p1603 +tp1604 +a(g202 +V`` +p1605 +tp1606 +a(g165 +V clauses are all optional; if present, +p1607 +tp1608 +a(g165 +V\u000a +tp1609 +a(g202 +V`` +p1610 +tp1611 +a(g202 +Vexpression +p1612 +tp1613 +a(g202 +V`` +p1614 +tp1615 +a(g165 +V is only evaluated and added to the result when +p1616 +tp1617 +a(g165 +V\u000a +tp1618 +a(g202 +V`` +p1619 +tp1620 +a(g202 +Vcondition +p1621 +tp1622 +a(g202 +V`` +p1623 +tp1624 +a(g165 +V is true. +p1625 +tp1626 +a(g165 +V\u000a +tp1627 +a(g165 +V\u000a +tp1628 +a(g165 +VGenerator expressions always have to be written inside parentheses, +p1629 +tp1630 +a(g165 +V\u000a +tp1631 +a(g165 +Vbut the parentheses signalling a function call also count. If you +p1632 +tp1633 +a(g165 +V\u000a +tp1634 +a(g165 +Vwant to create an iterator that will be immediately passed to a +p1635 +tp1636 +a(g165 +V\u000a +tp1637 +a(g165 +Vfunction you can write +p1638 +tp1639 +a(g228 +V:: +p1640 +tp1641 +a(g165 +V\u000a\u000a +p1642 +tp1643 +a(g202 +V +p1644 +tp1645 +a(g202 +Vobj_total = sum(obj.count for obj in list_all_objects()) +p1646 +tp1647 +a(g165 +V\u000a +tp1648 +a(g202 +V\u000a +tp1649 +a(g165 +VThe +p1650 +tp1651 +a(g202 +V`` +p1652 +tp1653 +a(g202 +Vfor...in +p1654 +tp1655 +a(g202 +V`` +p1656 +tp1657 +a(g165 +V clauses contain the sequences to be iterated over. +p1658 +tp1659 +a(g165 +V\u000a +tp1660 +a(g165 +VThe sequences do not have to be the same length, because they are +p1661 +tp1662 +a(g165 +V\u000a +tp1663 +a(g165 +Viterated over from left to right, +p1664 +tp1665 +a(g359 +V**not** +p1666 +tp1667 +a(g165 +V in parallel. For each +p1668 +tp1669 +a(g165 +V\u000a +tp1670 +a(g165 +Velement in +p1671 +tp1672 +a(g202 +V`` +p1673 +tp1674 +a(g202 +Vsequence1 +p1675 +tp1676 +a(g202 +V`` +p1677 +tp1678 +a(g165 +V, +p1679 +tp1680 +a(g202 +V`` +p1681 +tp1682 +a(g202 +Vsequence2 +p1683 +tp1684 +a(g202 +V`` +p1685 +tp1686 +a(g165 +V is looped over from the +p1687 +tp1688 +a(g165 +V\u000a +tp1689 +a(g165 +Vbeginning. +p1690 +tp1691 +a(g202 +V`` +p1692 +tp1693 +a(g202 +Vsequence3 +p1694 +tp1695 +a(g202 +V`` +p1696 +tp1697 +a(g165 +V is then looped over for each +p1698 +tp1699 +a(g165 +V\u000a +tp1700 +a(g165 +Vresulting pair of elements from +p1701 +tp1702 +a(g202 +V`` +p1703 +tp1704 +a(g202 +Vsequence1 +p1705 +tp1706 +a(g202 +V`` +p1707 +tp1708 +a(g165 +V and +p1709 +tp1710 +a(g202 +V`` +p1711 +tp1712 +a(g202 +Vsequence2 +p1713 +tp1714 +a(g202 +V`` +p1715 +tp1716 +a(g165 +V. +tp1717 +a(g165 +V\u000a +tp1718 +a(g165 +V\u000a +tp1719 +a(g165 +VTo put it another way, a list comprehension or generator expression is +p1720 +tp1721 +a(g165 +V\u000a +tp1722 +a(g165 +Vequivalent to the following Python code +p1723 +tp1724 +a(g228 +V:: +p1725 +tp1726 +a(g165 +V\u000a\u000a +p1727 +tp1728 +a(g202 +V +p1729 +tp1730 +a(g202 +Vfor expr1 in sequence1: +p1731 +tp1732 +a(g165 +V\u000a +tp1733 +a(g202 +V if not (condition1):\u000a continue # Skip this element\u000a for expr2 in sequence2:\u000a if not (condition2):\u000a continue # Skip this element\u000a ...\u000a for exprN in sequenceN:\u000a if not (conditionN):\u000a continue # Skip this element\u000a\u000a # Output the value of \u000a # the expression.\u000a\u000a +p1734 +tp1735 +a(g165 +VThis means that when there are multiple +p1736 +tp1737 +a(g202 +V`` +p1738 +tp1739 +a(g202 +Vfor...in +p1740 +tp1741 +a(g202 +V`` +p1742 +tp1743 +a(g165 +V clauses but no +p1744 +tp1745 +a(g165 +V\u000a +tp1746 +a(g202 +V`` +p1747 +tp1748 +a(g202 +Vif +p1749 +tp1750 +a(g202 +V`` +p1751 +tp1752 +a(g165 +V clauses, the length of the resulting output will be equal to +p1753 +tp1754 +a(g165 +V\u000a +tp1755 +a(g165 +Vthe product of the lengths of all the sequences. If you have two +p1756 +tp1757 +a(g165 +V\u000a +tp1758 +a(g165 +Vlists of length 3, the output list is 9 elements long +p1759 +tp1760 +a(g228 +V:: +p1761 +tp1762 +a(g165 +V\u000a\u000a +p1763 +tp1764 +a(g202 +V +p1765 +tp1766 +a(g202 +Vseq1 = 'abc' +p1767 +tp1768 +a(g165 +V\u000a +tp1769 +a(g202 +V seq2 = (1,2,3)\u000a >>> [ (x,y) for x in seq1 for y in seq2]\u000a [('a', 1), ('a', 2), ('a', 3), \u000a ('b', 1), ('b', 2), ('b', 3), \u000a ('c', 1), ('c', 2), ('c', 3)]\u000a\u000a +p1770 +tp1771 +a(g165 +VTo avoid introducing an ambiguity into Python's grammar, if +p1772 +tp1773 +a(g165 +V\u000a +tp1774 +a(g202 +V`` +p1775 +tp1776 +a(g202 +Vexpression +p1777 +tp1778 +a(g202 +V`` +p1779 +tp1780 +a(g165 +V is creating a tuple, it must be surrounded with +p1781 +tp1782 +a(g165 +V\u000a +tp1783 +a(g165 +Vparentheses. The first list comprehension below is a syntax error, +p1784 +tp1785 +a(g165 +V\u000a +tp1786 +a(g165 +Vwhile the second one is correct +p1787 +tp1788 +a(g228 +V:: +p1789 +tp1790 +a(g165 +V\u000a\u000a +p1791 +tp1792 +a(g202 +V +p1793 +tp1794 +a(g202 +V# Syntax error +p1795 +tp1796 +a(g165 +V\u000a +tp1797 +a(g202 +V [ x,y for x in seq1 for y in seq2]\u000a # Correct\u000a [ (x,y) for x in seq1 for y in seq2]\u000a\u000a\u000a +p1798 +tp1799 +a(g7 +VGenerators +p1800 +tp1801 +a(g165 +V\u000a +tp1802 +a(g7 +V----------------------- +p1803 +tp1804 +a(g165 +V\u000a +tp1805 +a(g165 +V\u000a +tp1806 +a(g165 +VGenerators are a special class of functions that simplify the task of +p1807 +tp1808 +a(g165 +V\u000a +tp1809 +a(g165 +Vwriting iterators. Regular functions compute a value and return it, +p1810 +tp1811 +a(g165 +V\u000a +tp1812 +a(g165 +Vbut generators return an iterator that returns a stream of values. +p1813 +tp1814 +a(g165 +V\u000a +tp1815 +a(g165 +V\u000a +tp1816 +a(g165 +VYou're doubtless familiar with how regular function calls work in +p1817 +tp1818 +a(g165 +V\u000a +tp1819 +a(g165 +VPython or C. When you call a function, it gets a private namespace +p1820 +tp1821 +a(g165 +V\u000a +tp1822 +a(g165 +Vwhere its local variables are created. When the function reaches a +p1823 +tp1824 +a(g165 +V\u000a +tp1825 +a(g202 +V`` +p1826 +tp1827 +a(g202 +Vreturn +p1828 +tp1829 +a(g202 +V`` +p1830 +tp1831 +a(g165 +V statement, the local variables are destroyed and the +p1832 +tp1833 +a(g165 +V\u000a +tp1834 +a(g165 +Vvalue is returned to the caller. A later call to the same function +p1835 +tp1836 +a(g165 +V\u000a +tp1837 +a(g165 +Vcreates a new private namespace and a fresh set of local +p1838 +tp1839 +a(g165 +V\u000a +tp1840 +a(g165 +Vvariables. But, what if the local variables weren't thrown away on +p1841 +tp1842 +a(g165 +V\u000a +tp1843 +a(g165 +Vexiting a function? What if you could later resume the function where +p1844 +tp1845 +a(g165 +V\u000a +tp1846 +a(g165 +Vit left off? This is what generators provide; they can be thought of +p1847 +tp1848 +a(g165 +V\u000a +tp1849 +a(g165 +Vas resumable functions. +p1850 +tp1851 +a(g165 +V\u000a +tp1852 +a(g165 +V\u000a +tp1853 +a(g165 +VHere's the simplest example of a generator function +p1854 +tp1855 +a(g228 +V:: +p1856 +tp1857 +a(g165 +V\u000a\u000a +p1858 +tp1859 +a(g202 +V +p1860 +tp1861 +a(g202 +Vdef generate_ints(N): +p1862 +tp1863 +a(g165 +V\u000a +tp1864 +a(g202 +V for i in range(N):\u000a yield i\u000a\u000a +p1865 +tp1866 +a(g165 +VAny function containing a +p1867 +tp1868 +a(g202 +V`` +p1869 +tp1870 +a(g202 +Vyield +p1871 +tp1872 +a(g202 +V`` +p1873 +tp1874 +a(g165 +V keyword is a generator function; +p1875 +tp1876 +a(g165 +V\u000a +tp1877 +a(g165 +Vthis is detected by Python's bytecode compiler which compiles the +p1878 +tp1879 +a(g165 +V\u000a +tp1880 +a(g165 +Vfunction specially as a result. +p1881 +tp1882 +a(g165 +V\u000a +tp1883 +a(g165 +V\u000a +tp1884 +a(g165 +VWhen you call a generator function, it doesn't return a single value; +p1885 +tp1886 +a(g165 +V\u000a +tp1887 +a(g165 +Vinstead it returns a generator object that supports the iterator +p1888 +tp1889 +a(g165 +V\u000a +tp1890 +a(g165 +Vprotocol. On executing the +p1891 +tp1892 +a(g202 +V`` +p1893 +tp1894 +a(g202 +Vyield +p1895 +tp1896 +a(g202 +V`` +p1897 +tp1898 +a(g165 +V expression, the generator +p1899 +tp1900 +a(g165 +V\u000a +tp1901 +a(g165 +Voutputs the value of +p1902 +tp1903 +a(g202 +V`` +p1904 +tp1905 +a(g202 +Vi +tp1906 +a(g202 +V`` +p1907 +tp1908 +a(g165 +V, similar to a +p1909 +tp1910 +a(g202 +V`` +p1911 +tp1912 +a(g202 +Vreturn +p1913 +tp1914 +a(g202 +V`` +p1915 +tp1916 +a(g165 +V\u000a +tp1917 +a(g165 +Vstatement. The big difference between +p1918 +tp1919 +a(g202 +V`` +p1920 +tp1921 +a(g202 +Vyield +p1922 +tp1923 +a(g202 +V`` +p1924 +tp1925 +a(g165 +V and a +p1926 +tp1927 +a(g165 +V\u000a +tp1928 +a(g202 +V`` +p1929 +tp1930 +a(g202 +Vreturn +p1931 +tp1932 +a(g202 +V`` +p1933 +tp1934 +a(g165 +V statement is that on reaching a +p1935 +tp1936 +a(g202 +V`` +p1937 +tp1938 +a(g202 +Vyield +p1939 +tp1940 +a(g202 +V`` +p1941 +tp1942 +a(g165 +V the +p1943 +tp1944 +a(g165 +V\u000a +tp1945 +a(g165 +Vgenerator's state of execution is suspended and local variables are +p1946 +tp1947 +a(g165 +V\u000a +tp1948 +a(g165 +Vpreserved. On the next call to the generator's +p1949 +tp1950 +a(g202 +V`` +p1951 +tp1952 +a(g202 +V.next() +p1953 +tp1954 +a(g202 +V`` +p1955 +tp1956 +a(g165 +V method, +p1957 +tp1958 +a(g165 +V\u000a +tp1959 +a(g165 +Vthe function will resume executing. +p1960 +tp1961 +a(g165 +V\u000a +tp1962 +a(g165 +V\u000a +tp1963 +a(g165 +VHere's a sample usage of the +p1964 +tp1965 +a(g202 +V`` +p1966 +tp1967 +a(g202 +Vgenerate_ints() +p1968 +tp1969 +a(g202 +V`` +p1970 +tp1971 +a(g165 +V generator +p1972 +tp1973 +a(g228 +V:: +p1974 +tp1975 +a(g165 +V\u000a\u000a +p1976 +tp1977 +a(g202 +V +p1978 +tp1979 +a(g202 +V>>> gen = generate_ints(3) +p1980 +tp1981 +a(g165 +V\u000a +tp1982 +a(g202 +V >>> gen\u000a \u000a >>> gen.next()\u000a 0\u000a >>> gen.next()\u000a 1\u000a >>> gen.next()\u000a 2\u000a >>> gen.next()\u000a Traceback (most recent call last):\u000a File "stdin", line 1, in ?\u000a File "stdin", line 2, in generate_ints\u000a StopIteration\u000a\u000a +p1983 +tp1984 +a(g165 +VYou could equally write +p1985 +tp1986 +a(g202 +V`` +p1987 +tp1988 +a(g202 +Vfor i in generate_ints(5) +p1989 +tp1990 +a(g202 +V`` +p1991 +tp1992 +a(g165 +V, or +p1993 +tp1994 +a(g165 +V\u000a +tp1995 +a(g202 +V`` +p1996 +tp1997 +a(g202 +Va,b,c = generate_ints(3) +p1998 +tp1999 +a(g202 +V`` +p2000 +tp2001 +a(g165 +V. +tp2002 +a(g165 +V\u000a +tp2003 +a(g165 +V\u000a +tp2004 +a(g165 +VInside a generator function, the +p2005 +tp2006 +a(g202 +V`` +p2007 +tp2008 +a(g202 +Vreturn +p2009 +tp2010 +a(g202 +V`` +p2011 +tp2012 +a(g165 +V statement can only be used +p2013 +tp2014 +a(g165 +V\u000a +tp2015 +a(g165 +Vwithout a value, and signals the end of the procession of values; +p2016 +tp2017 +a(g165 +V\u000a +tp2018 +a(g165 +Vafter executing a +p2019 +tp2020 +a(g202 +V`` +p2021 +tp2022 +a(g202 +Vreturn +p2023 +tp2024 +a(g202 +V`` +p2025 +tp2026 +a(g165 +V the generator cannot return any further +p2027 +tp2028 +a(g165 +V\u000a +tp2029 +a(g165 +Vvalues. +p2030 +tp2031 +a(g202 +V`` +p2032 +tp2033 +a(g202 +Vreturn +p2034 +tp2035 +a(g202 +V`` +p2036 +tp2037 +a(g165 +V with a value, such as +p2038 +tp2039 +a(g202 +V`` +p2040 +tp2041 +a(g202 +Vreturn 5 +p2042 +tp2043 +a(g202 +V`` +p2044 +tp2045 +a(g165 +V, is a syntax +p2046 +tp2047 +a(g165 +V\u000a +tp2048 +a(g165 +Verror inside a generator function. The end of the generator's results +p2049 +tp2050 +a(g165 +V\u000a +tp2051 +a(g165 +Vcan also be indicated by raising +p2052 +tp2053 +a(g202 +V`` +p2054 +tp2055 +a(g202 +VStopIteration +p2056 +tp2057 +a(g202 +V`` +p2058 +tp2059 +a(g165 +V manually, or by +p2060 +tp2061 +a(g165 +V\u000a +tp2062 +a(g165 +Vjust letting the flow of execution fall off the bottom of the +p2063 +tp2064 +a(g165 +V\u000a +tp2065 +a(g165 +Vfunction. +p2066 +tp2067 +a(g165 +V\u000a +tp2068 +a(g165 +V\u000a +tp2069 +a(g165 +VYou could achieve the effect of generators manually by writing your +p2070 +tp2071 +a(g165 +V\u000a +tp2072 +a(g165 +Vown class and storing all the local variables of the generator as +p2073 +tp2074 +a(g165 +V\u000a +tp2075 +a(g165 +Vinstance variables. For example, returning a list of integers could +p2076 +tp2077 +a(g165 +V\u000a +tp2078 +a(g165 +Vbe done by setting +p2079 +tp2080 +a(g202 +V`` +p2081 +tp2082 +a(g202 +Vself.count +p2083 +tp2084 +a(g202 +V`` +p2085 +tp2086 +a(g165 +V to 0, and having the +p2087 +tp2088 +a(g165 +V\u000a +tp2089 +a(g202 +V`` +p2090 +tp2091 +a(g202 +Vnext() +p2092 +tp2093 +a(g202 +V`` +p2094 +tp2095 +a(g165 +V method increment +p2096 +tp2097 +a(g202 +V`` +p2098 +tp2099 +a(g202 +Vself.count +p2100 +tp2101 +a(g202 +V`` +p2102 +tp2103 +a(g165 +V and return it. +p2104 +tp2105 +a(g165 +V\u000a +tp2106 +a(g165 +VHowever, for a moderately complicated generator, writing a +p2107 +tp2108 +a(g165 +V\u000a +tp2109 +a(g165 +Vcorresponding class can be much messier. +p2110 +tp2111 +a(g165 +V\u000a +tp2112 +a(g165 +V\u000a +tp2113 +a(g165 +VThe test suite included with Python's library, +p2114 +tp2115 +a(g202 +V`` +p2116 +tp2117 +a(g202 +Vtest_generators.py +p2118 +tp2119 +a(g202 +V`` +p2120 +tp2121 +a(g165 +V, +tp2122 +a(g165 +V\u000a +tp2123 +a(g165 +Vcontains a number of more interesting examples. Here's one generator +p2124 +tp2125 +a(g165 +V\u000a +tp2126 +a(g165 +Vthat implements an in-order traversal of a tree using generators +p2127 +tp2128 +a(g165 +V\u000a +tp2129 +a(g165 +Vrecursively. +p2130 +tp2131 +a(g165 +V\u000a +tp2132 +a(g165 +V\u000a +tp2133 +a(g228 +V:: +p2134 +tp2135 +a(g165 +V\u000a\u000a +p2136 +tp2137 +a(g202 +V +p2138 +tp2139 +a(g202 +V# A recursive generator that generates Tree leaves in in-order. +p2140 +tp2141 +a(g165 +V\u000a +tp2142 +a(g202 +V def inorder(t):\u000a if t:\u000a for x in inorder(t.left):\u000a yield x\u000a\u000a yield t.label\u000a\u000a for x in inorder(t.right):\u000a yield x\u000a\u000a +p2143 +tp2144 +a(g165 +VTwo other examples in +p2145 +tp2146 +a(g202 +V`` +p2147 +tp2148 +a(g202 +Vtest_generators.py +p2149 +tp2150 +a(g202 +V`` +p2151 +tp2152 +a(g165 +V produce +p2153 +tp2154 +a(g165 +V\u000a +tp2155 +a(g165 +Vsolutions for the N-Queens problem (placing N queens on an NxN +p2156 +tp2157 +a(g165 +V\u000a +tp2158 +a(g165 +Vchess board so that no queen threatens another) and the Knight's Tour +p2159 +tp2160 +a(g165 +V\u000a +tp2161 +a(g165 +V(finding a route that takes a knight to every square of an NxN chessboard +p2162 +tp2163 +a(g165 +V\u000a +tp2164 +a(g165 +Vwithout visiting any square twice). +p2165 +tp2166 +a(g165 +V\u000a +tp2167 +a(g165 +V\u000a +tp2168 +a(g165 +V\u000a +tp2169 +a(g165 +V\u000a +tp2170 +a(g7 +VPassing values into a generator +p2171 +tp2172 +a(g165 +V\u000a +tp2173 +a(g7 +V'''''''''''''''''''''''''''''''''''''''''''''' +p2174 +tp2175 +a(g165 +V\u000a +tp2176 +a(g165 +V\u000a +tp2177 +a(g165 +VIn Python 2.4 and earlier, generators only produced output. Once a +p2178 +tp2179 +a(g165 +V\u000a +tp2180 +a(g165 +Vgenerator's code was invoked to create an iterator, there was no way to +p2181 +tp2182 +a(g165 +V\u000a +tp2183 +a(g165 +Vpass any new information into the function when its execution is +p2184 +tp2185 +a(g165 +V\u000a +tp2186 +a(g165 +Vresumed. You could hack together this ability by making the +p2187 +tp2188 +a(g165 +V\u000a +tp2189 +a(g165 +Vgenerator look at a global variable or by passing in some mutable object +p2190 +tp2191 +a(g165 +V\u000a +tp2192 +a(g165 +Vthat callers then modify, but these approaches are messy. +p2193 +tp2194 +a(g165 +V\u000a +tp2195 +a(g165 +V\u000a +tp2196 +a(g165 +VIn Python 2.5 there's a simple way to pass values into a generator. +p2197 +tp2198 +a(g165 +V\u000a +tp2199 +a(g202 +V`` +p2200 +tp2201 +a(g202 +Vyield +p2202 +tp2203 +a(g202 +V`` +p2204 +tp2205 +a(g165 +V became an expression, returning a value that can be assigned +p2206 +tp2207 +a(g165 +V\u000a +tp2208 +a(g165 +Vto a variable or otherwise operated on +p2209 +tp2210 +a(g228 +V:: +p2211 +tp2212 +a(g165 +V\u000a\u000a +p2213 +tp2214 +a(g202 +V +p2215 +tp2216 +a(g202 +Vval = (yield i) +p2217 +tp2218 +a(g165 +V\u000a +tp2219 +a(g202 +V\u000a +tp2220 +a(g165 +VI recommend that you +p2221 +tp2222 +a(g359 +V**always** +p2223 +tp2224 +a(g165 +V put parentheses around a +p2225 +tp2226 +a(g202 +V`` +p2227 +tp2228 +a(g202 +Vyield +p2229 +tp2230 +a(g202 +V`` +p2231 +tp2232 +a(g165 +V\u000a +tp2233 +a(g165 +Vexpression when you're doing something with the returned value, as in +p2234 +tp2235 +a(g165 +V\u000a +tp2236 +a(g165 +Vthe above example. The parentheses aren't always necessary, but it's +p2237 +tp2238 +a(g165 +V\u000a +tp2239 +a(g165 +Veasier to always add them instead of having to remember when they're +p2240 +tp2241 +a(g165 +V\u000a +tp2242 +a(g165 +Vneeded. +p2243 +tp2244 +a(g165 +V\u000a +tp2245 +a(g165 +V\u000a +tp2246 +a(g165 +V(PEP 342 explains the exact rules, which are that a +p2247 +tp2248 +a(g165 +V\u000a +tp2249 +a(g202 +V`` +p2250 +tp2251 +a(g202 +Vyield +p2252 +tp2253 +a(g202 +V`` +p2254 +tp2255 +a(g165 +V-expression must always be parenthesized except when it +p2256 +tp2257 +a(g165 +V\u000a +tp2258 +a(g165 +Voccurs at the top-level expression on the right-hand side of an +p2259 +tp2260 +a(g165 +V\u000a +tp2261 +a(g165 +Vassignment. This means you can write +p2262 +tp2263 +a(g202 +V`` +p2264 +tp2265 +a(g202 +Vval = yield i +p2266 +tp2267 +a(g202 +V`` +p2268 +tp2269 +a(g165 +V but have to +p2270 +tp2271 +a(g165 +V\u000a +tp2272 +a(g165 +Vuse parentheses when there's an operation, as in +p2273 +tp2274 +a(g202 +V`` +p2275 +tp2276 +a(g202 +Vval = (yield i)\u000a+ 12 +p2277 +tp2278 +a(g202 +V`` +p2279 +tp2280 +a(g165 +V.) +p2281 +tp2282 +a(g165 +V\u000a +tp2283 +a(g165 +V\u000a +tp2284 +a(g165 +VValues are sent into a generator by calling its +p2285 +tp2286 +a(g165 +V\u000a +tp2287 +a(g202 +V`` +p2288 +tp2289 +a(g202 +Vsend(value) +p2290 +tp2291 +a(g202 +V`` +p2292 +tp2293 +a(g165 +V method. This method resumes the +p2294 +tp2295 +a(g165 +V\u000a +tp2296 +a(g165 +Vgenerator's code and the +p2297 +tp2298 +a(g202 +V`` +p2299 +tp2300 +a(g202 +Vyield +p2301 +tp2302 +a(g202 +V`` +p2303 +tp2304 +a(g165 +V expression returns the specified +p2305 +tp2306 +a(g165 +V\u000a +tp2307 +a(g165 +Vvalue. If the regular +p2308 +tp2309 +a(g202 +V`` +p2310 +tp2311 +a(g202 +Vnext() +p2312 +tp2313 +a(g202 +V`` +p2314 +tp2315 +a(g165 +V method is called, the +p2316 +tp2317 +a(g165 +V\u000a +tp2318 +a(g202 +V`` +p2319 +tp2320 +a(g202 +Vyield +p2321 +tp2322 +a(g202 +V`` +p2323 +tp2324 +a(g165 +V returns +p2325 +tp2326 +a(g202 +V`` +p2327 +tp2328 +a(g202 +VNone +p2329 +tp2330 +a(g202 +V`` +p2331 +tp2332 +a(g165 +V. +tp2333 +a(g165 +V\u000a +tp2334 +a(g165 +V\u000a +tp2335 +a(g165 +VHere's a simple counter that increments by 1 and allows changing the +p2336 +tp2337 +a(g165 +V\u000a +tp2338 +a(g165 +Vvalue of the internal counter. +p2339 +tp2340 +a(g165 +V\u000a +tp2341 +a(g165 +V\u000a +tp2342 +a(g228 +V:: +p2343 +tp2344 +a(g165 +V\u000a\u000a +p2345 +tp2346 +a(g202 +V +p2347 +tp2348 +a(g202 +Vdef counter (maximum): +p2349 +tp2350 +a(g165 +V\u000a +tp2351 +a(g202 +V i = 0\u000a while i < maximum:\u000a val = (yield i)\u000a # If value provided, change counter\u000a if val is not None:\u000a i = val\u000a else:\u000a i += 1\u000a\u000a +p2352 +tp2353 +a(g165 +VAnd here's an example of changing the counter +p2354 +tp2355 +a(g165 +V: +tp2356 +a(g165 +V\u000a +tp2357 +a(g165 +V\u000a +tp2358 +a(g165 +V >>> it = counter(10) +p2359 +tp2360 +a(g165 +V\u000a +tp2361 +a(g165 +V >>> print it.next() +p2362 +tp2363 +a(g165 +V\u000a +tp2364 +a(g165 +V 0 +p2365 +tp2366 +a(g165 +V\u000a +tp2367 +a(g165 +V >>> print it.next() +p2368 +tp2369 +a(g165 +V\u000a +tp2370 +a(g165 +V 1 +p2371 +tp2372 +a(g165 +V\u000a +tp2373 +a(g165 +V >>> print it.send(8) +p2374 +tp2375 +a(g165 +V\u000a +tp2376 +a(g165 +V 8 +p2377 +tp2378 +a(g165 +V\u000a +tp2379 +a(g165 +V >>> print it.next() +p2380 +tp2381 +a(g165 +V\u000a +tp2382 +a(g165 +V 9 +p2383 +tp2384 +a(g165 +V\u000a +tp2385 +a(g165 +V >>> print it.next() +p2386 +tp2387 +a(g165 +V\u000a +tp2388 +a(g165 +V Traceback (most recent call last) +p2389 +tp2390 +a(g165 +V: +tp2391 +a(g165 +V\u000a +tp2392 +a(g165 +V File +p2393 +tp2394 +a(g202 +V`` +p2395 +tp2396 +a(g202 +Vt.py'', line 15, in ?\u000a print it.next()\u000a StopIteration\u000a +p2397 +tp2398 +a(g165 +V\u000a +tp2399 +a(g165 +VBecause +p2400 +tp2401 +a(g202 +V`` +p2402 +tp2403 +a(g202 +Vyield +p2404 +tp2405 +a(g202 +V`` +p2406 +tp2407 +a(g165 +V will often be returning +p2408 +tp2409 +a(g202 +V`` +p2410 +tp2411 +a(g202 +VNone +p2412 +tp2413 +a(g202 +V`` +p2414 +tp2415 +a(g165 +V, you +p2416 +tp2417 +a(g165 +V\u000a +tp2418 +a(g165 +Vshould always check for this case. Don't just use its value in +p2419 +tp2420 +a(g165 +V\u000a +tp2421 +a(g165 +Vexpressions unless you're sure that the +p2422 +tp2423 +a(g202 +V`` +p2424 +tp2425 +a(g202 +Vsend() +p2426 +tp2427 +a(g202 +V`` +p2428 +tp2429 +a(g165 +V method +p2430 +tp2431 +a(g165 +V\u000a +tp2432 +a(g165 +Vwill be the only method used resume your generator function. +p2433 +tp2434 +a(g165 +V\u000a +tp2435 +a(g165 +V\u000a +tp2436 +a(g165 +VIn addition to +p2437 +tp2438 +a(g202 +V`` +p2439 +tp2440 +a(g202 +Vsend() +p2441 +tp2442 +a(g202 +V`` +p2443 +tp2444 +a(g165 +V, there are two other new methods on +p2445 +tp2446 +a(g165 +V\u000a +tp2447 +a(g165 +Vgenerators +p2448 +tp2449 +a(g165 +V: +tp2450 +a(g165 +V\u000a +tp2451 +a(g165 +V\u000a +tp2452 +a(g189 +V* +tp2453 +a(g165 +V +tp2454 +a(g202 +V`` +p2455 +tp2456 +a(g202 +Vthrow(type, value=None, traceback=None) +p2457 +tp2458 +a(g202 +V`` +p2459 +tp2460 +a(g165 +V is used to raise an exception inside the +p2461 +tp2462 +a(g165 +V\u000a +tp2463 +a(g165 +V generator; the exception is raised by the +p2464 +tp2465 +a(g202 +V`` +p2466 +tp2467 +a(g202 +Vyield +p2468 +tp2469 +a(g202 +V`` +p2470 +tp2471 +a(g165 +V expression +p2472 +tp2473 +a(g165 +V\u000a +tp2474 +a(g165 +V where the generator's execution is paused. +p2475 +tp2476 +a(g165 +V\u000a +tp2477 +a(g165 +V\u000a +tp2478 +a(g189 +V* +tp2479 +a(g165 +V +tp2480 +a(g202 +V`` +p2481 +tp2482 +a(g202 +Vclose() +p2483 +tp2484 +a(g202 +V`` +p2485 +tp2486 +a(g165 +V raises a +p2487 +tp2488 +a(g202 +V`` +p2489 +tp2490 +a(g202 +VGeneratorExit +p2491 +tp2492 +a(g202 +V`` +p2493 +tp2494 +a(g165 +V\u000a +tp2495 +a(g165 +V exception inside the generator to terminate the iteration. +p2496 +tp2497 +a(g165 +V\u000a +tp2498 +a(g165 +V On receiving this +p2499 +tp2500 +a(g165 +V\u000a +tp2501 +a(g165 +V exception, the generator's code must either raise +p2502 +tp2503 +a(g165 +V\u000a +tp2504 +a(g165 +V +p2505 +tp2506 +a(g202 +V`` +p2507 +tp2508 +a(g202 +VGeneratorExit +p2509 +tp2510 +a(g202 +V`` +p2511 +tp2512 +a(g165 +V or +p2513 +tp2514 +a(g202 +V`` +p2515 +tp2516 +a(g202 +VStopIteration +p2517 +tp2518 +a(g202 +V`` +p2519 +tp2520 +a(g165 +V; catching the +p2521 +tp2522 +a(g165 +V\u000a +tp2523 +a(g165 +V exception and doing anything else is illegal and will trigger +p2524 +tp2525 +a(g165 +V\u000a +tp2526 +a(g165 +V a +p2527 +tp2528 +a(g202 +V`` +p2529 +tp2530 +a(g202 +VRuntimeError +p2531 +tp2532 +a(g202 +V`` +p2533 +tp2534 +a(g165 +V. +p2535 +tp2536 +a(g202 +V`` +p2537 +tp2538 +a(g202 +Vclose() +p2539 +tp2540 +a(g202 +V`` +p2541 +tp2542 +a(g165 +V will also be called by +p2543 +tp2544 +a(g165 +V\u000a +tp2545 +a(g165 +V Python's garbage collector when the generator is garbage-collected. +p2546 +tp2547 +a(g165 +V\u000a +tp2548 +a(g165 +V\u000a +tp2549 +a(g165 +V If you need to run cleanup code when a +p2550 +tp2551 +a(g202 +V`` +p2552 +tp2553 +a(g202 +VGeneratorExit +p2554 +tp2555 +a(g202 +V`` +p2556 +tp2557 +a(g165 +V occurs, +p2558 +tp2559 +a(g165 +V\u000a +tp2560 +a(g165 +V I suggest using a +p2561 +tp2562 +a(g202 +V`` +p2563 +tp2564 +a(g202 +Vtry: ... finally: +p2565 +tp2566 +a(g202 +V`` +p2567 +tp2568 +a(g165 +V suite instead of +p2569 +tp2570 +a(g165 +V\u000a +tp2571 +a(g165 +V catching +p2572 +tp2573 +a(g202 +V`` +p2574 +tp2575 +a(g202 +VGeneratorExit +p2576 +tp2577 +a(g202 +V`` +p2578 +tp2579 +a(g165 +V. +tp2580 +a(g165 +V\u000a +tp2581 +a(g165 +V\u000a +tp2582 +a(g165 +VThe cumulative effect of these changes is to turn generators from +p2583 +tp2584 +a(g165 +V\u000a +tp2585 +a(g165 +Vone-way producers of information into both producers and consumers. +p2586 +tp2587 +a(g165 +V\u000a +tp2588 +a(g165 +V\u000a +tp2589 +a(g165 +VGenerators also become +p2590 +tp2591 +a(g359 +V**coroutines** +p2592 +tp2593 +a(g165 +V, a more generalized form of +p2594 +tp2595 +a(g165 +V\u000a +tp2596 +a(g165 +Vsubroutines. Subroutines are entered at one point and exited at +p2597 +tp2598 +a(g165 +V\u000a +tp2599 +a(g165 +Vanother point (the top of the function, and a +p2600 +tp2601 +a(g202 +V`` +p2602 +tp2603 +a(g202 +Vreturn +p2604 +tp2605 +a(g202 +V`` +p2606 +tp2607 +a(g165 +V\u000a +tp2608 +a(g165 +Vstatement), but coroutines can be entered, exited, and resumed at +p2609 +tp2610 +a(g165 +V\u000a +tp2611 +a(g165 +Vmany different points (the +p2612 +tp2613 +a(g202 +V`` +p2614 +tp2615 +a(g202 +Vyield +p2616 +tp2617 +a(g202 +V`` +p2618 +tp2619 +a(g165 +V statements). +p2620 +tp2621 +a(g165 +V\u000a +tp2622 +a(g165 +V\u000a +tp2623 +a(g165 +V\u000a +tp2624 +a(g7 +VBuilt-in functions +p2625 +tp2626 +a(g165 +V\u000a +tp2627 +a(g7 +V---------------------------------------------- +p2628 +tp2629 +a(g165 +V\u000a +tp2630 +a(g165 +V\u000a +tp2631 +a(g165 +VLet's look in more detail at built-in functions often used with iterators. +p2632 +tp2633 +a(g165 +V\u000a +tp2634 +a(g165 +V\u000a +tp2635 +a(g165 +VTwo Python's built-in functions, +p2636 +tp2637 +a(g202 +V`` +p2638 +tp2639 +a(g202 +Vmap() +p2640 +tp2641 +a(g202 +V`` +p2642 +tp2643 +a(g165 +V and +p2644 +tp2645 +a(g202 +V`` +p2646 +tp2647 +a(g202 +Vfilter() +p2648 +tp2649 +a(g202 +V`` +p2650 +tp2651 +a(g165 +V, are +p2652 +tp2653 +a(g165 +V\u000a +tp2654 +a(g165 +Vsomewhat obsolete; they duplicate the features of list comprehensions +p2655 +tp2656 +a(g165 +V\u000a +tp2657 +a(g165 +Vbut return actual lists instead of iterators. +p2658 +tp2659 +a(g165 +V\u000a +tp2660 +a(g165 +V\u000a +tp2661 +a(g202 +V`` +p2662 +tp2663 +a(g202 +Vmap(f, iterA, iterB, ...) +p2664 +tp2665 +a(g202 +V`` +p2666 +tp2667 +a(g165 +V returns a list containing +p2668 +tp2669 +a(g202 +V`` +p2670 +tp2671 +a(g202 +Vf(iterA[0],\u000aiterB[0]), f(iterA[1], iterB[1]), f(iterA[2], iterB[2]), ... +p2672 +tp2673 +a(g202 +V`` +p2674 +tp2675 +a(g165 +V. +p2676 +tp2677 +a(g165 +V\u000a +tp2678 +a(g165 +V\u000a +tp2679 +a(g228 +V:: +p2680 +tp2681 +a(g165 +V\u000a\u000a +p2682 +tp2683 +a(g202 +V +p2684 +tp2685 +a(g202 +Vdef upper(s): +p2686 +tp2687 +a(g165 +V\u000a +tp2688 +a(g202 +V return s.upper()\u000a map(upper, ['sentence', 'fragment']) =>\u000a ['SENTENCE', 'FRAGMENT']\u000a\u000a [upper(s) for s in ['sentence', 'fragment']] =>\u000a ['SENTENCE', 'FRAGMENT']\u000a\u000a +p2689 +tp2690 +a(g165 +VAs shown above, you can achieve the same effect with a list +p2691 +tp2692 +a(g165 +V\u000a +tp2693 +a(g165 +Vcomprehension. The +p2694 +tp2695 +a(g202 +V`` +p2696 +tp2697 +a(g202 +Vitertools.imap() +p2698 +tp2699 +a(g202 +V`` +p2700 +tp2701 +a(g165 +V function does the same thing +p2702 +tp2703 +a(g165 +V\u000a +tp2704 +a(g165 +Vbut can handle infinite iterators; it'll be discussed later, in the section on +p2705 +tp2706 +a(g165 +V\u000a +tp2707 +a(g165 +Vthe +p2708 +tp2709 +a(g202 +V`` +p2710 +tp2711 +a(g202 +Vitertools +p2712 +tp2713 +a(g202 +V`` +p2714 +tp2715 +a(g165 +V module. +p2716 +tp2717 +a(g165 +V\u000a +tp2718 +a(g165 +V\u000a +tp2719 +a(g202 +V`` +p2720 +tp2721 +a(g202 +Vfilter(predicate, iter) +p2722 +tp2723 +a(g202 +V`` +p2724 +tp2725 +a(g165 +V returns a list +p2726 +tp2727 +a(g165 +V\u000a +tp2728 +a(g165 +Vthat contains all the sequence elements that meet a certain condition, +p2729 +tp2730 +a(g165 +V\u000a +tp2731 +a(g165 +Vand is similarly duplicated by list comprehensions. +p2732 +tp2733 +a(g165 +V\u000a +tp2734 +a(g165 +VA +p2735 +tp2736 +a(g359 +V**predicate** +p2737 +tp2738 +a(g165 +V is a function that returns the truth value of +p2739 +tp2740 +a(g165 +V\u000a +tp2741 +a(g165 +Vsome condition; for use with +p2742 +tp2743 +a(g202 +V`` +p2744 +tp2745 +a(g202 +Vfilter() +p2746 +tp2747 +a(g202 +V`` +p2748 +tp2749 +a(g165 +V, the predicate must take a +p2750 +tp2751 +a(g165 +V\u000a +tp2752 +a(g165 +Vsingle value. +p2753 +tp2754 +a(g165 +V\u000a +tp2755 +a(g165 +V\u000a +tp2756 +a(g228 +V:: +p2757 +tp2758 +a(g165 +V\u000a\u000a +p2759 +tp2760 +a(g202 +V +p2761 +tp2762 +a(g202 +Vdef is_even(x): +p2763 +tp2764 +a(g165 +V\u000a +tp2765 +a(g202 +V return (x % 2) == 0\u000a\u000a filter(is_even, range(10)) =>\u000a [0, 2, 4, 6, 8]\u000a\u000a +p2766 +tp2767 +a(g165 +VThis can also be written as a list comprehension +p2768 +tp2769 +a(g228 +V:: +p2770 +tp2771 +a(g165 +V\u000a\u000a +p2772 +tp2773 +a(g202 +V +p2774 +tp2775 +a(g202 +V>>> [x for x in range(10) if is_even(x)] +p2776 +tp2777 +a(g165 +V\u000a +tp2778 +a(g202 +V [0, 2, 4, 6, 8]\u000a\u000a +p2779 +tp2780 +a(g202 +V`` +p2781 +tp2782 +a(g202 +Vfilter() +p2783 +tp2784 +a(g202 +V`` +p2785 +tp2786 +a(g165 +V also has a counterpart in the +p2787 +tp2788 +a(g202 +V`` +p2789 +tp2790 +a(g202 +Vitertools +p2791 +tp2792 +a(g202 +V`` +p2793 +tp2794 +a(g165 +V module, +p2795 +tp2796 +a(g165 +V\u000a +tp2797 +a(g202 +V`` +p2798 +tp2799 +a(g202 +Vitertools.ifilter() +p2800 +tp2801 +a(g202 +V`` +p2802 +tp2803 +a(g165 +V, that returns an iterator and +p2804 +tp2805 +a(g165 +V\u000a +tp2806 +a(g165 +Vcan therefore handle infinite sequences just as +p2807 +tp2808 +a(g202 +V`` +p2809 +tp2810 +a(g202 +Vitertools.imap() +p2811 +tp2812 +a(g202 +V`` +p2813 +tp2814 +a(g165 +V can. +p2815 +tp2816 +a(g165 +V\u000a +tp2817 +a(g165 +V\u000a +tp2818 +a(g202 +V`` +p2819 +tp2820 +a(g202 +Vreduce(func, iter, [initial_value]) +p2821 +tp2822 +a(g202 +V`` +p2823 +tp2824 +a(g165 +V doesn't have a counterpart in +p2825 +tp2826 +a(g165 +V\u000a +tp2827 +a(g165 +Vthe +p2828 +tp2829 +a(g202 +V`` +p2830 +tp2831 +a(g202 +Vitertools +p2832 +tp2833 +a(g202 +V`` +p2834 +tp2835 +a(g165 +V module because it cumulatively performs an operation +p2836 +tp2837 +a(g165 +V\u000a +tp2838 +a(g165 +Von all the iterable's elements and therefore can't be applied to +p2839 +tp2840 +a(g165 +V\u000a +tp2841 +a(g165 +Vinfinite iterables. +p2842 +tp2843 +a(g202 +V`` +p2844 +tp2845 +a(g202 +Vfunc +p2846 +tp2847 +a(g202 +V`` +p2848 +tp2849 +a(g165 +V must be a function that takes two elements +p2850 +tp2851 +a(g165 +V\u000a +tp2852 +a(g165 +Vand returns a single value. +p2853 +tp2854 +a(g202 +V`` +p2855 +tp2856 +a(g202 +Vreduce() +p2857 +tp2858 +a(g202 +V`` +p2859 +tp2860 +a(g165 +V takes the first two elements +p2861 +tp2862 +a(g165 +V\u000a +tp2863 +a(g165 +VA and B returned by the iterator and calculates +p2864 +tp2865 +a(g202 +V`` +p2866 +tp2867 +a(g202 +Vfunc(A, B) +p2868 +tp2869 +a(g202 +V`` +p2870 +tp2871 +a(g165 +V. It +p2872 +tp2873 +a(g165 +V\u000a +tp2874 +a(g165 +Vthen requests the third element, C, calculates +p2875 +tp2876 +a(g202 +V`` +p2877 +tp2878 +a(g202 +Vfunc(func(A, B),\u000aC) +p2879 +tp2880 +a(g202 +V`` +p2881 +tp2882 +a(g165 +V, combines this result with the fourth element returned, and +p2883 +tp2884 +a(g165 +V\u000a +tp2885 +a(g165 +Vcontinues until the iterable is exhausted. If the iterable returns no +p2886 +tp2887 +a(g165 +V\u000a +tp2888 +a(g165 +Vvalues at all, a +p2889 +tp2890 +a(g202 +V`` +p2891 +tp2892 +a(g202 +VTypeError +p2893 +tp2894 +a(g202 +V`` +p2895 +tp2896 +a(g165 +V exception is raised. If the initial +p2897 +tp2898 +a(g165 +V\u000a +tp2899 +a(g165 +Vvalue is supplied, it's used as a starting point and +p2900 +tp2901 +a(g165 +V\u000a +tp2902 +a(g202 +V`` +p2903 +tp2904 +a(g202 +Vfunc(initial_value, A) +p2905 +tp2906 +a(g202 +V`` +p2907 +tp2908 +a(g165 +V is the first calculation. +p2909 +tp2910 +a(g165 +V\u000a +tp2911 +a(g165 +V\u000a +tp2912 +a(g228 +V:: +p2913 +tp2914 +a(g165 +V\u000a\u000a +p2915 +tp2916 +a(g202 +V +p2917 +tp2918 +a(g202 +Vimport operator +p2919 +tp2920 +a(g165 +V\u000a +tp2921 +a(g202 +V reduce(operator.concat, ['A', 'BB', 'C']) =>\u000a 'ABBC'\u000a reduce(operator.concat, []) =>\u000a TypeError: reduce() of empty sequence with no initial value\u000a reduce(operator.mul, [1,2,3], 1) =>\u000a 6\u000a reduce(operator.mul, [], 1) =>\u000a 1\u000a\u000a +p2922 +tp2923 +a(g165 +VIf you use +p2924 +tp2925 +a(g202 +V`` +p2926 +tp2927 +a(g202 +Voperator.add +p2928 +tp2929 +a(g202 +V`` +p2930 +tp2931 +a(g165 +V with +p2932 +tp2933 +a(g202 +V`` +p2934 +tp2935 +a(g202 +Vreduce() +p2936 +tp2937 +a(g202 +V`` +p2938 +tp2939 +a(g165 +V, you'll add up all the +p2940 +tp2941 +a(g165 +V\u000a +tp2942 +a(g165 +Velements of the iterable. This case is so common that there's a special +p2943 +tp2944 +a(g165 +V\u000a +tp2945 +a(g165 +Vbuilt-in called +p2946 +tp2947 +a(g202 +V`` +p2948 +tp2949 +a(g202 +Vsum() +p2950 +tp2951 +a(g202 +V`` +p2952 +tp2953 +a(g165 +V to compute it +p2954 +tp2955 +a(g228 +V:: +p2956 +tp2957 +a(g165 +V\u000a\u000a +p2958 +tp2959 +a(g202 +V +p2960 +tp2961 +a(g202 +Vreduce(operator.add, [1,2,3,4], 0) => +p2962 +tp2963 +a(g165 +V\u000a +tp2964 +a(g202 +V 10\u000a sum([1,2,3,4]) =>\u000a 10\u000a sum([]) =>\u000a 0\u000a\u000a +p2965 +tp2966 +a(g165 +VFor many uses of +p2967 +tp2968 +a(g202 +V`` +p2969 +tp2970 +a(g202 +Vreduce() +p2971 +tp2972 +a(g202 +V`` +p2973 +tp2974 +a(g165 +V, though, it can be clearer to just write +p2975 +tp2976 +a(g165 +V\u000a +tp2977 +a(g165 +Vthe obvious +p2978 +tp2979 +a(g202 +V`` +p2980 +tp2981 +a(g202 +Vfor +p2982 +tp2983 +a(g202 +V`` +p2984 +tp2985 +a(g165 +V loop +p2986 +tp2987 +a(g228 +V:: +p2988 +tp2989 +a(g165 +V\u000a\u000a +p2990 +tp2991 +a(g202 +V +p2992 +tp2993 +a(g202 +V# Instead of: +p2994 +tp2995 +a(g165 +V\u000a +tp2996 +a(g202 +V product = reduce(operator.mul, [1,2,3], 1)\u000a\u000a # You can write:\u000a product = 1\u000a for i in [1,2,3]:\u000a product *= i\u000a\u000a\u000a +p2997 +tp2998 +a(g202 +V`` +p2999 +tp3000 +a(g202 +Venumerate(iter) +p3001 +tp3002 +a(g202 +V`` +p3003 +tp3004 +a(g165 +V counts off the elements in the iterable, returning +p3005 +tp3006 +a(g165 +V\u000a +tp3007 +a(g165 +V2-tuples containing the count and each element. +p3008 +tp3009 +a(g165 +V\u000a +tp3010 +a(g165 +V\u000a +tp3011 +a(g228 +V:: +p3012 +tp3013 +a(g165 +V\u000a\u000a +p3014 +tp3015 +a(g202 +V +p3016 +tp3017 +a(g202 +Venumerate(['subject', 'verb', 'object']) => +p3018 +tp3019 +a(g165 +V\u000a +tp3020 +a(g202 +V (0, 'subject'), (1, 'verb'), (2, 'object')\u000a\u000a +p3021 +tp3022 +a(g202 +V`` +p3023 +tp3024 +a(g202 +Venumerate() +p3025 +tp3026 +a(g202 +V`` +p3027 +tp3028 +a(g165 +V is often used when looping through a list +p3029 +tp3030 +a(g165 +V\u000a +tp3031 +a(g165 +Vand recording the indexes at which certain conditions are met +p3032 +tp3033 +a(g228 +V:: +p3034 +tp3035 +a(g165 +V\u000a\u000a +p3036 +tp3037 +a(g202 +V +p3038 +tp3039 +a(g202 +Vf = open('data.txt', 'r') +p3040 +tp3041 +a(g165 +V\u000a +tp3042 +a(g202 +V for i, line in enumerate(f):\u000a if line.strip() == '':\u000a print 'Blank line at line #%i' % i\u000a\u000a +p3043 +tp3044 +a(g202 +V`` +p3045 +tp3046 +a(g202 +Vsorted(iterable, [cmp=None], [key=None], [reverse=False) +p3047 +tp3048 +a(g202 +V`` +p3049 +tp3050 +a(g165 +V +tp3051 +a(g165 +V\u000a +tp3052 +a(g165 +Vcollects all the elements of the iterable into a list, sorts +p3053 +tp3054 +a(g165 +V\u000a +tp3055 +a(g165 +Vthe list, and returns the sorted result. The +p3056 +tp3057 +a(g202 +V`` +p3058 +tp3059 +a(g202 +Vcmp +p3060 +tp3061 +a(g202 +V`` +p3062 +tp3063 +a(g165 +V, +p3064 +tp3065 +a(g202 +V`` +p3066 +tp3067 +a(g202 +Vkey +p3068 +tp3069 +a(g202 +V`` +p3070 +tp3071 +a(g165 +V, +p3072 +tp3073 +a(g165 +V\u000a +tp3074 +a(g165 +Vand +p3075 +tp3076 +a(g202 +V`` +p3077 +tp3078 +a(g202 +Vreverse +p3079 +tp3080 +a(g202 +V`` +p3081 +tp3082 +a(g165 +V arguments are passed through to the +p3083 +tp3084 +a(g165 +V\u000a +tp3085 +a(g165 +Vconstructed list's +p3086 +tp3087 +a(g202 +V`` +p3088 +tp3089 +a(g202 +V.sort() +p3090 +tp3091 +a(g202 +V`` +p3092 +tp3093 +a(g165 +V method. +p3094 +tp3095 +a(g165 +V\u000a +tp3096 +a(g165 +V\u000a +tp3097 +a(g228 +V:: +p3098 +tp3099 +a(g165 +V\u000a\u000a +p3100 +tp3101 +a(g202 +V +p3102 +tp3103 +a(g202 +Vimport random +p3104 +tp3105 +a(g165 +V\u000a +tp3106 +a(g202 +V # Generate 8 random numbers between [0, 10000)\u000a rand_list = random.sample(range(10000), 8)\u000a rand_list =>\u000a [769, 7953, 9828, 6431, 8442, 9878, 6213, 2207]\u000a sorted(rand_list) =>\u000a [769, 2207, 6213, 6431, 7953, 8442, 9828, 9878]\u000a sorted(rand_list, reverse=True) =>\u000a [9878, 9828, 8442, 7953, 6431, 6213, 2207, 769]\u000a\u000a +p3107 +tp3108 +a(g165 +V(For a more detailed discussion of sorting, see the Sorting mini-HOWTO +p3109 +tp3110 +a(g165 +V\u000a +tp3111 +a(g165 +Vin the Python wiki at http +p3112 +tp3113 +a(g165 +V: +tp3114 +a(g165 +V//wiki.python.org/moin/HowTo/Sorting.) +p3115 +tp3116 +a(g165 +V\u000a +tp3117 +a(g165 +V\u000a +tp3118 +a(g165 +VThe +p3119 +tp3120 +a(g202 +V`` +p3121 +tp3122 +a(g202 +Vany(iter) +p3123 +tp3124 +a(g202 +V`` +p3125 +tp3126 +a(g165 +V and +p3127 +tp3128 +a(g202 +V`` +p3129 +tp3130 +a(g202 +Vall(iter) +p3131 +tp3132 +a(g202 +V`` +p3133 +tp3134 +a(g165 +V built-ins look at +p3135 +tp3136 +a(g165 +V\u000a +tp3137 +a(g165 +Vthe truth values of an iterable's contents. +p3138 +tp3139 +a(g202 +V`` +p3140 +tp3141 +a(g202 +Vany() +p3142 +tp3143 +a(g202 +V`` +p3144 +tp3145 +a(g165 +V returns +p3146 +tp3147 +a(g165 +V\u000a +tp3148 +a(g165 +VTrue if any element in the iterable is a true value, and +p3149 +tp3150 +a(g202 +V`` +p3151 +tp3152 +a(g202 +Vall() +p3153 +tp3154 +a(g202 +V`` +p3155 +tp3156 +a(g165 +V +tp3157 +a(g165 +V\u000a +tp3158 +a(g165 +Vreturns True if all of the elements are true values +p3159 +tp3160 +a(g228 +V:: +p3161 +tp3162 +a(g165 +V\u000a\u000a +p3163 +tp3164 +a(g202 +V +p3165 +tp3166 +a(g202 +Vany([0,1,0]) => +p3167 +tp3168 +a(g165 +V\u000a +tp3169 +a(g202 +V True\u000a any([0,0,0]) =>\u000a False\u000a any([1,1,1]) =>\u000a True\u000a all([0,1,0]) =>\u000a False\u000a all([0,0,0]) => \u000a False\u000a all([1,1,1]) =>\u000a True\u000a\u000a\u000a +p3170 +tp3171 +a(g7 +VSmall functions and the lambda statement +p3172 +tp3173 +a(g165 +V\u000a +tp3174 +a(g7 +V---------------------------------------------- +p3175 +tp3176 +a(g165 +V\u000a +tp3177 +a(g165 +V\u000a +tp3178 +a(g165 +VWhen writing functional-style programs, you'll often need little +p3179 +tp3180 +a(g165 +V\u000a +tp3181 +a(g165 +Vfunctions that act as predicates or that combine elements in some way. +p3182 +tp3183 +a(g165 +V\u000a +tp3184 +a(g165 +V\u000a +tp3185 +a(g165 +VIf there's a Python built-in or a module function that's suitable, you +p3186 +tp3187 +a(g165 +V\u000a +tp3188 +a(g165 +Vdon't need to define a new function at all +p3189 +tp3190 +a(g228 +V:: +p3191 +tp3192 +a(g165 +V\u000a\u000a +p3193 +tp3194 +a(g202 +V +p3195 +tp3196 +a(g202 +Vstripped_lines = [line.strip() for line in lines] +p3197 +tp3198 +a(g165 +V\u000a +tp3199 +a(g202 +V existing_files = filter(os.path.exists, file_list)\u000a\u000a +p3200 +tp3201 +a(g165 +VIf the function you need doesn't exist, you need to write it. One way +p3202 +tp3203 +a(g165 +V\u000a +tp3204 +a(g165 +Vto write small functions is to use the +p3205 +tp3206 +a(g202 +V`` +p3207 +tp3208 +a(g202 +Vlambda +p3209 +tp3210 +a(g202 +V`` +p3211 +tp3212 +a(g165 +V statement. +p3213 +tp3214 +a(g202 +V`` +p3215 +tp3216 +a(g202 +Vlambda +p3217 +tp3218 +a(g202 +V`` +p3219 +tp3220 +a(g165 +V\u000a +tp3221 +a(g165 +Vtakes a number of parameters and an expression combining these parameters, +p3222 +tp3223 +a(g165 +V\u000a +tp3224 +a(g165 +Vand creates a small function that returns the value of the expression +p3225 +tp3226 +a(g228 +V:: +p3227 +tp3228 +a(g165 +V\u000a\u000a +p3229 +tp3230 +a(g202 +V +p3231 +tp3232 +a(g202 +Vlowercase = lambda x: x.lower() +p3233 +tp3234 +a(g165 +V\u000a +tp3235 +a(g202 +V\u000a print_assign = lambda name, value: name + '=' + str(value)\u000a\u000a adder = lambda x, y: x+y\u000a\u000a +p3236 +tp3237 +a(g165 +VAn alternative is to just use the +p3238 +tp3239 +a(g202 +V`` +p3240 +tp3241 +a(g202 +Vdef +p3242 +tp3243 +a(g202 +V`` +p3244 +tp3245 +a(g165 +V statement and define a +p3246 +tp3247 +a(g165 +V\u000a +tp3248 +a(g165 +Vfunction in the usual way +p3249 +tp3250 +a(g228 +V:: +p3251 +tp3252 +a(g165 +V\u000a\u000a +p3253 +tp3254 +a(g202 +V +p3255 +tp3256 +a(g202 +Vdef lowercase(x): +p3257 +tp3258 +a(g165 +V\u000a +tp3259 +a(g202 +V return x.lower()\u000a\u000a def print_assign(name, value):\u000a return name + '=' + str(value)\u000a\u000a def adder(x,y):\u000a return x + y\u000a\u000a +p3260 +tp3261 +a(g165 +VWhich alternative is preferable? That's a style question; my usual +p3262 +tp3263 +a(g165 +V\u000a +tp3264 +a(g165 +Vcourse is to avoid using +p3265 +tp3266 +a(g202 +V`` +p3267 +tp3268 +a(g202 +Vlambda +p3269 +tp3270 +a(g202 +V`` +p3271 +tp3272 +a(g165 +V. +tp3273 +a(g165 +V\u000a +tp3274 +a(g165 +V\u000a +tp3275 +a(g165 +VOne reason for my preference is that +p3276 +tp3277 +a(g202 +V`` +p3278 +tp3279 +a(g202 +Vlambda +p3280 +tp3281 +a(g202 +V`` +p3282 +tp3283 +a(g165 +V is quite limited in +p3284 +tp3285 +a(g165 +V\u000a +tp3286 +a(g165 +Vthe functions it can define. The result has to be computable as a +p3287 +tp3288 +a(g165 +V\u000a +tp3289 +a(g165 +Vsingle expression, which means you can't have multiway +p3290 +tp3291 +a(g165 +V\u000a +tp3292 +a(g202 +V`` +p3293 +tp3294 +a(g202 +Vif... elif... else +p3295 +tp3296 +a(g202 +V`` +p3297 +tp3298 +a(g165 +V comparisons or +p3299 +tp3300 +a(g202 +V`` +p3301 +tp3302 +a(g202 +Vtry... except +p3303 +tp3304 +a(g202 +V`` +p3305 +tp3306 +a(g165 +V statements. +p3307 +tp3308 +a(g165 +V\u000a +tp3309 +a(g165 +VIf you try to do too much in a +p3310 +tp3311 +a(g202 +V`` +p3312 +tp3313 +a(g202 +Vlambda +p3314 +tp3315 +a(g202 +V`` +p3316 +tp3317 +a(g165 +V statement, you'll end up +p3318 +tp3319 +a(g165 +V\u000a +tp3320 +a(g165 +Vwith an overly complicated expression that's hard to read. Quick, +p3321 +tp3322 +a(g165 +V\u000a +tp3323 +a(g165 +Vwhat's the following code doing? +p3324 +tp3325 +a(g165 +V\u000a +tp3326 +a(g165 +V\u000a +tp3327 +a(g228 +V:: +p3328 +tp3329 +a(g165 +V\u000a\u000a +p3330 +tp3331 +a(g202 +V +p3332 +tp3333 +a(g202 +Vtotal = reduce(lambda a, b: (0, a[1] + b[1]), items)[1] +p3334 +tp3335 +a(g165 +V\u000a +tp3336 +a(g202 +V\u000a +tp3337 +a(g165 +VYou can figure it out, but it takes time to disentangle the expression +p3338 +tp3339 +a(g165 +V\u000a +tp3340 +a(g165 +Vto figure out what's going on. Using a short nested +p3341 +tp3342 +a(g165 +V\u000a +tp3343 +a(g202 +V`` +p3344 +tp3345 +a(g202 +Vdef +p3346 +tp3347 +a(g202 +V`` +p3348 +tp3349 +a(g165 +V statements makes things a little bit better +p3350 +tp3351 +a(g228 +V:: +p3352 +tp3353 +a(g165 +V\u000a\u000a +p3354 +tp3355 +a(g202 +V +p3356 +tp3357 +a(g202 +Vdef combine (a, b): +p3358 +tp3359 +a(g165 +V\u000a +tp3360 +a(g202 +V return 0, a[1] + b[1]\u000a\u000a total = reduce(combine, items)[1]\u000a\u000a +p3361 +tp3362 +a(g165 +VBut it would be best of all if I had simply used a +p3363 +tp3364 +a(g202 +V`` +p3365 +tp3366 +a(g202 +Vfor +p3367 +tp3368 +a(g202 +V`` +p3369 +tp3370 +a(g165 +V loop +p3371 +tp3372 +a(g228 +V:: +p3373 +tp3374 +a(g165 +V\u000a\u000a +p3375 +tp3376 +a(g202 +V +p3377 +tp3378 +a(g202 +Vtotal = 0 +p3379 +tp3380 +a(g165 +V\u000a +tp3381 +a(g202 +V for a, b in items:\u000a total += b\u000a\u000a +p3382 +tp3383 +a(g165 +VOr the +p3384 +tp3385 +a(g202 +V`` +p3386 +tp3387 +a(g202 +Vsum() +p3388 +tp3389 +a(g202 +V`` +p3390 +tp3391 +a(g165 +V built-in and a generator expression +p3392 +tp3393 +a(g228 +V:: +p3394 +tp3395 +a(g165 +V\u000a\u000a +p3396 +tp3397 +a(g202 +V +p3398 +tp3399 +a(g202 +Vtotal = sum(b for a,b in items) +p3400 +tp3401 +a(g165 +V\u000a +tp3402 +a(g202 +V\u000a +tp3403 +a(g165 +VMany uses of +p3404 +tp3405 +a(g202 +V`` +p3406 +tp3407 +a(g202 +Vreduce() +p3408 +tp3409 +a(g202 +V`` +p3410 +tp3411 +a(g165 +V are clearer when written as +p3412 +tp3413 +a(g202 +V`` +p3414 +tp3415 +a(g202 +Vfor +p3416 +tp3417 +a(g202 +V`` +p3418 +tp3419 +a(g165 +V loops. +p3420 +tp3421 +a(g165 +V\u000a +tp3422 +a(g165 +V\u000a +tp3423 +a(g165 +VFredrik Lundh once suggested the following set of rules for refactoring +p3424 +tp3425 +a(g165 +V\u000a +tp3426 +a(g165 +Vuses of +p3427 +tp3428 +a(g202 +V`` +p3429 +tp3430 +a(g202 +Vlambda +p3431 +tp3432 +a(g202 +V`` +p3433 +tp3434 +a(g165 +V: +tp3435 +a(g165 +V\u000a +tp3436 +a(g165 +V\u000a +tp3437 +a(g189 +V1) +p3438 +tp3439 +a(g165 +V Write a lambda function. +p3440 +tp3441 +a(g165 +V\u000a +tp3442 +a(g189 +V2) +p3443 +tp3444 +a(g165 +V Write a comment explaining what the heck that lambda does. +p3445 +tp3446 +a(g165 +V\u000a +tp3447 +a(g189 +V3) +p3448 +tp3449 +a(g165 +V Study the comment for a while, and think of a name that captures +p3450 +tp3451 +a(g165 +V\u000a +tp3452 +a(g165 +V the essence of the comment. +p3453 +tp3454 +a(g165 +V\u000a +tp3455 +a(g189 +V4) +p3456 +tp3457 +a(g165 +V Convert the lambda to a def statement, using that name. +p3458 +tp3459 +a(g165 +V\u000a +tp3460 +a(g189 +V5) +p3461 +tp3462 +a(g165 +V Remove the comment. +p3463 +tp3464 +a(g165 +V\u000a +tp3465 +a(g165 +V\u000a +tp3466 +a(g165 +VI really like these rules, but you're free to disagree that this +p3467 +tp3468 +a(g165 +V\u000a +tp3469 +a(g165 +Vlambda-free style is better. +p3470 +tp3471 +a(g165 +V\u000a +tp3472 +a(g165 +V\u000a +tp3473 +a(g165 +V\u000a +tp3474 +a(g7 +VThe itertools module +p3475 +tp3476 +a(g165 +V\u000a +tp3477 +a(g7 +V----------------------- +p3478 +tp3479 +a(g165 +V\u000a +tp3480 +a(g165 +V\u000a +tp3481 +a(g165 +VThe +p3482 +tp3483 +a(g202 +V`` +p3484 +tp3485 +a(g202 +Vitertools +p3486 +tp3487 +a(g202 +V`` +p3488 +tp3489 +a(g165 +V module contains a number of commonly-used iterators +p3490 +tp3491 +a(g165 +V\u000a +tp3492 +a(g165 +Vas well as functions for combining several iterators. This section +p3493 +tp3494 +a(g165 +V\u000a +tp3495 +a(g165 +Vwill introduce the module's contents by showing small examples. +p3496 +tp3497 +a(g165 +V\u000a +tp3498 +a(g165 +V\u000a +tp3499 +a(g165 +VThe module's functions fall into a few broad classes +p3500 +tp3501 +a(g165 +V: +tp3502 +a(g165 +V\u000a +tp3503 +a(g165 +V\u000a +tp3504 +a(g189 +V* +tp3505 +a(g165 +V Functions that create a new iterator based on an existing iterator. +p3506 +tp3507 +a(g165 +V\u000a +tp3508 +a(g189 +V* +tp3509 +a(g165 +V Functions for treating an iterator's elements as function arguments. +p3510 +tp3511 +a(g165 +V\u000a +tp3512 +a(g189 +V* +tp3513 +a(g165 +V Functions for selecting portions of an iterator's output. +p3514 +tp3515 +a(g165 +V\u000a +tp3516 +a(g189 +V* +tp3517 +a(g165 +V A function for grouping an iterator's output. +p3518 +tp3519 +a(g165 +V\u000a +tp3520 +a(g165 +V\u000a +tp3521 +a(g7 +VCreating new iterators +p3522 +tp3523 +a(g165 +V\u000a +tp3524 +a(g7 +V'''''''''''''''''''''' +p3525 +tp3526 +a(g165 +V\u000a +tp3527 +a(g165 +V\u000a +tp3528 +a(g202 +V`` +p3529 +tp3530 +a(g202 +Vitertools.count(n) +p3531 +tp3532 +a(g202 +V`` +p3533 +tp3534 +a(g165 +V returns an infinite stream of +p3535 +tp3536 +a(g165 +V\u000a +tp3537 +a(g165 +Vintegers, increasing by 1 each time. You can optionally supply the +p3538 +tp3539 +a(g165 +V\u000a +tp3540 +a(g165 +Vstarting number, which defaults to 0 +p3541 +tp3542 +a(g228 +V:: +p3543 +tp3544 +a(g165 +V\u000a\u000a +p3545 +tp3546 +a(g202 +V +p3547 +tp3548 +a(g202 +Vitertools.count() => +p3549 +tp3550 +a(g165 +V\u000a +tp3551 +a(g202 +V 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, ...\u000a itertools.count(10) =>\u000a 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, ...\u000a\u000a +p3552 +tp3553 +a(g202 +V`` +p3554 +tp3555 +a(g202 +Vitertools.cycle(iter) +p3556 +tp3557 +a(g202 +V`` +p3558 +tp3559 +a(g165 +V saves a copy of the contents of a provided +p3560 +tp3561 +a(g165 +V\u000a +tp3562 +a(g165 +Viterable and returns a new iterator that returns its elements from +p3563 +tp3564 +a(g165 +V\u000a +tp3565 +a(g165 +Vfirst to last. The new iterator will repeat these elements infinitely. +p3566 +tp3567 +a(g165 +V\u000a +tp3568 +a(g165 +V\u000a +tp3569 +a(g228 +V:: +p3570 +tp3571 +a(g165 +V\u000a\u000a +p3572 +tp3573 +a(g202 +V +p3574 +tp3575 +a(g202 +Vitertools.cycle([1,2,3,4,5]) => +p3576 +tp3577 +a(g165 +V\u000a +tp3578 +a(g202 +V 1, 2, 3, 4, 5, 1, 2, 3, 4, 5, ...\u000a\u000a +p3579 +tp3580 +a(g202 +V`` +p3581 +tp3582 +a(g202 +Vitertools.repeat(elem, [n]) +p3583 +tp3584 +a(g202 +V`` +p3585 +tp3586 +a(g165 +V returns the provided element +p3587 +tp3588 +a(g202 +V`` +p3589 +tp3590 +a(g202 +Vn +tp3591 +a(g202 +V`` +p3592 +tp3593 +a(g165 +V\u000a +tp3594 +a(g165 +Vtimes, or returns the element endlessly if +p3595 +tp3596 +a(g202 +V`` +p3597 +tp3598 +a(g202 +Vn +tp3599 +a(g202 +V`` +p3600 +tp3601 +a(g165 +V is not provided. +p3602 +tp3603 +a(g165 +V\u000a +tp3604 +a(g165 +V\u000a +tp3605 +a(g228 +V:: +p3606 +tp3607 +a(g165 +V\u000a\u000a +p3608 +tp3609 +a(g202 +V +p3610 +tp3611 +a(g202 +Vitertools.repeat('abc') => +p3612 +tp3613 +a(g165 +V\u000a +tp3614 +a(g202 +V abc, abc, abc, abc, abc, abc, abc, abc, abc, abc, ...\u000a itertools.repeat('abc', 5) =>\u000a abc, abc, abc, abc, abc\u000a\u000a +p3615 +tp3616 +a(g202 +V`` +p3617 +tp3618 +a(g202 +Vitertools.chain(iterA, iterB, ...) +p3619 +tp3620 +a(g202 +V`` +p3621 +tp3622 +a(g165 +V takes an arbitrary number of +p3623 +tp3624 +a(g165 +V\u000a +tp3625 +a(g165 +Viterables as input, and returns all the elements of the first +p3626 +tp3627 +a(g165 +V\u000a +tp3628 +a(g165 +Viterator, then all the elements of the second, and so on, until all of +p3629 +tp3630 +a(g165 +V\u000a +tp3631 +a(g165 +Vthe iterables have been exhausted. +p3632 +tp3633 +a(g165 +V\u000a +tp3634 +a(g165 +V\u000a +tp3635 +a(g228 +V:: +p3636 +tp3637 +a(g165 +V\u000a\u000a +p3638 +tp3639 +a(g202 +V +p3640 +tp3641 +a(g202 +Vitertools.chain(['a', 'b', 'c'], (1, 2, 3)) => +p3642 +tp3643 +a(g165 +V\u000a +tp3644 +a(g202 +V a, b, c, 1, 2, 3\u000a\u000a +p3645 +tp3646 +a(g202 +V`` +p3647 +tp3648 +a(g202 +Vitertools.izip(iterA, iterB, ...) +p3649 +tp3650 +a(g202 +V`` +p3651 +tp3652 +a(g165 +V takes one element from each iterable +p3653 +tp3654 +a(g165 +V\u000a +tp3655 +a(g165 +Vand returns them in a tuple +p3656 +tp3657 +a(g228 +V:: +p3658 +tp3659 +a(g165 +V\u000a\u000a +p3660 +tp3661 +a(g202 +V +p3662 +tp3663 +a(g202 +Vitertools.izip(['a', 'b', 'c'], (1, 2, 3)) => +p3664 +tp3665 +a(g165 +V\u000a +tp3666 +a(g202 +V ('a', 1), ('b', 2), ('c', 3)\u000a\u000a +p3667 +tp3668 +a(g165 +VIt's similiar to the built-in +p3669 +tp3670 +a(g202 +V`` +p3671 +tp3672 +a(g202 +Vzip() +p3673 +tp3674 +a(g202 +V`` +p3675 +tp3676 +a(g165 +V function, but doesn't +p3677 +tp3678 +a(g165 +V\u000a +tp3679 +a(g165 +Vconstruct an in-memory list and exhaust all the input iterators before +p3680 +tp3681 +a(g165 +V\u000a +tp3682 +a(g165 +Vreturning; instead tuples are constructed and returned only if they're +p3683 +tp3684 +a(g165 +V\u000a +tp3685 +a(g165 +Vrequested. (The technical term for this behaviour is +p3686 +tp3687 +a(g165 +V\u000a +tp3688 +a(g202 +V`lazy evaluation +p3689 +tp3690 +a(g209 +V +p3691 +tp3692 +a(g202 +V`__ +p3693 +tp3694 +a(g165 +V.) +p3695 +tp3696 +a(g165 +V\u000a +tp3697 +a(g165 +V\u000a +tp3698 +a(g165 +VThis iterator is intended to be used with iterables that are all of +p3699 +tp3700 +a(g165 +V\u000a +tp3701 +a(g165 +Vthe same length. If the iterables are of different lengths, the +p3702 +tp3703 +a(g165 +V\u000a +tp3704 +a(g165 +Vresulting stream will be the same length as the shortest iterable. +p3705 +tp3706 +a(g165 +V\u000a +tp3707 +a(g165 +V\u000a +tp3708 +a(g228 +V:: +p3709 +tp3710 +a(g165 +V\u000a\u000a +p3711 +tp3712 +a(g202 +V +p3713 +tp3714 +a(g202 +Vitertools.izip(['a', 'b'], (1, 2, 3)) => +p3715 +tp3716 +a(g165 +V\u000a +tp3717 +a(g202 +V ('a', 1), ('b', 2)\u000a\u000a +p3718 +tp3719 +a(g165 +VYou should avoid doing this, though, because an element may be taken +p3720 +tp3721 +a(g165 +V\u000a +tp3722 +a(g165 +Vfrom the longer iterators and discarded. This means you can't go on +p3723 +tp3724 +a(g165 +V\u000a +tp3725 +a(g165 +Vto use the iterators further because you risk skipping a discarded +p3726 +tp3727 +a(g165 +V\u000a +tp3728 +a(g165 +Velement. +p3729 +tp3730 +a(g165 +V\u000a +tp3731 +a(g165 +V\u000a +tp3732 +a(g202 +V`` +p3733 +tp3734 +a(g202 +Vitertools.islice(iter, [start], stop, [step]) +p3735 +tp3736 +a(g202 +V`` +p3737 +tp3738 +a(g165 +V returns a stream +p3739 +tp3740 +a(g165 +V\u000a +tp3741 +a(g165 +Vthat's a slice of the iterator. With a single +p3742 +tp3743 +a(g202 +V`` +p3744 +tp3745 +a(g202 +Vstop +p3746 +tp3747 +a(g202 +V`` +p3748 +tp3749 +a(g165 +V argument, +p3750 +tp3751 +a(g165 +V\u000a +tp3752 +a(g165 +Vit will return the first +p3753 +tp3754 +a(g202 +V`` +p3755 +tp3756 +a(g202 +Vstop +p3757 +tp3758 +a(g202 +V`` +p3759 +tp3760 +a(g165 +V\u000a +tp3761 +a(g165 +Velements. If you supply a starting index, you'll get +p3762 +tp3763 +a(g202 +V`` +p3764 +tp3765 +a(g202 +Vstop-start +p3766 +tp3767 +a(g202 +V`` +p3768 +tp3769 +a(g165 +V\u000a +tp3770 +a(g165 +Velements, and if you supply a value for +p3771 +tp3772 +a(g202 +V`` +p3773 +tp3774 +a(g202 +Vstep +p3775 +tp3776 +a(g202 +V`` +p3777 +tp3778 +a(g165 +V, elements will be +p3779 +tp3780 +a(g165 +V\u000a +tp3781 +a(g165 +Vskipped accordingly. Unlike Python's string and list slicing, you +p3782 +tp3783 +a(g165 +V\u000a +tp3784 +a(g165 +Vcan't use negative values for +p3785 +tp3786 +a(g202 +V`` +p3787 +tp3788 +a(g202 +Vstart +p3789 +tp3790 +a(g202 +V`` +p3791 +tp3792 +a(g165 +V, +p3793 +tp3794 +a(g202 +V`` +p3795 +tp3796 +a(g202 +Vstop +p3797 +tp3798 +a(g202 +V`` +p3799 +tp3800 +a(g165 +V, or +p3801 +tp3802 +a(g202 +V`` +p3803 +tp3804 +a(g202 +Vstep +p3805 +tp3806 +a(g202 +V`` +p3807 +tp3808 +a(g165 +V. +tp3809 +a(g165 +V\u000a +tp3810 +a(g165 +V\u000a +tp3811 +a(g228 +V:: +p3812 +tp3813 +a(g165 +V\u000a\u000a +p3814 +tp3815 +a(g202 +V +p3816 +tp3817 +a(g202 +Vitertools.islice(range(10), 8) => +p3818 +tp3819 +a(g165 +V\u000a +tp3820 +a(g202 +V 0, 1, 2, 3, 4, 5, 6, 7\u000a itertools.islice(range(10), 2, 8) =>\u000a 2, 3, 4, 5, 6, 7\u000a itertools.islice(range(10), 2, 8, 2) =>\u000a 2, 4, 6\u000a\u000a +p3821 +tp3822 +a(g202 +V`` +p3823 +tp3824 +a(g202 +Vitertools.tee(iter, [n]) +p3825 +tp3826 +a(g202 +V`` +p3827 +tp3828 +a(g165 +V replicates an iterator; it returns +p3829 +tp3830 +a(g202 +V`` +p3831 +tp3832 +a(g202 +Vn +tp3833 +a(g202 +V`` +p3834 +tp3835 +a(g165 +V\u000a +tp3836 +a(g165 +Vindependent iterators that will all return the contents of the source +p3837 +tp3838 +a(g165 +V\u000a +tp3839 +a(g165 +Viterator. If you don't supply a value for +p3840 +tp3841 +a(g202 +V`` +p3842 +tp3843 +a(g202 +Vn +tp3844 +a(g202 +V`` +p3845 +tp3846 +a(g165 +V, the default is 2. +p3847 +tp3848 +a(g165 +V\u000a +tp3849 +a(g165 +VReplicating iterators requires saving some of the contents of the source +p3850 +tp3851 +a(g165 +V\u000a +tp3852 +a(g165 +Viterator, so this can consume significant memory if the iterator is large +p3853 +tp3854 +a(g165 +V\u000a +tp3855 +a(g165 +Vand one of the new iterators is consumed more than the others. +p3856 +tp3857 +a(g165 +V\u000a +tp3858 +a(g165 +V\u000a +tp3859 +a(g228 +V:: +p3860 +tp3861 +a(g165 +V\u000a\u000a +p3862 +tp3863 +a(g202 +V +p3864 +tp3865 +a(g202 +Vitertools.tee( itertools.count() ) => +p3866 +tp3867 +a(g165 +V\u000a +tp3868 +a(g202 +V iterA, iterB\u000a\u000a where iterA ->\u000a 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, ...\u000a\u000a and iterB ->\u000a 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, ...\u000a\u000a\u000a +p3869 +tp3870 +a(g7 +VCalling functions on elements +p3871 +tp3872 +a(g165 +V\u000a +tp3873 +a(g7 +V''''''''''''''''''''''''''''' +p3874 +tp3875 +a(g165 +V\u000a +tp3876 +a(g165 +V\u000a +tp3877 +a(g165 +VTwo functions are used for calling other functions on the contents of an +p3878 +tp3879 +a(g165 +V\u000a +tp3880 +a(g165 +Viterable. +p3881 +tp3882 +a(g165 +V\u000a +tp3883 +a(g165 +V\u000a +tp3884 +a(g202 +V`` +p3885 +tp3886 +a(g202 +Vitertools.imap(f, iterA, iterB, ...) +p3887 +tp3888 +a(g202 +V`` +p3889 +tp3890 +a(g165 +V returns +p3891 +tp3892 +a(g165 +V\u000a +tp3893 +a(g165 +Va stream containing +p3894 +tp3895 +a(g202 +V`` +p3896 +tp3897 +a(g202 +Vf(iterA[0], iterB[0]), f(iterA[1], iterB[1]),\u000af(iterA[2], iterB[2]), ... +p3898 +tp3899 +a(g202 +V`` +p3900 +tp3901 +a(g228 +V:: +p3902 +tp3903 +a(g165 +V\u000a\u000a +p3904 +tp3905 +a(g202 +V +p3906 +tp3907 +a(g202 +Vitertools.imap(operator.add, [5, 6, 5], [1, 2, 3]) => +p3908 +tp3909 +a(g165 +V\u000a +tp3910 +a(g202 +V 6, 8, 8\u000a\u000a +p3911 +tp3912 +a(g165 +VThe +p3913 +tp3914 +a(g202 +V`` +p3915 +tp3916 +a(g202 +Voperator +p3917 +tp3918 +a(g202 +V`` +p3919 +tp3920 +a(g165 +V module contains a set of functions +p3921 +tp3922 +a(g165 +V\u000a +tp3923 +a(g165 +Vcorresponding to Python's operators. Some examples are +p3924 +tp3925 +a(g165 +V\u000a +tp3926 +a(g202 +V`` +p3927 +tp3928 +a(g202 +Voperator.add(a, b) +p3929 +tp3930 +a(g202 +V`` +p3931 +tp3932 +a(g165 +V (adds two values), +p3933 +tp3934 +a(g165 +V\u000a +tp3935 +a(g202 +V`` +p3936 +tp3937 +a(g202 +Voperator.ne(a, b) +p3938 +tp3939 +a(g202 +V`` +p3940 +tp3941 +a(g165 +V (same as +p3942 +tp3943 +a(g202 +V`` +p3944 +tp3945 +a(g202 +Va!=b +p3946 +tp3947 +a(g202 +V`` +p3948 +tp3949 +a(g165 +V), +p3950 +tp3951 +a(g165 +V\u000a +tp3952 +a(g165 +Vand +p3953 +tp3954 +a(g165 +V\u000a +tp3955 +a(g202 +V`` +p3956 +tp3957 +a(g202 +Voperator.attrgetter('id') +p3958 +tp3959 +a(g202 +V`` +p3960 +tp3961 +a(g165 +V (returns a callable that +p3962 +tp3963 +a(g165 +V\u000a +tp3964 +a(g165 +Vfetches the +p3965 +tp3966 +a(g202 +V`` +p3967 +tp3968 +a(g202 +V"id" +p3969 +tp3970 +a(g202 +V`` +p3971 +tp3972 +a(g165 +V attribute). +p3973 +tp3974 +a(g165 +V\u000a +tp3975 +a(g165 +V\u000a +tp3976 +a(g202 +V`` +p3977 +tp3978 +a(g202 +Vitertools.starmap(func, iter) +p3979 +tp3980 +a(g202 +V`` +p3981 +tp3982 +a(g165 +V assumes that the iterable will +p3983 +tp3984 +a(g165 +V\u000a +tp3985 +a(g165 +Vreturn a stream of tuples, and calls +p3986 +tp3987 +a(g202 +V`` +p3988 +tp3989 +a(g202 +Vf() +p3990 +tp3991 +a(g202 +V`` +p3992 +tp3993 +a(g165 +V using these tuples as the +p3994 +tp3995 +a(g165 +V\u000a +tp3996 +a(g165 +Varguments +p3997 +tp3998 +a(g228 +V:: +p3999 +tp4000 +a(g165 +V\u000a\u000a +p4001 +tp4002 +a(g202 +V +p4003 +tp4004 +a(g202 +Vitertools.starmap(os.path.join, +p4005 +tp4006 +a(g165 +V\u000a +tp4007 +a(g202 +V [('/usr', 'bin', 'java'), ('/bin', 'python'),\u000a ('/usr', 'bin', 'perl'),('/usr', 'bin', 'ruby')])\u000a =>\u000a /usr/bin/java, /bin/python, /usr/bin/perl, /usr/bin/ruby\u000a\u000a\u000a +p4008 +tp4009 +a(g7 +VSelecting elements +p4010 +tp4011 +a(g165 +V\u000a +tp4012 +a(g7 +V'''''''''''''''''' +p4013 +tp4014 +a(g165 +V\u000a +tp4015 +a(g165 +V\u000a +tp4016 +a(g165 +VAnother group of functions chooses a subset of an iterator's elements +p4017 +tp4018 +a(g165 +V\u000a +tp4019 +a(g165 +Vbased on a predicate. +p4020 +tp4021 +a(g165 +V\u000a +tp4022 +a(g165 +V\u000a +tp4023 +a(g202 +V`` +p4024 +tp4025 +a(g202 +Vitertools.ifilter(predicate, iter) +p4026 +tp4027 +a(g202 +V`` +p4028 +tp4029 +a(g165 +V returns all the elements for +p4030 +tp4031 +a(g165 +V\u000a +tp4032 +a(g165 +Vwhich the predicate returns true +p4033 +tp4034 +a(g228 +V:: +p4035 +tp4036 +a(g165 +V\u000a\u000a +p4037 +tp4038 +a(g202 +V +p4039 +tp4040 +a(g202 +Vdef is_even(x): +p4041 +tp4042 +a(g165 +V\u000a +tp4043 +a(g202 +V return (x % 2) == 0\u000a\u000a itertools.ifilter(is_even, itertools.count()) =>\u000a 0, 2, 4, 6, 8, 10, 12, 14, ...\u000a\u000a +p4044 +tp4045 +a(g202 +V`` +p4046 +tp4047 +a(g202 +Vitertools.ifilterfalse(predicate, iter) +p4048 +tp4049 +a(g202 +V`` +p4050 +tp4051 +a(g165 +V is the opposite, +p4052 +tp4053 +a(g165 +V\u000a +tp4054 +a(g165 +Vreturning all elements for which the predicate returns false +p4055 +tp4056 +a(g228 +V:: +p4057 +tp4058 +a(g165 +V\u000a\u000a +p4059 +tp4060 +a(g202 +V +p4061 +tp4062 +a(g202 +Vitertools.ifilterfalse(is_even, itertools.count()) => +p4063 +tp4064 +a(g165 +V\u000a +tp4065 +a(g202 +V 1, 3, 5, 7, 9, 11, 13, 15, ...\u000a\u000a +p4066 +tp4067 +a(g202 +V`` +p4068 +tp4069 +a(g202 +Vitertools.takewhile(predicate, iter) +p4070 +tp4071 +a(g202 +V`` +p4072 +tp4073 +a(g165 +V returns elements for as long +p4074 +tp4075 +a(g165 +V\u000a +tp4076 +a(g165 +Vas the predicate returns true. Once the predicate returns false, +p4077 +tp4078 +a(g165 +V\u000a +tp4079 +a(g165 +Vthe iterator will signal the end of its results. +p4080 +tp4081 +a(g165 +V\u000a +tp4082 +a(g165 +V\u000a +tp4083 +a(g228 +V:: +p4084 +tp4085 +a(g165 +V\u000a\u000a +p4086 +tp4087 +a(g202 +V +p4088 +tp4089 +a(g202 +Vdef less_than_10(x): +p4090 +tp4091 +a(g165 +V\u000a +tp4092 +a(g202 +V return (x < 10)\u000a\u000a itertools.takewhile(less_than_10, itertools.count()) =>\u000a 0, 1, 2, 3, 4, 5, 6, 7, 8, 9\u000a\u000a itertools.takewhile(is_even, itertools.count()) =>\u000a 0\u000a\u000a +p4093 +tp4094 +a(g202 +V`` +p4095 +tp4096 +a(g202 +Vitertools.dropwhile(predicate, iter) +p4097 +tp4098 +a(g202 +V`` +p4099 +tp4100 +a(g165 +V discards elements while the +p4101 +tp4102 +a(g165 +V\u000a +tp4103 +a(g165 +Vpredicate returns true, and then returns the rest of the iterable's +p4104 +tp4105 +a(g165 +V\u000a +tp4106 +a(g165 +Vresults. +p4107 +tp4108 +a(g165 +V\u000a +tp4109 +a(g165 +V\u000a +tp4110 +a(g228 +V:: +p4111 +tp4112 +a(g165 +V\u000a\u000a +p4113 +tp4114 +a(g202 +V +p4115 +tp4116 +a(g202 +Vitertools.dropwhile(less_than_10, itertools.count()) => +p4117 +tp4118 +a(g165 +V\u000a +tp4119 +a(g202 +V 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, ...\u000a\u000a itertools.dropwhile(is_even, itertools.count()) =>\u000a 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, ...\u000a\u000a\u000a +p4120 +tp4121 +a(g7 +VGrouping elements +p4122 +tp4123 +a(g165 +V\u000a +tp4124 +a(g7 +V''''''''''''''''' +p4125 +tp4126 +a(g165 +V\u000a +tp4127 +a(g165 +V\u000a +tp4128 +a(g165 +VThe last function I'll discuss, +p4129 +tp4130 +a(g202 +V`` +p4131 +tp4132 +a(g202 +Vitertools.groupby(iter,\u000akey_func=None) +p4133 +tp4134 +a(g202 +V`` +p4135 +tp4136 +a(g165 +V, is the most complicated. +p4137 +tp4138 +a(g202 +V`` +p4139 +tp4140 +a(g202 +Vkey_func(elem) +p4141 +tp4142 +a(g202 +V`` +p4143 +tp4144 +a(g165 +V is a +p4145 +tp4146 +a(g165 +V\u000a +tp4147 +a(g165 +Vfunction that can compute a key value for each element returned by the +p4148 +tp4149 +a(g165 +V\u000a +tp4150 +a(g165 +Viterable. If you don't supply a key function, the key is simply each +p4151 +tp4152 +a(g165 +V\u000a +tp4153 +a(g165 +Velement itself. +p4154 +tp4155 +a(g165 +V\u000a +tp4156 +a(g165 +V\u000a +tp4157 +a(g202 +V`` +p4158 +tp4159 +a(g202 +Vgroupby() +p4160 +tp4161 +a(g202 +V`` +p4162 +tp4163 +a(g165 +V collects all the consecutive elements from the +p4164 +tp4165 +a(g165 +V\u000a +tp4166 +a(g165 +Vunderlying iterable that have the same key value, and returns a stream +p4167 +tp4168 +a(g165 +V\u000a +tp4169 +a(g165 +Vof 2-tuples containing a key value and an iterator for the elements +p4170 +tp4171 +a(g165 +V\u000a +tp4172 +a(g165 +Vwith that key. +p4173 +tp4174 +a(g165 +V\u000a +tp4175 +a(g165 +V\u000a +tp4176 +a(g228 +V:: +p4177 +tp4178 +a(g165 +V\u000a\u000a +p4179 +tp4180 +a(g202 +V +p4181 +tp4182 +a(g202 +Vcity_list = [('Decatur', 'AL'), ('Huntsville', 'AL'), ('Selma', 'AL'), +p4183 +tp4184 +a(g165 +V\u000a +tp4185 +a(g202 +V ('Anchorage', 'AK'), ('Nome', 'AK'),\u000a ('Flagstaff', 'AZ'), ('Phoenix', 'AZ'), ('Tucson', 'AZ'), \u000a ...\u000a ]\u000a\u000a def get_state ((city, state)):\u000a return state\u000a\u000a itertools.groupby(city_list, get_state) =>\u000a ('AL', iterator-1),\u000a ('AK', iterator-2),\u000a ('AZ', iterator-3), ...\u000a\u000a where\u000a iterator-1 =>\u000a ('Decatur', 'AL'), ('Huntsville', 'AL'), ('Selma', 'AL')\u000a iterator-2 => \u000a ('Anchorage', 'AK'), ('Nome', 'AK')\u000a iterator-3 =>\u000a ('Flagstaff', 'AZ'), ('Phoenix', 'AZ'), ('Tucson', 'AZ')\u000a\u000a +p4186 +tp4187 +a(g202 +V`` +p4188 +tp4189 +a(g202 +Vgroupby() +p4190 +tp4191 +a(g202 +V`` +p4192 +tp4193 +a(g165 +V assumes that the underlying iterable's contents will +p4194 +tp4195 +a(g165 +V\u000a +tp4196 +a(g165 +Valready be sorted based on the key. Note that the returned iterators +p4197 +tp4198 +a(g165 +V\u000a +tp4199 +a(g165 +Valso use the underlying iterable, so you have to consume the results +p4200 +tp4201 +a(g165 +V\u000a +tp4202 +a(g165 +Vof iterator-1 before requesting iterator-2 and its corresponding key. +p4203 +tp4204 +a(g165 +V\u000a +tp4205 +a(g165 +V\u000a +tp4206 +a(g165 +V\u000a +tp4207 +a(g7 +VThe functools module +p4208 +tp4209 +a(g165 +V\u000a +tp4210 +a(g7 +V---------------------------------------------- +p4211 +tp4212 +a(g165 +V\u000a +tp4213 +a(g165 +V\u000a +tp4214 +a(g165 +VThe +p4215 +tp4216 +a(g202 +V`` +p4217 +tp4218 +a(g202 +Vfunctools +p4219 +tp4220 +a(g202 +V`` +p4221 +tp4222 +a(g165 +V module in Python 2.5 contains some higher-order +p4223 +tp4224 +a(g165 +V\u000a +tp4225 +a(g165 +Vfunctions. A +p4226 +tp4227 +a(g359 +V**higher-order function** +p4228 +tp4229 +a(g165 +V takes one or more functions as +p4230 +tp4231 +a(g165 +V\u000a +tp4232 +a(g165 +Vinput and returns a new function. The most useful tool in this module +p4233 +tp4234 +a(g165 +V\u000a +tp4235 +a(g165 +Vis the +p4236 +tp4237 +a(g202 +V`` +p4238 +tp4239 +a(g202 +Vpartial() +p4240 +tp4241 +a(g202 +V`` +p4242 +tp4243 +a(g165 +V function. +p4244 +tp4245 +a(g165 +V\u000a +tp4246 +a(g165 +V\u000a +tp4247 +a(g165 +VFor programs written in a functional style, you'll sometimes want to +p4248 +tp4249 +a(g165 +V\u000a +tp4250 +a(g165 +Vconstruct variants of existing functions that have some of the +p4251 +tp4252 +a(g165 +V\u000a +tp4253 +a(g165 +Vparameters filled in. Consider a Python function +p4254 +tp4255 +a(g202 +V`` +p4256 +tp4257 +a(g202 +Vf(a, b, c) +p4258 +tp4259 +a(g202 +V`` +p4260 +tp4261 +a(g165 +V; you +p4262 +tp4263 +a(g165 +V\u000a +tp4264 +a(g165 +Vmay wish to create a new function +p4265 +tp4266 +a(g202 +V`` +p4267 +tp4268 +a(g202 +Vg(b, c) +p4269 +tp4270 +a(g202 +V`` +p4271 +tp4272 +a(g165 +V that's equivalent to +p4273 +tp4274 +a(g165 +V\u000a +tp4275 +a(g202 +V`` +p4276 +tp4277 +a(g202 +Vf(1, b, c) +p4278 +tp4279 +a(g202 +V`` +p4280 +tp4281 +a(g165 +V; you're filling in a value for one of +p4282 +tp4283 +a(g202 +V`` +p4284 +tp4285 +a(g202 +Vf() +p4286 +tp4287 +a(g202 +V`` +p4288 +tp4289 +a(g165 +V's parameters. +p4290 +tp4291 +a(g165 +V\u000a +tp4292 +a(g165 +VThis is called "partial function application". +p4293 +tp4294 +a(g165 +V\u000a +tp4295 +a(g165 +V\u000a +tp4296 +a(g165 +VThe constructor for +p4297 +tp4298 +a(g202 +V`` +p4299 +tp4300 +a(g202 +Vpartial +p4301 +tp4302 +a(g202 +V`` +p4303 +tp4304 +a(g165 +V takes the arguments +p4305 +tp4306 +a(g202 +V`` +p4307 +tp4308 +a(g202 +V(function, arg1,\u000aarg2, ... kwarg1=value1, kwarg2=value2) +p4309 +tp4310 +a(g202 +V`` +p4311 +tp4312 +a(g165 +V. The resulting object is +p4313 +tp4314 +a(g165 +V\u000a +tp4315 +a(g165 +Vcallable, so you can just call it to invoke +p4316 +tp4317 +a(g202 +V`` +p4318 +tp4319 +a(g202 +Vfunction +p4320 +tp4321 +a(g202 +V`` +p4322 +tp4323 +a(g165 +V with the +p4324 +tp4325 +a(g165 +V\u000a +tp4326 +a(g165 +Vfilled-in arguments. +p4327 +tp4328 +a(g165 +V\u000a +tp4329 +a(g165 +V\u000a +tp4330 +a(g165 +VHere's a small but realistic example +p4331 +tp4332 +a(g228 +V:: +p4333 +tp4334 +a(g165 +V\u000a\u000a +p4335 +tp4336 +a(g202 +V +p4337 +tp4338 +a(g202 +Vimport functools +p4339 +tp4340 +a(g165 +V\u000a +tp4341 +a(g202 +V\u000a def log (message, subsystem):\u000a "Write the contents of 'message' to the specified subsystem."\u000a print '%s: %s' % (subsystem, message)\u000a ...\u000a\u000a server_log = functools.partial(log, subsystem='server')\u000a server_log('Unable to open socket')\u000a\u000a\u000a +p4342 +tp4343 +a(g7 +VThe operator module +p4344 +tp4345 +a(g165 +V\u000a +tp4346 +a(g7 +V------------------- +p4347 +tp4348 +a(g165 +V\u000a +tp4349 +a(g165 +V\u000a +tp4350 +a(g165 +VThe +p4351 +tp4352 +a(g202 +V`` +p4353 +tp4354 +a(g202 +Voperator +p4355 +tp4356 +a(g202 +V`` +p4357 +tp4358 +a(g165 +V module was mentioned earlier. It contains a set of +p4359 +tp4360 +a(g165 +V\u000a +tp4361 +a(g165 +Vfunctions corresponding to Python's operators. These functions +p4362 +tp4363 +a(g165 +V\u000a +tp4364 +a(g165 +Vare often useful in functional-style code because they save you +p4365 +tp4366 +a(g165 +V\u000a +tp4367 +a(g165 +Vfrom writing trivial functions that perform a single operation. +p4368 +tp4369 +a(g165 +V\u000a +tp4370 +a(g165 +V\u000a +tp4371 +a(g165 +VSome of the functions in this module are +p4372 +tp4373 +a(g165 +V: +tp4374 +a(g165 +V\u000a +tp4375 +a(g165 +V\u000a +tp4376 +a(g189 +V* +tp4377 +a(g165 +V Math operations +p4378 +tp4379 +a(g165 +V: +tp4380 +a(g165 +V +tp4381 +a(g202 +V`` +p4382 +tp4383 +a(g202 +Vadd() +p4384 +tp4385 +a(g202 +V`` +p4386 +tp4387 +a(g165 +V, +p4388 +tp4389 +a(g202 +V`` +p4390 +tp4391 +a(g202 +Vsub() +p4392 +tp4393 +a(g202 +V`` +p4394 +tp4395 +a(g165 +V, +p4396 +tp4397 +a(g202 +V`` +p4398 +tp4399 +a(g202 +Vmul() +p4400 +tp4401 +a(g202 +V`` +p4402 +tp4403 +a(g165 +V, +p4404 +tp4405 +a(g202 +V`` +p4406 +tp4407 +a(g202 +Vdiv() +p4408 +tp4409 +a(g202 +V`` +p4410 +tp4411 +a(g165 +V, +p4412 +tp4413 +a(g202 +V`` +p4414 +tp4415 +a(g202 +Vfloordiv() +p4416 +tp4417 +a(g202 +V`` +p4418 +tp4419 +a(g165 +V, +tp4420 +a(g165 +V\u000a +tp4421 +a(g165 +V +p4422 +tp4423 +a(g202 +V`` +p4424 +tp4425 +a(g202 +Vabs() +p4426 +tp4427 +a(g202 +V`` +p4428 +tp4429 +a(g165 +V, ... +p4430 +tp4431 +a(g165 +V\u000a +tp4432 +a(g189 +V* +tp4433 +a(g165 +V Logical operations +p4434 +tp4435 +a(g165 +V: +tp4436 +a(g165 +V +tp4437 +a(g202 +V`` +p4438 +tp4439 +a(g202 +Vnot_() +p4440 +tp4441 +a(g202 +V`` +p4442 +tp4443 +a(g165 +V, +p4444 +tp4445 +a(g202 +V`` +p4446 +tp4447 +a(g202 +Vtruth() +p4448 +tp4449 +a(g202 +V`` +p4450 +tp4451 +a(g165 +V. +tp4452 +a(g165 +V\u000a +tp4453 +a(g189 +V* +tp4454 +a(g165 +V Bitwise operations +p4455 +tp4456 +a(g165 +V: +tp4457 +a(g165 +V +tp4458 +a(g202 +V`` +p4459 +tp4460 +a(g202 +Vand_() +p4461 +tp4462 +a(g202 +V`` +p4463 +tp4464 +a(g165 +V, +p4465 +tp4466 +a(g202 +V`` +p4467 +tp4468 +a(g202 +Vor_() +p4469 +tp4470 +a(g202 +V`` +p4471 +tp4472 +a(g165 +V, +p4473 +tp4474 +a(g202 +V`` +p4475 +tp4476 +a(g202 +Vinvert() +p4477 +tp4478 +a(g202 +V`` +p4479 +tp4480 +a(g165 +V. +tp4481 +a(g165 +V\u000a +tp4482 +a(g189 +V* +tp4483 +a(g165 +V Comparisons +p4484 +tp4485 +a(g165 +V: +tp4486 +a(g165 +V +tp4487 +a(g202 +V`` +p4488 +tp4489 +a(g202 +Veq() +p4490 +tp4491 +a(g202 +V`` +p4492 +tp4493 +a(g165 +V, +p4494 +tp4495 +a(g202 +V`` +p4496 +tp4497 +a(g202 +Vne() +p4498 +tp4499 +a(g202 +V`` +p4500 +tp4501 +a(g165 +V, +p4502 +tp4503 +a(g202 +V`` +p4504 +tp4505 +a(g202 +Vlt() +p4506 +tp4507 +a(g202 +V`` +p4508 +tp4509 +a(g165 +V, +p4510 +tp4511 +a(g202 +V`` +p4512 +tp4513 +a(g202 +Vle() +p4514 +tp4515 +a(g202 +V`` +p4516 +tp4517 +a(g165 +V, +p4518 +tp4519 +a(g202 +V`` +p4520 +tp4521 +a(g202 +Vgt() +p4522 +tp4523 +a(g202 +V`` +p4524 +tp4525 +a(g165 +V, and +p4526 +tp4527 +a(g202 +V`` +p4528 +tp4529 +a(g202 +Vge() +p4530 +tp4531 +a(g202 +V`` +p4532 +tp4533 +a(g165 +V. +tp4534 +a(g165 +V\u000a +tp4535 +a(g189 +V* +tp4536 +a(g165 +V Object identity +p4537 +tp4538 +a(g165 +V: +tp4539 +a(g165 +V +tp4540 +a(g202 +V`` +p4541 +tp4542 +a(g202 +Vis_() +p4543 +tp4544 +a(g202 +V`` +p4545 +tp4546 +a(g165 +V, +p4547 +tp4548 +a(g202 +V`` +p4549 +tp4550 +a(g202 +Vis_not() +p4551 +tp4552 +a(g202 +V`` +p4553 +tp4554 +a(g165 +V. +tp4555 +a(g165 +V\u000a +tp4556 +a(g165 +V\u000a +tp4557 +a(g165 +VConsult +p4558 +tp4559 +a(g202 +V`the operator module's documentation +p4560 +tp4561 +a(g209 +V +p4562 +tp4563 +a(g202 +V`__ +p4564 +tp4565 +a(g165 +V for a complete +p4566 +tp4567 +a(g165 +V\u000a +tp4568 +a(g165 +Vlist. +p4569 +tp4570 +a(g165 +V\u000a +tp4571 +a(g165 +V\u000a +tp4572 +a(g165 +V\u000a +tp4573 +a(g165 +V\u000a +tp4574 +a(g7 +VThe functional module +p4575 +tp4576 +a(g165 +V\u000a +tp4577 +a(g7 +V--------------------- +p4578 +tp4579 +a(g165 +V\u000a +tp4580 +a(g165 +V\u000a +tp4581 +a(g165 +VCollin Winter's +p4582 +tp4583 +a(g202 +V`functional module +p4584 +tp4585 +a(g209 +V +p4586 +tp4587 +a(g202 +V`__ +p4588 +tp4589 +a(g165 +V +tp4590 +a(g165 +V\u000a +tp4591 +a(g165 +Vprovides a number of more +p4592 +tp4593 +a(g165 +V\u000a +tp4594 +a(g165 +Vadvanced tools for functional programming. It also reimplements +p4595 +tp4596 +a(g165 +V\u000a +tp4597 +a(g165 +Vseveral Python built-ins, trying to make them more intuitive to those +p4598 +tp4599 +a(g165 +V\u000a +tp4600 +a(g165 +Vused to functional programming in other languages. +p4601 +tp4602 +a(g165 +V\u000a +tp4603 +a(g165 +V\u000a +tp4604 +a(g165 +VThis section contains an introduction to some of the most important +p4605 +tp4606 +a(g165 +V\u000a +tp4607 +a(g165 +Vfunctions in +p4608 +tp4609 +a(g202 +V`` +p4610 +tp4611 +a(g202 +Vfunctional +p4612 +tp4613 +a(g202 +V`` +p4614 +tp4615 +a(g165 +V; full documentation can be found at +p4616 +tp4617 +a(g165 +V` +tp4618 +a(g165 +Vthe +p4619 +tp4620 +a(g165 +V\u000a +tp4621 +a(g165 +Vproject's website +p4625 +tp4626 +a(g165 +V` +tp4627 +a(g165 +V__. +p4628 +tp4629 +a(g165 +V\u000a +tp4630 +a(g165 +V\u000a +tp4631 +a(g202 +V`` +p4632 +tp4633 +a(g202 +Vcompose(outer, inner, unpack=False) +p4634 +tp4635 +a(g202 +V`` +p4636 +tp4637 +a(g165 +V\u000a +tp4638 +a(g165 +V\u000a +tp4639 +a(g165 +VThe +p4640 +tp4641 +a(g202 +V`` +p4642 +tp4643 +a(g202 +Vcompose() +p4644 +tp4645 +a(g202 +V`` +p4646 +tp4647 +a(g165 +V function implements function composition. +p4648 +tp4649 +a(g165 +V\u000a +tp4650 +a(g165 +VIn other words, it returns a wrapper around the +p4651 +tp4652 +a(g202 +V`` +p4653 +tp4654 +a(g202 +Vouter +p4655 +tp4656 +a(g202 +V`` +p4657 +tp4658 +a(g165 +V and +p4659 +tp4660 +a(g202 +V`` +p4661 +tp4662 +a(g202 +Vinner +p4663 +tp4664 +a(g202 +V`` +p4665 +tp4666 +a(g165 +V callables, such +p4667 +tp4668 +a(g165 +V\u000a +tp4669 +a(g165 +Vthat the return value from +p4670 +tp4671 +a(g202 +V`` +p4672 +tp4673 +a(g202 +Vinner +p4674 +tp4675 +a(g202 +V`` +p4676 +tp4677 +a(g165 +V is fed directly to +p4678 +tp4679 +a(g202 +V`` +p4680 +tp4681 +a(g202 +Vouter +p4682 +tp4683 +a(g202 +V`` +p4684 +tp4685 +a(g165 +V. That is, +p4686 +tp4687 +a(g165 +V\u000a +tp4688 +a(g165 +V\u000a +tp4689 +a(g228 +V:: +p4690 +tp4691 +a(g165 +V\u000a\u000a +p4692 +tp4693 +a(g202 +V +p4694 +tp4695 +a(g202 +V>>> def add(a, b): +p4696 +tp4697 +a(g165 +V\u000a +tp4698 +a(g202 +V ... return a + b\u000a ...\u000a >>> def double(a):\u000a ... return 2 * a\u000a ...\u000a >>> compose(double, add)(5, 6)\u000a 22\u000a\u000a +p4699 +tp4700 +a(g165 +Vis equivalent to +p4701 +tp4702 +a(g165 +V\u000a +tp4703 +a(g165 +V\u000a +tp4704 +a(g228 +V:: +p4705 +tp4706 +a(g165 +V\u000a\u000a +p4707 +tp4708 +a(g202 +V +p4709 +tp4710 +a(g202 +V>>> double(add(5, 6)) +p4711 +tp4712 +a(g165 +V\u000a +tp4713 +a(g202 +V 22\u000a \u000a +p4714 +tp4715 +a(g165 +VThe +p4716 +tp4717 +a(g202 +V`` +p4718 +tp4719 +a(g202 +Vunpack +p4720 +tp4721 +a(g202 +V`` +p4722 +tp4723 +a(g165 +V keyword is provided to work around the fact that Python functions are not always +p4724 +tp4725 +a(g165 +V\u000a +tp4726 +a(g202 +V`fully curried +p4727 +tp4728 +a(g209 +V +p4729 +tp4730 +a(g202 +V`__ +p4731 +tp4732 +a(g165 +V. +tp4733 +a(g165 +V\u000a +tp4734 +a(g165 +VBy default, it is expected that the +p4735 +tp4736 +a(g202 +V`` +p4737 +tp4738 +a(g202 +Vinner +p4739 +tp4740 +a(g202 +V`` +p4741 +tp4742 +a(g165 +V function will return a single object and that the +p4743 +tp4744 +a(g202 +V`` +p4745 +tp4746 +a(g202 +Vouter +p4747 +tp4748 +a(g202 +V`` +p4749 +tp4750 +a(g165 +V\u000a +tp4751 +a(g165 +Vfunction will take a single argument. Setting the +p4752 +tp4753 +a(g202 +V`` +p4754 +tp4755 +a(g202 +Vunpack +p4756 +tp4757 +a(g202 +V`` +p4758 +tp4759 +a(g165 +V argument causes +p4760 +tp4761 +a(g202 +V`` +p4762 +tp4763 +a(g202 +Vcompose +p4764 +tp4765 +a(g202 +V`` +p4766 +tp4767 +a(g165 +V to expect a +p4768 +tp4769 +a(g165 +V\u000a +tp4770 +a(g165 +Vtuple from +p4771 +tp4772 +a(g202 +V`` +p4773 +tp4774 +a(g202 +Vinner +p4775 +tp4776 +a(g202 +V`` +p4777 +tp4778 +a(g165 +V which will be expanded before being passed to +p4779 +tp4780 +a(g202 +V`` +p4781 +tp4782 +a(g202 +Vouter +p4783 +tp4784 +a(g202 +V`` +p4785 +tp4786 +a(g165 +V. Put simply, +p4787 +tp4788 +a(g165 +V\u000a +tp4789 +a(g165 +V\u000a +tp4790 +a(g228 +V:: +p4791 +tp4792 +a(g165 +V\u000a\u000a +p4793 +tp4794 +a(g202 +V +p4795 +tp4796 +a(g202 +Vcompose(f, g)(5, 6) +p4797 +tp4798 +a(g165 +V\u000a +tp4799 +a(g202 +V \u000a +p4800 +tp4801 +a(g165 +Vis equivalent to +p4802 +tp4803 +a(g228 +V:: +p4804 +tp4805 +a(g165 +V\u000a\u000a +p4806 +tp4807 +a(g202 +V +p4808 +tp4809 +a(g202 +Vf(g(5, 6)) +p4810 +tp4811 +a(g165 +V\u000a +tp4812 +a(g202 +V \u000a +p4813 +tp4814 +a(g165 +Vwhile +p4815 +tp4816 +a(g165 +V\u000a +tp4817 +a(g165 +V\u000a +tp4818 +a(g228 +V:: +p4819 +tp4820 +a(g165 +V\u000a\u000a +p4821 +tp4822 +a(g202 +V +p4823 +tp4824 +a(g202 +Vcompose(f, g, unpack=True)(5, 6) +p4825 +tp4826 +a(g165 +V\u000a +tp4827 +a(g202 +V \u000a +p4828 +tp4829 +a(g165 +Vis equivalent to +p4830 +tp4831 +a(g228 +V:: +p4832 +tp4833 +a(g165 +V\u000a\u000a +p4834 +tp4835 +a(g202 +V +p4836 +tp4837 +a(g202 +Vf(*g(5, 6)) +p4838 +tp4839 +a(g165 +V\u000a +tp4840 +a(g202 +V\u000a +tp4841 +a(g165 +VEven though +p4842 +tp4843 +a(g202 +V`` +p4844 +tp4845 +a(g202 +Vcompose() +p4846 +tp4847 +a(g202 +V`` +p4848 +tp4849 +a(g165 +V only accepts two functions, it's trivial to +p4850 +tp4851 +a(g165 +V\u000a +tp4852 +a(g165 +Vbuild up a version that will compose any number of functions. We'll +p4853 +tp4854 +a(g165 +V\u000a +tp4855 +a(g165 +Vuse +p4856 +tp4857 +a(g202 +V`` +p4858 +tp4859 +a(g202 +Vreduce() +p4860 +tp4861 +a(g202 +V`` +p4862 +tp4863 +a(g165 +V, +p4864 +tp4865 +a(g202 +V`` +p4866 +tp4867 +a(g202 +Vcompose() +p4868 +tp4869 +a(g202 +V`` +p4870 +tp4871 +a(g165 +V and +p4872 +tp4873 +a(g202 +V`` +p4874 +tp4875 +a(g202 +Vpartial() +p4876 +tp4877 +a(g202 +V`` +p4878 +tp4879 +a(g165 +V (the last of which +p4880 +tp4881 +a(g165 +V\u000a +tp4882 +a(g165 +Vis provided by both +p4883 +tp4884 +a(g202 +V`` +p4885 +tp4886 +a(g202 +Vfunctional +p4887 +tp4888 +a(g202 +V`` +p4889 +tp4890 +a(g165 +V and +p4891 +tp4892 +a(g202 +V`` +p4893 +tp4894 +a(g202 +Vfunctools +p4895 +tp4896 +a(g202 +V`` +p4897 +tp4898 +a(g165 +V). +p4899 +tp4900 +a(g165 +V\u000a +tp4901 +a(g165 +V\u000a +tp4902 +a(g228 +V:: +p4903 +tp4904 +a(g165 +V\u000a\u000a +p4905 +tp4906 +a(g202 +V +p4907 +tp4908 +a(g202 +Vfrom functional import compose, partial +p4909 +tp4910 +a(g165 +V\u000a +tp4911 +a(g202 +V \u000a multi_compose = partial(reduce, compose)\u000a \u000a +p4912 +tp4913 +a(g165 +V +p4914 +tp4915 +a(g165 +V\u000a +tp4916 +a(g165 +VWe can also use +p4917 +tp4918 +a(g202 +V`` +p4919 +tp4920 +a(g202 +Vmap() +p4921 +tp4922 +a(g202 +V`` +p4923 +tp4924 +a(g165 +V, +p4925 +tp4926 +a(g202 +V`` +p4927 +tp4928 +a(g202 +Vcompose() +p4929 +tp4930 +a(g202 +V`` +p4931 +tp4932 +a(g165 +V and +p4933 +tp4934 +a(g202 +V`` +p4935 +tp4936 +a(g202 +Vpartial() +p4937 +tp4938 +a(g202 +V`` +p4939 +tp4940 +a(g165 +V to craft a +p4941 +tp4942 +a(g165 +V\u000a +tp4943 +a(g165 +Vversion of +p4944 +tp4945 +a(g202 +V`` +p4946 +tp4947 +a(g202 +V"".join(...) +p4948 +tp4949 +a(g202 +V`` +p4950 +tp4951 +a(g165 +V that converts its arguments to string +p4952 +tp4953 +a(g228 +V:: +p4954 +tp4955 +a(g165 +V\u000a\u000a +p4956 +tp4957 +a(g202 +V +p4958 +tp4959 +a(g202 +Vfrom functional import compose, partial +p4960 +tp4961 +a(g165 +V\u000a +tp4962 +a(g202 +V \u000a join = compose("".join, partial(map, str))\u000a\u000a\u000a +p4963 +tp4964 +a(g202 +V`` +p4965 +tp4966 +a(g202 +Vflip(func) +p4967 +tp4968 +a(g202 +V`` +p4969 +tp4970 +a(g165 +V\u000a +tp4971 +a(g165 +V +p4972 +tp4973 +a(g165 +V\u000a +tp4974 +a(g202 +V`` +p4975 +tp4976 +a(g202 +Vflip() +p4977 +tp4978 +a(g202 +V`` +p4979 +tp4980 +a(g165 +V wraps the callable in +p4981 +tp4982 +a(g202 +V`` +p4983 +tp4984 +a(g202 +Vfunc +p4985 +tp4986 +a(g202 +V`` +p4987 +tp4988 +a(g165 +V and +p4989 +tp4990 +a(g165 +V\u000a +tp4991 +a(g165 +Vcauses it to receive its non-keyword arguments in reverse order. +p4992 +tp4993 +a(g165 +V\u000a +tp4994 +a(g165 +V\u000a +tp4995 +a(g228 +V:: +p4996 +tp4997 +a(g165 +V\u000a\u000a +p4998 +tp4999 +a(g202 +V +p5000 +tp5001 +a(g202 +V>>> def triple(a, b, c): +p5002 +tp5003 +a(g165 +V\u000a +tp5004 +a(g202 +V ... return (a, b, c)\u000a ...\u000a >>> triple(5, 6, 7)\u000a (5, 6, 7)\u000a >>>\u000a >>> flipped_triple = flip(triple)\u000a >>> flipped_triple(5, 6, 7)\u000a (7, 6, 5)\u000a\u000a +p5005 +tp5006 +a(g202 +V`` +p5007 +tp5008 +a(g202 +Vfoldl(func, start, iterable) +p5009 +tp5010 +a(g202 +V`` +p5011 +tp5012 +a(g165 +V\u000a +tp5013 +a(g165 +V +p5014 +tp5015 +a(g165 +V\u000a +tp5016 +a(g202 +V`` +p5017 +tp5018 +a(g202 +Vfoldl() +p5019 +tp5020 +a(g202 +V`` +p5021 +tp5022 +a(g165 +V takes a binary function, a starting value (usually some kind of 'zero'), and an iterable. +p5023 +tp5024 +a(g165 +V\u000a +tp5025 +a(g165 +VThe function is applied to the starting value and the first element of the list, then the result of +p5026 +tp5027 +a(g165 +V\u000a +tp5028 +a(g165 +Vthat and the second element of the list, then the result of that and the third element of the list, +p5029 +tp5030 +a(g165 +V\u000a +tp5031 +a(g165 +Vand so on. +p5032 +tp5033 +a(g165 +V\u000a +tp5034 +a(g165 +V\u000a +tp5035 +a(g165 +VThis means that a call such as +p5036 +tp5037 +a(g228 +V:: +p5038 +tp5039 +a(g165 +V\u000a\u000a +p5040 +tp5041 +a(g202 +V +p5042 +tp5043 +a(g202 +Vfoldl(f, 0, [1, 2, 3]) +p5044 +tp5045 +a(g165 +V\u000a +tp5046 +a(g202 +V\u000a +tp5047 +a(g165 +Vis equivalent to +p5048 +tp5049 +a(g228 +V:: +p5050 +tp5051 +a(g165 +V\u000a\u000a +p5052 +tp5053 +a(g202 +V +p5054 +tp5055 +a(g202 +Vf(f(f(0, 1), 2), 3) +p5056 +tp5057 +a(g165 +V\u000a +tp5058 +a(g202 +V\u000a +tp5059 +a(g165 +V +p5060 +tp5061 +a(g165 +V\u000a +tp5062 +a(g202 +V`` +p5063 +tp5064 +a(g202 +Vfoldl() +p5065 +tp5066 +a(g202 +V`` +p5067 +tp5068 +a(g165 +V is roughly equivalent to the following recursive function +p5069 +tp5070 +a(g228 +V:: +p5071 +tp5072 +a(g165 +V\u000a\u000a +p5073 +tp5074 +a(g202 +V +p5075 +tp5076 +a(g202 +Vdef foldl(func, start, seq): +p5077 +tp5078 +a(g165 +V\u000a +tp5079 +a(g202 +V if len(seq) == 0:\u000a return start\u000a\u000a return foldl(func, func(start, seq[0]), seq[1:])\u000a\u000a +p5080 +tp5081 +a(g165 +VSpeaking of equivalence, the above +p5082 +tp5083 +a(g202 +V`` +p5084 +tp5085 +a(g202 +Vfoldl +p5086 +tp5087 +a(g202 +V`` +p5088 +tp5089 +a(g165 +V call can be expressed in terms of the built-in +p5090 +tp5091 +a(g202 +V`` +p5092 +tp5093 +a(g202 +Vreduce +p5094 +tp5095 +a(g202 +V`` +p5096 +tp5097 +a(g165 +V like +p5098 +tp5099 +a(g165 +V\u000a +tp5100 +a(g165 +Vso +p5101 +tp5102 +a(g228 +V:: +p5103 +tp5104 +a(g165 +V\u000a\u000a +p5105 +tp5106 +a(g202 +V +p5107 +tp5108 +a(g202 +Vreduce(f, [1, 2, 3], 0) +p5109 +tp5110 +a(g165 +V\u000a +tp5111 +a(g202 +V\u000a\u000a +p5112 +tp5113 +a(g165 +VWe can use +p5114 +tp5115 +a(g202 +V`` +p5116 +tp5117 +a(g202 +Vfoldl() +p5118 +tp5119 +a(g202 +V`` +p5120 +tp5121 +a(g165 +V, +p5122 +tp5123 +a(g202 +V`` +p5124 +tp5125 +a(g202 +Voperator.concat() +p5126 +tp5127 +a(g202 +V`` +p5128 +tp5129 +a(g165 +V and +p5130 +tp5131 +a(g202 +V`` +p5132 +tp5133 +a(g202 +Vpartial() +p5134 +tp5135 +a(g202 +V`` +p5136 +tp5137 +a(g165 +V to +p5138 +tp5139 +a(g165 +V\u000a +tp5140 +a(g165 +Vwrite a cleaner, more aesthetically-pleasing version of Python's +p5141 +tp5142 +a(g165 +V\u000a +tp5143 +a(g202 +V`` +p5144 +tp5145 +a(g202 +V"".join(...) +p5146 +tp5147 +a(g202 +V`` +p5148 +tp5149 +a(g165 +V idiom +p5150 +tp5151 +a(g228 +V:: +p5152 +tp5153 +a(g165 +V\u000a\u000a +p5154 +tp5155 +a(g202 +V +p5156 +tp5157 +a(g202 +Vfrom functional import foldl, partial +p5158 +tp5159 +a(g165 +V\u000a +tp5160 +a(g202 +V from operator import concat\u000a \u000a join = partial(foldl, concat, "")\u000a\u000a\u000a +p5161 +tp5162 +a(g7 +VRevision History and Acknowledgements +p5163 +tp5164 +a(g165 +V\u000a +tp5165 +a(g7 +V------------------------------------------------ +p5166 +tp5167 +a(g165 +V\u000a +tp5168 +a(g165 +V\u000a +tp5169 +a(g165 +VThe author would like to thank the following people for offering +p5170 +tp5171 +a(g165 +V\u000a +tp5172 +a(g165 +Vsuggestions, corrections and assistance with various drafts of this +p5173 +tp5174 +a(g165 +V\u000a +tp5175 +a(g165 +Varticle +p5176 +tp5177 +a(g165 +V: +tp5178 +a(g165 +V Ian Bicking, Nick Coghlan, Nick Efford, Raymond Hettinger, +p5179 +tp5180 +a(g165 +V\u000a +tp5181 +a(g165 +VJim Jewett, Mike Krell, Leandro Lameiro, Jussi Salmela, +p5182 +tp5183 +a(g165 +V\u000a +tp5184 +a(g165 +VCollin Winter, Blake Winton. +p5185 +tp5186 +a(g165 +V\u000a +tp5187 +a(g165 +V\u000a +tp5188 +a(g165 +VVersion 0.1 +p5189 +tp5190 +a(g165 +V: +tp5191 +a(g165 +V posted June 30 2006. +p5192 +tp5193 +a(g165 +V\u000a +tp5194 +a(g165 +V\u000a +tp5195 +a(g165 +VVersion 0.11 +p5196 +tp5197 +a(g165 +V: +tp5198 +a(g165 +V posted July 1 2006. Typo fixes. +p5199 +tp5200 +a(g165 +V\u000a +tp5201 +a(g165 +V\u000a +tp5202 +a(g165 +VVersion 0.2 +p5203 +tp5204 +a(g165 +V: +tp5205 +a(g165 +V posted July 10 2006. Merged genexp and listcomp +p5206 +tp5207 +a(g165 +V\u000a +tp5208 +a(g165 +Vsections into one. Typo fixes. +p5209 +tp5210 +a(g165 +V\u000a +tp5211 +a(g165 +V\u000a +tp5212 +a(g165 +VVersion 0.21 +p5213 +tp5214 +a(g165 +V: +tp5215 +a(g165 +V Added more references suggested on the tutor mailing list. +p5216 +tp5217 +a(g165 +V\u000a +tp5218 +a(g165 +V\u000a +tp5219 +a(g165 +VVersion 0.30 +p5220 +tp5221 +a(g165 +V: +tp5222 +a(g165 +V Adds a section on the +p5223 +tp5224 +a(g202 +V`` +p5225 +tp5226 +a(g202 +Vfunctional +p5227 +tp5228 +a(g202 +V`` +p5229 +tp5230 +a(g165 +V module written by +p5231 +tp5232 +a(g165 +V\u000a +tp5233 +a(g165 +VCollin Winter; adds short section on the operator module; a few other +p5234 +tp5235 +a(g165 +V\u000a +tp5236 +a(g165 +Vedits. +p5237 +tp5238 +a(g165 +V\u000a +tp5239 +a(g165 +V\u000a +tp5240 +a(g165 +V\u000a +tp5241 +a(g7 +VReferences +p5242 +tp5243 +a(g165 +V\u000a +tp5244 +a(g7 +V-------------------- +p5245 +tp5246 +a(g165 +V\u000a +tp5247 +a(g165 +V\u000a +tp5248 +a(g7 +VGeneral +p5249 +tp5250 +a(g165 +V\u000a +tp5251 +a(g7 +V''''''''''''''' +p5252 +tp5253 +a(g165 +V\u000a +tp5254 +a(g165 +V\u000a +tp5255 +a(g359 +V**Structure and Interpretation of Computer Programs** +p5256 +tp5257 +a(g165 +V, by +p5258 +tp5259 +a(g165 +V\u000a +tp5260 +a(g165 +VHarold Abelson and Gerald Jay Sussman with Julie Sussman. +p5261 +tp5262 +a(g165 +V\u000a +tp5263 +a(g165 +VFull text at http +p5264 +tp5265 +a(g165 +V: +tp5266 +a(g165 +V//mitpress.mit.edu/sicp/. +p5267 +tp5268 +a(g165 +V\u000a +tp5269 +a(g165 +VIn this classic textbook of computer science, chapters 2 and 3 discuss the +p5270 +tp5271 +a(g165 +V\u000a +tp5272 +a(g165 +Vuse of sequences and streams to organize the data flow inside a +p5273 +tp5274 +a(g165 +V\u000a +tp5275 +a(g165 +Vprogram. The book uses Scheme for its examples, but many of the +p5276 +tp5277 +a(g165 +V\u000a +tp5278 +a(g165 +Vdesign approaches described in these chapters are applicable to +p5279 +tp5280 +a(g165 +V\u000a +tp5281 +a(g165 +Vfunctional-style Python code. +p5282 +tp5283 +a(g165 +V\u000a +tp5284 +a(g165 +V\u000a +tp5285 +a(g165 +Vhttp +p5286 +tp5287 +a(g165 +V: +tp5288 +a(g165 +V//www.defmacro.org/ramblings/fp.html +p5289 +tp5290 +a(g165 +V: +tp5291 +a(g165 +V A general +p5292 +tp5293 +a(g165 +V\u000a +tp5294 +a(g165 +Vintroduction to functional programming that uses Java examples +p5295 +tp5296 +a(g165 +V\u000a +tp5297 +a(g165 +Vand has a lengthy historical introduction. +p5298 +tp5299 +a(g165 +V\u000a +tp5300 +a(g165 +V\u000a +tp5301 +a(g165 +Vhttp +p5302 +tp5303 +a(g165 +V: +tp5304 +a(g165 +V//en.wikipedia.org/wiki/Functional_programming +p5305 +tp5306 +a(g165 +V: +tp5307 +a(g165 +V\u000a +tp5308 +a(g165 +VGeneral Wikipedia entry describing functional programming. +p5309 +tp5310 +a(g165 +V\u000a +tp5311 +a(g165 +V\u000a +tp5312 +a(g165 +Vhttp +p5313 +tp5314 +a(g165 +V: +tp5315 +a(g165 +V//en.wikipedia.org/wiki/Coroutine +p5316 +tp5317 +a(g165 +V: +tp5318 +a(g165 +V\u000a +tp5319 +a(g165 +VEntry for coroutines. +p5320 +tp5321 +a(g165 +V\u000a +tp5322 +a(g165 +V\u000a +tp5323 +a(g165 +Vhttp +p5324 +tp5325 +a(g165 +V: +tp5326 +a(g165 +V//en.wikipedia.org/wiki/Currying +p5327 +tp5328 +a(g165 +V: +tp5329 +a(g165 +V\u000a +tp5330 +a(g165 +VEntry for the concept of currying. +p5331 +tp5332 +a(g165 +V\u000a +tp5333 +a(g165 +V\u000a +tp5334 +a(g7 +VPython-specific +p5335 +tp5336 +a(g165 +V\u000a +tp5337 +a(g7 +V''''''''''''''''''''''''''' +p5338 +tp5339 +a(g165 +V\u000a +tp5340 +a(g165 +V\u000a +tp5341 +a(g165 +Vhttp +p5342 +tp5343 +a(g165 +V: +tp5344 +a(g165 +V//gnosis.cx/TPiP/ +p5345 +tp5346 +a(g165 +V: +tp5347 +a(g165 +V\u000a +tp5348 +a(g165 +VThe first chapter of David Mertz's book +p5349 +tp5350 +a(g66 +V:title-reference: +p5351 +tp5352 +a(g98 +V`Text Processing in Python` +p5353 +tp5354 +a(g165 +V +tp5355 +a(g165 +V\u000a +tp5356 +a(g165 +Vdiscusses functional programming for text processing, in the section titled +p5357 +tp5358 +a(g165 +V\u000a +tp5359 +a(g165 +V"Utilizing Higher-Order Functions in Text Processing". +p5360 +tp5361 +a(g165 +V\u000a +tp5362 +a(g165 +V\u000a +tp5363 +a(g165 +VMertz also wrote a 3-part series of articles on functional programming +p5364 +tp5365 +a(g165 +V\u000a +tp5366 +a(g165 +Vfor IBM's DeveloperWorks site; see +p5367 +tp5368 +a(g165 +V\u000a +tp5369 +a(g202 +V`part 1 +p5370 +tp5371 +a(g209 +V +p5372 +tp5373 +a(g202 +V`__ +p5374 +tp5375 +a(g165 +V, +tp5376 +a(g165 +V\u000a +tp5377 +a(g202 +V`part 2 +p5378 +tp5379 +a(g209 +V +p5380 +tp5381 +a(g202 +V`__ +p5382 +tp5383 +a(g165 +V, and +p5384 +tp5385 +a(g165 +V\u000a +tp5386 +a(g202 +V`part 3 +p5387 +tp5388 +a(g209 +V +p5389 +tp5390 +a(g202 +V`__ +p5391 +tp5392 +a(g165 +V, +tp5393 +a(g165 +V\u000a +tp5394 +a(g165 +V\u000a +tp5395 +a(g165 +V\u000a +tp5396 +a(g7 +VPython documentation +p5397 +tp5398 +a(g165 +V\u000a +tp5399 +a(g7 +V''''''''''''''''''''''''''' +p5400 +tp5401 +a(g165 +V\u000a +tp5402 +a(g165 +V\u000a +tp5403 +a(g165 +Vhttp +p5404 +tp5405 +a(g165 +V: +tp5406 +a(g165 +V//docs.python.org/lib/module-itertools.html +p5407 +tp5408 +a(g165 +V: +tp5409 +a(g165 +V\u000a +tp5410 +a(g165 +VDocumentation for the +p5411 +tp5412 +a(g202 +V`` +p5413 +tp5414 +a(g202 +Vitertools +p5415 +tp5416 +a(g202 +V`` +p5417 +tp5418 +a(g165 +V module. +p5419 +tp5420 +a(g165 +V\u000a +tp5421 +a(g165 +V\u000a +tp5422 +a(g165 +Vhttp +p5423 +tp5424 +a(g165 +V: +tp5425 +a(g165 +V//docs.python.org/lib/module-operator.html +p5426 +tp5427 +a(g165 +V: +tp5428 +a(g165 +V\u000a +tp5429 +a(g165 +VDocumentation for the +p5430 +tp5431 +a(g202 +V`` +p5432 +tp5433 +a(g202 +Voperator +p5434 +tp5435 +a(g202 +V`` +p5436 +tp5437 +a(g165 +V module. +p5438 +tp5439 +a(g165 +V\u000a +tp5440 +a(g165 +V\u000a +tp5441 +a(g165 +Vhttp +p5442 +tp5443 +a(g165 +V: +tp5444 +a(g165 +V//www.python.org/dev/peps/pep-0289/ +p5445 +tp5446 +a(g165 +V: +tp5447 +a(g165 +V\u000a +tp5448 +a(g165 +VPEP 289 +p5449 +tp5450 +a(g165 +V: +tp5451 +a(g165 +V "Generator Expressions" +p5452 +tp5453 +a(g165 +V\u000a +tp5454 +a(g165 +V\u000a +tp5455 +a(g165 +Vhttp +p5456 +tp5457 +a(g165 +V: +tp5458 +a(g165 +V//www.python.org/dev/peps/pep-0342/ +p5459 +tp5460 +a(g165 +V\u000a +tp5461 +a(g165 +VPEP 342 +p5462 +tp5463 +a(g165 +V: +tp5464 +a(g165 +V "Coroutines via Enhanced Generators" describes the new generator +p5465 +tp5466 +a(g165 +V\u000a +tp5467 +a(g165 +Vfeatures in Python 2.5. +p5468 +tp5469 +a(g165 +V\u000a +tp5470 +a(g165 +V\u000a +tp5471 +a(g25 +V.. comment\u000a\u000a Topics to place\u000a -----------------------------\u000a\u000a XXX os.walk()\u000a\u000a XXX Need a large example.\u000a\u000a But will an example add much? I'll post a first draft and see\u000a what the comments say.\u000a\u000a +p5472 +tp5473 +a(g25 +V.. comment\u000a\u000a Original outline:\u000a Introduction\u000a Idea of FP\u000a Programs built out of functions\u000a Functions are strictly input-output, no internal state\u000a Opposed to OO programming, where objects have state\u000a\u000a Why FP?\u000a Formal provability\u000a Assignment is difficult to reason about\u000a Not very relevant to Python\u000a Modularity\u000a Small functions that do one thing\u000a Debuggability:\u000a Easy to test due to lack of state\u000a Easy to verify output from intermediate steps\u000a Composability\u000a You assemble a toolbox of functions that can be mixed\u000a\u000a Tackling a problem\u000a Need a significant example\u000a\u000a Iterators\u000a Generators\u000a The itertools module\u000a List comprehensions\u000a Small functions and the lambda statement\u000a Built-in functions\u000a map\u000a filter\u000a reduce\u000a\u000a +p5474 +tp5475 +a(g25 +V.. comment\u000a\u000a Handy little function for printing part of an iterator -- used\u000a while writing this document.\u000a\u000a import itertools\u000a def print_iter(it):\u000a slice = itertools.islice(it, 10)\u000a for elem in slice[:-1]:\u000a sys.stdout.write(str(elem))\u000a sys.stdout.write(', ')\u000a print elem[-1]\u000a +p5476 +tp5477 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/genclass.clj b/tests/examplefiles/output/genclass.clj new file mode 100644 index 0000000..f6bd1c6 --- /dev/null +++ b/tests/examplefiles/output/genclass.clj @@ -0,0 +1,15877 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV; Copyright (c) Rich Hickey. All rights reserved. +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g7 +V; The use and distribution terms for this software are covered by the +p370 +tp371 +a(g189 +V\u000a +tp372 +a(g7 +V; Common Public License 1.0 (http://opensource.org/licenses/cpl.php) +p373 +tp374 +a(g189 +V\u000a +tp375 +a(g7 +V; which can be found in the file CPL.TXT at the root of this distribution. +p376 +tp377 +a(g189 +V\u000a +tp378 +a(g7 +V; By using this software in any fashion, you are agreeing to be bound by +p379 +tp380 +a(g189 +V\u000a +tp381 +a(g7 +V; the terms of this license. +p382 +tp383 +a(g189 +V\u000a +tp384 +a(g7 +V; You must not remove this notice, or any other, from this software. +p385 +tp386 +a(g189 +V\u000a\u000a +p387 +tp388 +a(g202 +V( +tp389 +a(g57 +Vin-ns +p390 +tp391 +a(g248 +V'clojure +p392 +tp393 +a(g202 +V) +tp394 +a(g189 +V\u000a\u000a +p395 +tp396 +a(g202 +V( +tp397 +a(g57 +Vimport +p398 +tp399 +a(g343 +V' +tp400 +a(g202 +V( +tp401 +a(g73 +Vjava +p402 +tp403 +a(g343 +V. +tp404 +a(g73 +Vlang +p405 +tp406 +a(g343 +V. +tp407 +a(g73 +Vreflect +p408 +tp409 +a(g189 +V +tp410 +a(g73 +VModifier +p411 +tp412 +a(g189 +V +tp413 +a(g73 +VConstructor +p414 +tp415 +a(g202 +V) +tp416 +a(g189 +V\u000a +p417 +tp418 +a(g343 +V' +tp419 +a(g202 +V( +tp420 +a(g73 +Vclojure +p421 +tp422 +a(g343 +V. +tp423 +a(g73 +Vasm +p424 +tp425 +a(g189 +V +tp426 +a(g73 +VClassWriter +p427 +tp428 +a(g189 +V +tp429 +a(g73 +VClassVisitor +p430 +tp431 +a(g189 +V +tp432 +a(g73 +VOpcodes +p433 +tp434 +a(g189 +V +tp435 +a(g73 +VType +p436 +tp437 +a(g202 +V) +tp438 +a(g189 +V\u000a +p439 +tp440 +a(g343 +V' +tp441 +a(g202 +V( +tp442 +a(g73 +Vclojure +p443 +tp444 +a(g343 +V. +tp445 +a(g73 +Vasm +p446 +tp447 +a(g343 +V. +tp448 +a(g73 +Vcommons +p449 +tp450 +a(g189 +V +tp451 +a(g73 +VMethod +p452 +tp453 +a(g189 +V +tp454 +a(g73 +VGeneratorAdapter +p455 +tp456 +a(g202 +V) +tp457 +a(g189 +V\u000a +p458 +tp459 +a(g343 +V' +tp460 +a(g202 +V( +tp461 +a(g73 +Vclojure +p462 +tp463 +a(g343 +V. +tp464 +a(g73 +Vlang +p465 +tp466 +a(g189 +V +tp467 +a(g73 +VIPersistentMap +p468 +tp469 +a(g202 +V) +tp470 +a(g202 +V) +tp471 +a(g189 +V\u000a\u000a +p472 +tp473 +a(g7 +V;(defn method-sig [#^java.lang.reflect.Method meth] +p474 +tp475 +a(g189 +V\u000a +tp476 +a(g7 +V; [(. meth (getName)) (seq (. meth (getParameterTypes)))]) +p477 +tp478 +a(g189 +V\u000a\u000a +p479 +tp480 +a(g202 +V( +tp481 +a(g111 +Vdefn- +p482 +tp483 +a(g73 +Vnon-private-methods +p484 +tp485 +a(g189 +V +tp486 +a(g202 +V[ +tp487 +a(g343 +V# +tp488 +a(g73 +V^Class +p489 +tp490 +a(g189 +V +tp491 +a(g73 +Vc +tp492 +a(g202 +V] +tp493 +a(g189 +V\u000a +p494 +tp495 +a(g202 +V( +tp496 +a(g57 +Vloop +p497 +tp498 +a(g202 +V[ +tp499 +a(g73 +Vmm +p500 +tp501 +a(g189 +V +tp502 +a(g202 +V{ +tp503 +a(g202 +V} +tp504 +a(g189 +V\u000a +p505 +tp506 +a(g73 +Vconsidered +p507 +tp508 +a(g189 +V +tp509 +a(g343 +V# +tp510 +a(g202 +V{ +tp511 +a(g202 +V} +tp512 +a(g189 +V\u000a +p513 +tp514 +a(g73 +Vc +tp515 +a(g189 +V +tp516 +a(g73 +Vc +tp517 +a(g202 +V] +tp518 +a(g189 +V\u000a +p519 +tp520 +a(g202 +V( +tp521 +a(g111 +Vif +p522 +tp523 +a(g73 +Vc +tp524 +a(g189 +V\u000a +p525 +tp526 +a(g202 +V( +tp527 +a(g111 +Vlet +p528 +tp529 +a(g202 +V[ +tp530 +a(g202 +V[ +tp531 +a(g73 +Vmm +p532 +tp533 +a(g189 +V +tp534 +a(g73 +Vconsidered +p535 +tp536 +a(g202 +V] +tp537 +a(g189 +V\u000a +p538 +tp539 +a(g202 +V( +tp540 +a(g57 +Vloop +p541 +tp542 +a(g202 +V[ +tp543 +a(g73 +Vmm +p544 +tp545 +a(g189 +V +tp546 +a(g73 +Vmm +p547 +tp548 +a(g189 +V\u000a +p549 +tp550 +a(g73 +Vconsidered +p551 +tp552 +a(g189 +V +tp553 +a(g73 +Vconsidered +p554 +tp555 +a(g189 +V\u000a +p556 +tp557 +a(g73 +Vmeths +p558 +tp559 +a(g189 +V +tp560 +a(g202 +V( +tp561 +a(g21 +Vconcat +p562 +tp563 +a(g189 +V\u000a +p564 +tp565 +a(g202 +V( +tp566 +a(g57 +Vseq +p567 +tp568 +a(g202 +V( +tp569 +a(g343 +V. +tp570 +a(g189 +V +tp571 +a(g73 +Vc +tp572 +a(g189 +V +tp573 +a(g202 +V( +tp574 +a(g21 +VgetDeclaredMethods +p575 +tp576 +a(g202 +V) +tp577 +a(g202 +V) +tp578 +a(g202 +V) +tp579 +a(g189 +V\u000a +p580 +tp581 +a(g202 +V( +tp582 +a(g57 +Vseq +p583 +tp584 +a(g202 +V( +tp585 +a(g343 +V. +tp586 +a(g189 +V +tp587 +a(g73 +Vc +tp588 +a(g189 +V +tp589 +a(g202 +V( +tp590 +a(g21 +VgetMethods +p591 +tp592 +a(g202 +V) +tp593 +a(g202 +V) +tp594 +a(g202 +V) +tp595 +a(g202 +V) +tp596 +a(g202 +V] +tp597 +a(g189 +V\u000a +p598 +tp599 +a(g202 +V( +tp600 +a(g111 +Vif +p601 +tp602 +a(g73 +Vmeths +p603 +tp604 +a(g189 +V\u000a +p605 +tp606 +a(g202 +V( +tp607 +a(g111 +Vlet +p608 +tp609 +a(g202 +V[ +tp610 +a(g343 +V# +tp611 +a(g73 +V^Method +p612 +tp613 +a(g189 +V +tp614 +a(g73 +Vmeth +p615 +tp616 +a(g189 +V +tp617 +a(g202 +V( +tp618 +a(g57 +Vfirst +p619 +tp620 +a(g73 +Vmeths +p621 +tp622 +a(g202 +V) +tp623 +a(g189 +V\u000a +p624 +tp625 +a(g73 +Vmods +p626 +tp627 +a(g189 +V +tp628 +a(g202 +V( +tp629 +a(g343 +V. +tp630 +a(g189 +V +tp631 +a(g73 +Vmeth +p632 +tp633 +a(g189 +V +tp634 +a(g202 +V( +tp635 +a(g21 +VgetModifiers +p636 +tp637 +a(g202 +V) +tp638 +a(g202 +V) +tp639 +a(g189 +V\u000a +p640 +tp641 +a(g73 +Vmk +p642 +tp643 +a(g189 +V +tp644 +a(g202 +V( +tp645 +a(g21 +Vmethod-sig +p646 +tp647 +a(g189 +V +tp648 +a(g73 +Vmeth +p649 +tp650 +a(g202 +V) +tp651 +a(g202 +V] +tp652 +a(g189 +V\u000a +p653 +tp654 +a(g202 +V( +tp655 +a(g111 +Vif +p656 +tp657 +a(g202 +V( +tp658 +a(g57 +Vor +p659 +tp660 +a(g202 +V( +tp661 +a(g21 +Vconsidered +p662 +tp663 +a(g189 +V +tp664 +a(g73 +Vmk +p665 +tp666 +a(g202 +V) +tp667 +a(g189 +V\u000a +p668 +tp669 +a(g202 +V( +tp670 +a(g343 +V. +tp671 +a(g189 +V +tp672 +a(g73 +VModifier +p673 +tp674 +a(g189 +V +tp675 +a(g202 +V( +tp676 +a(g21 +VisPrivate +p677 +tp678 +a(g189 +V +tp679 +a(g73 +Vmods +p680 +tp681 +a(g202 +V) +tp682 +a(g202 +V) +tp683 +a(g189 +V\u000a +p684 +tp685 +a(g202 +V( +tp686 +a(g343 +V. +tp687 +a(g189 +V +tp688 +a(g73 +VModifier +p689 +tp690 +a(g189 +V +tp691 +a(g202 +V( +tp692 +a(g21 +VisStatic +p693 +tp694 +a(g189 +V +tp695 +a(g73 +Vmods +p696 +tp697 +a(g202 +V) +tp698 +a(g202 +V) +tp699 +a(g189 +V\u000a +p700 +tp701 +a(g202 +V( +tp702 +a(g343 +V. +tp703 +a(g189 +V +tp704 +a(g73 +VModifier +p705 +tp706 +a(g189 +V +tp707 +a(g202 +V( +tp708 +a(g21 +VisFinal +p709 +tp710 +a(g189 +V +tp711 +a(g73 +Vmods +p712 +tp713 +a(g202 +V) +tp714 +a(g202 +V) +tp715 +a(g202 +V) +tp716 +a(g189 +V\u000a +p717 +tp718 +a(g202 +V( +tp719 +a(g21 +Vrecur +p720 +tp721 +a(g189 +V +tp722 +a(g73 +Vmm +p723 +tp724 +a(g189 +V +tp725 +a(g202 +V( +tp726 +a(g57 +Vconj +p727 +tp728 +a(g73 +Vconsidered +p729 +tp730 +a(g189 +V +tp731 +a(g73 +Vmk +p732 +tp733 +a(g202 +V) +tp734 +a(g189 +V +tp735 +a(g202 +V( +tp736 +a(g57 +Vrest +p737 +tp738 +a(g73 +Vmeths +p739 +tp740 +a(g202 +V) +tp741 +a(g202 +V) +tp742 +a(g189 +V\u000a +p743 +tp744 +a(g202 +V( +tp745 +a(g21 +Vrecur +p746 +tp747 +a(g189 +V +tp748 +a(g202 +V( +tp749 +a(g57 +Vassoc +p750 +tp751 +a(g73 +Vmm +p752 +tp753 +a(g189 +V +tp754 +a(g73 +Vmk +p755 +tp756 +a(g189 +V +tp757 +a(g73 +Vmeth +p758 +tp759 +a(g202 +V) +tp760 +a(g189 +V +tp761 +a(g202 +V( +tp762 +a(g57 +Vconj +p763 +tp764 +a(g73 +Vconsidered +p765 +tp766 +a(g189 +V +tp767 +a(g73 +Vmk +p768 +tp769 +a(g202 +V) +tp770 +a(g189 +V +tp771 +a(g202 +V( +tp772 +a(g57 +Vrest +p773 +tp774 +a(g73 +Vmeths +p775 +tp776 +a(g202 +V) +tp777 +a(g202 +V) +tp778 +a(g202 +V) +tp779 +a(g202 +V) +tp780 +a(g189 +V\u000a +p781 +tp782 +a(g202 +V[ +tp783 +a(g73 +Vmm +p784 +tp785 +a(g189 +V +tp786 +a(g73 +Vconsidered +p787 +tp788 +a(g202 +V] +tp789 +a(g202 +V) +tp790 +a(g202 +V) +tp791 +a(g202 +V] +tp792 +a(g189 +V\u000a +p793 +tp794 +a(g202 +V( +tp795 +a(g21 +Vrecur +p796 +tp797 +a(g189 +V +tp798 +a(g73 +Vmm +p799 +tp800 +a(g189 +V +tp801 +a(g73 +Vconsidered +p802 +tp803 +a(g189 +V +tp804 +a(g202 +V( +tp805 +a(g343 +V. +tp806 +a(g189 +V +tp807 +a(g73 +Vc +tp808 +a(g189 +V +tp809 +a(g202 +V( +tp810 +a(g21 +VgetSuperclass +p811 +tp812 +a(g202 +V) +tp813 +a(g202 +V) +tp814 +a(g202 +V) +tp815 +a(g202 +V) +tp816 +a(g189 +V\u000a +p817 +tp818 +a(g73 +Vmm +p819 +tp820 +a(g202 +V) +tp821 +a(g202 +V) +tp822 +a(g202 +V) +tp823 +a(g189 +V\u000a\u000a +p824 +tp825 +a(g202 +V( +tp826 +a(g111 +Vdefn- +p827 +tp828 +a(g73 +Vctor-sigs +p829 +tp830 +a(g189 +V +tp831 +a(g202 +V[ +tp832 +a(g73 +Vsuper +p833 +tp834 +a(g202 +V] +tp835 +a(g189 +V\u000a +p836 +tp837 +a(g202 +V( +tp838 +a(g111 +Vfor +p839 +tp840 +a(g202 +V[ +tp841 +a(g343 +V# +tp842 +a(g73 +V^Constructor +p843 +tp844 +a(g189 +V +tp845 +a(g73 +Vctor +p846 +tp847 +a(g189 +V +tp848 +a(g202 +V( +tp849 +a(g343 +V. +tp850 +a(g189 +V +tp851 +a(g73 +Vsuper +p852 +tp853 +a(g189 +V +tp854 +a(g202 +V( +tp855 +a(g21 +VgetDeclaredConstructors +p856 +tp857 +a(g202 +V) +tp858 +a(g202 +V) +tp859 +a(g189 +V\u000a +p860 +tp861 +a(g73 +V:when +p862 +tp863 +a(g189 +V +tp864 +a(g202 +V( +tp865 +a(g57 +Vnot +p866 +tp867 +a(g202 +V( +tp868 +a(g343 +V. +tp869 +a(g189 +V +tp870 +a(g73 +VModifier +p871 +tp872 +a(g189 +V +tp873 +a(g202 +V( +tp874 +a(g21 +VisPrivate +p875 +tp876 +a(g189 +V +tp877 +a(g202 +V( +tp878 +a(g343 +V. +tp879 +a(g189 +V +tp880 +a(g73 +Vctor +p881 +tp882 +a(g189 +V +tp883 +a(g202 +V( +tp884 +a(g21 +VgetModifiers +p885 +tp886 +a(g202 +V) +tp887 +a(g202 +V) +tp888 +a(g202 +V) +tp889 +a(g202 +V) +tp890 +a(g202 +V) +tp891 +a(g202 +V] +tp892 +a(g189 +V\u000a +p893 +tp894 +a(g202 +V( +tp895 +a(g57 +Vapply +p896 +tp897 +a(g73 +Vvector +p898 +tp899 +a(g189 +V +tp900 +a(g202 +V( +tp901 +a(g343 +V. +tp902 +a(g189 +V +tp903 +a(g73 +Vctor +p904 +tp905 +a(g189 +V +tp906 +a(g202 +V( +tp907 +a(g21 +VgetParameterTypes +p908 +tp909 +a(g202 +V) +tp910 +a(g202 +V) +tp911 +a(g202 +V) +tp912 +a(g202 +V) +tp913 +a(g202 +V) +tp914 +a(g189 +V\u000a\u000a +p915 +tp916 +a(g202 +V( +tp917 +a(g111 +Vdefn- +p918 +tp919 +a(g73 +Vescape-class-name +p920 +tp921 +a(g189 +V +tp922 +a(g202 +V[ +tp923 +a(g73 +Vc +tp924 +a(g202 +V] +tp925 +a(g189 +V\u000a +p926 +tp927 +a(g202 +V( +tp928 +a(g343 +V. +tp929 +a(g343 +V. +tp930 +a(g189 +V +tp931 +a(g202 +V( +tp932 +a(g343 +V. +tp933 +a(g73 +VgetSimpleName +p934 +tp935 +a(g189 +V +tp936 +a(g73 +Vc +tp937 +a(g202 +V) +tp938 +a(g189 +V \u000a +p939 +tp940 +a(g202 +V( +tp941 +a(g57 +Vreplace +p942 +tp943 +a(g226 +V"[]" +p944 +tp945 +a(g189 +V +tp946 +a(g226 +V"<>" +p947 +tp948 +a(g202 +V) +tp949 +a(g202 +V) +tp950 +a(g202 +V) +tp951 +a(g189 +V\u000a\u000a +p952 +tp953 +a(g202 +V( +tp954 +a(g111 +Vdefn- +p955 +tp956 +a(g73 +Voverload-name +p957 +tp958 +a(g189 +V +tp959 +a(g202 +V[ +tp960 +a(g73 +Vmname +p961 +tp962 +a(g189 +V +tp963 +a(g73 +Vpclasses +p964 +tp965 +a(g202 +V] +tp966 +a(g189 +V\u000a +p967 +tp968 +a(g202 +V( +tp969 +a(g111 +Vif +p970 +tp971 +a(g202 +V( +tp972 +a(g57 +Vseq +p973 +tp974 +a(g73 +Vpclasses +p975 +tp976 +a(g202 +V) +tp977 +a(g189 +V\u000a +p978 +tp979 +a(g202 +V( +tp980 +a(g57 +Vapply +p981 +tp982 +a(g73 +Vstr +p983 +tp984 +a(g189 +V +tp985 +a(g73 +Vmname +p986 +tp987 +a(g189 +V +tp988 +a(g202 +V( +tp989 +a(g57 +Vinterleave +p990 +tp991 +a(g202 +V( +tp992 +a(g57 +Vrepeat +p993 +tp994 +a(g268 +V\u005c- +p995 +tp996 +a(g202 +V) +tp997 +a(g189 +V \u000a +p998 +tp999 +a(g202 +V( +tp1000 +a(g57 +Vmap +p1001 +tp1002 +a(g73 +Vescape-class-name +p1003 +tp1004 +a(g189 +V +tp1005 +a(g73 +Vpclasses +p1006 +tp1007 +a(g202 +V) +tp1008 +a(g202 +V) +tp1009 +a(g202 +V) +tp1010 +a(g189 +V\u000a +p1011 +tp1012 +a(g202 +V( +tp1013 +a(g57 +Vstr +p1014 +tp1015 +a(g73 +Vmname +p1016 +tp1017 +a(g189 +V +tp1018 +a(g226 +V"-void" +p1019 +tp1020 +a(g202 +V) +tp1021 +a(g202 +V) +tp1022 +a(g202 +V) +tp1023 +a(g189 +V\u000a\u000a +p1024 +tp1025 +a(g7 +V;(distinct (map first(keys (mapcat non-private-methods [Object IPersistentMap])))) +p1026 +tp1027 +a(g189 +V\u000a\u000a +p1028 +tp1029 +a(g202 +V( +tp1030 +a(g111 +Vdefn +p1031 +tp1032 +a(g73 +Vgen-class +p1033 +tp1034 +a(g189 +V \u000a +p1035 +tp1036 +a(g226 +V"Generates compiled bytecode for a class with the given\u000a package-qualified cname (which, as all names in these parameters, can\u000a be a string or symbol). The gen-class construct contains no\u000a implementation, as the implementation will be dynamically sought by\u000a the generated class in functions in a corresponding Clojure\u000a namespace. Given a generated class org.mydomain.MyClass, methods\u000a will be implemented that look for same-named functions in a Clojure\u000a namespace called org.domain.MyClass. The init and main\u000a functions (see below) will be found similarly. The static\u000a initializer for the generated class will attempt to load the Clojure\u000a support code for the class as a resource from the claspath, e.g. in\u000a the example case, org/mydomain/MyClass.clj\u000a\u000a Returns a map containing :name and :bytecode. Most uses will be\u000a satisfied by the higher-level gen-and-load-class and\u000a gen-and-store-class functions, which generate and immediately load,\u000a or generate and store to disk, respectively.\u000a\u000a Options should be a set of key/value pairs, all of which are optional:\u000a\u000a :extends aclass\u000a\u000a Specifies the superclass, the non-private methods of which will be\u000a overridden by the class. If not provided, defaults to Object.\u000a\u000a :implements [interface ...]\u000a\u000a One or more interfaces, the methods of which will be implemented by the class.\u000a\u000a :init name\u000a\u000a If supplied, names a function that will be called with the arguments\u000a to the constructor. Must return [[superclass-constructor-args] state] \u000a If not supplied, the constructor args are passed directly to\u000a the superclass constructor and the state will be nil\u000a\u000a :constructors {[param-types] [super-param-types], ...}\u000a\u000a By default, constructors are created for the generated class which\u000a match the signature(s) of the constructors for the superclass. This\u000a parameter may be used to explicitly specify constructors, each entry\u000a providing a mapping from a constructor signature to a superclass\u000a constructor signature. When you supply this, you must supply an :init\u000a specifier.\u000a\u000a :methods [[name [param-types] return-type], ...]\u000a\u000a The generated class automatically defines all of the non-private\u000a methods of its superclasses/interfaces. This parameter can be used\u000a to specify the signatures of additional methods of the generated\u000a class. Do not repeat superclass/interface signatures here.\u000a\u000a :main boolean\u000a\u000a If supplied and true, a static public main function will be\u000a generated. It will pass each string of the String[] argument as a\u000a separate argument to a function called 'main.\u000a\u000a :factory name\u000a\u000a If supplied, a (set of) public static factory function(s) will be\u000a created with the given name, and the same signature(s) as the\u000a constructor(s).\u000a \u000a :state name\u000a\u000a If supplied, a public final instance field with the given name will be\u000a created. You must supply an :init function in order to provide a\u000a value for the state. Note that, though final, the state can be a ref\u000a or agent, supporting the creation of Java objects with transactional\u000a or asynchronous mutation semantics.\u000a\u000a :exposes {protected-field-name {:get name :set name}, ...}\u000a\u000a Since the implementations of the methods of the generated class\u000a occur in Clojure functions, they have no access to the inherited\u000a protected fields of the superclass. This parameter can be used to\u000a generate public getter/setter methods exposing the protected field(s)\u000a for use in the implementation." +p1037 +tp1038 +a(g189 +V\u000a\u000a +p1039 +tp1040 +a(g202 +V[ +tp1041 +a(g73 +Vcname +p1042 +tp1043 +a(g189 +V +tp1044 +a(g73 +V& +tp1045 +a(g189 +V +tp1046 +a(g73 +Voptions +p1047 +tp1048 +a(g202 +V] +tp1049 +a(g189 +V\u000a +p1050 +tp1051 +a(g202 +V( +tp1052 +a(g111 +Vlet +p1053 +tp1054 +a(g202 +V[ +tp1055 +a(g73 +Vname +p1056 +tp1057 +a(g189 +V +tp1058 +a(g202 +V( +tp1059 +a(g57 +Vstr +p1060 +tp1061 +a(g73 +Vcname +p1062 +tp1063 +a(g202 +V) +tp1064 +a(g189 +V\u000a +p1065 +tp1066 +a(g202 +V{ +tp1067 +a(g73 +V:keys +p1068 +tp1069 +a(g189 +V +tp1070 +a(g202 +V[ +tp1071 +a(g73 +Vextends +p1072 +tp1073 +a(g189 +V +tp1074 +a(g73 +Vimplements +p1075 +tp1076 +a(g189 +V +tp1077 +a(g73 +Vconstructors +p1078 +tp1079 +a(g189 +V +tp1080 +a(g73 +Vmethods +p1081 +tp1082 +a(g189 +V +tp1083 +a(g73 +Vmain +p1084 +tp1085 +a(g189 +V +tp1086 +a(g73 +Vfactory +p1087 +tp1088 +a(g189 +V +tp1089 +a(g73 +Vstate +p1090 +tp1091 +a(g189 +V +tp1092 +a(g73 +Vinit +p1093 +tp1094 +a(g189 +V +tp1095 +a(g73 +Vexposes +p1096 +tp1097 +a(g202 +V] +tp1098 +a(g202 +V} +tp1099 +a(g189 +V +tp1100 +a(g202 +V( +tp1101 +a(g57 +Vapply +p1102 +tp1103 +a(g73 +Vhash-map +p1104 +tp1105 +a(g189 +V +tp1106 +a(g73 +Voptions +p1107 +tp1108 +a(g202 +V) +tp1109 +a(g189 +V\u000a +p1110 +tp1111 +a(g73 +Vsuper +p1112 +tp1113 +a(g189 +V +tp1114 +a(g202 +V( +tp1115 +a(g57 +Vor +p1116 +tp1117 +a(g73 +Vextends +p1118 +tp1119 +a(g189 +V +tp1120 +a(g73 +VObject +p1121 +tp1122 +a(g202 +V) +tp1123 +a(g189 +V\u000a +p1124 +tp1125 +a(g73 +Vinterfaces +p1126 +tp1127 +a(g189 +V +tp1128 +a(g73 +Vimplements +p1129 +tp1130 +a(g189 +V\u000a +p1131 +tp1132 +a(g73 +Vsupers +p1133 +tp1134 +a(g189 +V +tp1135 +a(g202 +V( +tp1136 +a(g57 +Vcons +p1137 +tp1138 +a(g73 +Vsuper +p1139 +tp1140 +a(g189 +V +tp1141 +a(g202 +V( +tp1142 +a(g57 +Vseq +p1143 +tp1144 +a(g73 +Vinterfaces +p1145 +tp1146 +a(g202 +V) +tp1147 +a(g202 +V) +tp1148 +a(g189 +V\u000a +p1149 +tp1150 +a(g73 +Vctor-sig-map +p1151 +tp1152 +a(g189 +V +tp1153 +a(g202 +V( +tp1154 +a(g57 +Vor +p1155 +tp1156 +a(g73 +Vconstructors +p1157 +tp1158 +a(g189 +V +tp1159 +a(g202 +V( +tp1160 +a(g57 +Vzipmap +p1161 +tp1162 +a(g202 +V( +tp1163 +a(g21 +Vctor-sigs +p1164 +tp1165 +a(g189 +V +tp1166 +a(g73 +Vsuper +p1167 +tp1168 +a(g202 +V) +tp1169 +a(g189 +V +tp1170 +a(g202 +V( +tp1171 +a(g21 +Vctor-sigs +p1172 +tp1173 +a(g189 +V +tp1174 +a(g73 +Vsuper +p1175 +tp1176 +a(g202 +V) +tp1177 +a(g202 +V) +tp1178 +a(g202 +V) +tp1179 +a(g189 +V\u000a +p1180 +tp1181 +a(g73 +Vcv +p1182 +tp1183 +a(g189 +V +tp1184 +a(g202 +V( +tp1185 +a(g57 +Vnew +p1186 +tp1187 +a(g73 +VClassWriter +p1188 +tp1189 +a(g189 +V +tp1190 +a(g202 +V( +tp1191 +a(g343 +V. +tp1192 +a(g189 +V +tp1193 +a(g73 +VClassWriter +p1194 +tp1195 +a(g189 +V +tp1196 +a(g73 +VCOMPUTE_MAXS +p1197 +tp1198 +a(g202 +V) +tp1199 +a(g202 +V) +tp1200 +a(g189 +V\u000a +p1201 +tp1202 +a(g73 +Vcname +p1203 +tp1204 +a(g189 +V +tp1205 +a(g202 +V( +tp1206 +a(g343 +V. +tp1207 +a(g189 +V +tp1208 +a(g73 +Vname +p1209 +tp1210 +a(g189 +V +tp1211 +a(g202 +V( +tp1212 +a(g57 +Vreplace +p1213 +tp1214 +a(g226 +V"." +p1215 +tp1216 +a(g189 +V +tp1217 +a(g226 +V"/" +p1218 +tp1219 +a(g202 +V) +tp1220 +a(g202 +V) +tp1221 +a(g189 +V\u000a +p1222 +tp1223 +a(g73 +Vctype +p1224 +tp1225 +a(g189 +V +tp1226 +a(g202 +V( +tp1227 +a(g343 +V. +tp1228 +a(g189 +V +tp1229 +a(g73 +VType +p1230 +tp1231 +a(g189 +V +tp1232 +a(g202 +V( +tp1233 +a(g21 +VgetObjectType +p1234 +tp1235 +a(g189 +V +tp1236 +a(g73 +Vcname +p1237 +tp1238 +a(g202 +V) +tp1239 +a(g202 +V) +tp1240 +a(g189 +V\u000a +p1241 +tp1242 +a(g73 +Viname +p1243 +tp1244 +a(g189 +V +tp1245 +a(g202 +V( +tp1246 +a(g111 +Vfn +p1247 +tp1248 +a(g202 +V[ +tp1249 +a(g73 +Vc +tp1250 +a(g202 +V] +tp1251 +a(g189 +V +tp1252 +a(g202 +V( +tp1253 +a(g343 +V. +tp1254 +a(g343 +V. +tp1255 +a(g189 +V +tp1256 +a(g73 +VType +p1257 +tp1258 +a(g189 +V +tp1259 +a(g202 +V( +tp1260 +a(g21 +VgetType +p1261 +tp1262 +a(g189 +V +tp1263 +a(g73 +Vc +tp1264 +a(g202 +V) +tp1265 +a(g189 +V +tp1266 +a(g202 +V( +tp1267 +a(g21 +VgetInternalName +p1268 +tp1269 +a(g202 +V) +tp1270 +a(g202 +V) +tp1271 +a(g202 +V) +tp1272 +a(g189 +V\u000a +p1273 +tp1274 +a(g73 +Vtotype +p1275 +tp1276 +a(g189 +V +tp1277 +a(g202 +V( +tp1278 +a(g111 +Vfn +p1279 +tp1280 +a(g202 +V[ +tp1281 +a(g73 +Vc +tp1282 +a(g202 +V] +tp1283 +a(g189 +V +tp1284 +a(g202 +V( +tp1285 +a(g343 +V. +tp1286 +a(g189 +V +tp1287 +a(g73 +VType +p1288 +tp1289 +a(g189 +V +tp1290 +a(g202 +V( +tp1291 +a(g21 +VgetType +p1292 +tp1293 +a(g189 +V +tp1294 +a(g73 +Vc +tp1295 +a(g202 +V) +tp1296 +a(g202 +V) +tp1297 +a(g202 +V) +tp1298 +a(g189 +V\u000a +p1299 +tp1300 +a(g73 +Vto-types +p1301 +tp1302 +a(g189 +V +tp1303 +a(g202 +V( +tp1304 +a(g111 +Vfn +p1305 +tp1306 +a(g202 +V[ +tp1307 +a(g73 +Vcs +p1308 +tp1309 +a(g202 +V] +tp1310 +a(g189 +V +tp1311 +a(g202 +V( +tp1312 +a(g111 +Vif +p1313 +tp1314 +a(g202 +V( +tp1315 +a(g57 +Vpos? +p1316 +tp1317 +a(g202 +V( +tp1318 +a(g57 +Vcount +p1319 +tp1320 +a(g73 +Vcs +p1321 +tp1322 +a(g202 +V) +tp1323 +a(g202 +V) +tp1324 +a(g189 +V\u000a +p1325 +tp1326 +a(g202 +V( +tp1327 +a(g57 +Vinto-array +p1328 +tp1329 +a(g202 +V( +tp1330 +a(g57 +Vmap +p1331 +tp1332 +a(g73 +Vtotype +p1333 +tp1334 +a(g189 +V +tp1335 +a(g73 +Vcs +p1336 +tp1337 +a(g202 +V) +tp1338 +a(g202 +V) +tp1339 +a(g189 +V\u000a +p1340 +tp1341 +a(g202 +V( +tp1342 +a(g57 +Vmake-array +p1343 +tp1344 +a(g73 +VType +p1345 +tp1346 +a(g189 +V +tp1347 +a(g318 +V0 +tp1348 +a(g202 +V) +tp1349 +a(g202 +V) +tp1350 +a(g202 +V) +tp1351 +a(g189 +V\u000a +p1352 +tp1353 +a(g73 +Vobj-type +p1354 +tp1355 +a(g189 +V +tp1356 +a(g202 +V( +tp1357 +a(g21 +Vtotype +p1358 +tp1359 +a(g189 +V +tp1360 +a(g73 +VObject +p1361 +tp1362 +a(g202 +V) +tp1363 +a(g189 +V\u000a +p1364 +tp1365 +a(g73 +Varg-types +p1366 +tp1367 +a(g189 +V +tp1368 +a(g202 +V( +tp1369 +a(g111 +Vfn +p1370 +tp1371 +a(g202 +V[ +tp1372 +a(g73 +Vn +tp1373 +a(g202 +V] +tp1374 +a(g189 +V +tp1375 +a(g202 +V( +tp1376 +a(g111 +Vif +p1377 +tp1378 +a(g202 +V( +tp1379 +a(g57 +Vpos? +p1380 +tp1381 +a(g73 +Vn +tp1382 +a(g202 +V) +tp1383 +a(g189 +V\u000a +p1384 +tp1385 +a(g202 +V( +tp1386 +a(g57 +Vinto-array +p1387 +tp1388 +a(g202 +V( +tp1389 +a(g57 +Vreplicate +p1390 +tp1391 +a(g73 +Vn +tp1392 +a(g189 +V +tp1393 +a(g73 +Vobj-type +p1394 +tp1395 +a(g202 +V) +tp1396 +a(g202 +V) +tp1397 +a(g189 +V\u000a +p1398 +tp1399 +a(g202 +V( +tp1400 +a(g57 +Vmake-array +p1401 +tp1402 +a(g73 +VType +p1403 +tp1404 +a(g189 +V +tp1405 +a(g318 +V0 +tp1406 +a(g202 +V) +tp1407 +a(g202 +V) +tp1408 +a(g202 +V) +tp1409 +a(g189 +V\u000a +p1410 +tp1411 +a(g73 +Vsuper-type +p1412 +tp1413 +a(g189 +V +tp1414 +a(g202 +V( +tp1415 +a(g21 +Vtotype +p1416 +tp1417 +a(g189 +V +tp1418 +a(g73 +Vsuper +p1419 +tp1420 +a(g202 +V) +tp1421 +a(g189 +V\u000a +p1422 +tp1423 +a(g73 +Vinit-name +p1424 +tp1425 +a(g189 +V +tp1426 +a(g202 +V( +tp1427 +a(g57 +Vstr +p1428 +tp1429 +a(g73 +Vinit +p1430 +tp1431 +a(g202 +V) +tp1432 +a(g189 +V\u000a +p1433 +tp1434 +a(g73 +Vfactory-name +p1435 +tp1436 +a(g189 +V +tp1437 +a(g202 +V( +tp1438 +a(g57 +Vstr +p1439 +tp1440 +a(g73 +Vfactory +p1441 +tp1442 +a(g202 +V) +tp1443 +a(g189 +V\u000a +p1444 +tp1445 +a(g73 +Vstate-name +p1446 +tp1447 +a(g189 +V +tp1448 +a(g202 +V( +tp1449 +a(g57 +Vstr +p1450 +tp1451 +a(g73 +Vstate +p1452 +tp1453 +a(g202 +V) +tp1454 +a(g189 +V\u000a +p1455 +tp1456 +a(g73 +Vmain-name +p1457 +tp1458 +a(g189 +V +tp1459 +a(g226 +V"main" +p1460 +tp1461 +a(g189 +V\u000a +p1462 +tp1463 +a(g73 +Vvar-name +p1464 +tp1465 +a(g189 +V +tp1466 +a(g202 +V( +tp1467 +a(g111 +Vfn +p1468 +tp1469 +a(g202 +V[ +tp1470 +a(g73 +Vs +tp1471 +a(g202 +V] +tp1472 +a(g189 +V +tp1473 +a(g202 +V( +tp1474 +a(g57 +Vstr +p1475 +tp1476 +a(g73 +Vs +tp1477 +a(g189 +V +tp1478 +a(g226 +V"__var" +p1479 +tp1480 +a(g202 +V) +tp1481 +a(g202 +V) +tp1482 +a(g189 +V\u000a +p1483 +tp1484 +a(g73 +Vrt-type +p1485 +tp1486 +a(g189 +V +p1487 +tp1488 +a(g202 +V( +tp1489 +a(g21 +Vtotype +p1490 +tp1491 +a(g189 +V +tp1492 +a(g73 +Vclojure +p1493 +tp1494 +a(g343 +V. +tp1495 +a(g73 +Vlang +p1496 +tp1497 +a(g343 +V. +tp1498 +a(g73 +VRT +p1499 +tp1500 +a(g202 +V) +tp1501 +a(g189 +V\u000a +p1502 +tp1503 +a(g73 +Vvar-type +p1504 +tp1505 +a(g189 +V +p1506 +tp1507 +a(g202 +V( +tp1508 +a(g21 +Vtotype +p1509 +tp1510 +a(g189 +V +tp1511 +a(g73 +Vclojure +p1512 +tp1513 +a(g343 +V. +tp1514 +a(g73 +Vlang +p1515 +tp1516 +a(g343 +V. +tp1517 +a(g73 +VVar +p1518 +tp1519 +a(g202 +V) +tp1520 +a(g189 +V\u000a +p1521 +tp1522 +a(g73 +Vifn-type +p1523 +tp1524 +a(g189 +V +tp1525 +a(g202 +V( +tp1526 +a(g21 +Vtotype +p1527 +tp1528 +a(g189 +V +tp1529 +a(g73 +Vclojure +p1530 +tp1531 +a(g343 +V. +tp1532 +a(g73 +Vlang +p1533 +tp1534 +a(g343 +V. +tp1535 +a(g73 +VIFn +p1536 +tp1537 +a(g202 +V) +tp1538 +a(g189 +V\u000a +p1539 +tp1540 +a(g73 +Viseq-type +p1541 +tp1542 +a(g189 +V +tp1543 +a(g202 +V( +tp1544 +a(g21 +Vtotype +p1545 +tp1546 +a(g189 +V +tp1547 +a(g73 +Vclojure +p1548 +tp1549 +a(g343 +V. +tp1550 +a(g73 +Vlang +p1551 +tp1552 +a(g343 +V. +tp1553 +a(g73 +VISeq +p1554 +tp1555 +a(g202 +V) +tp1556 +a(g189 +V\u000a +p1557 +tp1558 +a(g73 +Vex-type +p1559 +tp1560 +a(g189 +V +p1561 +tp1562 +a(g202 +V( +tp1563 +a(g21 +Vtotype +p1564 +tp1565 +a(g189 +V +tp1566 +a(g73 +Vjava +p1567 +tp1568 +a(g343 +V. +tp1569 +a(g73 +Vlang +p1570 +tp1571 +a(g343 +V. +tp1572 +a(g73 +VUnsupportedOperationException +p1573 +tp1574 +a(g202 +V) +tp1575 +a(g189 +V\u000a +p1576 +tp1577 +a(g73 +Vall-sigs +p1578 +tp1579 +a(g189 +V +tp1580 +a(g202 +V( +tp1581 +a(g57 +Vdistinct +p1582 +tp1583 +a(g202 +V( +tp1584 +a(g57 +Vconcat +p1585 +tp1586 +a(g202 +V( +tp1587 +a(g57 +Vmap +p1588 +tp1589 +a(g343 +V# +tp1590 +a(g202 +V( +tp1591 +a(g73 +Vlet +p1592 +tp1593 +a(g202 +V[ +tp1594 +a(g202 +V[ +tp1595 +a(g73 +Vm +tp1596 +a(g189 +V +tp1597 +a(g73 +Vp +tp1598 +a(g202 +V] +tp1599 +a(g189 +V +tp1600 +a(g202 +V( +tp1601 +a(g57 +Vkey +p1602 +tp1603 +a(g73 +V% +tp1604 +a(g202 +V) +tp1605 +a(g202 +V] +tp1606 +a(g189 +V +tp1607 +a(g202 +V{ +tp1608 +a(g73 +Vm +tp1609 +a(g189 +V +tp1610 +a(g202 +V[ +tp1611 +a(g73 +Vp +tp1612 +a(g202 +V] +tp1613 +a(g202 +V} +tp1614 +a(g202 +V) +tp1615 +a(g189 +V +tp1616 +a(g202 +V( +tp1617 +a(g57 +Vmapcat +p1618 +tp1619 +a(g73 +Vnon-private-methods +p1620 +tp1621 +a(g189 +V +tp1622 +a(g73 +Vsupers +p1623 +tp1624 +a(g202 +V) +tp1625 +a(g202 +V) +tp1626 +a(g189 +V\u000a +p1627 +tp1628 +a(g202 +V( +tp1629 +a(g57 +Vmap +p1630 +tp1631 +a(g202 +V( +tp1632 +a(g111 +Vfn +p1633 +tp1634 +a(g202 +V[ +tp1635 +a(g202 +V[ +tp1636 +a(g73 +Vm +tp1637 +a(g189 +V +tp1638 +a(g73 +Vp +tp1639 +a(g202 +V] +tp1640 +a(g202 +V] +tp1641 +a(g189 +V +tp1642 +a(g202 +V{ +tp1643 +a(g202 +V( +tp1644 +a(g57 +Vstr +p1645 +tp1646 +a(g73 +Vm +tp1647 +a(g202 +V) +tp1648 +a(g189 +V +tp1649 +a(g202 +V[ +tp1650 +a(g73 +Vp +tp1651 +a(g202 +V] +tp1652 +a(g202 +V} +tp1653 +a(g202 +V) +tp1654 +a(g189 +V +tp1655 +a(g73 +Vmethods +p1656 +tp1657 +a(g202 +V) +tp1658 +a(g202 +V) +tp1659 +a(g202 +V) +tp1660 +a(g189 +V\u000a +p1661 +tp1662 +a(g73 +Vsigs-by-name +p1663 +tp1664 +a(g189 +V +tp1665 +a(g202 +V( +tp1666 +a(g57 +Vapply +p1667 +tp1668 +a(g73 +Vmerge-with +p1669 +tp1670 +a(g189 +V +tp1671 +a(g73 +Vconcat +p1672 +tp1673 +a(g189 +V +tp1674 +a(g202 +V{ +tp1675 +a(g202 +V} +tp1676 +a(g189 +V +tp1677 +a(g73 +Vall-sigs +p1678 +tp1679 +a(g202 +V) +tp1680 +a(g189 +V\u000a +p1681 +tp1682 +a(g73 +Voverloads +p1683 +tp1684 +a(g189 +V +tp1685 +a(g202 +V( +tp1686 +a(g57 +Vinto +p1687 +tp1688 +a(g202 +V{ +tp1689 +a(g202 +V} +tp1690 +a(g189 +V +tp1691 +a(g202 +V( +tp1692 +a(g57 +Vfilter +p1693 +tp1694 +a(g202 +V( +tp1695 +a(g111 +Vfn +p1696 +tp1697 +a(g202 +V[ +tp1698 +a(g202 +V[ +tp1699 +a(g73 +Vm +tp1700 +a(g189 +V +tp1701 +a(g73 +Vs +tp1702 +a(g202 +V] +tp1703 +a(g202 +V] +tp1704 +a(g189 +V +tp1705 +a(g202 +V( +tp1706 +a(g57 +Vrest +p1707 +tp1708 +a(g73 +Vs +tp1709 +a(g202 +V) +tp1710 +a(g202 +V) +tp1711 +a(g189 +V +tp1712 +a(g73 +Vsigs-by-name +p1713 +tp1714 +a(g202 +V) +tp1715 +a(g202 +V) +tp1716 +a(g189 +V\u000a +p1717 +tp1718 +a(g73 +Vvar-fields +p1719 +tp1720 +a(g189 +V +tp1721 +a(g202 +V( +tp1722 +a(g57 +Vconcat +p1723 +tp1724 +a(g202 +V( +tp1725 +a(g57 +Vand +p1726 +tp1727 +a(g73 +Vinit +p1728 +tp1729 +a(g189 +V +tp1730 +a(g202 +V[ +tp1731 +a(g73 +Vinit-name +p1732 +tp1733 +a(g202 +V] +tp1734 +a(g202 +V) +tp1735 +a(g189 +V \u000a +p1736 +tp1737 +a(g202 +V( +tp1738 +a(g57 +Vand +p1739 +tp1740 +a(g73 +Vmain +p1741 +tp1742 +a(g189 +V +tp1743 +a(g202 +V[ +tp1744 +a(g73 +Vmain-name +p1745 +tp1746 +a(g202 +V] +tp1747 +a(g202 +V) +tp1748 +a(g189 +V\u000a +p1749 +tp1750 +a(g202 +V( +tp1751 +a(g57 +Vdistinct +p1752 +tp1753 +a(g202 +V( +tp1754 +a(g57 +Vconcat +p1755 +tp1756 +a(g202 +V( +tp1757 +a(g57 +Vkeys +p1758 +tp1759 +a(g73 +Vsigs-by-name +p1760 +tp1761 +a(g202 +V) +tp1762 +a(g189 +V\u000a +p1763 +tp1764 +a(g202 +V( +tp1765 +a(g57 +Vmapcat +p1766 +tp1767 +a(g202 +V( +tp1768 +a(g111 +Vfn +p1769 +tp1770 +a(g202 +V[ +tp1771 +a(g202 +V[ +tp1772 +a(g73 +Vm +tp1773 +a(g189 +V +tp1774 +a(g73 +Vs +tp1775 +a(g202 +V] +tp1776 +a(g202 +V] +tp1777 +a(g189 +V +tp1778 +a(g202 +V( +tp1779 +a(g57 +Vmap +p1780 +tp1781 +a(g343 +V# +tp1782 +a(g202 +V( +tp1783 +a(g73 +Voverload-name +p1784 +tp1785 +a(g189 +V +tp1786 +a(g73 +Vm +tp1787 +a(g189 +V +tp1788 +a(g73 +V% +tp1789 +a(g202 +V) +tp1790 +a(g189 +V +tp1791 +a(g73 +Vs +tp1792 +a(g202 +V) +tp1793 +a(g202 +V) +tp1794 +a(g189 +V +tp1795 +a(g73 +Voverloads +p1796 +tp1797 +a(g202 +V) +tp1798 +a(g189 +V\u000a +p1799 +tp1800 +a(g202 +V( +tp1801 +a(g57 +Vmapcat +p1802 +tp1803 +a(g202 +V( +tp1804 +a(g57 +Vcomp +p1805 +tp1806 +a(g202 +V( +tp1807 +a(g57 +Vpartial +p1808 +tp1809 +a(g73 +Vmap +p1810 +tp1811 +a(g189 +V +tp1812 +a(g73 +Vstr +p1813 +tp1814 +a(g202 +V) +tp1815 +a(g189 +V +tp1816 +a(g73 +Vvals +p1817 +tp1818 +a(g189 +V +tp1819 +a(g73 +Vval +p1820 +tp1821 +a(g202 +V) +tp1822 +a(g189 +V +tp1823 +a(g73 +Vexposes +p1824 +tp1825 +a(g202 +V) +tp1826 +a(g202 +V) +tp1827 +a(g202 +V) +tp1828 +a(g202 +V) +tp1829 +a(g189 +V\u000a +p1830 +tp1831 +a(g73 +Vemit-get-var +p1832 +tp1833 +a(g189 +V +tp1834 +a(g202 +V( +tp1835 +a(g111 +Vfn +p1836 +tp1837 +a(g202 +V[ +tp1838 +a(g73 +Vgen +p1839 +tp1840 +a(g189 +V +tp1841 +a(g73 +Vv +tp1842 +a(g202 +V] +tp1843 +a(g189 +V\u000a +p1844 +tp1845 +a(g202 +V( +tp1846 +a(g111 +Vlet +p1847 +tp1848 +a(g202 +V[ +tp1849 +a(g73 +Vfalse-label +p1850 +tp1851 +a(g189 +V +tp1852 +a(g202 +V( +tp1853 +a(g343 +V. +tp1854 +a(g189 +V +tp1855 +a(g73 +Vgen +p1856 +tp1857 +a(g189 +V +tp1858 +a(g73 +VnewLabel +p1859 +tp1860 +a(g202 +V) +tp1861 +a(g189 +V\u000a +p1862 +tp1863 +a(g73 +Vend-label +p1864 +tp1865 +a(g189 +V +tp1866 +a(g202 +V( +tp1867 +a(g343 +V. +tp1868 +a(g189 +V +tp1869 +a(g73 +Vgen +p1870 +tp1871 +a(g189 +V +tp1872 +a(g73 +VnewLabel +p1873 +tp1874 +a(g202 +V) +tp1875 +a(g202 +V] +tp1876 +a(g189 +V\u000a +p1877 +tp1878 +a(g202 +V( +tp1879 +a(g343 +V. +tp1880 +a(g189 +V +tp1881 +a(g73 +Vgen +p1882 +tp1883 +a(g189 +V +tp1884 +a(g73 +VgetStatic +p1885 +tp1886 +a(g189 +V +tp1887 +a(g73 +Vctype +p1888 +tp1889 +a(g189 +V +tp1890 +a(g202 +V( +tp1891 +a(g21 +Vvar-name +p1892 +tp1893 +a(g189 +V +tp1894 +a(g73 +Vv +tp1895 +a(g202 +V) +tp1896 +a(g189 +V +tp1897 +a(g73 +Vvar-type +p1898 +tp1899 +a(g202 +V) +tp1900 +a(g189 +V\u000a +p1901 +tp1902 +a(g202 +V( +tp1903 +a(g343 +V. +tp1904 +a(g189 +V +tp1905 +a(g73 +Vgen +p1906 +tp1907 +a(g189 +V +tp1908 +a(g73 +Vdup +p1909 +tp1910 +a(g202 +V) +tp1911 +a(g189 +V\u000a +p1912 +tp1913 +a(g202 +V( +tp1914 +a(g343 +V. +tp1915 +a(g189 +V +tp1916 +a(g73 +Vgen +p1917 +tp1918 +a(g189 +V +tp1919 +a(g73 +VinvokeVirtual +p1920 +tp1921 +a(g189 +V +tp1922 +a(g73 +Vvar-type +p1923 +tp1924 +a(g189 +V +tp1925 +a(g202 +V( +tp1926 +a(g343 +V. +tp1927 +a(g189 +V +tp1928 +a(g73 +VMethod +p1929 +tp1930 +a(g189 +V +tp1931 +a(g202 +V( +tp1932 +a(g21 +VgetMethod +p1933 +tp1934 +a(g189 +V +tp1935 +a(g226 +V"boolean isBound()" +p1936 +tp1937 +a(g202 +V) +tp1938 +a(g202 +V) +tp1939 +a(g202 +V) +tp1940 +a(g189 +V\u000a +p1941 +tp1942 +a(g202 +V( +tp1943 +a(g343 +V. +tp1944 +a(g189 +V +tp1945 +a(g73 +Vgen +p1946 +tp1947 +a(g189 +V +tp1948 +a(g73 +VifZCmp +p1949 +tp1950 +a(g189 +V +tp1951 +a(g202 +V( +tp1952 +a(g343 +V. +tp1953 +a(g189 +V +tp1954 +a(g73 +VGeneratorAdapter +p1955 +tp1956 +a(g189 +V +tp1957 +a(g73 +VEQ +p1958 +tp1959 +a(g202 +V) +tp1960 +a(g189 +V +tp1961 +a(g73 +Vfalse-label +p1962 +tp1963 +a(g202 +V) +tp1964 +a(g189 +V\u000a +p1965 +tp1966 +a(g202 +V( +tp1967 +a(g343 +V. +tp1968 +a(g189 +V +tp1969 +a(g73 +Vgen +p1970 +tp1971 +a(g189 +V +tp1972 +a(g73 +VinvokeVirtual +p1973 +tp1974 +a(g189 +V +tp1975 +a(g73 +Vvar-type +p1976 +tp1977 +a(g189 +V +tp1978 +a(g202 +V( +tp1979 +a(g343 +V. +tp1980 +a(g189 +V +tp1981 +a(g73 +VMethod +p1982 +tp1983 +a(g189 +V +tp1984 +a(g202 +V( +tp1985 +a(g21 +VgetMethod +p1986 +tp1987 +a(g189 +V +tp1988 +a(g226 +V"Object get()" +p1989 +tp1990 +a(g202 +V) +tp1991 +a(g202 +V) +tp1992 +a(g202 +V) +tp1993 +a(g189 +V\u000a +p1994 +tp1995 +a(g202 +V( +tp1996 +a(g343 +V. +tp1997 +a(g189 +V +tp1998 +a(g73 +Vgen +p1999 +tp2000 +a(g189 +V +tp2001 +a(g73 +VgoTo +p2002 +tp2003 +a(g189 +V +tp2004 +a(g73 +Vend-label +p2005 +tp2006 +a(g202 +V) +tp2007 +a(g189 +V\u000a +p2008 +tp2009 +a(g202 +V( +tp2010 +a(g343 +V. +tp2011 +a(g189 +V +tp2012 +a(g73 +Vgen +p2013 +tp2014 +a(g189 +V +tp2015 +a(g73 +Vmark +p2016 +tp2017 +a(g189 +V +tp2018 +a(g73 +Vfalse-label +p2019 +tp2020 +a(g202 +V) +tp2021 +a(g189 +V\u000a +p2022 +tp2023 +a(g202 +V( +tp2024 +a(g343 +V. +tp2025 +a(g189 +V +tp2026 +a(g73 +Vgen +p2027 +tp2028 +a(g189 +V +tp2029 +a(g73 +Vpop +p2030 +tp2031 +a(g202 +V) +tp2032 +a(g189 +V\u000a +p2033 +tp2034 +a(g202 +V( +tp2035 +a(g343 +V. +tp2036 +a(g189 +V +tp2037 +a(g73 +Vgen +p2038 +tp2039 +a(g189 +V +tp2040 +a(g73 +VvisitInsn +p2041 +tp2042 +a(g189 +V +tp2043 +a(g202 +V( +tp2044 +a(g343 +V. +tp2045 +a(g189 +V +tp2046 +a(g73 +VOpcodes +p2047 +tp2048 +a(g189 +V +tp2049 +a(g73 +VACONST_NULL +p2050 +tp2051 +a(g202 +V) +tp2052 +a(g202 +V) +tp2053 +a(g189 +V\u000a +p2054 +tp2055 +a(g202 +V( +tp2056 +a(g343 +V. +tp2057 +a(g189 +V +tp2058 +a(g73 +Vgen +p2059 +tp2060 +a(g189 +V +tp2061 +a(g73 +Vmark +p2062 +tp2063 +a(g189 +V +tp2064 +a(g73 +Vend-label +p2065 +tp2066 +a(g202 +V) +tp2067 +a(g202 +V) +tp2068 +a(g202 +V) +tp2069 +a(g189 +V\u000a +p2070 +tp2071 +a(g73 +Vemit-forwarding-method +p2072 +tp2073 +a(g189 +V\u000a +p2074 +tp2075 +a(g202 +V( +tp2076 +a(g111 +Vfn +p2077 +tp2078 +a(g202 +V[ +tp2079 +a(g73 +Vmname +p2080 +tp2081 +a(g189 +V +tp2082 +a(g73 +Vpclasses +p2083 +tp2084 +a(g189 +V +tp2085 +a(g73 +Vrclass +p2086 +tp2087 +a(g189 +V +tp2088 +a(g73 +Velse-gen +p2089 +tp2090 +a(g202 +V] +tp2091 +a(g189 +V\u000a +p2092 +tp2093 +a(g202 +V( +tp2094 +a(g111 +Vlet +p2095 +tp2096 +a(g202 +V[ +tp2097 +a(g73 +Vptypes +p2098 +tp2099 +a(g189 +V +tp2100 +a(g202 +V( +tp2101 +a(g21 +Vto-types +p2102 +tp2103 +a(g189 +V +tp2104 +a(g73 +Vpclasses +p2105 +tp2106 +a(g202 +V) +tp2107 +a(g189 +V\u000a +p2108 +tp2109 +a(g73 +Vrtype +p2110 +tp2111 +a(g189 +V +tp2112 +a(g202 +V( +tp2113 +a(g21 +Vtotype +p2114 +tp2115 +a(g189 +V +tp2116 +a(g73 +Vrclass +p2117 +tp2118 +a(g202 +V) +tp2119 +a(g189 +V\u000a +p2120 +tp2121 +a(g73 +Vm +tp2122 +a(g189 +V +tp2123 +a(g202 +V( +tp2124 +a(g57 +Vnew +p2125 +tp2126 +a(g73 +VMethod +p2127 +tp2128 +a(g189 +V +tp2129 +a(g73 +Vmname +p2130 +tp2131 +a(g189 +V +tp2132 +a(g73 +Vrtype +p2133 +tp2134 +a(g189 +V +tp2135 +a(g73 +Vptypes +p2136 +tp2137 +a(g202 +V) +tp2138 +a(g189 +V\u000a +p2139 +tp2140 +a(g73 +Vis-overload +p2141 +tp2142 +a(g189 +V +tp2143 +a(g202 +V( +tp2144 +a(g21 +Voverloads +p2145 +tp2146 +a(g189 +V +tp2147 +a(g73 +Vmname +p2148 +tp2149 +a(g202 +V) +tp2150 +a(g189 +V\u000a +p2151 +tp2152 +a(g73 +Vgen +p2153 +tp2154 +a(g189 +V +tp2155 +a(g202 +V( +tp2156 +a(g57 +Vnew +p2157 +tp2158 +a(g73 +VGeneratorAdapter +p2159 +tp2160 +a(g189 +V +tp2161 +a(g202 +V( +tp2162 +a(g343 +V. +tp2163 +a(g189 +V +tp2164 +a(g73 +VOpcodes +p2165 +tp2166 +a(g189 +V +tp2167 +a(g73 +VACC_PUBLIC +p2168 +tp2169 +a(g202 +V) +tp2170 +a(g189 +V +tp2171 +a(g73 +Vm +tp2172 +a(g189 +V +tp2173 +a(g73 +Vnil +p2174 +tp2175 +a(g189 +V +tp2176 +a(g73 +Vnil +p2177 +tp2178 +a(g189 +V +tp2179 +a(g73 +Vcv +p2180 +tp2181 +a(g202 +V) +tp2182 +a(g189 +V\u000a +p2183 +tp2184 +a(g73 +Vfound-label +p2185 +tp2186 +a(g189 +V +tp2187 +a(g202 +V( +tp2188 +a(g343 +V. +tp2189 +a(g189 +V +tp2190 +a(g73 +Vgen +p2191 +tp2192 +a(g189 +V +tp2193 +a(g202 +V( +tp2194 +a(g21 +VnewLabel +p2195 +tp2196 +a(g202 +V) +tp2197 +a(g202 +V) +tp2198 +a(g189 +V\u000a +p2199 +tp2200 +a(g73 +Velse-label +p2201 +tp2202 +a(g189 +V +tp2203 +a(g202 +V( +tp2204 +a(g343 +V. +tp2205 +a(g189 +V +tp2206 +a(g73 +Vgen +p2207 +tp2208 +a(g189 +V +tp2209 +a(g202 +V( +tp2210 +a(g21 +VnewLabel +p2211 +tp2212 +a(g202 +V) +tp2213 +a(g202 +V) +tp2214 +a(g189 +V\u000a +p2215 +tp2216 +a(g73 +Vend-label +p2217 +tp2218 +a(g189 +V +tp2219 +a(g202 +V( +tp2220 +a(g343 +V. +tp2221 +a(g189 +V +tp2222 +a(g73 +Vgen +p2223 +tp2224 +a(g189 +V +tp2225 +a(g202 +V( +tp2226 +a(g21 +VnewLabel +p2227 +tp2228 +a(g202 +V) +tp2229 +a(g202 +V) +tp2230 +a(g202 +V] +tp2231 +a(g189 +V\u000a +p2232 +tp2233 +a(g202 +V( +tp2234 +a(g343 +V. +tp2235 +a(g189 +V +tp2236 +a(g73 +Vgen +p2237 +tp2238 +a(g189 +V +tp2239 +a(g202 +V( +tp2240 +a(g21 +VvisitCode +p2241 +tp2242 +a(g202 +V) +tp2243 +a(g202 +V) +tp2244 +a(g189 +V\u000a +p2245 +tp2246 +a(g202 +V( +tp2247 +a(g57 +Vwhen +p2248 +tp2249 +a(g73 +Vis-overload +p2250 +tp2251 +a(g189 +V\u000a +p2252 +tp2253 +a(g202 +V( +tp2254 +a(g21 +Vemit-get-var +p2255 +tp2256 +a(g189 +V +tp2257 +a(g73 +Vgen +p2258 +tp2259 +a(g189 +V +tp2260 +a(g202 +V( +tp2261 +a(g21 +Voverload-name +p2262 +tp2263 +a(g189 +V +tp2264 +a(g73 +Vmname +p2265 +tp2266 +a(g189 +V +tp2267 +a(g73 +Vpclasses +p2268 +tp2269 +a(g202 +V) +tp2270 +a(g202 +V) +tp2271 +a(g189 +V\u000a +p2272 +tp2273 +a(g202 +V( +tp2274 +a(g343 +V. +tp2275 +a(g189 +V +tp2276 +a(g73 +Vgen +p2277 +tp2278 +a(g189 +V +tp2279 +a(g202 +V( +tp2280 +a(g21 +Vdup +p2281 +tp2282 +a(g202 +V) +tp2283 +a(g202 +V) +tp2284 +a(g189 +V\u000a +p2285 +tp2286 +a(g202 +V( +tp2287 +a(g343 +V. +tp2288 +a(g189 +V +tp2289 +a(g73 +Vgen +p2290 +tp2291 +a(g189 +V +tp2292 +a(g202 +V( +tp2293 +a(g21 +VifNonNull +p2294 +tp2295 +a(g189 +V +tp2296 +a(g73 +Vfound-label +p2297 +tp2298 +a(g202 +V) +tp2299 +a(g202 +V) +tp2300 +a(g189 +V\u000a +p2301 +tp2302 +a(g202 +V( +tp2303 +a(g343 +V. +tp2304 +a(g189 +V +tp2305 +a(g73 +Vgen +p2306 +tp2307 +a(g189 +V +tp2308 +a(g202 +V( +tp2309 +a(g21 +Vpop +p2310 +tp2311 +a(g202 +V) +tp2312 +a(g202 +V) +tp2313 +a(g202 +V) +tp2314 +a(g189 +V\u000a +p2315 +tp2316 +a(g202 +V( +tp2317 +a(g21 +Vemit-get-var +p2318 +tp2319 +a(g189 +V +tp2320 +a(g73 +Vgen +p2321 +tp2322 +a(g189 +V +tp2323 +a(g73 +Vmname +p2324 +tp2325 +a(g202 +V) +tp2326 +a(g189 +V\u000a +p2327 +tp2328 +a(g202 +V( +tp2329 +a(g343 +V. +tp2330 +a(g189 +V +tp2331 +a(g73 +Vgen +p2332 +tp2333 +a(g189 +V +tp2334 +a(g202 +V( +tp2335 +a(g21 +Vdup +p2336 +tp2337 +a(g202 +V) +tp2338 +a(g202 +V) +tp2339 +a(g189 +V\u000a +p2340 +tp2341 +a(g202 +V( +tp2342 +a(g343 +V. +tp2343 +a(g189 +V +tp2344 +a(g73 +Vgen +p2345 +tp2346 +a(g189 +V +tp2347 +a(g202 +V( +tp2348 +a(g21 +VifNull +p2349 +tp2350 +a(g189 +V +tp2351 +a(g73 +Velse-label +p2352 +tp2353 +a(g202 +V) +tp2354 +a(g202 +V) +tp2355 +a(g189 +V\u000a +p2356 +tp2357 +a(g202 +V( +tp2358 +a(g57 +Vwhen +p2359 +tp2360 +a(g73 +Vis-overload +p2361 +tp2362 +a(g189 +V\u000a +p2363 +tp2364 +a(g202 +V( +tp2365 +a(g343 +V. +tp2366 +a(g189 +V +tp2367 +a(g73 +Vgen +p2368 +tp2369 +a(g189 +V +tp2370 +a(g202 +V( +tp2371 +a(g21 +Vmark +p2372 +tp2373 +a(g189 +V +tp2374 +a(g73 +Vfound-label +p2375 +tp2376 +a(g202 +V) +tp2377 +a(g202 +V) +tp2378 +a(g202 +V) +tp2379 +a(g189 +V\u000a +p2380 +tp2381 +a(g7 +V;if found +p2382 +tp2383 +a(g189 +V\u000a +p2384 +tp2385 +a(g202 +V( +tp2386 +a(g343 +V. +tp2387 +a(g189 +V +tp2388 +a(g73 +Vgen +p2389 +tp2390 +a(g189 +V +tp2391 +a(g202 +V( +tp2392 +a(g21 +VloadThis +p2393 +tp2394 +a(g202 +V) +tp2395 +a(g202 +V) +tp2396 +a(g189 +V\u000a +p2397 +tp2398 +a(g7 +V;box args +p2399 +tp2400 +a(g189 +V\u000a +p2401 +tp2402 +a(g202 +V( +tp2403 +a(g57 +Vdotimes +p2404 +tp2405 +a(g73 +Vi +tp2406 +a(g189 +V +tp2407 +a(g202 +V( +tp2408 +a(g57 +Vcount +p2409 +tp2410 +a(g73 +Vptypes +p2411 +tp2412 +a(g202 +V) +tp2413 +a(g189 +V\u000a +p2414 +tp2415 +a(g202 +V( +tp2416 +a(g343 +V. +tp2417 +a(g189 +V +tp2418 +a(g73 +Vgen +p2419 +tp2420 +a(g189 +V +tp2421 +a(g202 +V( +tp2422 +a(g21 +VloadArg +p2423 +tp2424 +a(g189 +V +tp2425 +a(g73 +Vi +tp2426 +a(g202 +V) +tp2427 +a(g202 +V) +tp2428 +a(g189 +V\u000a +p2429 +tp2430 +a(g202 +V( +tp2431 +a(g343 +V. +tp2432 +a(g189 +V +tp2433 +a(g73 +Vclojure +p2434 +tp2435 +a(g343 +V. +tp2436 +a(g73 +Vlang +p2437 +tp2438 +a(g343 +V. +tp2439 +a(g73 +VCompiler$HostExpr +p2440 +tp2441 +a(g189 +V +tp2442 +a(g202 +V( +tp2443 +a(g21 +VemitBoxReturn +p2444 +tp2445 +a(g189 +V +tp2446 +a(g73 +Vnil +p2447 +tp2448 +a(g189 +V +tp2449 +a(g73 +Vgen +p2450 +tp2451 +a(g189 +V +tp2452 +a(g202 +V( +tp2453 +a(g57 +Vnth +p2454 +tp2455 +a(g73 +Vpclasses +p2456 +tp2457 +a(g189 +V +tp2458 +a(g73 +Vi +tp2459 +a(g202 +V) +tp2460 +a(g202 +V) +tp2461 +a(g202 +V) +tp2462 +a(g202 +V) +tp2463 +a(g189 +V\u000a +p2464 +tp2465 +a(g7 +V;call fn +p2466 +tp2467 +a(g189 +V\u000a +p2468 +tp2469 +a(g202 +V( +tp2470 +a(g343 +V. +tp2471 +a(g189 +V +tp2472 +a(g73 +Vgen +p2473 +tp2474 +a(g189 +V +tp2475 +a(g202 +V( +tp2476 +a(g21 +VinvokeInterface +p2477 +tp2478 +a(g189 +V +tp2479 +a(g73 +Vifn-type +p2480 +tp2481 +a(g189 +V +tp2482 +a(g202 +V( +tp2483 +a(g57 +Vnew +p2484 +tp2485 +a(g73 +VMethod +p2486 +tp2487 +a(g189 +V +tp2488 +a(g226 +V"invoke" +p2489 +tp2490 +a(g189 +V +tp2491 +a(g73 +Vobj-type +p2492 +tp2493 +a(g189 +V \u000a +p2494 +tp2495 +a(g202 +V( +tp2496 +a(g57 +Vinto-array +p2497 +tp2498 +a(g202 +V( +tp2499 +a(g57 +Vcons +p2500 +tp2501 +a(g73 +Vobj-type +p2502 +tp2503 +a(g189 +V \u000a +p2504 +tp2505 +a(g202 +V( +tp2506 +a(g57 +Vreplicate +p2507 +tp2508 +a(g202 +V( +tp2509 +a(g57 +Vcount +p2510 +tp2511 +a(g73 +Vptypes +p2512 +tp2513 +a(g202 +V) +tp2514 +a(g189 +V +tp2515 +a(g73 +Vobj-type +p2516 +tp2517 +a(g202 +V) +tp2518 +a(g202 +V) +tp2519 +a(g202 +V) +tp2520 +a(g202 +V) +tp2521 +a(g202 +V) +tp2522 +a(g202 +V) +tp2523 +a(g189 +V\u000a +p2524 +tp2525 +a(g7 +V;unbox return +p2526 +tp2527 +a(g189 +V\u000a +p2528 +tp2529 +a(g202 +V( +tp2530 +a(g343 +V. +tp2531 +a(g189 +V +tp2532 +a(g73 +Vgen +p2533 +tp2534 +a(g189 +V +tp2535 +a(g202 +V( +tp2536 +a(g21 +Vunbox +p2537 +tp2538 +a(g189 +V +tp2539 +a(g73 +Vrtype +p2540 +tp2541 +a(g202 +V) +tp2542 +a(g202 +V) +tp2543 +a(g189 +V\u000a +p2544 +tp2545 +a(g202 +V( +tp2546 +a(g57 +Vwhen +p2547 +tp2548 +a(g202 +V( +tp2549 +a(g57 +V= +p2550 +tp2551 +a(g202 +V( +tp2552 +a(g343 +V. +tp2553 +a(g189 +V +tp2554 +a(g73 +Vrtype +p2555 +tp2556 +a(g189 +V +tp2557 +a(g202 +V( +tp2558 +a(g21 +VgetSort +p2559 +tp2560 +a(g202 +V) +tp2561 +a(g202 +V) +tp2562 +a(g189 +V +tp2563 +a(g202 +V( +tp2564 +a(g343 +V. +tp2565 +a(g189 +V +tp2566 +a(g73 +VType +p2567 +tp2568 +a(g189 +V +tp2569 +a(g73 +VVOID +p2570 +tp2571 +a(g202 +V) +tp2572 +a(g202 +V) +tp2573 +a(g189 +V\u000a +p2574 +tp2575 +a(g202 +V( +tp2576 +a(g343 +V. +tp2577 +a(g189 +V +tp2578 +a(g73 +Vgen +p2579 +tp2580 +a(g189 +V +tp2581 +a(g202 +V( +tp2582 +a(g21 +Vpop +p2583 +tp2584 +a(g202 +V) +tp2585 +a(g202 +V) +tp2586 +a(g202 +V) +tp2587 +a(g189 +V\u000a +p2588 +tp2589 +a(g202 +V( +tp2590 +a(g343 +V. +tp2591 +a(g189 +V +tp2592 +a(g73 +Vgen +p2593 +tp2594 +a(g189 +V +tp2595 +a(g202 +V( +tp2596 +a(g21 +VgoTo +p2597 +tp2598 +a(g189 +V +tp2599 +a(g73 +Vend-label +p2600 +tp2601 +a(g202 +V) +tp2602 +a(g202 +V) +tp2603 +a(g189 +V\u000a \u000a +p2604 +tp2605 +a(g7 +V;else call supplied alternative generator +p2606 +tp2607 +a(g189 +V\u000a +p2608 +tp2609 +a(g202 +V( +tp2610 +a(g343 +V. +tp2611 +a(g189 +V +tp2612 +a(g73 +Vgen +p2613 +tp2614 +a(g189 +V +tp2615 +a(g202 +V( +tp2616 +a(g21 +Vmark +p2617 +tp2618 +a(g189 +V +tp2619 +a(g73 +Velse-label +p2620 +tp2621 +a(g202 +V) +tp2622 +a(g202 +V) +tp2623 +a(g189 +V\u000a +p2624 +tp2625 +a(g202 +V( +tp2626 +a(g343 +V. +tp2627 +a(g189 +V +tp2628 +a(g73 +Vgen +p2629 +tp2630 +a(g189 +V +tp2631 +a(g202 +V( +tp2632 +a(g21 +Vpop +p2633 +tp2634 +a(g202 +V) +tp2635 +a(g202 +V) +tp2636 +a(g189 +V\u000a \u000a +p2637 +tp2638 +a(g202 +V( +tp2639 +a(g21 +Velse-gen +p2640 +tp2641 +a(g189 +V +tp2642 +a(g73 +Vgen +p2643 +tp2644 +a(g189 +V +tp2645 +a(g73 +Vm +tp2646 +a(g202 +V) +tp2647 +a(g189 +V\u000a \u000a +p2648 +tp2649 +a(g202 +V( +tp2650 +a(g343 +V. +tp2651 +a(g189 +V +tp2652 +a(g73 +Vgen +p2653 +tp2654 +a(g189 +V +tp2655 +a(g202 +V( +tp2656 +a(g21 +Vmark +p2657 +tp2658 +a(g189 +V +tp2659 +a(g73 +Vend-label +p2660 +tp2661 +a(g202 +V) +tp2662 +a(g202 +V) +tp2663 +a(g189 +V\u000a +p2664 +tp2665 +a(g202 +V( +tp2666 +a(g343 +V. +tp2667 +a(g189 +V +tp2668 +a(g73 +Vgen +p2669 +tp2670 +a(g189 +V +tp2671 +a(g202 +V( +tp2672 +a(g21 +VreturnValue +p2673 +tp2674 +a(g202 +V) +tp2675 +a(g202 +V) +tp2676 +a(g189 +V\u000a +p2677 +tp2678 +a(g202 +V( +tp2679 +a(g343 +V. +tp2680 +a(g189 +V +tp2681 +a(g73 +Vgen +p2682 +tp2683 +a(g189 +V +tp2684 +a(g202 +V( +tp2685 +a(g21 +VendMethod +p2686 +tp2687 +a(g202 +V) +tp2688 +a(g202 +V) +tp2689 +a(g202 +V) +tp2690 +a(g202 +V) +tp2691 +a(g189 +V\u000a +p2692 +tp2693 +a(g202 +V] +tp2694 +a(g189 +V\u000a +p2695 +tp2696 +a(g7 +V;start class definition +p2697 +tp2698 +a(g189 +V\u000a +p2699 +tp2700 +a(g202 +V( +tp2701 +a(g343 +V. +tp2702 +a(g189 +V +tp2703 +a(g73 +Vcv +p2704 +tp2705 +a(g189 +V +tp2706 +a(g202 +V( +tp2707 +a(g21 +Vvisit +p2708 +tp2709 +a(g189 +V +tp2710 +a(g202 +V( +tp2711 +a(g343 +V. +tp2712 +a(g189 +V +tp2713 +a(g73 +VOpcodes +p2714 +tp2715 +a(g189 +V +tp2716 +a(g73 +VV1_5 +p2717 +tp2718 +a(g202 +V) +tp2719 +a(g189 +V +tp2720 +a(g202 +V( +tp2721 +a(g343 +V. +tp2722 +a(g189 +V +tp2723 +a(g73 +VOpcodes +p2724 +tp2725 +a(g189 +V +tp2726 +a(g73 +VACC_PUBLIC +p2727 +tp2728 +a(g202 +V) +tp2729 +a(g189 +V\u000a +p2730 +tp2731 +a(g73 +Vcname +p2732 +tp2733 +a(g189 +V +tp2734 +a(g73 +Vnil +p2735 +tp2736 +a(g189 +V +tp2737 +a(g202 +V( +tp2738 +a(g21 +Viname +p2739 +tp2740 +a(g189 +V +tp2741 +a(g73 +Vsuper +p2742 +tp2743 +a(g202 +V) +tp2744 +a(g189 +V\u000a +p2745 +tp2746 +a(g202 +V( +tp2747 +a(g57 +Vwhen +p2748 +tp2749 +a(g73 +Vinterfaces +p2750 +tp2751 +a(g189 +V\u000a +p2752 +tp2753 +a(g202 +V( +tp2754 +a(g57 +Vinto-array +p2755 +tp2756 +a(g202 +V( +tp2757 +a(g57 +Vmap +p2758 +tp2759 +a(g73 +Viname +p2760 +tp2761 +a(g189 +V +tp2762 +a(g73 +Vinterfaces +p2763 +tp2764 +a(g202 +V) +tp2765 +a(g202 +V) +tp2766 +a(g202 +V) +tp2767 +a(g202 +V) +tp2768 +a(g202 +V) +tp2769 +a(g189 +V\u000a \u000a +p2770 +tp2771 +a(g7 +V;static fields for vars +p2772 +tp2773 +a(g189 +V\u000a +p2774 +tp2775 +a(g202 +V( +tp2776 +a(g57 +Vdoseq +p2777 +tp2778 +a(g73 +Vv +tp2779 +a(g189 +V +tp2780 +a(g73 +Vvar-fields +p2781 +tp2782 +a(g189 +V\u000a +p2783 +tp2784 +a(g202 +V( +tp2785 +a(g343 +V. +tp2786 +a(g189 +V +tp2787 +a(g73 +Vcv +p2788 +tp2789 +a(g189 +V +tp2790 +a(g202 +V( +tp2791 +a(g21 +VvisitField +p2792 +tp2793 +a(g189 +V +tp2794 +a(g202 +V( +tp2795 +a(g57 +V+ +p2796 +tp2797 +a(g202 +V( +tp2798 +a(g343 +V. +tp2799 +a(g189 +V +tp2800 +a(g73 +VOpcodes +p2801 +tp2802 +a(g189 +V +tp2803 +a(g73 +VACC_PUBLIC +p2804 +tp2805 +a(g202 +V) +tp2806 +a(g189 +V +tp2807 +a(g202 +V( +tp2808 +a(g343 +V. +tp2809 +a(g189 +V +tp2810 +a(g73 +VOpcodes +p2811 +tp2812 +a(g189 +V +tp2813 +a(g73 +VACC_FINAL +p2814 +tp2815 +a(g202 +V) +tp2816 +a(g189 +V +tp2817 +a(g202 +V( +tp2818 +a(g343 +V. +tp2819 +a(g189 +V +tp2820 +a(g73 +VOpcodes +p2821 +tp2822 +a(g189 +V +tp2823 +a(g73 +VACC_STATIC +p2824 +tp2825 +a(g202 +V) +tp2826 +a(g202 +V) +tp2827 +a(g189 +V\u000a +p2828 +tp2829 +a(g202 +V( +tp2830 +a(g21 +Vvar-name +p2831 +tp2832 +a(g189 +V +tp2833 +a(g73 +Vv +tp2834 +a(g202 +V) +tp2835 +a(g189 +V \u000a +p2836 +tp2837 +a(g202 +V( +tp2838 +a(g343 +V. +tp2839 +a(g189 +V +tp2840 +a(g73 +Vvar-type +p2841 +tp2842 +a(g189 +V +tp2843 +a(g73 +VgetDescriptor +p2844 +tp2845 +a(g202 +V) +tp2846 +a(g189 +V\u000a +p2847 +tp2848 +a(g73 +Vnil +p2849 +tp2850 +a(g189 +V +tp2851 +a(g73 +Vnil +p2852 +tp2853 +a(g202 +V) +tp2854 +a(g202 +V) +tp2855 +a(g202 +V) +tp2856 +a(g189 +V\u000a \u000a +p2857 +tp2858 +a(g7 +V;instance field for state +p2859 +tp2860 +a(g189 +V\u000a +p2861 +tp2862 +a(g202 +V( +tp2863 +a(g57 +Vwhen +p2864 +tp2865 +a(g73 +Vstate +p2866 +tp2867 +a(g189 +V\u000a +p2868 +tp2869 +a(g202 +V( +tp2870 +a(g343 +V. +tp2871 +a(g189 +V +tp2872 +a(g73 +Vcv +p2873 +tp2874 +a(g189 +V +tp2875 +a(g202 +V( +tp2876 +a(g21 +VvisitField +p2877 +tp2878 +a(g189 +V +tp2879 +a(g202 +V( +tp2880 +a(g57 +V+ +p2881 +tp2882 +a(g202 +V( +tp2883 +a(g343 +V. +tp2884 +a(g189 +V +tp2885 +a(g73 +VOpcodes +p2886 +tp2887 +a(g189 +V +tp2888 +a(g73 +VACC_PUBLIC +p2889 +tp2890 +a(g202 +V) +tp2891 +a(g189 +V +tp2892 +a(g202 +V( +tp2893 +a(g343 +V. +tp2894 +a(g189 +V +tp2895 +a(g73 +VOpcodes +p2896 +tp2897 +a(g189 +V +tp2898 +a(g73 +VACC_FINAL +p2899 +tp2900 +a(g202 +V) +tp2901 +a(g202 +V) +tp2902 +a(g189 +V\u000a +p2903 +tp2904 +a(g73 +Vstate-name +p2905 +tp2906 +a(g189 +V \u000a +p2907 +tp2908 +a(g202 +V( +tp2909 +a(g343 +V. +tp2910 +a(g189 +V +tp2911 +a(g73 +Vobj-type +p2912 +tp2913 +a(g189 +V +tp2914 +a(g73 +VgetDescriptor +p2915 +tp2916 +a(g202 +V) +tp2917 +a(g189 +V\u000a +p2918 +tp2919 +a(g73 +Vnil +p2920 +tp2921 +a(g189 +V +tp2922 +a(g73 +Vnil +p2923 +tp2924 +a(g202 +V) +tp2925 +a(g202 +V) +tp2926 +a(g202 +V) +tp2927 +a(g189 +V\u000a \u000a +p2928 +tp2929 +a(g7 +V;static init to set up var fields and load clj +p2930 +tp2931 +a(g189 +V\u000a +p2932 +tp2933 +a(g202 +V( +tp2934 +a(g111 +Vlet +p2935 +tp2936 +a(g202 +V[ +tp2937 +a(g73 +Vgen +p2938 +tp2939 +a(g189 +V +tp2940 +a(g202 +V( +tp2941 +a(g57 +Vnew +p2942 +tp2943 +a(g73 +VGeneratorAdapter +p2944 +tp2945 +a(g189 +V +tp2946 +a(g202 +V( +tp2947 +a(g57 +V+ +p2948 +tp2949 +a(g202 +V( +tp2950 +a(g343 +V. +tp2951 +a(g189 +V +tp2952 +a(g73 +VOpcodes +p2953 +tp2954 +a(g189 +V +tp2955 +a(g73 +VACC_PUBLIC +p2956 +tp2957 +a(g202 +V) +tp2958 +a(g189 +V +tp2959 +a(g202 +V( +tp2960 +a(g343 +V. +tp2961 +a(g189 +V +tp2962 +a(g73 +VOpcodes +p2963 +tp2964 +a(g189 +V +tp2965 +a(g73 +VACC_STATIC +p2966 +tp2967 +a(g202 +V) +tp2968 +a(g202 +V) +tp2969 +a(g189 +V \u000a +p2970 +tp2971 +a(g202 +V( +tp2972 +a(g343 +V. +tp2973 +a(g189 +V +tp2974 +a(g73 +VMethod +p2975 +tp2976 +a(g189 +V +tp2977 +a(g73 +VgetMethod +p2978 +tp2979 +a(g189 +V +tp2980 +a(g226 +V"void ()" +p2981 +tp2982 +a(g202 +V) +tp2983 +a(g189 +V\u000a +p2984 +tp2985 +a(g73 +Vnil +p2986 +tp2987 +a(g189 +V +tp2988 +a(g73 +Vnil +p2989 +tp2990 +a(g189 +V +tp2991 +a(g73 +Vcv +p2992 +tp2993 +a(g202 +V) +tp2994 +a(g202 +V] +tp2995 +a(g189 +V\u000a +p2996 +tp2997 +a(g202 +V( +tp2998 +a(g343 +V. +tp2999 +a(g189 +V +tp3000 +a(g73 +Vgen +p3001 +tp3002 +a(g189 +V +tp3003 +a(g202 +V( +tp3004 +a(g21 +VvisitCode +p3005 +tp3006 +a(g202 +V) +tp3007 +a(g202 +V) +tp3008 +a(g189 +V\u000a +p3009 +tp3010 +a(g202 +V( +tp3011 +a(g57 +Vdoseq +p3012 +tp3013 +a(g73 +Vv +tp3014 +a(g189 +V +tp3015 +a(g73 +Vvar-fields +p3016 +tp3017 +a(g189 +V\u000a +p3018 +tp3019 +a(g202 +V( +tp3020 +a(g343 +V. +tp3021 +a(g189 +V +tp3022 +a(g73 +Vgen +p3023 +tp3024 +a(g189 +V +tp3025 +a(g73 +Vpush +p3026 +tp3027 +a(g189 +V +tp3028 +a(g73 +Vname +p3029 +tp3030 +a(g202 +V) +tp3031 +a(g189 +V\u000a +p3032 +tp3033 +a(g202 +V( +tp3034 +a(g343 +V. +tp3035 +a(g189 +V +tp3036 +a(g73 +Vgen +p3037 +tp3038 +a(g189 +V +tp3039 +a(g73 +Vpush +p3040 +tp3041 +a(g189 +V +tp3042 +a(g73 +Vv +tp3043 +a(g202 +V) +tp3044 +a(g189 +V\u000a +p3045 +tp3046 +a(g202 +V( +tp3047 +a(g343 +V. +tp3048 +a(g189 +V +tp3049 +a(g73 +Vgen +p3050 +tp3051 +a(g189 +V +tp3052 +a(g202 +V( +tp3053 +a(g21 +VinvokeStatic +p3054 +tp3055 +a(g189 +V +tp3056 +a(g73 +Vrt-type +p3057 +tp3058 +a(g189 +V +tp3059 +a(g202 +V( +tp3060 +a(g343 +V. +tp3061 +a(g189 +V +tp3062 +a(g73 +VMethod +p3063 +tp3064 +a(g189 +V +tp3065 +a(g202 +V( +tp3066 +a(g21 +VgetMethod +p3067 +tp3068 +a(g189 +V +tp3069 +a(g226 +V"clojure.lang.Var var(String,String)" +p3070 +tp3071 +a(g202 +V) +tp3072 +a(g202 +V) +tp3073 +a(g202 +V) +tp3074 +a(g202 +V) +tp3075 +a(g189 +V\u000a +p3076 +tp3077 +a(g202 +V( +tp3078 +a(g343 +V. +tp3079 +a(g189 +V +tp3080 +a(g73 +Vgen +p3081 +tp3082 +a(g189 +V +tp3083 +a(g73 +VputStatic +p3084 +tp3085 +a(g189 +V +tp3086 +a(g73 +Vctype +p3087 +tp3088 +a(g189 +V +tp3089 +a(g202 +V( +tp3090 +a(g21 +Vvar-name +p3091 +tp3092 +a(g189 +V +tp3093 +a(g73 +Vv +tp3094 +a(g202 +V) +tp3095 +a(g189 +V +tp3096 +a(g73 +Vvar-type +p3097 +tp3098 +a(g202 +V) +tp3099 +a(g202 +V) +tp3100 +a(g189 +V\u000a \u000a +p3101 +tp3102 +a(g202 +V( +tp3103 +a(g343 +V. +tp3104 +a(g189 +V +tp3105 +a(g73 +Vgen +p3106 +tp3107 +a(g189 +V +tp3108 +a(g73 +Vpush +p3109 +tp3110 +a(g189 +V +tp3111 +a(g73 +Vctype +p3112 +tp3113 +a(g202 +V) +tp3114 +a(g189 +V\u000a +p3115 +tp3116 +a(g202 +V( +tp3117 +a(g343 +V. +tp3118 +a(g189 +V +tp3119 +a(g73 +Vgen +p3120 +tp3121 +a(g189 +V +tp3122 +a(g73 +Vpush +p3123 +tp3124 +a(g189 +V +tp3125 +a(g202 +V( +tp3126 +a(g57 +Vstr +p3127 +tp3128 +a(g202 +V( +tp3129 +a(g343 +V. +tp3130 +a(g189 +V +tp3131 +a(g73 +Vname +p3132 +tp3133 +a(g189 +V +tp3134 +a(g73 +Vreplace +p3135 +tp3136 +a(g189 +V +tp3137 +a(g268 +V\u005c. +p3138 +tp3139 +a(g189 +V +tp3140 +a(g202 +V( +tp3141 +a(g343 +V. +tp3142 +a(g189 +V +tp3143 +a(g73 +Vjava +p3144 +tp3145 +a(g343 +V. +tp3146 +a(g73 +Vio +p3147 +tp3148 +a(g343 +V. +tp3149 +a(g73 +VFile +p3150 +tp3151 +a(g189 +V +tp3152 +a(g73 +VseparatorChar +p3153 +tp3154 +a(g202 +V) +tp3155 +a(g202 +V) +tp3156 +a(g189 +V +tp3157 +a(g226 +V".clj" +p3158 +tp3159 +a(g202 +V) +tp3160 +a(g202 +V) +tp3161 +a(g189 +V\u000a +p3162 +tp3163 +a(g202 +V( +tp3164 +a(g343 +V. +tp3165 +a(g189 +V +tp3166 +a(g73 +Vgen +p3167 +tp3168 +a(g189 +V +tp3169 +a(g202 +V( +tp3170 +a(g21 +VinvokeStatic +p3171 +tp3172 +a(g189 +V +tp3173 +a(g73 +Vrt-type +p3174 +tp3175 +a(g189 +V +tp3176 +a(g202 +V( +tp3177 +a(g343 +V. +tp3178 +a(g189 +V +tp3179 +a(g73 +VMethod +p3180 +tp3181 +a(g189 +V +tp3182 +a(g202 +V( +tp3183 +a(g21 +VgetMethod +p3184 +tp3185 +a(g189 +V +tp3186 +a(g226 +V"void loadResourceScript(Class,String)" +p3187 +tp3188 +a(g202 +V) +tp3189 +a(g202 +V) +tp3190 +a(g202 +V) +tp3191 +a(g202 +V) +tp3192 +a(g189 +V\u000a \u000a +p3193 +tp3194 +a(g202 +V( +tp3195 +a(g343 +V. +tp3196 +a(g189 +V +tp3197 +a(g73 +Vgen +p3198 +tp3199 +a(g189 +V +tp3200 +a(g202 +V( +tp3201 +a(g21 +VreturnValue +p3202 +tp3203 +a(g202 +V) +tp3204 +a(g202 +V) +tp3205 +a(g189 +V\u000a +p3206 +tp3207 +a(g202 +V( +tp3208 +a(g343 +V. +tp3209 +a(g189 +V +tp3210 +a(g73 +Vgen +p3211 +tp3212 +a(g189 +V +tp3213 +a(g202 +V( +tp3214 +a(g21 +VendMethod +p3215 +tp3216 +a(g202 +V) +tp3217 +a(g202 +V) +tp3218 +a(g202 +V) +tp3219 +a(g189 +V\u000a \u000a +p3220 +tp3221 +a(g7 +V;ctors +p3222 +tp3223 +a(g189 +V\u000a +p3224 +tp3225 +a(g202 +V( +tp3226 +a(g57 +Vdoseq +p3227 +tp3228 +a(g202 +V[ +tp3229 +a(g73 +Vpclasses +p3230 +tp3231 +a(g189 +V +tp3232 +a(g73 +Vsuper-pclasses +p3233 +tp3234 +a(g202 +V] +tp3235 +a(g189 +V +tp3236 +a(g73 +Vctor-sig-map +p3237 +tp3238 +a(g189 +V\u000a +p3239 +tp3240 +a(g202 +V( +tp3241 +a(g111 +Vlet +p3242 +tp3243 +a(g202 +V[ +tp3244 +a(g73 +Vptypes +p3245 +tp3246 +a(g189 +V +tp3247 +a(g202 +V( +tp3248 +a(g21 +Vto-types +p3249 +tp3250 +a(g189 +V +tp3251 +a(g73 +Vpclasses +p3252 +tp3253 +a(g202 +V) +tp3254 +a(g189 +V\u000a +p3255 +tp3256 +a(g73 +Vsuper-ptypes +p3257 +tp3258 +a(g189 +V +tp3259 +a(g202 +V( +tp3260 +a(g21 +Vto-types +p3261 +tp3262 +a(g189 +V +tp3263 +a(g73 +Vsuper-pclasses +p3264 +tp3265 +a(g202 +V) +tp3266 +a(g189 +V\u000a +p3267 +tp3268 +a(g73 +Vm +tp3269 +a(g189 +V +tp3270 +a(g202 +V( +tp3271 +a(g57 +Vnew +p3272 +tp3273 +a(g73 +VMethod +p3274 +tp3275 +a(g189 +V +tp3276 +a(g226 +V"" +p3277 +tp3278 +a(g189 +V +tp3279 +a(g202 +V( +tp3280 +a(g343 +V. +tp3281 +a(g189 +V +tp3282 +a(g73 +VType +p3283 +tp3284 +a(g189 +V +tp3285 +a(g73 +VVOID_TYPE +p3286 +tp3287 +a(g202 +V) +tp3288 +a(g189 +V +tp3289 +a(g73 +Vptypes +p3290 +tp3291 +a(g202 +V) +tp3292 +a(g189 +V\u000a +p3293 +tp3294 +a(g73 +Vsuper-m +p3295 +tp3296 +a(g189 +V +tp3297 +a(g202 +V( +tp3298 +a(g57 +Vnew +p3299 +tp3300 +a(g73 +VMethod +p3301 +tp3302 +a(g189 +V +tp3303 +a(g226 +V"" +p3304 +tp3305 +a(g189 +V +tp3306 +a(g202 +V( +tp3307 +a(g343 +V. +tp3308 +a(g189 +V +tp3309 +a(g73 +VType +p3310 +tp3311 +a(g189 +V +tp3312 +a(g73 +VVOID_TYPE +p3313 +tp3314 +a(g202 +V) +tp3315 +a(g189 +V +tp3316 +a(g73 +Vsuper-ptypes +p3317 +tp3318 +a(g202 +V) +tp3319 +a(g189 +V\u000a +p3320 +tp3321 +a(g73 +Vgen +p3322 +tp3323 +a(g189 +V +tp3324 +a(g202 +V( +tp3325 +a(g57 +Vnew +p3326 +tp3327 +a(g73 +VGeneratorAdapter +p3328 +tp3329 +a(g189 +V +tp3330 +a(g202 +V( +tp3331 +a(g343 +V. +tp3332 +a(g189 +V +tp3333 +a(g73 +VOpcodes +p3334 +tp3335 +a(g189 +V +tp3336 +a(g73 +VACC_PUBLIC +p3337 +tp3338 +a(g202 +V) +tp3339 +a(g189 +V +tp3340 +a(g73 +Vm +tp3341 +a(g189 +V +tp3342 +a(g73 +Vnil +p3343 +tp3344 +a(g189 +V +tp3345 +a(g73 +Vnil +p3346 +tp3347 +a(g189 +V +tp3348 +a(g73 +Vcv +p3349 +tp3350 +a(g202 +V) +tp3351 +a(g189 +V\u000a +p3352 +tp3353 +a(g73 +Vno-init-label +p3354 +tp3355 +a(g189 +V +tp3356 +a(g202 +V( +tp3357 +a(g343 +V. +tp3358 +a(g189 +V +tp3359 +a(g73 +Vgen +p3360 +tp3361 +a(g189 +V +tp3362 +a(g73 +VnewLabel +p3363 +tp3364 +a(g202 +V) +tp3365 +a(g189 +V\u000a +p3366 +tp3367 +a(g73 +Vend-label +p3368 +tp3369 +a(g189 +V +tp3370 +a(g202 +V( +tp3371 +a(g343 +V. +tp3372 +a(g189 +V +tp3373 +a(g73 +Vgen +p3374 +tp3375 +a(g189 +V +tp3376 +a(g73 +VnewLabel +p3377 +tp3378 +a(g202 +V) +tp3379 +a(g189 +V\u000a +p3380 +tp3381 +a(g73 +Vnth-method +p3382 +tp3383 +a(g189 +V +tp3384 +a(g202 +V( +tp3385 +a(g343 +V. +tp3386 +a(g189 +V +tp3387 +a(g73 +VMethod +p3388 +tp3389 +a(g189 +V +tp3390 +a(g202 +V( +tp3391 +a(g21 +VgetMethod +p3392 +tp3393 +a(g189 +V +tp3394 +a(g226 +V"Object nth(Object,int)" +p3395 +tp3396 +a(g202 +V) +tp3397 +a(g202 +V) +tp3398 +a(g189 +V\u000a +p3399 +tp3400 +a(g73 +Vlocal +p3401 +tp3402 +a(g189 +V +tp3403 +a(g202 +V( +tp3404 +a(g343 +V. +tp3405 +a(g189 +V +tp3406 +a(g73 +Vgen +p3407 +tp3408 +a(g189 +V +tp3409 +a(g73 +VnewLocal +p3410 +tp3411 +a(g189 +V +tp3412 +a(g73 +Vobj-type +p3413 +tp3414 +a(g202 +V) +tp3415 +a(g202 +V] +tp3416 +a(g189 +V\u000a +p3417 +tp3418 +a(g202 +V( +tp3419 +a(g343 +V. +tp3420 +a(g189 +V +tp3421 +a(g73 +Vgen +p3422 +tp3423 +a(g189 +V +tp3424 +a(g202 +V( +tp3425 +a(g21 +VvisitCode +p3426 +tp3427 +a(g202 +V) +tp3428 +a(g202 +V) +tp3429 +a(g189 +V\u000a \u000a +p3430 +tp3431 +a(g202 +V( +tp3432 +a(g111 +Vif +p3433 +tp3434 +a(g73 +Vinit +p3435 +tp3436 +a(g189 +V\u000a +p3437 +tp3438 +a(g202 +V( +tp3439 +a(g21 +Vdo +p3440 +tp3441 +a(g189 +V\u000a +p3442 +tp3443 +a(g202 +V( +tp3444 +a(g21 +Vemit-get-var +p3445 +tp3446 +a(g189 +V +tp3447 +a(g73 +Vgen +p3448 +tp3449 +a(g189 +V +tp3450 +a(g73 +Vinit-name +p3451 +tp3452 +a(g202 +V) +tp3453 +a(g189 +V\u000a +p3454 +tp3455 +a(g202 +V( +tp3456 +a(g343 +V. +tp3457 +a(g189 +V +tp3458 +a(g73 +Vgen +p3459 +tp3460 +a(g189 +V +tp3461 +a(g73 +Vdup +p3462 +tp3463 +a(g202 +V) +tp3464 +a(g189 +V\u000a +p3465 +tp3466 +a(g202 +V( +tp3467 +a(g343 +V. +tp3468 +a(g189 +V +tp3469 +a(g73 +Vgen +p3470 +tp3471 +a(g189 +V +tp3472 +a(g73 +VifNull +p3473 +tp3474 +a(g189 +V +tp3475 +a(g73 +Vno-init-label +p3476 +tp3477 +a(g202 +V) +tp3478 +a(g189 +V\u000a +p3479 +tp3480 +a(g7 +V;box init args +p3481 +tp3482 +a(g189 +V\u000a +p3483 +tp3484 +a(g202 +V( +tp3485 +a(g57 +Vdotimes +p3486 +tp3487 +a(g73 +Vi +tp3488 +a(g189 +V +tp3489 +a(g202 +V( +tp3490 +a(g57 +Vcount +p3491 +tp3492 +a(g73 +Vpclasses +p3493 +tp3494 +a(g202 +V) +tp3495 +a(g189 +V\u000a +p3496 +tp3497 +a(g202 +V( +tp3498 +a(g343 +V. +tp3499 +a(g189 +V +tp3500 +a(g73 +Vgen +p3501 +tp3502 +a(g189 +V +tp3503 +a(g202 +V( +tp3504 +a(g21 +VloadArg +p3505 +tp3506 +a(g189 +V +tp3507 +a(g73 +Vi +tp3508 +a(g202 +V) +tp3509 +a(g202 +V) +tp3510 +a(g189 +V\u000a +p3511 +tp3512 +a(g202 +V( +tp3513 +a(g343 +V. +tp3514 +a(g189 +V +tp3515 +a(g73 +Vclojure +p3516 +tp3517 +a(g343 +V. +tp3518 +a(g73 +Vlang +p3519 +tp3520 +a(g343 +V. +tp3521 +a(g73 +VCompiler$HostExpr +p3522 +tp3523 +a(g189 +V +tp3524 +a(g202 +V( +tp3525 +a(g21 +VemitBoxReturn +p3526 +tp3527 +a(g189 +V +tp3528 +a(g73 +Vnil +p3529 +tp3530 +a(g189 +V +tp3531 +a(g73 +Vgen +p3532 +tp3533 +a(g189 +V +tp3534 +a(g202 +V( +tp3535 +a(g57 +Vnth +p3536 +tp3537 +a(g73 +Vpclasses +p3538 +tp3539 +a(g189 +V +tp3540 +a(g73 +Vi +tp3541 +a(g202 +V) +tp3542 +a(g202 +V) +tp3543 +a(g202 +V) +tp3544 +a(g202 +V) +tp3545 +a(g189 +V\u000a +p3546 +tp3547 +a(g7 +V;call init fn +p3548 +tp3549 +a(g189 +V\u000a +p3550 +tp3551 +a(g202 +V( +tp3552 +a(g343 +V. +tp3553 +a(g189 +V +tp3554 +a(g73 +Vgen +p3555 +tp3556 +a(g189 +V +tp3557 +a(g202 +V( +tp3558 +a(g21 +VinvokeInterface +p3559 +tp3560 +a(g189 +V +tp3561 +a(g73 +Vifn-type +p3562 +tp3563 +a(g189 +V +tp3564 +a(g202 +V( +tp3565 +a(g57 +Vnew +p3566 +tp3567 +a(g73 +VMethod +p3568 +tp3569 +a(g189 +V +tp3570 +a(g226 +V"invoke" +p3571 +tp3572 +a(g189 +V +tp3573 +a(g73 +Vobj-type +p3574 +tp3575 +a(g189 +V \u000a +p3576 +tp3577 +a(g202 +V( +tp3578 +a(g21 +Varg-types +p3579 +tp3580 +a(g189 +V +tp3581 +a(g202 +V( +tp3582 +a(g57 +Vcount +p3583 +tp3584 +a(g73 +Vptypes +p3585 +tp3586 +a(g202 +V) +tp3587 +a(g202 +V) +tp3588 +a(g202 +V) +tp3589 +a(g202 +V) +tp3590 +a(g202 +V) +tp3591 +a(g189 +V\u000a +p3592 +tp3593 +a(g7 +V;expecting [[super-ctor-args] state] returned +p3594 +tp3595 +a(g189 +V\u000a +p3596 +tp3597 +a(g202 +V( +tp3598 +a(g343 +V. +tp3599 +a(g189 +V +tp3600 +a(g73 +Vgen +p3601 +tp3602 +a(g189 +V +tp3603 +a(g73 +Vdup +p3604 +tp3605 +a(g202 +V) +tp3606 +a(g189 +V\u000a +p3607 +tp3608 +a(g202 +V( +tp3609 +a(g343 +V. +tp3610 +a(g189 +V +tp3611 +a(g73 +Vgen +p3612 +tp3613 +a(g189 +V +tp3614 +a(g73 +Vpush +p3615 +tp3616 +a(g189 +V +tp3617 +a(g318 +V0 +tp3618 +a(g202 +V) +tp3619 +a(g189 +V\u000a +p3620 +tp3621 +a(g202 +V( +tp3622 +a(g343 +V. +tp3623 +a(g189 +V +tp3624 +a(g73 +Vgen +p3625 +tp3626 +a(g189 +V +tp3627 +a(g202 +V( +tp3628 +a(g21 +VinvokeStatic +p3629 +tp3630 +a(g189 +V +tp3631 +a(g73 +Vrt-type +p3632 +tp3633 +a(g189 +V +tp3634 +a(g73 +Vnth-method +p3635 +tp3636 +a(g202 +V) +tp3637 +a(g202 +V) +tp3638 +a(g189 +V\u000a +p3639 +tp3640 +a(g202 +V( +tp3641 +a(g343 +V. +tp3642 +a(g189 +V +tp3643 +a(g73 +Vgen +p3644 +tp3645 +a(g189 +V +tp3646 +a(g73 +VstoreLocal +p3647 +tp3648 +a(g189 +V +tp3649 +a(g73 +Vlocal +p3650 +tp3651 +a(g202 +V) +tp3652 +a(g189 +V\u000a \u000a +p3653 +tp3654 +a(g202 +V( +tp3655 +a(g343 +V. +tp3656 +a(g189 +V +tp3657 +a(g73 +Vgen +p3658 +tp3659 +a(g189 +V +tp3660 +a(g202 +V( +tp3661 +a(g21 +VloadThis +p3662 +tp3663 +a(g202 +V) +tp3664 +a(g202 +V) +tp3665 +a(g189 +V\u000a +p3666 +tp3667 +a(g202 +V( +tp3668 +a(g343 +V. +tp3669 +a(g189 +V +tp3670 +a(g73 +Vgen +p3671 +tp3672 +a(g189 +V +tp3673 +a(g73 +VdupX1 +p3674 +tp3675 +a(g202 +V) +tp3676 +a(g189 +V\u000a +p3677 +tp3678 +a(g202 +V( +tp3679 +a(g57 +Vdotimes +p3680 +tp3681 +a(g73 +Vi +tp3682 +a(g189 +V +tp3683 +a(g202 +V( +tp3684 +a(g57 +Vcount +p3685 +tp3686 +a(g73 +Vsuper-pclasses +p3687 +tp3688 +a(g202 +V) +tp3689 +a(g189 +V\u000a +p3690 +tp3691 +a(g202 +V( +tp3692 +a(g343 +V. +tp3693 +a(g189 +V +tp3694 +a(g73 +Vgen +p3695 +tp3696 +a(g189 +V +tp3697 +a(g73 +VloadLocal +p3698 +tp3699 +a(g189 +V +tp3700 +a(g73 +Vlocal +p3701 +tp3702 +a(g202 +V) +tp3703 +a(g189 +V\u000a +p3704 +tp3705 +a(g202 +V( +tp3706 +a(g343 +V. +tp3707 +a(g189 +V +tp3708 +a(g73 +Vgen +p3709 +tp3710 +a(g189 +V +tp3711 +a(g73 +Vpush +p3712 +tp3713 +a(g189 +V +tp3714 +a(g73 +Vi +tp3715 +a(g202 +V) +tp3716 +a(g189 +V\u000a +p3717 +tp3718 +a(g202 +V( +tp3719 +a(g343 +V. +tp3720 +a(g189 +V +tp3721 +a(g73 +Vgen +p3722 +tp3723 +a(g189 +V +tp3724 +a(g202 +V( +tp3725 +a(g21 +VinvokeStatic +p3726 +tp3727 +a(g189 +V +tp3728 +a(g73 +Vrt-type +p3729 +tp3730 +a(g189 +V +tp3731 +a(g73 +Vnth-method +p3732 +tp3733 +a(g202 +V) +tp3734 +a(g202 +V) +tp3735 +a(g189 +V\u000a +p3736 +tp3737 +a(g202 +V( +tp3738 +a(g343 +V. +tp3739 +a(g189 +V +tp3740 +a(g73 +Vclojure +p3741 +tp3742 +a(g343 +V. +tp3743 +a(g73 +Vlang +p3744 +tp3745 +a(g343 +V. +tp3746 +a(g73 +VCompiler$HostExpr +p3747 +tp3748 +a(g189 +V +tp3749 +a(g202 +V( +tp3750 +a(g21 +VemitUnboxArg +p3751 +tp3752 +a(g189 +V +tp3753 +a(g73 +Vnil +p3754 +tp3755 +a(g189 +V +tp3756 +a(g73 +Vgen +p3757 +tp3758 +a(g189 +V +tp3759 +a(g202 +V( +tp3760 +a(g57 +Vnth +p3761 +tp3762 +a(g73 +Vsuper-pclasses +p3763 +tp3764 +a(g189 +V +tp3765 +a(g73 +Vi +tp3766 +a(g202 +V) +tp3767 +a(g202 +V) +tp3768 +a(g202 +V) +tp3769 +a(g202 +V) +tp3770 +a(g189 +V\u000a +p3771 +tp3772 +a(g202 +V( +tp3773 +a(g343 +V. +tp3774 +a(g189 +V +tp3775 +a(g73 +Vgen +p3776 +tp3777 +a(g189 +V +tp3778 +a(g202 +V( +tp3779 +a(g21 +VinvokeConstructor +p3780 +tp3781 +a(g189 +V +tp3782 +a(g73 +Vsuper-type +p3783 +tp3784 +a(g189 +V +tp3785 +a(g73 +Vsuper-m +p3786 +tp3787 +a(g202 +V) +tp3788 +a(g202 +V) +tp3789 +a(g189 +V\u000a \u000a +p3790 +tp3791 +a(g202 +V( +tp3792 +a(g111 +Vif +p3793 +tp3794 +a(g73 +Vstate +p3795 +tp3796 +a(g189 +V\u000a +p3797 +tp3798 +a(g202 +V( +tp3799 +a(g21 +Vdo +p3800 +tp3801 +a(g189 +V\u000a +p3802 +tp3803 +a(g202 +V( +tp3804 +a(g343 +V. +tp3805 +a(g189 +V +tp3806 +a(g73 +Vgen +p3807 +tp3808 +a(g189 +V +tp3809 +a(g73 +Vpush +p3810 +tp3811 +a(g189 +V +tp3812 +a(g318 +V1 +tp3813 +a(g202 +V) +tp3814 +a(g189 +V\u000a +p3815 +tp3816 +a(g202 +V( +tp3817 +a(g343 +V. +tp3818 +a(g189 +V +tp3819 +a(g73 +Vgen +p3820 +tp3821 +a(g189 +V +tp3822 +a(g202 +V( +tp3823 +a(g21 +VinvokeStatic +p3824 +tp3825 +a(g189 +V +tp3826 +a(g73 +Vrt-type +p3827 +tp3828 +a(g189 +V +tp3829 +a(g73 +Vnth-method +p3830 +tp3831 +a(g202 +V) +tp3832 +a(g202 +V) +tp3833 +a(g189 +V\u000a +p3834 +tp3835 +a(g202 +V( +tp3836 +a(g343 +V. +tp3837 +a(g189 +V +tp3838 +a(g73 +Vgen +p3839 +tp3840 +a(g189 +V +tp3841 +a(g202 +V( +tp3842 +a(g21 +VputField +p3843 +tp3844 +a(g189 +V +tp3845 +a(g73 +Vctype +p3846 +tp3847 +a(g189 +V +tp3848 +a(g73 +Vstate-name +p3849 +tp3850 +a(g189 +V +tp3851 +a(g73 +Vobj-type +p3852 +tp3853 +a(g202 +V) +tp3854 +a(g202 +V) +tp3855 +a(g202 +V) +tp3856 +a(g189 +V\u000a +p3857 +tp3858 +a(g202 +V( +tp3859 +a(g343 +V. +tp3860 +a(g189 +V +tp3861 +a(g73 +Vgen +p3862 +tp3863 +a(g189 +V +tp3864 +a(g73 +Vpop +p3865 +tp3866 +a(g202 +V) +tp3867 +a(g202 +V) +tp3868 +a(g189 +V\u000a \u000a +p3869 +tp3870 +a(g202 +V( +tp3871 +a(g343 +V. +tp3872 +a(g189 +V +tp3873 +a(g73 +Vgen +p3874 +tp3875 +a(g189 +V +tp3876 +a(g73 +VgoTo +p3877 +tp3878 +a(g189 +V +tp3879 +a(g73 +Vend-label +p3880 +tp3881 +a(g202 +V) +tp3882 +a(g189 +V\u000a +p3883 +tp3884 +a(g7 +V;no init found +p3885 +tp3886 +a(g189 +V\u000a +p3887 +tp3888 +a(g202 +V( +tp3889 +a(g343 +V. +tp3890 +a(g189 +V +tp3891 +a(g73 +Vgen +p3892 +tp3893 +a(g189 +V +tp3894 +a(g73 +Vmark +p3895 +tp3896 +a(g189 +V +tp3897 +a(g73 +Vno-init-label +p3898 +tp3899 +a(g202 +V) +tp3900 +a(g189 +V\u000a +p3901 +tp3902 +a(g202 +V( +tp3903 +a(g343 +V. +tp3904 +a(g189 +V +tp3905 +a(g73 +Vgen +p3906 +tp3907 +a(g189 +V +tp3908 +a(g202 +V( +tp3909 +a(g21 +VthrowException +p3910 +tp3911 +a(g189 +V +tp3912 +a(g73 +Vex-type +p3913 +tp3914 +a(g189 +V +tp3915 +a(g202 +V( +tp3916 +a(g57 +Vstr +p3917 +tp3918 +a(g73 +Vinit-name +p3919 +tp3920 +a(g189 +V +tp3921 +a(g226 +V" not defined" +p3922 +tp3923 +a(g202 +V) +tp3924 +a(g202 +V) +tp3925 +a(g202 +V) +tp3926 +a(g189 +V\u000a +p3927 +tp3928 +a(g202 +V( +tp3929 +a(g343 +V. +tp3930 +a(g189 +V +tp3931 +a(g73 +Vgen +p3932 +tp3933 +a(g189 +V +tp3934 +a(g73 +Vmark +p3935 +tp3936 +a(g189 +V +tp3937 +a(g73 +Vend-label +p3938 +tp3939 +a(g202 +V) +tp3940 +a(g202 +V) +tp3941 +a(g189 +V\u000a +p3942 +tp3943 +a(g202 +V( +tp3944 +a(g111 +Vif +p3945 +tp3946 +a(g202 +V( +tp3947 +a(g57 +V= +p3948 +tp3949 +a(g73 +Vpclasses +p3950 +tp3951 +a(g189 +V +tp3952 +a(g73 +Vsuper-pclasses +p3953 +tp3954 +a(g202 +V) +tp3955 +a(g189 +V\u000a +p3956 +tp3957 +a(g202 +V( +tp3958 +a(g21 +Vdo +p3959 +tp3960 +a(g189 +V\u000a +p3961 +tp3962 +a(g202 +V( +tp3963 +a(g343 +V. +tp3964 +a(g189 +V +tp3965 +a(g73 +Vgen +p3966 +tp3967 +a(g189 +V +tp3968 +a(g202 +V( +tp3969 +a(g21 +VloadThis +p3970 +tp3971 +a(g202 +V) +tp3972 +a(g202 +V) +tp3973 +a(g189 +V\u000a +p3974 +tp3975 +a(g202 +V( +tp3976 +a(g343 +V. +tp3977 +a(g189 +V +tp3978 +a(g73 +Vgen +p3979 +tp3980 +a(g189 +V +tp3981 +a(g202 +V( +tp3982 +a(g21 +VloadArgs +p3983 +tp3984 +a(g202 +V) +tp3985 +a(g202 +V) +tp3986 +a(g189 +V\u000a +p3987 +tp3988 +a(g202 +V( +tp3989 +a(g343 +V. +tp3990 +a(g189 +V +tp3991 +a(g73 +Vgen +p3992 +tp3993 +a(g189 +V +tp3994 +a(g202 +V( +tp3995 +a(g21 +VinvokeConstructor +p3996 +tp3997 +a(g189 +V +tp3998 +a(g73 +Vsuper-type +p3999 +tp4000 +a(g189 +V +tp4001 +a(g73 +Vsuper-m +p4002 +tp4003 +a(g202 +V) +tp4004 +a(g202 +V) +tp4005 +a(g202 +V) +tp4006 +a(g189 +V\u000a +p4007 +tp4008 +a(g202 +V( +tp4009 +a(g21 +Vthrow +p4010 +tp4011 +a(g189 +V +tp4012 +a(g202 +V( +tp4013 +a(g57 +Vnew +p4014 +tp4015 +a(g73 +VException +p4016 +tp4017 +a(g189 +V +tp4018 +a(g226 +V":init not specified, but ctor and super ctor args differ" +p4019 +tp4020 +a(g202 +V) +tp4021 +a(g202 +V) +tp4022 +a(g202 +V) +tp4023 +a(g202 +V) +tp4024 +a(g189 +V\u000a\u000a +p4025 +tp4026 +a(g202 +V( +tp4027 +a(g343 +V. +tp4028 +a(g189 +V +tp4029 +a(g73 +Vgen +p4030 +tp4031 +a(g189 +V +tp4032 +a(g202 +V( +tp4033 +a(g21 +VreturnValue +p4034 +tp4035 +a(g202 +V) +tp4036 +a(g202 +V) +tp4037 +a(g189 +V\u000a +p4038 +tp4039 +a(g202 +V( +tp4040 +a(g343 +V. +tp4041 +a(g189 +V +tp4042 +a(g73 +Vgen +p4043 +tp4044 +a(g189 +V +tp4045 +a(g202 +V( +tp4046 +a(g21 +VendMethod +p4047 +tp4048 +a(g202 +V) +tp4049 +a(g202 +V) +tp4050 +a(g189 +V\u000a +p4051 +tp4052 +a(g7 +V;factory +p4053 +tp4054 +a(g189 +V\u000a +p4055 +tp4056 +a(g202 +V( +tp4057 +a(g57 +Vwhen +p4058 +tp4059 +a(g73 +Vfactory +p4060 +tp4061 +a(g189 +V\u000a +p4062 +tp4063 +a(g202 +V( +tp4064 +a(g111 +Vlet +p4065 +tp4066 +a(g202 +V[ +tp4067 +a(g73 +Vfm +p4068 +tp4069 +a(g189 +V +tp4070 +a(g202 +V( +tp4071 +a(g57 +Vnew +p4072 +tp4073 +a(g73 +VMethod +p4074 +tp4075 +a(g189 +V +tp4076 +a(g73 +Vfactory-name +p4077 +tp4078 +a(g189 +V +tp4079 +a(g73 +Vctype +p4080 +tp4081 +a(g189 +V +tp4082 +a(g73 +Vptypes +p4083 +tp4084 +a(g202 +V) +tp4085 +a(g189 +V\u000a +p4086 +tp4087 +a(g73 +Vgen +p4088 +tp4089 +a(g189 +V +tp4090 +a(g202 +V( +tp4091 +a(g57 +Vnew +p4092 +tp4093 +a(g73 +VGeneratorAdapter +p4094 +tp4095 +a(g189 +V +tp4096 +a(g202 +V( +tp4097 +a(g57 +V+ +p4098 +tp4099 +a(g202 +V( +tp4100 +a(g343 +V. +tp4101 +a(g189 +V +tp4102 +a(g73 +VOpcodes +p4103 +tp4104 +a(g189 +V +tp4105 +a(g73 +VACC_PUBLIC +p4106 +tp4107 +a(g202 +V) +tp4108 +a(g189 +V +tp4109 +a(g202 +V( +tp4110 +a(g343 +V. +tp4111 +a(g189 +V +tp4112 +a(g73 +VOpcodes +p4113 +tp4114 +a(g189 +V +tp4115 +a(g73 +VACC_STATIC +p4116 +tp4117 +a(g202 +V) +tp4118 +a(g202 +V) +tp4119 +a(g189 +V \u000a +p4120 +tp4121 +a(g73 +Vfm +p4122 +tp4123 +a(g189 +V +tp4124 +a(g73 +Vnil +p4125 +tp4126 +a(g189 +V +tp4127 +a(g73 +Vnil +p4128 +tp4129 +a(g189 +V +tp4130 +a(g73 +Vcv +p4131 +tp4132 +a(g202 +V) +tp4133 +a(g202 +V] +tp4134 +a(g189 +V\u000a +p4135 +tp4136 +a(g202 +V( +tp4137 +a(g343 +V. +tp4138 +a(g189 +V +tp4139 +a(g73 +Vgen +p4140 +tp4141 +a(g189 +V +tp4142 +a(g202 +V( +tp4143 +a(g21 +VvisitCode +p4144 +tp4145 +a(g202 +V) +tp4146 +a(g202 +V) +tp4147 +a(g189 +V\u000a +p4148 +tp4149 +a(g202 +V( +tp4150 +a(g343 +V. +tp4151 +a(g189 +V +tp4152 +a(g73 +Vgen +p4153 +tp4154 +a(g189 +V +tp4155 +a(g73 +VnewInstance +p4156 +tp4157 +a(g189 +V +tp4158 +a(g73 +Vctype +p4159 +tp4160 +a(g202 +V) +tp4161 +a(g189 +V\u000a +p4162 +tp4163 +a(g202 +V( +tp4164 +a(g343 +V. +tp4165 +a(g189 +V +tp4166 +a(g73 +Vgen +p4167 +tp4168 +a(g189 +V +tp4169 +a(g73 +Vdup +p4170 +tp4171 +a(g202 +V) +tp4172 +a(g189 +V\u000a +p4173 +tp4174 +a(g202 +V( +tp4175 +a(g343 +V. +tp4176 +a(g189 +V +tp4177 +a(g73 +Vgen +p4178 +tp4179 +a(g189 +V +tp4180 +a(g202 +V( +tp4181 +a(g21 +VloadArgs +p4182 +tp4183 +a(g202 +V) +tp4184 +a(g202 +V) +tp4185 +a(g189 +V\u000a +p4186 +tp4187 +a(g202 +V( +tp4188 +a(g343 +V. +tp4189 +a(g189 +V +tp4190 +a(g73 +Vgen +p4191 +tp4192 +a(g189 +V +tp4193 +a(g202 +V( +tp4194 +a(g21 +VinvokeConstructor +p4195 +tp4196 +a(g189 +V +tp4197 +a(g73 +Vctype +p4198 +tp4199 +a(g189 +V +tp4200 +a(g73 +Vm +tp4201 +a(g202 +V) +tp4202 +a(g202 +V) +tp4203 +a(g189 +V \u000a +p4204 +tp4205 +a(g202 +V( +tp4206 +a(g343 +V. +tp4207 +a(g189 +V +tp4208 +a(g73 +Vgen +p4209 +tp4210 +a(g189 +V +tp4211 +a(g202 +V( +tp4212 +a(g21 +VreturnValue +p4213 +tp4214 +a(g202 +V) +tp4215 +a(g202 +V) +tp4216 +a(g189 +V\u000a +p4217 +tp4218 +a(g202 +V( +tp4219 +a(g343 +V. +tp4220 +a(g189 +V +tp4221 +a(g73 +Vgen +p4222 +tp4223 +a(g189 +V +tp4224 +a(g202 +V( +tp4225 +a(g21 +VendMethod +p4226 +tp4227 +a(g202 +V) +tp4228 +a(g202 +V) +tp4229 +a(g202 +V) +tp4230 +a(g202 +V) +tp4231 +a(g202 +V) +tp4232 +a(g202 +V) +tp4233 +a(g189 +V\u000a \u000a +p4234 +tp4235 +a(g7 +V;add methods matching supers', if no fn -> call super +p4236 +tp4237 +a(g189 +V\u000a +p4238 +tp4239 +a(g202 +V( +tp4240 +a(g111 +Vlet +p4241 +tp4242 +a(g202 +V[ +tp4243 +a(g73 +Vmm +p4244 +tp4245 +a(g189 +V +tp4246 +a(g202 +V( +tp4247 +a(g21 +Vnon-private-methods +p4248 +tp4249 +a(g189 +V +tp4250 +a(g73 +Vsuper +p4251 +tp4252 +a(g202 +V) +tp4253 +a(g202 +V] +tp4254 +a(g189 +V\u000a +p4255 +tp4256 +a(g202 +V( +tp4257 +a(g57 +Vdoseq +p4258 +tp4259 +a(g343 +V# +tp4260 +a(g73 +V^java +p4261 +tp4262 +a(g343 +V. +tp4263 +a(g73 +Vlang +p4264 +tp4265 +a(g343 +V. +tp4266 +a(g73 +Vreflect +p4267 +tp4268 +a(g343 +V. +tp4269 +a(g73 +VMethod +p4270 +tp4271 +a(g189 +V +tp4272 +a(g73 +Vmeth +p4273 +tp4274 +a(g189 +V +tp4275 +a(g202 +V( +tp4276 +a(g57 +Vvals +p4277 +tp4278 +a(g73 +Vmm +p4279 +tp4280 +a(g202 +V) +tp4281 +a(g189 +V\u000a +p4282 +tp4283 +a(g202 +V( +tp4284 +a(g21 +Vemit-forwarding-method +p4285 +tp4286 +a(g189 +V +tp4287 +a(g202 +V( +tp4288 +a(g343 +V. +tp4289 +a(g73 +VgetName +p4290 +tp4291 +a(g189 +V +tp4292 +a(g73 +Vmeth +p4293 +tp4294 +a(g202 +V) +tp4295 +a(g189 +V +tp4296 +a(g202 +V( +tp4297 +a(g343 +V. +tp4298 +a(g73 +VgetParameterTypes +p4299 +tp4300 +a(g189 +V +tp4301 +a(g73 +Vmeth +p4302 +tp4303 +a(g202 +V) +tp4304 +a(g189 +V +tp4305 +a(g202 +V( +tp4306 +a(g343 +V. +tp4307 +a(g73 +VgetReturnType +p4308 +tp4309 +a(g189 +V +tp4310 +a(g73 +Vmeth +p4311 +tp4312 +a(g202 +V) +tp4313 +a(g189 +V \u000a +p4314 +tp4315 +a(g202 +V( +tp4316 +a(g111 +Vfn +p4317 +tp4318 +a(g202 +V[ +tp4319 +a(g73 +Vgen +p4320 +tp4321 +a(g189 +V +tp4322 +a(g73 +Vm +tp4323 +a(g202 +V] +tp4324 +a(g189 +V\u000a +p4325 +tp4326 +a(g202 +V( +tp4327 +a(g343 +V. +tp4328 +a(g189 +V +tp4329 +a(g73 +Vgen +p4330 +tp4331 +a(g189 +V +tp4332 +a(g202 +V( +tp4333 +a(g21 +VloadThis +p4334 +tp4335 +a(g202 +V) +tp4336 +a(g202 +V) +tp4337 +a(g189 +V\u000a +p4338 +tp4339 +a(g7 +V;push args +p4340 +tp4341 +a(g189 +V\u000a +p4342 +tp4343 +a(g202 +V( +tp4344 +a(g343 +V. +tp4345 +a(g189 +V +tp4346 +a(g73 +Vgen +p4347 +tp4348 +a(g189 +V +tp4349 +a(g202 +V( +tp4350 +a(g21 +VloadArgs +p4351 +tp4352 +a(g202 +V) +tp4353 +a(g202 +V) +tp4354 +a(g189 +V\u000a +p4355 +tp4356 +a(g7 +V;call super +p4357 +tp4358 +a(g189 +V\u000a +p4359 +tp4360 +a(g202 +V( +tp4361 +a(g343 +V. +tp4362 +a(g189 +V +tp4363 +a(g73 +Vgen +p4364 +tp4365 +a(g189 +V +tp4366 +a(g202 +V( +tp4367 +a(g21 +VvisitMethodInsn +p4368 +tp4369 +a(g189 +V +tp4370 +a(g202 +V( +tp4371 +a(g343 +V. +tp4372 +a(g189 +V +tp4373 +a(g73 +VOpcodes +p4374 +tp4375 +a(g189 +V +tp4376 +a(g73 +VINVOKESPECIAL +p4377 +tp4378 +a(g202 +V) +tp4379 +a(g189 +V \u000a +p4380 +tp4381 +a(g202 +V( +tp4382 +a(g343 +V. +tp4383 +a(g189 +V +tp4384 +a(g73 +Vsuper-type +p4385 +tp4386 +a(g189 +V +tp4387 +a(g202 +V( +tp4388 +a(g21 +VgetInternalName +p4389 +tp4390 +a(g202 +V) +tp4391 +a(g202 +V) +tp4392 +a(g189 +V\u000a +p4393 +tp4394 +a(g202 +V( +tp4395 +a(g343 +V. +tp4396 +a(g189 +V +tp4397 +a(g73 +Vm +tp4398 +a(g189 +V +tp4399 +a(g202 +V( +tp4400 +a(g21 +VgetName +p4401 +tp4402 +a(g202 +V) +tp4403 +a(g202 +V) +tp4404 +a(g189 +V\u000a +p4405 +tp4406 +a(g202 +V( +tp4407 +a(g343 +V. +tp4408 +a(g189 +V +tp4409 +a(g73 +Vm +tp4410 +a(g189 +V +tp4411 +a(g202 +V( +tp4412 +a(g21 +VgetDescriptor +p4413 +tp4414 +a(g202 +V) +tp4415 +a(g202 +V) +tp4416 +a(g202 +V) +tp4417 +a(g202 +V) +tp4418 +a(g202 +V) +tp4419 +a(g202 +V) +tp4420 +a(g202 +V) +tp4421 +a(g189 +V\u000a +p4422 +tp4423 +a(g7 +V;add methods matching interfaces', if no fn -> throw +p4424 +tp4425 +a(g189 +V\u000a +p4426 +tp4427 +a(g202 +V( +tp4428 +a(g57 +Vdoseq +p4429 +tp4430 +a(g343 +V# +tp4431 +a(g73 +V^Class +p4432 +tp4433 +a(g189 +V +tp4434 +a(g73 +Viface +p4435 +tp4436 +a(g189 +V +tp4437 +a(g73 +Vinterfaces +p4438 +tp4439 +a(g189 +V\u000a +p4440 +tp4441 +a(g202 +V( +tp4442 +a(g57 +Vdoseq +p4443 +tp4444 +a(g343 +V# +tp4445 +a(g73 +V^java +p4446 +tp4447 +a(g343 +V. +tp4448 +a(g73 +Vlang +p4449 +tp4450 +a(g343 +V. +tp4451 +a(g73 +Vreflect +p4452 +tp4453 +a(g343 +V. +tp4454 +a(g73 +VMethod +p4455 +tp4456 +a(g189 +V +tp4457 +a(g73 +Vmeth +p4458 +tp4459 +a(g189 +V +tp4460 +a(g202 +V( +tp4461 +a(g343 +V. +tp4462 +a(g189 +V +tp4463 +a(g73 +Viface +p4464 +tp4465 +a(g189 +V +tp4466 +a(g202 +V( +tp4467 +a(g21 +VgetMethods +p4468 +tp4469 +a(g202 +V) +tp4470 +a(g202 +V) +tp4471 +a(g189 +V\u000a +p4472 +tp4473 +a(g202 +V( +tp4474 +a(g57 +Vwhen-not +p4475 +tp4476 +a(g202 +V( +tp4477 +a(g57 +Vcontains? +p4478 +tp4479 +a(g73 +Vmm +p4480 +tp4481 +a(g189 +V +tp4482 +a(g202 +V( +tp4483 +a(g21 +Vmethod-sig +p4484 +tp4485 +a(g189 +V +tp4486 +a(g73 +Vmeth +p4487 +tp4488 +a(g202 +V) +tp4489 +a(g202 +V) +tp4490 +a(g189 +V\u000a +p4491 +tp4492 +a(g202 +V( +tp4493 +a(g21 +Vemit-forwarding-method +p4494 +tp4495 +a(g189 +V +tp4496 +a(g202 +V( +tp4497 +a(g343 +V. +tp4498 +a(g73 +VgetName +p4499 +tp4500 +a(g189 +V +tp4501 +a(g73 +Vmeth +p4502 +tp4503 +a(g202 +V) +tp4504 +a(g189 +V +tp4505 +a(g202 +V( +tp4506 +a(g343 +V. +tp4507 +a(g73 +VgetParameterTypes +p4508 +tp4509 +a(g189 +V +tp4510 +a(g73 +Vmeth +p4511 +tp4512 +a(g202 +V) +tp4513 +a(g189 +V +tp4514 +a(g202 +V( +tp4515 +a(g343 +V. +tp4516 +a(g73 +VgetReturnType +p4517 +tp4518 +a(g189 +V +tp4519 +a(g73 +Vmeth +p4520 +tp4521 +a(g202 +V) +tp4522 +a(g189 +V \u000a +p4523 +tp4524 +a(g202 +V( +tp4525 +a(g111 +Vfn +p4526 +tp4527 +a(g202 +V[ +tp4528 +a(g73 +Vgen +p4529 +tp4530 +a(g189 +V +tp4531 +a(g73 +Vm +tp4532 +a(g202 +V] +tp4533 +a(g189 +V\u000a +p4534 +tp4535 +a(g202 +V( +tp4536 +a(g343 +V. +tp4537 +a(g189 +V +tp4538 +a(g73 +Vgen +p4539 +tp4540 +a(g189 +V +tp4541 +a(g202 +V( +tp4542 +a(g21 +VthrowException +p4543 +tp4544 +a(g189 +V +tp4545 +a(g73 +Vex-type +p4546 +tp4547 +a(g189 +V +tp4548 +a(g202 +V( +tp4549 +a(g343 +V. +tp4550 +a(g189 +V +tp4551 +a(g73 +Vm +tp4552 +a(g189 +V +tp4553 +a(g202 +V( +tp4554 +a(g21 +VgetName +p4555 +tp4556 +a(g202 +V) +tp4557 +a(g202 +V) +tp4558 +a(g202 +V) +tp4559 +a(g202 +V) +tp4560 +a(g202 +V) +tp4561 +a(g202 +V) +tp4562 +a(g202 +V) +tp4563 +a(g202 +V) +tp4564 +a(g202 +V) +tp4565 +a(g189 +V\u000a +p4566 +tp4567 +a(g7 +V;extra methods +p4568 +tp4569 +a(g189 +V\u000a +p4570 +tp4571 +a(g202 +V( +tp4572 +a(g57 +Vdoseq +p4573 +tp4574 +a(g202 +V[ +tp4575 +a(g73 +Vmname +p4576 +tp4577 +a(g189 +V +tp4578 +a(g73 +Vpclasses +p4579 +tp4580 +a(g189 +V +tp4581 +a(g73 +Vrclass +p4582 +tp4583 +a(g189 +V +tp4584 +a(g73 +V:as +p4585 +tp4586 +a(g189 +V +tp4587 +a(g73 +Vmsig +p4588 +tp4589 +a(g202 +V] +tp4590 +a(g189 +V +tp4591 +a(g73 +Vmethods +p4592 +tp4593 +a(g189 +V\u000a +p4594 +tp4595 +a(g202 +V( +tp4596 +a(g21 +Vemit-forwarding-method +p4597 +tp4598 +a(g189 +V +tp4599 +a(g202 +V( +tp4600 +a(g57 +Vstr +p4601 +tp4602 +a(g73 +Vmname +p4603 +tp4604 +a(g202 +V) +tp4605 +a(g189 +V +tp4606 +a(g73 +Vpclasses +p4607 +tp4608 +a(g189 +V +tp4609 +a(g73 +Vrclass +p4610 +tp4611 +a(g189 +V \u000a +p4612 +tp4613 +a(g202 +V( +tp4614 +a(g111 +Vfn +p4615 +tp4616 +a(g202 +V[ +tp4617 +a(g73 +Vgen +p4618 +tp4619 +a(g189 +V +tp4620 +a(g73 +Vm +tp4621 +a(g202 +V] +tp4622 +a(g189 +V\u000a +p4623 +tp4624 +a(g202 +V( +tp4625 +a(g343 +V. +tp4626 +a(g189 +V +tp4627 +a(g73 +Vgen +p4628 +tp4629 +a(g189 +V +tp4630 +a(g202 +V( +tp4631 +a(g21 +VthrowException +p4632 +tp4633 +a(g189 +V +tp4634 +a(g73 +Vex-type +p4635 +tp4636 +a(g189 +V +tp4637 +a(g202 +V( +tp4638 +a(g343 +V. +tp4639 +a(g189 +V +tp4640 +a(g73 +Vm +tp4641 +a(g189 +V +tp4642 +a(g202 +V( +tp4643 +a(g21 +VgetName +p4644 +tp4645 +a(g202 +V) +tp4646 +a(g202 +V) +tp4647 +a(g202 +V) +tp4648 +a(g202 +V) +tp4649 +a(g202 +V) +tp4650 +a(g202 +V) +tp4651 +a(g202 +V) +tp4652 +a(g202 +V) +tp4653 +a(g189 +V\u000a\u000a +p4654 +tp4655 +a(g7 +V;main +p4656 +tp4657 +a(g189 +V\u000a +p4658 +tp4659 +a(g202 +V( +tp4660 +a(g57 +Vwhen +p4661 +tp4662 +a(g73 +Vmain +p4663 +tp4664 +a(g189 +V\u000a +p4665 +tp4666 +a(g202 +V( +tp4667 +a(g111 +Vlet +p4668 +tp4669 +a(g202 +V[ +tp4670 +a(g73 +Vm +tp4671 +a(g189 +V +tp4672 +a(g202 +V( +tp4673 +a(g343 +V. +tp4674 +a(g189 +V +tp4675 +a(g73 +VMethod +p4676 +tp4677 +a(g189 +V +tp4678 +a(g73 +VgetMethod +p4679 +tp4680 +a(g189 +V +tp4681 +a(g226 +V"void main (String[])" +p4682 +tp4683 +a(g202 +V) +tp4684 +a(g189 +V\u000a +p4685 +tp4686 +a(g73 +Vgen +p4687 +tp4688 +a(g189 +V +tp4689 +a(g202 +V( +tp4690 +a(g57 +Vnew +p4691 +tp4692 +a(g73 +VGeneratorAdapter +p4693 +tp4694 +a(g189 +V +tp4695 +a(g202 +V( +tp4696 +a(g57 +V+ +p4697 +tp4698 +a(g202 +V( +tp4699 +a(g343 +V. +tp4700 +a(g189 +V +tp4701 +a(g73 +VOpcodes +p4702 +tp4703 +a(g189 +V +tp4704 +a(g73 +VACC_PUBLIC +p4705 +tp4706 +a(g202 +V) +tp4707 +a(g189 +V +tp4708 +a(g202 +V( +tp4709 +a(g343 +V. +tp4710 +a(g189 +V +tp4711 +a(g73 +VOpcodes +p4712 +tp4713 +a(g189 +V +tp4714 +a(g73 +VACC_STATIC +p4715 +tp4716 +a(g202 +V) +tp4717 +a(g202 +V) +tp4718 +a(g189 +V \u000a +p4719 +tp4720 +a(g73 +Vm +tp4721 +a(g189 +V +tp4722 +a(g73 +Vnil +p4723 +tp4724 +a(g189 +V +tp4725 +a(g73 +Vnil +p4726 +tp4727 +a(g189 +V +tp4728 +a(g73 +Vcv +p4729 +tp4730 +a(g202 +V) +tp4731 +a(g189 +V\u000a +p4732 +tp4733 +a(g73 +Vno-main-label +p4734 +tp4735 +a(g189 +V +tp4736 +a(g202 +V( +tp4737 +a(g343 +V. +tp4738 +a(g189 +V +tp4739 +a(g73 +Vgen +p4740 +tp4741 +a(g189 +V +tp4742 +a(g73 +VnewLabel +p4743 +tp4744 +a(g202 +V) +tp4745 +a(g189 +V\u000a +p4746 +tp4747 +a(g73 +Vend-label +p4748 +tp4749 +a(g189 +V +tp4750 +a(g202 +V( +tp4751 +a(g343 +V. +tp4752 +a(g189 +V +tp4753 +a(g73 +Vgen +p4754 +tp4755 +a(g189 +V +tp4756 +a(g73 +VnewLabel +p4757 +tp4758 +a(g202 +V) +tp4759 +a(g202 +V] +tp4760 +a(g189 +V\u000a +p4761 +tp4762 +a(g202 +V( +tp4763 +a(g343 +V. +tp4764 +a(g189 +V +tp4765 +a(g73 +Vgen +p4766 +tp4767 +a(g189 +V +tp4768 +a(g202 +V( +tp4769 +a(g21 +VvisitCode +p4770 +tp4771 +a(g202 +V) +tp4772 +a(g202 +V) +tp4773 +a(g189 +V\u000a\u000a +p4774 +tp4775 +a(g202 +V( +tp4776 +a(g21 +Vemit-get-var +p4777 +tp4778 +a(g189 +V +tp4779 +a(g73 +Vgen +p4780 +tp4781 +a(g189 +V +tp4782 +a(g73 +Vmain-name +p4783 +tp4784 +a(g202 +V) +tp4785 +a(g189 +V\u000a +p4786 +tp4787 +a(g202 +V( +tp4788 +a(g343 +V. +tp4789 +a(g189 +V +tp4790 +a(g73 +Vgen +p4791 +tp4792 +a(g189 +V +tp4793 +a(g73 +Vdup +p4794 +tp4795 +a(g202 +V) +tp4796 +a(g189 +V\u000a +p4797 +tp4798 +a(g202 +V( +tp4799 +a(g343 +V. +tp4800 +a(g189 +V +tp4801 +a(g73 +Vgen +p4802 +tp4803 +a(g189 +V +tp4804 +a(g73 +VifNull +p4805 +tp4806 +a(g189 +V +tp4807 +a(g73 +Vno-main-label +p4808 +tp4809 +a(g202 +V) +tp4810 +a(g189 +V\u000a +p4811 +tp4812 +a(g202 +V( +tp4813 +a(g343 +V. +tp4814 +a(g189 +V +tp4815 +a(g73 +Vgen +p4816 +tp4817 +a(g189 +V +tp4818 +a(g73 +VloadArgs +p4819 +tp4820 +a(g202 +V) +tp4821 +a(g189 +V\u000a +p4822 +tp4823 +a(g202 +V( +tp4824 +a(g343 +V. +tp4825 +a(g189 +V +tp4826 +a(g73 +Vgen +p4827 +tp4828 +a(g189 +V +tp4829 +a(g202 +V( +tp4830 +a(g21 +VinvokeStatic +p4831 +tp4832 +a(g189 +V +tp4833 +a(g73 +Vrt-type +p4834 +tp4835 +a(g189 +V +tp4836 +a(g202 +V( +tp4837 +a(g343 +V. +tp4838 +a(g189 +V +tp4839 +a(g73 +VMethod +p4840 +tp4841 +a(g189 +V +tp4842 +a(g202 +V( +tp4843 +a(g21 +VgetMethod +p4844 +tp4845 +a(g189 +V +tp4846 +a(g226 +V"clojure.lang.ISeq seq(Object)" +p4847 +tp4848 +a(g202 +V) +tp4849 +a(g202 +V) +tp4850 +a(g202 +V) +tp4851 +a(g202 +V) +tp4852 +a(g189 +V\u000a +p4853 +tp4854 +a(g202 +V( +tp4855 +a(g343 +V. +tp4856 +a(g189 +V +tp4857 +a(g73 +Vgen +p4858 +tp4859 +a(g189 +V +tp4860 +a(g202 +V( +tp4861 +a(g21 +VinvokeInterface +p4862 +tp4863 +a(g189 +V +tp4864 +a(g73 +Vifn-type +p4865 +tp4866 +a(g189 +V +tp4867 +a(g202 +V( +tp4868 +a(g57 +Vnew +p4869 +tp4870 +a(g73 +VMethod +p4871 +tp4872 +a(g189 +V +tp4873 +a(g226 +V"applyTo" +p4874 +tp4875 +a(g189 +V +tp4876 +a(g73 +Vobj-type +p4877 +tp4878 +a(g189 +V \u000a +p4879 +tp4880 +a(g202 +V( +tp4881 +a(g57 +Vinto-array +p4882 +tp4883 +a(g202 +V[ +tp4884 +a(g73 +Viseq-type +p4885 +tp4886 +a(g202 +V] +tp4887 +a(g202 +V) +tp4888 +a(g202 +V) +tp4889 +a(g202 +V) +tp4890 +a(g202 +V) +tp4891 +a(g189 +V\u000a +p4892 +tp4893 +a(g202 +V( +tp4894 +a(g343 +V. +tp4895 +a(g189 +V +tp4896 +a(g73 +Vgen +p4897 +tp4898 +a(g189 +V +tp4899 +a(g73 +Vpop +p4900 +tp4901 +a(g202 +V) +tp4902 +a(g189 +V\u000a +p4903 +tp4904 +a(g202 +V( +tp4905 +a(g343 +V. +tp4906 +a(g189 +V +tp4907 +a(g73 +Vgen +p4908 +tp4909 +a(g189 +V +tp4910 +a(g73 +VgoTo +p4911 +tp4912 +a(g189 +V +tp4913 +a(g73 +Vend-label +p4914 +tp4915 +a(g202 +V) +tp4916 +a(g189 +V\u000a +p4917 +tp4918 +a(g7 +V;no main found +p4919 +tp4920 +a(g189 +V\u000a +p4921 +tp4922 +a(g202 +V( +tp4923 +a(g343 +V. +tp4924 +a(g189 +V +tp4925 +a(g73 +Vgen +p4926 +tp4927 +a(g189 +V +tp4928 +a(g73 +Vmark +p4929 +tp4930 +a(g189 +V +tp4931 +a(g73 +Vno-main-label +p4932 +tp4933 +a(g202 +V) +tp4934 +a(g189 +V\u000a +p4935 +tp4936 +a(g202 +V( +tp4937 +a(g343 +V. +tp4938 +a(g189 +V +tp4939 +a(g73 +Vgen +p4940 +tp4941 +a(g189 +V +tp4942 +a(g202 +V( +tp4943 +a(g21 +VthrowException +p4944 +tp4945 +a(g189 +V +tp4946 +a(g73 +Vex-type +p4947 +tp4948 +a(g189 +V +tp4949 +a(g202 +V( +tp4950 +a(g57 +Vstr +p4951 +tp4952 +a(g73 +Vmain-name +p4953 +tp4954 +a(g189 +V +tp4955 +a(g226 +V" not defined" +p4956 +tp4957 +a(g202 +V) +tp4958 +a(g202 +V) +tp4959 +a(g202 +V) +tp4960 +a(g189 +V\u000a +p4961 +tp4962 +a(g202 +V( +tp4963 +a(g343 +V. +tp4964 +a(g189 +V +tp4965 +a(g73 +Vgen +p4966 +tp4967 +a(g189 +V +tp4968 +a(g73 +Vmark +p4969 +tp4970 +a(g189 +V +tp4971 +a(g73 +Vend-label +p4972 +tp4973 +a(g202 +V) +tp4974 +a(g189 +V\u000a +p4975 +tp4976 +a(g202 +V( +tp4977 +a(g343 +V. +tp4978 +a(g189 +V +tp4979 +a(g73 +Vgen +p4980 +tp4981 +a(g189 +V +tp4982 +a(g202 +V( +tp4983 +a(g21 +VreturnValue +p4984 +tp4985 +a(g202 +V) +tp4986 +a(g202 +V) +tp4987 +a(g189 +V\u000a +p4988 +tp4989 +a(g202 +V( +tp4990 +a(g343 +V. +tp4991 +a(g189 +V +tp4992 +a(g73 +Vgen +p4993 +tp4994 +a(g189 +V +tp4995 +a(g202 +V( +tp4996 +a(g21 +VendMethod +p4997 +tp4998 +a(g202 +V) +tp4999 +a(g202 +V) +tp5000 +a(g202 +V) +tp5001 +a(g202 +V) +tp5002 +a(g189 +V\u000a +p5003 +tp5004 +a(g7 +V;field exposers +p5005 +tp5006 +a(g189 +V\u000a +p5007 +tp5008 +a(g202 +V( +tp5009 +a(g57 +Vdoseq +p5010 +tp5011 +a(g202 +V[ +tp5012 +a(g73 +Vf +tp5013 +a(g189 +V +tp5014 +a(g202 +V{ +tp5015 +a(g73 +Vgetter +p5016 +tp5017 +a(g189 +V +tp5018 +a(g73 +V:get +p5019 +tp5020 +a(g189 +V +tp5021 +a(g73 +Vsetter +p5022 +tp5023 +a(g189 +V +tp5024 +a(g73 +V:set +p5025 +tp5026 +a(g202 +V} +tp5027 +a(g202 +V] +tp5028 +a(g189 +V +tp5029 +a(g73 +Vexposes +p5030 +tp5031 +a(g189 +V\u000a +p5032 +tp5033 +a(g202 +V( +tp5034 +a(g111 +Vlet +p5035 +tp5036 +a(g202 +V[ +tp5037 +a(g73 +Vfld +p5038 +tp5039 +a(g189 +V +tp5040 +a(g202 +V( +tp5041 +a(g343 +V. +tp5042 +a(g73 +VgetField +p5043 +tp5044 +a(g189 +V +tp5045 +a(g73 +Vsuper +p5046 +tp5047 +a(g189 +V +tp5048 +a(g202 +V( +tp5049 +a(g57 +Vstr +p5050 +tp5051 +a(g73 +Vf +tp5052 +a(g202 +V) +tp5053 +a(g202 +V) +tp5054 +a(g189 +V\u000a +p5055 +tp5056 +a(g73 +Vftype +p5057 +tp5058 +a(g189 +V +tp5059 +a(g202 +V( +tp5060 +a(g21 +Vtotype +p5061 +tp5062 +a(g189 +V +tp5063 +a(g202 +V( +tp5064 +a(g343 +V. +tp5065 +a(g73 +VgetType +p5066 +tp5067 +a(g189 +V +tp5068 +a(g73 +Vfld +p5069 +tp5070 +a(g202 +V) +tp5071 +a(g202 +V) +tp5072 +a(g202 +V] +tp5073 +a(g189 +V\u000a +p5074 +tp5075 +a(g202 +V( +tp5076 +a(g57 +Vwhen +p5077 +tp5078 +a(g73 +Vgetter +p5079 +tp5080 +a(g189 +V\u000a +p5081 +tp5082 +a(g202 +V( +tp5083 +a(g111 +Vlet +p5084 +tp5085 +a(g202 +V[ +tp5086 +a(g73 +Vm +tp5087 +a(g189 +V +tp5088 +a(g202 +V( +tp5089 +a(g57 +Vnew +p5090 +tp5091 +a(g73 +VMethod +p5092 +tp5093 +a(g189 +V +tp5094 +a(g202 +V( +tp5095 +a(g57 +Vstr +p5096 +tp5097 +a(g73 +Vgetter +p5098 +tp5099 +a(g202 +V) +tp5100 +a(g189 +V +tp5101 +a(g73 +Vftype +p5102 +tp5103 +a(g189 +V +tp5104 +a(g202 +V( +tp5105 +a(g21 +Vto-types +p5106 +tp5107 +a(g189 +V +tp5108 +a(g202 +V[ +tp5109 +a(g202 +V] +tp5110 +a(g202 +V) +tp5111 +a(g202 +V) +tp5112 +a(g189 +V\u000a +p5113 +tp5114 +a(g73 +Vgen +p5115 +tp5116 +a(g189 +V +tp5117 +a(g202 +V( +tp5118 +a(g57 +Vnew +p5119 +tp5120 +a(g73 +VGeneratorAdapter +p5121 +tp5122 +a(g189 +V +tp5123 +a(g202 +V( +tp5124 +a(g343 +V. +tp5125 +a(g189 +V +tp5126 +a(g73 +VOpcodes +p5127 +tp5128 +a(g189 +V +tp5129 +a(g73 +VACC_PUBLIC +p5130 +tp5131 +a(g202 +V) +tp5132 +a(g189 +V +tp5133 +a(g73 +Vm +tp5134 +a(g189 +V +tp5135 +a(g73 +Vnil +p5136 +tp5137 +a(g189 +V +tp5138 +a(g73 +Vnil +p5139 +tp5140 +a(g189 +V +tp5141 +a(g73 +Vcv +p5142 +tp5143 +a(g202 +V) +tp5144 +a(g202 +V] +tp5145 +a(g189 +V\u000a +p5146 +tp5147 +a(g202 +V( +tp5148 +a(g343 +V. +tp5149 +a(g189 +V +tp5150 +a(g73 +Vgen +p5151 +tp5152 +a(g189 +V +tp5153 +a(g202 +V( +tp5154 +a(g21 +VvisitCode +p5155 +tp5156 +a(g202 +V) +tp5157 +a(g202 +V) +tp5158 +a(g189 +V\u000a +p5159 +tp5160 +a(g202 +V( +tp5161 +a(g343 +V. +tp5162 +a(g189 +V +tp5163 +a(g73 +Vgen +p5164 +tp5165 +a(g189 +V +tp5166 +a(g73 +VloadThis +p5167 +tp5168 +a(g202 +V) +tp5169 +a(g189 +V\u000a +p5170 +tp5171 +a(g202 +V( +tp5172 +a(g343 +V. +tp5173 +a(g189 +V +tp5174 +a(g73 +Vgen +p5175 +tp5176 +a(g189 +V +tp5177 +a(g73 +VgetField +p5178 +tp5179 +a(g189 +V +tp5180 +a(g73 +Vctype +p5181 +tp5182 +a(g189 +V +tp5183 +a(g202 +V( +tp5184 +a(g57 +Vstr +p5185 +tp5186 +a(g73 +Vf +tp5187 +a(g202 +V) +tp5188 +a(g189 +V +tp5189 +a(g73 +Vftype +p5190 +tp5191 +a(g202 +V) +tp5192 +a(g189 +V\u000a +p5193 +tp5194 +a(g202 +V( +tp5195 +a(g343 +V. +tp5196 +a(g189 +V +tp5197 +a(g73 +Vgen +p5198 +tp5199 +a(g189 +V +tp5200 +a(g202 +V( +tp5201 +a(g21 +VreturnValue +p5202 +tp5203 +a(g202 +V) +tp5204 +a(g202 +V) +tp5205 +a(g189 +V\u000a +p5206 +tp5207 +a(g202 +V( +tp5208 +a(g343 +V. +tp5209 +a(g189 +V +tp5210 +a(g73 +Vgen +p5211 +tp5212 +a(g189 +V +tp5213 +a(g202 +V( +tp5214 +a(g21 +VendMethod +p5215 +tp5216 +a(g202 +V) +tp5217 +a(g202 +V) +tp5218 +a(g202 +V) +tp5219 +a(g202 +V) +tp5220 +a(g189 +V\u000a +p5221 +tp5222 +a(g202 +V( +tp5223 +a(g57 +Vwhen +p5224 +tp5225 +a(g73 +Vsetter +p5226 +tp5227 +a(g189 +V\u000a +p5228 +tp5229 +a(g202 +V( +tp5230 +a(g111 +Vlet +p5231 +tp5232 +a(g202 +V[ +tp5233 +a(g73 +Vm +tp5234 +a(g189 +V +tp5235 +a(g202 +V( +tp5236 +a(g57 +Vnew +p5237 +tp5238 +a(g73 +VMethod +p5239 +tp5240 +a(g189 +V +tp5241 +a(g202 +V( +tp5242 +a(g57 +Vstr +p5243 +tp5244 +a(g73 +Vsetter +p5245 +tp5246 +a(g202 +V) +tp5247 +a(g189 +V +tp5248 +a(g202 +V( +tp5249 +a(g343 +V. +tp5250 +a(g189 +V +tp5251 +a(g73 +VType +p5252 +tp5253 +a(g189 +V +tp5254 +a(g73 +VVOID_TYPE +p5255 +tp5256 +a(g202 +V) +tp5257 +a(g189 +V +tp5258 +a(g202 +V( +tp5259 +a(g57 +Vinto-array +p5260 +tp5261 +a(g202 +V[ +tp5262 +a(g73 +Vftype +p5263 +tp5264 +a(g202 +V] +tp5265 +a(g202 +V) +tp5266 +a(g202 +V) +tp5267 +a(g189 +V\u000a +p5268 +tp5269 +a(g73 +Vgen +p5270 +tp5271 +a(g189 +V +tp5272 +a(g202 +V( +tp5273 +a(g57 +Vnew +p5274 +tp5275 +a(g73 +VGeneratorAdapter +p5276 +tp5277 +a(g189 +V +tp5278 +a(g202 +V( +tp5279 +a(g343 +V. +tp5280 +a(g189 +V +tp5281 +a(g73 +VOpcodes +p5282 +tp5283 +a(g189 +V +tp5284 +a(g73 +VACC_PUBLIC +p5285 +tp5286 +a(g202 +V) +tp5287 +a(g189 +V +tp5288 +a(g73 +Vm +tp5289 +a(g189 +V +tp5290 +a(g73 +Vnil +p5291 +tp5292 +a(g189 +V +tp5293 +a(g73 +Vnil +p5294 +tp5295 +a(g189 +V +tp5296 +a(g73 +Vcv +p5297 +tp5298 +a(g202 +V) +tp5299 +a(g202 +V] +tp5300 +a(g189 +V\u000a +p5301 +tp5302 +a(g202 +V( +tp5303 +a(g343 +V. +tp5304 +a(g189 +V +tp5305 +a(g73 +Vgen +p5306 +tp5307 +a(g189 +V +tp5308 +a(g202 +V( +tp5309 +a(g21 +VvisitCode +p5310 +tp5311 +a(g202 +V) +tp5312 +a(g202 +V) +tp5313 +a(g189 +V\u000a +p5314 +tp5315 +a(g202 +V( +tp5316 +a(g343 +V. +tp5317 +a(g189 +V +tp5318 +a(g73 +Vgen +p5319 +tp5320 +a(g189 +V +tp5321 +a(g73 +VloadThis +p5322 +tp5323 +a(g202 +V) +tp5324 +a(g189 +V\u000a +p5325 +tp5326 +a(g202 +V( +tp5327 +a(g343 +V. +tp5328 +a(g189 +V +tp5329 +a(g73 +Vgen +p5330 +tp5331 +a(g189 +V +tp5332 +a(g73 +VloadArgs +p5333 +tp5334 +a(g202 +V) +tp5335 +a(g189 +V\u000a +p5336 +tp5337 +a(g202 +V( +tp5338 +a(g343 +V. +tp5339 +a(g189 +V +tp5340 +a(g73 +Vgen +p5341 +tp5342 +a(g189 +V +tp5343 +a(g73 +VputField +p5344 +tp5345 +a(g189 +V +tp5346 +a(g73 +Vctype +p5347 +tp5348 +a(g189 +V +tp5349 +a(g202 +V( +tp5350 +a(g57 +Vstr +p5351 +tp5352 +a(g73 +Vf +tp5353 +a(g202 +V) +tp5354 +a(g189 +V +tp5355 +a(g73 +Vftype +p5356 +tp5357 +a(g202 +V) +tp5358 +a(g189 +V\u000a +p5359 +tp5360 +a(g202 +V( +tp5361 +a(g343 +V. +tp5362 +a(g189 +V +tp5363 +a(g73 +Vgen +p5364 +tp5365 +a(g189 +V +tp5366 +a(g202 +V( +tp5367 +a(g21 +VreturnValue +p5368 +tp5369 +a(g202 +V) +tp5370 +a(g202 +V) +tp5371 +a(g189 +V\u000a +p5372 +tp5373 +a(g202 +V( +tp5374 +a(g343 +V. +tp5375 +a(g189 +V +tp5376 +a(g73 +Vgen +p5377 +tp5378 +a(g189 +V +tp5379 +a(g202 +V( +tp5380 +a(g21 +VendMethod +p5381 +tp5382 +a(g202 +V) +tp5383 +a(g202 +V) +tp5384 +a(g202 +V) +tp5385 +a(g202 +V) +tp5386 +a(g202 +V) +tp5387 +a(g202 +V) +tp5388 +a(g189 +V\u000a +p5389 +tp5390 +a(g7 +V;finish class def +p5391 +tp5392 +a(g189 +V\u000a +p5393 +tp5394 +a(g202 +V( +tp5395 +a(g343 +V. +tp5396 +a(g189 +V +tp5397 +a(g73 +Vcv +p5398 +tp5399 +a(g189 +V +tp5400 +a(g202 +V( +tp5401 +a(g21 +VvisitEnd +p5402 +tp5403 +a(g202 +V) +tp5404 +a(g202 +V) +tp5405 +a(g189 +V\u000a +p5406 +tp5407 +a(g202 +V{ +tp5408 +a(g73 +V:name +p5409 +tp5410 +a(g189 +V +tp5411 +a(g73 +Vname +p5412 +tp5413 +a(g189 +V +tp5414 +a(g73 +V:bytecode +p5415 +tp5416 +a(g189 +V +tp5417 +a(g202 +V( +tp5418 +a(g343 +V. +tp5419 +a(g189 +V +tp5420 +a(g73 +Vcv +p5421 +tp5422 +a(g189 +V +tp5423 +a(g202 +V( +tp5424 +a(g21 +VtoByteArray +p5425 +tp5426 +a(g202 +V) +tp5427 +a(g202 +V) +tp5428 +a(g202 +V} +tp5429 +a(g202 +V) +tp5430 +a(g202 +V) +tp5431 +a(g189 +V\u000a\u000a +p5432 +tp5433 +a(g202 +V( +tp5434 +a(g111 +Vdefn +p5435 +tp5436 +a(g73 +Vgen-and-load-class +p5437 +tp5438 +a(g189 +V \u000a +p5439 +tp5440 +a(g226 +V"Generates and immediately loads the bytecode for the specified\u000a class. Note that a class generated this way can be loaded only once\u000a - the JVM supports only one class with a given name per\u000a classloader. Subsequent to generation you can import it into any\u000a desired namespaces just like any other class. See gen-class for a\u000a description of the options." +p5441 +tp5442 +a(g189 +V\u000a\u000a +p5443 +tp5444 +a(g202 +V[ +tp5445 +a(g73 +Vname +p5446 +tp5447 +a(g189 +V +tp5448 +a(g73 +V& +tp5449 +a(g189 +V +tp5450 +a(g73 +Voptions +p5451 +tp5452 +a(g202 +V] +tp5453 +a(g189 +V\u000a +p5454 +tp5455 +a(g202 +V( +tp5456 +a(g111 +Vlet +p5457 +tp5458 +a(g202 +V[ +tp5459 +a(g202 +V{ +tp5460 +a(g73 +V:keys +p5461 +tp5462 +a(g189 +V +tp5463 +a(g202 +V[ +tp5464 +a(g73 +Vname +p5465 +tp5466 +a(g189 +V +tp5467 +a(g73 +Vbytecode +p5468 +tp5469 +a(g202 +V] +tp5470 +a(g202 +V} +tp5471 +a(g189 +V\u000a +p5472 +tp5473 +a(g202 +V( +tp5474 +a(g57 +Vapply +p5475 +tp5476 +a(g73 +Vgen-class +p5477 +tp5478 +a(g189 +V +tp5479 +a(g202 +V( +tp5480 +a(g57 +Vstr +p5481 +tp5482 +a(g73 +Vname +p5483 +tp5484 +a(g202 +V) +tp5485 +a(g189 +V +tp5486 +a(g73 +Voptions +p5487 +tp5488 +a(g202 +V) +tp5489 +a(g202 +V] +tp5490 +a(g189 +V\u000a +p5491 +tp5492 +a(g202 +V( +tp5493 +a(g343 +V. +tp5494 +a(g343 +V. +tp5495 +a(g189 +V +tp5496 +a(g73 +Vclojure +p5497 +tp5498 +a(g343 +V. +tp5499 +a(g73 +Vlang +p5500 +tp5501 +a(g343 +V. +tp5502 +a(g73 +VRT +p5503 +tp5504 +a(g189 +V +tp5505 +a(g73 +VROOT_CLASSLOADER +p5506 +tp5507 +a(g189 +V +tp5508 +a(g202 +V( +tp5509 +a(g21 +VdefineClass +p5510 +tp5511 +a(g189 +V +tp5512 +a(g202 +V( +tp5513 +a(g57 +Vstr +p5514 +tp5515 +a(g73 +Vname +p5516 +tp5517 +a(g202 +V) +tp5518 +a(g189 +V +tp5519 +a(g73 +Vbytecode +p5520 +tp5521 +a(g202 +V) +tp5522 +a(g202 +V) +tp5523 +a(g202 +V) +tp5524 +a(g202 +V) +tp5525 +a(g189 +V\u000a\u000a +p5526 +tp5527 +a(g202 +V( +tp5528 +a(g111 +Vdefn +p5529 +tp5530 +a(g73 +Vgen-and-save-class +p5531 +tp5532 +a(g189 +V \u000a +p5533 +tp5534 +a(g226 +V"Generates the bytecode for the named class and stores in a .class\u000a file in a subpath of the supplied path, the directories for which\u000a must already exist. See gen-class for a description of the options" +p5535 +tp5536 +a(g189 +V\u000a\u000a +p5537 +tp5538 +a(g202 +V[ +tp5539 +a(g73 +Vpath +p5540 +tp5541 +a(g189 +V +tp5542 +a(g73 +Vname +p5543 +tp5544 +a(g189 +V +tp5545 +a(g73 +V& +tp5546 +a(g189 +V +tp5547 +a(g73 +Voptions +p5548 +tp5549 +a(g202 +V] +tp5550 +a(g189 +V\u000a +p5551 +tp5552 +a(g202 +V( +tp5553 +a(g111 +Vlet +p5554 +tp5555 +a(g202 +V[ +tp5556 +a(g202 +V{ +tp5557 +a(g73 +V:keys +p5558 +tp5559 +a(g189 +V +tp5560 +a(g202 +V[ +tp5561 +a(g73 +Vname +p5562 +tp5563 +a(g189 +V +tp5564 +a(g73 +Vbytecode +p5565 +tp5566 +a(g202 +V] +tp5567 +a(g202 +V} +tp5568 +a(g189 +V +tp5569 +a(g202 +V( +tp5570 +a(g57 +Vapply +p5571 +tp5572 +a(g73 +Vgen-class +p5573 +tp5574 +a(g189 +V +tp5575 +a(g202 +V( +tp5576 +a(g57 +Vstr +p5577 +tp5578 +a(g73 +Vname +p5579 +tp5580 +a(g202 +V) +tp5581 +a(g189 +V +tp5582 +a(g73 +Voptions +p5583 +tp5584 +a(g202 +V) +tp5585 +a(g189 +V\u000a +p5586 +tp5587 +a(g73 +Vfile +p5588 +tp5589 +a(g189 +V +tp5590 +a(g202 +V( +tp5591 +a(g21 +Vjava +p5592 +tp5593 +a(g343 +V. +tp5594 +a(g73 +Vio +p5595 +tp5596 +a(g343 +V. +tp5597 +a(g73 +VFile +p5598 +tp5599 +a(g343 +V. +tp5600 +a(g189 +V +tp5601 +a(g73 +Vpath +p5602 +tp5603 +a(g189 +V +tp5604 +a(g202 +V( +tp5605 +a(g57 +Vstr +p5606 +tp5607 +a(g202 +V( +tp5608 +a(g343 +V. +tp5609 +a(g189 +V +tp5610 +a(g73 +Vname +p5611 +tp5612 +a(g189 +V +tp5613 +a(g73 +Vreplace +p5614 +tp5615 +a(g189 +V +tp5616 +a(g268 +V\u005c. +p5617 +tp5618 +a(g189 +V +tp5619 +a(g202 +V( +tp5620 +a(g343 +V. +tp5621 +a(g189 +V +tp5622 +a(g73 +Vjava +p5623 +tp5624 +a(g343 +V. +tp5625 +a(g73 +Vio +p5626 +tp5627 +a(g343 +V. +tp5628 +a(g73 +VFile +p5629 +tp5630 +a(g189 +V +tp5631 +a(g73 +VseparatorChar +p5632 +tp5633 +a(g202 +V) +tp5634 +a(g202 +V) +tp5635 +a(g189 +V +tp5636 +a(g226 +V".class" +p5637 +tp5638 +a(g202 +V) +tp5639 +a(g202 +V) +tp5640 +a(g202 +V] +tp5641 +a(g189 +V\u000a +p5642 +tp5643 +a(g202 +V( +tp5644 +a(g343 +V. +tp5645 +a(g73 +VcreateNewFile +p5646 +tp5647 +a(g189 +V +tp5648 +a(g73 +Vfile +p5649 +tp5650 +a(g202 +V) +tp5651 +a(g189 +V\u000a +p5652 +tp5653 +a(g202 +V( +tp5654 +a(g57 +Vwith-open +p5655 +tp5656 +a(g73 +Vf +tp5657 +a(g189 +V +tp5658 +a(g202 +V( +tp5659 +a(g21 +Vjava +p5660 +tp5661 +a(g343 +V. +tp5662 +a(g73 +Vio +p5663 +tp5664 +a(g343 +V. +tp5665 +a(g73 +VFileOutputStream +p5666 +tp5667 +a(g343 +V. +tp5668 +a(g189 +V +tp5669 +a(g73 +Vfile +p5670 +tp5671 +a(g202 +V) +tp5672 +a(g189 +V\u000a +p5673 +tp5674 +a(g202 +V( +tp5675 +a(g343 +V. +tp5676 +a(g73 +Vwrite +p5677 +tp5678 +a(g189 +V +tp5679 +a(g73 +Vf +tp5680 +a(g189 +V +tp5681 +a(g73 +Vbytecode +p5682 +tp5683 +a(g202 +V) +tp5684 +a(g202 +V) +tp5685 +a(g202 +V) +tp5686 +a(g202 +V) +tp5687 +a(g189 +V\u000a\u000a +p5688 +tp5689 +a(g202 +V( +tp5690 +a(g21 +Vcomment +p5691 +tp5692 +a(g189 +V\u000a +tp5693 +a(g7 +V;usage +p5694 +tp5695 +a(g189 +V\u000a +tp5696 +a(g202 +V( +tp5697 +a(g21 +Vgen-class +p5698 +tp5699 +a(g189 +V \u000a +p5700 +tp5701 +a(g73 +Vpackage-qualified-name +p5702 +tp5703 +a(g189 +V\u000a +p5704 +tp5705 +a(g7 +V;all below are optional +p5706 +tp5707 +a(g189 +V\u000a +p5708 +tp5709 +a(g73 +V:extends +p5710 +tp5711 +a(g189 +V +tp5712 +a(g73 +Vaclass +p5713 +tp5714 +a(g189 +V\u000a +p5715 +tp5716 +a(g73 +V:implements +p5717 +tp5718 +a(g189 +V +tp5719 +a(g202 +V[ +tp5720 +a(g73 +Vinterface +p5721 +tp5722 +a(g189 +V +tp5723 +a(g343 +V. +tp5724 +a(g343 +V. +tp5725 +a(g343 +V. +tp5726 +a(g202 +V] +tp5727 +a(g189 +V\u000a +p5728 +tp5729 +a(g73 +V:constructors +p5730 +tp5731 +a(g189 +V +tp5732 +a(g202 +V{ +tp5733 +a(g202 +V[ +tp5734 +a(g73 +Vparam-types +p5735 +tp5736 +a(g202 +V] +tp5737 +a(g189 +V +tp5738 +a(g202 +V[ +tp5739 +a(g73 +Vsuper-param-types +p5740 +tp5741 +a(g202 +V] +tp5742 +a(g343 +V, +tp5743 +a(g189 +V +tp5744 +a(g202 +V} +tp5745 +a(g189 +V\u000a +p5746 +tp5747 +a(g73 +V:methods +p5748 +tp5749 +a(g189 +V +tp5750 +a(g202 +V[ +tp5751 +a(g202 +V[ +tp5752 +a(g73 +Vname +p5753 +tp5754 +a(g189 +V +tp5755 +a(g202 +V[ +tp5756 +a(g73 +Vparam-types +p5757 +tp5758 +a(g202 +V] +tp5759 +a(g189 +V +tp5760 +a(g73 +Vreturn-type +p5761 +tp5762 +a(g202 +V] +tp5763 +a(g343 +V, +tp5764 +a(g189 +V +tp5765 +a(g202 +V] +tp5766 +a(g189 +V\u000a +p5767 +tp5768 +a(g73 +V:main +p5769 +tp5770 +a(g189 +V +tp5771 +a(g73 +Vboolean +p5772 +tp5773 +a(g189 +V\u000a +p5774 +tp5775 +a(g73 +V:factory +p5776 +tp5777 +a(g189 +V +tp5778 +a(g73 +Vname +p5779 +tp5780 +a(g189 +V\u000a +p5781 +tp5782 +a(g73 +V:state +p5783 +tp5784 +a(g189 +V +tp5785 +a(g73 +Vname +p5786 +tp5787 +a(g189 +V\u000a +p5788 +tp5789 +a(g73 +V:init +p5790 +tp5791 +a(g189 +V +tp5792 +a(g73 +Vname +p5793 +tp5794 +a(g189 +V\u000a +p5795 +tp5796 +a(g73 +V:exposes +p5797 +tp5798 +a(g189 +V +tp5799 +a(g202 +V{ +tp5800 +a(g73 +Vprotected-field +p5801 +tp5802 +a(g189 +V +tp5803 +a(g202 +V{ +tp5804 +a(g73 +V:get +p5805 +tp5806 +a(g189 +V +tp5807 +a(g73 +Vname +p5808 +tp5809 +a(g189 +V +tp5810 +a(g73 +V:set +p5811 +tp5812 +a(g189 +V +tp5813 +a(g73 +Vname +p5814 +tp5815 +a(g202 +V} +tp5816 +a(g343 +V, +tp5817 +a(g189 +V +tp5818 +a(g202 +V} +tp5819 +a(g202 +V) +tp5820 +a(g189 +V\u000a \u000a +p5821 +tp5822 +a(g7 +V;(gen-and-load-class +p5823 +tp5824 +a(g189 +V\u000a +tp5825 +a(g202 +V( +tp5826 +a(g21 +Vclojure/gen-and-save-class +p5827 +tp5828 +a(g189 +V \u000a +p5829 +tp5830 +a(g226 +V"/Users/rich/Downloads" +p5831 +tp5832 +a(g189 +V\u000a +p5833 +tp5834 +a(g248 +V'fred +p5835 +tp5836 +a(g343 +V. +tp5837 +a(g73 +Vlucy +p5838 +tp5839 +a(g343 +V. +tp5840 +a(g73 +VEthel +p5841 +tp5842 +a(g189 +V \u000a +p5843 +tp5844 +a(g73 +V:extends +p5845 +tp5846 +a(g189 +V +tp5847 +a(g73 +Vclojure +p5848 +tp5849 +a(g343 +V. +tp5850 +a(g73 +Vlang +p5851 +tp5852 +a(g343 +V. +tp5853 +a(g73 +VBox +p5854 +tp5855 +a(g189 +V +tp5856 +a(g7 +V;APersistentMap +p5857 +tp5858 +a(g189 +V\u000a +p5859 +tp5860 +a(g73 +V:implements +p5861 +tp5862 +a(g189 +V +tp5863 +a(g202 +V[ +tp5864 +a(g73 +Vclojure +p5865 +tp5866 +a(g343 +V. +tp5867 +a(g73 +Vlang +p5868 +tp5869 +a(g343 +V. +tp5870 +a(g73 +VIPersistentMap +p5871 +tp5872 +a(g202 +V] +tp5873 +a(g189 +V\u000a +p5874 +tp5875 +a(g73 +V:state +p5876 +tp5877 +a(g189 +V +tp5878 +a(g248 +V'state +p5879 +tp5880 +a(g189 +V\u000a +p5881 +tp5882 +a(g7 +V;:constructors {[Object] [Object]} +p5883 +tp5884 +a(g189 +V\u000a +p5885 +tp5886 +a(g7 +V;:init 'init +p5887 +tp5888 +a(g189 +V\u000a +p5889 +tp5890 +a(g73 +V:main +p5891 +tp5892 +a(g189 +V +tp5893 +a(g73 +Vtrue +p5894 +tp5895 +a(g189 +V\u000a +p5896 +tp5897 +a(g73 +V:factory +p5898 +tp5899 +a(g189 +V +tp5900 +a(g248 +V'create +p5901 +tp5902 +a(g189 +V\u000a +p5903 +tp5904 +a(g73 +V:methods +p5905 +tp5906 +a(g189 +V +tp5907 +a(g202 +V[ +tp5908 +a(g202 +V[ +tp5909 +a(g248 +V'foo +p5910 +tp5911 +a(g189 +V +tp5912 +a(g202 +V[ +tp5913 +a(g73 +VObject +p5914 +tp5915 +a(g202 +V] +tp5916 +a(g189 +V +tp5917 +a(g73 +VObject +p5918 +tp5919 +a(g202 +V] +tp5920 +a(g189 +V\u000a +p5921 +tp5922 +a(g202 +V[ +tp5923 +a(g248 +V'foo +p5924 +tp5925 +a(g189 +V +tp5926 +a(g202 +V[ +tp5927 +a(g202 +V] +tp5928 +a(g189 +V +tp5929 +a(g73 +VObject +p5930 +tp5931 +a(g202 +V] +tp5932 +a(g202 +V] +tp5933 +a(g189 +V\u000a +p5934 +tp5935 +a(g73 +V:exposes +p5936 +tp5937 +a(g189 +V +tp5938 +a(g202 +V{ +tp5939 +a(g248 +V'val +p5940 +tp5941 +a(g189 +V +tp5942 +a(g202 +V{ +tp5943 +a(g73 +V:get +p5944 +tp5945 +a(g189 +V +tp5946 +a(g248 +V'getVal +p5947 +tp5948 +a(g189 +V +tp5949 +a(g73 +V:set +p5950 +tp5951 +a(g189 +V +tp5952 +a(g248 +V'setVal +p5953 +tp5954 +a(g202 +V} +tp5955 +a(g202 +V} +tp5956 +a(g202 +V) +tp5957 +a(g189 +V\u000a\u000a +p5958 +tp5959 +a(g202 +V( +tp5960 +a(g57 +Vin-ns +p5961 +tp5962 +a(g248 +V'fred +p5963 +tp5964 +a(g343 +V. +tp5965 +a(g73 +Vlucy +p5966 +tp5967 +a(g343 +V. +tp5968 +a(g73 +VEthel__2276 +p5969 +tp5970 +a(g202 +V) +tp5971 +a(g189 +V\u000a +tp5972 +a(g202 +V( +tp5973 +a(g21 +Vclojure/refer +p5974 +tp5975 +a(g189 +V +tp5976 +a(g248 +V'clojure +p5977 +tp5978 +a(g189 +V +tp5979 +a(g73 +V:exclude +p5980 +tp5981 +a(g189 +V +tp5982 +a(g343 +V' +tp5983 +a(g202 +V( +tp5984 +a(g73 +Vassoc +p5985 +tp5986 +a(g189 +V +tp5987 +a(g73 +Vseq +p5988 +tp5989 +a(g189 +V +tp5990 +a(g73 +Vcount +p5991 +tp5992 +a(g189 +V +tp5993 +a(g73 +Vcons +p5994 +tp5995 +a(g202 +V) +tp5996 +a(g202 +V) +tp5997 +a(g189 +V\u000a +tp5998 +a(g202 +V( +tp5999 +a(g111 +Vdefn +p6000 +tp6001 +a(g73 +Vinit +p6002 +tp6003 +a(g189 +V +tp6004 +a(g202 +V[ +tp6005 +a(g73 +Vn +tp6006 +a(g202 +V] +tp6007 +a(g189 +V +tp6008 +a(g202 +V[ +tp6009 +a(g202 +V[ +tp6010 +a(g202 +V] +tp6011 +a(g189 +V +tp6012 +a(g73 +Vn +tp6013 +a(g202 +V] +tp6014 +a(g202 +V) +tp6015 +a(g189 +V\u000a +tp6016 +a(g202 +V( +tp6017 +a(g111 +Vdefn +p6018 +tp6019 +a(g73 +Vfoo +p6020 +tp6021 +a(g189 +V \u000a +p6022 +tp6023 +a(g202 +V( +tp6024 +a(g202 +V[ +tp6025 +a(g73 +Vthis +p6026 +tp6027 +a(g202 +V] +tp6028 +a(g189 +V +tp6029 +a(g73 +V:foo +p6030 +tp6031 +a(g202 +V) +tp6032 +a(g189 +V \u000a +p6033 +tp6034 +a(g202 +V( +tp6035 +a(g202 +V[ +tp6036 +a(g73 +Vthis +p6037 +tp6038 +a(g189 +V +tp6039 +a(g73 +Vx +tp6040 +a(g202 +V] +tp6041 +a(g189 +V +tp6042 +a(g73 +Vx +tp6043 +a(g202 +V) +tp6044 +a(g202 +V) +tp6045 +a(g189 +V\u000a +tp6046 +a(g202 +V( +tp6047 +a(g111 +Vdefn +p6048 +tp6049 +a(g73 +Vmain +p6050 +tp6051 +a(g189 +V +tp6052 +a(g202 +V[ +tp6053 +a(g73 +Vx +tp6054 +a(g189 +V +tp6055 +a(g73 +Vy +tp6056 +a(g202 +V] +tp6057 +a(g189 +V +tp6058 +a(g202 +V( +tp6059 +a(g57 +Vprintln +p6060 +tp6061 +a(g73 +Vx +tp6062 +a(g189 +V +tp6063 +a(g73 +Vy +tp6064 +a(g202 +V) +tp6065 +a(g202 +V) +tp6066 +a(g189 +V\u000a +tp6067 +a(g202 +V( +tp6068 +a(g57 +Vin-ns +p6069 +tp6070 +a(g248 +V'user +p6071 +tp6072 +a(g202 +V) +tp6073 +a(g189 +V\u000a +tp6074 +a(g202 +V( +tp6075 +a(g111 +Vdef +p6076 +tp6077 +a(g73 +Vethel +p6078 +tp6079 +a(g189 +V +tp6080 +a(g202 +V( +tp6081 +a(g57 +Vnew +p6082 +tp6083 +a(g73 +Vfred +p6084 +tp6085 +a(g343 +V. +tp6086 +a(g73 +Vlucy +p6087 +tp6088 +a(g343 +V. +tp6089 +a(g73 +VEthel__2276 +p6090 +tp6091 +a(g189 +V +tp6092 +a(g318 +V42 +p6093 +tp6094 +a(g202 +V) +tp6095 +a(g202 +V) +tp6096 +a(g189 +V\u000a +tp6097 +a(g202 +V( +tp6098 +a(g111 +Vdef +p6099 +tp6100 +a(g73 +Vethel +p6101 +tp6102 +a(g189 +V +tp6103 +a(g202 +V( +tp6104 +a(g21 +Vfred +p6105 +tp6106 +a(g343 +V. +tp6107 +a(g73 +Vlucy +p6108 +tp6109 +a(g343 +V. +tp6110 +a(g73 +VEthel__2276 +p6111 +tp6112 +a(g343 +V. +tp6113 +a(g73 +Vcreate +p6114 +tp6115 +a(g189 +V +tp6116 +a(g318 +V21 +p6117 +tp6118 +a(g202 +V) +tp6119 +a(g202 +V) +tp6120 +a(g189 +V\u000a +tp6121 +a(g202 +V( +tp6122 +a(g21 +Vfred +p6123 +tp6124 +a(g343 +V. +tp6125 +a(g73 +Vlucy +p6126 +tp6127 +a(g343 +V. +tp6128 +a(g73 +VEthel__2276 +p6129 +tp6130 +a(g343 +V. +tp6131 +a(g73 +Vmain +p6132 +tp6133 +a(g189 +V +tp6134 +a(g202 +V( +tp6135 +a(g57 +Vinto-array +p6136 +tp6137 +a(g202 +V[ +tp6138 +a(g226 +V"lucy" +p6139 +tp6140 +a(g189 +V +tp6141 +a(g226 +V"ricky" +p6142 +tp6143 +a(g202 +V] +tp6144 +a(g202 +V) +tp6145 +a(g202 +V) +tp6146 +a(g189 +V\u000a +tp6147 +a(g202 +V( +tp6148 +a(g343 +V. +tp6149 +a(g73 +Vstate +p6150 +tp6151 +a(g189 +V +tp6152 +a(g73 +Vethel +p6153 +tp6154 +a(g202 +V) +tp6155 +a(g189 +V\u000a +tp6156 +a(g202 +V( +tp6157 +a(g343 +V. +tp6158 +a(g73 +Vfoo +p6159 +tp6160 +a(g189 +V +tp6161 +a(g73 +Vethel +p6162 +tp6163 +a(g189 +V +tp6164 +a(g318 +V7 +tp6165 +a(g202 +V) +tp6166 +a(g189 +V\u000a +tp6167 +a(g202 +V( +tp6168 +a(g343 +V. +tp6169 +a(g73 +Vfoo +p6170 +tp6171 +a(g189 +V +tp6172 +a(g73 +Vethel +p6173 +tp6174 +a(g202 +V) +tp6175 +a(g189 +V\u000a +tp6176 +a(g202 +V( +tp6177 +a(g343 +V. +tp6178 +a(g73 +VgetVal +p6179 +tp6180 +a(g189 +V +tp6181 +a(g73 +Vethel +p6182 +tp6183 +a(g202 +V) +tp6184 +a(g189 +V\u000a +tp6185 +a(g202 +V( +tp6186 +a(g343 +V. +tp6187 +a(g73 +VsetVal +p6188 +tp6189 +a(g189 +V +tp6190 +a(g73 +Vethel +p6191 +tp6192 +a(g189 +V +tp6193 +a(g318 +V12 +p6194 +tp6195 +a(g202 +V) +tp6196 +a(g189 +V\u000a\u000a +p6197 +tp6198 +a(g202 +V( +tp6199 +a(g21 +Vgen-class +p6200 +tp6201 +a(g189 +V +tp6202 +a(g73 +Vorg +p6203 +tp6204 +a(g343 +V. +tp6205 +a(g73 +Vclojure +p6206 +tp6207 +a(g343 +V. +tp6208 +a(g73 +VMyComparator +p6209 +tp6210 +a(g189 +V +tp6211 +a(g73 +V:implements +p6212 +tp6213 +a(g189 +V +tp6214 +a(g202 +V[ +tp6215 +a(g73 +VComparator +p6216 +tp6217 +a(g202 +V] +tp6218 +a(g202 +V) +tp6219 +a(g189 +V\u000a +tp6220 +a(g202 +V( +tp6221 +a(g57 +Vin-ns +p6222 +tp6223 +a(g248 +V'org +p6224 +tp6225 +a(g343 +V. +tp6226 +a(g73 +Vclojure +p6227 +tp6228 +a(g343 +V. +tp6229 +a(g73 +VMyComparator +p6230 +tp6231 +a(g202 +V) +tp6232 +a(g189 +V\u000a +tp6233 +a(g202 +V( +tp6234 +a(g111 +Vdefn +p6235 +tp6236 +a(g73 +Vcompare +p6237 +tp6238 +a(g189 +V +tp6239 +a(g202 +V[ +tp6240 +a(g73 +Vthis +p6241 +tp6242 +a(g189 +V +tp6243 +a(g73 +Vx +tp6244 +a(g189 +V +tp6245 +a(g73 +Vy +tp6246 +a(g202 +V] +tp6247 +a(g189 +V +tp6248 +a(g343 +V. +tp6249 +a(g343 +V. +tp6250 +a(g343 +V. +tp6251 +a(g202 +V) +tp6252 +a(g189 +V\u000a\u000a +p6253 +tp6254 +a(g202 +V( +tp6255 +a(g57 +Vload-file +p6256 +tp6257 +a(g226 +V"/Users/rich/dev/clojure/src/genclass.clj" +p6258 +tp6259 +a(g202 +V) +tp6260 +a(g189 +V\u000a\u000a +p6261 +tp6262 +a(g202 +V( +tp6263 +a(g21 +Vclojure/gen-and-save-class +p6264 +tp6265 +a(g189 +V +tp6266 +a(g226 +V"/Users/rich/dev/clojure/gen/" +p6267 +tp6268 +a(g189 +V \u000a +p6269 +tp6270 +a(g248 +V'org +p6271 +tp6272 +a(g343 +V. +tp6273 +a(g73 +Vclojure +p6274 +tp6275 +a(g343 +V. +tp6276 +a(g73 +VClojureServlet +p6277 +tp6278 +a(g189 +V \u000a +p6279 +tp6280 +a(g73 +V:extends +p6281 +tp6282 +a(g189 +V +tp6283 +a(g73 +Vjavax +p6284 +tp6285 +a(g343 +V. +tp6286 +a(g73 +Vservlet +p6287 +tp6288 +a(g343 +V. +tp6289 +a(g73 +Vhttp +p6290 +tp6291 +a(g343 +V. +tp6292 +a(g73 +VHttpServlet +p6293 +tp6294 +a(g202 +V) +tp6295 +a(g189 +V\u000a\u000a +p6296 +tp6297 +a(g202 +V) +tp6298 +a(g189 +V\u000a +tp6299 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/genshi_example.xml+genshi b/tests/examplefiles/output/genshi_example.xml+genshi new file mode 100644 index 0000000..96a6d35 --- /dev/null +++ b/tests/examplefiles/output/genshi_example.xml+genshi @@ -0,0 +1,7343 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Preproc' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsg6 +g7 +sg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag7 +ag354 +ag358 +atRp366 +sg362 +g363 +sbsbV +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g29 +V +tp398 +a(g189 +V\u000a +p399 +tp400 +a(g29 +V +p412 +tp413 +a(g189 +V\u000a +p414 +tp415 +a(g29 +V +tp418 +a(g189 +V\u000a +p419 +tp420 +a(g29 +V +tp423 +a(g73 +V$title +p424 +tp425 +a(g189 +V +tp426 +a(g29 +V +p427 +tp428 +a(g189 +V\u000a +p429 +tp430 +a(g29 +V +p441 +tp442 +a(g189 +V\u000a +p443 +tp444 +a(g29 +V +p445 +tp446 +a(g189 +V\u000a\u000a +p447 +tp448 +a(g29 +V +tp451 +a(g189 +V\u000a +p452 +tp453 +a(g29 +V

    +tp472 +a(g189 +V\u000a +p473 +tp474 +a(g29 +V
      +tp484 +a(g189 +V\u000a +p485 +tp486 +a(g29 +V
    • +tp497 +a(g29 +V +tp508 +a(g189 +VAvailable Reports +p509 +tp510 +a(g29 +V +p511 +tp512 +a(g29 +V
    • +p513 +tp514 +a(g189 +V\u000a +p515 +tp516 +a(g29 +V
    • +tp527 +a(g189 +VCustom Query +p528 +tp529 +a(g29 +V
    • +p530 +tp531 +a(g189 +V\u000a +p532 +tp533 +a(g29 +V
    +p534 +tp535 +a(g189 +V\u000a +p536 +tp537 +a(g29 +V
    +p538 +tp539 +a(g189 +V\u000a\u000a +p540 +tp541 +a(g29 +V +tp554 +a(g189 +V\u000a +p555 +tp556 +a(g29 +V +tp567 +a(g189 +V( +tp568 +a(g7 +V${ +p569 +tp570 +a(g18 +Vv +tp571 +a(g189 +V +tp572 +a(g348 +Vor +p573 +tp574 +a(g189 +V +tp575 +a(g226 +V' +tp576 +a(g226 +VNo +p577 +tp578 +a(g226 +V' +tp579 +a(g7 +V} +tp580 +a(g189 +V match +p581 +tp582 +a(g7 +V${ +p583 +tp584 +a(g18 +Vv +tp585 +a(g189 +V +tp586 +a(g344 +V!= +p587 +tp588 +a(g189 +V +tp589 +a(g319 +V1 +tp590 +a(g189 +V +tp591 +a(g348 +Vand +p592 +tp593 +a(g189 +V +tp594 +a(g226 +V' +tp595 +a(g226 +Ves +p596 +tp597 +a(g226 +V' +tp598 +a(g189 +V +tp599 +a(g348 +Vor +p600 +tp601 +a(g189 +V +tp602 +a(g226 +V' +tp603 +a(g226 +V' +tp604 +a(g7 +V} +tp605 +a(g189 +V) +tp606 +a(g29 +V +p607 +tp608 +a(g189 +V\u000a +p609 +tp610 +a(g29 +V +p611 +tp612 +a(g189 +V\u000a\u000a +p613 +tp614 +a(g29 +V
    +tp633 +a(g189 +V\u000a +p634 +tp635 +a(g29 +V

    +tp638 +a(g73 +V$title +p639 +tp640 +a(g189 +V +tp641 +a(g7 +V${ +p642 +tp643 +a(g18 +Vnum_matches +p644 +tp645 +a(g202 +V( +tp646 +a(g57 +Vlen +p647 +tp648 +a(g202 +V( +tp649 +a(g18 +Vtickets +p650 +tp651 +a(g202 +V) +tp652 +a(g202 +V) +tp653 +a(g7 +V} +tp654 +a(g189 +V +tp655 +a(g29 +V

    +p656 +tp657 +a(g189 +V\u000a\u000a +p658 +tp659 +a(g29 +V
    +tp692 +a(g189 +V\u000a +p693 +tp694 +a(g29 +V
    +tp705 +a(g189 +V\u000a +p706 +tp707 +a(g29 +V +tp710 +a(g189 +VFilters +p711 +tp712 +a(g29 +V +p713 +tp714 +a(g189 +V\u000a +p715 +tp716 +a(g29 +V +tp727 +a(g189 +V\u000a +p728 +tp729 +a(g29 +V +tp732 +a(g189 +V\u000a +p733 +tp734 +a(g29 +V +tp745 +a(g29 +V +p757 +tp758 +a(g29 +V +p759 +tp760 +a(g189 +V\u000a +p761 +tp762 +a(g29 +V +p763 +tp764 +a(g189 +V\u000a +p765 +tp766 +a(g29 +V +tp791 +a(g189 +V\u000a +p792 +tp793 +a(g29 +V +tp818 +a(g189 +V\u000a +p819 +tp820 +a(g29 +V +tp868 +a(g189 +V\u000a +p869 +tp870 +a(g29 +V +tp900 +a(g189 +V\u000a +p901 +tp902 +a(g29 +V +tp934 +a(g189 +V\u000a +p935 +tp936 +a(g29 +V +tp946 +a(g189 +V\u000a +p947 +tp948 +a(g29 +V +tp957 +a(g189 +V\u000a +p958 +tp959 +a(g29 +V +p979 +tp980 +a(g189 +V\u000a +p981 +tp982 +a(g29 +V +p1124 +tp1125 +a(g189 +V\u000a +p1126 +tp1127 +a(g29 +V +p1128 +tp1129 +a(g189 +V\u000a +p1130 +tp1131 +a(g29 +V +tp1134 +a(g189 +V +tp1135 +a(g13 +V +p1140 +tp1141 +a(g189 +V\u000a +p1142 +tp1143 +a(g29 +V +p1161 +tp1162 +a(g189 +V\u000a +p1163 +tp1164 +a(g29 +V +p1165 +tp1166 +a(g189 +V\u000a +p1167 +tp1168 +a(g29 +V +p1169 +tp1170 +a(g189 +V\u000a\u000a +p1171 +tp1172 +a(g29 +V +p1811 +tp1812 +a(g189 +V\u000a +p1813 +tp1814 +a(g29 +V +p1939 +tp1940 +a(g189 +V\u000a +p1941 +tp1942 +a(g29 +V +p1943 +tp1944 +a(g189 +V\u000a +p1945 +tp1946 +a(g29 +V +p1947 +tp1948 +a(g189 +V\u000a +p1949 +tp1950 +a(g29 +V +p1951 +tp1952 +a(g189 +V\u000a +p1953 +tp1954 +a(g29 +V +p1955 +tp1956 +a(g189 +V\u000a +p1957 +tp1958 +a(g29 +V +p1959 +tp1960 +a(g189 +V\u000a\u000a +p1961 +tp1962 +a(g29 +V +tp1965 +a(g189 +V\u000a +p1966 +tp1967 +a(g29 +V +tp1978 +a(g189 +V\u000a +p1979 +tp1980 +a(g29 +V +p2200 +tp2201 +a(g189 +V\u000a +p2202 +tp2203 +a(g29 +V +p2204 +tp2205 +a(g189 +V\u000a +p2206 +tp2207 +a(g29 +V +p2208 +tp2209 +a(g189 +V\u000a +p2210 +tp2211 +a(g29 +V
    +tp755 +a(g189 +V +tp756 +a(g29 +V
    +tp970 +a(g29 +V +p977 +tp978 +a(g29 +V +tp1022 +a(g189 +V\u000a +p1023 +tp1024 +a(g29 +V +p1120 +tp1121 +a(g189 +V\u000a +p1122 +tp1123 +a(g29 +V +tp1153 +a(g29 +V +p1159 +tp1160 +a(g29 +V +tp1235 +a(g189 +V\u000a\u000a +p1236 +tp1237 +a(g29 +V +tp1249 +a(g189 +V\u000a +p1250 +tp1251 +a(g29 +V +p1335 +tp1336 +a(g189 +V\u000a +p1337 +tp1338 +a(g29 +V +p1339 +tp1340 +a(g189 +V\u000a\u000a\u000a +p1341 +tp1342 +a(g29 +V +tp1354 +a(g189 +V\u000a +p1355 +tp1356 +a(g29 +V +tp1375 +a(g189 +V\u000a +p1376 +tp1377 +a(g29 +V +p1500 +tp1501 +a(g189 +V\u000a +p1502 +tp1503 +a(g29 +V +p1535 +tp1536 +a(g189 +V\u000a +p1537 +tp1538 +a(g29 +V +p1539 +tp1540 +a(g189 +V\u000a +p1541 +tp1542 +a(g29 +V +p1543 +tp1544 +a(g189 +V\u000a\u000a +p1545 +tp1546 +a(g29 +V +tp1558 +a(g189 +V\u000a +p1559 +tp1560 +a(g29 +V +p1628 +tp1629 +a(g189 +V\u000a +p1630 +tp1631 +a(g29 +V +p1650 +tp1651 +a(g189 +V\u000a +p1652 +tp1653 +a(g29 +V +p1721 +tp1722 +a(g189 +V\u000a +p1723 +tp1724 +a(g29 +V +p1743 +tp1744 +a(g189 +V\u000a +p1745 +tp1746 +a(g29 +V +p1747 +tp1748 +a(g189 +V\u000a\u000a +p1749 +tp1750 +a(g29 +V +tp1762 +a(g189 +V\u000a +p1763 +tp1764 +a(g29 +V +p1803 +tp1804 +a(g189 +V\u000a +p1805 +tp1806 +a(g29 +V +p1807 +tp1808 +a(g189 +V\u000a\u000a +p1809 +tp1810 +a(g29 +V +tp1862 +a(g189 +V\u000a +p1863 +tp1864 +a(g29 +V +p1935 +tp1936 +a(g189 +V\u000a +p1937 +tp1938 +a(g29 +V
    +tp2006 +a(g189 +V\u000a +p2007 +tp2008 +a(g29 +V +p2022 +tp2023 +a(g53 +V  +p2024 +tp2025 +a(g189 +V\u000a +p2026 +tp2027 +a(g29 +V +p2166 +tp2167 +a(g189 +V\u000a +p2168 +tp2169 +a(g29 +V +p2196 +tp2197 +a(g189 +V\u000a +p2198 +tp2199 +a(g29 +V
    +p2212 +tp2213 +a(g189 +V\u000a +p2214 +tp2215 +a(g29 +V
    +p2216 +tp2217 +a(g189 +V\u000a\u000a +p2218 +tp2219 +a(g29 +V

    +tp2230 +a(g189 +V\u000a +p2231 +tp2232 +a(g29 +V +p2246 +tp2247 +a(g189 +V\u000a +p2248 +tp2249 +a(g29 +V +p2397 +tp2398 +a(g189 +V\u000a +p2399 +tp2400 +a(g29 +V +p2449 +tp2450 +a(g189 +V\u000a +p2451 +tp2452 +a(g29 +V +p2466 +tp2467 +a(g189 +V\u000a +p2468 +tp2469 +a(g29 +V

    +p2470 +tp2471 +a(g189 +V\u000a\u000a +p2472 +tp2473 +a(g29 +V

    +tp2484 +a(g189 +V\u000a +p2485 +tp2486 +a(g29 +V +p2535 +tp2536 +a(g189 +V\u000a +p2537 +tp2538 +a(g29 +V +p2552 +tp2553 +a(g189 +V\u000a +p2554 +tp2555 +a(g29 +V

    +p2556 +tp2557 +a(g189 +V\u000a\u000a +p2558 +tp2559 +a(g29 +V
    +tp2570 +a(g189 +V\u000a +p2571 +tp2572 +a(g29 +V +p2600 +tp2601 +a(g189 +V\u000a +p2602 +tp2603 +a(g29 +V +p2637 +tp2638 +a(g189 +V\u000a +p2639 +tp2640 +a(g29 +V +p2668 +tp2669 +a(g189 +V\u000a +p2670 +tp2671 +a(g29 +V
    +p2672 +tp2673 +a(g189 +V\u000a +p2674 +tp2675 +a(g29 +V
    +p2679 +tp2680 +a(g189 +V\u000a +p2681 +tp2682 +a(g29 +V
    +p2683 +tp2684 +a(g189 +V\u000a\u000a +p2685 +tp2686 +a(g29 +V +p2820 +tp2821 +a(g189 +V\u000a\u000a +p2822 +tp2823 +a(g29 +V +p2835 +tp2836 +a(g189 +V\u000a\u000a +p2837 +tp2838 +a(g29 +V
    +tp2849 +a(g189 +V\u000a +p2850 +tp2851 +a(g29 +V +tp2854 +a(g189 +VNote: +p2855 +tp2856 +a(g29 +V +p2857 +tp2858 +a(g189 +V See +p2859 +tp2860 +a(g29 +V +tp2883 +a(g189 +VTracQuery +p2884 +tp2885 +a(g29 +V +p2886 +tp2887 +a(g189 +V\u000a for help on using queries.\u000a +p2888 +tp2889 +a(g29 +V
    +p2890 +tp2891 +a(g189 +V\u000a\u000a +p2892 +tp2893 +a(g29 +V
    +p2894 +tp2895 +a(g189 +V\u000a +p2896 +tp2897 +a(g29 +V +p2898 +tp2899 +a(g189 +V\u000a +tp2900 +a(g29 +V +p2901 +tp2902 +a(g189 +V\u000a +tp2903 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/genshitext_example.genshitext b/tests/examplefiles/output/genshitext_example.genshitext new file mode 100644 index 0000000..60b159a --- /dev/null +++ b/tests/examplefiles/output/genshitext_example.genshitext @@ -0,0 +1,1932 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +g59 +g2 +(g3 +g4 +(g137 +g59 +ttRp140 +(dp141 +g8 +g9 +((ltRp142 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp143 +(dp144 +g8 +g9 +((ltRp145 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g138 +sbsS'Reserved' +p149 +g2 +(g3 +g4 +(g137 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Declaration' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp157 +(dp158 +g8 +g9 +((ltRp159 +sg15 +g138 +sbsg8 +g9 +((lp160 +g140 +ag150 +ag2 +(g3 +g4 +(g137 +S'Type' +p161 +ttRp162 +(dp163 +g8 +g9 +((ltRp164 +sg15 +g138 +sbag154 +ag157 +ag143 +ag146 +atRp165 +sg161 +g162 +sbsS'Generic' +p166 +g2 +(g3 +g4 +(g166 +ttRp167 +(dp168 +S'Prompt' +p169 +g2 +(g3 +g4 +(g166 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g167 +sbsg15 +g21 +sS'Deleted' +p173 +g2 +(g3 +g4 +(g166 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g167 +sbsS'Traceback' +p177 +g2 +(g3 +g4 +(g166 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g167 +sbsS'Emph' +p181 +g2 +(g3 +g4 +(g166 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g167 +sbsS'Output' +p185 +g2 +(g3 +g4 +(g166 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g167 +sbsS'Subheading' +p189 +g2 +(g3 +g4 +(g166 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g167 +sbsS'Error' +p193 +g2 +(g3 +g4 +(g166 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g167 +sbsg8 +g9 +((lp197 +g186 +ag182 +ag194 +ag190 +ag178 +ag174 +ag2 +(g3 +g4 +(g166 +S'Heading' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Inserted' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Strong' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g167 +sbag170 +atRp210 +sg206 +g207 +sg202 +g203 +sg198 +g199 +sbsg5 +g6 +sS'Punctuation' +p211 +g2 +(g3 +g4 +(g211 +ttRp212 +(dp213 +g8 +g9 +((lp214 +g2 +(g3 +g4 +(g211 +S'Indicator' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g212 +sbatRp219 +sg215 +g216 +sg15 +g21 +sbsS'Token' +p220 +g21 +sS'Number' +p221 +g2 +(g3 +g4 +(S'Literal' +p222 +g221 +ttRp223 +(dp224 +S'Bin' +p225 +g2 +(g3 +g4 +(g222 +g221 +g225 +ttRp226 +(dp227 +g8 +g9 +((ltRp228 +sg15 +g223 +sbsS'Binary' +p229 +g2 +(g3 +g4 +(g222 +g221 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g223 +sbsg15 +g2 +(g3 +g4 +(g222 +ttRp233 +(dp234 +S'String' +p235 +g2 +(g3 +g4 +(g222 +g235 +ttRp236 +(dp237 +S'Regex' +p238 +g2 +(g3 +g4 +(g222 +g235 +g238 +ttRp239 +(dp240 +g8 +g9 +((ltRp241 +sg15 +g236 +sbsS'Interpol' +p242 +g2 +(g3 +g4 +(g222 +g235 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g236 +sbsS'Regexp' +p246 +g2 +(g3 +g4 +(g222 +g235 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g236 +sbsg15 +g233 +sS'Heredoc' +p250 +g2 +(g3 +g4 +(g222 +g235 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g236 +sbsS'Double' +p254 +g2 +(g3 +g4 +(g222 +g235 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g236 +sbsg11 +g2 +(g3 +g4 +(g222 +g235 +g11 +ttRp258 +(dp259 +g8 +g9 +((ltRp260 +sg15 +g236 +sbsS'Escape' +p261 +g2 +(g3 +g4 +(g222 +g235 +g261 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g236 +sbsS'Character' +p265 +g2 +(g3 +g4 +(g222 +g235 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g236 +sbsS'Interp' +p269 +g2 +(g3 +g4 +(g222 +g235 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g236 +sbsS'Backtick' +p273 +g2 +(g3 +g4 +(g222 +g235 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g236 +sbsS'Char' +p277 +g2 +(g3 +g4 +(g222 +g235 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g236 +sbsg30 +g2 +(g3 +g4 +(g222 +g235 +g30 +ttRp281 +(dp282 +g8 +g9 +((ltRp283 +sg15 +g236 +sbsg91 +g2 +(g3 +g4 +(g222 +g235 +g91 +ttRp284 +(dp285 +g8 +g9 +((ltRp286 +sg15 +g236 +sbsS'Doc' +p287 +g2 +(g3 +g4 +(g222 +g235 +g287 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g236 +sbsg8 +g9 +((lp291 +g284 +ag2 +(g3 +g4 +(g222 +g235 +S'Atom' +p292 +ttRp293 +(dp294 +g8 +g9 +((ltRp295 +sg15 +g236 +sbag255 +ag278 +ag270 +ag288 +ag251 +ag274 +ag243 +ag258 +ag247 +ag239 +ag281 +ag266 +ag262 +atRp296 +sg292 +g293 +sbsg15 +g21 +sg221 +g223 +sS'Scalar' +p297 +g2 +(g3 +g4 +(g222 +g297 +ttRp298 +(dp299 +g8 +g9 +((lp300 +g2 +(g3 +g4 +(g222 +g297 +S'Plain' +p301 +ttRp302 +(dp303 +g8 +g9 +((ltRp304 +sg15 +g298 +sbatRp305 +sg15 +g233 +sg301 +g302 +sbsg91 +g2 +(g3 +g4 +(g222 +g91 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g233 +sbsS'Date' +p309 +g2 +(g3 +g4 +(g222 +g309 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g233 +sbsg8 +g9 +((lp313 +g310 +ag236 +ag306 +ag223 +ag298 +atRp314 +sbsS'Decimal' +p315 +g2 +(g3 +g4 +(g222 +g221 +g315 +ttRp316 +(dp317 +g8 +g9 +((ltRp318 +sg15 +g223 +sbsS'Float' +p319 +g2 +(g3 +g4 +(g222 +g221 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g223 +sbsS'Hex' +p323 +g2 +(g3 +g4 +(g222 +g221 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g223 +sbsS'Integer' +p327 +g2 +(g3 +g4 +(g222 +g221 +g327 +ttRp328 +(dp329 +g8 +g9 +((lp330 +g2 +(g3 +g4 +(g222 +g221 +g327 +S'Long' +p331 +ttRp332 +(dp333 +g8 +g9 +((ltRp334 +sg15 +g328 +sbatRp335 +sg331 +g332 +sg15 +g223 +sbsS'Octal' +p336 +g2 +(g3 +g4 +(g222 +g221 +g336 +ttRp337 +(dp338 +g8 +g9 +((ltRp339 +sg15 +g223 +sbsg8 +g9 +((lp340 +g226 +ag230 +ag337 +ag316 +ag2 +(g3 +g4 +(g222 +g221 +S'Oct' +p341 +ttRp342 +(dp343 +g8 +g9 +((ltRp344 +sg15 +g223 +sbag328 +ag320 +ag324 +atRp345 +sg341 +g342 +sbsg222 +g233 +sg91 +g2 +(g3 +g4 +(g91 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g21 +sbsg193 +g2 +(g3 +g4 +(g193 +ttRp349 +(dp350 +g8 +g9 +((ltRp351 +sg15 +g21 +sbsS'Operator' +p352 +g2 +(g3 +g4 +(g352 +ttRp353 +(dp354 +g8 +g9 +((lp355 +g2 +(g3 +g4 +(g352 +S'Word' +p356 +ttRp357 +(dp358 +g8 +g9 +((ltRp359 +sg15 +g353 +sbatRp360 +sg356 +g357 +sg15 +g21 +sbsg8 +g9 +((lp361 +g24 +ag349 +ag167 +ag6 +ag45 +ag212 +ag138 +ag233 +ag353 +ag346 +atRp362 +sg235 +g236 +sbsbV +p363 +tp364 +a(g24 +V## a comment +p365 +tp366 +a(g346 +V\u000a +tp367 +a(g346 +V\u000a +tp368 +a(g346 +V\u005c +tp369 +a(g346 +V# +tp370 +a(g346 +V# +tp371 +a(g346 +V +tp372 +a(g346 +Vnot +p373 +tp374 +a(g346 +V +tp375 +a(g346 +Va +tp376 +a(g346 +V +tp377 +a(g346 +Vcomment +p378 +tp379 +a(g346 +V\u000a +tp380 +a(g6 +V\u000a +tp381 +a(g27 +V# +tp382 +a(g138 +Vif +p383 +tp384 +a(g6 +V +tp385 +a(g45 +Vfoo +p386 +tp387 +a(g346 +V\u000a +tp388 +a(g346 +V +tp389 +a(g346 +V +tp390 +a(g27 +V${ +p391 +tp392 +a(g45 +Vbar +p393 +tp394 +a(g27 +V} +tp395 +a(g346 +V\u000a +tp396 +a(g27 +V# +tp397 +a(g138 +Vendif +p398 +tp399 +a(g346 +V\u000a +tp400 +a(g346 +V\u000a +tp401 +a(g346 +VThe +p402 +tp403 +a(g346 +V +tp404 +a(g346 +Vanswer +p405 +tp406 +a(g346 +V +tp407 +a(g346 +Vis: +p408 +tp409 +a(g346 +V\u000a +tp410 +a(g27 +V# +tp411 +a(g138 +Vchoose +p412 +tp413 +a(g346 +V\u000a +tp414 +a(g6 +V +p415 +tp416 +a(g27 +V# +tp417 +a(g138 +Vwhen +p418 +tp419 +a(g6 +V +tp420 +a(g328 +V0 +tp421 +a(g6 +V +tp422 +a(g353 +V== +p423 +tp424 +a(g6 +V +tp425 +a(g328 +V1 +tp426 +a(g346 +V\u000a +tp427 +a(g346 +V +tp428 +a(g346 +V +tp429 +a(g346 +V +tp430 +a(g346 +V +tp431 +a(g346 +V0 +tp432 +a(g346 +V\u000a +tp433 +a(g6 +V +p434 +tp435 +a(g27 +V# +tp436 +a(g138 +Vend +p437 +tp438 +a(g346 +V\u000a +tp439 +a(g6 +V +p440 +tp441 +a(g27 +V# +tp442 +a(g138 +Vwhen +p443 +tp444 +a(g6 +V +tp445 +a(g328 +V1 +tp446 +a(g6 +V +tp447 +a(g353 +V== +p448 +tp449 +a(g6 +V +tp450 +a(g328 +V1 +tp451 +a(g346 +V\u000a +tp452 +a(g346 +V +tp453 +a(g346 +V +tp454 +a(g346 +V +tp455 +a(g346 +V +tp456 +a(g346 +V1 +tp457 +a(g346 +V\u000a +tp458 +a(g6 +V +p459 +tp460 +a(g27 +V# +tp461 +a(g138 +Vend +p462 +tp463 +a(g346 +V\u000a +tp464 +a(g6 +V +p465 +tp466 +a(g27 +V# +tp467 +a(g138 +Votherwise +p468 +tp469 +a(g346 +V\u000a +tp470 +a(g346 +V +tp471 +a(g346 +V +tp472 +a(g346 +V +tp473 +a(g346 +V +tp474 +a(g346 +V2 +tp475 +a(g346 +V\u000a +tp476 +a(g6 +V +p477 +tp478 +a(g27 +V# +tp479 +a(g138 +Vend +p480 +tp481 +a(g346 +V\u000a +tp482 +a(g27 +V# +tp483 +a(g138 +Vend +p484 +tp485 +a(g6 +V +tp486 +a(g24 +V-- comment about choose +p487 +tp488 +a(g346 +V\u000a +tp489 +a(g6 +V\u000a +tp490 +a(g27 +V# +tp491 +a(g138 +Vfor +p492 +tp493 +a(g6 +V +tp494 +a(g45 +Vitem +p495 +tp496 +a(g6 +V +tp497 +a(g357 +Vin +p498 +tp499 +a(g6 +V +tp500 +a(g45 +Vitems +p501 +tp502 +a(g346 +V\u000a +tp503 +a(g346 +V +tp504 +a(g346 +V +tp505 +a(g346 +V* +tp506 +a(g346 +V +tp507 +a(g27 +V${ +p508 +tp509 +a(g45 +Vitem +p510 +tp511 +a(g27 +V} +tp512 +a(g346 +V\u000a +tp513 +a(g27 +V# +tp514 +a(g138 +Vend +p515 +tp516 +a(g346 +V\u000a +tp517 +a(g6 +V\u000a +tp518 +a(g27 +V# +tp519 +a(g138 +Vdef +p520 +tp521 +a(g6 +V +tp522 +a(g48 +Vgreeting +p523 +tp524 +a(g212 +V( +tp525 +a(g45 +Vname +p526 +tp527 +a(g212 +V) +tp528 +a(g346 +V\u000a +tp529 +a(g346 +V +tp530 +a(g346 +V +tp531 +a(g346 +VHello, +p532 +tp533 +a(g346 +V +tp534 +a(g27 +V${ +p535 +tp536 +a(g45 +Vname +p537 +tp538 +a(g27 +V} +tp539 +a(g346 +V! +tp540 +a(g346 +V\u000a +tp541 +a(g27 +V# +tp542 +a(g138 +Vend +p543 +tp544 +a(g346 +V\u000a +tp545 +a(g27 +V${ +p546 +tp547 +a(g45 +Vgreeting +p548 +tp549 +a(g212 +V( +tp550 +a(g236 +V' +tp551 +a(g236 +Vworld +p552 +tp553 +a(g236 +V' +tp554 +a(g212 +V) +tp555 +a(g27 +V} +tp556 +a(g346 +V\u000a +tp557 +a(g6 +V\u000a +tp558 +a(g27 +V# +tp559 +a(g138 +Vwith +p560 +tp561 +a(g6 +V +tp562 +a(g45 +Vy +tp563 +a(g353 +V= +tp564 +a(g328 +V7 +tp565 +a(g212 +V; +tp566 +a(g6 +V +tp567 +a(g45 +Vz +tp568 +a(g353 +V= +tp569 +a(g45 +Vx +tp570 +a(g353 +V+ +tp571 +a(g328 +V10 +p572 +tp573 +a(g346 +V\u000a +tp574 +a(g346 +V +tp575 +a(g346 +V +tp576 +a(g100 +V$x +p577 +tp578 +a(g346 +V +tp579 +a(g100 +V$y +p580 +tp581 +a(g346 +V +tp582 +a(g100 +V$z +p583 +tp584 +a(g346 +V\u000a +tp585 +a(g27 +V# +tp586 +a(g138 +Vend +p587 +tp588 +a(g346 +V\u000a +tp589 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/glsl.frag b/tests/examplefiles/output/glsl.frag new file mode 100644 index 0000000..5cf4fc2 --- /dev/null +++ b/tests/examplefiles/output/glsl.frag @@ -0,0 +1,1552 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsS'Preproc' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag355 +ag358 +ag7 +atRp366 +sg362 +g363 +sbsbV/* Fragment shader */ +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g111 +Vvoid +p370 +tp371 +a(g189 +V +tp372 +a(g73 +Vmain +p373 +tp374 +a(g202 +V( +tp375 +a(g202 +V) +tp376 +a(g189 +V\u000a +tp377 +a(g202 +V{ +tp378 +a(g189 +V\u000a +p379 +tp380 +a(g73 +Vgl_FragColor +p381 +tp382 +a(g202 +V[ +tp383 +a(g328 +V0 +tp384 +a(g202 +V] +tp385 +a(g189 +V +tp386 +a(g344 +V= +tp387 +a(g189 +V +tp388 +a(g73 +Vgl_FragCoord +p389 +tp390 +a(g202 +V[ +tp391 +a(g328 +V0 +tp392 +a(g202 +V] +tp393 +a(g189 +V +tp394 +a(g344 +V/ +tp395 +a(g189 +V +tp396 +a(g311 +V400.0 +p397 +tp398 +a(g202 +V; +tp399 +a(g189 +V\u000a +p400 +tp401 +a(g73 +Vgl_FragColor +p402 +tp403 +a(g202 +V[ +tp404 +a(g319 +V1 +tp405 +a(g202 +V] +tp406 +a(g189 +V +tp407 +a(g344 +V= +tp408 +a(g189 +V +tp409 +a(g73 +Vgl_FragCoord +p410 +tp411 +a(g202 +V[ +tp412 +a(g319 +V1 +tp413 +a(g202 +V] +tp414 +a(g189 +V +tp415 +a(g344 +V/ +tp416 +a(g189 +V +tp417 +a(g311 +V400.0 +p418 +tp419 +a(g202 +V; +tp420 +a(g189 +V\u000a +p421 +tp422 +a(g73 +Vgl_FragColor +p423 +tp424 +a(g202 +V[ +tp425 +a(g319 +V2 +tp426 +a(g202 +V] +tp427 +a(g189 +V +tp428 +a(g344 +V= +tp429 +a(g189 +V +tp430 +a(g311 +V1.0 +p431 +tp432 +a(g202 +V; +tp433 +a(g189 +V\u000a +tp434 +a(g202 +V} +tp435 +a(g189 +V\u000a +tp436 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/glsl.vert b/tests/examplefiles/output/glsl.vert new file mode 100644 index 0000000..a7a9397 --- /dev/null +++ b/tests/examplefiles/output/glsl.vert @@ -0,0 +1,1699 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsS'Preproc' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag355 +ag358 +ag7 +atRp366 +sg362 +g363 +sbsbV/* Vertex shader */ +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g111 +Vuniform +p370 +tp371 +a(g189 +V +tp372 +a(g111 +Vfloat +p373 +tp374 +a(g189 +V +tp375 +a(g73 +VwaveTime +p376 +tp377 +a(g202 +V; +tp378 +a(g189 +V\u000a +tp379 +a(g111 +Vuniform +p380 +tp381 +a(g189 +V +tp382 +a(g111 +Vfloat +p383 +tp384 +a(g189 +V +tp385 +a(g73 +VwaveWidth +p386 +tp387 +a(g202 +V; +tp388 +a(g189 +V\u000a +tp389 +a(g111 +Vuniform +p390 +tp391 +a(g189 +V +tp392 +a(g111 +Vfloat +p393 +tp394 +a(g189 +V +tp395 +a(g73 +VwaveHeight +p396 +tp397 +a(g202 +V; +tp398 +a(g189 +V\u000a \u000a +p399 +tp400 +a(g111 +Vvoid +p401 +tp402 +a(g189 +V +tp403 +a(g73 +Vmain +p404 +tp405 +a(g202 +V( +tp406 +a(g111 +Vvoid +p407 +tp408 +a(g202 +V) +tp409 +a(g189 +V\u000a +tp410 +a(g202 +V{ +tp411 +a(g189 +V\u000a +p412 +tp413 +a(g111 +Vvec4 +p414 +tp415 +a(g189 +V +tp416 +a(g73 +Vv +tp417 +a(g189 +V +tp418 +a(g344 +V= +tp419 +a(g189 +V +tp420 +a(g111 +Vvec4 +p421 +tp422 +a(g202 +V( +tp423 +a(g73 +Vgl_Vertex +p424 +tp425 +a(g202 +V) +tp426 +a(g202 +V; +tp427 +a(g189 +V\u000a\u000a +p428 +tp429 +a(g73 +Vv +tp430 +a(g202 +V. +tp431 +a(g73 +Vz +tp432 +a(g189 +V +tp433 +a(g344 +V= +tp434 +a(g189 +V +tp435 +a(g73 +Vsin +p436 +tp437 +a(g202 +V( +tp438 +a(g73 +VwaveWidth +p439 +tp440 +a(g189 +V +tp441 +a(g344 +V* +tp442 +a(g189 +V +tp443 +a(g73 +Vv +tp444 +a(g202 +V. +tp445 +a(g73 +Vx +tp446 +a(g189 +V +tp447 +a(g344 +V+ +tp448 +a(g189 +V +tp449 +a(g73 +VwaveTime +p450 +tp451 +a(g202 +V) +tp452 +a(g189 +V +tp453 +a(g344 +V* +tp454 +a(g189 +V +tp455 +a(g73 +Vcos +p456 +tp457 +a(g202 +V( +tp458 +a(g73 +VwaveWidth +p459 +tp460 +a(g189 +V +tp461 +a(g344 +V* +tp462 +a(g189 +V +tp463 +a(g73 +Vv +tp464 +a(g202 +V. +tp465 +a(g73 +Vy +tp466 +a(g189 +V +tp467 +a(g344 +V+ +tp468 +a(g189 +V +tp469 +a(g73 +VwaveTime +p470 +tp471 +a(g202 +V) +tp472 +a(g189 +V +tp473 +a(g344 +V* +tp474 +a(g189 +V +tp475 +a(g73 +VwaveHeight +p476 +tp477 +a(g202 +V; +tp478 +a(g189 +V\u000a\u000a +p479 +tp480 +a(g73 +Vgl_Position +p481 +tp482 +a(g189 +V +tp483 +a(g344 +V= +tp484 +a(g189 +V +tp485 +a(g73 +Vgl_ModelViewProjectionMatrix +p486 +tp487 +a(g189 +V +tp488 +a(g344 +V* +tp489 +a(g189 +V +tp490 +a(g73 +Vv +tp491 +a(g202 +V; +tp492 +a(g189 +V\u000a +tp493 +a(g202 +V} +tp494 +a(g189 +V\u000a +tp495 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/html+php_faulty.php b/tests/examplefiles/output/html+php_faulty.php new file mode 100644 index 0000000..ee327ae --- /dev/null +++ b/tests/examplefiles/output/html+php_faulty.php @@ -0,0 +1,1362 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Preproc' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +g32 +g2 +(g3 +g4 +(g110 +g32 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp116 +(dp117 +g9 +g10 +((ltRp118 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g111 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g110 +g122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g111 +sbsg9 +g10 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g110 +S'Type' +p134 +ttRp135 +(dp136 +g9 +g10 +((ltRp137 +sg12 +g111 +sbag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g9 +g10 +((ltRp145 +sg12 +g140 +sbsg12 +g15 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g140 +sbsg9 +g10 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g9 +g10 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g9 +g10 +((ltRp191 +sg12 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg12 +g15 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g9 +g10 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g9 +g10 +((ltRp204 +sg12 +g198 +sbatRp205 +sg201 +g202 +sg12 +g15 +sbsS'Token' +p206 +g15 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g9 +g10 +((ltRp214 +sg12 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g209 +sbsg12 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g222 +sbsg12 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g222 +sbsS'Single' +p267 +g2 +(g3 +g4 +(g208 +g221 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g222 +sbsg64 +g2 +(g3 +g4 +(g208 +g221 +g64 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g222 +sbsS'Doc' +p274 +g2 +(g3 +g4 +(g208 +g221 +g274 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g222 +sbsg9 +g10 +((lp278 +g271 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p279 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g222 +sbag241 +ag264 +ag256 +ag275 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag268 +ag252 +ag248 +atRp283 +sg279 +g280 +sbsg12 +g15 +sg207 +g209 +sS'Scalar' +p284 +g2 +(g3 +g4 +(g208 +g284 +ttRp285 +(dp286 +g9 +g10 +((lp287 +g2 +(g3 +g4 +(g208 +g284 +S'Plain' +p288 +ttRp289 +(dp290 +g9 +g10 +((ltRp291 +sg12 +g285 +sbatRp292 +sg12 +g219 +sg288 +g289 +sbsg64 +g2 +(g3 +g4 +(g208 +g64 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g219 +sbsS'Date' +p296 +g2 +(g3 +g4 +(g208 +g296 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g219 +sbsg9 +g10 +((lp300 +g297 +ag222 +ag293 +ag209 +ag285 +atRp301 +sbsS'Decimal' +p302 +g2 +(g3 +g4 +(g208 +g207 +g302 +ttRp303 +(dp304 +g9 +g10 +((ltRp305 +sg12 +g209 +sbsS'Float' +p306 +g2 +(g3 +g4 +(g208 +g207 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g209 +sbsS'Hex' +p310 +g2 +(g3 +g4 +(g208 +g207 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g209 +sbsS'Integer' +p314 +g2 +(g3 +g4 +(g208 +g207 +g314 +ttRp315 +(dp316 +g9 +g10 +((lp317 +g2 +(g3 +g4 +(g208 +g207 +g314 +S'Long' +p318 +ttRp319 +(dp320 +g9 +g10 +((ltRp321 +sg12 +g315 +sbatRp322 +sg318 +g319 +sg12 +g209 +sbsS'Octal' +p323 +g2 +(g3 +g4 +(g208 +g207 +g323 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g209 +sbsg9 +g10 +((lp327 +g212 +ag216 +ag324 +ag303 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p328 +ttRp329 +(dp330 +g9 +g10 +((ltRp331 +sg12 +g209 +sbag315 +ag307 +ag311 +atRp332 +sg328 +g329 +sbsg208 +g219 +sg64 +g2 +(g3 +g4 +(g64 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g15 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsS'Operator' +p339 +g2 +(g3 +g4 +(g339 +ttRp340 +(dp341 +g9 +g10 +((lp342 +g2 +(g3 +g4 +(g339 +S'Word' +p343 +ttRp344 +(dp345 +g9 +g10 +((ltRp346 +sg12 +g340 +sbatRp347 +sg343 +g344 +sg12 +g15 +sbsg9 +g10 +((lp348 +g13 +ag336 +ag140 +ag185 +ag18 +ag198 +ag111 +ag219 +ag340 +ag333 +atRp349 +sg221 +g222 +sbsg6 +g7 +sg267 +g2 +(g3 +g4 +(g5 +g267 +ttRp350 +(dp351 +g9 +g10 +((ltRp352 +sg12 +g13 +sbsS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg9 +g10 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag7 +ag350 +ag354 +atRp362 +sg358 +g359 +sbsbV +p368 +tp369 +a(g7 +Vputs +p370 +tp371 +a(g140 +V +tp372 +a(g295 +V<< +p373 +tp374 +a(g192 +V +tp375 +a(g33 +VXYZ +p376 +tp377 +a(g192 +V +tp378 +a(g140 +V\u000a +tp379 +a(g98 +Virb(main):002:0" +p380 +tp381 +a(g140 +V +tp382 +a(g192 +Va\u000a +p383 +tp384 +a(g98 +Virb(main):003:0" +p385 +tp386 +a(g192 +V +tp387 +a(g192 +Vb\u000a +p388 +tp389 +a(g98 +Virb(main):004:0" +p390 +tp391 +a(g192 +V +tp392 +a(g33 +VXYZ\u000a +p393 +tp394 +a(g114 +Va\u000a +p395 +tp396 +a(g114 +Vb\u000a +p397 +tp398 +a(g114 +V=> nil\u000a +p399 +tp400 +a(g140 +V +tp401 +a(g98 +Virb(main):005:0> +p402 +tp403 +a(g140 +V\u000a +tp404 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/jinjadesignerdoc.rst b/tests/examplefiles/output/jinjadesignerdoc.rst new file mode 100644 index 0000000..1db3adf --- /dev/null +++ b/tests/examplefiles/output/jinjadesignerdoc.rst @@ -0,0 +1,12824 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Generic' +p5 +S'Heading' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Prompt' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g12 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g22 +sbsg9 +g10 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g9 +g10 +((ltRp40 +sg12 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g43 +sbsS'Constant' +p57 +g2 +(g3 +g4 +(g42 +g57 +ttRp58 +(dp59 +g9 +g10 +((ltRp60 +sg12 +g43 +sbsg12 +g19 +sS'Pseudo' +p61 +g2 +(g3 +g4 +(g42 +g61 +ttRp62 +(dp63 +g9 +g10 +((ltRp64 +sg12 +g43 +sbsS'Attribute' +p65 +g2 +(g3 +g4 +(g42 +g65 +ttRp66 +(dp67 +g9 +g10 +((ltRp68 +sg12 +g43 +sbsS'Label' +p69 +g2 +(g3 +g4 +(g42 +g69 +ttRp70 +(dp71 +g9 +g10 +((ltRp72 +sg12 +g43 +sbsS'Blubb' +p73 +g2 +(g3 +g4 +(g42 +g73 +ttRp74 +(dp75 +g9 +g10 +((ltRp76 +sg12 +g43 +sbsS'Entity' +p77 +g2 +(g3 +g4 +(g42 +g77 +ttRp78 +(dp79 +g9 +g10 +((ltRp80 +sg12 +g43 +sbsS'Builtin' +p81 +g2 +(g3 +g4 +(g42 +g81 +ttRp82 +(dp83 +g9 +g10 +((lp84 +g2 +(g3 +g4 +(g42 +g81 +g61 +ttRp85 +(dp86 +g9 +g10 +((ltRp87 +sg12 +g82 +sbatRp88 +sg61 +g85 +sg12 +g43 +sbsS'Other' +p89 +g2 +(g3 +g4 +(g42 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g43 +sbsS'Identifier' +p93 +g2 +(g3 +g4 +(g42 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g43 +sbsS'Variable' +p97 +g2 +(g3 +g4 +(g42 +g97 +ttRp98 +(dp99 +g12 +g43 +sS'Global' +p100 +g2 +(g3 +g4 +(g42 +g97 +g100 +ttRp101 +(dp102 +g9 +g10 +((ltRp103 +sg12 +g98 +sbsS'Instance' +p104 +g2 +(g3 +g4 +(g42 +g97 +g104 +ttRp105 +(dp106 +g9 +g10 +((ltRp107 +sg12 +g98 +sbsS'Anonymous' +p108 +g2 +(g3 +g4 +(g42 +g97 +g108 +ttRp109 +(dp110 +g9 +g10 +((ltRp111 +sg12 +g98 +sbsg9 +g10 +((lp112 +g109 +ag105 +ag101 +ag2 +(g3 +g4 +(g42 +g97 +S'Class' +p113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g98 +sbatRp117 +sg113 +g114 +sbsg9 +g10 +((lp118 +g2 +(g3 +g4 +(g42 +S'Decorator' +p119 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g43 +sbag66 +ag58 +ag62 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p123 +ttRp124 +(dp125 +g9 +g10 +((ltRp126 +sg12 +g43 +sbag94 +ag82 +ag98 +ag90 +ag74 +ag78 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p127 +ttRp128 +(dp129 +g9 +g10 +((ltRp130 +sg12 +g43 +sbag70 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g113 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g43 +sbatRp134 +sg127 +g128 +sg113 +g131 +sg119 +g120 +sg123 +g124 +sbsS'Keyword' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +S'Pervasive' +p138 +g2 +(g3 +g4 +(g135 +g138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g136 +sbsg57 +g2 +(g3 +g4 +(g135 +g57 +ttRp142 +(dp143 +g9 +g10 +((ltRp144 +sg12 +g136 +sbsg12 +g19 +sg123 +g2 +(g3 +g4 +(g135 +g123 +ttRp145 +(dp146 +g9 +g10 +((ltRp147 +sg12 +g136 +sbsg61 +g2 +(g3 +g4 +(g135 +g61 +ttRp148 +(dp149 +g9 +g10 +((ltRp150 +sg12 +g136 +sbsS'Reserved' +p151 +g2 +(g3 +g4 +(g135 +g151 +ttRp152 +(dp153 +g9 +g10 +((ltRp154 +sg12 +g136 +sbsS'Declaration' +p155 +g2 +(g3 +g4 +(g135 +g155 +ttRp156 +(dp157 +g9 +g10 +((ltRp158 +sg12 +g136 +sbsg97 +g2 +(g3 +g4 +(g135 +g97 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g136 +sbsg9 +g10 +((lp162 +g142 +ag152 +ag2 +(g3 +g4 +(g135 +S'Type' +p163 +ttRp164 +(dp165 +g9 +g10 +((ltRp166 +sg12 +g136 +sbag139 +ag156 +ag159 +ag145 +ag148 +atRp167 +sg163 +g164 +sbsg5 +g13 +sS'Text' +p168 +g2 +(g3 +g4 +(g168 +ttRp169 +(dp170 +g9 +g10 +((lp171 +g2 +(g3 +g4 +(g168 +S'Symbol' +p172 +ttRp173 +(dp174 +g9 +g10 +((ltRp175 +sg12 +g169 +sbag2 +(g3 +g4 +(g168 +S'Whitespace' +p176 +ttRp177 +(dp178 +g9 +g10 +((ltRp179 +sg12 +g169 +sbatRp180 +sg172 +g173 +sg176 +g177 +sg12 +g19 +sbsS'Punctuation' +p181 +g2 +(g3 +g4 +(g181 +ttRp182 +(dp183 +g9 +g10 +((lp184 +g2 +(g3 +g4 +(g181 +S'Indicator' +p185 +ttRp186 +(dp187 +g9 +g10 +((ltRp188 +sg12 +g182 +sbatRp189 +sg185 +g186 +sg12 +g19 +sbsS'Token' +p190 +g19 +sS'Number' +p191 +g2 +(g3 +g4 +(S'Literal' +p192 +g191 +ttRp193 +(dp194 +S'Bin' +p195 +g2 +(g3 +g4 +(g192 +g191 +g195 +ttRp196 +(dp197 +g9 +g10 +((ltRp198 +sg12 +g193 +sbsS'Binary' +p199 +g2 +(g3 +g4 +(g192 +g191 +g199 +ttRp200 +(dp201 +g9 +g10 +((ltRp202 +sg12 +g193 +sbsg12 +g2 +(g3 +g4 +(g192 +ttRp203 +(dp204 +S'String' +p205 +g2 +(g3 +g4 +(g192 +g205 +ttRp206 +(dp207 +S'Regex' +p208 +g2 +(g3 +g4 +(g192 +g205 +g208 +ttRp209 +(dp210 +g9 +g10 +((ltRp211 +sg12 +g206 +sbsS'Interpol' +p212 +g2 +(g3 +g4 +(g192 +g205 +g212 +ttRp213 +(dp214 +g9 +g10 +((ltRp215 +sg12 +g206 +sbsS'Regexp' +p216 +g2 +(g3 +g4 +(g192 +g205 +g216 +ttRp217 +(dp218 +g9 +g10 +((ltRp219 +sg12 +g206 +sbsg12 +g203 +sS'Heredoc' +p220 +g2 +(g3 +g4 +(g192 +g205 +g220 +ttRp221 +(dp222 +g9 +g10 +((ltRp223 +sg12 +g206 +sbsS'Double' +p224 +g2 +(g3 +g4 +(g192 +g205 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g206 +sbsg172 +g2 +(g3 +g4 +(g192 +g205 +g172 +ttRp228 +(dp229 +g9 +g10 +((ltRp230 +sg12 +g206 +sbsS'Escape' +p231 +g2 +(g3 +g4 +(g192 +g205 +g231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g206 +sbsS'Character' +p235 +g2 +(g3 +g4 +(g192 +g205 +g235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g206 +sbsS'Interp' +p239 +g2 +(g3 +g4 +(g192 +g205 +g239 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g206 +sbsS'Backtick' +p243 +g2 +(g3 +g4 +(g192 +g205 +g243 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g206 +sbsS'Char' +p247 +g2 +(g3 +g4 +(g192 +g205 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g206 +sbsg28 +g2 +(g3 +g4 +(g192 +g205 +g28 +ttRp251 +(dp252 +g9 +g10 +((ltRp253 +sg12 +g206 +sbsg89 +g2 +(g3 +g4 +(g192 +g205 +g89 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g206 +sbsS'Doc' +p257 +g2 +(g3 +g4 +(g192 +g205 +g257 +ttRp258 +(dp259 +g9 +g10 +((ltRp260 +sg12 +g206 +sbsg9 +g10 +((lp261 +g254 +ag2 +(g3 +g4 +(g192 +g205 +S'Atom' +p262 +ttRp263 +(dp264 +g9 +g10 +((ltRp265 +sg12 +g206 +sbag225 +ag248 +ag240 +ag258 +ag221 +ag244 +ag213 +ag228 +ag217 +ag209 +ag251 +ag236 +ag232 +atRp266 +sg262 +g263 +sbsg12 +g19 +sg191 +g193 +sS'Scalar' +p267 +g2 +(g3 +g4 +(g192 +g267 +ttRp268 +(dp269 +g9 +g10 +((lp270 +g2 +(g3 +g4 +(g192 +g267 +S'Plain' +p271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g268 +sbatRp275 +sg12 +g203 +sg271 +g272 +sbsg89 +g2 +(g3 +g4 +(g192 +g89 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g203 +sbsS'Date' +p279 +g2 +(g3 +g4 +(g192 +g279 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g203 +sbsg9 +g10 +((lp283 +g280 +ag206 +ag276 +ag193 +ag268 +atRp284 +sbsS'Decimal' +p285 +g2 +(g3 +g4 +(g192 +g191 +g285 +ttRp286 +(dp287 +g9 +g10 +((ltRp288 +sg12 +g193 +sbsS'Float' +p289 +g2 +(g3 +g4 +(g192 +g191 +g289 +ttRp290 +(dp291 +g9 +g10 +((ltRp292 +sg12 +g193 +sbsS'Hex' +p293 +g2 +(g3 +g4 +(g192 +g191 +g293 +ttRp294 +(dp295 +g9 +g10 +((ltRp296 +sg12 +g193 +sbsS'Integer' +p297 +g2 +(g3 +g4 +(g192 +g191 +g297 +ttRp298 +(dp299 +g9 +g10 +((lp300 +g2 +(g3 +g4 +(g192 +g191 +g297 +S'Long' +p301 +ttRp302 +(dp303 +g9 +g10 +((ltRp304 +sg12 +g298 +sbatRp305 +sg301 +g302 +sg12 +g193 +sbsS'Octal' +p306 +g2 +(g3 +g4 +(g192 +g191 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g193 +sbsg9 +g10 +((lp310 +g196 +ag200 +ag307 +ag286 +ag2 +(g3 +g4 +(g192 +g191 +S'Oct' +p311 +ttRp312 +(dp313 +g9 +g10 +((ltRp314 +sg12 +g193 +sbag298 +ag290 +ag294 +atRp315 +sg311 +g312 +sbsg192 +g203 +sg89 +g2 +(g3 +g4 +(g89 +ttRp316 +(dp317 +g9 +g10 +((ltRp318 +sg12 +g19 +sbsS'Error' +p319 +g2 +(g3 +g4 +(g319 +ttRp320 +(dp321 +g9 +g10 +((ltRp322 +sg12 +g19 +sbsS'Operator' +p323 +g2 +(g3 +g4 +(g323 +ttRp324 +(dp325 +g9 +g10 +((lp326 +g2 +(g3 +g4 +(g323 +S'Word' +p327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g324 +sbatRp331 +sg327 +g328 +sg12 +g19 +sbsg9 +g10 +((lp332 +g22 +ag320 +ag13 +ag169 +ag43 +ag182 +ag136 +ag203 +ag324 +ag316 +atRp333 +sg205 +g206 +sbsS'Deleted' +p334 +g2 +(g3 +g4 +(g5 +g334 +ttRp335 +(dp336 +g9 +g10 +((ltRp337 +sg12 +g13 +sbsS'Traceback' +p338 +g2 +(g3 +g4 +(g5 +g338 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g13 +sbsS'Emph' +p342 +g2 +(g3 +g4 +(g5 +g342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g13 +sbsS'Output' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g13 +sbsS'Subheading' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbsg319 +g2 +(g3 +g4 +(g5 +g319 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg9 +g10 +((lp357 +g347 +ag343 +ag354 +ag351 +ag339 +ag335 +ag7 +ag2 +(g3 +g4 +(g5 +S'Inserted' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag2 +(g3 +g4 +(g5 +S'Strong' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag16 +atRp366 +sg362 +g363 +sg358 +g359 +sg6 +g7 +sbsbV====================== +p367 +tp368 +a(g169 +V\u000a +tp369 +a(g7 +VDesigner Documentation +p370 +tp371 +a(g169 +V\u000a +tp372 +a(g7 +V====================== +p373 +tp374 +a(g169 +V\u000a +tp375 +a(g169 +V\u000a +tp376 +a(g169 +VThis part of the Jinja documentaton is meant for template designers. +p377 +tp378 +a(g169 +V\u000a +tp379 +a(g169 +V\u000a +tp380 +a(g7 +VBasics +p381 +tp382 +a(g169 +V\u000a +tp383 +a(g7 +V====== +p384 +tp385 +a(g169 +V\u000a +tp386 +a(g169 +V\u000a +tp387 +a(g169 +VThe Jinja template language is designed to strike a balance between content +p388 +tp389 +a(g169 +V\u000a +tp390 +a(g169 +Vand application logic. Nevertheless you can use a python like statement +p391 +tp392 +a(g169 +V\u000a +tp393 +a(g169 +Vlanguage. You don't have to know how Python works to create Jinja templates, +p394 +tp395 +a(g169 +V\u000a +tp396 +a(g169 +Vbut if you know it you can use some additional statements you may know from +p397 +tp398 +a(g169 +V\u000a +tp399 +a(g169 +VPython. +p400 +tp401 +a(g169 +V\u000a +tp402 +a(g169 +V\u000a +tp403 +a(g169 +VHere is a small example template +p404 +tp405 +a(g169 +V: +tp406 +a(g169 +V\u000a +tp407 +a(g169 +V\u000a +tp408 +a(g182 +V.. +p409 +tp410 +a(g169 +V +tp411 +a(g328 +Vsourcecode +p412 +tp413 +a(g182 +V:: +p414 +tp415 +a(g169 +V +tp416 +a(g136 +Vhtml+jinja +p417 +tp418 +a(g169 +V\u000a\u000a +p419 +tp420 +a(g25 +V +tp421 +a(g169 +V +p422 +tp423 +a(g25 +V +p428 +tp429 +a(g169 +V\u000a +tp430 +a(g169 +V +p431 +tp432 +a(g169 +V +tp433 +a(g54 +V +tp451 +a(g169 +V\u000a +tp452 +a(g169 +V +p453 +tp454 +a(g169 +V +tp455 +a(g54 +V +tp458 +a(g169 +V\u000a +tp459 +a(g169 +V +p460 +tp461 +a(g169 +V +p462 +tp463 +a(g54 +V +tp466 +a(g169 +VMy Webpage +p467 +tp468 +a(g54 +V +p469 +tp470 +a(g169 +V\u000a +tp471 +a(g169 +V +p472 +tp473 +a(g169 +V +tp474 +a(g54 +V +p475 +tp476 +a(g169 +V\u000a +tp477 +a(g169 +V +p478 +tp479 +a(g169 +V +tp480 +a(g54 +V +tp483 +a(g169 +V\u000a +tp484 +a(g169 +V +p485 +tp486 +a(g169 +V +p487 +tp488 +a(g54 +V +p582 +tp583 +a(g169 +V\u000a\u000a +p584 +tp585 +a(g169 +V +p586 +tp587 +a(g169 +V +p588 +tp589 +a(g54 +V

    +tp592 +a(g169 +VMy Webpage +p593 +tp594 +a(g54 +V

    +p595 +tp596 +a(g169 +V\u000a +tp597 +a(g169 +V +p598 +tp599 +a(g169 +V +p600 +tp601 +a(g25 +V{{ +p602 +tp603 +a(g169 +V +tp604 +a(g98 +Vvariable +p605 +tp606 +a(g169 +V +tp607 +a(g25 +V}} +p608 +tp609 +a(g169 +V\u000a +tp610 +a(g169 +V +p611 +tp612 +a(g169 +V +tp613 +a(g54 +V +p614 +tp615 +a(g169 +V\u000a +tp616 +a(g169 +V +p617 +tp618 +a(g169 +V +tp619 +a(g54 +V +p620 +tp621 +a(g169 +V\u000a\u000a +p622 +tp623 +a(g169 +VThis covers the default settings. The application developer might have changed +p624 +tp625 +a(g169 +V\u000a +tp626 +a(g169 +Vthe syntax from +p627 +tp628 +a(g206 +V`` +p629 +tp630 +a(g206 +V{% foo %} +p631 +tp632 +a(g206 +V`` +p633 +tp634 +a(g169 +V to +p635 +tp636 +a(g206 +V`` +p637 +tp638 +a(g206 +V<% foo %> +p639 +tp640 +a(g206 +V`` +p641 +tp642 +a(g169 +V or something similar. This +p643 +tp644 +a(g169 +V\u000a +tp645 +a(g169 +Vdocumentation just covers the default values. +p646 +tp647 +a(g169 +V\u000a +tp648 +a(g169 +V\u000a +tp649 +a(g169 +VA variable looks like +p650 +tp651 +a(g206 +V`` +p652 +tp653 +a(g206 +V{{ foobar }} +p654 +tp655 +a(g206 +V`` +p656 +tp657 +a(g169 +V where foobar is the variable name. Inside +p658 +tp659 +a(g169 +V\u000a +tp660 +a(g169 +Vof statements ( +p661 +tp662 +a(g206 +V`` +p663 +tp664 +a(g206 +V{% some content here %} +p665 +tp666 +a(g206 +V`` +p667 +tp668 +a(g169 +V) variables are just normal names +p669 +tp670 +a(g169 +V\u000a +tp671 +a(g169 +Vwithout the braces around it. In fact +p672 +tp673 +a(g206 +V`` +p674 +tp675 +a(g206 +V{{ foobar }} +p676 +tp677 +a(g206 +V`` +p678 +tp679 +a(g169 +V is just an alias for +p680 +tp681 +a(g169 +V\u000a +tp682 +a(g169 +Vthe statement +p683 +tp684 +a(g206 +V`` +p685 +tp686 +a(g206 +V{% print foobar %} +p687 +tp688 +a(g206 +V`` +p689 +tp690 +a(g169 +V. +tp691 +a(g169 +V\u000a +tp692 +a(g169 +V\u000a +tp693 +a(g169 +VVariables are coming from the context provided by the application. Normally there +p694 +tp695 +a(g169 +V\u000a +tp696 +a(g169 +Vshould be a documentation regarding the context contents but if you want to know +p697 +tp698 +a(g169 +V\u000a +tp699 +a(g169 +Vthe content of the current context, you can add this to your template +p700 +tp701 +a(g169 +V: +tp702 +a(g169 +V\u000a +tp703 +a(g169 +V\u000a +tp704 +a(g182 +V.. +p705 +tp706 +a(g169 +V +tp707 +a(g328 +Vsourcecode +p708 +tp709 +a(g182 +V:: +p710 +tp711 +a(g169 +V +tp712 +a(g136 +Vhtml+jinja +p713 +tp714 +a(g169 +V\u000a\u000a +p715 +tp716 +a(g54 +V +tp717 +a(g169 +V +p718 +tp719 +a(g54 +V
    +tp722
    +a(g25
    +V{{
    +p723
    +tp724
    +a(g169
    +V 
    +tp725
    +a(g98
    +Vdebug
    +p726
    +tp727
    +a(g324
    +V(
    +tp728
    +a(g324
    +V)
    +tp729
    +a(g324
    +V|
    +tp730
    +a(g46
    +Ve
    +tp731
    +a(g169
    +V 
    +tp732
    +a(g25
    +V}}
    +p733
    +tp734
    +a(g54
    +V
    +tp735
    +a(g54
    +V
    +p736 +tp737 +a(g169 +V\u000a\u000a +p738 +tp739 +a(g169 +VA context isn't flat which means that each variable can has subvariables, as long +p740 +tp741 +a(g169 +V\u000a +tp742 +a(g169 +Vas it is representable as python data structure. You can access attributes of +p743 +tp744 +a(g169 +V\u000a +tp745 +a(g169 +Va variable using the dot and bracket operators. The following examples show +p746 +tp747 +a(g169 +V\u000a +tp748 +a(g169 +Vthis +p749 +tp750 +a(g169 +V: +tp751 +a(g169 +V\u000a +tp752 +a(g169 +V\u000a +tp753 +a(g182 +V.. +p754 +tp755 +a(g169 +V +tp756 +a(g328 +Vsourcecode +p757 +tp758 +a(g182 +V:: +p759 +tp760 +a(g169 +V +tp761 +a(g136 +Vjinja +p762 +tp763 +a(g169 +V\u000a\u000a +p764 +tp765 +a(g25 +V +tp766 +a(g169 +V +p767 +tp768 +a(g25 +V{{ +p769 +tp770 +a(g169 +V +tp771 +a(g98 +Vuser +p772 +tp773 +a(g98 +V.username +p774 +tp775 +a(g169 +V +tp776 +a(g25 +V}} +p777 +tp778 +a(g316 +V\u000a +tp779 +a(g169 +V +p780 +tp781 +a(g316 +V is the same as\u000a +p782 +tp783 +a(g169 +V +p784 +tp785 +a(g316 +V +tp786 +a(g25 +V{{ +p787 +tp788 +a(g169 +V +tp789 +a(g98 +Vuser +p790 +tp791 +a(g324 +V[ +tp792 +a(g251 +V'username' +p793 +tp794 +a(g324 +V] +tp795 +a(g169 +V +tp796 +a(g25 +V}} +p797 +tp798 +a(g316 +V\u000a +tp799 +a(g169 +V +p800 +tp801 +a(g316 +V you can also use a variable to access an attribute:\u000a +p802 +tp803 +a(g169 +V +p804 +tp805 +a(g316 +V +tp806 +a(g25 +V{{ +p807 +tp808 +a(g169 +V +tp809 +a(g98 +Vusers +p810 +tp811 +a(g324 +V[ +tp812 +a(g98 +Vcurrent_user +p813 +tp814 +a(g324 +V] +tp815 +a(g98 +V.username +p816 +tp817 +a(g169 +V +tp818 +a(g25 +V}} +p819 +tp820 +a(g316 +V\u000a +tp821 +a(g169 +V +p822 +tp823 +a(g316 +V If you have numerical indices you have to use the [] syntax:\u000a +p824 +tp825 +a(g169 +V +p826 +tp827 +a(g316 +V +tp828 +a(g25 +V{{ +p829 +tp830 +a(g169 +V +tp831 +a(g98 +Vusers +p832 +tp833 +a(g324 +V[ +tp834 +a(g193 +V0 +tp835 +a(g324 +V] +tp836 +a(g98 +V.username +p837 +tp838 +a(g169 +V +tp839 +a(g25 +V}} +p840 +tp841 +a(g316 +V\u000a\u000a +p842 +tp843 +a(g7 +VFilters +p844 +tp845 +a(g169 +V\u000a +tp846 +a(g7 +V======= +p847 +tp848 +a(g169 +V\u000a +tp849 +a(g169 +V\u000a +tp850 +a(g169 +VIn the examples above you might have noticed the pipe symbols. Pipe symbols tell +p851 +tp852 +a(g169 +V\u000a +tp853 +a(g169 +Vthe engine that it has to apply a filter on the variable. Here is a small example +p854 +tp855 +a(g169 +V: +tp856 +a(g169 +V\u000a +tp857 +a(g169 +V\u000a +tp858 +a(g182 +V.. +p859 +tp860 +a(g169 +V +tp861 +a(g328 +Vsourcecode +p862 +tp863 +a(g182 +V:: +p864 +tp865 +a(g169 +V +tp866 +a(g136 +Vjinja +p867 +tp868 +a(g169 +V\u000a\u000a +p869 +tp870 +a(g25 +V +tp871 +a(g169 +V +p872 +tp873 +a(g25 +V{{ +p874 +tp875 +a(g169 +V +tp876 +a(g98 +Vvariable +p877 +tp878 +a(g324 +V| +tp879 +a(g46 +Vreplace +p880 +tp881 +a(g324 +V( +tp882 +a(g251 +V'foo' +p883 +tp884 +a(g324 +V, +tp885 +a(g169 +V +tp886 +a(g251 +V'bar' +p887 +tp888 +a(g324 +V) +tp889 +a(g324 +V| +tp890 +a(g46 +Vescape +p891 +tp892 +a(g169 +V +tp893 +a(g25 +V}} +p894 +tp895 +a(g316 +V\u000a\u000a +p896 +tp897 +a(g169 +VIf you want, you can also put whitespace between the filters. +p898 +tp899 +a(g169 +V\u000a +tp900 +a(g169 +V\u000a +tp901 +a(g169 +VThis will look for a variable +p902 +tp903 +a(g98 +V`variable` +p904 +tp905 +a(g169 +V, pass it to the filter +p906 +tp907 +a(g98 +V`replace` +p908 +tp909 +a(g169 +V\u000a +tp910 +a(g169 +Vwith the arguments +p911 +tp912 +a(g206 +V`` +p913 +tp914 +a(g206 +V'foo' +p915 +tp916 +a(g206 +V`` +p917 +tp918 +a(g169 +V and +p919 +tp920 +a(g206 +V`` +p921 +tp922 +a(g206 +V'bar' +p923 +tp924 +a(g206 +V`` +p925 +tp926 +a(g169 +V, and pass the result to the filter +p927 +tp928 +a(g169 +V\u000a +tp929 +a(g98 +V`escape` +p930 +tp931 +a(g169 +V that automatically XML-escapes the value. The +p932 +tp933 +a(g98 +V`e` +p934 +tp935 +a(g169 +V filter is an alias for +p936 +tp937 +a(g169 +V\u000a +tp938 +a(g98 +V`escape` +p939 +tp940 +a(g169 +V. Here is the complete list of supported filters +p941 +tp942 +a(g169 +V: +tp943 +a(g169 +V\u000a +tp944 +a(g169 +V\u000a +tp945 +a(g169 +V[ +tp946 +a(g169 +V[ +tp947 +a(g169 +Vlist_of_filters]] +p948 +tp949 +a(g169 +V\u000a +tp950 +a(g169 +V\u000a +tp951 +a(g182 +V.. +p952 +tp953 +a(g169 +V +tp954 +a(g328 +Vadmonition +p955 +tp956 +a(g182 +V:: +p957 +tp958 +a(g169 +V +tp959 +a(g169 +Vnote +p960 +tp961 +a(g169 +V\u000a +tp962 +a(g169 +V\u000a +tp963 +a(g169 +V Filters have a pretty low priority. If you want to add fitered values +p964 +tp965 +a(g169 +V\u000a +tp966 +a(g169 +V you have to put them into parentheses. The same applies if you want to access +p967 +tp968 +a(g169 +V\u000a +tp969 +a(g169 +V attributes +p970 +tp971 +a(g169 +V: +tp972 +a(g169 +V\u000a +tp973 +a(g169 +V\u000a +tp974 +a(g182 +V .. +p975 +tp976 +a(g169 +V +tp977 +a(g328 +Vsourcecode +p978 +tp979 +a(g182 +V:: +p980 +tp981 +a(g169 +V +tp982 +a(g136 +Vjinja +p983 +tp984 +a(g169 +V\u000a\u000a +p985 +tp986 +a(g316 +V +tp987 +a(g169 +V +p988 +tp989 +a(g316 +Vcorrect:\u000a +p990 +tp991 +a(g169 +V +p992 +tp993 +a(g316 +V +p994 +tp995 +a(g25 +V{{ +p996 +tp997 +a(g169 +V +tp998 +a(g324 +V( +tp999 +a(g98 +Vfoo +p1000 +tp1001 +a(g324 +V| +tp1002 +a(g46 +Vfilter +p1003 +tp1004 +a(g324 +V) +tp1005 +a(g169 +V +tp1006 +a(g324 +V+ +tp1007 +a(g169 +V +tp1008 +a(g324 +V( +tp1009 +a(g98 +Vbar +p1010 +tp1011 +a(g324 +V| +tp1012 +a(g46 +Vfilter +p1013 +tp1014 +a(g324 +V) +tp1015 +a(g169 +V +tp1016 +a(g25 +V}} +p1017 +tp1018 +a(g316 +V\u000a +tp1019 +a(g169 +V +p1020 +tp1021 +a(g316 +Vwrong:\u000a +p1022 +tp1023 +a(g169 +V +p1024 +tp1025 +a(g316 +V +p1026 +tp1027 +a(g25 +V{{ +p1028 +tp1029 +a(g169 +V +tp1030 +a(g98 +Vfoo +p1031 +tp1032 +a(g324 +V| +tp1033 +a(g46 +Vfilter +p1034 +tp1035 +a(g169 +V +tp1036 +a(g324 +V+ +tp1037 +a(g169 +V +tp1038 +a(g98 +Vbar +p1039 +tp1040 +a(g324 +V| +tp1041 +a(g46 +Vfilter +p1042 +tp1043 +a(g169 +V +tp1044 +a(g25 +V}} +p1045 +tp1046 +a(g316 +V\u000a\u000a +p1047 +tp1048 +a(g169 +V +p1049 +tp1050 +a(g316 +Vcorrect:\u000a +p1051 +tp1052 +a(g169 +V +p1053 +tp1054 +a(g316 +V +p1055 +tp1056 +a(g25 +V{{ +p1057 +tp1058 +a(g169 +V +tp1059 +a(g324 +V( +tp1060 +a(g98 +Vfoo +p1061 +tp1062 +a(g324 +V| +tp1063 +a(g46 +Vfilter +p1064 +tp1065 +a(g324 +V) +tp1066 +a(g98 +V.attribute +p1067 +tp1068 +a(g169 +V +tp1069 +a(g25 +V}} +p1070 +tp1071 +a(g316 +V\u000a +tp1072 +a(g169 +V +p1073 +tp1074 +a(g316 +Vwrong:\u000a +p1075 +tp1076 +a(g169 +V +p1077 +tp1078 +a(g316 +V +p1079 +tp1080 +a(g25 +V{{ +p1081 +tp1082 +a(g169 +V +tp1083 +a(g98 +Vfoo +p1084 +tp1085 +a(g324 +V| +tp1086 +a(g46 +Vfilter +p1087 +tp1088 +a(g98 +V.attribute +p1089 +tp1090 +a(g169 +V +tp1091 +a(g25 +V}} +p1092 +tp1093 +a(g316 +V\u000a\u000a +p1094 +tp1095 +a(g7 +VTests +p1096 +tp1097 +a(g169 +V\u000a +tp1098 +a(g7 +V===== +p1099 +tp1100 +a(g169 +V\u000a +tp1101 +a(g169 +V\u000a +tp1102 +a(g169 +VYou can use the +p1103 +tp1104 +a(g98 +V`is` +p1105 +tp1106 +a(g169 +V operator to perform tests on a value +p1107 +tp1108 +a(g169 +V: +tp1109 +a(g169 +V\u000a +tp1110 +a(g169 +V\u000a +tp1111 +a(g182 +V.. +p1112 +tp1113 +a(g169 +V +tp1114 +a(g328 +Vsourcecode +p1115 +tp1116 +a(g182 +V:: +p1117 +tp1118 +a(g169 +V +tp1119 +a(g136 +Vjinja +p1120 +tp1121 +a(g169 +V\u000a\u000a +p1122 +tp1123 +a(g25 +V +tp1124 +a(g169 +V +p1125 +tp1126 +a(g25 +V{{ +p1127 +tp1128 +a(g169 +V +tp1129 +a(g193 +V4 +tp1130 +a(g193 +V2 +tp1131 +a(g169 +V +tp1132 +a(g136 +Vis +p1133 +tp1134 +a(g169 +V +tp1135 +a(g46 +Vnumeric +p1136 +tp1137 +a(g169 +V +tp1138 +a(g25 +V}} +p1139 +tp1140 +a(g316 +V -> true\u000a +p1141 +tp1142 +a(g169 +V +p1143 +tp1144 +a(g316 +V +tp1145 +a(g25 +V{{ +p1146 +tp1147 +a(g169 +V +tp1148 +a(g225 +V"foobar" +p1149 +tp1150 +a(g169 +V +tp1151 +a(g136 +Vis +p1152 +tp1153 +a(g169 +V +tp1154 +a(g46 +Vnumeric +p1155 +tp1156 +a(g169 +V +tp1157 +a(g25 +V}} +p1158 +tp1159 +a(g316 +V -> false\u000a +p1160 +tp1161 +a(g169 +V +p1162 +tp1163 +a(g316 +V +tp1164 +a(g25 +V{{ +p1165 +tp1166 +a(g169 +V +tp1167 +a(g251 +V'FOO' +p1168 +tp1169 +a(g169 +V +tp1170 +a(g136 +Vis +p1171 +tp1172 +a(g169 +V +tp1173 +a(g46 +Vupper +p1174 +tp1175 +a(g169 +V +tp1176 +a(g25 +V}} +p1177 +tp1178 +a(g316 +V -> true\u000a\u000a +p1179 +tp1180 +a(g169 +VThese tests are especially useful when used in +p1181 +tp1182 +a(g98 +V`if` +p1183 +tp1184 +a(g169 +V conditions. +p1185 +tp1186 +a(g169 +V\u000a +tp1187 +a(g169 +V\u000a +tp1188 +a(g169 +V[ +tp1189 +a(g169 +V[ +tp1190 +a(g169 +Vlist_of_tests]] +p1191 +tp1192 +a(g169 +V\u000a +tp1193 +a(g169 +V\u000a +tp1194 +a(g7 +VGlobal Functions +p1195 +tp1196 +a(g169 +V\u000a +tp1197 +a(g7 +V================ +p1198 +tp1199 +a(g169 +V\u000a +tp1200 +a(g169 +V\u000a +tp1201 +a(g169 +VTest functions and filter functions live in their own namespace. Global +p1202 +tp1203 +a(g169 +V\u000a +tp1204 +a(g169 +Vfunctions not. They behave like normal objects in the context. Beside the +p1205 +tp1206 +a(g169 +V\u000a +tp1207 +a(g169 +Vfunctions added by the application or framewhere there are two functions +p1208 +tp1209 +a(g169 +V\u000a +tp1210 +a(g169 +Vavailable per default +p1211 +tp1212 +a(g169 +V: +tp1213 +a(g169 +V\u000a +tp1214 +a(g169 +V\u000a +tp1215 +a(g98 +V`range` +p1216 +tp1217 +a(g169 +V\u000a +tp1218 +a(g169 +V +p1219 +tp1220 +a(g169 +V\u000a +tp1221 +a(g169 +V Works like the python +p1222 +tp1223 +a(g206 +V`range function`_ +p1224 +tp1225 +a(g169 +V just that it doesn't support +p1226 +tp1227 +a(g169 +V\u000a +tp1228 +a(g169 +V ranges greater than +p1229 +tp1230 +a(g206 +V`` +p1231 +tp1232 +a(g206 +V1000000 +p1233 +tp1234 +a(g206 +V`` +p1235 +tp1236 +a(g169 +V. +tp1237 +a(g169 +V\u000a +tp1238 +a(g169 +V\u000a +tp1239 +a(g98 +V`debug` +p1240 +tp1241 +a(g169 +V\u000a +tp1242 +a(g169 +V\u000a +tp1243 +a(g169 +V Function that outputs the contents of the context. +p1244 +tp1245 +a(g169 +V\u000a +tp1246 +a(g169 +V\u000a +tp1247 +a(g7 +VLoops +p1248 +tp1249 +a(g169 +V\u000a +tp1250 +a(g7 +V===== +p1251 +tp1252 +a(g169 +V\u000a +tp1253 +a(g169 +V\u000a +tp1254 +a(g169 +VTo iterate over a sequence, you can use the +p1255 +tp1256 +a(g98 +V`for` +p1257 +tp1258 +a(g169 +V loop. It basically looks like a +p1259 +tp1260 +a(g169 +V\u000a +tp1261 +a(g169 +Vnormal Python +p1262 +tp1263 +a(g98 +V`for` +p1264 +tp1265 +a(g169 +V loop and works pretty much the same +p1266 +tp1267 +a(g169 +V: +tp1268 +a(g169 +V\u000a +tp1269 +a(g169 +V\u000a +tp1270 +a(g182 +V.. +p1271 +tp1272 +a(g169 +V +tp1273 +a(g328 +Vsourcecode +p1274 +tp1275 +a(g182 +V:: +p1276 +tp1277 +a(g169 +V +tp1278 +a(g136 +Vhtml+jinja +p1279 +tp1280 +a(g169 +V\u000a\u000a +p1281 +tp1282 +a(g54 +V +tp1283 +a(g169 +V +p1284 +tp1285 +a(g54 +V

    +tp1288 +a(g169 +VMembers +p1289 +tp1290 +a(g54 +V

    +p1291 +tp1292 +a(g169 +V\u000a +tp1293 +a(g169 +V +p1294 +tp1295 +a(g169 +V +tp1296 +a(g54 +V
      +tp1299 +a(g169 +V\u000a +tp1300 +a(g169 +V +p1301 +tp1302 +a(g169 +V +tp1303 +a(g25 +V{% +p1304 +tp1305 +a(g169 +V +tp1306 +a(g136 +Vfor +p1307 +tp1308 +a(g169 +V +tp1309 +a(g98 +Vuser +p1310 +tp1311 +a(g169 +V +tp1312 +a(g136 +Vin +p1313 +tp1314 +a(g169 +V +tp1315 +a(g98 +Vusers +p1316 +tp1317 +a(g169 +V +tp1318 +a(g25 +V%} +p1319 +tp1320 +a(g169 +V\u000a +tp1321 +a(g169 +V +p1322 +tp1323 +a(g169 +V +p1324 +tp1325 +a(g54 +V
    • +tp1328 +a(g25 +V{{ +p1329 +tp1330 +a(g169 +V +tp1331 +a(g82 +Vloop +p1332 +tp1333 +a(g98 +V.index +p1334 +tp1335 +a(g169 +V +tp1336 +a(g25 +V}} +p1337 +tp1338 +a(g54 +V +tp1339 +a(g169 +V / +p1340 +tp1341 +a(g25 +V{{ +p1342 +tp1343 +a(g169 +V +tp1344 +a(g82 +Vloop +p1345 +tp1346 +a(g98 +V.length +p1347 +tp1348 +a(g169 +V +tp1349 +a(g25 +V}} +p1350 +tp1351 +a(g169 +V - +p1352 +tp1353 +a(g25 +V{{ +p1354 +tp1355 +a(g169 +V +tp1356 +a(g98 +Vuser +p1357 +tp1358 +a(g98 +V.username +p1359 +tp1360 +a(g324 +V| +tp1361 +a(g46 +Vescape +p1362 +tp1363 +a(g169 +V +tp1364 +a(g25 +V}} +p1365 +tp1366 +a(g169 +V +tp1367 +a(g54 +V
    • +p1368 +tp1369 +a(g169 +V\u000a +tp1370 +a(g169 +V +p1371 +tp1372 +a(g169 +V +tp1373 +a(g25 +V{% +p1374 +tp1375 +a(g169 +V +tp1376 +a(g136 +Velse +p1377 +tp1378 +a(g169 +V +tp1379 +a(g25 +V%} +p1380 +tp1381 +a(g169 +V\u000a +tp1382 +a(g169 +V +p1383 +tp1384 +a(g169 +V +p1385 +tp1386 +a(g54 +V
    • +tp1389 +a(g54 +V +tp1392 +a(g169 +Vno users found +p1393 +tp1394 +a(g54 +V +p1395 +tp1396 +a(g54 +V
    • +p1397 +tp1398 +a(g169 +V\u000a +tp1399 +a(g169 +V +p1400 +tp1401 +a(g169 +V +tp1402 +a(g25 +V{% +p1403 +tp1404 +a(g169 +V +tp1405 +a(g136 +Vendfor +p1406 +tp1407 +a(g169 +V +tp1408 +a(g25 +V%} +p1409 +tp1410 +a(g169 +V\u000a +tp1411 +a(g169 +V +p1412 +tp1413 +a(g169 +V +tp1414 +a(g54 +V
    +p1415 +tp1416 +a(g169 +V\u000a\u000a +p1417 +tp1418 +a(g343 +V*Important* +p1419 +tp1420 +a(g169 +V Contrary to Python is the optional +p1421 +tp1422 +a(g206 +V`` +p1423 +tp1424 +a(g206 +Velse +p1425 +tp1426 +a(g206 +V`` +p1427 +tp1428 +a(g169 +V block only +p1429 +tp1430 +a(g169 +V\u000a +tp1431 +a(g169 +Vexecuted if there was no iteration because the sequence was empty. +p1432 +tp1433 +a(g169 +V\u000a +tp1434 +a(g169 +V\u000a +tp1435 +a(g169 +VInside of a +p1436 +tp1437 +a(g98 +V`for` +p1438 +tp1439 +a(g169 +V loop block you can access some special variables +p1440 +tp1441 +a(g169 +V: +tp1442 +a(g169 +V\u000a +tp1443 +a(g169 +V\u000a +tp1444 +a(g169 +V+----------------------+----------------------------------------+ +p1445 +tp1446 +a(g169 +V\u000a +tp1447 +a(g324 +V| +tp1448 +a(g169 +V Variable | Description | +p1449 +tp1450 +a(g169 +V\u000a +tp1451 +a(g169 +V+======================+========================================+ +p1452 +tp1453 +a(g169 +V\u000a +tp1454 +a(g324 +V| +tp1455 +a(g169 +V +tp1456 +a(g98 +V`loop.index` +p1457 +tp1458 +a(g169 +V | The current iteration of the loop. | +p1459 +tp1460 +a(g169 +V\u000a +tp1461 +a(g169 +V+----------------------+----------------------------------------+ +p1462 +tp1463 +a(g169 +V\u000a +tp1464 +a(g324 +V| +tp1465 +a(g169 +V +tp1466 +a(g98 +V`loop.index0` +p1467 +tp1468 +a(g169 +V | The current iteration of the loop, | +p1469 +tp1470 +a(g169 +V\u000a +tp1471 +a(g324 +V| +tp1472 +a(g169 +V | starting counting by 0. | +p1473 +tp1474 +a(g169 +V\u000a +tp1475 +a(g169 +V+----------------------+----------------------------------------+ +p1476 +tp1477 +a(g169 +V\u000a +tp1478 +a(g324 +V| +tp1479 +a(g169 +V +tp1480 +a(g98 +V`loop.revindex` +p1481 +tp1482 +a(g169 +V | The number of iterations from the end | +p1483 +tp1484 +a(g169 +V\u000a +tp1485 +a(g324 +V| +tp1486 +a(g169 +V | of the loop. | +p1487 +tp1488 +a(g169 +V\u000a +tp1489 +a(g169 +V+----------------------+----------------------------------------+ +p1490 +tp1491 +a(g169 +V\u000a +tp1492 +a(g324 +V| +tp1493 +a(g169 +V +tp1494 +a(g98 +V`loop.revindex0` +p1495 +tp1496 +a(g169 +V | The number of iterations from the end | +p1497 +tp1498 +a(g169 +V\u000a +tp1499 +a(g324 +V| +tp1500 +a(g169 +V | of the loop, starting counting by 0. | +p1501 +tp1502 +a(g169 +V\u000a +tp1503 +a(g169 +V+----------------------+----------------------------------------+ +p1504 +tp1505 +a(g169 +V\u000a +tp1506 +a(g324 +V| +tp1507 +a(g169 +V +tp1508 +a(g98 +V`loop.first` +p1509 +tp1510 +a(g169 +V | True if first iteration. | +p1511 +tp1512 +a(g169 +V\u000a +tp1513 +a(g169 +V+----------------------+----------------------------------------+ +p1514 +tp1515 +a(g169 +V\u000a +tp1516 +a(g324 +V| +tp1517 +a(g169 +V +tp1518 +a(g98 +V`loop.last` +p1519 +tp1520 +a(g169 +V | True if last iteration. | +p1521 +tp1522 +a(g169 +V\u000a +tp1523 +a(g169 +V+----------------------+----------------------------------------+ +p1524 +tp1525 +a(g169 +V\u000a +tp1526 +a(g324 +V| +tp1527 +a(g169 +V +tp1528 +a(g98 +V`loop.even` +p1529 +tp1530 +a(g169 +V | True if current iteration is even. | +p1531 +tp1532 +a(g169 +V\u000a +tp1533 +a(g169 +V+----------------------+----------------------------------------+ +p1534 +tp1535 +a(g169 +V\u000a +tp1536 +a(g324 +V| +tp1537 +a(g169 +V +tp1538 +a(g98 +V`loop.odd` +p1539 +tp1540 +a(g169 +V | True if current iteration is odd. | +p1541 +tp1542 +a(g169 +V\u000a +tp1543 +a(g169 +V+----------------------+----------------------------------------+ +p1544 +tp1545 +a(g169 +V\u000a +tp1546 +a(g324 +V| +tp1547 +a(g169 +V +tp1548 +a(g98 +V`loop.length` +p1549 +tp1550 +a(g169 +V | Total number of items in the sequence. | +p1551 +tp1552 +a(g169 +V\u000a +tp1553 +a(g169 +V+----------------------+----------------------------------------+ +p1554 +tp1555 +a(g169 +V\u000a +tp1556 +a(g324 +V| +tp1557 +a(g169 +V +tp1558 +a(g98 +V`loop.parent` +p1559 +tp1560 +a(g169 +V | The context of the parent loop. | +p1561 +tp1562 +a(g169 +V\u000a +tp1563 +a(g169 +V+----------------------+----------------------------------------+ +p1564 +tp1565 +a(g169 +V\u000a +tp1566 +a(g169 +V\u000a +tp1567 +a(g169 +VLoops also support recursion. Let's assume you have a sitemap where each item +p1568 +tp1569 +a(g169 +V\u000a +tp1570 +a(g169 +Vmight have a number of child items. A template for that could look like this +p1571 +tp1572 +a(g169 +V: +tp1573 +a(g169 +V\u000a +tp1574 +a(g169 +V\u000a +tp1575 +a(g182 +V.. +p1576 +tp1577 +a(g169 +V +tp1578 +a(g328 +Vsourcecode +p1579 +tp1580 +a(g182 +V:: +p1581 +tp1582 +a(g169 +V +tp1583 +a(g136 +Vhtml+jinja +p1584 +tp1585 +a(g169 +V\u000a\u000a +p1586 +tp1587 +a(g54 +V +tp1588 +a(g169 +V +p1589 +tp1590 +a(g54 +V

    +tp1593 +a(g169 +VSitemap\u000a +p1594 +tp1595 +a(g169 +V +p1596 +tp1597 +a(g169 +V +tp1598 +a(g54 +V
      +tp1606 +a(g169 +V\u000a +tp1607 +a(g169 +V +p1608 +tp1609 +a(g169 +V +tp1610 +a(g25 +V{% +p1611 +tp1612 +a(g169 +V +tp1613 +a(g136 +Vfor +p1614 +tp1615 +a(g169 +V +tp1616 +a(g98 +Vitem +p1617 +tp1618 +a(g169 +V +tp1619 +a(g136 +Vin +p1620 +tp1621 +a(g169 +V +tp1622 +a(g98 +Vsitemap +p1623 +tp1624 +a(g169 +V +tp1625 +a(g136 +Vrecursive +p1626 +tp1627 +a(g169 +V +tp1628 +a(g25 +V%} +p1629 +tp1630 +a(g169 +V\u000a +tp1631 +a(g169 +V +p1632 +tp1633 +a(g169 +V +p1634 +tp1635 +a(g54 +V
    • +tp1638 +a(g54 +V +tp1658 +a(g25 +V{{ +p1659 +tp1660 +a(g169 +V +tp1661 +a(g98 +Vitem +p1662 +tp1663 +a(g98 +V.title +p1664 +tp1665 +a(g324 +V| +tp1666 +a(g46 +Ve +tp1667 +a(g169 +V +tp1668 +a(g25 +V}} +p1669 +tp1670 +a(g54 +V +tp1671 +a(g54 +V +p1672 +tp1673 +a(g169 +V\u000a +tp1674 +a(g169 +V +p1675 +tp1676 +a(g169 +V +p1677 +tp1678 +a(g25 +V{% +p1679 +tp1680 +a(g169 +V +tp1681 +a(g136 +Vif +p1682 +tp1683 +a(g169 +V +tp1684 +a(g98 +Vitem +p1685 +tp1686 +a(g98 +V.children +p1687 +tp1688 +a(g169 +V +tp1689 +a(g25 +V%} +p1690 +tp1691 +a(g169 +V +tp1692 +a(g54 +V
        +tp1695 +a(g25 +V{{ +p1696 +tp1697 +a(g169 +V +tp1698 +a(g82 +Vloop +p1699 +tp1700 +a(g324 +V( +tp1701 +a(g98 +Vitem +p1702 +tp1703 +a(g98 +V.children +p1704 +tp1705 +a(g324 +V) +tp1706 +a(g169 +V +tp1707 +a(g25 +V}} +p1708 +tp1709 +a(g54 +V +tp1710 +a(g54 +V
      +p1711 +tp1712 +a(g25 +V{% +p1713 +tp1714 +a(g169 +V +tp1715 +a(g136 +Vendif +p1716 +tp1717 +a(g169 +V +tp1718 +a(g25 +V%} +p1719 +tp1720 +a(g54 +V +tp1721 +a(g54 +V
    • +p1722 +tp1723 +a(g169 +V\u000a +tp1724 +a(g169 +V +p1725 +tp1726 +a(g169 +V +tp1727 +a(g25 +V{% +p1728 +tp1729 +a(g169 +V +tp1730 +a(g136 +Vendfor +p1731 +tp1732 +a(g169 +V +tp1733 +a(g25 +V%} +p1734 +tp1735 +a(g169 +V\u000a +tp1736 +a(g169 +V +p1737 +tp1738 +a(g169 +V +tp1739 +a(g54 +V
    +p1740 +tp1741 +a(g169 +V\u000a\u000a +p1742 +tp1743 +a(g169 +VWhat happens here? Basically the first thing that is different to a normal +p1744 +tp1745 +a(g169 +V\u000a +tp1746 +a(g169 +Vloop is the additional +p1747 +tp1748 +a(g206 +V`` +p1749 +tp1750 +a(g206 +Vrecursive +p1751 +tp1752 +a(g206 +V`` +p1753 +tp1754 +a(g169 +V modifier in the +p1755 +tp1756 +a(g98 +V`for` +p1757 +tp1758 +a(g169 +V-loop declaration. +p1759 +tp1760 +a(g169 +V\u000a +tp1761 +a(g169 +VIt tells the template engine that we want recursion. If recursion is enabled +p1762 +tp1763 +a(g169 +V\u000a +tp1764 +a(g169 +Vthe special +p1765 +tp1766 +a(g98 +V`loop` +p1767 +tp1768 +a(g169 +V variable is callable. If you call it with a sequence it will +p1769 +tp1770 +a(g169 +V\u000a +tp1771 +a(g169 +Vautomatically render the loop at that position with the new sequence as argument. +p1772 +tp1773 +a(g169 +V\u000a +tp1774 +a(g169 +V\u000a +tp1775 +a(g7 +VCycling +p1776 +tp1777 +a(g169 +V\u000a +tp1778 +a(g7 +V======= +p1779 +tp1780 +a(g169 +V\u000a +tp1781 +a(g169 +V\u000a +tp1782 +a(g169 +VSometimes you might want to have different text snippets for each row in a list, +p1783 +tp1784 +a(g169 +V\u000a +tp1785 +a(g169 +Vfor example to have alternating row colors. You can easily do this by using the +p1786 +tp1787 +a(g169 +V\u000a +tp1788 +a(g206 +V`` +p1789 +tp1790 +a(g206 +V{% cycle %} +p1791 +tp1792 +a(g206 +V`` +p1793 +tp1794 +a(g169 +V tag +p1795 +tp1796 +a(g169 +V: +tp1797 +a(g169 +V\u000a +tp1798 +a(g169 +V\u000a +tp1799 +a(g182 +V.. +p1800 +tp1801 +a(g169 +V +tp1802 +a(g328 +Vsourcecode +p1803 +tp1804 +a(g182 +V:: +p1805 +tp1806 +a(g169 +V +tp1807 +a(g136 +Vhtml+jinja +p1808 +tp1809 +a(g169 +V\u000a\u000a +p1810 +tp1811 +a(g54 +V +tp1812 +a(g169 +V +p1813 +tp1814 +a(g54 +V
      +tp1822 +a(g169 +V\u000a +tp1823 +a(g169 +V +p1824 +tp1825 +a(g169 +V +tp1826 +a(g25 +V{% +p1827 +tp1828 +a(g169 +V +tp1829 +a(g136 +Vfor +p1830 +tp1831 +a(g169 +V +tp1832 +a(g98 +Vmessage +p1833 +tp1834 +a(g169 +V +tp1835 +a(g136 +Vin +p1836 +tp1837 +a(g169 +V +tp1838 +a(g98 +Vmessages +p1839 +tp1840 +a(g169 +V +tp1841 +a(g25 +V%} +p1842 +tp1843 +a(g169 +V\u000a +tp1844 +a(g169 +V +p1845 +tp1846 +a(g169 +V +p1847 +tp1848 +a(g54 +V
    • +tp1871 +a(g25 +V{{ +p1872 +tp1873 +a(g169 +V +tp1874 +a(g98 +Vmessage +p1875 +tp1876 +a(g324 +V| +tp1877 +a(g46 +Ve +tp1878 +a(g169 +V +tp1879 +a(g25 +V}} +p1880 +tp1881 +a(g54 +V +tp1882 +a(g54 +V
    • +p1883 +tp1884 +a(g169 +V\u000a +tp1885 +a(g169 +V +p1886 +tp1887 +a(g169 +V +tp1888 +a(g25 +V{% +p1889 +tp1890 +a(g169 +V +tp1891 +a(g136 +Vendfor +p1892 +tp1893 +a(g169 +V +tp1894 +a(g25 +V%} +p1895 +tp1896 +a(g169 +V\u000a +tp1897 +a(g169 +V +p1898 +tp1899 +a(g169 +V +tp1900 +a(g54 +V
    +p1901 +tp1902 +a(g169 +V\u000a\u000a +p1903 +tp1904 +a(g169 +VEach time Jinja encounters a +p1905 +tp1906 +a(g98 +V`cycle` +p1907 +tp1908 +a(g169 +V tag it will cycle through the list +p1909 +tp1910 +a(g169 +V\u000a +tp1911 +a(g169 +Vof given items and return the next one. If you pass it one item jinja assumes +p1912 +tp1913 +a(g169 +V\u000a +tp1914 +a(g169 +Vthat this item is a sequence from the context and uses this +p1915 +tp1916 +a(g169 +V: +tp1917 +a(g169 +V\u000a +tp1918 +a(g169 +V\u000a +tp1919 +a(g182 +V.. +p1920 +tp1921 +a(g169 +V +tp1922 +a(g328 +Vsourcecode +p1923 +tp1924 +a(g182 +V:: +p1925 +tp1926 +a(g169 +V +tp1927 +a(g136 +Vhtml+jinja +p1928 +tp1929 +a(g169 +V\u000a\u000a +p1930 +tp1931 +a(g54 +V +tp1932 +a(g169 +V +p1933 +tp1934 +a(g54 +V
  • +tp1954 +a(g169 +V... +p1955 +tp1956 +a(g54 +V
  • +p1957 +tp1958 +a(g169 +V\u000a\u000a +p1959 +tp1960 +a(g7 +VConditions +p1961 +tp1962 +a(g169 +V\u000a +tp1963 +a(g7 +V========== +p1964 +tp1965 +a(g169 +V\u000a +tp1966 +a(g169 +V\u000a +tp1967 +a(g169 +VJinja supports Python-like +p1968 +tp1969 +a(g98 +V`if` +p1970 +tp1971 +a(g169 +V / +p1972 +tp1973 +a(g98 +V`elif` +p1974 +tp1975 +a(g169 +V / +p1976 +tp1977 +a(g98 +V`else` +p1978 +tp1979 +a(g169 +V constructs +p1980 +tp1981 +a(g169 +V: +tp1982 +a(g169 +V\u000a +tp1983 +a(g169 +V\u000a +tp1984 +a(g182 +V.. +p1985 +tp1986 +a(g169 +V +tp1987 +a(g328 +Vsourcecode +p1988 +tp1989 +a(g182 +V:: +p1990 +tp1991 +a(g169 +V +tp1992 +a(g136 +Vjinja +p1993 +tp1994 +a(g169 +V\u000a\u000a +p1995 +tp1996 +a(g25 +V +tp1997 +a(g169 +V +p1998 +tp1999 +a(g25 +V{% +p2000 +tp2001 +a(g169 +V +tp2002 +a(g136 +Vif +p2003 +tp2004 +a(g169 +V +tp2005 +a(g98 +Vuser +p2006 +tp2007 +a(g98 +V.active +p2008 +tp2009 +a(g169 +V +tp2010 +a(g25 +V%} +p2011 +tp2012 +a(g316 +V\u000a +tp2013 +a(g169 +V +p2014 +tp2015 +a(g316 +V user +p2016 +tp2017 +a(g25 +V{{ +p2018 +tp2019 +a(g169 +V +tp2020 +a(g98 +Vuser +p2021 +tp2022 +a(g98 +V.name +p2023 +tp2024 +a(g324 +V| +tp2025 +a(g46 +Ve +tp2026 +a(g169 +V +tp2027 +a(g25 +V}} +p2028 +tp2029 +a(g316 +V is active.\u000a +p2030 +tp2031 +a(g169 +V +p2032 +tp2033 +a(g316 +V +tp2034 +a(g25 +V{% +p2035 +tp2036 +a(g169 +V +tp2037 +a(g136 +Velif +p2038 +tp2039 +a(g169 +V +tp2040 +a(g98 +Vuser +p2041 +tp2042 +a(g98 +V.deleted +p2043 +tp2044 +a(g169 +V +tp2045 +a(g25 +V%} +p2046 +tp2047 +a(g316 +V\u000a +tp2048 +a(g169 +V +p2049 +tp2050 +a(g316 +V user +p2051 +tp2052 +a(g25 +V{{ +p2053 +tp2054 +a(g169 +V +tp2055 +a(g98 +Vuser +p2056 +tp2057 +a(g98 +V.name +p2058 +tp2059 +a(g324 +V| +tp2060 +a(g46 +Ve +tp2061 +a(g169 +V +tp2062 +a(g25 +V}} +p2063 +tp2064 +a(g316 +V was deleted some time ago.\u000a +p2065 +tp2066 +a(g169 +V +p2067 +tp2068 +a(g316 +V +tp2069 +a(g25 +V{% +p2070 +tp2071 +a(g169 +V +tp2072 +a(g136 +Velse +p2073 +tp2074 +a(g169 +V +tp2075 +a(g25 +V%} +p2076 +tp2077 +a(g316 +V\u000a +tp2078 +a(g169 +V +p2079 +tp2080 +a(g316 +V i don't know what's wrong with +p2081 +tp2082 +a(g25 +V{{ +p2083 +tp2084 +a(g169 +V +tp2085 +a(g98 +Vuser +p2086 +tp2087 +a(g98 +V.username +p2088 +tp2089 +a(g324 +V| +tp2090 +a(g46 +Ve +tp2091 +a(g169 +V +tp2092 +a(g25 +V}} +p2093 +tp2094 +a(g316 +V\u000a +tp2095 +a(g169 +V +p2096 +tp2097 +a(g316 +V +tp2098 +a(g25 +V{% +p2099 +tp2100 +a(g169 +V +tp2101 +a(g136 +Vendif +p2102 +tp2103 +a(g169 +V +tp2104 +a(g25 +V%} +p2105 +tp2106 +a(g316 +V\u000a\u000a +p2107 +tp2108 +a(g169 +VIf the user is active the first block is rendered. If not and the user was +p2109 +tp2110 +a(g169 +V\u000a +tp2111 +a(g169 +Vdeleted the second one, in all other cases the third one. +p2112 +tp2113 +a(g169 +V\u000a +tp2114 +a(g169 +V\u000a +tp2115 +a(g169 +VYou can also use comparison operators +p2116 +tp2117 +a(g169 +V: +tp2118 +a(g169 +V\u000a +tp2119 +a(g169 +V\u000a +tp2120 +a(g182 +V.. +p2121 +tp2122 +a(g169 +V +tp2123 +a(g328 +Vsourcecode +p2124 +tp2125 +a(g182 +V:: +p2126 +tp2127 +a(g169 +V +tp2128 +a(g136 +Vhtml+jinja +p2129 +tp2130 +a(g169 +V\u000a\u000a +p2131 +tp2132 +a(g169 +V +tp2133 +a(g169 +V +p2134 +tp2135 +a(g169 +V +tp2136 +a(g25 +V{% +p2137 +tp2138 +a(g169 +V +tp2139 +a(g136 +Vif +p2140 +tp2141 +a(g169 +V +tp2142 +a(g98 +Vamount +p2143 +tp2144 +a(g169 +V +tp2145 +a(g324 +V< +tp2146 +a(g169 +V +tp2147 +a(g193 +V0 +tp2148 +a(g169 +V +tp2149 +a(g25 +V%} +p2150 +tp2151 +a(g169 +V\u000a +tp2152 +a(g169 +V +p2153 +tp2154 +a(g169 +V +p2155 +tp2156 +a(g54 +V +tp2164 +a(g25 +V{{ +p2165 +tp2166 +a(g169 +V +tp2167 +a(g98 +Vamount +p2168 +tp2169 +a(g169 +V +tp2170 +a(g25 +V}} +p2171 +tp2172 +a(g54 +V +tp2173 +a(g54 +V +p2174 +tp2175 +a(g169 +V\u000a +tp2176 +a(g169 +V +p2177 +tp2178 +a(g169 +V +tp2179 +a(g25 +V{% +p2180 +tp2181 +a(g169 +V +tp2182 +a(g136 +Velse +p2183 +tp2184 +a(g169 +V +tp2185 +a(g25 +V%} +p2186 +tp2187 +a(g169 +V\u000a +tp2188 +a(g169 +V +p2189 +tp2190 +a(g169 +V +p2191 +tp2192 +a(g54 +V +tp2200 +a(g25 +V{{ +p2201 +tp2202 +a(g169 +V +tp2203 +a(g98 +Vamount +p2204 +tp2205 +a(g169 +V +tp2206 +a(g25 +V}} +p2207 +tp2208 +a(g54 +V +tp2209 +a(g54 +V +p2210 +tp2211 +a(g169 +V\u000a +tp2212 +a(g169 +V +p2213 +tp2214 +a(g169 +V +tp2215 +a(g25 +V{% +p2216 +tp2217 +a(g169 +V +tp2218 +a(g136 +Vendif +p2219 +tp2220 +a(g169 +V +tp2221 +a(g25 +V%} +p2222 +tp2223 +a(g169 +V\u000a\u000a +p2224 +tp2225 +a(g182 +V.. +p2226 +tp2227 +a(g169 +V +tp2228 +a(g328 +Vadmonition +p2229 +tp2230 +a(g182 +V:: +p2231 +tp2232 +a(g169 +V +tp2233 +a(g169 +VNote +p2234 +tp2235 +a(g169 +V\u000a +tp2236 +a(g169 +V\u000a +tp2237 +a(g169 +V Of course you can use +p2238 +tp2239 +a(g98 +V`or` +p2240 +tp2241 +a(g169 +V / +p2242 +tp2243 +a(g98 +V`and` +p2244 +tp2245 +a(g169 +V and parentheses to create more complex +p2246 +tp2247 +a(g169 +V\u000a +tp2248 +a(g169 +V conditions, but usually the logic is already handled in the application and +p2249 +tp2250 +a(g169 +V\u000a +tp2251 +a(g169 +V you don't have to create such complex constructs in the template code. However +p2252 +tp2253 +a(g169 +V\u000a +tp2254 +a(g169 +V in some situations it might be a good thing to have the abilities to create +p2255 +tp2256 +a(g169 +V\u000a +tp2257 +a(g169 +V them. +p2258 +tp2259 +a(g169 +V\u000a +tp2260 +a(g169 +V\u000a +tp2261 +a(g7 +VOperators +p2262 +tp2263 +a(g169 +V\u000a +tp2264 +a(g7 +V========= +p2265 +tp2266 +a(g169 +V\u000a +tp2267 +a(g169 +V\u000a +tp2268 +a(g169 +VInside +p2269 +tp2270 +a(g206 +V`` +p2271 +tp2272 +a(g206 +V{{ variable }} +p2273 +tp2274 +a(g206 +V`` +p2275 +tp2276 +a(g169 +V blocks, +p2277 +tp2278 +a(g98 +V`if` +p2279 +tp2280 +a(g169 +V conditions and many other parts you can +p2281 +tp2282 +a(g169 +V\u000a +tp2283 +a(g169 +Vcan use expressions. In expressions you can use any of the following operators +p2284 +tp2285 +a(g169 +V: +tp2286 +a(g169 +V\u000a +tp2287 +a(g169 +V\u000a +tp2288 +a(g169 +V ======= =================================================================== +p2289 +tp2290 +a(g169 +V\u000a +tp2291 +a(g169 +V +p2292 +tp2293 +a(g206 +V`` +p2294 +tp2295 +a(g206 +V+ +tp2296 +a(g206 +V`` +p2297 +tp2298 +a(g169 +V add the right operand to the left one. +p2299 +tp2300 +a(g169 +V\u000a +tp2301 +a(g169 +V +p2302 +tp2303 +a(g206 +V`` +p2304 +tp2305 +a(g206 +V{{ 1 + 2 }} +p2306 +tp2307 +a(g206 +V`` +p2308 +tp2309 +a(g169 +V would return +p2310 +tp2311 +a(g206 +V`` +p2312 +tp2313 +a(g206 +V3 +tp2314 +a(g206 +V`` +p2315 +tp2316 +a(g169 +V. +tp2317 +a(g169 +V\u000a +tp2318 +a(g169 +V +p2319 +tp2320 +a(g206 +V`` +p2321 +tp2322 +a(g206 +V- +tp2323 +a(g206 +V`` +p2324 +tp2325 +a(g169 +V subtract the right operand from the left one. +p2326 +tp2327 +a(g169 +V\u000a +tp2328 +a(g169 +V +p2329 +tp2330 +a(g206 +V`` +p2331 +tp2332 +a(g206 +V{{ 1 - 1 }} +p2333 +tp2334 +a(g206 +V`` +p2335 +tp2336 +a(g169 +V would return +p2337 +tp2338 +a(g206 +V`` +p2339 +tp2340 +a(g206 +V0 +tp2341 +a(g206 +V`` +p2342 +tp2343 +a(g169 +V. +tp2344 +a(g169 +V\u000a +tp2345 +a(g169 +V +p2346 +tp2347 +a(g206 +V`` +p2348 +tp2349 +a(g206 +V/ +tp2350 +a(g206 +V`` +p2351 +tp2352 +a(g169 +V divide the left operand by the right one. +p2353 +tp2354 +a(g169 +V\u000a +tp2355 +a(g169 +V +p2356 +tp2357 +a(g206 +V`` +p2358 +tp2359 +a(g206 +V{{ 1 / 2 }} +p2360 +tp2361 +a(g206 +V`` +p2362 +tp2363 +a(g169 +V would return +p2364 +tp2365 +a(g206 +V`` +p2366 +tp2367 +a(g206 +V0.5 +p2368 +tp2369 +a(g206 +V`` +p2370 +tp2371 +a(g169 +V. +tp2372 +a(g169 +V\u000a +tp2373 +a(g169 +V +p2374 +tp2375 +a(g206 +V`` +p2376 +tp2377 +a(g206 +V* +tp2378 +a(g206 +V`` +p2379 +tp2380 +a(g169 +V multiply the left operand with the right one. +p2381 +tp2382 +a(g169 +V\u000a +tp2383 +a(g169 +V +p2384 +tp2385 +a(g206 +V`` +p2386 +tp2387 +a(g206 +V{{ 2 * 2 }} +p2388 +tp2389 +a(g206 +V`` +p2390 +tp2391 +a(g169 +V would return +p2392 +tp2393 +a(g206 +V`` +p2394 +tp2395 +a(g206 +V4 +tp2396 +a(g206 +V`` +p2397 +tp2398 +a(g169 +V. +tp2399 +a(g169 +V\u000a +tp2400 +a(g169 +V +p2401 +tp2402 +a(g206 +V`` +p2403 +tp2404 +a(g206 +V** +p2405 +tp2406 +a(g206 +V`` +p2407 +tp2408 +a(g169 +V raise the left operand to the power of the right +p2409 +tp2410 +a(g169 +V\u000a +tp2411 +a(g169 +V operand. +p2412 +tp2413 +a(g206 +V`` +p2414 +tp2415 +a(g206 +V{{ 2**3 }} +p2416 +tp2417 +a(g206 +V`` +p2418 +tp2419 +a(g169 +V would return +p2420 +tp2421 +a(g206 +V`` +p2422 +tp2423 +a(g206 +V8 +tp2424 +a(g206 +V`` +p2425 +tp2426 +a(g169 +V. +tp2427 +a(g169 +V\u000a +tp2428 +a(g169 +V +p2429 +tp2430 +a(g206 +V`` +p2431 +tp2432 +a(g206 +Vin +p2433 +tp2434 +a(g206 +V`` +p2435 +tp2436 +a(g169 +V perform sequence membership test. +p2437 +tp2438 +a(g206 +V`` +p2439 +tp2440 +a(g206 +V{{ 1 in [1,2,3] }} +p2441 +tp2442 +a(g206 +V`` +p2443 +tp2444 +a(g169 +V would +p2445 +tp2446 +a(g169 +V\u000a +tp2447 +a(g169 +V return true. +p2448 +tp2449 +a(g169 +V\u000a +tp2450 +a(g169 +V +p2451 +tp2452 +a(g206 +V`` +p2453 +tp2454 +a(g206 +Vis +p2455 +tp2456 +a(g206 +V`` +p2457 +tp2458 +a(g169 +V perform a test on the value. See the section about +p2459 +tp2460 +a(g169 +V\u000a +tp2461 +a(g169 +V tests for more information. +p2462 +tp2463 +a(g169 +V\u000a +tp2464 +a(g169 +V +p2465 +tp2466 +a(g206 +V`` +p2467 +tp2468 +a(g206 +V| +tp2469 +a(g206 +V`` +p2470 +tp2471 +a(g169 +V apply a filter on the value. See the section about +p2472 +tp2473 +a(g169 +V\u000a +tp2474 +a(g169 +V filters for more information. +p2475 +tp2476 +a(g169 +V\u000a +tp2477 +a(g169 +V +p2478 +tp2479 +a(g206 +V`` +p2480 +tp2481 +a(g206 +Vand +p2482 +tp2483 +a(g206 +V`` +p2484 +tp2485 +a(g169 +V return true if the left and the right operand is true. +p2486 +tp2487 +a(g169 +V\u000a +tp2488 +a(g169 +V +p2489 +tp2490 +a(g206 +V`` +p2491 +tp2492 +a(g206 +Vor +p2493 +tp2494 +a(g206 +V`` +p2495 +tp2496 +a(g169 +V return true if the left or the right operand is true. +p2497 +tp2498 +a(g169 +V\u000a +tp2499 +a(g169 +V +p2500 +tp2501 +a(g206 +V`` +p2502 +tp2503 +a(g206 +Vnot +p2504 +tp2505 +a(g206 +V`` +p2506 +tp2507 +a(g169 +V negate a statement (see below) +p2508 +tp2509 +a(g169 +V\u000a +tp2510 +a(g169 +V +p2511 +tp2512 +a(g206 +V`` +p2513 +tp2514 +a(g206 +V() +p2515 +tp2516 +a(g206 +V`` +p2517 +tp2518 +a(g169 +V call a callable +p2519 +tp2520 +a(g169 +V: +tp2521 +a(g169 +V +tp2522 +a(g206 +V`` +p2523 +tp2524 +a(g206 +V{{ user.get_username() }} +p2525 +tp2526 +a(g206 +V`` +p2527 +tp2528 +a(g169 +V. Inside of the +p2529 +tp2530 +a(g169 +V\u000a +tp2531 +a(g169 +V parentheses you can use variables +p2532 +tp2533 +a(g169 +V: +tp2534 +a(g169 +V +tp2535 +a(g206 +V`` +p2536 +tp2537 +a(g206 +V{{ user.get(username) }} +p2538 +tp2539 +a(g206 +V`` +p2540 +tp2541 +a(g169 +V. +tp2542 +a(g169 +V\u000a +tp2543 +a(g169 +V ======= =================================================================== +p2544 +tp2545 +a(g169 +V\u000a +tp2546 +a(g169 +V\u000a +tp2547 +a(g169 +VNote that there is no support for any bit operations or something similar. +p2548 +tp2549 +a(g169 +V\u000a +tp2550 +a(g169 +V\u000a +tp2551 +a(g193 +V* +tp2552 +a(g169 +V special note regarding +p2553 +tp2554 +a(g98 +V`not` +p2555 +tp2556 +a(g169 +V: +tp2557 +a(g169 +V The +p2558 +tp2559 +a(g98 +V`is` +p2560 +tp2561 +a(g169 +V and +p2562 +tp2563 +a(g98 +V`in` +p2564 +tp2565 +a(g169 +V operators support negation +p2566 +tp2567 +a(g169 +V\u000a +tp2568 +a(g169 +V using an infix notation too +p2569 +tp2570 +a(g169 +V: +tp2571 +a(g169 +V +tp2572 +a(g206 +V`` +p2573 +tp2574 +a(g206 +Vfoo is not bar +p2575 +tp2576 +a(g206 +V`` +p2577 +tp2578 +a(g169 +V and +p2579 +tp2580 +a(g206 +V`` +p2581 +tp2582 +a(g206 +Vfoo not in bar +p2583 +tp2584 +a(g206 +V`` +p2585 +tp2586 +a(g169 +V\u000a +tp2587 +a(g169 +V instead of +p2588 +tp2589 +a(g206 +V`` +p2590 +tp2591 +a(g206 +Vnot foo is bar +p2592 +tp2593 +a(g206 +V`` +p2594 +tp2595 +a(g169 +V and +p2596 +tp2597 +a(g206 +V`` +p2598 +tp2599 +a(g206 +Vnot foo in bar +p2600 +tp2601 +a(g206 +V`` +p2602 +tp2603 +a(g169 +V. All other expressions +p2604 +tp2605 +a(g169 +V\u000a +tp2606 +a(g169 +V require a prefix notation +p2607 +tp2608 +a(g169 +V: +tp2609 +a(g169 +V +tp2610 +a(g206 +V`` +p2611 +tp2612 +a(g206 +Vnot (foo and bar) +p2613 +tp2614 +a(g206 +V`` +p2615 +tp2616 +a(g169 +V. +tp2617 +a(g169 +V\u000a +tp2618 +a(g169 +V\u000a +tp2619 +a(g7 +VBoolean Values +p2620 +tp2621 +a(g169 +V\u000a +tp2622 +a(g7 +V============== +p2623 +tp2624 +a(g169 +V\u000a +tp2625 +a(g169 +V\u000a +tp2626 +a(g169 +VIn If-Conditions Jinja performs a boolean check. All empty values (eg +p2627 +tp2628 +a(g169 +V: +tp2629 +a(g169 +V empty +p2630 +tp2631 +a(g169 +V\u000a +tp2632 +a(g169 +Vlists +p2633 +tp2634 +a(g206 +V`` +p2635 +tp2636 +a(g206 +V[] +p2637 +tp2638 +a(g206 +V`` +p2639 +tp2640 +a(g169 +V, empty dicts +p2641 +tp2642 +a(g206 +V`` +p2643 +tp2644 +a(g206 +V{} +p2645 +tp2646 +a(g206 +V`` +p2647 +tp2648 +a(g169 +V etc) evaluate to +p2649 +tp2650 +a(g98 +V`false` +p2651 +tp2652 +a(g169 +V. Numbers that are +p2653 +tp2654 +a(g169 +V\u000a +tp2655 +a(g169 +Vequal to +p2656 +tp2657 +a(g98 +V`0` +p2658 +tp2659 +a(g169 +V/ +tp2660 +a(g98 +V`0.00` +p2661 +tp2662 +a(g169 +V are considered +p2663 +tp2664 +a(g98 +V`false` +p2665 +tp2666 +a(g169 +V too. The boolean value of other +p2667 +tp2668 +a(g169 +V\u000a +tp2669 +a(g169 +Vobjects depends on the behavior the application developer gave it. Usually +p2670 +tp2671 +a(g169 +V\u000a +tp2672 +a(g169 +Vitems are +p2673 +tp2674 +a(g98 +V`true` +p2675 +tp2676 +a(g169 +V. +tp2677 +a(g169 +V\u000a +tp2678 +a(g169 +V\u000a +tp2679 +a(g169 +VHere some examples that should explain it +p2680 +tp2681 +a(g169 +V: +tp2682 +a(g169 +V\u000a +tp2683 +a(g169 +V\u000a +tp2684 +a(g182 +V.. +p2685 +tp2686 +a(g169 +V +tp2687 +a(g328 +Vsourcecode +p2688 +tp2689 +a(g182 +V:: +p2690 +tp2691 +a(g169 +V +tp2692 +a(g136 +Vjinja +p2693 +tp2694 +a(g169 +V\u000a\u000a +p2695 +tp2696 +a(g25 +V +tp2697 +a(g169 +V +p2698 +tp2699 +a(g25 +V{% +p2700 +tp2701 +a(g169 +V +tp2702 +a(g136 +Vif +p2703 +tp2704 +a(g169 +V +tp2705 +a(g324 +V[ +tp2706 +a(g324 +V] +tp2707 +a(g169 +V +tp2708 +a(g25 +V%} +p2709 +tp2710 +a(g316 +V\u000a +tp2711 +a(g169 +V +p2712 +tp2713 +a(g316 +V will always be false because it's an empty list\u000a\u000a +p2714 +tp2715 +a(g169 +V +p2716 +tp2717 +a(g316 +V +tp2718 +a(g25 +V{% +p2719 +tp2720 +a(g169 +V +tp2721 +a(g136 +Vif +p2722 +tp2723 +a(g169 +V +tp2724 +a(g324 +V{ +tp2725 +a(g324 +V} +tp2726 +a(g169 +V +tp2727 +a(g25 +V%} +p2728 +tp2729 +a(g316 +V\u000a +tp2730 +a(g169 +V +p2731 +tp2732 +a(g316 +V false too.\u000a\u000a +p2733 +tp2734 +a(g169 +V +p2735 +tp2736 +a(g316 +V +tp2737 +a(g25 +V{% +p2738 +tp2739 +a(g169 +V +tp2740 +a(g136 +Vif +p2741 +tp2742 +a(g169 +V +tp2743 +a(g324 +V[ +tp2744 +a(g251 +V'foo' +p2745 +tp2746 +a(g324 +V] +tp2747 +a(g169 +V +tp2748 +a(g25 +V%} +p2749 +tp2750 +a(g316 +V\u000a +tp2751 +a(g169 +V +p2752 +tp2753 +a(g316 +V this is true. Because the list is not empty.\u000a\u000a +p2754 +tp2755 +a(g169 +V +p2756 +tp2757 +a(g316 +V +tp2758 +a(g25 +V{% +p2759 +tp2760 +a(g169 +V +tp2761 +a(g136 +Vif +p2762 +tp2763 +a(g169 +V +tp2764 +a(g225 +V"foobar" +p2765 +tp2766 +a(g169 +V +tp2767 +a(g25 +V%} +p2768 +tp2769 +a(g316 +V\u000a +tp2770 +a(g169 +V +p2771 +tp2772 +a(g316 +V this is also true because the string is not empty.\u000a\u000a +p2773 +tp2774 +a(g7 +VSlicing +p2775 +tp2776 +a(g169 +V\u000a +tp2777 +a(g7 +V======= +p2778 +tp2779 +a(g169 +V\u000a +tp2780 +a(g169 +V\u000a +tp2781 +a(g169 +VSome objects support slicing operations. For example lists +p2782 +tp2783 +a(g169 +V: +tp2784 +a(g169 +V\u000a +tp2785 +a(g169 +V\u000a +tp2786 +a(g182 +V.. +p2787 +tp2788 +a(g169 +V +tp2789 +a(g328 +Vsourcecode +p2790 +tp2791 +a(g182 +V:: +p2792 +tp2793 +a(g169 +V +tp2794 +a(g136 +Vjinja +p2795 +tp2796 +a(g169 +V\u000a\u000a +p2797 +tp2798 +a(g25 +V +tp2799 +a(g169 +V +p2800 +tp2801 +a(g25 +V{% +p2802 +tp2803 +a(g169 +V +tp2804 +a(g136 +Vfor +p2805 +tp2806 +a(g169 +V +tp2807 +a(g98 +Vitem +p2808 +tp2809 +a(g169 +V +tp2810 +a(g136 +Vin +p2811 +tp2812 +a(g169 +V +tp2813 +a(g98 +Vitems +p2814 +tp2815 +a(g324 +V[ +tp2816 +a(g324 +V: +tp2817 +a(g193 +V5 +tp2818 +a(g324 +V] +tp2819 +a(g169 +V +tp2820 +a(g25 +V%} +p2821 +tp2822 +a(g316 +V\u000a +tp2823 +a(g169 +V +p2824 +tp2825 +a(g316 +V This will only iterate over the first 5 items of the list\u000a\u000a +p2826 +tp2827 +a(g169 +V +p2828 +tp2829 +a(g316 +V +tp2830 +a(g25 +V{% +p2831 +tp2832 +a(g169 +V +tp2833 +a(g136 +Vfor +p2834 +tp2835 +a(g169 +V +tp2836 +a(g98 +Vitem +p2837 +tp2838 +a(g169 +V +tp2839 +a(g136 +Vin +p2840 +tp2841 +a(g169 +V +tp2842 +a(g98 +Vitems +p2843 +tp2844 +a(g324 +V[ +tp2845 +a(g193 +V5 +tp2846 +a(g324 +V: +tp2847 +a(g193 +V1 +tp2848 +a(g193 +V0 +tp2849 +a(g324 +V] +tp2850 +a(g169 +V +tp2851 +a(g25 +V%} +p2852 +tp2853 +a(g316 +V\u000a +tp2854 +a(g169 +V +p2855 +tp2856 +a(g316 +V This will only iterate from item 5 to 10.\u000a\u000a +p2857 +tp2858 +a(g169 +V +p2859 +tp2860 +a(g316 +V +tp2861 +a(g25 +V{% +p2862 +tp2863 +a(g169 +V +tp2864 +a(g136 +Vfor +p2865 +tp2866 +a(g169 +V +tp2867 +a(g98 +Vitem +p2868 +tp2869 +a(g169 +V +tp2870 +a(g136 +Vin +p2871 +tp2872 +a(g169 +V +tp2873 +a(g98 +Vitems +p2874 +tp2875 +a(g324 +V[ +tp2876 +a(g324 +V: +tp2877 +a(g193 +V1 +tp2878 +a(g193 +V0 +tp2879 +a(g324 +V: +tp2880 +a(g193 +V2 +tp2881 +a(g324 +V] +tp2882 +a(g169 +V +tp2883 +a(g25 +V%} +p2884 +tp2885 +a(g316 +V\u000a +tp2886 +a(g169 +V +p2887 +tp2888 +a(g316 +V This will only yield items from start to ten and only returing\u000a +p2889 +tp2890 +a(g169 +V +p2891 +tp2892 +a(g316 +V even items.\u000a\u000a +p2893 +tp2894 +a(g169 +VFor more informations about slicing have a look at the +p2895 +tp2896 +a(g206 +V`slicing chapter`_ +p2897 +tp2898 +a(g169 +V\u000a +tp2899 +a(g169 +Vin the "Dive into Python" e-book. +p2900 +tp2901 +a(g169 +V\u000a +tp2902 +a(g169 +V\u000a +tp2903 +a(g7 +VMacros +p2904 +tp2905 +a(g169 +V\u000a +tp2906 +a(g7 +V====== +p2907 +tp2908 +a(g169 +V\u000a +tp2909 +a(g169 +V\u000a +tp2910 +a(g169 +VIf you want to use a partial template in more than one place, you might want to +p2911 +tp2912 +a(g169 +V\u000a +tp2913 +a(g169 +Vcreate a macro from it +p2914 +tp2915 +a(g169 +V: +tp2916 +a(g169 +V\u000a +tp2917 +a(g169 +V\u000a +tp2918 +a(g182 +V.. +p2919 +tp2920 +a(g169 +V +tp2921 +a(g328 +Vsourcecode +p2922 +tp2923 +a(g182 +V:: +p2924 +tp2925 +a(g169 +V +tp2926 +a(g136 +Vhtml+jinja +p2927 +tp2928 +a(g169 +V\u000a\u000a +p2929 +tp2930 +a(g169 +V +tp2931 +a(g169 +V +p2932 +tp2933 +a(g169 +V +tp2934 +a(g25 +V{% +p2935 +tp2936 +a(g169 +V +tp2937 +a(g136 +Vmacro +p2938 +tp2939 +a(g169 +V +tp2940 +a(g98 +Vshow_user +p2941 +tp2942 +a(g169 +V +tp2943 +a(g98 +Vuser +p2944 +tp2945 +a(g169 +V +tp2946 +a(g25 +V%} +p2947 +tp2948 +a(g169 +V\u000a +tp2949 +a(g169 +V +p2950 +tp2951 +a(g169 +V +p2952 +tp2953 +a(g54 +V

    +tp2956 +a(g25 +V{{ +p2957 +tp2958 +a(g169 +V +tp2959 +a(g98 +Vuser +p2960 +tp2961 +a(g98 +V.name +p2962 +tp2963 +a(g324 +V| +tp2964 +a(g46 +Ve +tp2965 +a(g169 +V +tp2966 +a(g25 +V}} +p2967 +tp2968 +a(g54 +V +tp2969 +a(g54 +V

    +p2970 +tp2971 +a(g169 +V\u000a +tp2972 +a(g169 +V +p2973 +tp2974 +a(g169 +V +p2975 +tp2976 +a(g54 +V
    +tp2984 +a(g169 +V\u000a +tp2985 +a(g169 +V +p2986 +tp2987 +a(g169 +V +p2988 +tp2989 +a(g25 +V{{ +p2990 +tp2991 +a(g169 +V +tp2992 +a(g98 +Vuser +p2993 +tp2994 +a(g98 +V.description +p2995 +tp2996 +a(g169 +V +tp2997 +a(g25 +V}} +p2998 +tp2999 +a(g169 +V\u000a +tp3000 +a(g169 +V +p3001 +tp3002 +a(g169 +V +p3003 +tp3004 +a(g54 +V
    +p3005 +tp3006 +a(g169 +V\u000a +tp3007 +a(g169 +V +p3008 +tp3009 +a(g169 +V +tp3010 +a(g25 +V{% +p3011 +tp3012 +a(g169 +V +tp3013 +a(g136 +Vendmacro +p3014 +tp3015 +a(g169 +V +tp3016 +a(g25 +V%} +p3017 +tp3018 +a(g169 +V\u000a\u000a +p3019 +tp3020 +a(g169 +VNow you can use it from everywhere in the code by passing it an item +p3021 +tp3022 +a(g169 +V: +tp3023 +a(g169 +V\u000a +tp3024 +a(g169 +V\u000a +tp3025 +a(g182 +V.. +p3026 +tp3027 +a(g169 +V +tp3028 +a(g328 +Vsourcecode +p3029 +tp3030 +a(g182 +V:: +p3031 +tp3032 +a(g169 +V +tp3033 +a(g169 +Vjinja +p3034 +tp3035 +a(g169 +V\u000a +tp3036 +a(g169 +V +p3037 +tp3038 +a(g169 +V\u000a +tp3039 +a(g169 +V {% for user in users %} +p3040 +tp3041 +a(g169 +V\u000a +tp3042 +a(g169 +V {{ show_user(user) }} +p3043 +tp3044 +a(g169 +V\u000a +tp3045 +a(g169 +V {% endfor %} +p3046 +tp3047 +a(g169 +V\u000a +tp3048 +a(g169 +V\u000a +tp3049 +a(g169 +VYou can also specify more than one value +p3050 +tp3051 +a(g169 +V: +tp3052 +a(g169 +V\u000a +tp3053 +a(g169 +V\u000a +tp3054 +a(g182 +V.. +p3055 +tp3056 +a(g169 +V +tp3057 +a(g328 +Vsourcecode +p3058 +tp3059 +a(g182 +V:: +p3060 +tp3061 +a(g169 +V +tp3062 +a(g136 +Vhtml+jinja +p3063 +tp3064 +a(g169 +V\u000a\u000a +p3065 +tp3066 +a(g169 +V +tp3067 +a(g169 +V +p3068 +tp3069 +a(g169 +V +tp3070 +a(g25 +V{% +p3071 +tp3072 +a(g169 +V +tp3073 +a(g136 +Vmacro +p3074 +tp3075 +a(g169 +V +tp3076 +a(g98 +Vshow_dialog +p3077 +tp3078 +a(g169 +V +tp3079 +a(g98 +Vtitle +p3080 +tp3081 +a(g324 +V, +tp3082 +a(g169 +V +tp3083 +a(g98 +Vtext +p3084 +tp3085 +a(g169 +V +tp3086 +a(g25 +V%} +p3087 +tp3088 +a(g169 +V\u000a +tp3089 +a(g169 +V +p3090 +tp3091 +a(g169 +V +p3092 +tp3093 +a(g54 +V
    +tp3101 +a(g169 +V\u000a +tp3102 +a(g169 +V +p3103 +tp3104 +a(g169 +V +p3105 +tp3106 +a(g54 +V

    +tp3109 +a(g25 +V{{ +p3110 +tp3111 +a(g169 +V +tp3112 +a(g98 +Vtitle +p3113 +tp3114 +a(g324 +V| +tp3115 +a(g46 +Ve +tp3116 +a(g169 +V +tp3117 +a(g25 +V}} +p3118 +tp3119 +a(g54 +V +tp3120 +a(g54 +V

    +p3121 +tp3122 +a(g169 +V\u000a +tp3123 +a(g169 +V +p3124 +tp3125 +a(g169 +V +p3126 +tp3127 +a(g54 +V
    +tp3135 +a(g25 +V{{ +p3136 +tp3137 +a(g169 +V +tp3138 +a(g98 +Vtext +p3139 +tp3140 +a(g324 +V| +tp3141 +a(g46 +Ve +tp3142 +a(g169 +V +tp3143 +a(g25 +V}} +p3144 +tp3145 +a(g54 +V +tp3146 +a(g54 +V
    +p3147 +tp3148 +a(g169 +V\u000a +tp3149 +a(g169 +V +p3150 +tp3151 +a(g169 +V +p3152 +tp3153 +a(g54 +V
    +p3154 +tp3155 +a(g169 +V\u000a +tp3156 +a(g169 +V +p3157 +tp3158 +a(g169 +V +tp3159 +a(g25 +V{% +p3160 +tp3161 +a(g169 +V +tp3162 +a(g136 +Vendmacro +p3163 +tp3164 +a(g169 +V +tp3165 +a(g25 +V%} +p3166 +tp3167 +a(g169 +V\u000a\u000a +p3168 +tp3169 +a(g169 +V +p3170 +tp3171 +a(g169 +V +tp3172 +a(g25 +V{{ +p3173 +tp3174 +a(g169 +V +tp3175 +a(g98 +Vshow_dialog +p3176 +tp3177 +a(g324 +V( +tp3178 +a(g251 +V'Warning' +p3179 +tp3180 +a(g324 +V, +tp3181 +a(g169 +V +tp3182 +a(g251 +V'something went wrong i guess' +p3183 +tp3184 +a(g324 +V) +tp3185 +a(g169 +V +tp3186 +a(g25 +V}} +p3187 +tp3188 +a(g169 +V\u000a\u000a +p3189 +tp3190 +a(g7 +VInheritance +p3191 +tp3192 +a(g169 +V\u000a +tp3193 +a(g7 +V=========== +p3194 +tp3195 +a(g169 +V\u000a +tp3196 +a(g169 +V\u000a +tp3197 +a(g169 +VThe most powerful part of Jinja is template inheritance. Template inheritance +p3198 +tp3199 +a(g169 +V\u000a +tp3200 +a(g169 +Vallows you to build a base "skeleton" template that contains all the common +p3201 +tp3202 +a(g169 +V\u000a +tp3203 +a(g169 +Velements of your site and defines +p3204 +tp3205 +a(g363 +V**blocks** +p3206 +tp3207 +a(g169 +V that child templates can override. +p3208 +tp3209 +a(g169 +V\u000a +tp3210 +a(g169 +V\u000a +tp3211 +a(g169 +VSounds complicated but is very basic. It's easiest to understand it by starting +p3212 +tp3213 +a(g169 +V\u000a +tp3214 +a(g169 +Vwith an example. +p3215 +tp3216 +a(g169 +V\u000a +tp3217 +a(g169 +V\u000a +tp3218 +a(g7 +VBase Template +p3219 +tp3220 +a(g169 +V\u000a +tp3221 +a(g7 +V------------- +p3222 +tp3223 +a(g169 +V\u000a +tp3224 +a(g169 +V\u000a +tp3225 +a(g169 +VThis template, which we'll call +p3226 +tp3227 +a(g206 +V`` +p3228 +tp3229 +a(g206 +Vbase.html +p3230 +tp3231 +a(g206 +V`` +p3232 +tp3233 +a(g169 +V, defines a simple HTML skeleton +p3234 +tp3235 +a(g169 +V\u000a +tp3236 +a(g169 +Vdocument that you might use for a simple two-column page. It's the job of +p3237 +tp3238 +a(g169 +V\u000a +tp3239 +a(g169 +V"child" templates to fill the empty blocks with content +p3240 +tp3241 +a(g169 +V: +tp3242 +a(g169 +V\u000a +tp3243 +a(g169 +V\u000a +tp3244 +a(g182 +V.. +p3245 +tp3246 +a(g169 +V +tp3247 +a(g328 +Vsourcecode +p3248 +tp3249 +a(g182 +V:: +p3250 +tp3251 +a(g169 +V +tp3252 +a(g136 +Vhtml+jinja +p3253 +tp3254 +a(g169 +V\u000a\u000a +p3255 +tp3256 +a(g25 +V +tp3257 +a(g169 +V +p3258 +tp3259 +a(g25 +V +p3264 +tp3265 +a(g169 +V\u000a +tp3266 +a(g169 +V +p3267 +tp3268 +a(g169 +V +tp3269 +a(g54 +V +tp3277 +a(g169 +V\u000a +tp3278 +a(g169 +V +p3279 +tp3280 +a(g169 +V +tp3281 +a(g54 +V +tp3284 +a(g169 +V\u000a +tp3285 +a(g169 +V +p3286 +tp3287 +a(g169 +V +p3288 +tp3289 +a(g54 +V +p3303 +tp3304 +a(g169 +V\u000a +tp3305 +a(g169 +V +p3306 +tp3307 +a(g169 +V +p3308 +tp3309 +a(g54 +V +tp3312 +a(g25 +V{% +p3313 +tp3314 +a(g169 +V +tp3315 +a(g136 +Vblock +p3316 +tp3317 +a(g169 +V +tp3318 +a(g98 +Vtitle +p3319 +tp3320 +a(g169 +V +tp3321 +a(g25 +V%} +p3322 +tp3323 +a(g25 +V{% +p3324 +tp3325 +a(g169 +V +tp3326 +a(g136 +Vendblock +p3327 +tp3328 +a(g169 +V +tp3329 +a(g25 +V%} +p3330 +tp3331 +a(g54 +V +tp3332 +a(g169 +V - My Webpage +p3333 +tp3334 +a(g54 +V +p3335 +tp3336 +a(g169 +V\u000a +tp3337 +a(g169 +V +p3338 +tp3339 +a(g169 +V +p3340 +tp3341 +a(g25 +V{% +p3342 +tp3343 +a(g169 +V +tp3344 +a(g136 +Vblock +p3345 +tp3346 +a(g169 +V +tp3347 +a(g98 +Vhtml_head +p3348 +tp3349 +a(g169 +V +tp3350 +a(g25 +V%} +p3351 +tp3352 +a(g25 +V{% +p3353 +tp3354 +a(g169 +V +tp3355 +a(g136 +Vendblock +p3356 +tp3357 +a(g169 +V +tp3358 +a(g25 +V%} +p3359 +tp3360 +a(g169 +V\u000a +tp3361 +a(g169 +V +p3362 +tp3363 +a(g169 +V +tp3364 +a(g54 +V +p3365 +tp3366 +a(g169 +V\u000a +tp3367 +a(g169 +V +p3368 +tp3369 +a(g169 +V +tp3370 +a(g54 +V +tp3373 +a(g169 +V\u000a +tp3374 +a(g169 +V +p3375 +tp3376 +a(g169 +V +p3377 +tp3378 +a(g54 +V
    +tp3386 +a(g169 +V\u000a +tp3387 +a(g169 +V +p3388 +tp3389 +a(g169 +V +p3390 +tp3391 +a(g25 +V{% +p3392 +tp3393 +a(g169 +V +tp3394 +a(g136 +Vblock +p3395 +tp3396 +a(g169 +V +tp3397 +a(g98 +Vcontent +p3398 +tp3399 +a(g169 +V +tp3400 +a(g25 +V%} +p3401 +tp3402 +a(g25 +V{% +p3403 +tp3404 +a(g169 +V +tp3405 +a(g136 +Vendblock +p3406 +tp3407 +a(g169 +V +tp3408 +a(g25 +V%} +p3409 +tp3410 +a(g169 +V\u000a +tp3411 +a(g169 +V +p3412 +tp3413 +a(g169 +V +p3414 +tp3415 +a(g54 +V
    +p3416 +tp3417 +a(g169 +V\u000a\u000a +p3418 +tp3419 +a(g169 +V +p3420 +tp3421 +a(g169 +V +p3422 +tp3423 +a(g54 +V
    +tp3431 +a(g169 +V\u000a +tp3432 +a(g169 +V +p3433 +tp3434 +a(g169 +V +p3435 +tp3436 +a(g25 +V{% +p3437 +tp3438 +a(g169 +V +tp3439 +a(g136 +Vblock +p3440 +tp3441 +a(g169 +V +tp3442 +a(g98 +Vfooter +p3443 +tp3444 +a(g169 +V +tp3445 +a(g25 +V%} +p3446 +tp3447 +a(g169 +V\u000a +tp3448 +a(g169 +V +p3449 +tp3450 +a(g169 +V +p3451 +tp3452 +a(g78 +V© +p3453 +tp3454 +a(g169 +V Copyright 2006 by +p3455 +tp3456 +a(g54 +V +tp3464 +a(g169 +Vmyself +p3465 +tp3466 +a(g54 +V +p3467 +tp3468 +a(g169 +V.\u000a +p3469 +tp3470 +a(g169 +V +p3471 +tp3472 +a(g169 +V +p3473 +tp3474 +a(g25 +V{% +p3475 +tp3476 +a(g169 +V +tp3477 +a(g136 +Vendblock +p3478 +tp3479 +a(g169 +V +tp3480 +a(g25 +V%} +p3481 +tp3482 +a(g169 +V\u000a +tp3483 +a(g169 +V +p3484 +tp3485 +a(g169 +V +p3486 +tp3487 +a(g54 +V
    +p3488 +tp3489 +a(g169 +V\u000a +tp3490 +a(g169 +V +p3491 +tp3492 +a(g169 +V +tp3493 +a(g54 +V +p3494 +tp3495 +a(g169 +V\u000a\u000a +p3496 +tp3497 +a(g169 +VIn this example, the +p3498 +tp3499 +a(g206 +V`` +p3500 +tp3501 +a(g206 +V{% block %} +p3502 +tp3503 +a(g206 +V`` +p3504 +tp3505 +a(g169 +V tags define four blocks that child templates +p3506 +tp3507 +a(g169 +V\u000a +tp3508 +a(g169 +Vcan fill in. All the +p3509 +tp3510 +a(g98 +V`block` +p3511 +tp3512 +a(g169 +V tag does is to tell the template engine that a +p3513 +tp3514 +a(g169 +V\u000a +tp3515 +a(g169 +Vchild template may override those portions of the template. +p3516 +tp3517 +a(g169 +V\u000a +tp3518 +a(g169 +V\u000a +tp3519 +a(g7 +VChild Template +p3520 +tp3521 +a(g169 +V\u000a +tp3522 +a(g7 +V-------------- +p3523 +tp3524 +a(g169 +V\u000a +tp3525 +a(g169 +V\u000a +tp3526 +a(g169 +VA child template might look like this +p3527 +tp3528 +a(g169 +V: +tp3529 +a(g169 +V\u000a +tp3530 +a(g169 +V\u000a +tp3531 +a(g182 +V.. +p3532 +tp3533 +a(g169 +V +tp3534 +a(g328 +Vsourcecode +p3535 +tp3536 +a(g182 +V:: +p3537 +tp3538 +a(g169 +V +tp3539 +a(g136 +Vhtml+jinja +p3540 +tp3541 +a(g169 +V\u000a\u000a +p3542 +tp3543 +a(g169 +V +tp3544 +a(g169 +V +p3545 +tp3546 +a(g169 +V +tp3547 +a(g25 +V{% +p3548 +tp3549 +a(g169 +V +tp3550 +a(g136 +Vextends +p3551 +tp3552 +a(g169 +V +tp3553 +a(g225 +V"base.html" +p3554 +tp3555 +a(g169 +V +tp3556 +a(g25 +V%} +p3557 +tp3558 +a(g169 +V\u000a +tp3559 +a(g169 +V +p3560 +tp3561 +a(g169 +V +tp3562 +a(g25 +V{% +p3563 +tp3564 +a(g169 +V +tp3565 +a(g136 +Vblock +p3566 +tp3567 +a(g169 +V +tp3568 +a(g98 +Vtitle +p3569 +tp3570 +a(g169 +V +tp3571 +a(g25 +V%} +p3572 +tp3573 +a(g169 +VIndex +p3574 +tp3575 +a(g25 +V{% +p3576 +tp3577 +a(g169 +V +tp3578 +a(g136 +Vendblock +p3579 +tp3580 +a(g169 +V +tp3581 +a(g25 +V%} +p3582 +tp3583 +a(g169 +V\u000a\u000a +p3584 +tp3585 +a(g169 +V +p3586 +tp3587 +a(g169 +V +tp3588 +a(g25 +V{% +p3589 +tp3590 +a(g169 +V +tp3591 +a(g136 +Vblock +p3592 +tp3593 +a(g169 +V +tp3594 +a(g98 +Vhtml_head +p3595 +tp3596 +a(g169 +V +tp3597 +a(g25 +V%} +p3598 +tp3599 +a(g169 +V\u000a +tp3600 +a(g169 +V +p3601 +tp3602 +a(g169 +V +p3603 +tp3604 +a(g54 +V +p3644 +tp3645 +a(g169 +V\u000a +tp3646 +a(g169 +V +p3647 +tp3648 +a(g169 +V +tp3649 +a(g25 +V{% +p3650 +tp3651 +a(g169 +V +tp3652 +a(g136 +Vendblock +p3653 +tp3654 +a(g169 +V +tp3655 +a(g25 +V%} +p3656 +tp3657 +a(g169 +V\u000a +tp3658 +a(g169 +V +p3659 +tp3660 +a(g169 +V\u000a +tp3661 +a(g169 +V +p3662 +tp3663 +a(g169 +V +tp3664 +a(g25 +V{% +p3665 +tp3666 +a(g169 +V +tp3667 +a(g136 +Vblock +p3668 +tp3669 +a(g169 +V +tp3670 +a(g98 +Vcontent +p3671 +tp3672 +a(g169 +V +tp3673 +a(g25 +V%} +p3674 +tp3675 +a(g169 +V\u000a +tp3676 +a(g169 +V +p3677 +tp3678 +a(g169 +V +p3679 +tp3680 +a(g54 +V

    +tp3683 +a(g169 +VIndex +p3684 +tp3685 +a(g54 +V

    +p3686 +tp3687 +a(g169 +V\u000a +tp3688 +a(g169 +V +p3689 +tp3690 +a(g169 +V +p3691 +tp3692 +a(g54 +V

    +tp3700 +a(g169 +V\u000a +tp3701 +a(g169 +V +p3702 +tp3703 +a(g169 +V Welcome on my awsome homepage.\u000a +p3704 +tp3705 +a(g169 +V +p3706 +tp3707 +a(g169 +V +p3708 +tp3709 +a(g54 +V

    +p3710 +tp3711 +a(g169 +V\u000a +tp3712 +a(g169 +V +p3713 +tp3714 +a(g169 +V +tp3715 +a(g25 +V{% +p3716 +tp3717 +a(g169 +V +tp3718 +a(g136 +Vendblock +p3719 +tp3720 +a(g169 +V +tp3721 +a(g25 +V%} +p3722 +tp3723 +a(g169 +V\u000a\u000a +p3724 +tp3725 +a(g169 +VThe +p3726 +tp3727 +a(g206 +V`` +p3728 +tp3729 +a(g206 +V{% extends %} +p3730 +tp3731 +a(g206 +V`` +p3732 +tp3733 +a(g169 +V tag is the key here. It tells the template engine that +p3734 +tp3735 +a(g169 +V\u000a +tp3736 +a(g169 +Vthis template "extends" another template. When the template system evaluates +p3737 +tp3738 +a(g169 +V\u000a +tp3739 +a(g169 +Vthis template, first it locates the parent. +p3740 +tp3741 +a(g169 +V\u000a +tp3742 +a(g169 +V\u000a +tp3743 +a(g169 +VThe filename of the template depends on the template loader. For example the +p3744 +tp3745 +a(g169 +V\u000a +tp3746 +a(g206 +V`` +p3747 +tp3748 +a(g206 +VFileSystemLoader +p3749 +tp3750 +a(g206 +V`` +p3751 +tp3752 +a(g169 +V allows you to access other templates by giving the +p3753 +tp3754 +a(g169 +V\u000a +tp3755 +a(g169 +Vfilename. You can access templates in subdirectories with an slash +p3756 +tp3757 +a(g169 +V: +tp3758 +a(g169 +V\u000a +tp3759 +a(g169 +V\u000a +tp3760 +a(g182 +V.. +p3761 +tp3762 +a(g169 +V +tp3763 +a(g328 +Vsourcecode +p3764 +tp3765 +a(g182 +V:: +p3766 +tp3767 +a(g169 +V +tp3768 +a(g136 +Vjinja +p3769 +tp3770 +a(g169 +V\u000a\u000a +p3771 +tp3772 +a(g25 +V +tp3773 +a(g169 +V +p3774 +tp3775 +a(g25 +V{% +p3776 +tp3777 +a(g169 +V +tp3778 +a(g136 +Vextends +p3779 +tp3780 +a(g169 +V +tp3781 +a(g225 +V"layout/default.html" +p3782 +tp3783 +a(g169 +V +tp3784 +a(g25 +V%} +p3785 +tp3786 +a(g316 +V\u000a\u000a +p3787 +tp3788 +a(g169 +VBut this behavior can depend on the application using Jinja. +p3789 +tp3790 +a(g169 +V\u000a +tp3791 +a(g169 +V\u000a +tp3792 +a(g169 +VNote that since the child template didn't define the +p3793 +tp3794 +a(g206 +V`` +p3795 +tp3796 +a(g206 +Vfooter +p3797 +tp3798 +a(g206 +V`` +p3799 +tp3800 +a(g169 +V block, the +p3801 +tp3802 +a(g169 +V\u000a +tp3803 +a(g169 +Vvalue from the parent template is used instead. +p3804 +tp3805 +a(g169 +V\u000a +tp3806 +a(g169 +V\u000a +tp3807 +a(g182 +V.. +p3808 +tp3809 +a(g169 +V +tp3810 +a(g328 +Vadmonition +p3811 +tp3812 +a(g182 +V:: +p3813 +tp3814 +a(g169 +V +tp3815 +a(g169 +VNote +p3816 +tp3817 +a(g169 +V\u000a +tp3818 +a(g169 +V\u000a +tp3819 +a(g169 +V You can't define multiple +p3820 +tp3821 +a(g206 +V`` +p3822 +tp3823 +a(g206 +V{% block %} +p3824 +tp3825 +a(g206 +V`` +p3826 +tp3827 +a(g169 +V tags with the same name in the +p3828 +tp3829 +a(g169 +V\u000a +tp3830 +a(g169 +V same template. This limitation exists because a block tag works in "both" +p3831 +tp3832 +a(g169 +V\u000a +tp3833 +a(g169 +V directions. That is, a block tag doesn't just provide a hole to fill - it +p3834 +tp3835 +a(g169 +V\u000a +tp3836 +a(g169 +V also defines the content that fills the hole in the +p3837 +tp3838 +a(g343 +V*parent* +p3839 +tp3840 +a(g169 +V. If there were +p3841 +tp3842 +a(g169 +V\u000a +tp3843 +a(g169 +V two similarly-named +p3844 +tp3845 +a(g206 +V`` +p3846 +tp3847 +a(g206 +V{% block %} +p3848 +tp3849 +a(g206 +V`` +p3850 +tp3851 +a(g169 +V tags in a template, that template's +p3852 +tp3853 +a(g169 +V\u000a +tp3854 +a(g169 +V parent wouldn't know which one of the blocks' content to use. +p3855 +tp3856 +a(g169 +V\u000a +tp3857 +a(g169 +V\u000a +tp3858 +a(g7 +VTemplate Inclusion +p3859 +tp3860 +a(g169 +V\u000a +tp3861 +a(g7 +V================== +p3862 +tp3863 +a(g169 +V\u000a +tp3864 +a(g169 +V\u000a +tp3865 +a(g169 +VYou can load another template at a given position using +p3866 +tp3867 +a(g206 +V`` +p3868 +tp3869 +a(g206 +V{% include %} +p3870 +tp3871 +a(g206 +V`` +p3872 +tp3873 +a(g169 +V. +tp3874 +a(g169 +V\u000a +tp3875 +a(g169 +VUsually it's a better idea to use inheritance but if you for example want to +p3876 +tp3877 +a(g169 +V\u000a +tp3878 +a(g169 +Vload macros, +p3879 +tp3880 +a(g98 +V`include` +p3881 +tp3882 +a(g169 +V works better than +p3883 +tp3884 +a(g98 +V`extends` +p3885 +tp3886 +a(g169 +V: +tp3887 +a(g169 +V\u000a +tp3888 +a(g169 +V\u000a +tp3889 +a(g182 +V.. +p3890 +tp3891 +a(g169 +V +tp3892 +a(g328 +Vsourcecode +p3893 +tp3894 +a(g182 +V:: +p3895 +tp3896 +a(g169 +V +tp3897 +a(g136 +Vjinja +p3898 +tp3899 +a(g169 +V\u000a\u000a +p3900 +tp3901 +a(g25 +V +tp3902 +a(g169 +V +p3903 +tp3904 +a(g25 +V{% +p3905 +tp3906 +a(g169 +V +tp3907 +a(g136 +Vinclude +p3908 +tp3909 +a(g169 +V +tp3910 +a(g225 +V"myhelpers.html" +p3911 +tp3912 +a(g169 +V +tp3913 +a(g25 +V%} +p3914 +tp3915 +a(g316 +V\u000a +tp3916 +a(g169 +V +p3917 +tp3918 +a(g316 +V +tp3919 +a(g25 +V{{ +p3920 +tp3921 +a(g169 +V +tp3922 +a(g98 +Vmy_helper +p3923 +tp3924 +a(g324 +V( +tp3925 +a(g225 +V"foo" +p3926 +tp3927 +a(g324 +V) +tp3928 +a(g169 +V +tp3929 +a(g25 +V}} +p3930 +tp3931 +a(g316 +V\u000a\u000a +p3932 +tp3933 +a(g169 +VIf you define a macro called +p3934 +tp3935 +a(g206 +V`` +p3936 +tp3937 +a(g206 +Vmy_helper +p3938 +tp3939 +a(g206 +V`` +p3940 +tp3941 +a(g169 +V in +p3942 +tp3943 +a(g206 +V`` +p3944 +tp3945 +a(g206 +Vmyhelpers.html +p3946 +tp3947 +a(g206 +V`` +p3948 +tp3949 +a(g169 +V, you can now +p3950 +tp3951 +a(g169 +V\u000a +tp3952 +a(g169 +Vuse it from the template as shown above. +p3953 +tp3954 +a(g169 +V\u000a +tp3955 +a(g169 +V\u000a +tp3956 +a(g7 +VFiltering Blocks +p3957 +tp3958 +a(g169 +V\u000a +tp3959 +a(g7 +V================ +p3960 +tp3961 +a(g169 +V\u000a +tp3962 +a(g169 +V\u000a +tp3963 +a(g169 +VSometimes it could be a good idea to filter a complete block of text. For +p3964 +tp3965 +a(g169 +V\u000a +tp3966 +a(g169 +Vexample, if you want to escape some html code +p3967 +tp3968 +a(g169 +V: +tp3969 +a(g169 +V\u000a +tp3970 +a(g169 +V\u000a +tp3971 +a(g182 +V.. +p3972 +tp3973 +a(g169 +V +tp3974 +a(g328 +Vsourcecode +p3975 +tp3976 +a(g182 +V:: +p3977 +tp3978 +a(g169 +V +tp3979 +a(g136 +Vjinja +p3980 +tp3981 +a(g169 +V\u000a\u000a +p3982 +tp3983 +a(g25 +V +tp3984 +a(g169 +V +p3985 +tp3986 +a(g25 +V{% +p3987 +tp3988 +a(g169 +V +tp3989 +a(g136 +Vfilter +p3990 +tp3991 +a(g169 +V +tp3992 +a(g46 +Vescape +p3993 +tp3994 +a(g169 +V +tp3995 +a(g25 +V%} +p3996 +tp3997 +a(g316 +V\u000a +tp3998 +a(g169 +V +p3999 +tp4000 +a(g316 +V \u000a +p4001 +tp4002 +a(g169 +V +p4003 +tp4004 +a(g316 +V goes here\u000a +p4005 +tp4006 +a(g169 +V +p4007 +tp4008 +a(g316 +V \u000a +p4009 +tp4010 +a(g169 +V +p4011 +tp4012 +a(g316 +V +tp4013 +a(g25 +V{% +p4014 +tp4015 +a(g169 +V +tp4016 +a(g136 +Vendfilter +p4017 +tp4018 +a(g169 +V +tp4019 +a(g25 +V%} +p4020 +tp4021 +a(g316 +V\u000a\u000a +p4022 +tp4023 +a(g169 +VOf course you can chain filters too +p4024 +tp4025 +a(g169 +V: +tp4026 +a(g169 +V\u000a +tp4027 +a(g169 +V\u000a +tp4028 +a(g182 +V.. +p4029 +tp4030 +a(g169 +V +tp4031 +a(g328 +Vsourcecode +p4032 +tp4033 +a(g182 +V:: +p4034 +tp4035 +a(g169 +V +tp4036 +a(g136 +Vjinja +p4037 +tp4038 +a(g169 +V\u000a\u000a +p4039 +tp4040 +a(g25 +V +tp4041 +a(g169 +V +p4042 +tp4043 +a(g25 +V{% +p4044 +tp4045 +a(g169 +V +tp4046 +a(g136 +Vfilter +p4047 +tp4048 +a(g169 +V +tp4049 +a(g46 +Vlower +p4050 +tp4051 +a(g324 +V| +tp4052 +a(g46 +Vescape +p4053 +tp4054 +a(g169 +V +tp4055 +a(g25 +V%} +p4056 +tp4057 +a(g316 +V\u000a +tp4058 +a(g169 +V +p4059 +tp4060 +a(g316 +V SOME TEXT\u000a +p4061 +tp4062 +a(g169 +V +p4063 +tp4064 +a(g316 +V +tp4065 +a(g25 +V{% +p4066 +tp4067 +a(g169 +V +tp4068 +a(g136 +Vendfilter +p4069 +tp4070 +a(g169 +V +tp4071 +a(g25 +V%} +p4072 +tp4073 +a(g316 +V\u000a\u000a +p4074 +tp4075 +a(g169 +Vreturns +p4076 +tp4077 +a(g206 +V`` +p4078 +tp4079 +a(g206 +V"<b>some text</b>" +p4080 +tp4081 +a(g206 +V`` +p4082 +tp4083 +a(g169 +V. +tp4084 +a(g169 +V\u000a +tp4085 +a(g169 +V\u000a +tp4086 +a(g7 +VDefining Variables +p4087 +tp4088 +a(g169 +V\u000a +tp4089 +a(g7 +V================== +p4090 +tp4091 +a(g169 +V\u000a +tp4092 +a(g169 +V\u000a +tp4093 +a(g169 +VYou can also define variables in the namespace using the +p4094 +tp4095 +a(g206 +V`` +p4096 +tp4097 +a(g206 +V{% set %} +p4098 +tp4099 +a(g206 +V`` +p4100 +tp4101 +a(g169 +V tag +p4102 +tp4103 +a(g169 +V: +tp4104 +a(g169 +V\u000a +tp4105 +a(g169 +V\u000a +tp4106 +a(g182 +V.. +p4107 +tp4108 +a(g169 +V +tp4109 +a(g328 +Vsourcecode +p4110 +tp4111 +a(g182 +V:: +p4112 +tp4113 +a(g169 +V +tp4114 +a(g136 +Vjinja +p4115 +tp4116 +a(g169 +V\u000a\u000a +p4117 +tp4118 +a(g25 +V +tp4119 +a(g169 +V +p4120 +tp4121 +a(g25 +V{% +p4122 +tp4123 +a(g169 +V +tp4124 +a(g136 +Vset +p4125 +tp4126 +a(g169 +V +tp4127 +a(g98 +Vfoo +p4128 +tp4129 +a(g169 +V +tp4130 +a(g324 +V= +tp4131 +a(g169 +V +tp4132 +a(g251 +V'foobar' +p4133 +tp4134 +a(g169 +V +tp4135 +a(g25 +V%} +p4136 +tp4137 +a(g316 +V\u000a +tp4138 +a(g169 +V +p4139 +tp4140 +a(g316 +V +tp4141 +a(g25 +V{{ +p4142 +tp4143 +a(g169 +V +tp4144 +a(g98 +Vfoo +p4145 +tp4146 +a(g169 +V +tp4147 +a(g25 +V}} +p4148 +tp4149 +a(g316 +V\u000a\u000a +p4150 +tp4151 +a(g169 +VThis should ouput +p4152 +tp4153 +a(g206 +V`` +p4154 +tp4155 +a(g206 +Vfoobar +p4156 +tp4157 +a(g206 +V`` +p4158 +tp4159 +a(g169 +V. +tp4160 +a(g169 +V\u000a +tp4161 +a(g169 +V\u000a +tp4162 +a(g7 +VScopes +p4163 +tp4164 +a(g169 +V\u000a +tp4165 +a(g7 +V====== +p4166 +tp4167 +a(g169 +V\u000a +tp4168 +a(g169 +V\u000a +tp4169 +a(g169 +VJinja has multiple scopes. A scope is something like a new transparent foil on +p4170 +tp4171 +a(g169 +V\u000a +tp4172 +a(g169 +Va stack of foils. You can only write to the outermost foil but read all of them +p4173 +tp4174 +a(g169 +V\u000a +tp4175 +a(g169 +Vsince you can look through them. If you remove the top foil all data on that +p4176 +tp4177 +a(g169 +V\u000a +tp4178 +a(g169 +Vfoil disappears. Some tags in Jinja add a new layer to the stack. Currently +p4179 +tp4180 +a(g169 +V\u000a +tp4181 +a(g169 +Vthese are +p4182 +tp4183 +a(g98 +V`block` +p4184 +tp4185 +a(g169 +V, +p4186 +tp4187 +a(g98 +V`for` +p4188 +tp4189 +a(g169 +V, +p4190 +tp4191 +a(g98 +V`macro` +p4192 +tp4193 +a(g169 +V and +p4194 +tp4195 +a(g98 +V`filter` +p4196 +tp4197 +a(g169 +V. This means that variables and +p4198 +tp4199 +a(g169 +V\u000a +tp4200 +a(g169 +Vother elements defined inside a macro, loop or some of the other tags listed +p4201 +tp4202 +a(g169 +V\u000a +tp4203 +a(g169 +Vabove will be only available in that block. Here an example +p4204 +tp4205 +a(g169 +V: +tp4206 +a(g169 +V\u000a +tp4207 +a(g169 +V\u000a +tp4208 +a(g182 +V.. +p4209 +tp4210 +a(g169 +V +tp4211 +a(g328 +Vsourcecode +p4212 +tp4213 +a(g182 +V:: +p4214 +tp4215 +a(g169 +V +tp4216 +a(g136 +Vjinja +p4217 +tp4218 +a(g169 +V\u000a\u000a +p4219 +tp4220 +a(g25 +V +tp4221 +a(g169 +V +p4222 +tp4223 +a(g25 +V{% +p4224 +tp4225 +a(g169 +V +tp4226 +a(g136 +Vmacro +p4227 +tp4228 +a(g169 +V +tp4229 +a(g98 +Vangryhello +p4230 +tp4231 +a(g169 +V +tp4232 +a(g98 +Vname +p4233 +tp4234 +a(g169 +V +tp4235 +a(g25 +V%} +p4236 +tp4237 +a(g316 +V\u000a +tp4238 +a(g169 +V +p4239 +tp4240 +a(g316 +V +p4241 +tp4242 +a(g25 +V{% +p4243 +tp4244 +a(g169 +V +tp4245 +a(g136 +Vset +p4246 +tp4247 +a(g169 +V +tp4248 +a(g98 +Vangryname +p4249 +tp4250 +a(g169 +V +tp4251 +a(g324 +V= +tp4252 +a(g169 +V +tp4253 +a(g98 +Vname +p4254 +tp4255 +a(g324 +V| +tp4256 +a(g46 +Vupper +p4257 +tp4258 +a(g169 +V +tp4259 +a(g25 +V%} +p4260 +tp4261 +a(g316 +V\u000a +tp4262 +a(g169 +V +p4263 +tp4264 +a(g316 +V Hello +p4265 +tp4266 +a(g25 +V{{ +p4267 +tp4268 +a(g169 +V +tp4269 +a(g98 +Vname +p4270 +tp4271 +a(g169 +V +tp4272 +a(g25 +V}} +p4273 +tp4274 +a(g316 +V. Hello +p4275 +tp4276 +a(g25 +V{{ +p4277 +tp4278 +a(g169 +V +tp4279 +a(g98 +Vname +p4280 +tp4281 +a(g169 +V +tp4282 +a(g25 +V}} +p4283 +tp4284 +a(g316 +V!\u000a +p4285 +tp4286 +a(g169 +V +p4287 +tp4288 +a(g316 +V HELLO +p4289 +tp4290 +a(g25 +V{{ +p4291 +tp4292 +a(g169 +V +tp4293 +a(g98 +Vangryname +p4294 +tp4295 +a(g169 +V +tp4296 +a(g25 +V}} +p4297 +tp4298 +a(g316 +V!!!!!!111\u000a +p4299 +tp4300 +a(g169 +V +p4301 +tp4302 +a(g316 +V +tp4303 +a(g25 +V{% +p4304 +tp4305 +a(g169 +V +tp4306 +a(g136 +Vendmacro +p4307 +tp4308 +a(g169 +V +tp4309 +a(g25 +V%} +p4310 +tp4311 +a(g316 +V\u000a\u000a +p4312 +tp4313 +a(g169 +VThe variable +p4314 +tp4315 +a(g206 +V`` +p4316 +tp4317 +a(g206 +Vangryname +p4318 +tp4319 +a(g206 +V`` +p4320 +tp4321 +a(g169 +V just exists inside the macro, not outside it. +p4322 +tp4323 +a(g169 +V\u000a +tp4324 +a(g169 +V\u000a +tp4325 +a(g169 +VDefined macros appear on the context as variables. Because of this, they are +p4326 +tp4327 +a(g169 +V\u000a +tp4328 +a(g169 +Vaffected by the scoping too. A macro defined inside of a macro is just available +p4329 +tp4330 +a(g169 +V\u000a +tp4331 +a(g169 +Vin those two macros (the macro itself and the macro it's defined in). For +p4332 +tp4333 +a(g98 +V`set` +p4334 +tp4335 +a(g169 +V\u000a +tp4336 +a(g169 +Vand +p4337 +tp4338 +a(g98 +V`macro` +p4339 +tp4340 +a(g169 +V two additional rules exist +p4341 +tp4342 +a(g169 +V: +tp4343 +a(g169 +V If a macro is defined in an extended +p4344 +tp4345 +a(g169 +V\u000a +tp4346 +a(g169 +Vtemplate but outside of a visible block (thus outside of any block) will be +p4347 +tp4348 +a(g169 +V\u000a +tp4349 +a(g169 +Vavailable in all blocks below. This allows you to use +p4350 +tp4351 +a(g98 +V`include` +p4352 +tp4353 +a(g169 +V statements to +p4354 +tp4355 +a(g169 +V\u000a +tp4356 +a(g169 +Vload often used macros at once. +p4357 +tp4358 +a(g169 +V\u000a +tp4359 +a(g169 +V\u000a +tp4360 +a(g7 +VUndefined Variables +p4361 +tp4362 +a(g169 +V\u000a +tp4363 +a(g7 +V=================== +p4364 +tp4365 +a(g169 +V\u000a +tp4366 +a(g169 +V\u000a +tp4367 +a(g169 +VIf you have already worked with python you probably know about the fact that +p4368 +tp4369 +a(g169 +V\u000a +tp4370 +a(g169 +Vundefined variables raise an exception. This is different in Jinja. There is a +p4371 +tp4372 +a(g169 +V\u000a +tp4373 +a(g169 +Vspecial value called +p4374 +tp4375 +a(g98 +V`undefined` +p4376 +tp4377 +a(g169 +V that represents values that do not exist. +p4378 +tp4379 +a(g169 +V\u000a +tp4380 +a(g169 +V\u000a +tp4381 +a(g169 +VThis special variable works complete different from any variables you maybe +p4382 +tp4383 +a(g169 +V\u000a +tp4384 +a(g169 +Vknow. If you print it using +p4385 +tp4386 +a(g206 +V`` +p4387 +tp4388 +a(g206 +V{{ variable }} +p4389 +tp4390 +a(g206 +V`` +p4391 +tp4392 +a(g169 +V it will not appear because it's +p4393 +tp4394 +a(g169 +V\u000a +tp4395 +a(g169 +Vliterally empty. If you try to iterate over it, it will work. But no items +p4396 +tp4397 +a(g169 +V\u000a +tp4398 +a(g169 +Vare returned. Comparing this value to any other value results in +p4399 +tp4400 +a(g98 +V`false` +p4401 +tp4402 +a(g169 +V. +tp4403 +a(g169 +V\u000a +tp4404 +a(g169 +VEven if you compare it to itself +p4405 +tp4406 +a(g169 +V: +tp4407 +a(g169 +V\u000a +tp4408 +a(g169 +V\u000a +tp4409 +a(g182 +V.. +p4410 +tp4411 +a(g169 +V +tp4412 +a(g328 +Vsourcecode +p4413 +tp4414 +a(g182 +V:: +p4415 +tp4416 +a(g169 +V +tp4417 +a(g136 +Vjinja +p4418 +tp4419 +a(g169 +V\u000a\u000a +p4420 +tp4421 +a(g25 +V +tp4422 +a(g169 +V +p4423 +tp4424 +a(g25 +V{{ +p4425 +tp4426 +a(g169 +V +tp4427 +a(g98 +Vundefined +p4428 +tp4429 +a(g169 +V +tp4430 +a(g324 +V== +p4431 +tp4432 +a(g169 +V +tp4433 +a(g98 +Vundefined +p4434 +tp4435 +a(g169 +V +tp4436 +a(g25 +V}} +p4437 +tp4438 +a(g316 +V\u000a +tp4439 +a(g169 +V +p4440 +tp4441 +a(g316 +V will return false. Not even undefined is undefined :)\u000a +p4442 +tp4443 +a(g169 +V +p4444 +tp4445 +a(g316 +V Use `is defined` / `is not defined`:\u000a\u000a +p4446 +tp4447 +a(g169 +V +p4448 +tp4449 +a(g316 +V +tp4450 +a(g25 +V{{ +p4451 +tp4452 +a(g169 +V +tp4453 +a(g98 +Vundefined +p4454 +tp4455 +a(g169 +V +tp4456 +a(g136 +Vis +p4457 +tp4458 +a(g169 +V +tp4459 +a(g136 +Vnot +p4460 +tp4461 +a(g169 +V +tp4462 +a(g46 +Vdefined +p4463 +tp4464 +a(g169 +V +tp4465 +a(g25 +V}} +p4466 +tp4467 +a(g316 +V\u000a +tp4468 +a(g169 +V +p4469 +tp4470 +a(g316 +V will return true.\u000a\u000a +p4471 +tp4472 +a(g169 +VThere are also some additional rules regarding this special value. Any +p4473 +tp4474 +a(g169 +V\u000a +tp4475 +a(g169 +Vmathematical operators ( +p4476 +tp4477 +a(g206 +V`` +p4478 +tp4479 +a(g206 +V+ +tp4480 +a(g206 +V`` +p4481 +tp4482 +a(g169 +V, +p4483 +tp4484 +a(g206 +V`` +p4485 +tp4486 +a(g206 +V- +tp4487 +a(g206 +V`` +p4488 +tp4489 +a(g169 +V, +p4490 +tp4491 +a(g206 +V`` +p4492 +tp4493 +a(g206 +V* +tp4494 +a(g206 +V`` +p4495 +tp4496 +a(g169 +V, +p4497 +tp4498 +a(g206 +V`` +p4499 +tp4500 +a(g206 +V/ +tp4501 +a(g206 +V`` +p4502 +tp4503 +a(g169 +V) return the operand +p4504 +tp4505 +a(g169 +V\u000a +tp4506 +a(g169 +Vas result +p4507 +tp4508 +a(g169 +V: +tp4509 +a(g169 +V\u000a +tp4510 +a(g169 +V\u000a +tp4511 +a(g182 +V.. +p4512 +tp4513 +a(g169 +V +tp4514 +a(g328 +Vsourcecode +p4515 +tp4516 +a(g182 +V:: +p4517 +tp4518 +a(g169 +V +tp4519 +a(g136 +Vjinja +p4520 +tp4521 +a(g169 +V\u000a\u000a +p4522 +tp4523 +a(g25 +V +tp4524 +a(g169 +V +p4525 +tp4526 +a(g25 +V{{ +p4527 +tp4528 +a(g169 +V +tp4529 +a(g98 +Vundefined +p4530 +tp4531 +a(g169 +V +tp4532 +a(g324 +V+ +tp4533 +a(g169 +V +tp4534 +a(g225 +V"foo" +p4535 +tp4536 +a(g169 +V +tp4537 +a(g25 +V}} +p4538 +tp4539 +a(g316 +V\u000a +tp4540 +a(g169 +V +p4541 +tp4542 +a(g316 +V returns "foo"\u000a\u000a +p4543 +tp4544 +a(g169 +V +p4545 +tp4546 +a(g316 +V +tp4547 +a(g25 +V{{ +p4548 +tp4549 +a(g169 +V +tp4550 +a(g98 +Vundefined +p4551 +tp4552 +a(g169 +V +tp4553 +a(g324 +V- +tp4554 +a(g169 +V +tp4555 +a(g193 +V4 +tp4556 +a(g193 +V2 +tp4557 +a(g169 +V +tp4558 +a(g25 +V}} +p4559 +tp4560 +a(g316 +V\u000a +tp4561 +a(g169 +V +p4562 +tp4563 +a(g316 +V returns 42. Note: not -42!\u000a\u000a +p4564 +tp4565 +a(g169 +VIn any expression +p4566 +tp4567 +a(g98 +V`undefined` +p4568 +tp4569 +a(g169 +V evaluates to +p4570 +tp4571 +a(g98 +V`false` +p4572 +tp4573 +a(g169 +V. It has no length, all +p4574 +tp4575 +a(g169 +V\u000a +tp4576 +a(g169 +Vattribute calls return undefined, calling too +p4577 +tp4578 +a(g169 +V: +tp4579 +a(g169 +V\u000a +tp4580 +a(g169 +V\u000a +tp4581 +a(g182 +V.. +p4582 +tp4583 +a(g169 +V +tp4584 +a(g328 +Vsourcecode +p4585 +tp4586 +a(g182 +V:: +p4587 +tp4588 +a(g169 +V +tp4589 +a(g136 +Vjinja +p4590 +tp4591 +a(g169 +V\u000a\u000a +p4592 +tp4593 +a(g25 +V +tp4594 +a(g169 +V +p4595 +tp4596 +a(g25 +V{{ +p4597 +tp4598 +a(g169 +V +tp4599 +a(g98 +Vundefined +p4600 +tp4601 +a(g98 +V.attribute +p4602 +tp4603 +a(g324 +V( +tp4604 +a(g324 +V) +tp4605 +a(g98 +V.attribute_too +p4606 +tp4607 +a(g324 +V[ +tp4608 +a(g193 +V4 +tp4609 +a(g193 +V2 +tp4610 +a(g324 +V] +tp4611 +a(g169 +V +tp4612 +a(g25 +V}} +p4613 +tp4614 +a(g316 +V\u000a +tp4615 +a(g169 +V +p4616 +tp4617 +a(g316 +V still returns `undefined`.\u000a\u000a +p4618 +tp4619 +a(g7 +VEscaping +p4620 +tp4621 +a(g169 +V\u000a +tp4622 +a(g7 +V======== +p4623 +tp4624 +a(g169 +V\u000a +tp4625 +a(g169 +V\u000a +tp4626 +a(g169 +VSometimes you might want to add Jinja syntax elements into the template +p4627 +tp4628 +a(g169 +V\u000a +tp4629 +a(g169 +Vwithout executing them. In that case you have quite a few possibilities. +p4630 +tp4631 +a(g169 +V\u000a +tp4632 +a(g169 +V\u000a +tp4633 +a(g169 +VFor small parts this might be a good way +p4634 +tp4635 +a(g169 +V: +tp4636 +a(g169 +V\u000a +tp4637 +a(g169 +V\u000a +tp4638 +a(g182 +V.. +p4639 +tp4640 +a(g169 +V +tp4641 +a(g328 +Vsourcecode +p4642 +tp4643 +a(g182 +V:: +p4644 +tp4645 +a(g169 +V +tp4646 +a(g136 +Vjinja +p4647 +tp4648 +a(g169 +V\u000a\u000a +p4649 +tp4650 +a(g25 +V +tp4651 +a(g169 +V +p4652 +tp4653 +a(g25 +V{{ +p4654 +tp4655 +a(g169 +V +tp4656 +a(g225 +V"{{ foo }} is variable syntax and {% foo %} is block syntax" +p4657 +tp4658 +a(g169 +V +tp4659 +a(g25 +V}} +p4660 +tp4661 +a(g316 +V\u000a\u000a +p4662 +tp4663 +a(g169 +VWhen you have multiple elements you can use the +p4664 +tp4665 +a(g206 +V`` +p4666 +tp4667 +a(g206 +Vraw +p4668 +tp4669 +a(g206 +V`` +p4670 +tp4671 +a(g169 +V block +p4672 +tp4673 +a(g169 +V: +tp4674 +a(g169 +V\u000a +tp4675 +a(g169 +V\u000a +tp4676 +a(g182 +V.. +p4677 +tp4678 +a(g169 +V +tp4679 +a(g328 +Vsourcecode +p4680 +tp4681 +a(g182 +V:: +p4682 +tp4683 +a(g169 +V +tp4684 +a(g136 +Vjinja +p4685 +tp4686 +a(g169 +V\u000a\u000a +p4687 +tp4688 +a(g25 +V +tp4689 +a(g169 +V +p4690 +tp4691 +a(g25 +V{% +p4692 +tp4693 +a(g169 +V +tp4694 +a(g136 +Vraw +p4695 +tp4696 +a(g169 +V +tp4697 +a(g25 +V%} +p4698 +tp4699 +a(g169 +V\u000a +tp4700 +a(g169 +V +p4701 +tp4702 +a(g169 +V Filtering blocks works like this in Jinja:\u000a +p4703 +tp4704 +a(g169 +V +p4705 +tp4706 +a(g169 +V {% filter escape %}\u000a +p4707 +tp4708 +a(g169 +V +p4709 +tp4710 +a(g169 +V \u000a +p4711 +tp4712 +a(g169 +V +p4713 +tp4714 +a(g169 +V goes here\u000a +p4715 +tp4716 +a(g169 +V +p4717 +tp4718 +a(g169 +V \u000a +p4719 +tp4720 +a(g169 +V +p4721 +tp4722 +a(g169 +V {% endfilter %}\u000a +p4723 +tp4724 +a(g169 +V +p4725 +tp4726 +a(g169 +V +tp4727 +a(g25 +V{% +p4728 +tp4729 +a(g169 +V +tp4730 +a(g136 +Vendraw +p4731 +tp4732 +a(g169 +V +tp4733 +a(g25 +V%} +p4734 +tp4735 +a(g316 +V\u000a\u000a +p4736 +tp4737 +a(g7 +VReserved Keywords +p4738 +tp4739 +a(g169 +V\u000a +tp4740 +a(g7 +V================= +p4741 +tp4742 +a(g169 +V\u000a +tp4743 +a(g169 +V\u000a +tp4744 +a(g169 +VJinja has some keywords you cannot use a variable names. This limitation +p4745 +tp4746 +a(g169 +V\u000a +tp4747 +a(g169 +Vexists to make look coherent. Syntax highlighters won't mess things up and +p4748 +tp4749 +a(g169 +V\u000a +tp4750 +a(g169 +Vyou will don't have unexpected output. +p4751 +tp4752 +a(g169 +V\u000a +tp4753 +a(g169 +V\u000a +tp4754 +a(g169 +VThe following keywords exist and cannot be used as identifiers +p4755 +tp4756 +a(g169 +V: +tp4757 +a(g169 +V\u000a +tp4758 +a(g169 +V\u000a +tp4759 +a(g169 +V +p4760 +tp4761 +a(g98 +V`and` +p4762 +tp4763 +a(g169 +V, +p4764 +tp4765 +a(g98 +V`block` +p4766 +tp4767 +a(g169 +V, +p4768 +tp4769 +a(g98 +V`cycle` +p4770 +tp4771 +a(g169 +V, +p4772 +tp4773 +a(g98 +V`elif` +p4774 +tp4775 +a(g169 +V, +p4776 +tp4777 +a(g98 +V`else` +p4778 +tp4779 +a(g169 +V, +p4780 +tp4781 +a(g98 +V`endblock` +p4782 +tp4783 +a(g169 +V, +p4784 +tp4785 +a(g98 +V`endfilter` +p4786 +tp4787 +a(g169 +V, +tp4788 +a(g169 +V\u000a +tp4789 +a(g169 +V +p4790 +tp4791 +a(g98 +V`endfor` +p4792 +tp4793 +a(g169 +V, +p4794 +tp4795 +a(g98 +V`endif` +p4796 +tp4797 +a(g169 +V, +p4798 +tp4799 +a(g98 +V`endmacro` +p4800 +tp4801 +a(g169 +V, +p4802 +tp4803 +a(g98 +V`endraw` +p4804 +tp4805 +a(g169 +V, +p4806 +tp4807 +a(g98 +V`endtrans` +p4808 +tp4809 +a(g169 +V, +p4810 +tp4811 +a(g98 +V`extends` +p4812 +tp4813 +a(g169 +V, +p4814 +tp4815 +a(g98 +V`filter` +p4816 +tp4817 +a(g169 +V, +tp4818 +a(g169 +V\u000a +tp4819 +a(g169 +V +p4820 +tp4821 +a(g98 +V`for` +p4822 +tp4823 +a(g169 +V, +p4824 +tp4825 +a(g98 +V`if` +p4826 +tp4827 +a(g169 +V, +p4828 +tp4829 +a(g98 +V`in` +p4830 +tp4831 +a(g169 +V, +p4832 +tp4833 +a(g98 +V`include` +p4834 +tp4835 +a(g169 +V, +p4836 +tp4837 +a(g98 +V`is` +p4838 +tp4839 +a(g169 +V, +p4840 +tp4841 +a(g98 +V`macro` +p4842 +tp4843 +a(g169 +V, +p4844 +tp4845 +a(g98 +V`not` +p4846 +tp4847 +a(g169 +V, +p4848 +tp4849 +a(g98 +V`or` +p4850 +tp4851 +a(g169 +V, +p4852 +tp4853 +a(g98 +V`pluralize` +p4854 +tp4855 +a(g169 +V, +tp4856 +a(g169 +V\u000a +tp4857 +a(g169 +V +p4858 +tp4859 +a(g98 +V`raw` +p4860 +tp4861 +a(g169 +V, +p4862 +tp4863 +a(g98 +V`recursive` +p4864 +tp4865 +a(g169 +V, +p4866 +tp4867 +a(g98 +V`set` +p4868 +tp4869 +a(g169 +V, +p4870 +tp4871 +a(g98 +V`trans` +p4872 +tp4873 +a(g169 +V\u000a +tp4874 +a(g169 +V\u000a +tp4875 +a(g169 +VIf you want to use such a name you have to prefix or suffix it or use +p4876 +tp4877 +a(g169 +V\u000a +tp4878 +a(g169 +Valternative names +p4879 +tp4880 +a(g169 +V: +tp4881 +a(g169 +V\u000a +tp4882 +a(g169 +V\u000a +tp4883 +a(g182 +V.. +p4884 +tp4885 +a(g169 +V +tp4886 +a(g328 +Vsourcecode +p4887 +tp4888 +a(g182 +V:: +p4889 +tp4890 +a(g169 +V +tp4891 +a(g136 +Vjinja +p4892 +tp4893 +a(g169 +V\u000a\u000a +p4894 +tp4895 +a(g25 +V +tp4896 +a(g169 +V +p4897 +tp4898 +a(g25 +V{% +p4899 +tp4900 +a(g169 +V +tp4901 +a(g136 +Vfor +p4902 +tp4903 +a(g169 +V +tp4904 +a(g98 +Vmacro_ +p4905 +tp4906 +a(g169 +V +tp4907 +a(g136 +Vin +p4908 +tp4909 +a(g169 +V +tp4910 +a(g98 +Vmacros +p4911 +tp4912 +a(g169 +V +tp4913 +a(g25 +V%} +p4914 +tp4915 +a(g316 +V\u000a +tp4916 +a(g169 +V +p4917 +tp4918 +a(g316 +V +p4919 +tp4920 +a(g25 +V{{ +p4921 +tp4922 +a(g169 +V +tp4923 +a(g98 +Vmacro_ +p4924 +tp4925 +a(g324 +V( +tp4926 +a(g251 +V'foo' +p4927 +tp4928 +a(g324 +V) +tp4929 +a(g169 +V +tp4930 +a(g25 +V}} +p4931 +tp4932 +a(g316 +V\u000a +tp4933 +a(g169 +V +p4934 +tp4935 +a(g316 +V +tp4936 +a(g25 +V{% +p4937 +tp4938 +a(g169 +V +tp4939 +a(g136 +Vendfor +p4940 +tp4941 +a(g169 +V +tp4942 +a(g25 +V%} +p4943 +tp4944 +a(g316 +V\u000a\u000a +p4945 +tp4946 +a(g169 +VIf future Jinja releases add new keywords those will be "light" keywords which +p4947 +tp4948 +a(g169 +V\u000a +tp4949 +a(g169 +Vmeans that they won't raise an error for several releases but yield warnings +p4950 +tp4951 +a(g169 +V\u000a +tp4952 +a(g169 +Von the application side. But it's very unlikely that new keywords will be +p4953 +tp4954 +a(g169 +V\u000a +tp4955 +a(g169 +Vadded. +p4956 +tp4957 +a(g169 +V\u000a +tp4958 +a(g169 +V\u000a +tp4959 +a(g7 +VInternationalization +p4960 +tp4961 +a(g169 +V\u000a +tp4962 +a(g7 +V==================== +p4963 +tp4964 +a(g169 +V\u000a +tp4965 +a(g169 +V\u000a +tp4966 +a(g169 +VIf the application is configured for i18n, you can define translatable blocks +p4967 +tp4968 +a(g169 +V\u000a +tp4969 +a(g169 +Vfor translators using the +p4970 +tp4971 +a(g98 +V`trans` +p4972 +tp4973 +a(g169 +V tag or the special underscore function +p4974 +tp4975 +a(g169 +V: +tp4976 +a(g169 +V\u000a +tp4977 +a(g169 +V\u000a +tp4978 +a(g182 +V.. +p4979 +tp4980 +a(g169 +V +tp4981 +a(g328 +Vsourcecode +p4982 +tp4983 +a(g182 +V:: +p4984 +tp4985 +a(g169 +V +tp4986 +a(g136 +Vjinja +p4987 +tp4988 +a(g169 +V\u000a\u000a +p4989 +tp4990 +a(g25 +V +tp4991 +a(g169 +V +p4992 +tp4993 +a(g25 +V{% +p4994 +tp4995 +a(g169 +V +tp4996 +a(g136 +Vtrans +p4997 +tp4998 +a(g169 +V +tp4999 +a(g25 +V%} +p5000 +tp5001 +a(g316 +V\u000a +tp5002 +a(g169 +V +p5003 +tp5004 +a(g316 +V this is a translatable block\u000a +p5005 +tp5006 +a(g169 +V +p5007 +tp5008 +a(g316 +V +tp5009 +a(g25 +V{% +p5010 +tp5011 +a(g169 +V +tp5012 +a(g136 +Vendtrans +p5013 +tp5014 +a(g169 +V +tp5015 +a(g25 +V%} +p5016 +tp5017 +a(g316 +V\u000a\u000a +p5018 +tp5019 +a(g169 +V +p5020 +tp5021 +a(g316 +V +tp5022 +a(g25 +V{% +p5023 +tp5024 +a(g169 +V +tp5025 +a(g136 +Vtrans +p5026 +tp5027 +a(g169 +V +tp5028 +a(g225 +V"This is a translatable string" +p5029 +tp5030 +a(g169 +V +tp5031 +a(g25 +V%} +p5032 +tp5033 +a(g316 +V\u000a\u000a +p5034 +tp5035 +a(g169 +V +p5036 +tp5037 +a(g316 +V +tp5038 +a(g25 +V{{ +p5039 +tp5040 +a(g169 +V +tp5041 +a(g148 +V_ +tp5042 +a(g324 +V( +tp5043 +a(g225 +V"This is a translatable string" +p5044 +tp5045 +a(g324 +V) +tp5046 +a(g169 +V +tp5047 +a(g25 +V}} +p5048 +tp5049 +a(g316 +V\u000a\u000a +p5050 +tp5051 +a(g169 +VThe latter one is useful if you want translatable arguments for filters etc. +p5052 +tp5053 +a(g169 +V\u000a +tp5054 +a(g169 +V\u000a +tp5055 +a(g169 +VIf you want to have plural forms too, use the +p5056 +tp5057 +a(g98 +V`pluralize` +p5058 +tp5059 +a(g169 +V block +p5060 +tp5061 +a(g169 +V: +tp5062 +a(g169 +V\u000a +tp5063 +a(g169 +V\u000a +tp5064 +a(g182 +V.. +p5065 +tp5066 +a(g169 +V +tp5067 +a(g328 +Vsourcecode +p5068 +tp5069 +a(g182 +V:: +p5070 +tp5071 +a(g169 +V +tp5072 +a(g136 +Vjinja +p5073 +tp5074 +a(g169 +V\u000a\u000a +p5075 +tp5076 +a(g25 +V +tp5077 +a(g169 +V +p5078 +tp5079 +a(g25 +V{% +p5080 +tp5081 +a(g169 +V +tp5082 +a(g136 +Vtrans +p5083 +tp5084 +a(g169 +V +tp5085 +a(g98 +Vusers +p5086 +tp5087 +a(g324 +V= +tp5088 +a(g98 +Vusers +p5089 +tp5090 +a(g169 +V +tp5091 +a(g25 +V%} +p5092 +tp5093 +a(g316 +V\u000a +tp5094 +a(g169 +V +p5095 +tp5096 +a(g316 +V One user found.\u000a +p5097 +tp5098 +a(g169 +V +p5099 +tp5100 +a(g316 +V +tp5101 +a(g25 +V{% +p5102 +tp5103 +a(g169 +V +tp5104 +a(g136 +Vpluralize +p5105 +tp5106 +a(g169 +V +tp5107 +a(g25 +V%} +p5108 +tp5109 +a(g316 +V\u000a +tp5110 +a(g169 +V +p5111 +tp5112 +a(g316 +V +p5113 +tp5114 +a(g25 +V{{ +p5115 +tp5116 +a(g169 +V +tp5117 +a(g98 +Vusers +p5118 +tp5119 +a(g169 +V +tp5120 +a(g25 +V}} +p5121 +tp5122 +a(g316 +V users found.\u000a +p5123 +tp5124 +a(g169 +V +p5125 +tp5126 +a(g316 +V +tp5127 +a(g25 +V{% +p5128 +tp5129 +a(g169 +V +tp5130 +a(g136 +Vendtrans +p5131 +tp5132 +a(g169 +V +tp5133 +a(g25 +V%} +p5134 +tp5135 +a(g316 +V\u000a\u000a +p5136 +tp5137 +a(g169 +V +p5138 +tp5139 +a(g316 +V +tp5140 +a(g25 +V{% +p5141 +tp5142 +a(g169 +V +tp5143 +a(g136 +Vtrans +p5144 +tp5145 +a(g169 +V +tp5146 +a(g98 +Vfirst +p5147 +tp5148 +a(g324 +V= +tp5149 +a(g324 +V( +tp5150 +a(g98 +Vusers +p5151 +tp5152 +a(g324 +V| +tp5153 +a(g46 +Vfirst +p5154 +tp5155 +a(g324 +V) +tp5156 +a(g98 +V.username +p5157 +tp5158 +a(g324 +V| +tp5159 +a(g46 +Vescape +p5160 +tp5161 +a(g324 +V, +tp5162 +a(g169 +V +tp5163 +a(g98 +Vuser +p5164 +tp5165 +a(g324 +V= +tp5166 +a(g98 +Vusers +p5167 +tp5168 +a(g324 +V| +tp5169 +a(g46 +Vlength +p5170 +tp5171 +a(g169 +V +tp5172 +a(g25 +V%} +p5173 +tp5174 +a(g316 +V\u000a +tp5175 +a(g169 +V +p5176 +tp5177 +a(g316 +V one user +p5178 +tp5179 +a(g25 +V{{ +p5180 +tp5181 +a(g169 +V +tp5182 +a(g98 +Vfirst +p5183 +tp5184 +a(g169 +V +tp5185 +a(g25 +V}} +p5186 +tp5187 +a(g316 +V found.\u000a +p5188 +tp5189 +a(g169 +V +p5190 +tp5191 +a(g316 +V +tp5192 +a(g25 +V{% +p5193 +tp5194 +a(g169 +V +tp5195 +a(g136 +Vpluralize +p5196 +tp5197 +a(g169 +V +tp5198 +a(g98 +Vusers +p5199 +tp5200 +a(g169 +V +tp5201 +a(g25 +V%} +p5202 +tp5203 +a(g316 +V\u000a +tp5204 +a(g169 +V +p5205 +tp5206 +a(g316 +V +p5207 +tp5208 +a(g25 +V{{ +p5209 +tp5210 +a(g169 +V +tp5211 +a(g98 +Vusers +p5212 +tp5213 +a(g169 +V +tp5214 +a(g25 +V}} +p5215 +tp5216 +a(g316 +V users found, the first one is called +p5217 +tp5218 +a(g25 +V{{ +p5219 +tp5220 +a(g169 +V +tp5221 +a(g98 +Vfirst +p5222 +tp5223 +a(g169 +V +tp5224 +a(g25 +V}} +p5225 +tp5226 +a(g316 +V.\u000a +p5227 +tp5228 +a(g169 +V +p5229 +tp5230 +a(g316 +V +tp5231 +a(g25 +V{% +p5232 +tp5233 +a(g169 +V +tp5234 +a(g136 +Vendtrans +p5235 +tp5236 +a(g169 +V +tp5237 +a(g25 +V%} +p5238 +tp5239 +a(g316 +V\u000a\u000a +p5240 +tp5241 +a(g169 +VIf you have multiple arguments, the first one is assumed to be the indicator (the +p5242 +tp5243 +a(g169 +V\u000a +tp5244 +a(g169 +Vnumber that is used to determine the correct singular or plural form. If you +p5245 +tp5246 +a(g169 +V\u000a +tp5247 +a(g169 +Vdon't have the indicator variable on position 1 you have to tell the +p5248 +tp5249 +a(g98 +V`pluralize` +p5250 +tp5251 +a(g169 +V\u000a +tp5252 +a(g169 +Vtag the correct variable name. +p5253 +tp5254 +a(g169 +V\u000a +tp5255 +a(g169 +V\u000a +tp5256 +a(g169 +VInside translatable blocks you cannot use blocks or expressions (however you can +p5257 +tp5258 +a(g169 +V\u000a +tp5259 +a(g169 +Vstill use the +p5260 +tp5261 +a(g206 +V`` +p5262 +tp5263 +a(g206 +Vraw +p5264 +tp5265 +a(g206 +V`` +p5266 +tp5267 +a(g169 +V block which will work as expected). The variable +p5268 +tp5269 +a(g169 +V\u000a +tp5270 +a(g169 +Vprint syntax ( +p5271 +tp5272 +a(g206 +V`` +p5273 +tp5274 +a(g206 +V{{ variablename }} +p5275 +tp5276 +a(g206 +V`` +p5277 +tp5278 +a(g169 +V) is the only way to insert the variables +p5279 +tp5280 +a(g169 +V\u000a +tp5281 +a(g169 +Vdefined in the +p5282 +tp5283 +a(g206 +V`` +p5284 +tp5285 +a(g206 +Vtrans +p5286 +tp5287 +a(g206 +V`` +p5288 +tp5289 +a(g169 +V header. Filters must be applied in the header. +p5290 +tp5291 +a(g169 +V\u000a +tp5292 +a(g169 +V\u000a +tp5293 +a(g182 +V.. +p5294 +tp5295 +a(g169 +V +tp5296 +a(g328 +Vadmonition +p5297 +tp5298 +a(g182 +V:: +p5299 +tp5300 +a(g169 +V +tp5301 +a(g169 +Vnote +p5302 +tp5303 +a(g169 +V\u000a +tp5304 +a(g169 +V\u000a +tp5305 +a(g169 +V Please make sure that you always use pluralize blocks where required. +p5306 +tp5307 +a(g169 +V\u000a +tp5308 +a(g169 +V Many languages have more complex plural forms than the English language. +p5309 +tp5310 +a(g169 +V\u000a +tp5311 +a(g169 +V +p5312 +tp5313 +a(g169 +V\u000a +tp5314 +a(g169 +V Never try to workaround that issue by using something like this +p5315 +tp5316 +a(g169 +V: +tp5317 +a(g169 +V\u000a +tp5318 +a(g169 +V\u000a +tp5319 +a(g182 +V .. +p5320 +tp5321 +a(g169 +V +tp5322 +a(g328 +Vsourcecode +p5323 +tp5324 +a(g182 +V:: +p5325 +tp5326 +a(g169 +V +tp5327 +a(g136 +Vjinja +p5328 +tp5329 +a(g169 +V\u000a\u000a +p5330 +tp5331 +a(g25 +V +tp5332 +a(g169 +V +p5333 +tp5334 +a(g25 +V{% +p5335 +tp5336 +a(g169 +V +tp5337 +a(g136 +Vif +p5338 +tp5339 +a(g169 +V +tp5340 +a(g98 +Vcount +p5341 +tp5342 +a(g169 +V +tp5343 +a(g182 +V! +tp5344 +a(g324 +V= +tp5345 +a(g169 +V +tp5346 +a(g193 +V1 +tp5347 +a(g169 +V +tp5348 +a(g25 +V%} +p5349 +tp5350 +a(g316 +V\u000a +tp5351 +a(g169 +V +p5352 +tp5353 +a(g316 +V +p5354 +tp5355 +a(g25 +V{{ +p5356 +tp5357 +a(g169 +V +tp5358 +a(g98 +Vcount +p5359 +tp5360 +a(g169 +V +tp5361 +a(g25 +V}} +p5362 +tp5363 +a(g316 +V users found.\u000a +p5364 +tp5365 +a(g169 +V +p5366 +tp5367 +a(g316 +V +tp5368 +a(g25 +V{% +p5369 +tp5370 +a(g169 +V +tp5371 +a(g136 +Velse +p5372 +tp5373 +a(g169 +V +tp5374 +a(g25 +V%} +p5375 +tp5376 +a(g316 +V\u000a +tp5377 +a(g169 +V +p5378 +tp5379 +a(g316 +V one user found.\u000a +p5380 +tp5381 +a(g169 +V +p5382 +tp5383 +a(g316 +V +tp5384 +a(g25 +V{% +p5385 +tp5386 +a(g169 +V +tp5387 +a(g136 +Vendif +p5388 +tp5389 +a(g169 +V +tp5390 +a(g25 +V%} +p5391 +tp5392 +a(g316 +V\u000a\u000a +p5393 +tp5394 +a(g182 +V.. +p5395 +tp5396 +a(g169 +V +tp5397 +a(g54 +V_slicing chapter: +p5398 +tp5399 +a(g169 +V http +p5400 +tp5401 +a(g169 +V: +tp5402 +a(g169 +V//diveintopython.org/native_data_types/lists.html#odbchelper.list.slice +p5403 +tp5404 +a(g169 +V\u000a +tp5405 +a(g182 +V.. +p5406 +tp5407 +a(g169 +V +tp5408 +a(g54 +V_range function: +p5409 +tp5410 +a(g169 +V http +p5411 +tp5412 +a(g169 +V: +tp5413 +a(g169 +V//docs.python.org/tut/node6.html#SECTION006300000000000000000 +p5414 +tp5415 +a(g169 +V\u000a +tp5416 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/lighttpd_config.conf b/tests/examplefiles/output/lighttpd_config.conf new file mode 100644 index 0000000..49485ef --- /dev/null +++ b/tests/examplefiles/output/lighttpd_config.conf @@ -0,0 +1,1643 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Constant' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp15 +(dp16 +S'Comment' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +g14 +g15 +sS'Preproc' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g11 +g12 +((ltRp23 +sg14 +g18 +sbsS'Single' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g18 +sbsS'Multiline' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g18 +sbsg11 +g12 +((lp32 +g2 +(g3 +g4 +(g17 +S'Special' +p33 +ttRp34 +(dp35 +g11 +g12 +((ltRp36 +sg14 +g18 +sbag21 +ag25 +ag29 +atRp37 +sg33 +g34 +sbsS'Name' +p38 +g2 +(g3 +g4 +(g38 +ttRp39 +(dp40 +S'Function' +p41 +g2 +(g3 +g4 +(g38 +g41 +ttRp42 +(dp43 +g11 +g12 +((ltRp44 +sg14 +g39 +sbsS'Exception' +p45 +g2 +(g3 +g4 +(g38 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g39 +sbsS'Tag' +p49 +g2 +(g3 +g4 +(g38 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g39 +sbsg8 +g2 +(g3 +g4 +(g38 +g8 +ttRp53 +(dp54 +g11 +g12 +((ltRp55 +sg14 +g39 +sbsg14 +g15 +sS'Pseudo' +p56 +g2 +(g3 +g4 +(g38 +g56 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g39 +sbsS'Attribute' +p60 +g2 +(g3 +g4 +(g38 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g39 +sbsS'Label' +p64 +g2 +(g3 +g4 +(g38 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g39 +sbsS'Blubb' +p68 +g2 +(g3 +g4 +(g38 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g39 +sbsS'Entity' +p72 +g2 +(g3 +g4 +(g38 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g39 +sbsS'Builtin' +p76 +g2 +(g3 +g4 +(g38 +g76 +ttRp77 +(dp78 +g11 +g12 +((lp79 +g2 +(g3 +g4 +(g38 +g76 +g56 +ttRp80 +(dp81 +g11 +g12 +((ltRp82 +sg14 +g77 +sbatRp83 +sg56 +g80 +sg14 +g39 +sbsS'Other' +p84 +g2 +(g3 +g4 +(g38 +g84 +ttRp85 +(dp86 +g11 +g12 +((ltRp87 +sg14 +g39 +sbsS'Identifier' +p88 +g2 +(g3 +g4 +(g38 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g39 +sbsS'Variable' +p92 +g2 +(g3 +g4 +(g38 +g92 +ttRp93 +(dp94 +g14 +g39 +sS'Global' +p95 +g2 +(g3 +g4 +(g38 +g92 +g95 +ttRp96 +(dp97 +g11 +g12 +((ltRp98 +sg14 +g93 +sbsS'Instance' +p99 +g2 +(g3 +g4 +(g38 +g92 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g93 +sbsS'Anonymous' +p103 +g2 +(g3 +g4 +(g38 +g92 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g93 +sbsg11 +g12 +((lp107 +g104 +ag100 +ag96 +ag2 +(g3 +g4 +(g38 +g92 +S'Class' +p108 +ttRp109 +(dp110 +g11 +g12 +((ltRp111 +sg14 +g93 +sbatRp112 +sg108 +g109 +sbsg11 +g12 +((lp113 +g2 +(g3 +g4 +(g38 +S'Decorator' +p114 +ttRp115 +(dp116 +g11 +g12 +((ltRp117 +sg14 +g39 +sbag61 +ag53 +ag57 +ag2 +(g3 +g4 +(g38 +S'Namespace' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g39 +sbag89 +ag77 +ag93 +ag85 +ag69 +ag73 +ag42 +ag2 +(g3 +g4 +(g38 +S'Property' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g39 +sbag65 +ag50 +ag46 +ag2 +(g3 +g4 +(g38 +g108 +ttRp126 +(dp127 +g11 +g12 +((ltRp128 +sg14 +g39 +sbatRp129 +sg122 +g123 +sg108 +g126 +sg114 +g115 +sg118 +g119 +sbsg5 +g6 +sS'Generic' +p130 +g2 +(g3 +g4 +(g130 +ttRp131 +(dp132 +S'Prompt' +p133 +g2 +(g3 +g4 +(g130 +g133 +ttRp134 +(dp135 +g11 +g12 +((ltRp136 +sg14 +g131 +sbsg14 +g15 +sS'Deleted' +p137 +g2 +(g3 +g4 +(g130 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g131 +sbsS'Traceback' +p141 +g2 +(g3 +g4 +(g130 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g131 +sbsS'Emph' +p145 +g2 +(g3 +g4 +(g130 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g131 +sbsS'Output' +p149 +g2 +(g3 +g4 +(g130 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g131 +sbsS'Subheading' +p153 +g2 +(g3 +g4 +(g130 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g131 +sbsS'Error' +p157 +g2 +(g3 +g4 +(g130 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g131 +sbsg11 +g12 +((lp161 +g150 +ag146 +ag158 +ag154 +ag142 +ag138 +ag2 +(g3 +g4 +(g130 +S'Heading' +p162 +ttRp163 +(dp164 +g11 +g12 +((ltRp165 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Inserted' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Strong' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g131 +sbag134 +atRp174 +sg170 +g171 +sg166 +g167 +sg162 +g163 +sbsS'Text' +p175 +g2 +(g3 +g4 +(g175 +ttRp176 +(dp177 +g11 +g12 +((lp178 +g2 +(g3 +g4 +(g175 +S'Symbol' +p179 +ttRp180 +(dp181 +g11 +g12 +((ltRp182 +sg14 +g176 +sbag2 +(g3 +g4 +(g175 +S'Whitespace' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g176 +sbatRp187 +sg179 +g180 +sg183 +g184 +sg14 +g15 +sbsS'Punctuation' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g11 +g12 +((lp191 +g2 +(g3 +g4 +(g188 +S'Indicator' +p192 +ttRp193 +(dp194 +g11 +g12 +((ltRp195 +sg14 +g189 +sbatRp196 +sg192 +g193 +sg14 +g15 +sbsS'Token' +p197 +g15 +sS'Number' +p198 +g2 +(g3 +g4 +(S'Literal' +p199 +g198 +ttRp200 +(dp201 +S'Bin' +p202 +g2 +(g3 +g4 +(g199 +g198 +g202 +ttRp203 +(dp204 +g11 +g12 +((ltRp205 +sg14 +g200 +sbsS'Binary' +p206 +g2 +(g3 +g4 +(g199 +g198 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g200 +sbsg14 +g2 +(g3 +g4 +(g199 +ttRp210 +(dp211 +S'String' +p212 +g2 +(g3 +g4 +(g199 +g212 +ttRp213 +(dp214 +S'Regex' +p215 +g2 +(g3 +g4 +(g199 +g212 +g215 +ttRp216 +(dp217 +g11 +g12 +((ltRp218 +sg14 +g213 +sbsS'Interpol' +p219 +g2 +(g3 +g4 +(g199 +g212 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g213 +sbsS'Regexp' +p223 +g2 +(g3 +g4 +(g199 +g212 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g213 +sbsg14 +g210 +sS'Heredoc' +p227 +g2 +(g3 +g4 +(g199 +g212 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g213 +sbsS'Double' +p231 +g2 +(g3 +g4 +(g199 +g212 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g213 +sbsg179 +g2 +(g3 +g4 +(g199 +g212 +g179 +ttRp235 +(dp236 +g11 +g12 +((ltRp237 +sg14 +g213 +sbsS'Escape' +p238 +g2 +(g3 +g4 +(g199 +g212 +g238 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g213 +sbsS'Character' +p242 +g2 +(g3 +g4 +(g199 +g212 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g213 +sbsS'Interp' +p246 +g2 +(g3 +g4 +(g199 +g212 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g213 +sbsS'Backtick' +p250 +g2 +(g3 +g4 +(g199 +g212 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g213 +sbsS'Char' +p254 +g2 +(g3 +g4 +(g199 +g212 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g213 +sbsg24 +g2 +(g3 +g4 +(g199 +g212 +g24 +ttRp258 +(dp259 +g11 +g12 +((ltRp260 +sg14 +g213 +sbsg84 +g2 +(g3 +g4 +(g199 +g212 +g84 +ttRp261 +(dp262 +g11 +g12 +((ltRp263 +sg14 +g213 +sbsS'Doc' +p264 +g2 +(g3 +g4 +(g199 +g212 +g264 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g213 +sbsg11 +g12 +((lp268 +g261 +ag2 +(g3 +g4 +(g199 +g212 +S'Atom' +p269 +ttRp270 +(dp271 +g11 +g12 +((ltRp272 +sg14 +g213 +sbag232 +ag255 +ag247 +ag265 +ag228 +ag251 +ag220 +ag235 +ag224 +ag216 +ag258 +ag243 +ag239 +atRp273 +sg269 +g270 +sbsg14 +g15 +sg198 +g200 +sS'Scalar' +p274 +g2 +(g3 +g4 +(g199 +g274 +ttRp275 +(dp276 +g11 +g12 +((lp277 +g2 +(g3 +g4 +(g199 +g274 +S'Plain' +p278 +ttRp279 +(dp280 +g11 +g12 +((ltRp281 +sg14 +g275 +sbatRp282 +sg14 +g210 +sg278 +g279 +sbsg84 +g2 +(g3 +g4 +(g199 +g84 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g210 +sbsS'Date' +p286 +g2 +(g3 +g4 +(g199 +g286 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g210 +sbsg11 +g12 +((lp290 +g287 +ag213 +ag283 +ag200 +ag275 +atRp291 +sbsS'Decimal' +p292 +g2 +(g3 +g4 +(g199 +g198 +g292 +ttRp293 +(dp294 +g11 +g12 +((ltRp295 +sg14 +g200 +sbsS'Float' +p296 +g2 +(g3 +g4 +(g199 +g198 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g200 +sbsS'Hex' +p300 +g2 +(g3 +g4 +(g199 +g198 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g200 +sbsS'Integer' +p304 +g2 +(g3 +g4 +(g199 +g198 +g304 +ttRp305 +(dp306 +g11 +g12 +((lp307 +g2 +(g3 +g4 +(g199 +g198 +g304 +S'Long' +p308 +ttRp309 +(dp310 +g11 +g12 +((ltRp311 +sg14 +g305 +sbatRp312 +sg308 +g309 +sg14 +g200 +sbsS'Octal' +p313 +g2 +(g3 +g4 +(g199 +g198 +g313 +ttRp314 +(dp315 +g11 +g12 +((ltRp316 +sg14 +g200 +sbsg11 +g12 +((lp317 +g203 +ag207 +ag314 +ag293 +ag2 +(g3 +g4 +(g199 +g198 +S'Oct' +p318 +ttRp319 +(dp320 +g11 +g12 +((ltRp321 +sg14 +g200 +sbag305 +ag297 +ag301 +atRp322 +sg318 +g319 +sbsg199 +g210 +sg84 +g2 +(g3 +g4 +(g84 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g15 +sbsg157 +g2 +(g3 +g4 +(g157 +ttRp326 +(dp327 +g11 +g12 +((ltRp328 +sg14 +g15 +sbsS'Operator' +p329 +g2 +(g3 +g4 +(g329 +ttRp330 +(dp331 +g11 +g12 +((lp332 +g2 +(g3 +g4 +(g329 +S'Word' +p333 +ttRp334 +(dp335 +g11 +g12 +((ltRp336 +sg14 +g330 +sbatRp337 +sg333 +g334 +sg14 +g15 +sbsg11 +g12 +((lp338 +g18 +ag326 +ag131 +ag176 +ag39 +ag189 +ag6 +ag210 +ag330 +ag323 +atRp339 +sg212 +g213 +sbsg118 +g2 +(g3 +g4 +(g5 +g118 +ttRp340 +(dp341 +g11 +g12 +((ltRp342 +sg14 +g6 +sbsg56 +g2 +(g3 +g4 +(g5 +g56 +ttRp343 +(dp344 +g11 +g12 +((ltRp345 +sg14 +g6 +sbsS'Reserved' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Declaration' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsg92 +g2 +(g3 +g4 +(g5 +g92 +ttRp354 +(dp355 +g11 +g12 +((ltRp356 +sg14 +g6 +sbsg11 +g12 +((lp357 +g9 +ag347 +ag2 +(g3 +g4 +(g5 +S'Type' +p358 +ttRp359 +(dp360 +g11 +g12 +((ltRp361 +sg14 +g6 +sbag351 +ag354 +ag340 +ag343 +atRp362 +sg358 +g359 +sbVfastcgi.server +p363 +tp364 +a(g176 +V +tp365 +a(g330 +V= +tp366 +a(g176 +V +tp367 +a(g189 +V( +tp368 +a(g176 +V +tp369 +a(g232 +V".php" +p370 +tp371 +a(g176 +V +tp372 +a(g330 +V=> +p373 +tp374 +a(g176 +V +tp375 +a(g189 +V( +tp376 +a(g189 +V( +tp377 +a(g176 +V \u000a +p378 +tp379 +a(g232 +V"bin-path" +p380 +tp381 +a(g176 +V +tp382 +a(g330 +V=> +p383 +tp384 +a(g176 +V +tp385 +a(g232 +V"/path/to/php-cgi" +p386 +tp387 +a(g189 +V, +tp388 +a(g176 +V\u000a +p389 +tp390 +a(g232 +V"socket" +p391 +tp392 +a(g176 +V +tp393 +a(g330 +V=> +p394 +tp395 +a(g176 +V +tp396 +a(g232 +V"/tmp/php.socket" +p397 +tp398 +a(g189 +V, +tp399 +a(g176 +V\u000a +p400 +tp401 +a(g232 +V"max-procs" +p402 +tp403 +a(g176 +V +tp404 +a(g330 +V=> +p405 +tp406 +a(g176 +V +tp407 +a(g200 +V2 +tp408 +a(g189 +V, +tp409 +a(g176 +V\u000a +p410 +tp411 +a(g232 +V"bin-environment" +p412 +tp413 +a(g176 +V +tp414 +a(g330 +V=> +p415 +tp416 +a(g176 +V +tp417 +a(g189 +V( +tp418 +a(g176 +V \u000a +p419 +tp420 +a(g232 +V"PHP_FCGI_CHILDREN" +p421 +tp422 +a(g176 +V +tp423 +a(g330 +V=> +p424 +tp425 +a(g176 +V +tp426 +a(g232 +V"16" +p427 +tp428 +a(g189 +V, +tp429 +a(g176 +V\u000a +p430 +tp431 +a(g232 +V"PHP_FCGI_MAX_REQUESTS" +p432 +tp433 +a(g176 +V +tp434 +a(g330 +V=> +p435 +tp436 +a(g176 +V +tp437 +a(g232 +V"10000" +p438 +tp439 +a(g176 +V\u000a +p440 +tp441 +a(g189 +V) +tp442 +a(g189 +V, +tp443 +a(g176 +V\u000a +p444 +tp445 +a(g232 +V"bin-copy-environment" +p446 +tp447 +a(g176 +V +tp448 +a(g330 +V=> +p449 +tp450 +a(g176 +V +tp451 +a(g189 +V( +tp452 +a(g176 +V\u000a +p453 +tp454 +a(g232 +V"PATH" +p455 +tp456 +a(g189 +V, +tp457 +a(g176 +V +tp458 +a(g232 +V"SHELL" +p459 +tp460 +a(g189 +V, +tp461 +a(g176 +V +tp462 +a(g232 +V"USER" +p463 +tp464 +a(g176 +V\u000a +p465 +tp466 +a(g189 +V) +tp467 +a(g189 +V, +tp468 +a(g176 +V\u000a +p469 +tp470 +a(g232 +V"broken-scriptfilename" +p471 +tp472 +a(g176 +V +tp473 +a(g330 +V=> +p474 +tp475 +a(g176 +V +tp476 +a(g232 +V"enable" +p477 +tp478 +a(g176 +V\u000a +p479 +tp480 +a(g189 +V) +tp481 +a(g189 +V) +tp482 +a(g189 +V) +tp483 +a(g176 +V\u000a +tp484 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/linecontinuation.py b/tests/examplefiles/output/linecontinuation.py new file mode 100644 index 0000000..83e7094 --- /dev/null +++ b/tests/examplefiles/output/linecontinuation.py @@ -0,0 +1,1858 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Name' +p5 +ttRp6 +(dp7 +S'Function' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsS'Exception' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g11 +g12 +((ltRp18 +sg14 +g6 +sbsS'Tag' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g11 +g12 +((ltRp22 +sg14 +g6 +sbsS'Constant' +p23 +g2 +(g3 +g4 +(g5 +g23 +ttRp24 +(dp25 +g11 +g12 +((ltRp26 +sg14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp27 +(dp28 +S'Comment' +p29 +g2 +(g3 +g4 +(g29 +ttRp30 +(dp31 +g14 +g27 +sS'Preproc' +p32 +g2 +(g3 +g4 +(g29 +g32 +ttRp33 +(dp34 +g11 +g12 +((ltRp35 +sg14 +g30 +sbsS'Single' +p36 +g2 +(g3 +g4 +(g29 +g36 +ttRp37 +(dp38 +g11 +g12 +((ltRp39 +sg14 +g30 +sbsS'Multiline' +p40 +g2 +(g3 +g4 +(g29 +g40 +ttRp41 +(dp42 +g11 +g12 +((ltRp43 +sg14 +g30 +sbsg11 +g12 +((lp44 +g2 +(g3 +g4 +(g29 +S'Special' +p45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g30 +sbag33 +ag37 +ag41 +atRp49 +sg45 +g46 +sbsg5 +g6 +sS'Keyword' +p50 +g2 +(g3 +g4 +(g50 +ttRp51 +(dp52 +g23 +g2 +(g3 +g4 +(g50 +g23 +ttRp53 +(dp54 +g11 +g12 +((ltRp55 +sg14 +g51 +sbsg14 +g27 +sS'Namespace' +p56 +g2 +(g3 +g4 +(g50 +g56 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g51 +sbsS'Pseudo' +p60 +g2 +(g3 +g4 +(g50 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g51 +sbsS'Reserved' +p64 +g2 +(g3 +g4 +(g50 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g51 +sbsS'Declaration' +p68 +g2 +(g3 +g4 +(g50 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g51 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g50 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g51 +sbsg11 +g12 +((lp76 +g53 +ag65 +ag2 +(g3 +g4 +(g50 +S'Type' +p77 +ttRp78 +(dp79 +g11 +g12 +((ltRp80 +sg14 +g51 +sbag69 +ag73 +ag57 +ag61 +atRp81 +sg77 +g78 +sbsS'Generic' +p82 +g2 +(g3 +g4 +(g82 +ttRp83 +(dp84 +S'Prompt' +p85 +g2 +(g3 +g4 +(g82 +g85 +ttRp86 +(dp87 +g11 +g12 +((ltRp88 +sg14 +g83 +sbsg14 +g27 +sS'Deleted' +p89 +g2 +(g3 +g4 +(g82 +g89 +ttRp90 +(dp91 +g11 +g12 +((ltRp92 +sg14 +g83 +sbsS'Traceback' +p93 +g2 +(g3 +g4 +(g82 +g93 +ttRp94 +(dp95 +g11 +g12 +((ltRp96 +sg14 +g83 +sbsS'Emph' +p97 +g2 +(g3 +g4 +(g82 +g97 +ttRp98 +(dp99 +g11 +g12 +((ltRp100 +sg14 +g83 +sbsS'Output' +p101 +g2 +(g3 +g4 +(g82 +g101 +ttRp102 +(dp103 +g11 +g12 +((ltRp104 +sg14 +g83 +sbsS'Subheading' +p105 +g2 +(g3 +g4 +(g82 +g105 +ttRp106 +(dp107 +g11 +g12 +((ltRp108 +sg14 +g83 +sbsS'Error' +p109 +g2 +(g3 +g4 +(g82 +g109 +ttRp110 +(dp111 +g11 +g12 +((ltRp112 +sg14 +g83 +sbsg11 +g12 +((lp113 +g102 +ag98 +ag110 +ag106 +ag94 +ag90 +ag2 +(g3 +g4 +(g82 +S'Heading' +p114 +ttRp115 +(dp116 +g11 +g12 +((ltRp117 +sg14 +g83 +sbag2 +(g3 +g4 +(g82 +S'Inserted' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g83 +sbag2 +(g3 +g4 +(g82 +S'Strong' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g83 +sbag86 +atRp126 +sg122 +g123 +sg118 +g119 +sg114 +g115 +sbsS'Text' +p127 +g2 +(g3 +g4 +(g127 +ttRp128 +(dp129 +g11 +g12 +((lp130 +g2 +(g3 +g4 +(g127 +S'Symbol' +p131 +ttRp132 +(dp133 +g11 +g12 +((ltRp134 +sg14 +g128 +sbag2 +(g3 +g4 +(g127 +S'Whitespace' +p135 +ttRp136 +(dp137 +g11 +g12 +((ltRp138 +sg14 +g128 +sbatRp139 +sg131 +g132 +sg135 +g136 +sg14 +g27 +sbsS'Punctuation' +p140 +g2 +(g3 +g4 +(g140 +ttRp141 +(dp142 +g11 +g12 +((lp143 +g2 +(g3 +g4 +(g140 +S'Indicator' +p144 +ttRp145 +(dp146 +g11 +g12 +((ltRp147 +sg14 +g141 +sbatRp148 +sg144 +g145 +sg14 +g27 +sbsS'Token' +p149 +g27 +sS'Number' +p150 +g2 +(g3 +g4 +(S'Literal' +p151 +g150 +ttRp152 +(dp153 +S'Bin' +p154 +g2 +(g3 +g4 +(g151 +g150 +g154 +ttRp155 +(dp156 +g11 +g12 +((ltRp157 +sg14 +g152 +sbsS'Binary' +p158 +g2 +(g3 +g4 +(g151 +g150 +g158 +ttRp159 +(dp160 +g11 +g12 +((ltRp161 +sg14 +g152 +sbsg14 +g2 +(g3 +g4 +(g151 +ttRp162 +(dp163 +S'String' +p164 +g2 +(g3 +g4 +(g151 +g164 +ttRp165 +(dp166 +S'Regex' +p167 +g2 +(g3 +g4 +(g151 +g164 +g167 +ttRp168 +(dp169 +g11 +g12 +((ltRp170 +sg14 +g165 +sbsS'Interpol' +p171 +g2 +(g3 +g4 +(g151 +g164 +g171 +ttRp172 +(dp173 +g11 +g12 +((ltRp174 +sg14 +g165 +sbsS'Regexp' +p175 +g2 +(g3 +g4 +(g151 +g164 +g175 +ttRp176 +(dp177 +g11 +g12 +((ltRp178 +sg14 +g165 +sbsg14 +g162 +sS'Heredoc' +p179 +g2 +(g3 +g4 +(g151 +g164 +g179 +ttRp180 +(dp181 +g11 +g12 +((ltRp182 +sg14 +g165 +sbsS'Double' +p183 +g2 +(g3 +g4 +(g151 +g164 +g183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g165 +sbsg131 +g2 +(g3 +g4 +(g151 +g164 +g131 +ttRp187 +(dp188 +g11 +g12 +((ltRp189 +sg14 +g165 +sbsS'Escape' +p190 +g2 +(g3 +g4 +(g151 +g164 +g190 +ttRp191 +(dp192 +g11 +g12 +((ltRp193 +sg14 +g165 +sbsS'Character' +p194 +g2 +(g3 +g4 +(g151 +g164 +g194 +ttRp195 +(dp196 +g11 +g12 +((ltRp197 +sg14 +g165 +sbsS'Interp' +p198 +g2 +(g3 +g4 +(g151 +g164 +g198 +ttRp199 +(dp200 +g11 +g12 +((ltRp201 +sg14 +g165 +sbsS'Backtick' +p202 +g2 +(g3 +g4 +(g151 +g164 +g202 +ttRp203 +(dp204 +g11 +g12 +((ltRp205 +sg14 +g165 +sbsS'Char' +p206 +g2 +(g3 +g4 +(g151 +g164 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g165 +sbsg36 +g2 +(g3 +g4 +(g151 +g164 +g36 +ttRp210 +(dp211 +g11 +g12 +((ltRp212 +sg14 +g165 +sbsS'Other' +p213 +g2 +(g3 +g4 +(g151 +g164 +g213 +ttRp214 +(dp215 +g11 +g12 +((ltRp216 +sg14 +g165 +sbsS'Doc' +p217 +g2 +(g3 +g4 +(g151 +g164 +g217 +ttRp218 +(dp219 +g11 +g12 +((ltRp220 +sg14 +g165 +sbsg11 +g12 +((lp221 +g214 +ag2 +(g3 +g4 +(g151 +g164 +S'Atom' +p222 +ttRp223 +(dp224 +g11 +g12 +((ltRp225 +sg14 +g165 +sbag184 +ag207 +ag199 +ag218 +ag180 +ag203 +ag172 +ag187 +ag176 +ag168 +ag210 +ag195 +ag191 +atRp226 +sg222 +g223 +sbsg14 +g27 +sg150 +g152 +sS'Scalar' +p227 +g2 +(g3 +g4 +(g151 +g227 +ttRp228 +(dp229 +g11 +g12 +((lp230 +g2 +(g3 +g4 +(g151 +g227 +S'Plain' +p231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g228 +sbatRp235 +sg14 +g162 +sg231 +g232 +sbsg213 +g2 +(g3 +g4 +(g151 +g213 +ttRp236 +(dp237 +g11 +g12 +((ltRp238 +sg14 +g162 +sbsS'Date' +p239 +g2 +(g3 +g4 +(g151 +g239 +ttRp240 +(dp241 +g11 +g12 +((ltRp242 +sg14 +g162 +sbsg11 +g12 +((lp243 +g240 +ag165 +ag236 +ag152 +ag228 +atRp244 +sbsS'Decimal' +p245 +g2 +(g3 +g4 +(g151 +g150 +g245 +ttRp246 +(dp247 +g11 +g12 +((ltRp248 +sg14 +g152 +sbsS'Float' +p249 +g2 +(g3 +g4 +(g151 +g150 +g249 +ttRp250 +(dp251 +g11 +g12 +((ltRp252 +sg14 +g152 +sbsS'Hex' +p253 +g2 +(g3 +g4 +(g151 +g150 +g253 +ttRp254 +(dp255 +g11 +g12 +((ltRp256 +sg14 +g152 +sbsS'Integer' +p257 +g2 +(g3 +g4 +(g151 +g150 +g257 +ttRp258 +(dp259 +g11 +g12 +((lp260 +g2 +(g3 +g4 +(g151 +g150 +g257 +S'Long' +p261 +ttRp262 +(dp263 +g11 +g12 +((ltRp264 +sg14 +g258 +sbatRp265 +sg261 +g262 +sg14 +g152 +sbsS'Octal' +p266 +g2 +(g3 +g4 +(g151 +g150 +g266 +ttRp267 +(dp268 +g11 +g12 +((ltRp269 +sg14 +g152 +sbsg11 +g12 +((lp270 +g155 +ag159 +ag267 +ag246 +ag2 +(g3 +g4 +(g151 +g150 +S'Oct' +p271 +ttRp272 +(dp273 +g11 +g12 +((ltRp274 +sg14 +g152 +sbag258 +ag250 +ag254 +atRp275 +sg271 +g272 +sbsg151 +g162 +sg213 +g2 +(g3 +g4 +(g213 +ttRp276 +(dp277 +g11 +g12 +((ltRp278 +sg14 +g27 +sbsg109 +g2 +(g3 +g4 +(g109 +ttRp279 +(dp280 +g11 +g12 +((ltRp281 +sg14 +g27 +sbsS'Operator' +p282 +g2 +(g3 +g4 +(g282 +ttRp283 +(dp284 +g11 +g12 +((lp285 +g2 +(g3 +g4 +(g282 +S'Word' +p286 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g283 +sbatRp290 +sg286 +g287 +sg14 +g27 +sbsg11 +g12 +((lp291 +g30 +ag279 +ag83 +ag128 +ag6 +ag141 +ag51 +ag162 +ag283 +ag276 +atRp292 +sg164 +g165 +sbsg60 +g2 +(g3 +g4 +(g5 +g60 +ttRp293 +(dp294 +g11 +g12 +((ltRp295 +sg14 +g6 +sbsS'Attribute' +p296 +g2 +(g3 +g4 +(g5 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g6 +sbsS'Label' +p300 +g2 +(g3 +g4 +(g5 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g6 +sbsS'Blubb' +p304 +g2 +(g3 +g4 +(g5 +g304 +ttRp305 +(dp306 +g11 +g12 +((ltRp307 +sg14 +g6 +sbsS'Entity' +p308 +g2 +(g3 +g4 +(g5 +g308 +ttRp309 +(dp310 +g11 +g12 +((ltRp311 +sg14 +g6 +sbsS'Builtin' +p312 +g2 +(g3 +g4 +(g5 +g312 +ttRp313 +(dp314 +g11 +g12 +((lp315 +g2 +(g3 +g4 +(g5 +g312 +g60 +ttRp316 +(dp317 +g11 +g12 +((ltRp318 +sg14 +g313 +sbatRp319 +sg60 +g316 +sg14 +g6 +sbsg213 +g2 +(g3 +g4 +(g5 +g213 +ttRp320 +(dp321 +g11 +g12 +((ltRp322 +sg14 +g6 +sbsS'Identifier' +p323 +g2 +(g3 +g4 +(g5 +g323 +ttRp324 +(dp325 +g11 +g12 +((ltRp326 +sg14 +g6 +sbsg72 +g2 +(g3 +g4 +(g5 +g72 +ttRp327 +(dp328 +g14 +g6 +sS'Global' +p329 +g2 +(g3 +g4 +(g5 +g72 +g329 +ttRp330 +(dp331 +g11 +g12 +((ltRp332 +sg14 +g327 +sbsS'Instance' +p333 +g2 +(g3 +g4 +(g5 +g72 +g333 +ttRp334 +(dp335 +g11 +g12 +((ltRp336 +sg14 +g327 +sbsS'Anonymous' +p337 +g2 +(g3 +g4 +(g5 +g72 +g337 +ttRp338 +(dp339 +g11 +g12 +((ltRp340 +sg14 +g327 +sbsg11 +g12 +((lp341 +g338 +ag334 +ag330 +ag2 +(g3 +g4 +(g5 +g72 +S'Class' +p342 +ttRp343 +(dp344 +g11 +g12 +((ltRp345 +sg14 +g327 +sbatRp346 +sg342 +g343 +sbsg11 +g12 +((lp347 +g2 +(g3 +g4 +(g5 +S'Decorator' +p348 +ttRp349 +(dp350 +g11 +g12 +((ltRp351 +sg14 +g6 +sbag297 +ag24 +ag293 +ag2 +(g3 +g4 +(g5 +g56 +ttRp352 +(dp353 +g11 +g12 +((ltRp354 +sg14 +g6 +sbag324 +ag313 +ag327 +ag320 +ag305 +ag309 +ag9 +ag2 +(g3 +g4 +(g5 +S'Property' +p355 +ttRp356 +(dp357 +g11 +g12 +((ltRp358 +sg14 +g6 +sbag301 +ag20 +ag16 +ag2 +(g3 +g4 +(g5 +g342 +ttRp359 +(dp360 +g11 +g12 +((ltRp361 +sg14 +g6 +sbatRp362 +sg355 +g356 +sg342 +g359 +sg348 +g349 +sg56 +g352 +sbVapple +p363 +tp364 +a(g283 +V. +tp365 +a(g6 +Vfilter +p366 +tp367 +a(g141 +V( +tp368 +a(g6 +Vx +tp369 +a(g141 +V, +tp370 +a(g128 +V +tp371 +a(g6 +Vy +tp372 +a(g141 +V) +tp373 +a(g128 +V\u000a +tp374 +a(g6 +Vapple +p375 +tp376 +a(g283 +V. +tp377 +a(g128 +V\u005c\u000a +p378 +tp379 +a(g128 +V +p380 +tp381 +a(g313 +Vfilter +p382 +tp383 +a(g141 +V( +tp384 +a(g6 +Vx +tp385 +a(g141 +V, +tp386 +a(g128 +V +tp387 +a(g6 +Vy +tp388 +a(g141 +V) +tp389 +a(g128 +V\u000a +tp390 +a(g128 +V\u000a +tp391 +a(g258 +V1 +tp392 +a(g128 +V +tp393 +a(g128 +V\u005c\u000a +p394 +tp395 +a(g128 +V +p396 +tp397 +a(g283 +V. +tp398 +a(g128 +V +tp399 +a(g128 +V\u005c\u000a +p400 +tp401 +a(g128 +V +p402 +tp403 +a(g6 +V__str__ +p404 +tp405 +a(g128 +V\u000a +tp406 +a(g128 +V\u000a +tp407 +a(g57 +Vfrom +p408 +tp409 +a(g128 +V +tp410 +a(g352 +Vos +p411 +tp412 +a(g128 +V +tp413 +a(g57 +Vimport +p414 +tp415 +a(g128 +V +tp416 +a(g6 +Vpath +p417 +tp418 +a(g128 +V\u000a +tp419 +a(g57 +Vfrom +p420 +tp421 +a(g128 +V \u005c\u000a +p422 +tp423 +a(g352 +Vos +p424 +tp425 +a(g128 +V \u005c\u000a +p426 +tp427 +a(g57 +Vimport +p428 +tp429 +a(g128 +V +tp430 +a(g128 +V\u005c\u000a +p431 +tp432 +a(g128 +V +p433 +tp434 +a(g6 +Vpath +p435 +tp436 +a(g128 +V\u000a +tp437 +a(g128 +V\u000a +tp438 +a(g57 +Vimport +p439 +tp440 +a(g128 +V +tp441 +a(g352 +Vos.path +p442 +tp443 +a(g128 +V +tp444 +a(g57 +Vas +p445 +tp446 +a(g128 +V +tp447 +a(g352 +Vsomething +p448 +tp449 +a(g128 +V +tp450 +a(g128 +V\u000a +tp451 +a(g128 +V\u000a +tp452 +a(g57 +Vimport +p453 +tp454 +a(g128 +V \u005c\u000a +p455 +tp456 +a(g352 +Vos.path +p457 +tp458 +a(g128 +V \u005c\u000a +p459 +tp460 +a(g57 +Vas +p461 +tp462 +a(g128 +V \u005c\u000a +p463 +tp464 +a(g352 +Vsomething +p465 +tp466 +a(g128 +V +tp467 +a(g128 +V\u000a +tp468 +a(g128 +V\u000a +tp469 +a(g51 +Vclass +p470 +tp471 +a(g128 +V \u005c\u000a +p472 +tp473 +a(g359 +VSpam +p474 +tp475 +a(g141 +V: +tp476 +a(g128 +V\u000a +tp477 +a(g128 +V +p478 +tp479 +a(g51 +Vpass +p480 +tp481 +a(g128 +V\u000a +tp482 +a(g128 +V\u000a +tp483 +a(g51 +Vclass +p484 +tp485 +a(g128 +V +tp486 +a(g359 +VSpam +p487 +tp488 +a(g141 +V: +tp489 +a(g128 +V +tp490 +a(g51 +Vpass +p491 +tp492 +a(g128 +V\u000a +tp493 +a(g128 +V\u000a +tp494 +a(g51 +Vclass +p495 +tp496 +a(g128 +V +tp497 +a(g359 +VSpam +p498 +tp499 +a(g141 +V( +tp500 +a(g313 +Vobject +p501 +tp502 +a(g141 +V) +tp503 +a(g141 +V: +tp504 +a(g128 +V\u000a +tp505 +a(g128 +V +p506 +tp507 +a(g51 +Vpass +p508 +tp509 +a(g128 +V\u000a +tp510 +a(g128 +V\u000a +tp511 +a(g51 +Vclass +p512 +tp513 +a(g128 +V \u005c\u000a +p514 +tp515 +a(g359 +VSpam +p516 +tp517 +a(g128 +V +tp518 +a(g128 +V\u005c\u000a +p519 +tp520 +a(g128 +V +p521 +tp522 +a(g141 +V( +tp523 +a(g128 +V\u000a +tp524 +a(g128 +V +p525 +tp526 +a(g313 +Vobject +p527 +tp528 +a(g128 +V\u000a +tp529 +a(g128 +V +tp530 +a(g141 +V) +tp531 +a(g128 +V +tp532 +a(g128 +V\u005c\u000a +p533 +tp534 +a(g128 +V +tp535 +a(g141 +V: +tp536 +a(g128 +V\u000a +tp537 +a(g128 +V +tp538 +a(g51 +Vpass +p539 +tp540 +a(g128 +V\u000a +tp541 +a(g128 +V\u000a +tp542 +a(g128 +V\u000a +tp543 +a(g51 +Vdef +p544 +tp545 +a(g128 +V \u005c\u000a +p546 +tp547 +a(g9 +Vspam +p548 +tp549 +a(g128 +V +tp550 +a(g128 +V\u005c\u000a +p551 +tp552 +a(g128 +V +p553 +tp554 +a(g141 +V( +tp555 +a(g128 +V +tp556 +a(g128 +V\u005c\u000a +p557 +tp558 +a(g128 +V +p559 +tp560 +a(g141 +V) +tp561 +a(g128 +V +tp562 +a(g128 +V\u005c\u000a +p563 +tp564 +a(g128 +V +p565 +tp566 +a(g141 +V: +tp567 +a(g128 +V +tp568 +a(g128 +V\u005c\u000a +p569 +tp570 +a(g128 +V +p571 +tp572 +a(g51 +Vpass +p573 +tp574 +a(g128 +V\u000a +tp575 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ltmain.sh b/tests/examplefiles/output/ltmain.sh new file mode 100644 index 0000000..b42c26a --- /dev/null +++ b/tests/examplefiles/output/ltmain.sh @@ -0,0 +1,48393 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +g28 +g2 +(g3 +g4 +(g106 +g28 +ttRp109 +(dp110 +g17 +g18 +((ltRp111 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp112 +(dp113 +g17 +g18 +((ltRp114 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp115 +(dp116 +g17 +g18 +((ltRp117 +sg8 +g107 +sbsS'Reserved' +p118 +g2 +(g3 +g4 +(g106 +g118 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Declaration' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp126 +(dp127 +g17 +g18 +((ltRp128 +sg8 +g107 +sbsg17 +g18 +((lp129 +g109 +ag119 +ag2 +(g3 +g4 +(g106 +S'Type' +p130 +ttRp131 +(dp132 +g17 +g18 +((ltRp133 +sg8 +g107 +sbag123 +ag126 +ag112 +ag115 +atRp134 +sg130 +g131 +sbsS'Generic' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +S'Prompt' +p138 +g2 +(g3 +g4 +(g135 +g138 +ttRp139 +(dp140 +g17 +g18 +((ltRp141 +sg8 +g136 +sbsg8 +g9 +sS'Deleted' +p142 +g2 +(g3 +g4 +(g135 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g136 +sbsS'Traceback' +p146 +g2 +(g3 +g4 +(g135 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g136 +sbsS'Emph' +p150 +g2 +(g3 +g4 +(g135 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g136 +sbsS'Output' +p154 +g2 +(g3 +g4 +(g135 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g136 +sbsS'Subheading' +p158 +g2 +(g3 +g4 +(g135 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g136 +sbsS'Error' +p162 +g2 +(g3 +g4 +(g135 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g136 +sbsg17 +g18 +((lp166 +g155 +ag151 +ag163 +ag159 +ag147 +ag143 +ag2 +(g3 +g4 +(g135 +S'Heading' +p167 +ttRp168 +(dp169 +g17 +g18 +((ltRp170 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Inserted' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Strong' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g136 +sbag139 +atRp179 +sg175 +g176 +sg171 +g172 +sg167 +g168 +sbsS'Text' +p180 +g2 +(g3 +g4 +(g180 +ttRp181 +(dp182 +g17 +g18 +((lp183 +g2 +(g3 +g4 +(g180 +S'Symbol' +p184 +ttRp185 +(dp186 +g17 +g18 +((ltRp187 +sg8 +g181 +sbag2 +(g3 +g4 +(g180 +S'Whitespace' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g181 +sbatRp192 +sg184 +g185 +sg188 +g189 +sg8 +g9 +sbsS'Punctuation' +p193 +g2 +(g3 +g4 +(g193 +ttRp194 +(dp195 +g17 +g18 +((lp196 +g2 +(g3 +g4 +(g193 +S'Indicator' +p197 +ttRp198 +(dp199 +g17 +g18 +((ltRp200 +sg8 +g194 +sbatRp201 +sg197 +g198 +sg8 +g9 +sbsS'Token' +p202 +g9 +sS'Number' +p203 +g2 +(g3 +g4 +(S'Literal' +p204 +g203 +ttRp205 +(dp206 +S'Bin' +p207 +g2 +(g3 +g4 +(g204 +g203 +g207 +ttRp208 +(dp209 +g17 +g18 +((ltRp210 +sg8 +g205 +sbsS'Binary' +p211 +g2 +(g3 +g4 +(g204 +g203 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g205 +sbsg8 +g2 +(g3 +g4 +(g204 +ttRp215 +(dp216 +S'String' +p217 +g2 +(g3 +g4 +(g204 +g217 +ttRp218 +(dp219 +S'Regex' +p220 +g2 +(g3 +g4 +(g204 +g217 +g220 +ttRp221 +(dp222 +g17 +g18 +((ltRp223 +sg8 +g218 +sbsS'Interpol' +p224 +g2 +(g3 +g4 +(g204 +g217 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g218 +sbsS'Regexp' +p228 +g2 +(g3 +g4 +(g204 +g217 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g218 +sbsg8 +g215 +sS'Heredoc' +p232 +g2 +(g3 +g4 +(g204 +g217 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g218 +sbsS'Double' +p236 +g2 +(g3 +g4 +(g204 +g217 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g218 +sbsg184 +g2 +(g3 +g4 +(g204 +g217 +g184 +ttRp240 +(dp241 +g17 +g18 +((ltRp242 +sg8 +g218 +sbsS'Escape' +p243 +g2 +(g3 +g4 +(g204 +g217 +g243 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g218 +sbsS'Character' +p247 +g2 +(g3 +g4 +(g204 +g217 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g218 +sbsS'Interp' +p251 +g2 +(g3 +g4 +(g204 +g217 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g218 +sbsS'Backtick' +p255 +g2 +(g3 +g4 +(g204 +g217 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g218 +sbsS'Char' +p259 +g2 +(g3 +g4 +(g204 +g217 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g218 +sbsS'Single' +p263 +g2 +(g3 +g4 +(g204 +g217 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g218 +sbsg60 +g2 +(g3 +g4 +(g204 +g217 +g60 +ttRp267 +(dp268 +g17 +g18 +((ltRp269 +sg8 +g218 +sbsS'Doc' +p270 +g2 +(g3 +g4 +(g204 +g217 +g270 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g218 +sbsg17 +g18 +((lp274 +g267 +ag2 +(g3 +g4 +(g204 +g217 +S'Atom' +p275 +ttRp276 +(dp277 +g17 +g18 +((ltRp278 +sg8 +g218 +sbag237 +ag260 +ag252 +ag271 +ag233 +ag256 +ag225 +ag240 +ag229 +ag221 +ag264 +ag248 +ag244 +atRp279 +sg275 +g276 +sbsg8 +g9 +sg203 +g205 +sS'Scalar' +p280 +g2 +(g3 +g4 +(g204 +g280 +ttRp281 +(dp282 +g17 +g18 +((lp283 +g2 +(g3 +g4 +(g204 +g280 +S'Plain' +p284 +ttRp285 +(dp286 +g17 +g18 +((ltRp287 +sg8 +g281 +sbatRp288 +sg8 +g215 +sg284 +g285 +sbsg60 +g2 +(g3 +g4 +(g204 +g60 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g215 +sbsS'Date' +p292 +g2 +(g3 +g4 +(g204 +g292 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g215 +sbsg17 +g18 +((lp296 +g293 +ag218 +ag289 +ag205 +ag281 +atRp297 +sbsS'Decimal' +p298 +g2 +(g3 +g4 +(g204 +g203 +g298 +ttRp299 +(dp300 +g17 +g18 +((ltRp301 +sg8 +g205 +sbsS'Float' +p302 +g2 +(g3 +g4 +(g204 +g203 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g205 +sbsS'Hex' +p306 +g2 +(g3 +g4 +(g204 +g203 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g205 +sbsS'Integer' +p310 +g2 +(g3 +g4 +(g204 +g203 +g310 +ttRp311 +(dp312 +g17 +g18 +((lp313 +g2 +(g3 +g4 +(g204 +g203 +g310 +S'Long' +p314 +ttRp315 +(dp316 +g17 +g18 +((ltRp317 +sg8 +g311 +sbatRp318 +sg314 +g315 +sg8 +g205 +sbsS'Octal' +p319 +g2 +(g3 +g4 +(g204 +g203 +g319 +ttRp320 +(dp321 +g17 +g18 +((ltRp322 +sg8 +g205 +sbsg17 +g18 +((lp323 +g208 +ag212 +ag320 +ag299 +ag2 +(g3 +g4 +(g204 +g203 +S'Oct' +p324 +ttRp325 +(dp326 +g17 +g18 +((ltRp327 +sg8 +g205 +sbag311 +ag303 +ag307 +atRp328 +sg324 +g325 +sbsg204 +g215 +sg60 +g2 +(g3 +g4 +(g60 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g9 +sbsg162 +g2 +(g3 +g4 +(g162 +ttRp332 +(dp333 +g17 +g18 +((ltRp334 +sg8 +g9 +sbsS'Operator' +p335 +g2 +(g3 +g4 +(g335 +ttRp336 +(dp337 +g17 +g18 +((lp338 +g2 +(g3 +g4 +(g335 +S'Word' +p339 +ttRp340 +(dp341 +g17 +g18 +((ltRp342 +sg8 +g336 +sbatRp343 +sg339 +g340 +sg8 +g9 +sbsg17 +g18 +((lp344 +g6 +ag332 +ag136 +ag181 +ag12 +ag194 +ag107 +ag215 +ag336 +ag329 +atRp345 +sg217 +g218 +sbsS'Preproc' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g17 +g18 +((ltRp349 +sg8 +g6 +sbsg263 +g2 +(g3 +g4 +(g5 +g263 +ttRp350 +(dp351 +g17 +g18 +((ltRp352 +sg8 +g6 +sbsS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsg17 +g18 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g17 +g18 +((ltRp361 +sg8 +g6 +sbag347 +ag350 +ag354 +atRp362 +sg358 +g359 +sbV# ltmain.sh - Provide generalized library-building support services.\u000a +p363 +tp364 +a(g6 +V# NOTE: Changing this file will not affect anything until you rerun configure.\u000a +p365 +tp366 +a(g6 +V#\u000a +p367 +tp368 +a(g6 +V# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005\u000a +p369 +tp370 +a(g6 +V# Free Software Foundation, Inc.\u000a +p371 +tp372 +a(g6 +V# Originally by Gordon Matzigkeit , 1996\u000a +p373 +tp374 +a(g6 +V#\u000a +p375 +tp376 +a(g6 +V# This program is free software; you can redistribute it and/or modify\u000a +p377 +tp378 +a(g6 +V# it under the terms of the GNU General Public License as published by\u000a +p379 +tp380 +a(g6 +V# the Free Software Foundation; either version 2 of the License, or\u000a +p381 +tp382 +a(g6 +V# (at your option) any later version.\u000a +p383 +tp384 +a(g6 +V#\u000a +p385 +tp386 +a(g6 +V# This program is distributed in the hope that it will be useful, but\u000a +p387 +tp388 +a(g6 +V# WITHOUT ANY WARRANTY; without even the implied warranty of\u000a +p389 +tp390 +a(g6 +V# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU\u000a +p391 +tp392 +a(g6 +V# General Public License for more details.\u000a +p393 +tp394 +a(g6 +V#\u000a +p395 +tp396 +a(g6 +V# You should have received a copy of the GNU General Public License\u000a +p397 +tp398 +a(g6 +V# along with this program; if not, write to the Free Software\u000a +p399 +tp400 +a(g6 +V# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.\u000a +p401 +tp402 +a(g6 +V#\u000a +p403 +tp404 +a(g6 +V# As a special exception to the GNU General Public License, if you\u000a +p405 +tp406 +a(g6 +V# distribute this file as part of a program that contains a\u000a +p407 +tp408 +a(g6 +V# configuration script generated by Autoconf, you may include it under\u000a +p409 +tp410 +a(g6 +V# the same distribution terms that you use for the rest of that program.\u000a +p411 +tp412 +a(g181 +V\u000a +tp413 +a(g69 +Vbasename +p414 +tp415 +a(g336 +V= +tp416 +a(g237 +V"s,^.*/,,g" +p417 +tp418 +a(g181 +V\u000a\u000a +p419 +tp420 +a(g6 +V# Work around backward compatibility issue on IRIX 6.5. On IRIX 6.4+, sh\u000a +p421 +tp422 +a(g6 +V# is ksh but when the shell is invoked as "sh" and the current value of\u000a +p423 +tp424 +a(g6 +V# the _XPG environment variable is not equal to 1 (one), the special\u000a +p425 +tp426 +a(g6 +V# positional parameter $0, within a function call, is the name of the\u000a +p427 +tp428 +a(g6 +V# function.\u000a +p429 +tp430 +a(g69 +Vprogpath +p431 +tp432 +a(g336 +V= +tp433 +a(g237 +V"$0" +p434 +tp435 +a(g181 +V\u000a\u000a +p436 +tp437 +a(g6 +V# define SED for historic ltconfig's generated by Libtool 1.3\u000a +p438 +tp439 +a(g53 +Vtest +p440 +tp441 +a(g181 +V +tp442 +a(g181 +V-z +p443 +tp444 +a(g181 +V +tp445 +a(g237 +V"$SED" +p446 +tp447 +a(g181 +V +tp448 +a(g336 +V&& +p449 +tp450 +a(g181 +V +tp451 +a(g69 +VSED +p452 +tp453 +a(g336 +V= +tp454 +a(g181 +Vsed +p455 +tp456 +a(g181 +V\u000a\u000a +p457 +tp458 +a(g6 +V# The name of this program:\u000a +p459 +tp460 +a(g69 +Vprogname +p461 +tp462 +a(g336 +V= +tp463 +a(g256 +V` +tp464 +a(g53 +Vecho +p465 +tp466 +a(g181 +V +tp467 +a(g237 +V"$progpath" +p468 +tp469 +a(g181 +V +tp470 +a(g181 +V| +tp471 +a(g181 +V +tp472 +a(g69 +V$SED +p473 +tp474 +a(g181 +V +tp475 +a(g69 +V$basename +p476 +tp477 +a(g256 +V` +tp478 +a(g181 +V\u000a +tp479 +a(g69 +Vmodename +p480 +tp481 +a(g336 +V= +tp482 +a(g237 +V"$progname" +p483 +tp484 +a(g181 +V\u000a\u000a +p485 +tp486 +a(g6 +V# Global variables:\u000a +p487 +tp488 +a(g69 +VEXIT_SUCCESS +p489 +tp490 +a(g336 +V= +tp491 +a(g181 +V0 +tp492 +a(g181 +V\u000a +tp493 +a(g69 +VEXIT_FAILURE +p494 +tp495 +a(g336 +V= +tp496 +a(g181 +V1 +tp497 +a(g181 +V\u000a\u000a +p498 +tp499 +a(g69 +VPROGRAM +p500 +tp501 +a(g336 +V= +tp502 +a(g181 +Vltmain.sh +p503 +tp504 +a(g181 +V\u000a +tp505 +a(g69 +VPACKAGE +p506 +tp507 +a(g336 +V= +tp508 +a(g181 +Vlibtool +p509 +tp510 +a(g181 +V\u000a +tp511 +a(g69 +VVERSION +p512 +tp513 +a(g336 +V= +tp514 +a(g181 +V1.5.22 +p515 +tp516 +a(g181 +V\u000a +tp517 +a(g69 +VTIMESTAMP +p518 +tp519 +a(g336 +V= +tp520 +a(g237 +V" (1.1220.2.365 2005/12/18 22:14:06)" +p521 +tp522 +a(g181 +V\u000a\u000a +p523 +tp524 +a(g6 +V# See if we are running on zsh, and set the options which allow our\u000a +p525 +tp526 +a(g6 +V# commands through without removal of \u005c escapes.\u000a +p527 +tp528 +a(g107 +Vif +p529 +tp530 +a(g53 +Vtest +p531 +tp532 +a(g181 +V +tp533 +a(g181 +V-n +p534 +tp535 +a(g181 +V +tp536 +a(g237 +V"${ZSH_VERSION+set}" +p537 +tp538 +a(g181 +V +tp539 +a(g181 +V; +tp540 +a(g181 +V +tp541 +a(g107 +Vthen\u000a +p542 +tp543 +a(g181 +Vsetopt +p544 +tp545 +a(g181 +V +tp546 +a(g181 +VNO_GLOB_SUBST +p547 +tp548 +a(g181 +V\u000a +tp549 +a(g107 +Vfi +p550 +tp551 +a(g181 +V\u000a +tp552 +a(g6 +V# Same for EGREP, and just to be sure, do LTCC as well\u000a +p553 +tp554 +a(g107 +Vif +p555 +tp556 +a(g53 +Vtest +p557 +tp558 +a(g181 +V +tp559 +a(g237 +V"X$EGREP" +p560 +tp561 +a(g181 +V +tp562 +a(g336 +V= +tp563 +a(g181 +V +tp564 +a(g181 +VX +tp565 +a(g181 +V +tp566 +a(g181 +V; +tp567 +a(g181 +V +tp568 +a(g107 +Vthen\u000a +p569 +tp570 +a(g69 +VEGREP +p571 +tp572 +a(g336 +V= +tp573 +a(g181 +Vegrep +p574 +tp575 +a(g181 +V\u000a +tp576 +a(g107 +Vfi\u000a +p577 +tp578 +a(g107 +Vif +p579 +tp580 +a(g53 +Vtest +p581 +tp582 +a(g181 +V +tp583 +a(g237 +V"X$LTCC" +p584 +tp585 +a(g181 +V +tp586 +a(g336 +V= +tp587 +a(g181 +V +tp588 +a(g181 +VX +tp589 +a(g181 +V +tp590 +a(g181 +V; +tp591 +a(g181 +V +tp592 +a(g107 +Vthen\u000a +p593 +tp594 +a(g69 +VLTCC +p595 +tp596 +a(g336 +V= +tp597 +a(g107 +V${ +p598 +tp599 +a(g69 +VCC +p600 +tp601 +a(g194 +V-gcc +p602 +tp603 +a(g107 +V} +tp604 +a(g181 +V\u000a +tp605 +a(g107 +Vfi +p606 +tp607 +a(g181 +V\u000a\u000a +p608 +tp609 +a(g6 +V# Check that we have a working $echo.\u000a +p610 +tp611 +a(g107 +Vif +p612 +tp613 +a(g53 +Vtest +p614 +tp615 +a(g181 +V +tp616 +a(g237 +V"X$1" +p617 +tp618 +a(g181 +V +tp619 +a(g336 +V= +tp620 +a(g181 +V +tp621 +a(g181 +VX--no-reexec; +p622 +tp623 +a(g181 +V +tp624 +a(g107 +Vthen +p625 +tp626 +a(g181 +V\u000a +p627 +tp628 +a(g6 +V# Discard the --no-reexec flag, and continue.\u000a +p629 +tp630 +a(g181 +V +p631 +tp632 +a(g53 +Vshift\u000a +p633 +tp634 +a(g107 +Velif +p635 +tp636 +a(g53 +Vtest +p637 +tp638 +a(g181 +V +tp639 +a(g237 +V"X$1" +p640 +tp641 +a(g181 +V +tp642 +a(g336 +V= +tp643 +a(g181 +V +tp644 +a(g181 +VX--fallback-echo; +p645 +tp646 +a(g181 +V +tp647 +a(g107 +Vthen +p648 +tp649 +a(g181 +V\u000a +p650 +tp651 +a(g6 +V# Avoid inline document here, it may be left over\u000a +p652 +tp653 +a(g181 +V +p654 +tp655 +a(g181 +V: +tp656 +a(g181 +V\u000a +tp657 +a(g107 +Velif +p658 +tp659 +a(g53 +Vtest +p660 +tp661 +a(g181 +V +tp662 +a(g237 +V"X`($echo '\u005ct') 2>/dev/null`" +p663 +tp664 +a(g181 +V +tp665 +a(g336 +V= +tp666 +a(g181 +V +tp667 +a(g264 +V'X\u005ct' +p668 +tp669 +a(g181 +V; +tp670 +a(g181 +V +tp671 +a(g107 +Vthen +p672 +tp673 +a(g181 +V\u000a +p674 +tp675 +a(g6 +V# Yippee, $echo works!\u000a +p676 +tp677 +a(g181 +V +p678 +tp679 +a(g181 +V: +tp680 +a(g181 +V\u000a +tp681 +a(g107 +Velse +p682 +tp683 +a(g181 +V\u000a +p684 +tp685 +a(g6 +V# Restart under the correct shell, and then maybe $echo will work.\u000a +p686 +tp687 +a(g181 +V +p688 +tp689 +a(g53 +Vexec +p690 +tp691 +a(g181 +V +tp692 +a(g69 +V$SHELL +p693 +tp694 +a(g181 +V +tp695 +a(g237 +V"$progpath" +p696 +tp697 +a(g181 +V +tp698 +a(g181 +V--no-reexec +p699 +tp700 +a(g181 +V +tp701 +a(g107 +V${ +p702 +tp703 +a(g69 +V1 +tp704 +a(g194 +V+ +tp705 +a(g237 +V"$@" +p706 +tp707 +a(g107 +V} +tp708 +a(g181 +V\u000a +tp709 +a(g107 +Vfi\u000a\u000a +p710 +tp711 +a(g107 +Vif +p712 +tp713 +a(g53 +Vtest +p714 +tp715 +a(g181 +V +tp716 +a(g237 +V"X$1" +p717 +tp718 +a(g181 +V +tp719 +a(g336 +V= +tp720 +a(g181 +V +tp721 +a(g181 +VX--fallback-echo; +p722 +tp723 +a(g181 +V +tp724 +a(g107 +Vthen +p725 +tp726 +a(g181 +V\u000a +p727 +tp728 +a(g6 +V# used as fallback echo\u000a +p729 +tp730 +a(g181 +V +p731 +tp732 +a(g53 +Vshift\u000a +p733 +tp734 +a(g181 +Vcat +p735 +tp736 +a(g181 +V +tp737 +a(g218 +V<&2 +p1019 +tp1020 +a(g181 +V\u000a +p1021 +tp1022 +a(g69 +V$echo +p1023 +tp1024 +a(g181 +V +tp1025 +a(g237 +V"Fatal configuration error. See the $PACKAGE docs for more information." +p1026 +tp1027 +a(g181 +V +tp1028 +a(g181 +V1>&2 +p1029 +tp1030 +a(g181 +V\u000a +p1031 +tp1032 +a(g53 +Vexit +p1033 +tp1034 +a(g181 +V +tp1035 +a(g69 +V$EXIT_FAILURE +p1036 +tp1037 +a(g181 +V\u000a +tp1038 +a(g107 +Vfi +p1039 +tp1040 +a(g181 +V\u000a\u000a +p1041 +tp1042 +a(g6 +V# Global variables.\u000a +p1043 +tp1044 +a(g69 +Vmode +p1045 +tp1046 +a(g336 +V= +tp1047 +a(g69 +V$default_mode +p1048 +tp1049 +a(g181 +V\u000a +tp1050 +a(g69 +Vnonopt +p1051 +tp1052 +a(g336 +V= +tp1053 +a(g181 +V\u000a +tp1054 +a(g69 +Vprev +p1055 +tp1056 +a(g336 +V= +tp1057 +a(g181 +V\u000a +tp1058 +a(g69 +Vprevopt +p1059 +tp1060 +a(g336 +V= +tp1061 +a(g181 +V\u000a +tp1062 +a(g69 +Vrun +p1063 +tp1064 +a(g336 +V= +tp1065 +a(g181 +V\u000a +tp1066 +a(g69 +Vshow +p1067 +tp1068 +a(g336 +V= +tp1069 +a(g237 +V"$echo" +p1070 +tp1071 +a(g181 +V\u000a +tp1072 +a(g69 +Vshow_help +p1073 +tp1074 +a(g336 +V= +tp1075 +a(g181 +V\u000a +tp1076 +a(g69 +Vexecute_dlfiles +p1077 +tp1078 +a(g336 +V= +tp1079 +a(g181 +V\u000a +tp1080 +a(g69 +Vduplicate_deps +p1081 +tp1082 +a(g336 +V= +tp1083 +a(g181 +Vno +p1084 +tp1085 +a(g181 +V\u000a +tp1086 +a(g69 +Vpreserve_args +p1087 +tp1088 +a(g336 +V= +tp1089 +a(g181 +V\u000a +tp1090 +a(g69 +Vlo2o +p1091 +tp1092 +a(g336 +V= +tp1093 +a(g237 +V"s/\u005c\u005c.lo\u005c$/.${objext}/" +p1094 +tp1095 +a(g181 +V\u000a +tp1096 +a(g69 +Vo2lo +p1097 +tp1098 +a(g336 +V= +tp1099 +a(g237 +V"s/\u005c\u005c.${objext}\u005c$/.lo/" +p1100 +tp1101 +a(g181 +V\u000a\u000a +p1102 +tp1103 +a(g107 +Vif +p1104 +tp1105 +a(g53 +Vtest +p1106 +tp1107 +a(g181 +V +tp1108 +a(g181 +V-z +p1109 +tp1110 +a(g181 +V +tp1111 +a(g237 +V"$max_cmd_len" +p1112 +tp1113 +a(g181 +V; +tp1114 +a(g181 +V +tp1115 +a(g107 +Vthen\u000a +p1116 +tp1117 +a(g69 +Vi +tp1118 +a(g336 +V= +tp1119 +a(g181 +V0 +tp1120 +a(g181 +V\u000a +p1121 +tp1122 +a(g69 +Vtestring +p1123 +tp1124 +a(g336 +V= +tp1125 +a(g237 +V"ABCD" +p1126 +tp1127 +a(g181 +V\u000a +p1128 +tp1129 +a(g69 +Vnew_result +p1130 +tp1131 +a(g336 +V= +tp1132 +a(g181 +V\u000a \u000a +p1133 +tp1134 +a(g6 +V# If test is not a shell built-in, we'll probably end up computing a\u000a +p1135 +tp1136 +a(g181 +V +p1137 +tp1138 +a(g6 +V# maximum length that is only half of the actual maximum length, but\u000a +p1139 +tp1140 +a(g181 +V +p1141 +tp1142 +a(g6 +V# we can't tell.\u000a +p1143 +tp1144 +a(g181 +V +p1145 +tp1146 +a(g107 +Vwhile +p1147 +tp1148 +a(g181 +V +tp1149 +a(g336 +V( +tp1150 +a(g53 +Vtest +p1151 +tp1152 +a(g181 +V +tp1153 +a(g237 +V"X" +p1154 +tp1155 +a(g256 +V` +tp1156 +a(g69 +V$SHELL +p1157 +tp1158 +a(g181 +V +tp1159 +a(g69 +V$0 +p1160 +tp1161 +a(g181 +V +tp1162 +a(g181 +V--fallback-echo +p1163 +tp1164 +a(g181 +V +tp1165 +a(g237 +V"X$testring" +p1166 +tp1167 +a(g181 +V +tp1168 +a(g181 +V2>/dev/null +p1169 +tp1170 +a(g256 +V` +tp1171 +a(g181 +V +tp1172 +a(g244 +V\u005c\u000a +p1173 +tp1174 +a(g181 +V +p1175 +tp1176 +a(g336 +V= +tp1177 +a(g181 +V +tp1178 +a(g237 +V"XX$testring" +p1179 +tp1180 +a(g336 +V) +tp1181 +a(g181 +V +tp1182 +a(g181 +V>/dev/null +p1183 +tp1184 +a(g181 +V +tp1185 +a(g181 +V2>&1 +p1186 +tp1187 +a(g181 +V +tp1188 +a(g336 +V&& +p1189 +tp1190 +a(g181 +V\u000a +p1191 +tp1192 +a(g69 +Vnew_result +p1193 +tp1194 +a(g336 +V= +tp1195 +a(g256 +V` +tp1196 +a(g181 +Vexpr +p1197 +tp1198 +a(g181 +V +tp1199 +a(g237 +V"X$testring" +p1200 +tp1201 +a(g181 +V +tp1202 +a(g181 +V: +tp1203 +a(g181 +V +tp1204 +a(g237 +V".*" +p1205 +tp1206 +a(g181 +V +tp1207 +a(g181 +V2>&1 +p1208 +tp1209 +a(g256 +V` +tp1210 +a(g181 +V +tp1211 +a(g336 +V&& +p1212 +tp1213 +a(g181 +V\u000a +p1214 +tp1215 +a(g69 +Vmax_cmd_len +p1216 +tp1217 +a(g336 +V= +tp1218 +a(g237 +V"$new_result" +p1219 +tp1220 +a(g181 +V +tp1221 +a(g336 +V&& +p1222 +tp1223 +a(g181 +V\u000a +p1224 +tp1225 +a(g53 +Vtest +p1226 +tp1227 +a(g181 +V +tp1228 +a(g237 +V"$i" +p1229 +tp1230 +a(g181 +V +tp1231 +a(g181 +V! +tp1232 +a(g336 +V= +tp1233 +a(g181 +V +tp1234 +a(g181 +V17 +p1235 +tp1236 +a(g181 +V +tp1237 +a(g6 +V# 1/2 MB should be enough\u000a +p1238 +tp1239 +a(g181 +V +p1240 +tp1241 +a(g107 +Vdo\u000a +p1242 +tp1243 +a(g69 +Vi +tp1244 +a(g336 +V= +tp1245 +a(g256 +V` +tp1246 +a(g181 +Vexpr +p1247 +tp1248 +a(g181 +V +tp1249 +a(g69 +V$i +p1250 +tp1251 +a(g181 +V +tp1252 +a(g181 +V+ +tp1253 +a(g181 +V +tp1254 +a(g181 +V1 +tp1255 +a(g256 +V` +tp1256 +a(g181 +V\u000a +p1257 +tp1258 +a(g69 +Vtestring +p1259 +tp1260 +a(g336 +V= +tp1261 +a(g237 +V"$testring$testring" +p1262 +tp1263 +a(g181 +V\u000a +p1264 +tp1265 +a(g107 +Vdone\u000a +p1266 +tp1267 +a(g69 +Vtestring +p1268 +tp1269 +a(g336 +V= +tp1270 +a(g181 +V\u000a +p1271 +tp1272 +a(g6 +V# Add a significant safety factor because C++ compilers can tack on massive\u000a +p1273 +tp1274 +a(g181 +V +p1275 +tp1276 +a(g6 +V# amounts of additional arguments before passing them to the linker.\u000a +p1277 +tp1278 +a(g181 +V +p1279 +tp1280 +a(g6 +V# It appears as though 1/2 is a usable value.\u000a +p1281 +tp1282 +a(g181 +V +p1283 +tp1284 +a(g69 +Vmax_cmd_len +p1285 +tp1286 +a(g336 +V= +tp1287 +a(g256 +V` +tp1288 +a(g181 +Vexpr +p1289 +tp1290 +a(g181 +V +tp1291 +a(g69 +V$max_cmd_len +p1292 +tp1293 +a(g181 +V +tp1294 +a(g244 +V\u005c/ +p1295 +tp1296 +a(g181 +V +tp1297 +a(g181 +V2 +tp1298 +a(g256 +V` +tp1299 +a(g181 +V\u000a +tp1300 +a(g107 +Vfi +p1301 +tp1302 +a(g181 +V\u000a\u000a +p1303 +tp1304 +a(g6 +V#####################################\u000a +p1305 +tp1306 +a(g6 +V# Shell function definitions:\u000a +p1307 +tp1308 +a(g6 +V# This seems to be the best place for them\u000a +p1309 +tp1310 +a(g181 +V\u000a +tp1311 +a(g6 +V# func_mktempdir [string]\u000a +p1312 +tp1313 +a(g6 +V# Make a temporary directory that won't clash with other running\u000a +p1314 +tp1315 +a(g6 +V# libtool processes, and avoids race conditions if possible. If\u000a +p1316 +tp1317 +a(g6 +V# given, STRING is the basename for that directory.\u000a +p1318 +tp1319 +a(g181 +Vfunc_mktempdir +p1320 +tp1321 +a(g181 +V +tp1322 +a(g336 +V( +tp1323 +a(g336 +V) +tp1324 +a(g181 +V\u000a +tp1325 +a(g336 +V{ +tp1326 +a(g181 +V\u000a +p1327 +tp1328 +a(g69 +Vmy_template +p1329 +tp1330 +a(g336 +V= +tp1331 +a(g237 +V"${TMPDIR-/tmp}/${1-$progname}" +p1332 +tp1333 +a(g181 +V\u000a\u000a +p1334 +tp1335 +a(g107 +Vif +p1336 +tp1337 +a(g53 +Vtest +p1338 +tp1339 +a(g181 +V +tp1340 +a(g237 +V"$run" +p1341 +tp1342 +a(g181 +V +tp1343 +a(g336 +V= +tp1344 +a(g181 +V +tp1345 +a(g237 +V":" +p1346 +tp1347 +a(g181 +V; +tp1348 +a(g181 +V +tp1349 +a(g107 +Vthen +p1350 +tp1351 +a(g181 +V\u000a +p1352 +tp1353 +a(g6 +V# Return a directory name, but don't create it in dry-run mode\u000a +p1354 +tp1355 +a(g181 +V +p1356 +tp1357 +a(g69 +Vmy_tmpdir +p1358 +tp1359 +a(g336 +V= +tp1360 +a(g237 +V"${my_template}-$$" +p1361 +tp1362 +a(g181 +V\u000a +p1363 +tp1364 +a(g107 +Velse +p1365 +tp1366 +a(g181 +V\u000a\u000a +p1367 +tp1368 +a(g6 +V# If mktemp works, use that first and foremost\u000a +p1369 +tp1370 +a(g181 +V +p1371 +tp1372 +a(g69 +Vmy_tmpdir +p1373 +tp1374 +a(g336 +V= +tp1375 +a(g256 +V` +tp1376 +a(g181 +Vmktemp +p1377 +tp1378 +a(g181 +V +tp1379 +a(g181 +V-d +p1380 +tp1381 +a(g181 +V +tp1382 +a(g237 +V"${my_template}-XXXXXXXX" +p1383 +tp1384 +a(g181 +V +tp1385 +a(g181 +V2>/dev/null +p1386 +tp1387 +a(g256 +V` +tp1388 +a(g181 +V\u000a\u000a +p1389 +tp1390 +a(g107 +Vif +p1391 +tp1392 +a(g53 +Vtest +p1393 +tp1394 +a(g181 +V +tp1395 +a(g181 +V! +tp1396 +a(g181 +V +tp1397 +a(g181 +V-d +p1398 +tp1399 +a(g181 +V +tp1400 +a(g237 +V"$my_tmpdir" +p1401 +tp1402 +a(g181 +V; +tp1403 +a(g181 +V +tp1404 +a(g107 +Vthen +p1405 +tp1406 +a(g181 +V\u000a +p1407 +tp1408 +a(g6 +V# Failing that, at least try and use $RANDOM to avoid a race\u000a +p1409 +tp1410 +a(g181 +V +tp1411 +a(g69 +Vmy_tmpdir +p1412 +tp1413 +a(g336 +V= +tp1414 +a(g237 +V"${my_template}-${RANDOM-0}$$" +p1415 +tp1416 +a(g181 +V\u000a\u000a +p1417 +tp1418 +a(g69 +Vsave_mktempdir_umask +p1419 +tp1420 +a(g336 +V= +tp1421 +a(g256 +V` +tp1422 +a(g53 +Vumask +p1423 +tp1424 +a(g256 +V` +tp1425 +a(g181 +V\u000a +p1426 +tp1427 +a(g53 +Vumask +p1428 +tp1429 +a(g181 +V0077 +p1430 +tp1431 +a(g181 +V\u000a +p1432 +tp1433 +a(g69 +V$mkdir +p1434 +tp1435 +a(g181 +V +tp1436 +a(g237 +V"$my_tmpdir" +p1437 +tp1438 +a(g181 +V\u000a +p1439 +tp1440 +a(g53 +Vumask +p1441 +tp1442 +a(g181 +V +tp1443 +a(g69 +V$save_mktempdir_umask +p1444 +tp1445 +a(g181 +V\u000a +p1446 +tp1447 +a(g107 +Vfi +p1448 +tp1449 +a(g181 +V\u000a\u000a +p1450 +tp1451 +a(g6 +V# If we're not in dry-run mode, bomb out on failure\u000a +p1452 +tp1453 +a(g181 +V +p1454 +tp1455 +a(g53 +Vtest +p1456 +tp1457 +a(g181 +V +tp1458 +a(g181 +V-d +p1459 +tp1460 +a(g181 +V +tp1461 +a(g237 +V"$my_tmpdir" +p1462 +tp1463 +a(g181 +V +tp1464 +a(g336 +V|| +p1465 +tp1466 +a(g181 +V +tp1467 +a(g336 +V{ +tp1468 +a(g181 +V\u000a +p1469 +tp1470 +a(g69 +V$echo +p1471 +tp1472 +a(g181 +V +tp1473 +a(g237 +V"cannot create temporary directory \u005c`$my_tmpdir'" +p1474 +tp1475 +a(g181 +V +tp1476 +a(g181 +V1>&2 +p1477 +tp1478 +a(g181 +V\u000a +p1479 +tp1480 +a(g53 +Vexit +p1481 +tp1482 +a(g181 +V +tp1483 +a(g69 +V$EXIT_FAILURE +p1484 +tp1485 +a(g181 +V\u000a +p1486 +tp1487 +a(g336 +V} +tp1488 +a(g181 +V\u000a +p1489 +tp1490 +a(g107 +Vfi +p1491 +tp1492 +a(g181 +V\u000a\u000a +p1493 +tp1494 +a(g69 +V$echo +p1495 +tp1496 +a(g181 +V +tp1497 +a(g237 +V"X$my_tmpdir" +p1498 +tp1499 +a(g181 +V +tp1500 +a(g181 +V| +tp1501 +a(g181 +V +tp1502 +a(g69 +V$Xsed +p1503 +tp1504 +a(g181 +V\u000a +tp1505 +a(g336 +V} +tp1506 +a(g181 +V\u000a\u000a\u000a +p1507 +tp1508 +a(g6 +V# func_win32_libid arg\u000a +p1509 +tp1510 +a(g6 +V# return the library type of file 'arg'\u000a +p1511 +tp1512 +a(g6 +V#\u000a +p1513 +tp1514 +a(g6 +V# Need a lot of goo to handle *both* DLLs and import libs\u000a +p1515 +tp1516 +a(g6 +V# Has to be a shell function in order to 'eat' the argument\u000a +p1517 +tp1518 +a(g6 +V# that is supplied when $file_magic_command is called.\u000a +p1519 +tp1520 +a(g181 +Vfunc_win32_libid +p1521 +tp1522 +a(g181 +V +tp1523 +a(g336 +V( +tp1524 +a(g336 +V) +tp1525 +a(g181 +V\u000a +tp1526 +a(g336 +V{ +tp1527 +a(g181 +V\u000a +p1528 +tp1529 +a(g69 +Vwin32_libid_type +p1530 +tp1531 +a(g336 +V= +tp1532 +a(g237 +V"unknown" +p1533 +tp1534 +a(g181 +V\u000a +p1535 +tp1536 +a(g69 +Vwin32_fileres +p1537 +tp1538 +a(g336 +V= +tp1539 +a(g256 +V` +tp1540 +a(g181 +Vfile +p1541 +tp1542 +a(g181 +V +tp1543 +a(g181 +V-L +p1544 +tp1545 +a(g181 +V +tp1546 +a(g69 +V$1 +p1547 +tp1548 +a(g181 +V +tp1549 +a(g181 +V2>/dev/null +p1550 +tp1551 +a(g256 +V` +tp1552 +a(g181 +V\u000a +p1553 +tp1554 +a(g107 +Vcase +p1555 +tp1556 +a(g181 +V +tp1557 +a(g69 +V$win32_fileres +p1558 +tp1559 +a(g181 +V +tp1560 +a(g181 +Vin +p1561 +tp1562 +a(g181 +V\u000a +p1563 +tp1564 +a(g181 +V*ar +p1565 +tp1566 +a(g244 +V\u005c +p1567 +tp1568 +a(g181 +Varchive +p1569 +tp1570 +a(g244 +V\u005c +p1571 +tp1572 +a(g181 +Vimport +p1573 +tp1574 +a(g244 +V\u005c +p1575 +tp1576 +a(g181 +Vlibrary* +p1577 +tp1578 +a(g336 +V) +tp1579 +a(g181 +V +tp1580 +a(g6 +V# definitely import\u000a +p1581 +tp1582 +a(g181 +V +p1583 +tp1584 +a(g69 +Vwin32_libid_type +p1585 +tp1586 +a(g336 +V= +tp1587 +a(g237 +V"x86 archive import" +p1588 +tp1589 +a(g181 +V\u000a +p1590 +tp1591 +a(g181 +V; +tp1592 +a(g181 +V; +tp1593 +a(g181 +V\u000a +p1594 +tp1595 +a(g181 +V*ar +p1596 +tp1597 +a(g244 +V\u005c +p1598 +tp1599 +a(g181 +Varchive* +p1600 +tp1601 +a(g336 +V) +tp1602 +a(g181 +V +tp1603 +a(g6 +V# could be an import, or static\u000a +p1604 +tp1605 +a(g181 +V +p1606 +tp1607 +a(g107 +Vif +p1608 +tp1609 +a(g53 +Veval +p1610 +tp1611 +a(g181 +V +tp1612 +a(g69 +V$OBJDUMP +p1613 +tp1614 +a(g181 +V +tp1615 +a(g181 +V-f +p1616 +tp1617 +a(g181 +V +tp1618 +a(g69 +V$1 +p1619 +tp1620 +a(g181 +V +tp1621 +a(g181 +V| +tp1622 +a(g181 +V +tp1623 +a(g69 +V$SED +p1624 +tp1625 +a(g181 +V +tp1626 +a(g181 +V-e +p1627 +tp1628 +a(g181 +V +tp1629 +a(g264 +V'10q' +p1630 +tp1631 +a(g181 +V +tp1632 +a(g181 +V2>/dev/null +p1633 +tp1634 +a(g181 +V +tp1635 +a(g181 +V| +tp1636 +a(g181 +V +tp1637 +a(g244 +V\u005c\u000a +p1638 +tp1639 +a(g181 +V +p1640 +tp1641 +a(g69 +V$EGREP +p1642 +tp1643 +a(g181 +V +tp1644 +a(g181 +V-e +p1645 +tp1646 +a(g181 +V +tp1647 +a(g264 +V'file format pe-i386(.*architecture: i386)?' +p1648 +tp1649 +a(g181 +V +tp1650 +a(g181 +V>/dev/null +p1651 +tp1652 +a(g181 +V +tp1653 +a(g181 +V; +tp1654 +a(g181 +V +tp1655 +a(g107 +Vthen\u000a +p1656 +tp1657 +a(g69 +Vwin32_nmres +p1658 +tp1659 +a(g336 +V= +tp1660 +a(g256 +V` +tp1661 +a(g53 +Veval +p1662 +tp1663 +a(g181 +V +tp1664 +a(g69 +V$NM +p1665 +tp1666 +a(g181 +V +tp1667 +a(g181 +V-f +p1668 +tp1669 +a(g181 +V +tp1670 +a(g181 +Vposix +p1671 +tp1672 +a(g181 +V +tp1673 +a(g181 +V-A +p1674 +tp1675 +a(g181 +V +tp1676 +a(g69 +V$1 +p1677 +tp1678 +a(g181 +V +tp1679 +a(g181 +V| +tp1680 +a(g181 +V +tp1681 +a(g244 +V\u005c\u000a +p1682 +tp1683 +a(g181 +V +tp1684 +a(g69 +V$SED +p1685 +tp1686 +a(g181 +V +tp1687 +a(g181 +V-n +p1688 +tp1689 +a(g181 +V +tp1690 +a(g181 +V-e +p1691 +tp1692 +a(g181 +V +tp1693 +a(g264 +V'1,100{/ I /{s,.*,import,;p;q;};}' +p1694 +tp1695 +a(g256 +V` +tp1696 +a(g181 +V\u000a +p1697 +tp1698 +a(g107 +Vcase +p1699 +tp1700 +a(g181 +V +tp1701 +a(g69 +V$win32_nmres +p1702 +tp1703 +a(g181 +V +tp1704 +a(g181 +Vin +p1705 +tp1706 +a(g181 +V\u000a +p1707 +tp1708 +a(g181 +Vimport* +p1709 +tp1710 +a(g336 +V) +tp1711 +a(g181 +V +p1712 +tp1713 +a(g69 +Vwin32_libid_type +p1714 +tp1715 +a(g336 +V= +tp1716 +a(g237 +V"x86 archive import" +p1717 +tp1718 +a(g181 +V; +tp1719 +a(g181 +V; +tp1720 +a(g181 +V\u000a +p1721 +tp1722 +a(g181 +V* +tp1723 +a(g336 +V) +tp1724 +a(g181 +V +p1725 +tp1726 +a(g69 +Vwin32_libid_type +p1727 +tp1728 +a(g336 +V= +tp1729 +a(g237 +V"x86 archive static" +p1730 +tp1731 +a(g181 +V; +tp1732 +a(g181 +V; +tp1733 +a(g181 +V\u000a +p1734 +tp1735 +a(g107 +Vesac\u000a +p1736 +tp1737 +a(g107 +Vfi +p1738 +tp1739 +a(g181 +V\u000a +p1740 +tp1741 +a(g181 +V; +tp1742 +a(g181 +V; +tp1743 +a(g181 +V\u000a +p1744 +tp1745 +a(g181 +V*DLL* +p1746 +tp1747 +a(g336 +V) +tp1748 +a(g181 +V\u000a +p1749 +tp1750 +a(g69 +Vwin32_libid_type +p1751 +tp1752 +a(g336 +V= +tp1753 +a(g237 +V"x86 DLL" +p1754 +tp1755 +a(g181 +V\u000a +p1756 +tp1757 +a(g181 +V; +tp1758 +a(g181 +V; +tp1759 +a(g181 +V\u000a +p1760 +tp1761 +a(g181 +V*executable* +p1762 +tp1763 +a(g336 +V) +tp1764 +a(g181 +V +tp1765 +a(g6 +V# but shell scripts are "executable" too...\u000a +p1766 +tp1767 +a(g181 +V +p1768 +tp1769 +a(g107 +Vcase +p1770 +tp1771 +a(g181 +V +tp1772 +a(g69 +V$win32_fileres +p1773 +tp1774 +a(g181 +V +tp1775 +a(g181 +Vin +p1776 +tp1777 +a(g181 +V\u000a +p1778 +tp1779 +a(g181 +V*MS +p1780 +tp1781 +a(g244 +V\u005c +p1782 +tp1783 +a(g181 +VWindows +p1784 +tp1785 +a(g244 +V\u005c +p1786 +tp1787 +a(g181 +VPE +p1788 +tp1789 +a(g244 +V\u005c +p1790 +tp1791 +a(g181 +VIntel* +p1792 +tp1793 +a(g336 +V) +tp1794 +a(g181 +V\u000a +p1795 +tp1796 +a(g69 +Vwin32_libid_type +p1797 +tp1798 +a(g336 +V= +tp1799 +a(g237 +V"x86 DLL" +p1800 +tp1801 +a(g181 +V\u000a +p1802 +tp1803 +a(g181 +V; +tp1804 +a(g181 +V; +tp1805 +a(g181 +V\u000a +p1806 +tp1807 +a(g107 +Vesac +p1808 +tp1809 +a(g181 +V\u000a +p1810 +tp1811 +a(g181 +V; +tp1812 +a(g181 +V; +tp1813 +a(g181 +V\u000a +p1814 +tp1815 +a(g107 +Vesac +p1816 +tp1817 +a(g181 +V\u000a +p1818 +tp1819 +a(g69 +V$echo +p1820 +tp1821 +a(g181 +V +tp1822 +a(g69 +V$win32_libid_type +p1823 +tp1824 +a(g181 +V\u000a +tp1825 +a(g336 +V} +tp1826 +a(g181 +V\u000a\u000a\u000a +p1827 +tp1828 +a(g6 +V# func_infer_tag arg\u000a +p1829 +tp1830 +a(g6 +V# Infer tagged configuration to use if any are available and\u000a +p1831 +tp1832 +a(g6 +V# if one wasn't chosen via the "--tag" command line option.\u000a +p1833 +tp1834 +a(g6 +V# Only attempt this if the compiler in the base compile\u000a +p1835 +tp1836 +a(g6 +V# command doesn't match the default compiler.\u000a +p1837 +tp1838 +a(g6 +V# arg is usually of the form 'gcc ...'\u000a +p1839 +tp1840 +a(g181 +Vfunc_infer_tag +p1841 +tp1842 +a(g181 +V +tp1843 +a(g336 +V( +tp1844 +a(g336 +V) +tp1845 +a(g181 +V\u000a +tp1846 +a(g336 +V{ +tp1847 +a(g181 +V\u000a +p1848 +tp1849 +a(g107 +Vif +p1850 +tp1851 +a(g53 +Vtest +p1852 +tp1853 +a(g181 +V +tp1854 +a(g181 +V-n +p1855 +tp1856 +a(g181 +V +tp1857 +a(g237 +V"$available_tags" +p1858 +tp1859 +a(g181 +V +tp1860 +a(g336 +V&& +p1861 +tp1862 +a(g181 +V +tp1863 +a(g53 +Vtest +p1864 +tp1865 +a(g181 +V +tp1866 +a(g181 +V-z +p1867 +tp1868 +a(g181 +V +tp1869 +a(g237 +V"$tagname" +p1870 +tp1871 +a(g181 +V; +tp1872 +a(g181 +V +tp1873 +a(g107 +Vthen\u000a +p1874 +tp1875 +a(g69 +VCC_quoted +p1876 +tp1877 +a(g336 +V= +tp1878 +a(g181 +V\u000a +p1879 +tp1880 +a(g107 +Vfor +p1881 +tp1882 +a(g181 +Varg +p1883 +tp1884 +a(g181 +V +tp1885 +a(g181 +Vin +p1886 +tp1887 +a(g181 +V +tp1888 +a(g69 +V$CC +p1889 +tp1890 +a(g181 +V; +tp1891 +a(g181 +V +tp1892 +a(g107 +Vdo\u000a +p1893 +tp1894 +a(g107 +Vcase +p1895 +tp1896 +a(g181 +V +tp1897 +a(g69 +V$arg +p1898 +tp1899 +a(g181 +V +tp1900 +a(g181 +Vin +p1901 +tp1902 +a(g181 +V\u000a +p1903 +tp1904 +a(g181 +V* +tp1905 +a(g336 +V[ +tp1906 +a(g244 +V\u005c[ +p1907 +tp1908 +a(g244 +V\u005c~ +p1909 +tp1910 +a(g244 +V\u005c# +p1911 +tp1912 +a(g244 +V\u005c^ +p1913 +tp1914 +a(g244 +V\u005c& +p1915 +tp1916 +a(g244 +V\u005c* +p1917 +tp1918 +a(g244 +V\u005c( +p1919 +tp1920 +a(g244 +V\u005c) +p1921 +tp1922 +a(g244 +V\u005c{ +p1923 +tp1924 +a(g244 +V\u005c} +p1925 +tp1926 +a(g244 +V\u005c| +p1927 +tp1928 +a(g244 +V\u005c; +p1929 +tp1930 +a(g244 +V\u005c< +p1931 +tp1932 +a(g244 +V\u005c> +p1933 +tp1934 +a(g244 +V\u005c? +p1935 +tp1936 +a(g244 +V\u005c' +p1937 +tp1938 +a(g244 +V\u005c +p1939 +tp1940 +a(g244 +V\u005c +p1941 +tp1942 +a(g336 +V] +tp1943 +a(g181 +V*|* +p1944 +tp1945 +a(g336 +V] +tp1946 +a(g181 +V*| +p1947 +tp1948 +a(g237 +V"" +p1949 +tp1950 +a(g336 +V) +tp1951 +a(g181 +V\u000a +p1952 +tp1953 +a(g69 +Varg +p1954 +tp1955 +a(g336 +V= +tp1956 +a(g237 +V"\u005c"$arg\u005c"" +p1957 +tp1958 +a(g181 +V\u000a +p1959 +tp1960 +a(g181 +V; +tp1961 +a(g181 +V; +tp1962 +a(g181 +V\u000a +p1963 +tp1964 +a(g107 +Vesac\u000a +p1965 +tp1966 +a(g69 +VCC_quoted +p1967 +tp1968 +a(g336 +V= +tp1969 +a(g237 +V"$CC_quoted $arg" +p1970 +tp1971 +a(g181 +V\u000a +p1972 +tp1973 +a(g107 +Vdone\u000a +p1974 +tp1975 +a(g107 +Vcase +p1976 +tp1977 +a(g181 +V +tp1978 +a(g69 +V$@ +p1979 +tp1980 +a(g181 +V +tp1981 +a(g181 +Vin +p1982 +tp1983 +a(g181 +V\u000a +p1984 +tp1985 +a(g6 +V# Blanks in the command may have been stripped by the calling shell,\u000a +p1986 +tp1987 +a(g181 +V +p1988 +tp1989 +a(g6 +V# but not from the CC environment variable when configure was run.\u000a +p1990 +tp1991 +a(g181 +V +p1992 +tp1993 +a(g237 +V" $CC " +p1994 +tp1995 +a(g181 +V* +tp1996 +a(g181 +V +tp1997 +a(g181 +V| +tp1998 +a(g181 +V +tp1999 +a(g237 +V"$CC " +p2000 +tp2001 +a(g181 +V* +tp2002 +a(g181 +V +tp2003 +a(g181 +V| +tp2004 +a(g181 +V +tp2005 +a(g237 +V" `$echo $CC` " +p2006 +tp2007 +a(g181 +V* +tp2008 +a(g181 +V +tp2009 +a(g181 +V| +tp2010 +a(g181 +V +tp2011 +a(g237 +V"`$echo $CC` " +p2012 +tp2013 +a(g181 +V* +tp2014 +a(g181 +V +tp2015 +a(g181 +V| +tp2016 +a(g181 +V +tp2017 +a(g237 +V" $CC_quoted" +p2018 +tp2019 +a(g181 +V* +tp2020 +a(g181 +V +tp2021 +a(g181 +V| +tp2022 +a(g181 +V +tp2023 +a(g237 +V"$CC_quoted " +p2024 +tp2025 +a(g181 +V* +tp2026 +a(g181 +V +tp2027 +a(g181 +V| +tp2028 +a(g181 +V +tp2029 +a(g237 +V" `$echo $CC_quoted` " +p2030 +tp2031 +a(g181 +V* +tp2032 +a(g181 +V +tp2033 +a(g181 +V| +tp2034 +a(g181 +V +tp2035 +a(g237 +V"`$echo $CC_quoted` " +p2036 +tp2037 +a(g181 +V* +tp2038 +a(g336 +V) +tp2039 +a(g181 +V +tp2040 +a(g181 +V; +tp2041 +a(g181 +V; +tp2042 +a(g181 +V\u000a +p2043 +tp2044 +a(g6 +V# Blanks at the start of $base_compile will cause this to fail\u000a +p2045 +tp2046 +a(g181 +V +p2047 +tp2048 +a(g6 +V# if we don't check for them as well.\u000a +p2049 +tp2050 +a(g181 +V +p2051 +tp2052 +a(g181 +V* +tp2053 +a(g336 +V) +tp2054 +a(g181 +V\u000a +p2055 +tp2056 +a(g107 +Vfor +p2057 +tp2058 +a(g181 +Vz +tp2059 +a(g181 +V +tp2060 +a(g181 +Vin +p2061 +tp2062 +a(g181 +V +tp2063 +a(g69 +V$available_tags +p2064 +tp2065 +a(g181 +V; +tp2066 +a(g181 +V +tp2067 +a(g107 +Vdo\u000a +p2068 +tp2069 +a(g107 +Vif +p2070 +tp2071 +a(g181 +Vgrep +p2072 +tp2073 +a(g181 +V +tp2074 +a(g237 +V"^# ### BEGIN LIBTOOL TAG CONFIG: $z$" +p2075 +tp2076 +a(g181 +V +tp2077 +a(g181 +V< +tp2078 +a(g181 +V +tp2079 +a(g237 +V"$progpath" +p2080 +tp2081 +a(g181 +V +tp2082 +a(g181 +V> +tp2083 +a(g181 +V +tp2084 +a(g181 +V/dev/null; +p2085 +tp2086 +a(g181 +V +tp2087 +a(g107 +Vthen +p2088 +tp2089 +a(g181 +V\u000a +p2090 +tp2091 +a(g6 +V# Evaluate the configuration.\u000a +p2092 +tp2093 +a(g181 +V +p2094 +tp2095 +a(g53 +Veval +p2096 +tp2097 +a(g181 +V +tp2098 +a(g237 +V"`${SED} -n -e '/^# ### BEGIN LIBTOOL TAG CONFIG: '$z'$/,/^# ### END LIBTOOL TAG CONFIG: '$z'$/p' < $progpath`" +p2099 +tp2100 +a(g181 +V\u000a +p2101 +tp2102 +a(g69 +VCC_quoted +p2103 +tp2104 +a(g336 +V= +tp2105 +a(g181 +V\u000a +p2106 +tp2107 +a(g107 +Vfor +p2108 +tp2109 +a(g181 +Varg +p2110 +tp2111 +a(g181 +V +tp2112 +a(g181 +Vin +p2113 +tp2114 +a(g181 +V +tp2115 +a(g69 +V$CC +p2116 +tp2117 +a(g181 +V; +tp2118 +a(g181 +V +tp2119 +a(g107 +Vdo +p2120 +tp2121 +a(g181 +V\u000a +p2122 +tp2123 +a(g6 +V# Double-quote args containing other shell metacharacters.\u000a +p2124 +tp2125 +a(g181 +V +p2126 +tp2127 +a(g107 +Vcase +p2128 +tp2129 +a(g181 +V +tp2130 +a(g69 +V$arg +p2131 +tp2132 +a(g181 +V +tp2133 +a(g181 +Vin +p2134 +tp2135 +a(g181 +V\u000a +p2136 +tp2137 +a(g181 +V* +tp2138 +a(g336 +V[ +tp2139 +a(g244 +V\u005c[ +p2140 +tp2141 +a(g244 +V\u005c~ +p2142 +tp2143 +a(g244 +V\u005c# +p2144 +tp2145 +a(g244 +V\u005c^ +p2146 +tp2147 +a(g244 +V\u005c& +p2148 +tp2149 +a(g244 +V\u005c* +p2150 +tp2151 +a(g244 +V\u005c( +p2152 +tp2153 +a(g244 +V\u005c) +p2154 +tp2155 +a(g244 +V\u005c{ +p2156 +tp2157 +a(g244 +V\u005c} +p2158 +tp2159 +a(g244 +V\u005c| +p2160 +tp2161 +a(g244 +V\u005c; +p2162 +tp2163 +a(g244 +V\u005c< +p2164 +tp2165 +a(g244 +V\u005c> +p2166 +tp2167 +a(g244 +V\u005c? +p2168 +tp2169 +a(g244 +V\u005c' +p2170 +tp2171 +a(g244 +V\u005c +p2172 +tp2173 +a(g244 +V\u005c +p2174 +tp2175 +a(g336 +V] +tp2176 +a(g181 +V*|* +p2177 +tp2178 +a(g336 +V] +tp2179 +a(g181 +V*| +p2180 +tp2181 +a(g237 +V"" +p2182 +tp2183 +a(g336 +V) +tp2184 +a(g181 +V\u000a +p2185 +tp2186 +a(g69 +Varg +p2187 +tp2188 +a(g336 +V= +tp2189 +a(g237 +V"\u005c"$arg\u005c"" +p2190 +tp2191 +a(g181 +V\u000a +p2192 +tp2193 +a(g181 +V; +tp2194 +a(g181 +V; +tp2195 +a(g181 +V\u000a +p2196 +tp2197 +a(g107 +Vesac\u000a +p2198 +tp2199 +a(g69 +VCC_quoted +p2200 +tp2201 +a(g336 +V= +tp2202 +a(g237 +V"$CC_quoted $arg" +p2203 +tp2204 +a(g181 +V\u000a +p2205 +tp2206 +a(g107 +Vdone +p2207 +tp2208 +a(g181 +V\u000a +p2209 +tp2210 +a(g6 +V# user sometimes does CC=-gcc so we need to match that to 'gcc'\u000a +p2211 +tp2212 +a(g181 +V +p2213 +tp2214 +a(g69 +Vtrimedcc +p2215 +tp2216 +a(g336 +V= +tp2217 +a(g256 +V` +tp2218 +a(g53 +Vecho +p2219 +tp2220 +a(g181 +V +tp2221 +a(g107 +V${ +p2222 +tp2223 +a(g69 +VCC +p2224 +tp2225 +a(g107 +V} +tp2226 +a(g181 +V +tp2227 +a(g181 +V| +tp2228 +a(g181 +V +tp2229 +a(g69 +V$SED +p2230 +tp2231 +a(g181 +V +tp2232 +a(g181 +V-e +p2233 +tp2234 +a(g181 +V +tp2235 +a(g237 +V"s/${host}-//g" +p2236 +tp2237 +a(g256 +V` +tp2238 +a(g181 +V\u000a +p2239 +tp2240 +a(g6 +V# and sometimes libtool has CC=-gcc but user does CC=gcc\u000a +p2241 +tp2242 +a(g181 +V +p2243 +tp2244 +a(g69 +Vextendcc +p2245 +tp2246 +a(g336 +V= +tp2247 +a(g107 +V${ +p2248 +tp2249 +a(g69 +Vhost +p2250 +tp2251 +a(g107 +V} +tp2252 +a(g181 +V- +tp2253 +a(g107 +V${ +p2254 +tp2255 +a(g69 +VCC +p2256 +tp2257 +a(g107 +V} +tp2258 +a(g181 +V\u000a +p2259 +tp2260 +a(g6 +V# and sometimes libtool has CC=-gcc but user has CC=-gcc \u000a +p2261 +tp2262 +a(g181 +V +p2263 +tp2264 +a(g6 +V# (Gentoo-specific hack because we always export $CHOST)\u000a +p2265 +tp2266 +a(g181 +V +p2267 +tp2268 +a(g69 +Vmungedcc +p2269 +tp2270 +a(g336 +V= +tp2271 +a(g107 +V${ +p2272 +tp2273 +a(g69 +VCHOST +p2274 +tp2275 +a(g194 +V- +tp2276 +a(g107 +V${ +p2277 +tp2278 +a(g69 +Vhost +p2279 +tp2280 +a(g107 +V} +tp2281 +a(g107 +V} +tp2282 +a(g181 +V- +tp2283 +a(g107 +V${ +p2284 +tp2285 +a(g69 +Vtrimedcc +p2286 +tp2287 +a(g107 +V} +tp2288 +a(g181 +V\u000a +p2289 +tp2290 +a(g107 +Vcase +p2291 +tp2292 +a(g181 +V +tp2293 +a(g237 +V"$@ " +p2294 +tp2295 +a(g181 +V +tp2296 +a(g181 +Vin +p2297 +tp2298 +a(g181 +V\u000a +p2299 +tp2300 +a(g237 +V"cc " +p2301 +tp2302 +a(g181 +V* +tp2303 +a(g181 +V +tp2304 +a(g181 +V| +tp2305 +a(g181 +V +tp2306 +a(g237 +V" cc " +p2307 +tp2308 +a(g181 +V* +tp2309 +a(g181 +V +tp2310 +a(g181 +V| +tp2311 +a(g181 +V +tp2312 +a(g237 +V"${host}-cc " +p2313 +tp2314 +a(g181 +V* +tp2315 +a(g181 +V +tp2316 +a(g181 +V| +tp2317 +a(g181 +V +tp2318 +a(g237 +V" ${host}-cc " +p2319 +tp2320 +a(g181 +V*| +p2321 +tp2322 +a(g244 +V\u005c\u000a +p2323 +tp2324 +a(g181 +V +p2325 +tp2326 +a(g237 +V"gcc " +p2327 +tp2328 +a(g181 +V* +tp2329 +a(g181 +V +tp2330 +a(g181 +V| +tp2331 +a(g181 +V +tp2332 +a(g237 +V" gcc " +p2333 +tp2334 +a(g181 +V* +tp2335 +a(g181 +V +tp2336 +a(g181 +V| +tp2337 +a(g181 +V +tp2338 +a(g237 +V"${host}-gcc " +p2339 +tp2340 +a(g181 +V* +tp2341 +a(g181 +V +tp2342 +a(g181 +V| +tp2343 +a(g181 +V +tp2344 +a(g237 +V" ${host}-gcc " +p2345 +tp2346 +a(g181 +V* +tp2347 +a(g336 +V) +tp2348 +a(g181 +V\u000a +p2349 +tp2350 +a(g69 +Vtagname +p2351 +tp2352 +a(g336 +V= +tp2353 +a(g181 +VCC +p2354 +tp2355 +a(g181 +V\u000a +p2356 +tp2357 +a(g53 +Vbreak +p2358 +tp2359 +a(g181 +V +tp2360 +a(g181 +V; +tp2361 +a(g181 +V; +tp2362 +a(g181 +V\u000a +p2363 +tp2364 +a(g237 +V"$trimedcc " +p2365 +tp2366 +a(g181 +V* +tp2367 +a(g181 +V +tp2368 +a(g181 +V| +tp2369 +a(g181 +V +tp2370 +a(g237 +V" $trimedcc " +p2371 +tp2372 +a(g181 +V* +tp2373 +a(g181 +V +tp2374 +a(g181 +V| +tp2375 +a(g181 +V +tp2376 +a(g237 +V"`$echo $trimedcc` " +p2377 +tp2378 +a(g181 +V* +tp2379 +a(g181 +V +tp2380 +a(g181 +V| +tp2381 +a(g181 +V +tp2382 +a(g237 +V" `$echo $trimedcc` " +p2383 +tp2384 +a(g181 +V*| +p2385 +tp2386 +a(g244 +V\u005c\u000a +p2387 +tp2388 +a(g181 +V +p2389 +tp2390 +a(g237 +V"$extendcc " +p2391 +tp2392 +a(g181 +V* +tp2393 +a(g181 +V +tp2394 +a(g181 +V| +tp2395 +a(g181 +V +tp2396 +a(g237 +V" $extendcc " +p2397 +tp2398 +a(g181 +V* +tp2399 +a(g181 +V +tp2400 +a(g181 +V| +tp2401 +a(g181 +V +tp2402 +a(g237 +V"`$echo $extendcc` " +p2403 +tp2404 +a(g181 +V* +tp2405 +a(g181 +V +tp2406 +a(g181 +V| +tp2407 +a(g181 +V +tp2408 +a(g237 +V" `$echo $extendcc` " +p2409 +tp2410 +a(g181 +V*| +p2411 +tp2412 +a(g244 +V\u005c\u000a +p2413 +tp2414 +a(g181 +V +p2415 +tp2416 +a(g237 +V"$mungedcc " +p2417 +tp2418 +a(g181 +V* +tp2419 +a(g181 +V +tp2420 +a(g181 +V| +tp2421 +a(g181 +V +tp2422 +a(g237 +V" $mungedcc " +p2423 +tp2424 +a(g181 +V* +tp2425 +a(g181 +V +tp2426 +a(g181 +V| +tp2427 +a(g181 +V +tp2428 +a(g237 +V"`$echo $mungedcc` " +p2429 +tp2430 +a(g181 +V* +tp2431 +a(g181 +V +tp2432 +a(g181 +V| +tp2433 +a(g181 +V +tp2434 +a(g237 +V" `$echo $mungedcc` " +p2435 +tp2436 +a(g181 +V*| +p2437 +tp2438 +a(g244 +V\u005c\u000a +p2439 +tp2440 +a(g181 +V +p2441 +tp2442 +a(g237 +V" $CC " +p2443 +tp2444 +a(g181 +V* +tp2445 +a(g181 +V +tp2446 +a(g181 +V| +tp2447 +a(g181 +V +tp2448 +a(g237 +V"$CC " +p2449 +tp2450 +a(g181 +V* +tp2451 +a(g181 +V +tp2452 +a(g181 +V| +tp2453 +a(g181 +V +tp2454 +a(g237 +V" `$echo $CC` " +p2455 +tp2456 +a(g181 +V* +tp2457 +a(g181 +V +tp2458 +a(g181 +V| +tp2459 +a(g181 +V +tp2460 +a(g237 +V"`$echo $CC` " +p2461 +tp2462 +a(g181 +V* +tp2463 +a(g181 +V +tp2464 +a(g181 +V| +tp2465 +a(g181 +V +tp2466 +a(g237 +V" $CC_quoted" +p2467 +tp2468 +a(g181 +V* +tp2469 +a(g181 +V +tp2470 +a(g181 +V| +tp2471 +a(g181 +V +tp2472 +a(g237 +V"$CC_quoted " +p2473 +tp2474 +a(g181 +V* +tp2475 +a(g181 +V +tp2476 +a(g181 +V| +tp2477 +a(g181 +V +tp2478 +a(g237 +V" `$echo $CC_quoted` " +p2479 +tp2480 +a(g181 +V* +tp2481 +a(g181 +V +tp2482 +a(g181 +V| +tp2483 +a(g181 +V +tp2484 +a(g237 +V"`$echo $CC_quoted` " +p2485 +tp2486 +a(g181 +V* +tp2487 +a(g336 +V) +tp2488 +a(g181 +V\u000a +p2489 +tp2490 +a(g6 +V# The compiler in the base compile command matches\u000a +p2491 +tp2492 +a(g181 +V +p2493 +tp2494 +a(g6 +V# the one in the tagged configuration.\u000a +p2495 +tp2496 +a(g181 +V +p2497 +tp2498 +a(g6 +V# Assume this is the tagged configuration we want.\u000a +p2499 +tp2500 +a(g181 +V +p2501 +tp2502 +a(g69 +Vtagname +p2503 +tp2504 +a(g336 +V= +tp2505 +a(g69 +V$z +p2506 +tp2507 +a(g181 +V\u000a +p2508 +tp2509 +a(g53 +Vbreak +p2510 +tp2511 +a(g181 +V\u000a +p2512 +tp2513 +a(g181 +V; +tp2514 +a(g181 +V; +tp2515 +a(g181 +V\u000a +p2516 +tp2517 +a(g107 +Vesac\u000a +p2518 +tp2519 +a(g107 +Vfi\u000a +p2520 +tp2521 +a(g107 +Vdone +p2522 +tp2523 +a(g181 +V\u000a +p2524 +tp2525 +a(g6 +V# If $tagname still isn't set, then no tagged configuration\u000a +p2526 +tp2527 +a(g181 +V +tp2528 +a(g6 +V# was found and let the user know that the "--tag" command\u000a +p2529 +tp2530 +a(g181 +V +tp2531 +a(g6 +V# line option must be used.\u000a +p2532 +tp2533 +a(g181 +V +tp2534 +a(g107 +Vif +p2535 +tp2536 +a(g53 +Vtest +p2537 +tp2538 +a(g181 +V +tp2539 +a(g181 +V-z +p2540 +tp2541 +a(g181 +V +tp2542 +a(g237 +V"$tagname" +p2543 +tp2544 +a(g181 +V; +tp2545 +a(g181 +V +tp2546 +a(g107 +Vthen +p2547 +tp2548 +a(g181 +V\u000a +p2549 +tp2550 +a(g69 +V$echo +p2551 +tp2552 +a(g181 +V +tp2553 +a(g237 +V"$modename: unable to infer tagged configuration" +p2554 +tp2555 +a(g181 +V\u000a +p2556 +tp2557 +a(g69 +V$echo +p2558 +tp2559 +a(g181 +V +tp2560 +a(g237 +V"$modename: specify a tag with \u005c`--tag'" +p2561 +tp2562 +a(g181 +V +tp2563 +a(g181 +V1>&2 +p2564 +tp2565 +a(g181 +V\u000a +p2566 +tp2567 +a(g53 +Vexit +p2568 +tp2569 +a(g181 +V +tp2570 +a(g69 +V$EXIT_FAILURE +p2571 +tp2572 +a(g181 +V\u000a +tp2573 +a(g6 +V# else\u000a +p2574 +tp2575 +a(g6 +V# $echo "$modename: using $tagname tagged configuration"\u000a +p2576 +tp2577 +a(g181 +V +tp2578 +a(g107 +Vfi +p2579 +tp2580 +a(g181 +V\u000a +p2581 +tp2582 +a(g181 +V; +tp2583 +a(g181 +V; +tp2584 +a(g181 +V\u000a +p2585 +tp2586 +a(g107 +Vesac\u000a +p2587 +tp2588 +a(g107 +Vfi +p2589 +tp2590 +a(g181 +V\u000a +tp2591 +a(g336 +V} +tp2592 +a(g181 +V\u000a\u000a\u000a +p2593 +tp2594 +a(g6 +V# func_extract_an_archive dir oldlib\u000a +p2595 +tp2596 +a(g181 +Vfunc_extract_an_archive +p2597 +tp2598 +a(g181 +V +tp2599 +a(g336 +V( +tp2600 +a(g336 +V) +tp2601 +a(g181 +V\u000a +tp2602 +a(g336 +V{ +tp2603 +a(g181 +V\u000a +p2604 +tp2605 +a(g69 +Vf_ex_an_ar_dir +p2606 +tp2607 +a(g336 +V= +tp2608 +a(g237 +V"$1" +p2609 +tp2610 +a(g181 +V; +tp2611 +a(g181 +V +tp2612 +a(g53 +Vshift\u000a +p2613 +tp2614 +a(g69 +Vf_ex_an_ar_oldlib +p2615 +tp2616 +a(g336 +V= +tp2617 +a(g237 +V"$1" +p2618 +tp2619 +a(g181 +V\u000a\u000a +p2620 +tp2621 +a(g69 +V$show +p2622 +tp2623 +a(g181 +V +tp2624 +a(g237 +V"(cd $f_ex_an_ar_dir && $AR x $f_ex_an_ar_oldlib)" +p2625 +tp2626 +a(g181 +V\u000a +p2627 +tp2628 +a(g69 +V$run +p2629 +tp2630 +a(g181 +V +tp2631 +a(g53 +Veval +p2632 +tp2633 +a(g181 +V +tp2634 +a(g237 +V"(cd \u005c$f_ex_an_ar_dir && $AR x \u005c$f_ex_an_ar_oldlib)" +p2635 +tp2636 +a(g181 +V +tp2637 +a(g336 +V|| +p2638 +tp2639 +a(g181 +V +tp2640 +a(g53 +Vexit +p2641 +tp2642 +a(g181 +V +tp2643 +a(g69 +V$? +p2644 +tp2645 +a(g181 +V\u000a +p2646 +tp2647 +a(g107 +Vif +p2648 +tp2649 +a(g181 +V +tp2650 +a(g336 +V( +tp2651 +a(g69 +V$AR +p2652 +tp2653 +a(g181 +V +tp2654 +a(g181 +Vt +tp2655 +a(g181 +V +tp2656 +a(g237 +V"$f_ex_an_ar_oldlib" +p2657 +tp2658 +a(g181 +V +tp2659 +a(g181 +V| +tp2660 +a(g181 +V +tp2661 +a(g181 +Vsort +p2662 +tp2663 +a(g181 +V +tp2664 +a(g181 +V| +tp2665 +a(g181 +V +tp2666 +a(g181 +Vsort +p2667 +tp2668 +a(g181 +V +tp2669 +a(g181 +V-uc +p2670 +tp2671 +a(g181 +V +tp2672 +a(g181 +V>/dev/null +p2673 +tp2674 +a(g181 +V +tp2675 +a(g181 +V2>&1 +p2676 +tp2677 +a(g336 +V) +tp2678 +a(g181 +V; +tp2679 +a(g181 +V +tp2680 +a(g107 +Vthen +p2681 +tp2682 +a(g181 +V\u000a +p2683 +tp2684 +a(g181 +V: +tp2685 +a(g181 +V\u000a +p2686 +tp2687 +a(g107 +Velse +p2688 +tp2689 +a(g181 +V\u000a +p2690 +tp2691 +a(g69 +V$echo +p2692 +tp2693 +a(g181 +V +tp2694 +a(g237 +V"$modename: ERROR: object name conflicts: $f_ex_an_ar_dir/$f_ex_an_ar_oldlib" +p2695 +tp2696 +a(g181 +V +tp2697 +a(g181 +V1>&2 +p2698 +tp2699 +a(g181 +V\u000a +p2700 +tp2701 +a(g53 +Vexit +p2702 +tp2703 +a(g181 +V +tp2704 +a(g69 +V$EXIT_FAILURE +p2705 +tp2706 +a(g181 +V\u000a +p2707 +tp2708 +a(g107 +Vfi +p2709 +tp2710 +a(g181 +V\u000a +tp2711 +a(g336 +V} +tp2712 +a(g181 +V\u000a\u000a +p2713 +tp2714 +a(g6 +V# func_extract_archives gentop oldlib ...\u000a +p2715 +tp2716 +a(g181 +Vfunc_extract_archives +p2717 +tp2718 +a(g181 +V +tp2719 +a(g336 +V( +tp2720 +a(g336 +V) +tp2721 +a(g181 +V\u000a +tp2722 +a(g336 +V{ +tp2723 +a(g181 +V\u000a +p2724 +tp2725 +a(g69 +Vmy_gentop +p2726 +tp2727 +a(g336 +V= +tp2728 +a(g237 +V"$1" +p2729 +tp2730 +a(g181 +V; +tp2731 +a(g181 +V +tp2732 +a(g53 +Vshift\u000a +p2733 +tp2734 +a(g69 +Vmy_oldlibs +p2735 +tp2736 +a(g336 +V= +tp2737 +a(g107 +V${ +p2738 +tp2739 +a(g69 +V1 +tp2740 +a(g194 +V+ +tp2741 +a(g237 +V"$@" +p2742 +tp2743 +a(g107 +V} +tp2744 +a(g181 +V\u000a +p2745 +tp2746 +a(g69 +Vmy_oldobjs +p2747 +tp2748 +a(g336 +V= +tp2749 +a(g237 +V"" +p2750 +tp2751 +a(g181 +V\u000a +p2752 +tp2753 +a(g69 +Vmy_xlib +p2754 +tp2755 +a(g336 +V= +tp2756 +a(g237 +V"" +p2757 +tp2758 +a(g181 +V\u000a +p2759 +tp2760 +a(g69 +Vmy_xabs +p2761 +tp2762 +a(g336 +V= +tp2763 +a(g237 +V"" +p2764 +tp2765 +a(g181 +V\u000a +p2766 +tp2767 +a(g69 +Vmy_xdir +p2768 +tp2769 +a(g336 +V= +tp2770 +a(g237 +V"" +p2771 +tp2772 +a(g181 +V\u000a +p2773 +tp2774 +a(g69 +Vmy_status +p2775 +tp2776 +a(g336 +V= +tp2777 +a(g237 +V"" +p2778 +tp2779 +a(g181 +V\u000a\u000a +p2780 +tp2781 +a(g69 +V$show +p2782 +tp2783 +a(g181 +V +tp2784 +a(g237 +V"${rm}r $my_gentop" +p2785 +tp2786 +a(g181 +V\u000a +p2787 +tp2788 +a(g69 +V$run +p2789 +tp2790 +a(g181 +V +tp2791 +a(g107 +V${ +p2792 +tp2793 +a(g69 +Vrm +p2794 +tp2795 +a(g107 +V} +tp2796 +a(g181 +Vr +tp2797 +a(g181 +V +tp2798 +a(g237 +V"$my_gentop" +p2799 +tp2800 +a(g181 +V\u000a +p2801 +tp2802 +a(g69 +V$show +p2803 +tp2804 +a(g181 +V +tp2805 +a(g237 +V"$mkdir $my_gentop" +p2806 +tp2807 +a(g181 +V\u000a +p2808 +tp2809 +a(g69 +V$run +p2810 +tp2811 +a(g181 +V +tp2812 +a(g69 +V$mkdir +p2813 +tp2814 +a(g181 +V +tp2815 +a(g237 +V"$my_gentop" +p2816 +tp2817 +a(g181 +V\u000a +p2818 +tp2819 +a(g69 +Vmy_status +p2820 +tp2821 +a(g336 +V= +tp2822 +a(g69 +V$? +p2823 +tp2824 +a(g181 +V\u000a +p2825 +tp2826 +a(g107 +Vif +p2827 +tp2828 +a(g53 +Vtest +p2829 +tp2830 +a(g181 +V +tp2831 +a(g237 +V"$my_status" +p2832 +tp2833 +a(g181 +V +tp2834 +a(g181 +V-ne +p2835 +tp2836 +a(g181 +V +tp2837 +a(g181 +V0 +tp2838 +a(g181 +V +tp2839 +a(g336 +V&& +p2840 +tp2841 +a(g181 +V +tp2842 +a(g53 +Vtest +p2843 +tp2844 +a(g181 +V +tp2845 +a(g181 +V! +tp2846 +a(g181 +V +tp2847 +a(g181 +V-d +p2848 +tp2849 +a(g181 +V +tp2850 +a(g237 +V"$my_gentop" +p2851 +tp2852 +a(g181 +V; +tp2853 +a(g181 +V +tp2854 +a(g107 +Vthen\u000a +p2855 +tp2856 +a(g53 +Vexit +p2857 +tp2858 +a(g181 +V +tp2859 +a(g69 +V$my_status +p2860 +tp2861 +a(g181 +V\u000a +p2862 +tp2863 +a(g107 +Vfi\u000a\u000a +p2864 +tp2865 +a(g107 +Vfor +p2866 +tp2867 +a(g181 +Vmy_xlib +p2868 +tp2869 +a(g181 +V +tp2870 +a(g181 +Vin +p2871 +tp2872 +a(g181 +V +tp2873 +a(g69 +V$my_oldlibs +p2874 +tp2875 +a(g181 +V; +tp2876 +a(g181 +V +tp2877 +a(g107 +Vdo +p2878 +tp2879 +a(g181 +V\u000a +p2880 +tp2881 +a(g6 +V# Extract the objects.\u000a +p2882 +tp2883 +a(g181 +V +p2884 +tp2885 +a(g107 +Vcase +p2886 +tp2887 +a(g181 +V +tp2888 +a(g69 +V$my_xlib +p2889 +tp2890 +a(g181 +V +tp2891 +a(g181 +Vin +p2892 +tp2893 +a(g181 +V\u000a +p2894 +tp2895 +a(g336 +V[ +tp2896 +a(g244 +V\u005c\u005c +p2897 +tp2898 +a(g181 +V/ +tp2899 +a(g336 +V] +tp2900 +a(g181 +V* +tp2901 +a(g181 +V +tp2902 +a(g181 +V| +tp2903 +a(g181 +V +tp2904 +a(g336 +V[ +tp2905 +a(g181 +VA-Za-z +p2906 +tp2907 +a(g336 +V] +tp2908 +a(g181 +V: +tp2909 +a(g336 +V[ +tp2910 +a(g244 +V\u005c\u005c +p2911 +tp2912 +a(g181 +V/ +tp2913 +a(g336 +V] +tp2914 +a(g181 +V* +tp2915 +a(g336 +V) +tp2916 +a(g181 +V +tp2917 +a(g69 +Vmy_xabs +p2918 +tp2919 +a(g336 +V= +tp2920 +a(g237 +V"$my_xlib" +p2921 +tp2922 +a(g181 +V +tp2923 +a(g181 +V; +tp2924 +a(g181 +V; +tp2925 +a(g181 +V\u000a +p2926 +tp2927 +a(g181 +V* +tp2928 +a(g336 +V) +tp2929 +a(g181 +V +tp2930 +a(g69 +Vmy_xabs +p2931 +tp2932 +a(g336 +V= +tp2933 +a(g256 +V` +tp2934 +a(g53 +Vpwd +p2935 +tp2936 +a(g256 +V` +tp2937 +a(g237 +V"/$my_xlib" +p2938 +tp2939 +a(g181 +V +tp2940 +a(g181 +V; +tp2941 +a(g181 +V; +tp2942 +a(g181 +V\u000a +p2943 +tp2944 +a(g107 +Vesac\u000a +p2945 +tp2946 +a(g69 +Vmy_xlib +p2947 +tp2948 +a(g336 +V= +tp2949 +a(g256 +V` +tp2950 +a(g69 +V$echo +p2951 +tp2952 +a(g181 +V +tp2953 +a(g237 +V"X$my_xlib" +p2954 +tp2955 +a(g181 +V +tp2956 +a(g181 +V| +tp2957 +a(g181 +V +tp2958 +a(g69 +V$Xsed +p2959 +tp2960 +a(g181 +V +tp2961 +a(g181 +V-e +p2962 +tp2963 +a(g181 +V +tp2964 +a(g264 +V's%^.*/%%' +p2965 +tp2966 +a(g256 +V` +tp2967 +a(g181 +V\u000a +p2968 +tp2969 +a(g69 +Vmy_xdir +p2970 +tp2971 +a(g336 +V= +tp2972 +a(g237 +V"$my_gentop/$my_xlib" +p2973 +tp2974 +a(g181 +V\u000a\u000a +p2975 +tp2976 +a(g69 +V$show +p2977 +tp2978 +a(g181 +V +tp2979 +a(g237 +V"${rm}r $my_xdir" +p2980 +tp2981 +a(g181 +V\u000a +p2982 +tp2983 +a(g69 +V$run +p2984 +tp2985 +a(g181 +V +tp2986 +a(g107 +V${ +p2987 +tp2988 +a(g69 +Vrm +p2989 +tp2990 +a(g107 +V} +tp2991 +a(g181 +Vr +tp2992 +a(g181 +V +tp2993 +a(g237 +V"$my_xdir" +p2994 +tp2995 +a(g181 +V\u000a +p2996 +tp2997 +a(g69 +V$show +p2998 +tp2999 +a(g181 +V +tp3000 +a(g237 +V"$mkdir $my_xdir" +p3001 +tp3002 +a(g181 +V\u000a +p3003 +tp3004 +a(g69 +V$run +p3005 +tp3006 +a(g181 +V +tp3007 +a(g69 +V$mkdir +p3008 +tp3009 +a(g181 +V +tp3010 +a(g237 +V"$my_xdir" +p3011 +tp3012 +a(g181 +V\u000a +p3013 +tp3014 +a(g69 +Vexit_status +p3015 +tp3016 +a(g336 +V= +tp3017 +a(g69 +V$? +p3018 +tp3019 +a(g181 +V\u000a +p3020 +tp3021 +a(g107 +Vif +p3022 +tp3023 +a(g53 +Vtest +p3024 +tp3025 +a(g181 +V +tp3026 +a(g237 +V"$exit_status" +p3027 +tp3028 +a(g181 +V +tp3029 +a(g181 +V-ne +p3030 +tp3031 +a(g181 +V +tp3032 +a(g181 +V0 +tp3033 +a(g181 +V +tp3034 +a(g336 +V&& +p3035 +tp3036 +a(g181 +V +tp3037 +a(g53 +Vtest +p3038 +tp3039 +a(g181 +V +tp3040 +a(g181 +V! +tp3041 +a(g181 +V +tp3042 +a(g181 +V-d +p3043 +tp3044 +a(g181 +V +tp3045 +a(g237 +V"$my_xdir" +p3046 +tp3047 +a(g181 +V; +tp3048 +a(g181 +V +tp3049 +a(g107 +Vthen\u000a +p3050 +tp3051 +a(g53 +Vexit +p3052 +tp3053 +a(g181 +V +tp3054 +a(g69 +V$exit_status +p3055 +tp3056 +a(g181 +V\u000a +p3057 +tp3058 +a(g107 +Vfi\u000a +p3059 +tp3060 +a(g107 +Vcase +p3061 +tp3062 +a(g181 +V +tp3063 +a(g69 +V$host +p3064 +tp3065 +a(g181 +V +tp3066 +a(g181 +Vin +p3067 +tp3068 +a(g181 +V\u000a +p3069 +tp3070 +a(g181 +V*-darwin* +p3071 +tp3072 +a(g336 +V) +tp3073 +a(g181 +V\u000a +p3074 +tp3075 +a(g69 +V$show +p3076 +tp3077 +a(g181 +V +tp3078 +a(g237 +V"Extracting $my_xabs" +p3079 +tp3080 +a(g181 +V\u000a +p3081 +tp3082 +a(g6 +V# Do not bother doing anything if just a dry run\u000a +p3083 +tp3084 +a(g181 +V +tp3085 +a(g107 +Vif +p3086 +tp3087 +a(g53 +Vtest +p3088 +tp3089 +a(g181 +V +tp3090 +a(g181 +V-z +p3091 +tp3092 +a(g181 +V +tp3093 +a(g237 +V"$run" +p3094 +tp3095 +a(g181 +V; +tp3096 +a(g181 +V +tp3097 +a(g107 +Vthen\u000a +p3098 +tp3099 +a(g69 +Vdarwin_orig_dir +p3100 +tp3101 +a(g336 +V= +tp3102 +a(g256 +V` +tp3103 +a(g53 +Vpwd +p3104 +tp3105 +a(g256 +V` +tp3106 +a(g181 +V\u000a +p3107 +tp3108 +a(g53 +Vcd +p3109 +tp3110 +a(g181 +V +tp3111 +a(g69 +V$my_xdir +p3112 +tp3113 +a(g181 +V +tp3114 +a(g336 +V|| +p3115 +tp3116 +a(g181 +V +tp3117 +a(g53 +Vexit +p3118 +tp3119 +a(g181 +V +tp3120 +a(g69 +V$? +p3121 +tp3122 +a(g181 +V\u000a +p3123 +tp3124 +a(g69 +Vdarwin_archive +p3125 +tp3126 +a(g336 +V= +tp3127 +a(g69 +V$my_xabs +p3128 +tp3129 +a(g181 +V\u000a +p3130 +tp3131 +a(g69 +Vdarwin_curdir +p3132 +tp3133 +a(g336 +V= +tp3134 +a(g256 +V` +tp3135 +a(g53 +Vpwd +p3136 +tp3137 +a(g256 +V` +tp3138 +a(g181 +V\u000a +p3139 +tp3140 +a(g69 +Vdarwin_base_archive +p3141 +tp3142 +a(g336 +V= +tp3143 +a(g256 +V` +tp3144 +a(g69 +V$echo +p3145 +tp3146 +a(g181 +V +tp3147 +a(g237 +V"X$darwin_archive" +p3148 +tp3149 +a(g181 +V +tp3150 +a(g181 +V| +tp3151 +a(g181 +V +tp3152 +a(g69 +V$Xsed +p3153 +tp3154 +a(g181 +V +tp3155 +a(g181 +V-e +p3156 +tp3157 +a(g181 +V +tp3158 +a(g264 +V's%^.*/%%' +p3159 +tp3160 +a(g256 +V` +tp3161 +a(g181 +V\u000a +p3162 +tp3163 +a(g69 +Vdarwin_arches +p3164 +tp3165 +a(g336 +V= +tp3166 +a(g256 +V` +tp3167 +a(g181 +Vlipo +p3168 +tp3169 +a(g181 +V +tp3170 +a(g181 +V-info +p3171 +tp3172 +a(g181 +V +tp3173 +a(g237 +V"$darwin_archive" +p3174 +tp3175 +a(g181 +V +tp3176 +a(g181 +V2>/dev/null +p3177 +tp3178 +a(g181 +V +tp3179 +a(g181 +V| +tp3180 +a(g181 +V +tp3181 +a(g69 +V$EGREP +p3182 +tp3183 +a(g181 +V +tp3184 +a(g181 +VArchitectures +p3185 +tp3186 +a(g181 +V +tp3187 +a(g181 +V2>/dev/null +p3188 +tp3189 +a(g256 +V` +tp3190 +a(g181 +V\u000a +p3191 +tp3192 +a(g107 +Vif +p3193 +tp3194 +a(g53 +Vtest +p3195 +tp3196 +a(g181 +V +tp3197 +a(g181 +V-n +p3198 +tp3199 +a(g181 +V +tp3200 +a(g237 +V"$darwin_arches" +p3201 +tp3202 +a(g181 +V; +tp3203 +a(g181 +V +tp3204 +a(g107 +Vthen \u000a +p3205 +tp3206 +a(g69 +Vdarwin_arches +p3207 +tp3208 +a(g336 +V= +tp3209 +a(g256 +V` +tp3210 +a(g53 +Vecho +p3211 +tp3212 +a(g181 +V +tp3213 +a(g237 +V"$darwin_arches" +p3214 +tp3215 +a(g181 +V +tp3216 +a(g181 +V| +tp3217 +a(g181 +V +tp3218 +a(g69 +V$SED +p3219 +tp3220 +a(g181 +V +tp3221 +a(g181 +V-e +p3222 +tp3223 +a(g181 +V +tp3224 +a(g264 +V's/.*are://' +p3225 +tp3226 +a(g256 +V` +tp3227 +a(g181 +V\u000a +p3228 +tp3229 +a(g69 +Vdarwin_arch +p3230 +tp3231 +a(g336 +V= +tp3232 +a(g181 +V\u000a +p3233 +tp3234 +a(g69 +V$show +p3235 +tp3236 +a(g181 +V +tp3237 +a(g237 +V"$darwin_base_archive has multiple architectures $darwin_arches" +p3238 +tp3239 +a(g181 +V\u000a +p3240 +tp3241 +a(g107 +Vfor +p3242 +tp3243 +a(g181 +Vdarwin_arch +p3244 +tp3245 +a(g181 +V +tp3246 +a(g181 +Vin +p3247 +tp3248 +a(g181 +V +p3249 +tp3250 +a(g69 +V$darwin_arches +p3251 +tp3252 +a(g181 +V +tp3253 +a(g181 +V; +tp3254 +a(g181 +V +tp3255 +a(g107 +Vdo\u000a +p3256 +tp3257 +a(g181 +Vmkdir +p3258 +tp3259 +a(g181 +V +tp3260 +a(g181 +V-p +p3261 +tp3262 +a(g181 +V +tp3263 +a(g237 +V"unfat-$$/${darwin_base_archive}-${darwin_arch}" +p3264 +tp3265 +a(g181 +V\u000a +p3266 +tp3267 +a(g181 +Vlipo +p3268 +tp3269 +a(g181 +V +tp3270 +a(g181 +V-thin +p3271 +tp3272 +a(g181 +V +tp3273 +a(g69 +V$darwin_arch +p3274 +tp3275 +a(g181 +V +tp3276 +a(g181 +V-output +p3277 +tp3278 +a(g181 +V +tp3279 +a(g237 +V"unfat-$$/${darwin_base_archive}-${darwin_arch}/${darwin_base_archive}" +p3280 +tp3281 +a(g181 +V +tp3282 +a(g237 +V"${darwin_archive}" +p3283 +tp3284 +a(g181 +V\u000a +p3285 +tp3286 +a(g53 +Vcd +p3287 +tp3288 +a(g181 +V +tp3289 +a(g237 +V"unfat-$$/${darwin_base_archive}-${darwin_arch}" +p3290 +tp3291 +a(g181 +V\u000a +p3292 +tp3293 +a(g181 +Vfunc_extract_an_archive +p3294 +tp3295 +a(g181 +V +tp3296 +a(g237 +V"`pwd`" +p3297 +tp3298 +a(g181 +V +tp3299 +a(g237 +V"${darwin_base_archive}" +p3300 +tp3301 +a(g181 +V\u000a +p3302 +tp3303 +a(g53 +Vcd +p3304 +tp3305 +a(g181 +V +tp3306 +a(g237 +V"$darwin_curdir" +p3307 +tp3308 +a(g181 +V\u000a +p3309 +tp3310 +a(g69 +V$rm +p3311 +tp3312 +a(g181 +V +tp3313 +a(g237 +V"unfat-$$/${darwin_base_archive}-${darwin_arch}/${darwin_base_archive}" +p3314 +tp3315 +a(g181 +V\u000a +p3316 +tp3317 +a(g107 +Vdone +p3318 +tp3319 +a(g181 +V +tp3320 +a(g6 +V# $darwin_arches\u000a +p3321 +tp3322 +a(g181 +V +p3323 +tp3324 +a(g6 +V## Okay now we have a bunch of thin objects, gotta fatten them up :)\u000a +p3325 +tp3326 +a(g181 +V +p3327 +tp3328 +a(g69 +Vdarwin_filelist +p3329 +tp3330 +a(g336 +V= +tp3331 +a(g256 +V` +tp3332 +a(g181 +Vfind +p3333 +tp3334 +a(g181 +V +tp3335 +a(g181 +Vunfat- +p3336 +tp3337 +a(g69 +V$$ +p3338 +tp3339 +a(g181 +V +tp3340 +a(g181 +V-type +p3341 +tp3342 +a(g181 +V +tp3343 +a(g181 +Vf +tp3344 +a(g181 +V +tp3345 +a(g181 +V-name +p3346 +tp3347 +a(g181 +V +tp3348 +a(g244 +V\u005c* +p3349 +tp3350 +a(g181 +V.o +p3351 +tp3352 +a(g181 +V +tp3353 +a(g181 +V-print +p3354 +tp3355 +a(g181 +V +tp3356 +a(g181 +V-o +p3357 +tp3358 +a(g181 +V +tp3359 +a(g181 +V-name +p3360 +tp3361 +a(g181 +V +tp3362 +a(g244 +V\u005c* +p3363 +tp3364 +a(g181 +V.lo +p3365 +tp3366 +a(g181 +V +tp3367 +a(g181 +V-print| +p3368 +tp3369 +a(g181 +V +tp3370 +a(g181 +Vxargs +p3371 +tp3372 +a(g181 +V +tp3373 +a(g181 +Vbasename +p3374 +tp3375 +a(g181 +V +tp3376 +a(g181 +V| +tp3377 +a(g181 +V +tp3378 +a(g181 +Vsort +p3379 +tp3380 +a(g181 +V +tp3381 +a(g181 +V-u +p3382 +tp3383 +a(g181 +V +tp3384 +a(g181 +V| +tp3385 +a(g181 +V +tp3386 +a(g69 +V$NL2SP +p3387 +tp3388 +a(g256 +V` +tp3389 +a(g181 +V\u000a +p3390 +tp3391 +a(g69 +Vdarwin_file +p3392 +tp3393 +a(g336 +V= +tp3394 +a(g181 +V\u000a +p3395 +tp3396 +a(g69 +Vdarwin_files +p3397 +tp3398 +a(g336 +V= +tp3399 +a(g181 +V\u000a +p3400 +tp3401 +a(g107 +Vfor +p3402 +tp3403 +a(g181 +Vdarwin_file +p3404 +tp3405 +a(g181 +V +tp3406 +a(g181 +Vin +p3407 +tp3408 +a(g181 +V +tp3409 +a(g69 +V$darwin_filelist +p3410 +tp3411 +a(g181 +V; +tp3412 +a(g181 +V +tp3413 +a(g107 +Vdo\u000a +p3414 +tp3415 +a(g69 +Vdarwin_files +p3416 +tp3417 +a(g336 +V= +tp3418 +a(g256 +V` +tp3419 +a(g181 +Vfind +p3420 +tp3421 +a(g181 +V +tp3422 +a(g181 +Vunfat- +p3423 +tp3424 +a(g69 +V$$ +p3425 +tp3426 +a(g181 +V +tp3427 +a(g181 +V-name +p3428 +tp3429 +a(g181 +V +tp3430 +a(g69 +V$darwin_file +p3431 +tp3432 +a(g181 +V +tp3433 +a(g181 +V-print +p3434 +tp3435 +a(g181 +V +tp3436 +a(g181 +V| +tp3437 +a(g181 +V +tp3438 +a(g69 +V$NL2SP +p3439 +tp3440 +a(g256 +V` +tp3441 +a(g181 +V\u000a +p3442 +tp3443 +a(g181 +Vlipo +p3444 +tp3445 +a(g181 +V +tp3446 +a(g181 +V-create +p3447 +tp3448 +a(g181 +V +tp3449 +a(g181 +V-output +p3450 +tp3451 +a(g181 +V +tp3452 +a(g237 +V"$darwin_file" +p3453 +tp3454 +a(g181 +V +tp3455 +a(g69 +V$darwin_files +p3456 +tp3457 +a(g181 +V\u000a +p3458 +tp3459 +a(g107 +Vdone +p3460 +tp3461 +a(g181 +V +tp3462 +a(g6 +V# $darwin_filelist\u000a +p3463 +tp3464 +a(g181 +V +p3465 +tp3466 +a(g107 +V${ +p3467 +tp3468 +a(g69 +Vrm +p3469 +tp3470 +a(g107 +V} +tp3471 +a(g181 +Vr +tp3472 +a(g181 +V +tp3473 +a(g181 +Vunfat- +p3474 +tp3475 +a(g69 +V$$ +p3476 +tp3477 +a(g181 +V\u000a +p3478 +tp3479 +a(g53 +Vcd +p3480 +tp3481 +a(g181 +V +tp3482 +a(g237 +V"$darwin_orig_dir" +p3483 +tp3484 +a(g181 +V\u000a +p3485 +tp3486 +a(g107 +Velse\u000a +p3487 +tp3488 +a(g53 +Vcd +p3489 +tp3490 +a(g181 +V +tp3491 +a(g237 +V"$darwin_orig_dir" +p3492 +tp3493 +a(g181 +V\u000a +p3494 +tp3495 +a(g181 +Vfunc_extract_an_archive +p3496 +tp3497 +a(g181 +V +tp3498 +a(g237 +V"$my_xdir" +p3499 +tp3500 +a(g181 +V +tp3501 +a(g237 +V"$my_xabs" +p3502 +tp3503 +a(g181 +V\u000a +p3504 +tp3505 +a(g107 +Vfi +p3506 +tp3507 +a(g181 +V +tp3508 +a(g6 +V# $darwin_arches\u000a +p3509 +tp3510 +a(g181 +V +tp3511 +a(g107 +Vfi +p3512 +tp3513 +a(g181 +V +tp3514 +a(g6 +V# $run\u000a +p3515 +tp3516 +a(g181 +V +tp3517 +a(g181 +V; +tp3518 +a(g181 +V; +tp3519 +a(g181 +V\u000a +p3520 +tp3521 +a(g181 +V* +tp3522 +a(g336 +V) +tp3523 +a(g181 +V\u000a +p3524 +tp3525 +a(g181 +Vfunc_extract_an_archive +p3526 +tp3527 +a(g181 +V +tp3528 +a(g237 +V"$my_xdir" +p3529 +tp3530 +a(g181 +V +tp3531 +a(g237 +V"$my_xabs" +p3532 +tp3533 +a(g181 +V\u000a +p3534 +tp3535 +a(g181 +V; +tp3536 +a(g181 +V; +tp3537 +a(g181 +V\u000a +p3538 +tp3539 +a(g107 +Vesac\u000a +p3540 +tp3541 +a(g69 +Vmy_oldobjs +p3542 +tp3543 +a(g336 +V= +tp3544 +a(g237 +V"$my_oldobjs " +p3545 +tp3546 +a(g256 +V` +tp3547 +a(g181 +Vfind +p3548 +tp3549 +a(g181 +V +tp3550 +a(g69 +V$my_xdir +p3551 +tp3552 +a(g181 +V +tp3553 +a(g181 +V-name +p3554 +tp3555 +a(g181 +V +tp3556 +a(g244 +V\u005c* +p3557 +tp3558 +a(g181 +V. +tp3559 +a(g69 +V$objext +p3560 +tp3561 +a(g181 +V +tp3562 +a(g181 +V-print +p3563 +tp3564 +a(g181 +V +tp3565 +a(g181 +V-o +p3566 +tp3567 +a(g181 +V +tp3568 +a(g181 +V-name +p3569 +tp3570 +a(g181 +V +tp3571 +a(g244 +V\u005c* +p3572 +tp3573 +a(g181 +V.lo +p3574 +tp3575 +a(g181 +V +tp3576 +a(g181 +V-print +p3577 +tp3578 +a(g181 +V +tp3579 +a(g181 +V| +tp3580 +a(g181 +V +tp3581 +a(g69 +V$NL2SP +p3582 +tp3583 +a(g256 +V` +tp3584 +a(g181 +V\u000a +p3585 +tp3586 +a(g107 +Vdone\u000a +p3587 +tp3588 +a(g69 +Vfunc_extract_archives_result +p3589 +tp3590 +a(g336 +V= +tp3591 +a(g237 +V"$my_oldobjs" +p3592 +tp3593 +a(g181 +V\u000a +tp3594 +a(g336 +V} +tp3595 +a(g181 +V\u000a +tp3596 +a(g6 +V# End of Shell function definitions\u000a +p3597 +tp3598 +a(g6 +V#####################################\u000a +p3599 +tp3600 +a(g181 +V\u000a +tp3601 +a(g6 +V# Darwin sucks\u000a +p3602 +tp3603 +a(g53 +Veval +p3604 +tp3605 +a(g69 +Vstd_shrext +p3606 +tp3607 +a(g336 +V= +tp3608 +a(g244 +V\u005c" +p3609 +tp3610 +a(g69 +V$shrext_cmds +p3611 +tp3612 +a(g244 +V\u005c" +p3613 +tp3614 +a(g181 +V\u000a\u000a +p3615 +tp3616 +a(g69 +Vdisable_libs +p3617 +tp3618 +a(g336 +V= +tp3619 +a(g181 +Vno +p3620 +tp3621 +a(g181 +V\u000a\u000a +p3622 +tp3623 +a(g6 +V# Parse our command line options once, thoroughly.\u000a +p3624 +tp3625 +a(g107 +Vwhile +p3626 +tp3627 +a(g53 +Vtest +p3628 +tp3629 +a(g181 +V +tp3630 +a(g237 +V"$#" +p3631 +tp3632 +a(g181 +V +tp3633 +a(g181 +V-gt +p3634 +tp3635 +a(g181 +V +tp3636 +a(g181 +V0 +tp3637 +a(g181 +V\u000a +tp3638 +a(g107 +Vdo\u000a +p3639 +tp3640 +a(g69 +Varg +p3641 +tp3642 +a(g336 +V= +tp3643 +a(g237 +V"$1" +p3644 +tp3645 +a(g181 +V\u000a +p3646 +tp3647 +a(g53 +Vshift\u000a\u000a +p3648 +tp3649 +a(g107 +Vcase +p3650 +tp3651 +a(g181 +V +tp3652 +a(g69 +V$arg +p3653 +tp3654 +a(g181 +V +tp3655 +a(g181 +Vin +p3656 +tp3657 +a(g181 +V\u000a +p3658 +tp3659 +a(g181 +V-* +p3660 +tp3661 +a(g336 +V= +tp3662 +a(g181 +V* +tp3663 +a(g336 +V) +tp3664 +a(g181 +V +tp3665 +a(g69 +Voptarg +p3666 +tp3667 +a(g336 +V= +tp3668 +a(g256 +V` +tp3669 +a(g69 +V$echo +p3670 +tp3671 +a(g181 +V +tp3672 +a(g237 +V"X$arg" +p3673 +tp3674 +a(g181 +V +tp3675 +a(g181 +V| +tp3676 +a(g181 +V +tp3677 +a(g69 +V$Xsed +p3678 +tp3679 +a(g181 +V +tp3680 +a(g181 +V-e +p3681 +tp3682 +a(g181 +V +tp3683 +a(g264 +V's/[-_a-zA-Z0-9]*=//' +p3684 +tp3685 +a(g256 +V` +tp3686 +a(g181 +V +tp3687 +a(g181 +V; +tp3688 +a(g181 +V; +tp3689 +a(g181 +V\u000a +p3690 +tp3691 +a(g181 +V* +tp3692 +a(g336 +V) +tp3693 +a(g181 +V +tp3694 +a(g69 +Voptarg +p3695 +tp3696 +a(g336 +V= +tp3697 +a(g181 +V +tp3698 +a(g181 +V; +tp3699 +a(g181 +V; +tp3700 +a(g181 +V\u000a +p3701 +tp3702 +a(g107 +Vesac +p3703 +tp3704 +a(g181 +V\u000a\u000a +p3705 +tp3706 +a(g6 +V# If the previous option needs an argument, assign it.\u000a +p3707 +tp3708 +a(g181 +V +p3709 +tp3710 +a(g107 +Vif +p3711 +tp3712 +a(g53 +Vtest +p3713 +tp3714 +a(g181 +V +tp3715 +a(g181 +V-n +p3716 +tp3717 +a(g181 +V +tp3718 +a(g237 +V"$prev" +p3719 +tp3720 +a(g181 +V; +tp3721 +a(g181 +V +tp3722 +a(g107 +Vthen\u000a +p3723 +tp3724 +a(g107 +Vcase +p3725 +tp3726 +a(g181 +V +tp3727 +a(g69 +V$prev +p3728 +tp3729 +a(g181 +V +tp3730 +a(g181 +Vin +p3731 +tp3732 +a(g181 +V\u000a +p3733 +tp3734 +a(g181 +Vexecute_dlfiles +p3735 +tp3736 +a(g336 +V) +tp3737 +a(g181 +V\u000a +p3738 +tp3739 +a(g69 +Vexecute_dlfiles +p3740 +tp3741 +a(g336 +V= +tp3742 +a(g237 +V"$execute_dlfiles $arg" +p3743 +tp3744 +a(g181 +V\u000a +p3745 +tp3746 +a(g181 +V; +tp3747 +a(g181 +V; +tp3748 +a(g181 +V\u000a +p3749 +tp3750 +a(g181 +Vtag +p3751 +tp3752 +a(g336 +V) +tp3753 +a(g181 +V\u000a +p3754 +tp3755 +a(g69 +Vtagname +p3756 +tp3757 +a(g336 +V= +tp3758 +a(g237 +V"$arg" +p3759 +tp3760 +a(g181 +V\u000a +p3761 +tp3762 +a(g69 +Vpreserve_args +p3763 +tp3764 +a(g336 +V= +tp3765 +a(g237 +V"${preserve_args}=$arg" +p3766 +tp3767 +a(g181 +V\u000a\u000a +p3768 +tp3769 +a(g6 +V# Check whether tagname contains only valid characters\u000a +p3770 +tp3771 +a(g181 +V +p3772 +tp3773 +a(g107 +Vcase +p3774 +tp3775 +a(g181 +V +tp3776 +a(g69 +V$tagname +p3777 +tp3778 +a(g181 +V +tp3779 +a(g181 +Vin +p3780 +tp3781 +a(g181 +V\u000a +p3782 +tp3783 +a(g181 +V* +tp3784 +a(g336 +V[ +tp3785 +a(g181 +V!-_A-Za-z0-9,/ +p3786 +tp3787 +a(g336 +V] +tp3788 +a(g181 +V* +tp3789 +a(g336 +V) +tp3790 +a(g181 +V\u000a +p3791 +tp3792 +a(g69 +V$echo +p3793 +tp3794 +a(g181 +V +tp3795 +a(g237 +V"$progname: invalid tag name: $tagname" +p3796 +tp3797 +a(g181 +V +tp3798 +a(g181 +V1>&2 +p3799 +tp3800 +a(g181 +V\u000a +p3801 +tp3802 +a(g53 +Vexit +p3803 +tp3804 +a(g181 +V +tp3805 +a(g69 +V$EXIT_FAILURE +p3806 +tp3807 +a(g181 +V\u000a +p3808 +tp3809 +a(g181 +V; +tp3810 +a(g181 +V; +tp3811 +a(g181 +V\u000a +p3812 +tp3813 +a(g107 +Vesac\u000a\u000a +p3814 +tp3815 +a(g107 +Vcase +p3816 +tp3817 +a(g181 +V +tp3818 +a(g69 +V$tagname +p3819 +tp3820 +a(g181 +V +tp3821 +a(g181 +Vin +p3822 +tp3823 +a(g181 +V\u000a +p3824 +tp3825 +a(g181 +VCC +p3826 +tp3827 +a(g336 +V) +tp3828 +a(g181 +V\u000a +p3829 +tp3830 +a(g6 +V# Don't test for the "default" C tag, as we know, it's there, but\u000a +p3831 +tp3832 +a(g181 +V +tp3833 +a(g6 +V# not specially marked.\u000a +p3834 +tp3835 +a(g181 +V +tp3836 +a(g181 +V; +tp3837 +a(g181 +V; +tp3838 +a(g181 +V\u000a +p3839 +tp3840 +a(g181 +V* +tp3841 +a(g336 +V) +tp3842 +a(g181 +V\u000a +p3843 +tp3844 +a(g107 +Vif +p3845 +tp3846 +a(g181 +Vgrep +p3847 +tp3848 +a(g181 +V +tp3849 +a(g237 +V"^# ### BEGIN LIBTOOL TAG CONFIG: $tagname$" +p3850 +tp3851 +a(g181 +V +tp3852 +a(g181 +V< +tp3853 +a(g181 +V +tp3854 +a(g237 +V"$progpath" +p3855 +tp3856 +a(g181 +V +tp3857 +a(g181 +V> +tp3858 +a(g181 +V +tp3859 +a(g181 +V/dev/null; +p3860 +tp3861 +a(g181 +V +tp3862 +a(g107 +Vthen\u000a +p3863 +tp3864 +a(g69 +Vtaglist +p3865 +tp3866 +a(g336 +V= +tp3867 +a(g237 +V"$taglist $tagname" +p3868 +tp3869 +a(g181 +V\u000a +p3870 +tp3871 +a(g6 +V# Evaluate the configuration.\u000a +p3872 +tp3873 +a(g181 +V +p3874 +tp3875 +a(g53 +Veval +p3876 +tp3877 +a(g181 +V +tp3878 +a(g237 +V"`${SED} -n -e '/^# ### BEGIN LIBTOOL TAG CONFIG: '$tagname'$/,/^# ### END LIBTOOL TAG CONFIG: '$tagname'$/p' < $progpath`" +p3879 +tp3880 +a(g181 +V\u000a +p3881 +tp3882 +a(g107 +Velse +p3883 +tp3884 +a(g181 +V\u000a +p3885 +tp3886 +a(g69 +V$echo +p3887 +tp3888 +a(g181 +V +tp3889 +a(g237 +V"$progname: ignoring unknown tag $tagname" +p3890 +tp3891 +a(g181 +V +tp3892 +a(g181 +V1>&2 +p3893 +tp3894 +a(g181 +V\u000a +p3895 +tp3896 +a(g107 +Vfi +p3897 +tp3898 +a(g181 +V\u000a +p3899 +tp3900 +a(g181 +V; +tp3901 +a(g181 +V; +tp3902 +a(g181 +V\u000a +p3903 +tp3904 +a(g107 +Vesac +p3905 +tp3906 +a(g181 +V\u000a +p3907 +tp3908 +a(g181 +V; +tp3909 +a(g181 +V; +tp3910 +a(g181 +V\u000a +p3911 +tp3912 +a(g181 +V* +tp3913 +a(g336 +V) +tp3914 +a(g181 +V\u000a +p3915 +tp3916 +a(g53 +Veval +p3917 +tp3918 +a(g181 +V +tp3919 +a(g237 +V"$prev=\u005c$arg" +p3920 +tp3921 +a(g181 +V\u000a +p3922 +tp3923 +a(g181 +V; +tp3924 +a(g181 +V; +tp3925 +a(g181 +V\u000a +p3926 +tp3927 +a(g107 +Vesac\u000a\u000a +p3928 +tp3929 +a(g69 +Vprev +p3930 +tp3931 +a(g336 +V= +tp3932 +a(g181 +V\u000a +p3933 +tp3934 +a(g69 +Vprevopt +p3935 +tp3936 +a(g336 +V= +tp3937 +a(g181 +V\u000a +p3938 +tp3939 +a(g107 +Vcontinue\u000a +p3940 +tp3941 +a(g107 +Vfi +p3942 +tp3943 +a(g181 +V\u000a\u000a +p3944 +tp3945 +a(g6 +V# Have we seen a non-optional argument yet?\u000a +p3946 +tp3947 +a(g181 +V +p3948 +tp3949 +a(g107 +Vcase +p3950 +tp3951 +a(g181 +V +tp3952 +a(g69 +V$arg +p3953 +tp3954 +a(g181 +V +tp3955 +a(g181 +Vin +p3956 +tp3957 +a(g181 +V\u000a +p3958 +tp3959 +a(g181 +V--help +p3960 +tp3961 +a(g336 +V) +tp3962 +a(g181 +V\u000a +p3963 +tp3964 +a(g69 +Vshow_help +p3965 +tp3966 +a(g336 +V= +tp3967 +a(g181 +Vyes +p3968 +tp3969 +a(g181 +V\u000a +p3970 +tp3971 +a(g181 +V; +tp3972 +a(g181 +V; +tp3973 +a(g181 +V\u000a\u000a +p3974 +tp3975 +a(g181 +V--version +p3976 +tp3977 +a(g336 +V) +tp3978 +a(g181 +V\u000a +p3979 +tp3980 +a(g69 +V$echo +p3981 +tp3982 +a(g181 +V +tp3983 +a(g237 +V"$PROGRAM (GNU $PACKAGE) $VERSION$TIMESTAMP" +p3984 +tp3985 +a(g181 +V\u000a +p3986 +tp3987 +a(g69 +V$echo +p3988 +tp3989 +a(g181 +V\u000a +p3990 +tp3991 +a(g69 +V$echo +p3992 +tp3993 +a(g181 +V +tp3994 +a(g237 +V"Copyright (C) 2005 Free Software Foundation, Inc." +p3995 +tp3996 +a(g181 +V\u000a +p3997 +tp3998 +a(g69 +V$echo +p3999 +tp4000 +a(g181 +V +tp4001 +a(g237 +V"This is free software; see the source for copying conditions. There is NO" +p4002 +tp4003 +a(g181 +V\u000a +p4004 +tp4005 +a(g69 +V$echo +p4006 +tp4007 +a(g181 +V +tp4008 +a(g237 +V"warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE." +p4009 +tp4010 +a(g181 +V\u000a +p4011 +tp4012 +a(g53 +Vexit +p4013 +tp4014 +a(g181 +V +tp4015 +a(g69 +V$? +p4016 +tp4017 +a(g181 +V\u000a +p4018 +tp4019 +a(g181 +V; +tp4020 +a(g181 +V; +tp4021 +a(g181 +V\u000a\u000a +p4022 +tp4023 +a(g181 +V--config +p4024 +tp4025 +a(g336 +V) +tp4026 +a(g181 +V\u000a +p4027 +tp4028 +a(g107 +V${ +p4029 +tp4030 +a(g69 +VSED +p4031 +tp4032 +a(g107 +V} +tp4033 +a(g181 +V +tp4034 +a(g181 +V-e +p4035 +tp4036 +a(g181 +V +tp4037 +a(g264 +V'1,/^# ### BEGIN LIBTOOL CONFIG/d' +p4038 +tp4039 +a(g181 +V +tp4040 +a(g181 +V-e +p4041 +tp4042 +a(g181 +V +tp4043 +a(g264 +V'/^# ### END LIBTOOL CONFIG/,$d' +p4044 +tp4045 +a(g181 +V +tp4046 +a(g69 +V$progpath +p4047 +tp4048 +a(g181 +V\u000a +p4049 +tp4050 +a(g6 +V# Now print the configurations for the tags.\u000a +p4051 +tp4052 +a(g181 +V +p4053 +tp4054 +a(g107 +Vfor +p4055 +tp4056 +a(g181 +Vtagname +p4057 +tp4058 +a(g181 +V +tp4059 +a(g181 +Vin +p4060 +tp4061 +a(g181 +V +tp4062 +a(g69 +V$taglist +p4063 +tp4064 +a(g181 +V; +tp4065 +a(g181 +V +tp4066 +a(g107 +Vdo +p4067 +tp4068 +a(g181 +V\u000a +p4069 +tp4070 +a(g107 +V${ +p4071 +tp4072 +a(g69 +VSED +p4073 +tp4074 +a(g107 +V} +tp4075 +a(g181 +V +tp4076 +a(g181 +V-n +p4077 +tp4078 +a(g181 +V +tp4079 +a(g181 +V-e +p4080 +tp4081 +a(g181 +V +tp4082 +a(g237 +V"/^# ### BEGIN LIBTOOL TAG CONFIG: $tagname$/,/^# ### END LIBTOOL TAG CONFIG: $tagname$/p" +p4083 +tp4084 +a(g181 +V +tp4085 +a(g181 +V< +tp4086 +a(g181 +V +tp4087 +a(g237 +V"$progpath" +p4088 +tp4089 +a(g181 +V\u000a +p4090 +tp4091 +a(g107 +Vdone\u000a +p4092 +tp4093 +a(g53 +Vexit +p4094 +tp4095 +a(g181 +V +tp4096 +a(g69 +V$? +p4097 +tp4098 +a(g181 +V\u000a +p4099 +tp4100 +a(g181 +V; +tp4101 +a(g181 +V; +tp4102 +a(g181 +V\u000a\u000a +p4103 +tp4104 +a(g181 +V--debug +p4105 +tp4106 +a(g336 +V) +tp4107 +a(g181 +V\u000a +p4108 +tp4109 +a(g69 +V$echo +p4110 +tp4111 +a(g181 +V +tp4112 +a(g237 +V"$progname: enabling shell trace mode" +p4113 +tp4114 +a(g181 +V\u000a +p4115 +tp4116 +a(g53 +Vset +p4117 +tp4118 +a(g181 +V +tp4119 +a(g181 +V-x +p4120 +tp4121 +a(g181 +V\u000a +p4122 +tp4123 +a(g69 +Vpreserve_args +p4124 +tp4125 +a(g336 +V= +tp4126 +a(g237 +V"$preserve_args $arg" +p4127 +tp4128 +a(g181 +V\u000a +p4129 +tp4130 +a(g181 +V; +tp4131 +a(g181 +V; +tp4132 +a(g181 +V\u000a\u000a +p4133 +tp4134 +a(g181 +V--dry-run +p4135 +tp4136 +a(g181 +V +tp4137 +a(g181 +V| +tp4138 +a(g181 +V +tp4139 +a(g181 +V-n +p4140 +tp4141 +a(g336 +V) +tp4142 +a(g181 +V\u000a +p4143 +tp4144 +a(g69 +Vrun +p4145 +tp4146 +a(g336 +V= +tp4147 +a(g181 +V: +tp4148 +a(g181 +V\u000a +p4149 +tp4150 +a(g181 +V; +tp4151 +a(g181 +V; +tp4152 +a(g181 +V\u000a\u000a +p4153 +tp4154 +a(g181 +V--features +p4155 +tp4156 +a(g336 +V) +tp4157 +a(g181 +V\u000a +p4158 +tp4159 +a(g69 +V$echo +p4160 +tp4161 +a(g181 +V +tp4162 +a(g237 +V"host: $host" +p4163 +tp4164 +a(g181 +V\u000a +p4165 +tp4166 +a(g107 +Vif +p4167 +tp4168 +a(g53 +Vtest +p4169 +tp4170 +a(g181 +V +tp4171 +a(g237 +V"$build_libtool_libs" +p4172 +tp4173 +a(g181 +V +tp4174 +a(g336 +V= +tp4175 +a(g181 +V +tp4176 +a(g181 +Vyes; +p4177 +tp4178 +a(g181 +V +tp4179 +a(g107 +Vthen +p4180 +tp4181 +a(g181 +V\u000a +p4182 +tp4183 +a(g69 +V$echo +p4184 +tp4185 +a(g181 +V +tp4186 +a(g237 +V"enable shared libraries" +p4187 +tp4188 +a(g181 +V\u000a +p4189 +tp4190 +a(g107 +Velse +p4191 +tp4192 +a(g181 +V\u000a +p4193 +tp4194 +a(g69 +V$echo +p4195 +tp4196 +a(g181 +V +tp4197 +a(g237 +V"disable shared libraries" +p4198 +tp4199 +a(g181 +V\u000a +p4200 +tp4201 +a(g107 +Vfi\u000a +p4202 +tp4203 +a(g107 +Vif +p4204 +tp4205 +a(g53 +Vtest +p4206 +tp4207 +a(g181 +V +tp4208 +a(g237 +V"$build_old_libs" +p4209 +tp4210 +a(g181 +V +tp4211 +a(g336 +V= +tp4212 +a(g181 +V +tp4213 +a(g181 +Vyes; +p4214 +tp4215 +a(g181 +V +tp4216 +a(g107 +Vthen +p4217 +tp4218 +a(g181 +V\u000a +p4219 +tp4220 +a(g69 +V$echo +p4221 +tp4222 +a(g181 +V +tp4223 +a(g237 +V"enable static libraries" +p4224 +tp4225 +a(g181 +V\u000a +p4226 +tp4227 +a(g107 +Velse +p4228 +tp4229 +a(g181 +V\u000a +p4230 +tp4231 +a(g69 +V$echo +p4232 +tp4233 +a(g181 +V +tp4234 +a(g237 +V"disable static libraries" +p4235 +tp4236 +a(g181 +V\u000a +p4237 +tp4238 +a(g107 +Vfi\u000a +p4239 +tp4240 +a(g53 +Vexit +p4241 +tp4242 +a(g181 +V +tp4243 +a(g69 +V$? +p4244 +tp4245 +a(g181 +V\u000a +p4246 +tp4247 +a(g181 +V; +tp4248 +a(g181 +V; +tp4249 +a(g181 +V\u000a\u000a +p4250 +tp4251 +a(g181 +V--finish +p4252 +tp4253 +a(g336 +V) +tp4254 +a(g181 +V +tp4255 +a(g69 +Vmode +p4256 +tp4257 +a(g336 +V= +tp4258 +a(g237 +V"finish" +p4259 +tp4260 +a(g181 +V +tp4261 +a(g181 +V; +tp4262 +a(g181 +V; +tp4263 +a(g181 +V\u000a\u000a +p4264 +tp4265 +a(g181 +V--mode +p4266 +tp4267 +a(g336 +V) +tp4268 +a(g181 +V +tp4269 +a(g69 +Vprevopt +p4270 +tp4271 +a(g336 +V= +tp4272 +a(g237 +V"--mode" +p4273 +tp4274 +a(g181 +V +tp4275 +a(g69 +Vprev +p4276 +tp4277 +a(g336 +V= +tp4278 +a(g181 +Vmode +p4279 +tp4280 +a(g181 +V +tp4281 +a(g181 +V; +tp4282 +a(g181 +V; +tp4283 +a(g181 +V\u000a +p4284 +tp4285 +a(g181 +V--mode +p4286 +tp4287 +a(g336 +V= +tp4288 +a(g181 +V* +tp4289 +a(g336 +V) +tp4290 +a(g181 +V +tp4291 +a(g69 +Vmode +p4292 +tp4293 +a(g336 +V= +tp4294 +a(g237 +V"$optarg" +p4295 +tp4296 +a(g181 +V +tp4297 +a(g181 +V; +tp4298 +a(g181 +V; +tp4299 +a(g181 +V\u000a\u000a +p4300 +tp4301 +a(g181 +V--preserve-dup-deps +p4302 +tp4303 +a(g336 +V) +tp4304 +a(g181 +V +tp4305 +a(g69 +Vduplicate_deps +p4306 +tp4307 +a(g336 +V= +tp4308 +a(g237 +V"yes" +p4309 +tp4310 +a(g181 +V +tp4311 +a(g181 +V; +tp4312 +a(g181 +V; +tp4313 +a(g181 +V\u000a\u000a +p4314 +tp4315 +a(g181 +V--quiet +p4316 +tp4317 +a(g181 +V +tp4318 +a(g181 +V| +tp4319 +a(g181 +V +tp4320 +a(g181 +V--silent +p4321 +tp4322 +a(g336 +V) +tp4323 +a(g181 +V\u000a +p4324 +tp4325 +a(g69 +Vshow +p4326 +tp4327 +a(g336 +V= +tp4328 +a(g181 +V: +tp4329 +a(g181 +V\u000a +p4330 +tp4331 +a(g69 +Vpreserve_args +p4332 +tp4333 +a(g336 +V= +tp4334 +a(g237 +V"$preserve_args $arg" +p4335 +tp4336 +a(g181 +V\u000a +p4337 +tp4338 +a(g181 +V; +tp4339 +a(g181 +V; +tp4340 +a(g181 +V\u000a\u000a +p4341 +tp4342 +a(g181 +V--tag +p4343 +tp4344 +a(g336 +V) +tp4345 +a(g181 +V\u000a +p4346 +tp4347 +a(g69 +Vprevopt +p4348 +tp4349 +a(g336 +V= +tp4350 +a(g237 +V"--tag" +p4351 +tp4352 +a(g181 +V\u000a +p4353 +tp4354 +a(g69 +Vprev +p4355 +tp4356 +a(g336 +V= +tp4357 +a(g181 +Vtag +p4358 +tp4359 +a(g181 +V\u000a +p4360 +tp4361 +a(g69 +Vpreserve_args +p4362 +tp4363 +a(g336 +V= +tp4364 +a(g237 +V"$preserve_args --tag" +p4365 +tp4366 +a(g181 +V\u000a +p4367 +tp4368 +a(g181 +V; +tp4369 +a(g181 +V; +tp4370 +a(g181 +V\u000a +p4371 +tp4372 +a(g181 +V--tag +p4373 +tp4374 +a(g336 +V= +tp4375 +a(g181 +V* +tp4376 +a(g336 +V) +tp4377 +a(g181 +V\u000a +p4378 +tp4379 +a(g53 +Vset +p4380 +tp4381 +a(g181 +Vtag +p4382 +tp4383 +a(g181 +V +tp4384 +a(g237 +V"$optarg" +p4385 +tp4386 +a(g181 +V +tp4387 +a(g107 +V${ +p4388 +tp4389 +a(g69 +V1 +tp4390 +a(g194 +V+ +tp4391 +a(g237 +V"$@" +p4392 +tp4393 +a(g107 +V} +tp4394 +a(g181 +V\u000a +p4395 +tp4396 +a(g53 +Vshift\u000a +p4397 +tp4398 +a(g69 +Vprev +p4399 +tp4400 +a(g336 +V= +tp4401 +a(g181 +Vtag +p4402 +tp4403 +a(g181 +V\u000a +p4404 +tp4405 +a(g69 +Vpreserve_args +p4406 +tp4407 +a(g336 +V= +tp4408 +a(g237 +V"$preserve_args --tag" +p4409 +tp4410 +a(g181 +V\u000a +p4411 +tp4412 +a(g181 +V; +tp4413 +a(g181 +V; +tp4414 +a(g181 +V\u000a\u000a +p4415 +tp4416 +a(g181 +V-dlopen +p4417 +tp4418 +a(g336 +V) +tp4419 +a(g181 +V\u000a +p4420 +tp4421 +a(g69 +Vprevopt +p4422 +tp4423 +a(g336 +V= +tp4424 +a(g237 +V"-dlopen" +p4425 +tp4426 +a(g181 +V\u000a +p4427 +tp4428 +a(g69 +Vprev +p4429 +tp4430 +a(g336 +V= +tp4431 +a(g181 +Vexecute_dlfiles +p4432 +tp4433 +a(g181 +V\u000a +p4434 +tp4435 +a(g181 +V; +tp4436 +a(g181 +V; +tp4437 +a(g181 +V\u000a\u000a +p4438 +tp4439 +a(g181 +V-* +p4440 +tp4441 +a(g336 +V) +tp4442 +a(g181 +V\u000a +p4443 +tp4444 +a(g69 +V$echo +p4445 +tp4446 +a(g181 +V +tp4447 +a(g237 +V"$modename: unrecognized option \u005c`$arg'" +p4448 +tp4449 +a(g181 +V +tp4450 +a(g181 +V1>&2 +p4451 +tp4452 +a(g181 +V\u000a +p4453 +tp4454 +a(g69 +V$echo +p4455 +tp4456 +a(g181 +V +tp4457 +a(g237 +V"$help" +p4458 +tp4459 +a(g181 +V +tp4460 +a(g181 +V1>&2 +p4461 +tp4462 +a(g181 +V\u000a +p4463 +tp4464 +a(g53 +Vexit +p4465 +tp4466 +a(g181 +V +tp4467 +a(g69 +V$EXIT_FAILURE +p4468 +tp4469 +a(g181 +V\u000a +p4470 +tp4471 +a(g181 +V; +tp4472 +a(g181 +V; +tp4473 +a(g181 +V\u000a\u000a +p4474 +tp4475 +a(g181 +V* +tp4476 +a(g336 +V) +tp4477 +a(g181 +V\u000a +p4478 +tp4479 +a(g69 +Vnonopt +p4480 +tp4481 +a(g336 +V= +tp4482 +a(g237 +V"$arg" +p4483 +tp4484 +a(g181 +V\u000a +p4485 +tp4486 +a(g53 +Vbreak +p4487 +tp4488 +a(g181 +V\u000a +p4489 +tp4490 +a(g181 +V; +tp4491 +a(g181 +V; +tp4492 +a(g181 +V\u000a +p4493 +tp4494 +a(g107 +Vesac\u000a +p4495 +tp4496 +a(g107 +Vdone\u000a\u000a +p4497 +tp4498 +a(g107 +Vif +p4499 +tp4500 +a(g53 +Vtest +p4501 +tp4502 +a(g181 +V +tp4503 +a(g181 +V-n +p4504 +tp4505 +a(g181 +V +tp4506 +a(g237 +V"$prevopt" +p4507 +tp4508 +a(g181 +V; +tp4509 +a(g181 +V +tp4510 +a(g107 +Vthen +p4511 +tp4512 +a(g181 +V\u000a +p4513 +tp4514 +a(g69 +V$echo +p4515 +tp4516 +a(g181 +V +tp4517 +a(g237 +V"$modename: option \u005c`$prevopt' requires an argument" +p4518 +tp4519 +a(g181 +V +tp4520 +a(g181 +V1>&2 +p4521 +tp4522 +a(g181 +V\u000a +p4523 +tp4524 +a(g69 +V$echo +p4525 +tp4526 +a(g181 +V +tp4527 +a(g237 +V"$help" +p4528 +tp4529 +a(g181 +V +tp4530 +a(g181 +V1>&2 +p4531 +tp4532 +a(g181 +V\u000a +p4533 +tp4534 +a(g53 +Vexit +p4535 +tp4536 +a(g181 +V +tp4537 +a(g69 +V$EXIT_FAILURE +p4538 +tp4539 +a(g181 +V\u000a +tp4540 +a(g107 +Vfi\u000a\u000a +p4541 +tp4542 +a(g107 +Vcase +p4543 +tp4544 +a(g181 +V +tp4545 +a(g69 +V$disable_libs +p4546 +tp4547 +a(g181 +V +tp4548 +a(g181 +Vin +p4549 +tp4550 +a(g181 +V\u000a +tp4551 +a(g181 +Vno +p4552 +tp4553 +a(g336 +V) +tp4554 +a(g181 +V \u000a +p4555 +tp4556 +a(g181 +V; +tp4557 +a(g181 +V; +tp4558 +a(g181 +V\u000a +tp4559 +a(g181 +Vshared +p4560 +tp4561 +a(g336 +V) +tp4562 +a(g181 +V\u000a +p4563 +tp4564 +a(g69 +Vbuild_libtool_libs +p4565 +tp4566 +a(g336 +V= +tp4567 +a(g181 +Vno +p4568 +tp4569 +a(g181 +V\u000a +p4570 +tp4571 +a(g69 +Vbuild_old_libs +p4572 +tp4573 +a(g336 +V= +tp4574 +a(g181 +Vyes +p4575 +tp4576 +a(g181 +V\u000a +p4577 +tp4578 +a(g181 +V; +tp4579 +a(g181 +V; +tp4580 +a(g181 +V\u000a +tp4581 +a(g181 +Vstatic +p4582 +tp4583 +a(g336 +V) +tp4584 +a(g181 +V\u000a +p4585 +tp4586 +a(g69 +Vbuild_old_libs +p4587 +tp4588 +a(g336 +V= +tp4589 +a(g256 +V` +tp4590 +a(g107 +Vcase +p4591 +tp4592 +a(g181 +V +tp4593 +a(g69 +V$build_libtool_libs +p4594 +tp4595 +a(g181 +V +tp4596 +a(g181 +Vin +p4597 +tp4598 +a(g181 +V +tp4599 +a(g181 +Vyes +p4600 +tp4601 +a(g336 +V) +tp4602 +a(g181 +V +tp4603 +a(g53 +Vecho +p4604 +tp4605 +a(g181 +Vno;; +p4606 +tp4607 +a(g181 +V +tp4608 +a(g181 +V* +tp4609 +a(g336 +V) +tp4610 +a(g181 +V +tp4611 +a(g53 +Vecho +p4612 +tp4613 +a(g181 +Vyes;; +p4614 +tp4615 +a(g181 +V +tp4616 +a(g107 +Vesac +p4617 +tp4618 +a(g256 +V` +tp4619 +a(g181 +V\u000a +p4620 +tp4621 +a(g181 +V; +tp4622 +a(g181 +V; +tp4623 +a(g181 +V\u000a +tp4624 +a(g107 +Vesac +p4625 +tp4626 +a(g181 +V\u000a\u000a +p4627 +tp4628 +a(g6 +V# If this variable is set in any of the actions, the command in it\u000a +p4629 +tp4630 +a(g6 +V# will be execed at the end. This prevents here-documents from being\u000a +p4631 +tp4632 +a(g6 +V# left over by shells.\u000a +p4633 +tp4634 +a(g69 +Vexec_cmd +p4635 +tp4636 +a(g336 +V= +tp4637 +a(g181 +V\u000a\u000a +p4638 +tp4639 +a(g107 +Vif +p4640 +tp4641 +a(g53 +Vtest +p4642 +tp4643 +a(g181 +V +tp4644 +a(g181 +V-z +p4645 +tp4646 +a(g181 +V +tp4647 +a(g237 +V"$show_help" +p4648 +tp4649 +a(g181 +V; +tp4650 +a(g181 +V +tp4651 +a(g107 +Vthen +p4652 +tp4653 +a(g181 +V\u000a\u000a +p4654 +tp4655 +a(g6 +V# Infer the operation mode.\u000a +p4656 +tp4657 +a(g181 +V +p4658 +tp4659 +a(g107 +Vif +p4660 +tp4661 +a(g53 +Vtest +p4662 +tp4663 +a(g181 +V +tp4664 +a(g181 +V-z +p4665 +tp4666 +a(g181 +V +tp4667 +a(g237 +V"$mode" +p4668 +tp4669 +a(g181 +V; +tp4670 +a(g181 +V +tp4671 +a(g107 +Vthen +p4672 +tp4673 +a(g181 +V\u000a +p4674 +tp4675 +a(g69 +V$echo +p4676 +tp4677 +a(g181 +V +tp4678 +a(g237 +V"*** Warning: inferring the mode of operation is deprecated." +p4679 +tp4680 +a(g181 +V +tp4681 +a(g181 +V1>&2 +p4682 +tp4683 +a(g181 +V\u000a +p4684 +tp4685 +a(g69 +V$echo +p4686 +tp4687 +a(g181 +V +tp4688 +a(g237 +V"*** Future versions of Libtool will require --mode=MODE be specified." +p4689 +tp4690 +a(g181 +V +tp4691 +a(g181 +V1>&2 +p4692 +tp4693 +a(g181 +V\u000a +p4694 +tp4695 +a(g107 +Vcase +p4696 +tp4697 +a(g181 +V +tp4698 +a(g69 +V$nonopt +p4699 +tp4700 +a(g181 +V +tp4701 +a(g181 +Vin +p4702 +tp4703 +a(g181 +V\u000a +p4704 +tp4705 +a(g181 +V*cc +p4706 +tp4707 +a(g181 +V +tp4708 +a(g181 +V| +tp4709 +a(g181 +V +tp4710 +a(g181 +Vcc* +p4711 +tp4712 +a(g181 +V +tp4713 +a(g181 +V| +tp4714 +a(g181 +V +tp4715 +a(g181 +V*++ +p4716 +tp4717 +a(g181 +V +tp4718 +a(g181 +V| +tp4719 +a(g181 +V +tp4720 +a(g181 +Vgcc* +p4721 +tp4722 +a(g181 +V +tp4723 +a(g181 +V| +tp4724 +a(g181 +V +tp4725 +a(g181 +V*-gcc* +p4726 +tp4727 +a(g181 +V +tp4728 +a(g181 +V| +tp4729 +a(g181 +V +tp4730 +a(g181 +Vg++* +p4731 +tp4732 +a(g181 +V +tp4733 +a(g181 +V| +tp4734 +a(g181 +V +tp4735 +a(g181 +Vxlc* +p4736 +tp4737 +a(g336 +V) +tp4738 +a(g181 +V\u000a +p4739 +tp4740 +a(g69 +Vmode +p4741 +tp4742 +a(g336 +V= +tp4743 +a(g181 +Vlink +p4744 +tp4745 +a(g181 +V\u000a +p4746 +tp4747 +a(g107 +Vfor +p4748 +tp4749 +a(g181 +Varg +p4750 +tp4751 +a(g181 +V\u000a +p4752 +tp4753 +a(g107 +Vdo\u000a +p4754 +tp4755 +a(g107 +Vcase +p4756 +tp4757 +a(g181 +V +tp4758 +a(g69 +V$arg +p4759 +tp4760 +a(g181 +V +tp4761 +a(g181 +Vin +p4762 +tp4763 +a(g181 +V\u000a +p4764 +tp4765 +a(g181 +V-c +p4766 +tp4767 +a(g336 +V) +tp4768 +a(g181 +V\u000a +p4769 +tp4770 +a(g69 +Vmode +p4771 +tp4772 +a(g336 +V= +tp4773 +a(g181 +Vcompile +p4774 +tp4775 +a(g181 +V\u000a +p4776 +tp4777 +a(g53 +Vbreak +p4778 +tp4779 +a(g181 +V\u000a +p4780 +tp4781 +a(g181 +V; +tp4782 +a(g181 +V; +tp4783 +a(g181 +V\u000a +p4784 +tp4785 +a(g107 +Vesac\u000a +p4786 +tp4787 +a(g107 +Vdone +p4788 +tp4789 +a(g181 +V\u000a +p4790 +tp4791 +a(g181 +V; +tp4792 +a(g181 +V; +tp4793 +a(g181 +V\u000a +p4794 +tp4795 +a(g181 +V*db +p4796 +tp4797 +a(g181 +V +tp4798 +a(g181 +V| +tp4799 +a(g181 +V +tp4800 +a(g181 +V*dbx +p4801 +tp4802 +a(g181 +V +tp4803 +a(g181 +V| +tp4804 +a(g181 +V +tp4805 +a(g181 +V*strace +p4806 +tp4807 +a(g181 +V +tp4808 +a(g181 +V| +tp4809 +a(g181 +V +tp4810 +a(g181 +V*truss +p4811 +tp4812 +a(g336 +V) +tp4813 +a(g181 +V\u000a +p4814 +tp4815 +a(g69 +Vmode +p4816 +tp4817 +a(g336 +V= +tp4818 +a(g181 +Vexecute +p4819 +tp4820 +a(g181 +V\u000a +p4821 +tp4822 +a(g181 +V; +tp4823 +a(g181 +V; +tp4824 +a(g181 +V\u000a +p4825 +tp4826 +a(g181 +V*install*|cp|mv +p4827 +tp4828 +a(g336 +V) +tp4829 +a(g181 +V\u000a +p4830 +tp4831 +a(g69 +Vmode +p4832 +tp4833 +a(g336 +V= +tp4834 +a(g181 +Vinstall +p4835 +tp4836 +a(g181 +V\u000a +p4837 +tp4838 +a(g181 +V; +tp4839 +a(g181 +V; +tp4840 +a(g181 +V\u000a +p4841 +tp4842 +a(g181 +V*rm +p4843 +tp4844 +a(g336 +V) +tp4845 +a(g181 +V\u000a +p4846 +tp4847 +a(g69 +Vmode +p4848 +tp4849 +a(g336 +V= +tp4850 +a(g181 +Vuninstall +p4851 +tp4852 +a(g181 +V\u000a +p4853 +tp4854 +a(g181 +V; +tp4855 +a(g181 +V; +tp4856 +a(g181 +V\u000a +p4857 +tp4858 +a(g181 +V* +tp4859 +a(g336 +V) +tp4860 +a(g181 +V\u000a +p4861 +tp4862 +a(g6 +V# If we have no mode, but dlfiles were specified, then do execute mode.\u000a +p4863 +tp4864 +a(g181 +V +p4865 +tp4866 +a(g53 +Vtest +p4867 +tp4868 +a(g181 +V +tp4869 +a(g181 +V-n +p4870 +tp4871 +a(g181 +V +tp4872 +a(g237 +V"$execute_dlfiles" +p4873 +tp4874 +a(g181 +V +tp4875 +a(g336 +V&& +p4876 +tp4877 +a(g181 +V +tp4878 +a(g69 +Vmode +p4879 +tp4880 +a(g336 +V= +tp4881 +a(g181 +Vexecute +p4882 +tp4883 +a(g181 +V\u000a\u000a +p4884 +tp4885 +a(g6 +V# Just use the default operation mode.\u000a +p4886 +tp4887 +a(g181 +V +p4888 +tp4889 +a(g107 +Vif +p4890 +tp4891 +a(g53 +Vtest +p4892 +tp4893 +a(g181 +V +tp4894 +a(g181 +V-z +p4895 +tp4896 +a(g181 +V +tp4897 +a(g237 +V"$mode" +p4898 +tp4899 +a(g181 +V; +tp4900 +a(g181 +V +tp4901 +a(g107 +Vthen\u000a +p4902 +tp4903 +a(g107 +Vif +p4904 +tp4905 +a(g53 +Vtest +p4906 +tp4907 +a(g181 +V +tp4908 +a(g181 +V-n +p4909 +tp4910 +a(g181 +V +tp4911 +a(g237 +V"$nonopt" +p4912 +tp4913 +a(g181 +V; +tp4914 +a(g181 +V +tp4915 +a(g107 +Vthen +p4916 +tp4917 +a(g181 +V\u000a +p4918 +tp4919 +a(g69 +V$echo +p4920 +tp4921 +a(g181 +V +tp4922 +a(g237 +V"$modename: warning: cannot infer operation mode from \u005c`$nonopt'" +p4923 +tp4924 +a(g181 +V +tp4925 +a(g181 +V1>&2 +p4926 +tp4927 +a(g181 +V\u000a +p4928 +tp4929 +a(g107 +Velse +p4930 +tp4931 +a(g181 +V\u000a +p4932 +tp4933 +a(g69 +V$echo +p4934 +tp4935 +a(g181 +V +tp4936 +a(g237 +V"$modename: warning: cannot infer operation mode without MODE-ARGS" +p4937 +tp4938 +a(g181 +V +tp4939 +a(g181 +V1>&2 +p4940 +tp4941 +a(g181 +V\u000a +p4942 +tp4943 +a(g107 +Vfi\u000a +p4944 +tp4945 +a(g107 +Vfi +p4946 +tp4947 +a(g181 +V\u000a +p4948 +tp4949 +a(g181 +V; +tp4950 +a(g181 +V; +tp4951 +a(g181 +V\u000a +p4952 +tp4953 +a(g107 +Vesac\u000a +p4954 +tp4955 +a(g107 +Vfi +p4956 +tp4957 +a(g181 +V\u000a\u000a +p4958 +tp4959 +a(g6 +V# Only execute mode is allowed to have -dlopen flags.\u000a +p4960 +tp4961 +a(g181 +V +p4962 +tp4963 +a(g107 +Vif +p4964 +tp4965 +a(g53 +Vtest +p4966 +tp4967 +a(g181 +V +tp4968 +a(g181 +V-n +p4969 +tp4970 +a(g181 +V +tp4971 +a(g237 +V"$execute_dlfiles" +p4972 +tp4973 +a(g181 +V +tp4974 +a(g336 +V&& +p4975 +tp4976 +a(g181 +V +tp4977 +a(g53 +Vtest +p4978 +tp4979 +a(g181 +V +tp4980 +a(g237 +V"$mode" +p4981 +tp4982 +a(g181 +V +tp4983 +a(g181 +V! +tp4984 +a(g336 +V= +tp4985 +a(g181 +V +tp4986 +a(g181 +Vexecute; +p4987 +tp4988 +a(g181 +V +tp4989 +a(g107 +Vthen +p4990 +tp4991 +a(g181 +V\u000a +p4992 +tp4993 +a(g69 +V$echo +p4994 +tp4995 +a(g181 +V +tp4996 +a(g237 +V"$modename: unrecognized option \u005c`-dlopen'" +p4997 +tp4998 +a(g181 +V +tp4999 +a(g181 +V1>&2 +p5000 +tp5001 +a(g181 +V\u000a +p5002 +tp5003 +a(g69 +V$echo +p5004 +tp5005 +a(g181 +V +tp5006 +a(g237 +V"$help" +p5007 +tp5008 +a(g181 +V +tp5009 +a(g181 +V1>&2 +p5010 +tp5011 +a(g181 +V\u000a +p5012 +tp5013 +a(g53 +Vexit +p5014 +tp5015 +a(g181 +V +tp5016 +a(g69 +V$EXIT_FAILURE +p5017 +tp5018 +a(g181 +V\u000a +p5019 +tp5020 +a(g107 +Vfi +p5021 +tp5022 +a(g181 +V\u000a\u000a +p5023 +tp5024 +a(g6 +V# Change the help message to a mode-specific one.\u000a +p5025 +tp5026 +a(g181 +V +p5027 +tp5028 +a(g69 +Vgeneric_help +p5029 +tp5030 +a(g336 +V= +tp5031 +a(g237 +V"$help" +p5032 +tp5033 +a(g181 +V\u000a +p5034 +tp5035 +a(g53 +Vhelp +p5036 +tp5037 +a(g336 +V= +tp5038 +a(g237 +V"Try \u005c`$modename --help --mode=$mode' for more information." +p5039 +tp5040 +a(g181 +V\u000a\u000a +p5041 +tp5042 +a(g6 +V# These modes are in order of execution frequency so that they run quickly.\u000a +p5043 +tp5044 +a(g181 +V +p5045 +tp5046 +a(g107 +Vcase +p5047 +tp5048 +a(g181 +V +tp5049 +a(g69 +V$mode +p5050 +tp5051 +a(g181 +V +tp5052 +a(g181 +Vin +p5053 +tp5054 +a(g181 +V\u000a +p5055 +tp5056 +a(g6 +V# libtool compile mode\u000a +p5057 +tp5058 +a(g181 +V +p5059 +tp5060 +a(g181 +Vcompile +p5061 +tp5062 +a(g336 +V) +tp5063 +a(g181 +V\u000a +p5064 +tp5065 +a(g69 +Vmodename +p5066 +tp5067 +a(g336 +V= +tp5068 +a(g237 +V"$modename: compile" +p5069 +tp5070 +a(g181 +V\u000a +p5071 +tp5072 +a(g6 +V# Get the compilation command and the source file.\u000a +p5073 +tp5074 +a(g181 +V +p5075 +tp5076 +a(g69 +Vbase_compile +p5077 +tp5078 +a(g336 +V= +tp5079 +a(g181 +V\u000a +p5080 +tp5081 +a(g69 +Vsrcfile +p5082 +tp5083 +a(g336 +V= +tp5084 +a(g237 +V"$nonopt" +p5085 +tp5086 +a(g181 +V +p5087 +tp5088 +a(g6 +V# always keep a non-empty value in "srcfile"\u000a +p5089 +tp5090 +a(g181 +V +p5091 +tp5092 +a(g69 +Vsuppress_opt +p5093 +tp5094 +a(g336 +V= +tp5095 +a(g181 +Vyes +p5096 +tp5097 +a(g181 +V\u000a +p5098 +tp5099 +a(g69 +Vsuppress_output +p5100 +tp5101 +a(g336 +V= +tp5102 +a(g181 +V\u000a +p5103 +tp5104 +a(g69 +Varg_mode +p5105 +tp5106 +a(g336 +V= +tp5107 +a(g181 +Vnormal +p5108 +tp5109 +a(g181 +V\u000a +p5110 +tp5111 +a(g69 +Vlibobj +p5112 +tp5113 +a(g336 +V= +tp5114 +a(g181 +V\u000a +p5115 +tp5116 +a(g69 +Vlater +p5117 +tp5118 +a(g336 +V= +tp5119 +a(g181 +V\u000a\u000a +p5120 +tp5121 +a(g107 +Vfor +p5122 +tp5123 +a(g181 +Varg +p5124 +tp5125 +a(g181 +V\u000a +p5126 +tp5127 +a(g107 +Vdo\u000a +p5128 +tp5129 +a(g107 +Vcase +p5130 +tp5131 +a(g181 +V +tp5132 +a(g69 +V$arg_mode +p5133 +tp5134 +a(g181 +V +tp5135 +a(g181 +Vin +p5136 +tp5137 +a(g181 +V\u000a +p5138 +tp5139 +a(g181 +Varg +p5140 +tp5141 +a(g181 +V +p5142 +tp5143 +a(g336 +V) +tp5144 +a(g181 +V\u000a +p5145 +tp5146 +a(g6 +V# do not "continue". Instead, add this to base_compile\u000a +p5147 +tp5148 +a(g181 +V +tp5149 +a(g69 +Vlastarg +p5150 +tp5151 +a(g336 +V= +tp5152 +a(g237 +V"$arg" +p5153 +tp5154 +a(g181 +V\u000a +p5155 +tp5156 +a(g69 +Varg_mode +p5157 +tp5158 +a(g336 +V= +tp5159 +a(g181 +Vnormal +p5160 +tp5161 +a(g181 +V\u000a +p5162 +tp5163 +a(g181 +V; +tp5164 +a(g181 +V; +tp5165 +a(g181 +V\u000a\u000a +p5166 +tp5167 +a(g181 +Vtarget +p5168 +tp5169 +a(g181 +V +tp5170 +a(g336 +V) +tp5171 +a(g181 +V\u000a +p5172 +tp5173 +a(g69 +Vlibobj +p5174 +tp5175 +a(g336 +V= +tp5176 +a(g237 +V"$arg" +p5177 +tp5178 +a(g181 +V\u000a +p5179 +tp5180 +a(g69 +Varg_mode +p5181 +tp5182 +a(g336 +V= +tp5183 +a(g181 +Vnormal +p5184 +tp5185 +a(g181 +V\u000a +p5186 +tp5187 +a(g107 +Vcontinue +p5188 +tp5189 +a(g181 +V\u000a +p5190 +tp5191 +a(g181 +V; +tp5192 +a(g181 +V; +tp5193 +a(g181 +V\u000a\u000a +p5194 +tp5195 +a(g181 +Vnormal +p5196 +tp5197 +a(g181 +V +tp5198 +a(g336 +V) +tp5199 +a(g181 +V\u000a +p5200 +tp5201 +a(g6 +V# Accept any command-line options.\u000a +p5202 +tp5203 +a(g181 +V +tp5204 +a(g107 +Vcase +p5205 +tp5206 +a(g181 +V +tp5207 +a(g69 +V$arg +p5208 +tp5209 +a(g181 +V +tp5210 +a(g181 +Vin +p5211 +tp5212 +a(g181 +V\u000a +p5213 +tp5214 +a(g181 +V-o +p5215 +tp5216 +a(g336 +V) +tp5217 +a(g181 +V\u000a +p5218 +tp5219 +a(g107 +Vif +p5220 +tp5221 +a(g53 +Vtest +p5222 +tp5223 +a(g181 +V +tp5224 +a(g181 +V-n +p5225 +tp5226 +a(g181 +V +tp5227 +a(g237 +V"$libobj" +p5228 +tp5229 +a(g181 +V +tp5230 +a(g181 +V; +tp5231 +a(g181 +V +tp5232 +a(g107 +Vthen +p5233 +tp5234 +a(g181 +V\u000a +p5235 +tp5236 +a(g69 +V$echo +p5237 +tp5238 +a(g181 +V +tp5239 +a(g237 +V"$modename: you cannot specify \u005c`-o' more than once" +p5240 +tp5241 +a(g181 +V +tp5242 +a(g181 +V1>&2 +p5243 +tp5244 +a(g181 +V\u000a +p5245 +tp5246 +a(g53 +Vexit +p5247 +tp5248 +a(g181 +V +tp5249 +a(g69 +V$EXIT_FAILURE +p5250 +tp5251 +a(g181 +V\u000a +p5252 +tp5253 +a(g107 +Vfi\u000a +p5254 +tp5255 +a(g69 +Varg_mode +p5256 +tp5257 +a(g336 +V= +tp5258 +a(g181 +Vtarget +p5259 +tp5260 +a(g181 +V\u000a +p5261 +tp5262 +a(g107 +Vcontinue +p5263 +tp5264 +a(g181 +V\u000a +p5265 +tp5266 +a(g181 +V; +tp5267 +a(g181 +V; +tp5268 +a(g181 +V\u000a\u000a +p5269 +tp5270 +a(g181 +V-static +p5271 +tp5272 +a(g181 +V +tp5273 +a(g181 +V| +tp5274 +a(g181 +V +tp5275 +a(g181 +V-prefer-pic +p5276 +tp5277 +a(g181 +V +tp5278 +a(g181 +V| +tp5279 +a(g181 +V +tp5280 +a(g181 +V-prefer-non-pic +p5281 +tp5282 +a(g336 +V) +tp5283 +a(g181 +V\u000a +p5284 +tp5285 +a(g69 +Vlater +p5286 +tp5287 +a(g336 +V= +tp5288 +a(g237 +V"$later $arg" +p5289 +tp5290 +a(g181 +V\u000a +p5291 +tp5292 +a(g107 +Vcontinue +p5293 +tp5294 +a(g181 +V\u000a +p5295 +tp5296 +a(g181 +V; +tp5297 +a(g181 +V; +tp5298 +a(g181 +V\u000a\u000a +p5299 +tp5300 +a(g181 +V-no-suppress +p5301 +tp5302 +a(g336 +V) +tp5303 +a(g181 +V\u000a +p5304 +tp5305 +a(g69 +Vsuppress_opt +p5306 +tp5307 +a(g336 +V= +tp5308 +a(g181 +Vno +p5309 +tp5310 +a(g181 +V\u000a +p5311 +tp5312 +a(g107 +Vcontinue +p5313 +tp5314 +a(g181 +V\u000a +p5315 +tp5316 +a(g181 +V; +tp5317 +a(g181 +V; +tp5318 +a(g181 +V\u000a\u000a +p5319 +tp5320 +a(g181 +V-Xcompiler +p5321 +tp5322 +a(g336 +V) +tp5323 +a(g181 +V\u000a +p5324 +tp5325 +a(g69 +Varg_mode +p5326 +tp5327 +a(g336 +V= +tp5328 +a(g181 +Varg +p5329 +tp5330 +a(g181 +V +p5331 +tp5332 +a(g6 +V# the next one goes into the "base_compile" arg list\u000a +p5333 +tp5334 +a(g181 +V +p5335 +tp5336 +a(g107 +Vcontinue +p5337 +tp5338 +a(g181 +V +p5339 +tp5340 +a(g6 +V# The current "srcfile" will either be retained or\u000a +p5341 +tp5342 +a(g181 +V +p5343 +tp5344 +a(g181 +V; +tp5345 +a(g181 +V; +tp5346 +a(g181 +V +p5347 +tp5348 +a(g6 +V# replaced later. I would guess that would be a bug.\u000a +p5349 +tp5350 +a(g181 +V\u000a +p5351 +tp5352 +a(g181 +V-Wc,* +p5353 +tp5354 +a(g336 +V) +tp5355 +a(g181 +V\u000a +p5356 +tp5357 +a(g69 +Vargs +p5358 +tp5359 +a(g336 +V= +tp5360 +a(g256 +V` +tp5361 +a(g69 +V$echo +p5362 +tp5363 +a(g181 +V +tp5364 +a(g237 +V"X$arg" +p5365 +tp5366 +a(g181 +V +tp5367 +a(g181 +V| +tp5368 +a(g181 +V +tp5369 +a(g69 +V$Xsed +p5370 +tp5371 +a(g181 +V +tp5372 +a(g181 +V-e +p5373 +tp5374 +a(g181 +V +tp5375 +a(g237 +V"s/^-Wc,//" +p5376 +tp5377 +a(g256 +V` +tp5378 +a(g181 +V\u000a +p5379 +tp5380 +a(g69 +Vlastarg +p5381 +tp5382 +a(g336 +V= +tp5383 +a(g181 +V\u000a +p5384 +tp5385 +a(g69 +Vsave_ifs +p5386 +tp5387 +a(g336 +V= +tp5388 +a(g237 +V"$IFS" +p5389 +tp5390 +a(g181 +V; +tp5391 +a(g181 +V +tp5392 +a(g69 +VIFS +p5393 +tp5394 +a(g336 +V= +tp5395 +a(g264 +V',' +p5396 +tp5397 +a(g181 +V\u000a +p5398 +tp5399 +a(g107 +Vfor +p5400 +tp5401 +a(g181 +Varg +p5402 +tp5403 +a(g181 +V +tp5404 +a(g181 +Vin +p5405 +tp5406 +a(g181 +V +tp5407 +a(g69 +V$args +p5408 +tp5409 +a(g181 +V; +tp5410 +a(g181 +V +tp5411 +a(g107 +Vdo\u000a +p5412 +tp5413 +a(g69 +VIFS +p5414 +tp5415 +a(g336 +V= +tp5416 +a(g237 +V"$save_ifs" +p5417 +tp5418 +a(g181 +V\u000a\u000a +p5419 +tp5420 +a(g6 +V# Double-quote args containing other shell metacharacters.\u000a +p5421 +tp5422 +a(g181 +V +p5423 +tp5424 +a(g6 +V# Many Bourne shells cannot handle close brackets correctly\u000a +p5425 +tp5426 +a(g181 +V +p5427 +tp5428 +a(g6 +V# in scan sets, so we specify it separately.\u000a +p5429 +tp5430 +a(g181 +V +p5431 +tp5432 +a(g107 +Vcase +p5433 +tp5434 +a(g181 +V +tp5435 +a(g69 +V$arg +p5436 +tp5437 +a(g181 +V +tp5438 +a(g181 +Vin +p5439 +tp5440 +a(g181 +V\u000a +p5441 +tp5442 +a(g181 +V* +tp5443 +a(g336 +V[ +tp5444 +a(g244 +V\u005c[ +p5445 +tp5446 +a(g244 +V\u005c~ +p5447 +tp5448 +a(g244 +V\u005c# +p5449 +tp5450 +a(g244 +V\u005c^ +p5451 +tp5452 +a(g244 +V\u005c& +p5453 +tp5454 +a(g244 +V\u005c* +p5455 +tp5456 +a(g244 +V\u005c( +p5457 +tp5458 +a(g244 +V\u005c) +p5459 +tp5460 +a(g244 +V\u005c{ +p5461 +tp5462 +a(g244 +V\u005c} +p5463 +tp5464 +a(g244 +V\u005c| +p5465 +tp5466 +a(g244 +V\u005c; +p5467 +tp5468 +a(g244 +V\u005c< +p5469 +tp5470 +a(g244 +V\u005c> +p5471 +tp5472 +a(g244 +V\u005c? +p5473 +tp5474 +a(g244 +V\u005c' +p5475 +tp5476 +a(g244 +V\u005c +p5477 +tp5478 +a(g244 +V\u005c +p5479 +tp5480 +a(g336 +V] +tp5481 +a(g181 +V*|* +p5482 +tp5483 +a(g336 +V] +tp5484 +a(g181 +V*| +p5485 +tp5486 +a(g237 +V"" +p5487 +tp5488 +a(g336 +V) +tp5489 +a(g181 +V\u000a +p5490 +tp5491 +a(g69 +Varg +p5492 +tp5493 +a(g336 +V= +tp5494 +a(g237 +V"\u005c"$arg\u005c"" +p5495 +tp5496 +a(g181 +V\u000a +p5497 +tp5498 +a(g181 +V; +tp5499 +a(g181 +V; +tp5500 +a(g181 +V\u000a +p5501 +tp5502 +a(g107 +Vesac\u000a +p5503 +tp5504 +a(g69 +Vlastarg +p5505 +tp5506 +a(g336 +V= +tp5507 +a(g237 +V"$lastarg $arg" +p5508 +tp5509 +a(g181 +V\u000a +p5510 +tp5511 +a(g107 +Vdone\u000a +p5512 +tp5513 +a(g69 +VIFS +p5514 +tp5515 +a(g336 +V= +tp5516 +a(g237 +V"$save_ifs" +p5517 +tp5518 +a(g181 +V\u000a +p5519 +tp5520 +a(g69 +Vlastarg +p5521 +tp5522 +a(g336 +V= +tp5523 +a(g256 +V` +tp5524 +a(g69 +V$echo +p5525 +tp5526 +a(g181 +V +tp5527 +a(g237 +V"X$lastarg" +p5528 +tp5529 +a(g181 +V +tp5530 +a(g181 +V| +tp5531 +a(g181 +V +tp5532 +a(g69 +V$Xsed +p5533 +tp5534 +a(g181 +V +tp5535 +a(g181 +V-e +p5536 +tp5537 +a(g181 +V +tp5538 +a(g237 +V"s/^ //" +p5539 +tp5540 +a(g256 +V` +tp5541 +a(g181 +V\u000a\u000a +p5542 +tp5543 +a(g6 +V# Add the arguments to base_compile.\u000a +p5544 +tp5545 +a(g181 +V +p5546 +tp5547 +a(g69 +Vbase_compile +p5548 +tp5549 +a(g336 +V= +tp5550 +a(g237 +V"$base_compile $lastarg" +p5551 +tp5552 +a(g181 +V\u000a +p5553 +tp5554 +a(g107 +Vcontinue +p5555 +tp5556 +a(g181 +V\u000a +p5557 +tp5558 +a(g181 +V; +tp5559 +a(g181 +V; +tp5560 +a(g181 +V\u000a\u000a +p5561 +tp5562 +a(g181 +V* +tp5563 +a(g181 +V +tp5564 +a(g336 +V) +tp5565 +a(g181 +V\u000a +p5566 +tp5567 +a(g6 +V# Accept the current argument as the source file.\u000a +p5568 +tp5569 +a(g181 +V +p5570 +tp5571 +a(g6 +V# The previous "srcfile" becomes the current argument.\u000a +p5572 +tp5573 +a(g181 +V +p5574 +tp5575 +a(g6 +V#\u000a +p5576 +tp5577 +a(g181 +V +p5578 +tp5579 +a(g69 +Vlastarg +p5580 +tp5581 +a(g336 +V= +tp5582 +a(g237 +V"$srcfile" +p5583 +tp5584 +a(g181 +V\u000a +p5585 +tp5586 +a(g69 +Vsrcfile +p5587 +tp5588 +a(g336 +V= +tp5589 +a(g237 +V"$arg" +p5590 +tp5591 +a(g181 +V\u000a +p5592 +tp5593 +a(g181 +V; +tp5594 +a(g181 +V; +tp5595 +a(g181 +V\u000a +p5596 +tp5597 +a(g107 +Vesac +p5598 +tp5599 +a(g181 +V +p5600 +tp5601 +a(g6 +V# case $arg\u000a +p5602 +tp5603 +a(g181 +V +tp5604 +a(g181 +V; +tp5605 +a(g181 +V; +tp5606 +a(g181 +V\u000a +p5607 +tp5608 +a(g107 +Vesac +p5609 +tp5610 +a(g181 +V +p5611 +tp5612 +a(g6 +V# case $arg_mode\u000a +p5613 +tp5614 +a(g181 +V\u000a +p5615 +tp5616 +a(g6 +V# Aesthetically quote the previous argument.\u000a +p5617 +tp5618 +a(g181 +V +p5619 +tp5620 +a(g69 +Vlastarg +p5621 +tp5622 +a(g336 +V= +tp5623 +a(g256 +V` +tp5624 +a(g69 +V$echo +p5625 +tp5626 +a(g181 +V +tp5627 +a(g237 +V"X$lastarg" +p5628 +tp5629 +a(g181 +V +tp5630 +a(g181 +V| +tp5631 +a(g181 +V +tp5632 +a(g69 +V$Xsed +p5633 +tp5634 +a(g181 +V +tp5635 +a(g181 +V-e +p5636 +tp5637 +a(g181 +V +tp5638 +a(g237 +V"$sed_quote_subst" +p5639 +tp5640 +a(g256 +V` +tp5641 +a(g181 +V\u000a\u000a +p5642 +tp5643 +a(g107 +Vcase +p5644 +tp5645 +a(g181 +V +tp5646 +a(g69 +V$lastarg +p5647 +tp5648 +a(g181 +V +tp5649 +a(g181 +Vin +p5650 +tp5651 +a(g181 +V\u000a +p5652 +tp5653 +a(g6 +V# Double-quote args containing other shell metacharacters.\u000a +p5654 +tp5655 +a(g181 +V +p5656 +tp5657 +a(g6 +V# Many Bourne shells cannot handle close brackets correctly\u000a +p5658 +tp5659 +a(g181 +V +p5660 +tp5661 +a(g6 +V# in scan sets, and some SunOS ksh mistreat backslash-escaping\u000a +p5662 +tp5663 +a(g181 +V +p5664 +tp5665 +a(g6 +V# in scan sets (worked around with variable expansion),\u000a +p5666 +tp5667 +a(g181 +V +p5668 +tp5669 +a(g6 +V# and furthermore cannot handle '|' '&' '(' ')' in scan sets \u000a +p5670 +tp5671 +a(g181 +V +p5672 +tp5673 +a(g6 +V# at all, so we specify them separately.\u000a +p5674 +tp5675 +a(g181 +V +p5676 +tp5677 +a(g181 +V* +tp5678 +a(g336 +V[ +tp5679 +a(g244 +V\u005c[ +p5680 +tp5681 +a(g244 +V\u005c~ +p5682 +tp5683 +a(g244 +V\u005c# +p5684 +tp5685 +a(g244 +V\u005c^ +p5686 +tp5687 +a(g244 +V\u005c& +p5688 +tp5689 +a(g244 +V\u005c* +p5690 +tp5691 +a(g244 +V\u005c( +p5692 +tp5693 +a(g244 +V\u005c) +p5694 +tp5695 +a(g244 +V\u005c{ +p5696 +tp5697 +a(g244 +V\u005c} +p5698 +tp5699 +a(g244 +V\u005c| +p5700 +tp5701 +a(g244 +V\u005c; +p5702 +tp5703 +a(g244 +V\u005c< +p5704 +tp5705 +a(g244 +V\u005c> +p5706 +tp5707 +a(g244 +V\u005c? +p5708 +tp5709 +a(g244 +V\u005c' +p5710 +tp5711 +a(g244 +V\u005c +p5712 +tp5713 +a(g244 +V\u005c +p5714 +tp5715 +a(g336 +V] +tp5716 +a(g181 +V*|* +p5717 +tp5718 +a(g336 +V] +tp5719 +a(g181 +V*| +p5720 +tp5721 +a(g237 +V"" +p5722 +tp5723 +a(g336 +V) +tp5724 +a(g181 +V\u000a +p5725 +tp5726 +a(g69 +Vlastarg +p5727 +tp5728 +a(g336 +V= +tp5729 +a(g237 +V"\u005c"$lastarg\u005c"" +p5730 +tp5731 +a(g181 +V\u000a +p5732 +tp5733 +a(g181 +V; +tp5734 +a(g181 +V; +tp5735 +a(g181 +V\u000a +p5736 +tp5737 +a(g107 +Vesac\u000a\u000a +p5738 +tp5739 +a(g69 +Vbase_compile +p5740 +tp5741 +a(g336 +V= +tp5742 +a(g237 +V"$base_compile $lastarg" +p5743 +tp5744 +a(g181 +V\u000a +p5745 +tp5746 +a(g107 +Vdone +p5747 +tp5748 +a(g181 +V +tp5749 +a(g6 +V# for arg\u000a +p5750 +tp5751 +a(g181 +V\u000a +p5752 +tp5753 +a(g107 +Vcase +p5754 +tp5755 +a(g181 +V +tp5756 +a(g69 +V$arg_mode +p5757 +tp5758 +a(g181 +V +tp5759 +a(g181 +Vin +p5760 +tp5761 +a(g181 +V\u000a +p5762 +tp5763 +a(g181 +Varg +p5764 +tp5765 +a(g336 +V) +tp5766 +a(g181 +V\u000a +p5767 +tp5768 +a(g69 +V$echo +p5769 +tp5770 +a(g181 +V +tp5771 +a(g237 +V"$modename: you must specify an argument for -Xcompile" +p5772 +tp5773 +a(g181 +V\u000a +p5774 +tp5775 +a(g53 +Vexit +p5776 +tp5777 +a(g181 +V +tp5778 +a(g69 +V$EXIT_FAILURE +p5779 +tp5780 +a(g181 +V\u000a +p5781 +tp5782 +a(g181 +V; +tp5783 +a(g181 +V; +tp5784 +a(g181 +V\u000a +p5785 +tp5786 +a(g181 +Vtarget +p5787 +tp5788 +a(g336 +V) +tp5789 +a(g181 +V\u000a +p5790 +tp5791 +a(g69 +V$echo +p5792 +tp5793 +a(g181 +V +tp5794 +a(g237 +V"$modename: you must specify a target with \u005c`-o'" +p5795 +tp5796 +a(g181 +V +tp5797 +a(g181 +V1>&2 +p5798 +tp5799 +a(g181 +V\u000a +p5800 +tp5801 +a(g53 +Vexit +p5802 +tp5803 +a(g181 +V +tp5804 +a(g69 +V$EXIT_FAILURE +p5805 +tp5806 +a(g181 +V\u000a +p5807 +tp5808 +a(g181 +V; +tp5809 +a(g181 +V; +tp5810 +a(g181 +V\u000a +p5811 +tp5812 +a(g181 +V* +tp5813 +a(g336 +V) +tp5814 +a(g181 +V\u000a +p5815 +tp5816 +a(g6 +V# Get the name of the library object.\u000a +p5817 +tp5818 +a(g181 +V +p5819 +tp5820 +a(g336 +V[ +tp5821 +a(g181 +V +tp5822 +a(g181 +V-z +p5823 +tp5824 +a(g181 +V +tp5825 +a(g237 +V"$libobj" +p5826 +tp5827 +a(g181 +V +tp5828 +a(g336 +V] +tp5829 +a(g181 +V +tp5830 +a(g336 +V&& +p5831 +tp5832 +a(g181 +V +tp5833 +a(g69 +Vlibobj +p5834 +tp5835 +a(g336 +V= +tp5836 +a(g256 +V` +tp5837 +a(g69 +V$echo +p5838 +tp5839 +a(g181 +V +tp5840 +a(g237 +V"X$srcfile" +p5841 +tp5842 +a(g181 +V +tp5843 +a(g181 +V| +tp5844 +a(g181 +V +tp5845 +a(g69 +V$Xsed +p5846 +tp5847 +a(g181 +V +tp5848 +a(g181 +V-e +p5849 +tp5850 +a(g181 +V +tp5851 +a(g264 +V's%^.*/%%' +p5852 +tp5853 +a(g256 +V` +tp5854 +a(g181 +V\u000a +p5855 +tp5856 +a(g181 +V; +tp5857 +a(g181 +V; +tp5858 +a(g181 +V\u000a +p5859 +tp5860 +a(g107 +Vesac +p5861 +tp5862 +a(g181 +V\u000a\u000a +p5863 +tp5864 +a(g6 +V# Recognize several different file suffixes.\u000a +p5865 +tp5866 +a(g181 +V +p5867 +tp5868 +a(g6 +V# If the user specifies -o file.o, it is replaced with file.lo\u000a +p5869 +tp5870 +a(g181 +V +p5871 +tp5872 +a(g69 +Vxform +p5873 +tp5874 +a(g336 +V= +tp5875 +a(g264 +V'[cCFSifmso]' +p5876 +tp5877 +a(g181 +V\u000a +p5878 +tp5879 +a(g107 +Vcase +p5880 +tp5881 +a(g181 +V +tp5882 +a(g69 +V$libobj +p5883 +tp5884 +a(g181 +V +tp5885 +a(g181 +Vin +p5886 +tp5887 +a(g181 +V\u000a +p5888 +tp5889 +a(g181 +V*.ada +p5890 +tp5891 +a(g336 +V) +tp5892 +a(g181 +V +tp5893 +a(g69 +Vxform +p5894 +tp5895 +a(g336 +V= +tp5896 +a(g181 +Vada +p5897 +tp5898 +a(g181 +V +tp5899 +a(g181 +V; +tp5900 +a(g181 +V; +tp5901 +a(g181 +V\u000a +p5902 +tp5903 +a(g181 +V*.adb +p5904 +tp5905 +a(g336 +V) +tp5906 +a(g181 +V +tp5907 +a(g69 +Vxform +p5908 +tp5909 +a(g336 +V= +tp5910 +a(g181 +Vadb +p5911 +tp5912 +a(g181 +V +tp5913 +a(g181 +V; +tp5914 +a(g181 +V; +tp5915 +a(g181 +V\u000a +p5916 +tp5917 +a(g181 +V*.ads +p5918 +tp5919 +a(g336 +V) +tp5920 +a(g181 +V +tp5921 +a(g69 +Vxform +p5922 +tp5923 +a(g336 +V= +tp5924 +a(g181 +Vads +p5925 +tp5926 +a(g181 +V +tp5927 +a(g181 +V; +tp5928 +a(g181 +V; +tp5929 +a(g181 +V\u000a +p5930 +tp5931 +a(g181 +V*.asm +p5932 +tp5933 +a(g336 +V) +tp5934 +a(g181 +V +tp5935 +a(g69 +Vxform +p5936 +tp5937 +a(g336 +V= +tp5938 +a(g181 +Vasm +p5939 +tp5940 +a(g181 +V +tp5941 +a(g181 +V; +tp5942 +a(g181 +V; +tp5943 +a(g181 +V\u000a +p5944 +tp5945 +a(g181 +V*.c++ +p5946 +tp5947 +a(g336 +V) +tp5948 +a(g181 +V +tp5949 +a(g69 +Vxform +p5950 +tp5951 +a(g336 +V= +tp5952 +a(g181 +Vc++ +p5953 +tp5954 +a(g181 +V +tp5955 +a(g181 +V; +tp5956 +a(g181 +V; +tp5957 +a(g181 +V\u000a +p5958 +tp5959 +a(g181 +V*.cc +p5960 +tp5961 +a(g336 +V) +tp5962 +a(g181 +V +tp5963 +a(g69 +Vxform +p5964 +tp5965 +a(g336 +V= +tp5966 +a(g181 +Vcc +p5967 +tp5968 +a(g181 +V +tp5969 +a(g181 +V; +tp5970 +a(g181 +V; +tp5971 +a(g181 +V\u000a +p5972 +tp5973 +a(g181 +V*.ii +p5974 +tp5975 +a(g336 +V) +tp5976 +a(g181 +V +tp5977 +a(g69 +Vxform +p5978 +tp5979 +a(g336 +V= +tp5980 +a(g181 +Vii +p5981 +tp5982 +a(g181 +V +tp5983 +a(g181 +V; +tp5984 +a(g181 +V; +tp5985 +a(g181 +V\u000a +p5986 +tp5987 +a(g181 +V*.class +p5988 +tp5989 +a(g336 +V) +tp5990 +a(g181 +V +tp5991 +a(g69 +Vxform +p5992 +tp5993 +a(g336 +V= +tp5994 +a(g181 +Vclass +p5995 +tp5996 +a(g181 +V +tp5997 +a(g181 +V; +tp5998 +a(g181 +V; +tp5999 +a(g181 +V\u000a +p6000 +tp6001 +a(g181 +V*.cpp +p6002 +tp6003 +a(g336 +V) +tp6004 +a(g181 +V +tp6005 +a(g69 +Vxform +p6006 +tp6007 +a(g336 +V= +tp6008 +a(g181 +Vcpp +p6009 +tp6010 +a(g181 +V +tp6011 +a(g181 +V; +tp6012 +a(g181 +V; +tp6013 +a(g181 +V\u000a +p6014 +tp6015 +a(g181 +V*.cxx +p6016 +tp6017 +a(g336 +V) +tp6018 +a(g181 +V +tp6019 +a(g69 +Vxform +p6020 +tp6021 +a(g336 +V= +tp6022 +a(g181 +Vcxx +p6023 +tp6024 +a(g181 +V +tp6025 +a(g181 +V; +tp6026 +a(g181 +V; +tp6027 +a(g181 +V\u000a +p6028 +tp6029 +a(g181 +V*.f90 +p6030 +tp6031 +a(g336 +V) +tp6032 +a(g181 +V +tp6033 +a(g69 +Vxform +p6034 +tp6035 +a(g336 +V= +tp6036 +a(g181 +Vf90 +p6037 +tp6038 +a(g181 +V +tp6039 +a(g181 +V; +tp6040 +a(g181 +V; +tp6041 +a(g181 +V\u000a +p6042 +tp6043 +a(g181 +V*.for +p6044 +tp6045 +a(g336 +V) +tp6046 +a(g181 +V +tp6047 +a(g69 +Vxform +p6048 +tp6049 +a(g336 +V= +tp6050 +a(g107 +Vfor +p6051 +tp6052 +a(g181 +V +tp6053 +a(g181 +V; +tp6054 +a(g181 +V; +tp6055 +a(g181 +V\u000a +p6056 +tp6057 +a(g181 +V*.java +p6058 +tp6059 +a(g336 +V) +tp6060 +a(g181 +V +tp6061 +a(g69 +Vxform +p6062 +tp6063 +a(g336 +V= +tp6064 +a(g181 +Vjava +p6065 +tp6066 +a(g181 +V +tp6067 +a(g181 +V; +tp6068 +a(g181 +V; +tp6069 +a(g181 +V\u000a +p6070 +tp6071 +a(g107 +Vesac\u000a\u000a +p6072 +tp6073 +a(g69 +Vlibobj +p6074 +tp6075 +a(g336 +V= +tp6076 +a(g256 +V` +tp6077 +a(g69 +V$echo +p6078 +tp6079 +a(g181 +V +tp6080 +a(g237 +V"X$libobj" +p6081 +tp6082 +a(g181 +V +tp6083 +a(g181 +V| +tp6084 +a(g181 +V +tp6085 +a(g69 +V$Xsed +p6086 +tp6087 +a(g181 +V +tp6088 +a(g181 +V-e +p6089 +tp6090 +a(g181 +V +tp6091 +a(g237 +V"s/\u005c.$xform$/.lo/" +p6092 +tp6093 +a(g256 +V` +tp6094 +a(g181 +V\u000a\u000a +p6095 +tp6096 +a(g107 +Vcase +p6097 +tp6098 +a(g181 +V +tp6099 +a(g69 +V$libobj +p6100 +tp6101 +a(g181 +V +tp6102 +a(g181 +Vin +p6103 +tp6104 +a(g181 +V\u000a +p6105 +tp6106 +a(g181 +V*.lo +p6107 +tp6108 +a(g336 +V) +tp6109 +a(g181 +V +tp6110 +a(g69 +Vobj +p6111 +tp6112 +a(g336 +V= +tp6113 +a(g256 +V` +tp6114 +a(g69 +V$echo +p6115 +tp6116 +a(g181 +V +tp6117 +a(g237 +V"X$libobj" +p6118 +tp6119 +a(g181 +V +tp6120 +a(g181 +V| +tp6121 +a(g181 +V +tp6122 +a(g69 +V$Xsed +p6123 +tp6124 +a(g181 +V +tp6125 +a(g181 +V-e +p6126 +tp6127 +a(g181 +V +tp6128 +a(g237 +V"$lo2o" +p6129 +tp6130 +a(g256 +V` +tp6131 +a(g181 +V +tp6132 +a(g181 +V; +tp6133 +a(g181 +V; +tp6134 +a(g181 +V\u000a +p6135 +tp6136 +a(g181 +V* +tp6137 +a(g336 +V) +tp6138 +a(g181 +V\u000a +p6139 +tp6140 +a(g69 +V$echo +p6141 +tp6142 +a(g181 +V +tp6143 +a(g237 +V"$modename: cannot determine name of library object from \u005c`$libobj'" +p6144 +tp6145 +a(g181 +V +tp6146 +a(g181 +V1>&2 +p6147 +tp6148 +a(g181 +V\u000a +p6149 +tp6150 +a(g53 +Vexit +p6151 +tp6152 +a(g181 +V +tp6153 +a(g69 +V$EXIT_FAILURE +p6154 +tp6155 +a(g181 +V\u000a +p6156 +tp6157 +a(g181 +V; +tp6158 +a(g181 +V; +tp6159 +a(g181 +V\u000a +p6160 +tp6161 +a(g107 +Vesac\u000a\u000a +p6162 +tp6163 +a(g181 +Vfunc_infer_tag +p6164 +tp6165 +a(g181 +V +tp6166 +a(g69 +V$base_compile +p6167 +tp6168 +a(g181 +V\u000a\u000a +p6169 +tp6170 +a(g107 +Vfor +p6171 +tp6172 +a(g181 +Varg +p6173 +tp6174 +a(g181 +V +tp6175 +a(g181 +Vin +p6176 +tp6177 +a(g181 +V +tp6178 +a(g69 +V$later +p6179 +tp6180 +a(g181 +V; +tp6181 +a(g181 +V +tp6182 +a(g107 +Vdo\u000a +p6183 +tp6184 +a(g107 +Vcase +p6185 +tp6186 +a(g181 +V +tp6187 +a(g69 +V$arg +p6188 +tp6189 +a(g181 +V +tp6190 +a(g181 +Vin +p6191 +tp6192 +a(g181 +V\u000a +p6193 +tp6194 +a(g181 +V-static +p6195 +tp6196 +a(g336 +V) +tp6197 +a(g181 +V\u000a +p6198 +tp6199 +a(g69 +Vbuild_old_libs +p6200 +tp6201 +a(g336 +V= +tp6202 +a(g181 +Vyes +p6203 +tp6204 +a(g181 +V\u000a +p6205 +tp6206 +a(g107 +Vcontinue +p6207 +tp6208 +a(g181 +V\u000a +p6209 +tp6210 +a(g181 +V; +tp6211 +a(g181 +V; +tp6212 +a(g181 +V\u000a\u000a +p6213 +tp6214 +a(g181 +V-prefer-pic +p6215 +tp6216 +a(g336 +V) +tp6217 +a(g181 +V\u000a +p6218 +tp6219 +a(g69 +Vpic_mode +p6220 +tp6221 +a(g336 +V= +tp6222 +a(g181 +Vyes +p6223 +tp6224 +a(g181 +V\u000a +p6225 +tp6226 +a(g107 +Vcontinue +p6227 +tp6228 +a(g181 +V\u000a +p6229 +tp6230 +a(g181 +V; +tp6231 +a(g181 +V; +tp6232 +a(g181 +V\u000a\u000a +p6233 +tp6234 +a(g181 +V-prefer-non-pic +p6235 +tp6236 +a(g336 +V) +tp6237 +a(g181 +V\u000a +p6238 +tp6239 +a(g69 +Vpic_mode +p6240 +tp6241 +a(g336 +V= +tp6242 +a(g181 +Vno +p6243 +tp6244 +a(g181 +V\u000a +p6245 +tp6246 +a(g107 +Vcontinue +p6247 +tp6248 +a(g181 +V\u000a +p6249 +tp6250 +a(g181 +V; +tp6251 +a(g181 +V; +tp6252 +a(g181 +V\u000a +p6253 +tp6254 +a(g107 +Vesac\u000a +p6255 +tp6256 +a(g107 +Vdone\u000a\u000a +p6257 +tp6258 +a(g69 +Vqlibobj +p6259 +tp6260 +a(g336 +V= +tp6261 +a(g256 +V` +tp6262 +a(g69 +V$echo +p6263 +tp6264 +a(g181 +V +tp6265 +a(g237 +V"X$libobj" +p6266 +tp6267 +a(g181 +V +tp6268 +a(g181 +V| +tp6269 +a(g181 +V +tp6270 +a(g69 +V$Xsed +p6271 +tp6272 +a(g181 +V +tp6273 +a(g181 +V-e +p6274 +tp6275 +a(g181 +V +tp6276 +a(g237 +V"$sed_quote_subst" +p6277 +tp6278 +a(g256 +V` +tp6279 +a(g181 +V\u000a +p6280 +tp6281 +a(g107 +Vcase +p6282 +tp6283 +a(g181 +V +tp6284 +a(g69 +V$qlibobj +p6285 +tp6286 +a(g181 +V +tp6287 +a(g181 +Vin +p6288 +tp6289 +a(g181 +V\u000a +p6290 +tp6291 +a(g181 +V* +tp6292 +a(g336 +V[ +tp6293 +a(g244 +V\u005c[ +p6294 +tp6295 +a(g244 +V\u005c~ +p6296 +tp6297 +a(g244 +V\u005c# +p6298 +tp6299 +a(g244 +V\u005c^ +p6300 +tp6301 +a(g244 +V\u005c& +p6302 +tp6303 +a(g244 +V\u005c* +p6304 +tp6305 +a(g244 +V\u005c( +p6306 +tp6307 +a(g244 +V\u005c) +p6308 +tp6309 +a(g244 +V\u005c{ +p6310 +tp6311 +a(g244 +V\u005c} +p6312 +tp6313 +a(g244 +V\u005c| +p6314 +tp6315 +a(g244 +V\u005c; +p6316 +tp6317 +a(g244 +V\u005c< +p6318 +tp6319 +a(g244 +V\u005c> +p6320 +tp6321 +a(g244 +V\u005c? +p6322 +tp6323 +a(g244 +V\u005c' +p6324 +tp6325 +a(g244 +V\u005c +p6326 +tp6327 +a(g244 +V\u005c +p6328 +tp6329 +a(g336 +V] +tp6330 +a(g181 +V*|* +p6331 +tp6332 +a(g336 +V] +tp6333 +a(g181 +V*| +p6334 +tp6335 +a(g237 +V"" +p6336 +tp6337 +a(g336 +V) +tp6338 +a(g181 +V\u000a +p6339 +tp6340 +a(g69 +Vqlibobj +p6341 +tp6342 +a(g336 +V= +tp6343 +a(g237 +V"\u005c"$qlibobj\u005c"" +p6344 +tp6345 +a(g181 +V +tp6346 +a(g181 +V; +tp6347 +a(g181 +V; +tp6348 +a(g181 +V\u000a +p6349 +tp6350 +a(g107 +Vesac\u000a +p6351 +tp6352 +a(g53 +Vtest +p6353 +tp6354 +a(g181 +V +tp6355 +a(g237 +V"X$libobj" +p6356 +tp6357 +a(g181 +V +tp6358 +a(g181 +V! +tp6359 +a(g336 +V= +tp6360 +a(g181 +V +tp6361 +a(g237 +V"X$qlibobj" +p6362 +tp6363 +a(g181 +V +tp6364 +a(g244 +V\u005c\u000a +p6365 +tp6366 +a(g181 +V +tp6367 +a(g336 +V&& +p6368 +tp6369 +a(g181 +V +tp6370 +a(g69 +V$echo +p6371 +tp6372 +a(g181 +V +tp6373 +a(g237 +V"X$libobj" +p6374 +tp6375 +a(g181 +V +tp6376 +a(g181 +V| +tp6377 +a(g181 +V +tp6378 +a(g181 +Vgrep +p6379 +tp6380 +a(g181 +V +tp6381 +a(g264 +V'[]~#^*{};<>?"' +p6382 +tp6383 +a(g237 +V"'" +p6384 +tp6385 +a(g264 +V' &()|`$[]' +p6386 +tp6387 +a(g181 +V +tp6388 +a(g244 +V\u005c\u000a +p6389 +tp6390 +a(g181 +V +tp6391 +a(g336 +V&& +p6392 +tp6393 +a(g181 +V +tp6394 +a(g69 +V$echo +p6395 +tp6396 +a(g181 +V +tp6397 +a(g237 +V"$modename: libobj name \u005c`$libobj' may not contain shell special characters." +p6398 +tp6399 +a(g181 +V\u000a +p6400 +tp6401 +a(g69 +Vobjname +p6402 +tp6403 +a(g336 +V= +tp6404 +a(g256 +V` +tp6405 +a(g69 +V$echo +p6406 +tp6407 +a(g181 +V +tp6408 +a(g237 +V"X$obj" +p6409 +tp6410 +a(g181 +V +tp6411 +a(g181 +V| +tp6412 +a(g181 +V +tp6413 +a(g69 +V$Xsed +p6414 +tp6415 +a(g181 +V +tp6416 +a(g181 +V-e +p6417 +tp6418 +a(g181 +V +tp6419 +a(g264 +V's%^.*/%%' +p6420 +tp6421 +a(g256 +V` +tp6422 +a(g181 +V\u000a +p6423 +tp6424 +a(g69 +Vxdir +p6425 +tp6426 +a(g336 +V= +tp6427 +a(g256 +V` +tp6428 +a(g69 +V$echo +p6429 +tp6430 +a(g181 +V +tp6431 +a(g237 +V"X$obj" +p6432 +tp6433 +a(g181 +V +tp6434 +a(g181 +V| +tp6435 +a(g181 +V +tp6436 +a(g69 +V$Xsed +p6437 +tp6438 +a(g181 +V +tp6439 +a(g181 +V-e +p6440 +tp6441 +a(g181 +V +tp6442 +a(g264 +V's%/[^/]*$%%' +p6443 +tp6444 +a(g256 +V` +tp6445 +a(g181 +V\u000a +p6446 +tp6447 +a(g107 +Vif +p6448 +tp6449 +a(g53 +Vtest +p6450 +tp6451 +a(g181 +V +tp6452 +a(g237 +V"X$xdir" +p6453 +tp6454 +a(g181 +V +tp6455 +a(g336 +V= +tp6456 +a(g181 +V +tp6457 +a(g237 +V"X$obj" +p6458 +tp6459 +a(g181 +V; +tp6460 +a(g181 +V +tp6461 +a(g107 +Vthen\u000a +p6462 +tp6463 +a(g69 +Vxdir +p6464 +tp6465 +a(g336 +V= +tp6466 +a(g181 +V\u000a +p6467 +tp6468 +a(g107 +Velse\u000a +p6469 +tp6470 +a(g69 +Vxdir +p6471 +tp6472 +a(g336 +V= +tp6473 +a(g69 +V$xdir +p6474 +tp6475 +a(g181 +V/ +tp6476 +a(g181 +V\u000a +p6477 +tp6478 +a(g107 +Vfi\u000a +p6479 +tp6480 +a(g69 +Vlobj +p6481 +tp6482 +a(g336 +V= +tp6483 +a(g107 +V${ +p6484 +tp6485 +a(g69 +Vxdir +p6486 +tp6487 +a(g107 +V} +tp6488 +a(g69 +V$objdir +p6489 +tp6490 +a(g181 +V/ +tp6491 +a(g69 +V$objname +p6492 +tp6493 +a(g181 +V\u000a\u000a +p6494 +tp6495 +a(g107 +Vif +p6496 +tp6497 +a(g53 +Vtest +p6498 +tp6499 +a(g181 +V +tp6500 +a(g181 +V-z +p6501 +tp6502 +a(g181 +V +tp6503 +a(g237 +V"$base_compile" +p6504 +tp6505 +a(g181 +V; +tp6506 +a(g181 +V +tp6507 +a(g107 +Vthen +p6508 +tp6509 +a(g181 +V\u000a +p6510 +tp6511 +a(g69 +V$echo +p6512 +tp6513 +a(g181 +V +tp6514 +a(g237 +V"$modename: you must specify a compilation command" +p6515 +tp6516 +a(g181 +V +tp6517 +a(g181 +V1>&2 +p6518 +tp6519 +a(g181 +V\u000a +p6520 +tp6521 +a(g69 +V$echo +p6522 +tp6523 +a(g181 +V +tp6524 +a(g237 +V"$help" +p6525 +tp6526 +a(g181 +V +tp6527 +a(g181 +V1>&2 +p6528 +tp6529 +a(g181 +V\u000a +p6530 +tp6531 +a(g53 +Vexit +p6532 +tp6533 +a(g181 +V +tp6534 +a(g69 +V$EXIT_FAILURE +p6535 +tp6536 +a(g181 +V\u000a +p6537 +tp6538 +a(g107 +Vfi +p6539 +tp6540 +a(g181 +V\u000a\u000a +p6541 +tp6542 +a(g6 +V# Delete any leftover library objects.\u000a +p6543 +tp6544 +a(g181 +V +p6545 +tp6546 +a(g107 +Vif +p6547 +tp6548 +a(g53 +Vtest +p6549 +tp6550 +a(g181 +V +tp6551 +a(g237 +V"$build_old_libs" +p6552 +tp6553 +a(g181 +V +tp6554 +a(g336 +V= +tp6555 +a(g181 +V +tp6556 +a(g181 +Vyes; +p6557 +tp6558 +a(g181 +V +tp6559 +a(g107 +Vthen\u000a +p6560 +tp6561 +a(g69 +Vremovelist +p6562 +tp6563 +a(g336 +V= +tp6564 +a(g237 +V"$obj $lobj $libobj ${libobj}T" +p6565 +tp6566 +a(g181 +V\u000a +p6567 +tp6568 +a(g107 +Velse\u000a +p6569 +tp6570 +a(g69 +Vremovelist +p6571 +tp6572 +a(g336 +V= +tp6573 +a(g237 +V"$lobj $libobj ${libobj}T" +p6574 +tp6575 +a(g181 +V\u000a +p6576 +tp6577 +a(g107 +Vfi +p6578 +tp6579 +a(g181 +V\u000a\u000a +p6580 +tp6581 +a(g69 +V$run +p6582 +tp6583 +a(g181 +V +tp6584 +a(g69 +V$rm +p6585 +tp6586 +a(g181 +V +tp6587 +a(g69 +V$removelist +p6588 +tp6589 +a(g181 +V\u000a +p6590 +tp6591 +a(g53 +Vtrap +p6592 +tp6593 +a(g181 +V +tp6594 +a(g237 +V"$run $rm $removelist; exit $EXIT_FAILURE" +p6595 +tp6596 +a(g181 +V +tp6597 +a(g181 +V1 +tp6598 +a(g181 +V +tp6599 +a(g181 +V2 +tp6600 +a(g181 +V +tp6601 +a(g181 +V15 +p6602 +tp6603 +a(g181 +V\u000a\u000a +p6604 +tp6605 +a(g6 +V# On Cygwin there's no "real" PIC flag so we must build both object types\u000a +p6606 +tp6607 +a(g181 +V +p6608 +tp6609 +a(g107 +Vcase +p6610 +tp6611 +a(g181 +V +tp6612 +a(g69 +V$host_os +p6613 +tp6614 +a(g181 +V +tp6615 +a(g181 +Vin +p6616 +tp6617 +a(g181 +V\u000a +p6618 +tp6619 +a(g181 +Vcygwin* +p6620 +tp6621 +a(g181 +V +tp6622 +a(g181 +V| +tp6623 +a(g181 +V +tp6624 +a(g181 +Vmingw* +p6625 +tp6626 +a(g181 +V +tp6627 +a(g181 +V| +tp6628 +a(g181 +V +tp6629 +a(g181 +Vpw32* +p6630 +tp6631 +a(g181 +V +tp6632 +a(g181 +V| +tp6633 +a(g181 +V +tp6634 +a(g181 +Vos2* +p6635 +tp6636 +a(g336 +V) +tp6637 +a(g181 +V\u000a +p6638 +tp6639 +a(g69 +Vpic_mode +p6640 +tp6641 +a(g336 +V= +tp6642 +a(g181 +Vdefault +p6643 +tp6644 +a(g181 +V\u000a +p6645 +tp6646 +a(g181 +V; +tp6647 +a(g181 +V; +tp6648 +a(g181 +V\u000a +p6649 +tp6650 +a(g107 +Vesac\u000a +p6651 +tp6652 +a(g107 +Vif +p6653 +tp6654 +a(g53 +Vtest +p6655 +tp6656 +a(g181 +V +tp6657 +a(g237 +V"$pic_mode" +p6658 +tp6659 +a(g181 +V +tp6660 +a(g336 +V= +tp6661 +a(g181 +V +tp6662 +a(g181 +Vno +p6663 +tp6664 +a(g181 +V +tp6665 +a(g336 +V&& +p6666 +tp6667 +a(g181 +V +tp6668 +a(g53 +Vtest +p6669 +tp6670 +a(g181 +V +tp6671 +a(g237 +V"$deplibs_check_method" +p6672 +tp6673 +a(g181 +V +tp6674 +a(g181 +V! +tp6675 +a(g336 +V= +tp6676 +a(g181 +V +tp6677 +a(g181 +Vpass_all; +p6678 +tp6679 +a(g181 +V +tp6680 +a(g107 +Vthen +p6681 +tp6682 +a(g181 +V\u000a +p6683 +tp6684 +a(g6 +V# non-PIC code in shared libraries is not supported\u000a +p6685 +tp6686 +a(g181 +V +p6687 +tp6688 +a(g69 +Vpic_mode +p6689 +tp6690 +a(g336 +V= +tp6691 +a(g181 +Vdefault +p6692 +tp6693 +a(g181 +V\u000a +p6694 +tp6695 +a(g107 +Vfi +p6696 +tp6697 +a(g181 +V\u000a\u000a +p6698 +tp6699 +a(g6 +V# Calculate the filename of the output object if compiler does\u000a +p6700 +tp6701 +a(g181 +V +p6702 +tp6703 +a(g6 +V# not support -o with -c\u000a +p6704 +tp6705 +a(g181 +V +p6706 +tp6707 +a(g107 +Vif +p6708 +tp6709 +a(g53 +Vtest +p6710 +tp6711 +a(g181 +V +tp6712 +a(g237 +V"$compiler_c_o" +p6713 +tp6714 +a(g181 +V +tp6715 +a(g336 +V= +tp6716 +a(g181 +V +tp6717 +a(g181 +Vno; +p6718 +tp6719 +a(g181 +V +tp6720 +a(g107 +Vthen\u000a +p6721 +tp6722 +a(g69 +Voutput_obj +p6723 +tp6724 +a(g336 +V= +tp6725 +a(g256 +V` +tp6726 +a(g69 +V$echo +p6727 +tp6728 +a(g181 +V +tp6729 +a(g237 +V"X$srcfile" +p6730 +tp6731 +a(g181 +V +tp6732 +a(g181 +V| +tp6733 +a(g181 +V +tp6734 +a(g69 +V$Xsed +p6735 +tp6736 +a(g181 +V +tp6737 +a(g181 +V-e +p6738 +tp6739 +a(g181 +V +tp6740 +a(g264 +V's%^.*/%%' +p6741 +tp6742 +a(g181 +V +tp6743 +a(g181 +V-e +p6744 +tp6745 +a(g181 +V +tp6746 +a(g264 +V's%\u005c.[^.]*$%%' +p6747 +tp6748 +a(g256 +V` +tp6749 +a(g181 +V. +tp6750 +a(g107 +V${ +p6751 +tp6752 +a(g69 +Vobjext +p6753 +tp6754 +a(g107 +V} +tp6755 +a(g181 +V\u000a +p6756 +tp6757 +a(g69 +Vlockfile +p6758 +tp6759 +a(g336 +V= +tp6760 +a(g237 +V"$output_obj.lock" +p6761 +tp6762 +a(g181 +V\u000a +p6763 +tp6764 +a(g69 +Vremovelist +p6765 +tp6766 +a(g336 +V= +tp6767 +a(g237 +V"$removelist $output_obj $lockfile" +p6768 +tp6769 +a(g181 +V\u000a +p6770 +tp6771 +a(g53 +Vtrap +p6772 +tp6773 +a(g181 +V +tp6774 +a(g237 +V"$run $rm $removelist; exit $EXIT_FAILURE" +p6775 +tp6776 +a(g181 +V +tp6777 +a(g181 +V1 +tp6778 +a(g181 +V +tp6779 +a(g181 +V2 +tp6780 +a(g181 +V +tp6781 +a(g181 +V15 +p6782 +tp6783 +a(g181 +V\u000a +p6784 +tp6785 +a(g107 +Velse\u000a +p6786 +tp6787 +a(g69 +Voutput_obj +p6788 +tp6789 +a(g336 +V= +tp6790 +a(g181 +V\u000a +p6791 +tp6792 +a(g69 +Vneed_locks +p6793 +tp6794 +a(g336 +V= +tp6795 +a(g181 +Vno +p6796 +tp6797 +a(g181 +V\u000a +p6798 +tp6799 +a(g69 +Vlockfile +p6800 +tp6801 +a(g336 +V= +tp6802 +a(g181 +V\u000a +p6803 +tp6804 +a(g107 +Vfi +p6805 +tp6806 +a(g181 +V\u000a\u000a +p6807 +tp6808 +a(g6 +V# Lock this critical section if it is needed\u000a +p6809 +tp6810 +a(g181 +V +p6811 +tp6812 +a(g6 +V# We use this script file to make the link, it avoids creating a new file\u000a +p6813 +tp6814 +a(g181 +V +p6815 +tp6816 +a(g107 +Vif +p6817 +tp6818 +a(g53 +Vtest +p6819 +tp6820 +a(g181 +V +tp6821 +a(g237 +V"$need_locks" +p6822 +tp6823 +a(g181 +V +tp6824 +a(g336 +V= +tp6825 +a(g181 +V +tp6826 +a(g181 +Vyes; +p6827 +tp6828 +a(g181 +V +tp6829 +a(g107 +Vthen\u000a +p6830 +tp6831 +a(g107 +Vuntil +p6832 +tp6833 +a(g181 +V +tp6834 +a(g69 +V$run +p6835 +tp6836 +a(g181 +V +tp6837 +a(g181 +Vln +p6838 +tp6839 +a(g181 +V +tp6840 +a(g237 +V"$srcfile" +p6841 +tp6842 +a(g181 +V +tp6843 +a(g237 +V"$lockfile" +p6844 +tp6845 +a(g181 +V +tp6846 +a(g181 +V2>/dev/null; +p6847 +tp6848 +a(g181 +V +tp6849 +a(g107 +Vdo +p6850 +tp6851 +a(g181 +V\u000a +p6852 +tp6853 +a(g69 +V$show +p6854 +tp6855 +a(g181 +V +tp6856 +a(g237 +V"Waiting for $lockfile to be removed" +p6857 +tp6858 +a(g181 +V\u000a +p6859 +tp6860 +a(g181 +Vsleep +p6861 +tp6862 +a(g181 +V +tp6863 +a(g181 +V2 +tp6864 +a(g181 +V\u000a +p6865 +tp6866 +a(g107 +Vdone\u000a +p6867 +tp6868 +a(g107 +Velif +p6869 +tp6870 +a(g53 +Vtest +p6871 +tp6872 +a(g181 +V +tp6873 +a(g237 +V"$need_locks" +p6874 +tp6875 +a(g181 +V +tp6876 +a(g336 +V= +tp6877 +a(g181 +V +tp6878 +a(g181 +Vwarn; +p6879 +tp6880 +a(g181 +V +tp6881 +a(g107 +Vthen\u000a +p6882 +tp6883 +a(g107 +Vif +p6884 +tp6885 +a(g53 +Vtest +p6886 +tp6887 +a(g181 +V +tp6888 +a(g181 +V-f +p6889 +tp6890 +a(g181 +V +tp6891 +a(g237 +V"$lockfile" +p6892 +tp6893 +a(g181 +V; +tp6894 +a(g181 +V +tp6895 +a(g107 +Vthen +p6896 +tp6897 +a(g181 +V\u000a +p6898 +tp6899 +a(g69 +V$echo +p6900 +tp6901 +a(g181 +V +tp6902 +a(g237 +V"\u005c\u000a*** ERROR, $lockfile exists and contains:\u000a`cat $lockfile 2>/dev/null`\u000a\u000aThis indicates that another process is trying to use the same\u000atemporary object file, and libtool could not work around it because\u000ayour compiler does not support \u005c`-c' and \u005c`-o' together. If you\u000arepeat this compilation, it may succeed, by chance, but you had better\u000aavoid parallel builds (make -j) in this platform, or get a better\u000acompiler." +p6903 +tp6904 +a(g181 +V\u000a\u000a +p6905 +tp6906 +a(g69 +V$run +p6907 +tp6908 +a(g181 +V +tp6909 +a(g69 +V$rm +p6910 +tp6911 +a(g181 +V +tp6912 +a(g69 +V$removelist +p6913 +tp6914 +a(g181 +V\u000a +p6915 +tp6916 +a(g53 +Vexit +p6917 +tp6918 +a(g181 +V +tp6919 +a(g69 +V$EXIT_FAILURE +p6920 +tp6921 +a(g181 +V\u000a +p6922 +tp6923 +a(g107 +Vfi +p6924 +tp6925 +a(g181 +V\u000a +p6926 +tp6927 +a(g69 +V$echo +p6928 +tp6929 +a(g181 +V +tp6930 +a(g237 +V"$srcfile" +p6931 +tp6932 +a(g181 +V +tp6933 +a(g181 +V> +tp6934 +a(g181 +V +tp6935 +a(g237 +V"$lockfile" +p6936 +tp6937 +a(g181 +V\u000a +p6938 +tp6939 +a(g107 +Vfi\u000a\u000a +p6940 +tp6941 +a(g107 +Vif +p6942 +tp6943 +a(g53 +Vtest +p6944 +tp6945 +a(g181 +V +tp6946 +a(g181 +V-n +p6947 +tp6948 +a(g181 +V +tp6949 +a(g237 +V"$fix_srcfile_path" +p6950 +tp6951 +a(g181 +V; +tp6952 +a(g181 +V +tp6953 +a(g107 +Vthen\u000a +p6954 +tp6955 +a(g53 +Veval +p6956 +tp6957 +a(g69 +Vsrcfile +p6958 +tp6959 +a(g336 +V= +tp6960 +a(g244 +V\u005c" +p6961 +tp6962 +a(g69 +V$fix_srcfile_path +p6963 +tp6964 +a(g244 +V\u005c" +p6965 +tp6966 +a(g181 +V\u000a +p6967 +tp6968 +a(g107 +Vfi\u000a +p6969 +tp6970 +a(g69 +Vqsrcfile +p6971 +tp6972 +a(g336 +V= +tp6973 +a(g256 +V` +tp6974 +a(g69 +V$echo +p6975 +tp6976 +a(g181 +V +tp6977 +a(g237 +V"X$srcfile" +p6978 +tp6979 +a(g181 +V +tp6980 +a(g181 +V| +tp6981 +a(g181 +V +tp6982 +a(g69 +V$Xsed +p6983 +tp6984 +a(g181 +V +tp6985 +a(g181 +V-e +p6986 +tp6987 +a(g181 +V +tp6988 +a(g237 +V"$sed_quote_subst" +p6989 +tp6990 +a(g256 +V` +tp6991 +a(g181 +V\u000a +p6992 +tp6993 +a(g107 +Vcase +p6994 +tp6995 +a(g181 +V +tp6996 +a(g69 +V$qsrcfile +p6997 +tp6998 +a(g181 +V +tp6999 +a(g181 +Vin +p7000 +tp7001 +a(g181 +V\u000a +p7002 +tp7003 +a(g181 +V* +tp7004 +a(g336 +V[ +tp7005 +a(g244 +V\u005c[ +p7006 +tp7007 +a(g244 +V\u005c~ +p7008 +tp7009 +a(g244 +V\u005c# +p7010 +tp7011 +a(g244 +V\u005c^ +p7012 +tp7013 +a(g244 +V\u005c& +p7014 +tp7015 +a(g244 +V\u005c* +p7016 +tp7017 +a(g244 +V\u005c( +p7018 +tp7019 +a(g244 +V\u005c) +p7020 +tp7021 +a(g244 +V\u005c{ +p7022 +tp7023 +a(g244 +V\u005c} +p7024 +tp7025 +a(g244 +V\u005c| +p7026 +tp7027 +a(g244 +V\u005c; +p7028 +tp7029 +a(g244 +V\u005c< +p7030 +tp7031 +a(g244 +V\u005c> +p7032 +tp7033 +a(g244 +V\u005c? +p7034 +tp7035 +a(g244 +V\u005c' +p7036 +tp7037 +a(g244 +V\u005c +p7038 +tp7039 +a(g244 +V\u005c +p7040 +tp7041 +a(g336 +V] +tp7042 +a(g181 +V*|* +p7043 +tp7044 +a(g336 +V] +tp7045 +a(g181 +V*| +p7046 +tp7047 +a(g237 +V"" +p7048 +tp7049 +a(g336 +V) +tp7050 +a(g181 +V\u000a +p7051 +tp7052 +a(g69 +Vqsrcfile +p7053 +tp7054 +a(g336 +V= +tp7055 +a(g237 +V"\u005c"$qsrcfile\u005c"" +p7056 +tp7057 +a(g181 +V +tp7058 +a(g181 +V; +tp7059 +a(g181 +V; +tp7060 +a(g181 +V\u000a +p7061 +tp7062 +a(g107 +Vesac +p7063 +tp7064 +a(g181 +V\u000a\u000a +p7065 +tp7066 +a(g69 +V$run +p7067 +tp7068 +a(g181 +V +tp7069 +a(g69 +V$rm +p7070 +tp7071 +a(g181 +V +tp7072 +a(g237 +V"$libobj" +p7073 +tp7074 +a(g181 +V +tp7075 +a(g237 +V"${libobj}T" +p7076 +tp7077 +a(g181 +V\u000a\u000a +p7078 +tp7079 +a(g6 +V# Create a libtool object file (analogous to a ".la" file),\u000a +p7080 +tp7081 +a(g181 +V +p7082 +tp7083 +a(g6 +V# but don't create it if we're doing a dry run.\u000a +p7084 +tp7085 +a(g181 +V +p7086 +tp7087 +a(g53 +Vtest +p7088 +tp7089 +a(g181 +V +tp7090 +a(g181 +V-z +p7091 +tp7092 +a(g181 +V +tp7093 +a(g237 +V"$run" +p7094 +tp7095 +a(g181 +V +tp7096 +a(g336 +V&& +p7097 +tp7098 +a(g181 +V +tp7099 +a(g181 +Vcat +p7100 +tp7101 +a(g181 +V +tp7102 +a(g181 +V> +tp7103 +a(g181 +V +tp7104 +a(g107 +V${ +p7105 +tp7106 +a(g69 +Vlibobj +p7107 +tp7108 +a(g107 +V} +tp7109 +a(g181 +VT +tp7110 +a(g181 +V +tp7111 +a(g218 +V</dev/null`" +p7397 +tp7398 +a(g181 +V +tp7399 +a(g181 +V! +tp7400 +a(g336 +V= +tp7401 +a(g181 +V +tp7402 +a(g237 +V"X$srcfile" +p7403 +tp7404 +a(g181 +V; +tp7405 +a(g181 +V +tp7406 +a(g107 +Vthen +p7407 +tp7408 +a(g181 +V\u000a +p7409 +tp7410 +a(g69 +V$echo +p7411 +tp7412 +a(g181 +V +tp7413 +a(g237 +V"\u005c\u000a*** ERROR, $lockfile contains:\u000a`cat $lockfile 2>/dev/null`\u000a\u000abut it should contain:\u000a$srcfile\u000a\u000aThis indicates that another process is trying to use the same\u000atemporary object file, and libtool could not work around it because\u000ayour compiler does not support \u005c`-c' and \u005c`-o' together. If you\u000arepeat this compilation, it may succeed, by chance, but you had better\u000aavoid parallel builds (make -j) in this platform, or get a better\u000acompiler." +p7414 +tp7415 +a(g181 +V\u000a\u000a +p7416 +tp7417 +a(g69 +V$run +p7418 +tp7419 +a(g181 +V +tp7420 +a(g69 +V$rm +p7421 +tp7422 +a(g181 +V +tp7423 +a(g69 +V$removelist +p7424 +tp7425 +a(g181 +V\u000a +p7426 +tp7427 +a(g53 +Vexit +p7428 +tp7429 +a(g181 +V +tp7430 +a(g69 +V$EXIT_FAILURE +p7431 +tp7432 +a(g181 +V\u000a +p7433 +tp7434 +a(g107 +Vfi +p7435 +tp7436 +a(g181 +V\u000a\u000a +p7437 +tp7438 +a(g6 +V# Just move the object if needed, then go on to compile the next one\u000a +p7439 +tp7440 +a(g181 +V +p7441 +tp7442 +a(g107 +Vif +p7443 +tp7444 +a(g53 +Vtest +p7445 +tp7446 +a(g181 +V +tp7447 +a(g181 +V-n +p7448 +tp7449 +a(g181 +V +tp7450 +a(g237 +V"$output_obj" +p7451 +tp7452 +a(g181 +V +tp7453 +a(g336 +V&& +p7454 +tp7455 +a(g181 +V +tp7456 +a(g53 +Vtest +p7457 +tp7458 +a(g181 +V +tp7459 +a(g237 +V"X$output_obj" +p7460 +tp7461 +a(g181 +V +tp7462 +a(g181 +V! +tp7463 +a(g336 +V= +tp7464 +a(g181 +V +tp7465 +a(g237 +V"X$lobj" +p7466 +tp7467 +a(g181 +V; +tp7468 +a(g181 +V +tp7469 +a(g107 +Vthen +p7470 +tp7471 +a(g181 +V\u000a +p7472 +tp7473 +a(g69 +V$show +p7474 +tp7475 +a(g181 +V +tp7476 +a(g237 +V"$mv $output_obj $lobj" +p7477 +tp7478 +a(g181 +V\u000a +p7479 +tp7480 +a(g107 +Vif +p7481 +tp7482 +a(g181 +V +tp7483 +a(g69 +V$run +p7484 +tp7485 +a(g181 +V +tp7486 +a(g69 +V$mv +p7487 +tp7488 +a(g181 +V +tp7489 +a(g69 +V$output_obj +p7490 +tp7491 +a(g181 +V +tp7492 +a(g69 +V$lobj +p7493 +tp7494 +a(g181 +V; +tp7495 +a(g181 +V +tp7496 +a(g107 +Vthen +p7497 +tp7498 +a(g181 +V +tp7499 +a(g181 +V: +tp7500 +a(g181 +V\u000a +p7501 +tp7502 +a(g107 +Velse\u000a +p7503 +tp7504 +a(g69 +Verror +p7505 +tp7506 +a(g336 +V= +tp7507 +a(g69 +V$? +p7508 +tp7509 +a(g181 +V\u000a +p7510 +tp7511 +a(g69 +V$run +p7512 +tp7513 +a(g181 +V +tp7514 +a(g69 +V$rm +p7515 +tp7516 +a(g181 +V +tp7517 +a(g69 +V$removelist +p7518 +tp7519 +a(g181 +V\u000a +p7520 +tp7521 +a(g53 +Vexit +p7522 +tp7523 +a(g181 +V +tp7524 +a(g69 +V$error +p7525 +tp7526 +a(g181 +V\u000a +p7527 +tp7528 +a(g107 +Vfi\u000a +p7529 +tp7530 +a(g107 +Vfi +p7531 +tp7532 +a(g181 +V\u000a\u000a +p7533 +tp7534 +a(g6 +V# Append the name of the PIC object to the libtool object file.\u000a +p7535 +tp7536 +a(g181 +V +p7537 +tp7538 +a(g53 +Vtest +p7539 +tp7540 +a(g181 +V +tp7541 +a(g181 +V-z +p7542 +tp7543 +a(g181 +V +tp7544 +a(g237 +V"$run" +p7545 +tp7546 +a(g181 +V +tp7547 +a(g336 +V&& +p7548 +tp7549 +a(g181 +V +tp7550 +a(g181 +Vcat +p7551 +tp7552 +a(g181 +V +tp7553 +a(g181 +V>> +p7554 +tp7555 +a(g181 +V +tp7556 +a(g107 +V${ +p7557 +tp7558 +a(g69 +Vlibobj +p7559 +tp7560 +a(g107 +V} +tp7561 +a(g181 +VT +tp7562 +a(g181 +V +tp7563 +a(g218 +V</dev/null 2>&1' +p7590 +tp7591 +a(g181 +V\u000a +p7592 +tp7593 +a(g107 +Vfi\u000a +p7594 +tp7595 +a(g107 +Velse +p7596 +tp7597 +a(g181 +V\u000a +p7598 +tp7599 +a(g6 +V# No PIC object so indicate it doesn't exist in the libtool\u000a +p7600 +tp7601 +a(g181 +V +p7602 +tp7603 +a(g6 +V# object file.\u000a +p7604 +tp7605 +a(g181 +V +p7606 +tp7607 +a(g53 +Vtest +p7608 +tp7609 +a(g181 +V +tp7610 +a(g181 +V-z +p7611 +tp7612 +a(g181 +V +tp7613 +a(g237 +V"$run" +p7614 +tp7615 +a(g181 +V +tp7616 +a(g336 +V&& +p7617 +tp7618 +a(g181 +V +tp7619 +a(g181 +Vcat +p7620 +tp7621 +a(g181 +V +tp7622 +a(g181 +V>> +p7623 +tp7624 +a(g181 +V +tp7625 +a(g107 +V${ +p7626 +tp7627 +a(g69 +Vlibobj +p7628 +tp7629 +a(g107 +V} +tp7630 +a(g181 +VT +tp7631 +a(g181 +V +tp7632 +a(g218 +V</dev/null`" +p7818 +tp7819 +a(g181 +V +tp7820 +a(g181 +V! +tp7821 +a(g336 +V= +tp7822 +a(g181 +V +tp7823 +a(g237 +V"X$srcfile" +p7824 +tp7825 +a(g181 +V; +tp7826 +a(g181 +V +tp7827 +a(g107 +Vthen +p7828 +tp7829 +a(g181 +V\u000a +p7830 +tp7831 +a(g69 +V$echo +p7832 +tp7833 +a(g181 +V +tp7834 +a(g237 +V"\u005c\u000a*** ERROR, $lockfile contains:\u000a`cat $lockfile 2>/dev/null`\u000a\u000abut it should contain:\u000a$srcfile\u000a\u000aThis indicates that another process is trying to use the same\u000atemporary object file, and libtool could not work around it because\u000ayour compiler does not support \u005c`-c' and \u005c`-o' together. If you\u000arepeat this compilation, it may succeed, by chance, but you had better\u000aavoid parallel builds (make -j) in this platform, or get a better\u000acompiler." +p7835 +tp7836 +a(g181 +V\u000a\u000a +p7837 +tp7838 +a(g69 +V$run +p7839 +tp7840 +a(g181 +V +tp7841 +a(g69 +V$rm +p7842 +tp7843 +a(g181 +V +tp7844 +a(g69 +V$removelist +p7845 +tp7846 +a(g181 +V\u000a +p7847 +tp7848 +a(g53 +Vexit +p7849 +tp7850 +a(g181 +V +tp7851 +a(g69 +V$EXIT_FAILURE +p7852 +tp7853 +a(g181 +V\u000a +p7854 +tp7855 +a(g107 +Vfi +p7856 +tp7857 +a(g181 +V\u000a\u000a +p7858 +tp7859 +a(g6 +V# Just move the object if needed\u000a +p7860 +tp7861 +a(g181 +V +p7862 +tp7863 +a(g107 +Vif +p7864 +tp7865 +a(g53 +Vtest +p7866 +tp7867 +a(g181 +V +tp7868 +a(g181 +V-n +p7869 +tp7870 +a(g181 +V +tp7871 +a(g237 +V"$output_obj" +p7872 +tp7873 +a(g181 +V +tp7874 +a(g336 +V&& +p7875 +tp7876 +a(g181 +V +tp7877 +a(g53 +Vtest +p7878 +tp7879 +a(g181 +V +tp7880 +a(g237 +V"X$output_obj" +p7881 +tp7882 +a(g181 +V +tp7883 +a(g181 +V! +tp7884 +a(g336 +V= +tp7885 +a(g181 +V +tp7886 +a(g237 +V"X$obj" +p7887 +tp7888 +a(g181 +V; +tp7889 +a(g181 +V +tp7890 +a(g107 +Vthen +p7891 +tp7892 +a(g181 +V\u000a +p7893 +tp7894 +a(g69 +V$show +p7895 +tp7896 +a(g181 +V +tp7897 +a(g237 +V"$mv $output_obj $obj" +p7898 +tp7899 +a(g181 +V\u000a +p7900 +tp7901 +a(g107 +Vif +p7902 +tp7903 +a(g181 +V +tp7904 +a(g69 +V$run +p7905 +tp7906 +a(g181 +V +tp7907 +a(g69 +V$mv +p7908 +tp7909 +a(g181 +V +tp7910 +a(g69 +V$output_obj +p7911 +tp7912 +a(g181 +V +tp7913 +a(g69 +V$obj +p7914 +tp7915 +a(g181 +V; +tp7916 +a(g181 +V +tp7917 +a(g107 +Vthen +p7918 +tp7919 +a(g181 +V +tp7920 +a(g181 +V: +tp7921 +a(g181 +V\u000a +p7922 +tp7923 +a(g107 +Velse\u000a +p7924 +tp7925 +a(g69 +Verror +p7926 +tp7927 +a(g336 +V= +tp7928 +a(g69 +V$? +p7929 +tp7930 +a(g181 +V\u000a +p7931 +tp7932 +a(g69 +V$run +p7933 +tp7934 +a(g181 +V +tp7935 +a(g69 +V$rm +p7936 +tp7937 +a(g181 +V +tp7938 +a(g69 +V$removelist +p7939 +tp7940 +a(g181 +V\u000a +p7941 +tp7942 +a(g53 +Vexit +p7943 +tp7944 +a(g181 +V +tp7945 +a(g69 +V$error +p7946 +tp7947 +a(g181 +V\u000a +p7948 +tp7949 +a(g107 +Vfi\u000a +p7950 +tp7951 +a(g107 +Vfi +p7952 +tp7953 +a(g181 +V\u000a\u000a +p7954 +tp7955 +a(g6 +V# Append the name of the non-PIC object the libtool object file.\u000a +p7956 +tp7957 +a(g181 +V +p7958 +tp7959 +a(g6 +V# Only append if the libtool object file exists.\u000a +p7960 +tp7961 +a(g181 +V +p7962 +tp7963 +a(g53 +Vtest +p7964 +tp7965 +a(g181 +V +tp7966 +a(g181 +V-z +p7967 +tp7968 +a(g181 +V +tp7969 +a(g237 +V"$run" +p7970 +tp7971 +a(g181 +V +tp7972 +a(g336 +V&& +p7973 +tp7974 +a(g181 +V +tp7975 +a(g181 +Vcat +p7976 +tp7977 +a(g181 +V +tp7978 +a(g181 +V>> +p7979 +tp7980 +a(g181 +V +tp7981 +a(g107 +V${ +p7982 +tp7983 +a(g69 +Vlibobj +p7984 +tp7985 +a(g107 +V} +tp7986 +a(g181 +VT +tp7987 +a(g181 +V +tp7988 +a(g218 +V<> +p8020 +tp8021 +a(g181 +V +tp8022 +a(g107 +V${ +p8023 +tp8024 +a(g69 +Vlibobj +p8025 +tp8026 +a(g107 +V} +tp8027 +a(g181 +VT +tp8028 +a(g181 +V +tp8029 +a(g218 +V<&2 +p8564 +tp8565 +a(g181 +V\u000a +p8566 +tp8567 +a(g107 +Vfi\u000a +p8568 +tp8569 +a(g107 +Vif +p8570 +tp8571 +a(g53 +Vtest +p8572 +tp8573 +a(g181 +V +tp8574 +a(g181 +V-n +p8575 +tp8576 +a(g181 +V +tp8577 +a(g237 +V"$link_static_flag" +p8578 +tp8579 +a(g181 +V; +tp8580 +a(g181 +V +tp8581 +a(g107 +Vthen\u000a +p8582 +tp8583 +a(g69 +Vdlopen_self +p8584 +tp8585 +a(g336 +V= +tp8586 +a(g69 +V$dlopen_self_static +p8587 +tp8588 +a(g181 +V\u000a +p8589 +tp8590 +a(g107 +Vfi\u000a +p8591 +tp8592 +a(g69 +Vprefer_static_libs +p8593 +tp8594 +a(g336 +V= +tp8595 +a(g181 +Vyes +p8596 +tp8597 +a(g181 +V\u000a +p8598 +tp8599 +a(g107 +Velse\u000a +p8600 +tp8601 +a(g107 +Vif +p8602 +tp8603 +a(g53 +Vtest +p8604 +tp8605 +a(g181 +V +tp8606 +a(g181 +V-z +p8607 +tp8608 +a(g181 +V +tp8609 +a(g237 +V"$pic_flag" +p8610 +tp8611 +a(g181 +V +tp8612 +a(g336 +V&& +p8613 +tp8614 +a(g181 +V +tp8615 +a(g53 +Vtest +p8616 +tp8617 +a(g181 +V +tp8618 +a(g181 +V-n +p8619 +tp8620 +a(g181 +V +tp8621 +a(g237 +V"$link_static_flag" +p8622 +tp8623 +a(g181 +V; +tp8624 +a(g181 +V +tp8625 +a(g107 +Vthen\u000a +p8626 +tp8627 +a(g69 +Vdlopen_self +p8628 +tp8629 +a(g336 +V= +tp8630 +a(g69 +V$dlopen_self_static +p8631 +tp8632 +a(g181 +V\u000a +p8633 +tp8634 +a(g107 +Vfi\u000a +p8635 +tp8636 +a(g69 +Vprefer_static_libs +p8637 +tp8638 +a(g336 +V= +tp8639 +a(g181 +Vbuilt +p8640 +tp8641 +a(g181 +V\u000a +p8642 +tp8643 +a(g107 +Vfi\u000a +p8644 +tp8645 +a(g69 +Vbuild_libtool_libs +p8646 +tp8647 +a(g336 +V= +tp8648 +a(g181 +Vno +p8649 +tp8650 +a(g181 +V\u000a +p8651 +tp8652 +a(g69 +Vbuild_old_libs +p8653 +tp8654 +a(g336 +V= +tp8655 +a(g181 +Vyes +p8656 +tp8657 +a(g181 +V\u000a +p8658 +tp8659 +a(g53 +Vbreak +p8660 +tp8661 +a(g181 +V\u000a +p8662 +tp8663 +a(g181 +V; +tp8664 +a(g181 +V; +tp8665 +a(g181 +V\u000a +p8666 +tp8667 +a(g107 +Vesac\u000a +p8668 +tp8669 +a(g107 +Vdone +p8670 +tp8671 +a(g181 +V\u000a\u000a +p8672 +tp8673 +a(g6 +V# See if our shared archives depend on static archives.\u000a +p8674 +tp8675 +a(g181 +V +p8676 +tp8677 +a(g53 +Vtest +p8678 +tp8679 +a(g181 +V +tp8680 +a(g181 +V-n +p8681 +tp8682 +a(g181 +V +tp8683 +a(g237 +V"$old_archive_from_new_cmds" +p8684 +tp8685 +a(g181 +V +tp8686 +a(g336 +V&& +p8687 +tp8688 +a(g181 +V +tp8689 +a(g69 +Vbuild_old_libs +p8690 +tp8691 +a(g336 +V= +tp8692 +a(g181 +Vyes +p8693 +tp8694 +a(g181 +V\u000a\u000a +p8695 +tp8696 +a(g6 +V# Go through the arguments, transforming them on the way.\u000a +p8697 +tp8698 +a(g181 +V +p8699 +tp8700 +a(g107 +Vwhile +p8701 +tp8702 +a(g53 +Vtest +p8703 +tp8704 +a(g181 +V +tp8705 +a(g237 +V"$#" +p8706 +tp8707 +a(g181 +V +tp8708 +a(g181 +V-gt +p8709 +tp8710 +a(g181 +V +tp8711 +a(g181 +V0; +p8712 +tp8713 +a(g181 +V +tp8714 +a(g107 +Vdo\u000a +p8715 +tp8716 +a(g69 +Varg +p8717 +tp8718 +a(g336 +V= +tp8719 +a(g237 +V"$1" +p8720 +tp8721 +a(g181 +V\u000a +p8722 +tp8723 +a(g53 +Vshift\u000a +p8724 +tp8725 +a(g107 +Vcase +p8726 +tp8727 +a(g181 +V +tp8728 +a(g69 +V$arg +p8729 +tp8730 +a(g181 +V +tp8731 +a(g181 +Vin +p8732 +tp8733 +a(g181 +V\u000a +p8734 +tp8735 +a(g181 +V* +tp8736 +a(g336 +V[ +tp8737 +a(g244 +V\u005c[ +p8738 +tp8739 +a(g244 +V\u005c~ +p8740 +tp8741 +a(g244 +V\u005c# +p8742 +tp8743 +a(g244 +V\u005c^ +p8744 +tp8745 +a(g244 +V\u005c& +p8746 +tp8747 +a(g244 +V\u005c* +p8748 +tp8749 +a(g244 +V\u005c( +p8750 +tp8751 +a(g244 +V\u005c) +p8752 +tp8753 +a(g244 +V\u005c{ +p8754 +tp8755 +a(g244 +V\u005c} +p8756 +tp8757 +a(g244 +V\u005c| +p8758 +tp8759 +a(g244 +V\u005c; +p8760 +tp8761 +a(g244 +V\u005c< +p8762 +tp8763 +a(g244 +V\u005c> +p8764 +tp8765 +a(g244 +V\u005c? +p8766 +tp8767 +a(g244 +V\u005c' +p8768 +tp8769 +a(g244 +V\u005c +p8770 +tp8771 +a(g244 +V\u005c +p8772 +tp8773 +a(g336 +V] +tp8774 +a(g181 +V*|* +p8775 +tp8776 +a(g336 +V] +tp8777 +a(g181 +V*| +p8778 +tp8779 +a(g237 +V"" +p8780 +tp8781 +a(g336 +V) +tp8782 +a(g181 +V\u000a +p8783 +tp8784 +a(g69 +Vqarg +p8785 +tp8786 +a(g336 +V= +tp8787 +a(g244 +V\u005c" +p8788 +tp8789 +a(g256 +V` +tp8790 +a(g69 +V$echo +p8791 +tp8792 +a(g181 +V +tp8793 +a(g237 +V"X$arg" +p8794 +tp8795 +a(g181 +V +tp8796 +a(g181 +V| +tp8797 +a(g181 +V +tp8798 +a(g69 +V$Xsed +p8799 +tp8800 +a(g181 +V +tp8801 +a(g181 +V-e +p8802 +tp8803 +a(g181 +V +tp8804 +a(g237 +V"$sed_quote_subst" +p8805 +tp8806 +a(g256 +V` +tp8807 +a(g244 +V\u005c" +p8808 +tp8809 +a(g181 +V +tp8810 +a(g6 +V### testsuite: skip nested quoting test\u000a +p8811 +tp8812 +a(g181 +V +tp8813 +a(g181 +V; +tp8814 +a(g181 +V; +tp8815 +a(g181 +V\u000a +p8816 +tp8817 +a(g181 +V* +tp8818 +a(g336 +V) +tp8819 +a(g181 +V +tp8820 +a(g69 +Vqarg +p8821 +tp8822 +a(g336 +V= +tp8823 +a(g69 +V$arg +p8824 +tp8825 +a(g181 +V +tp8826 +a(g181 +V; +tp8827 +a(g181 +V; +tp8828 +a(g181 +V\u000a +p8829 +tp8830 +a(g107 +Vesac\u000a +p8831 +tp8832 +a(g69 +Vlibtool_args +p8833 +tp8834 +a(g336 +V= +tp8835 +a(g237 +V"$libtool_args $qarg" +p8836 +tp8837 +a(g181 +V\u000a\u000a +p8838 +tp8839 +a(g6 +V# If the previous option needs an argument, assign it.\u000a +p8840 +tp8841 +a(g181 +V +p8842 +tp8843 +a(g107 +Vif +p8844 +tp8845 +a(g53 +Vtest +p8846 +tp8847 +a(g181 +V +tp8848 +a(g181 +V-n +p8849 +tp8850 +a(g181 +V +tp8851 +a(g237 +V"$prev" +p8852 +tp8853 +a(g181 +V; +tp8854 +a(g181 +V +tp8855 +a(g107 +Vthen\u000a +p8856 +tp8857 +a(g107 +Vcase +p8858 +tp8859 +a(g181 +V +tp8860 +a(g69 +V$prev +p8861 +tp8862 +a(g181 +V +tp8863 +a(g181 +Vin +p8864 +tp8865 +a(g181 +V\u000a +p8866 +tp8867 +a(g181 +Voutput +p8868 +tp8869 +a(g336 +V) +tp8870 +a(g181 +V\u000a +p8871 +tp8872 +a(g69 +Vcompile_command +p8873 +tp8874 +a(g336 +V= +tp8875 +a(g237 +V"$compile_command @OUTPUT@" +p8876 +tp8877 +a(g181 +V\u000a +p8878 +tp8879 +a(g69 +Vfinalize_command +p8880 +tp8881 +a(g336 +V= +tp8882 +a(g237 +V"$finalize_command @OUTPUT@" +p8883 +tp8884 +a(g181 +V\u000a +p8885 +tp8886 +a(g181 +V; +tp8887 +a(g181 +V; +tp8888 +a(g181 +V\u000a +p8889 +tp8890 +a(g107 +Vesac\u000a\u000a +p8891 +tp8892 +a(g107 +Vcase +p8893 +tp8894 +a(g181 +V +tp8895 +a(g69 +V$prev +p8896 +tp8897 +a(g181 +V +tp8898 +a(g181 +Vin +p8899 +tp8900 +a(g181 +V\u000a +p8901 +tp8902 +a(g181 +Vdlfiles|dlprefiles +p8903 +tp8904 +a(g336 +V) +tp8905 +a(g181 +V\u000a +p8906 +tp8907 +a(g107 +Vif +p8908 +tp8909 +a(g53 +Vtest +p8910 +tp8911 +a(g181 +V +tp8912 +a(g237 +V"$preload" +p8913 +tp8914 +a(g181 +V +tp8915 +a(g336 +V= +tp8916 +a(g181 +V +tp8917 +a(g181 +Vno; +p8918 +tp8919 +a(g181 +V +tp8920 +a(g107 +Vthen +p8921 +tp8922 +a(g181 +V\u000a +p8923 +tp8924 +a(g6 +V# Add the symbol object into the linking commands.\u000a +p8925 +tp8926 +a(g181 +V +p8927 +tp8928 +a(g69 +Vcompile_command +p8929 +tp8930 +a(g336 +V= +tp8931 +a(g237 +V"$compile_command @SYMFILE@" +p8932 +tp8933 +a(g181 +V\u000a +p8934 +tp8935 +a(g69 +Vfinalize_command +p8936 +tp8937 +a(g336 +V= +tp8938 +a(g237 +V"$finalize_command @SYMFILE@" +p8939 +tp8940 +a(g181 +V\u000a +p8941 +tp8942 +a(g69 +Vpreload +p8943 +tp8944 +a(g336 +V= +tp8945 +a(g181 +Vyes +p8946 +tp8947 +a(g181 +V\u000a +p8948 +tp8949 +a(g107 +Vfi\u000a +p8950 +tp8951 +a(g107 +Vcase +p8952 +tp8953 +a(g181 +V +tp8954 +a(g69 +V$arg +p8955 +tp8956 +a(g181 +V +tp8957 +a(g181 +Vin +p8958 +tp8959 +a(g181 +V\u000a +p8960 +tp8961 +a(g181 +V*.la +p8962 +tp8963 +a(g181 +V +tp8964 +a(g181 +V| +tp8965 +a(g181 +V +tp8966 +a(g181 +V*.lo +p8967 +tp8968 +a(g336 +V) +tp8969 +a(g181 +V +tp8970 +a(g181 +V; +tp8971 +a(g181 +V; +tp8972 +a(g181 +V +p8973 +tp8974 +a(g6 +V# We handle these cases below.\u000a +p8975 +tp8976 +a(g181 +V +p8977 +tp8978 +a(g181 +Vforce +p8979 +tp8980 +a(g336 +V) +tp8981 +a(g181 +V\u000a +p8982 +tp8983 +a(g107 +Vif +p8984 +tp8985 +a(g53 +Vtest +p8986 +tp8987 +a(g181 +V +tp8988 +a(g237 +V"$dlself" +p8989 +tp8990 +a(g181 +V +tp8991 +a(g336 +V= +tp8992 +a(g181 +V +tp8993 +a(g181 +Vno; +p8994 +tp8995 +a(g181 +V +tp8996 +a(g107 +Vthen\u000a +p8997 +tp8998 +a(g69 +Vdlself +p8999 +tp9000 +a(g336 +V= +tp9001 +a(g181 +Vneedless +p9002 +tp9003 +a(g181 +V\u000a +p9004 +tp9005 +a(g69 +Vexport_dynamic +p9006 +tp9007 +a(g336 +V= +tp9008 +a(g181 +Vyes +p9009 +tp9010 +a(g181 +V\u000a +p9011 +tp9012 +a(g107 +Vfi\u000a +p9013 +tp9014 +a(g69 +Vprev +p9015 +tp9016 +a(g336 +V= +tp9017 +a(g181 +V\u000a +p9018 +tp9019 +a(g107 +Vcontinue +p9020 +tp9021 +a(g181 +V\u000a +p9022 +tp9023 +a(g181 +V; +tp9024 +a(g181 +V; +tp9025 +a(g181 +V\u000a +p9026 +tp9027 +a(g181 +Vself +p9028 +tp9029 +a(g336 +V) +tp9030 +a(g181 +V\u000a +p9031 +tp9032 +a(g107 +Vif +p9033 +tp9034 +a(g53 +Vtest +p9035 +tp9036 +a(g181 +V +tp9037 +a(g237 +V"$prev" +p9038 +tp9039 +a(g181 +V +tp9040 +a(g336 +V= +tp9041 +a(g181 +V +tp9042 +a(g181 +Vdlprefiles; +p9043 +tp9044 +a(g181 +V +tp9045 +a(g107 +Vthen\u000a +p9046 +tp9047 +a(g69 +Vdlself +p9048 +tp9049 +a(g336 +V= +tp9050 +a(g181 +Vyes +p9051 +tp9052 +a(g181 +V\u000a +p9053 +tp9054 +a(g107 +Velif +p9055 +tp9056 +a(g53 +Vtest +p9057 +tp9058 +a(g181 +V +tp9059 +a(g237 +V"$prev" +p9060 +tp9061 +a(g181 +V +tp9062 +a(g336 +V= +tp9063 +a(g181 +V +tp9064 +a(g181 +Vdlfiles +p9065 +tp9066 +a(g181 +V +tp9067 +a(g336 +V&& +p9068 +tp9069 +a(g181 +V +tp9070 +a(g53 +Vtest +p9071 +tp9072 +a(g181 +V +tp9073 +a(g237 +V"$dlopen_self" +p9074 +tp9075 +a(g181 +V +tp9076 +a(g181 +V! +tp9077 +a(g336 +V= +tp9078 +a(g181 +V +tp9079 +a(g181 +Vyes; +p9080 +tp9081 +a(g181 +V +tp9082 +a(g107 +Vthen\u000a +p9083 +tp9084 +a(g69 +Vdlself +p9085 +tp9086 +a(g336 +V= +tp9087 +a(g181 +Vyes +p9088 +tp9089 +a(g181 +V\u000a +p9090 +tp9091 +a(g107 +Velse\u000a +p9092 +tp9093 +a(g69 +Vdlself +p9094 +tp9095 +a(g336 +V= +tp9096 +a(g181 +Vneedless +p9097 +tp9098 +a(g181 +V\u000a +p9099 +tp9100 +a(g69 +Vexport_dynamic +p9101 +tp9102 +a(g336 +V= +tp9103 +a(g181 +Vyes +p9104 +tp9105 +a(g181 +V\u000a +p9106 +tp9107 +a(g107 +Vfi\u000a +p9108 +tp9109 +a(g69 +Vprev +p9110 +tp9111 +a(g336 +V= +tp9112 +a(g181 +V\u000a +p9113 +tp9114 +a(g107 +Vcontinue +p9115 +tp9116 +a(g181 +V\u000a +p9117 +tp9118 +a(g181 +V; +tp9119 +a(g181 +V; +tp9120 +a(g181 +V\u000a +p9121 +tp9122 +a(g181 +V* +tp9123 +a(g336 +V) +tp9124 +a(g181 +V\u000a +p9125 +tp9126 +a(g107 +Vif +p9127 +tp9128 +a(g53 +Vtest +p9129 +tp9130 +a(g181 +V +tp9131 +a(g237 +V"$prev" +p9132 +tp9133 +a(g181 +V +tp9134 +a(g336 +V= +tp9135 +a(g181 +V +tp9136 +a(g181 +Vdlfiles; +p9137 +tp9138 +a(g181 +V +tp9139 +a(g107 +Vthen\u000a +p9140 +tp9141 +a(g69 +Vdlfiles +p9142 +tp9143 +a(g336 +V= +tp9144 +a(g237 +V"$dlfiles $arg" +p9145 +tp9146 +a(g181 +V\u000a +p9147 +tp9148 +a(g107 +Velse\u000a +p9149 +tp9150 +a(g69 +Vdlprefiles +p9151 +tp9152 +a(g336 +V= +tp9153 +a(g237 +V"$dlprefiles $arg" +p9154 +tp9155 +a(g181 +V\u000a +p9156 +tp9157 +a(g107 +Vfi\u000a +p9158 +tp9159 +a(g69 +Vprev +p9160 +tp9161 +a(g336 +V= +tp9162 +a(g181 +V\u000a +p9163 +tp9164 +a(g107 +Vcontinue +p9165 +tp9166 +a(g181 +V\u000a +p9167 +tp9168 +a(g181 +V; +tp9169 +a(g181 +V; +tp9170 +a(g181 +V\u000a +p9171 +tp9172 +a(g107 +Vesac +p9173 +tp9174 +a(g181 +V\u000a +p9175 +tp9176 +a(g181 +V; +tp9177 +a(g181 +V; +tp9178 +a(g181 +V\u000a +p9179 +tp9180 +a(g181 +Vexpsyms +p9181 +tp9182 +a(g336 +V) +tp9183 +a(g181 +V\u000a +p9184 +tp9185 +a(g69 +Vexport_symbols +p9186 +tp9187 +a(g336 +V= +tp9188 +a(g237 +V"$arg" +p9189 +tp9190 +a(g181 +V\u000a +p9191 +tp9192 +a(g107 +Vif +p9193 +tp9194 +a(g53 +Vtest +p9195 +tp9196 +a(g181 +V +tp9197 +a(g181 +V! +tp9198 +a(g181 +V +tp9199 +a(g181 +V-f +p9200 +tp9201 +a(g181 +V +tp9202 +a(g237 +V"$arg" +p9203 +tp9204 +a(g181 +V; +tp9205 +a(g181 +V +tp9206 +a(g107 +Vthen +p9207 +tp9208 +a(g181 +V\u000a +p9209 +tp9210 +a(g69 +V$echo +p9211 +tp9212 +a(g181 +V +tp9213 +a(g237 +V"$modename: symbol file \u005c`$arg' does not exist" +p9214 +tp9215 +a(g181 +V\u000a +p9216 +tp9217 +a(g53 +Vexit +p9218 +tp9219 +a(g181 +V +tp9220 +a(g69 +V$EXIT_FAILURE +p9221 +tp9222 +a(g181 +V\u000a +p9223 +tp9224 +a(g107 +Vfi\u000a +p9225 +tp9226 +a(g69 +Vprev +p9227 +tp9228 +a(g336 +V= +tp9229 +a(g181 +V\u000a +p9230 +tp9231 +a(g107 +Vcontinue +p9232 +tp9233 +a(g181 +V\u000a +p9234 +tp9235 +a(g181 +V; +tp9236 +a(g181 +V; +tp9237 +a(g181 +V\u000a +p9238 +tp9239 +a(g181 +Vexpsyms_regex +p9240 +tp9241 +a(g336 +V) +tp9242 +a(g181 +V\u000a +p9243 +tp9244 +a(g69 +Vexport_symbols_regex +p9245 +tp9246 +a(g336 +V= +tp9247 +a(g237 +V"$arg" +p9248 +tp9249 +a(g181 +V\u000a +p9250 +tp9251 +a(g69 +Vprev +p9252 +tp9253 +a(g336 +V= +tp9254 +a(g181 +V\u000a +p9255 +tp9256 +a(g107 +Vcontinue +p9257 +tp9258 +a(g181 +V\u000a +p9259 +tp9260 +a(g181 +V; +tp9261 +a(g181 +V; +tp9262 +a(g181 +V\u000a +p9263 +tp9264 +a(g181 +Vinst_prefix +p9265 +tp9266 +a(g336 +V) +tp9267 +a(g181 +V\u000a +p9268 +tp9269 +a(g69 +Vinst_prefix_dir +p9270 +tp9271 +a(g336 +V= +tp9272 +a(g237 +V"$arg" +p9273 +tp9274 +a(g181 +V\u000a +p9275 +tp9276 +a(g69 +Vprev +p9277 +tp9278 +a(g336 +V= +tp9279 +a(g181 +V\u000a +p9280 +tp9281 +a(g107 +Vcontinue +p9282 +tp9283 +a(g181 +V\u000a +p9284 +tp9285 +a(g181 +V; +tp9286 +a(g181 +V; +tp9287 +a(g181 +V\u000a +p9288 +tp9289 +a(g181 +Vprecious_regex +p9290 +tp9291 +a(g336 +V) +tp9292 +a(g181 +V\u000a +p9293 +tp9294 +a(g69 +Vprecious_files_regex +p9295 +tp9296 +a(g336 +V= +tp9297 +a(g237 +V"$arg" +p9298 +tp9299 +a(g181 +V\u000a +p9300 +tp9301 +a(g69 +Vprev +p9302 +tp9303 +a(g336 +V= +tp9304 +a(g181 +V\u000a +p9305 +tp9306 +a(g107 +Vcontinue +p9307 +tp9308 +a(g181 +V\u000a +p9309 +tp9310 +a(g181 +V; +tp9311 +a(g181 +V; +tp9312 +a(g181 +V\u000a +p9313 +tp9314 +a(g181 +Vrelease +p9315 +tp9316 +a(g336 +V) +tp9317 +a(g181 +V\u000a +p9318 +tp9319 +a(g69 +Vrelease +p9320 +tp9321 +a(g336 +V= +tp9322 +a(g237 +V"-$arg" +p9323 +tp9324 +a(g181 +V\u000a +p9325 +tp9326 +a(g69 +Vprev +p9327 +tp9328 +a(g336 +V= +tp9329 +a(g181 +V\u000a +p9330 +tp9331 +a(g107 +Vcontinue +p9332 +tp9333 +a(g181 +V\u000a +p9334 +tp9335 +a(g181 +V; +tp9336 +a(g181 +V; +tp9337 +a(g181 +V\u000a +p9338 +tp9339 +a(g181 +Vobjectlist +p9340 +tp9341 +a(g336 +V) +tp9342 +a(g181 +V\u000a +p9343 +tp9344 +a(g107 +Vif +p9345 +tp9346 +a(g53 +Vtest +p9347 +tp9348 +a(g181 +V +tp9349 +a(g181 +V-f +p9350 +tp9351 +a(g181 +V +tp9352 +a(g237 +V"$arg" +p9353 +tp9354 +a(g181 +V; +tp9355 +a(g181 +V +tp9356 +a(g107 +Vthen\u000a +p9357 +tp9358 +a(g69 +Vsave_arg +p9359 +tp9360 +a(g336 +V= +tp9361 +a(g69 +V$arg +p9362 +tp9363 +a(g181 +V\u000a +p9364 +tp9365 +a(g69 +Vmoreargs +p9366 +tp9367 +a(g336 +V= +tp9368 +a(g181 +V\u000a +p9369 +tp9370 +a(g107 +Vfor +p9371 +tp9372 +a(g181 +Vfil +p9373 +tp9374 +a(g181 +V +tp9375 +a(g181 +Vin +p9376 +tp9377 +a(g181 +V +tp9378 +a(g256 +V` +tp9379 +a(g181 +Vcat +p9380 +tp9381 +a(g181 +V +tp9382 +a(g69 +V$save_arg +p9383 +tp9384 +a(g256 +V` +tp9385 +a(g181 +V\u000a +p9386 +tp9387 +a(g107 +Vdo +p9388 +tp9389 +a(g181 +V\u000a +tp9390 +a(g6 +V# moreargs="$moreargs $fil"\u000a +p9391 +tp9392 +a(g181 +V +p9393 +tp9394 +a(g69 +Varg +p9395 +tp9396 +a(g336 +V= +tp9397 +a(g69 +V$fil +p9398 +tp9399 +a(g181 +V\u000a +p9400 +tp9401 +a(g6 +V# A libtool-controlled object.\u000a +p9402 +tp9403 +a(g181 +V\u000a +p9404 +tp9405 +a(g6 +V# Check to see that this really is a libtool object.\u000a +p9406 +tp9407 +a(g181 +V +p9408 +tp9409 +a(g107 +Vif +p9410 +tp9411 +a(g181 +V +tp9412 +a(g336 +V( +tp9413 +a(g107 +V${ +p9414 +tp9415 +a(g69 +VSED +p9416 +tp9417 +a(g107 +V} +tp9418 +a(g181 +V +tp9419 +a(g181 +V-e +p9420 +tp9421 +a(g181 +V +tp9422 +a(g264 +V'2q' +p9423 +tp9424 +a(g181 +V +tp9425 +a(g69 +V$arg +p9426 +tp9427 +a(g181 +V +tp9428 +a(g181 +V| +tp9429 +a(g181 +V +tp9430 +a(g181 +Vgrep +p9431 +tp9432 +a(g181 +V +tp9433 +a(g237 +V"^# Generated by .*$PACKAGE" +p9434 +tp9435 +a(g336 +V) +tp9436 +a(g181 +V +tp9437 +a(g181 +V>/dev/null +p9438 +tp9439 +a(g181 +V +tp9440 +a(g181 +V2>&1; +p9441 +tp9442 +a(g181 +V +tp9443 +a(g107 +Vthen\u000a +p9444 +tp9445 +a(g69 +Vpic_object +p9446 +tp9447 +a(g336 +V= +tp9448 +a(g181 +V\u000a +p9449 +tp9450 +a(g69 +Vnon_pic_object +p9451 +tp9452 +a(g336 +V= +tp9453 +a(g181 +V\u000a\u000a +p9454 +tp9455 +a(g6 +V# Read the .lo file\u000a +p9456 +tp9457 +a(g181 +V +p9458 +tp9459 +a(g6 +V# If there is no directory component, then add one.\u000a +p9460 +tp9461 +a(g181 +V +p9462 +tp9463 +a(g107 +Vcase +p9464 +tp9465 +a(g181 +V +tp9466 +a(g69 +V$arg +p9467 +tp9468 +a(g181 +V +tp9469 +a(g181 +Vin +p9470 +tp9471 +a(g181 +V\u000a +p9472 +tp9473 +a(g181 +V*/* +p9474 +tp9475 +a(g181 +V +tp9476 +a(g181 +V| +tp9477 +a(g181 +V +tp9478 +a(g181 +V* +tp9479 +a(g244 +V\u005c\u005c +p9480 +tp9481 +a(g181 +V* +tp9482 +a(g336 +V) +tp9483 +a(g181 +V +tp9484 +a(g181 +V. +tp9485 +a(g181 +V +tp9486 +a(g69 +V$arg +p9487 +tp9488 +a(g181 +V +tp9489 +a(g181 +V; +tp9490 +a(g181 +V; +tp9491 +a(g181 +V\u000a +p9492 +tp9493 +a(g181 +V* +tp9494 +a(g336 +V) +tp9495 +a(g181 +V +tp9496 +a(g181 +V. +tp9497 +a(g181 +V +tp9498 +a(g181 +V./ +p9499 +tp9500 +a(g69 +V$arg +p9501 +tp9502 +a(g181 +V +tp9503 +a(g181 +V; +tp9504 +a(g181 +V; +tp9505 +a(g181 +V\u000a +p9506 +tp9507 +a(g107 +Vesac\u000a\u000a +p9508 +tp9509 +a(g107 +Vif +p9510 +tp9511 +a(g53 +Vtest +p9512 +tp9513 +a(g181 +V +tp9514 +a(g181 +V-z +p9515 +tp9516 +a(g181 +V +tp9517 +a(g237 +V"$pic_object" +p9518 +tp9519 +a(g181 +V +tp9520 +a(g336 +V|| +p9521 +tp9522 +a(g181 +V +tp9523 +a(g244 +V\u005c\u000a +p9524 +tp9525 +a(g181 +V +p9526 +tp9527 +a(g53 +Vtest +p9528 +tp9529 +a(g181 +V +tp9530 +a(g181 +V-z +p9531 +tp9532 +a(g181 +V +tp9533 +a(g237 +V"$non_pic_object" +p9534 +tp9535 +a(g181 +V +tp9536 +a(g336 +V|| +p9537 +tp9538 +a(g181 +V\u000a +p9539 +tp9540 +a(g53 +Vtest +p9541 +tp9542 +a(g181 +V +tp9543 +a(g237 +V"$pic_object" +p9544 +tp9545 +a(g181 +V +tp9546 +a(g336 +V= +tp9547 +a(g181 +V +tp9548 +a(g181 +Vnone +p9549 +tp9550 +a(g181 +V +tp9551 +a(g336 +V&& +p9552 +tp9553 +a(g181 +V +tp9554 +a(g244 +V\u005c\u000a +p9555 +tp9556 +a(g181 +V +p9557 +tp9558 +a(g53 +Vtest +p9559 +tp9560 +a(g181 +V +tp9561 +a(g237 +V"$non_pic_object" +p9562 +tp9563 +a(g181 +V +tp9564 +a(g336 +V= +tp9565 +a(g181 +V +tp9566 +a(g181 +Vnone; +p9567 +tp9568 +a(g181 +V +tp9569 +a(g107 +Vthen +p9570 +tp9571 +a(g181 +V\u000a +p9572 +tp9573 +a(g69 +V$echo +p9574 +tp9575 +a(g181 +V +tp9576 +a(g237 +V"$modename: cannot find name of object for \u005c`$arg'" +p9577 +tp9578 +a(g181 +V +tp9579 +a(g181 +V1>&2 +p9580 +tp9581 +a(g181 +V\u000a +p9582 +tp9583 +a(g53 +Vexit +p9584 +tp9585 +a(g181 +V +tp9586 +a(g69 +V$EXIT_FAILURE +p9587 +tp9588 +a(g181 +V\u000a +p9589 +tp9590 +a(g107 +Vfi +p9591 +tp9592 +a(g181 +V\u000a\u000a +p9593 +tp9594 +a(g6 +V# Extract subdirectory from the argument.\u000a +p9595 +tp9596 +a(g181 +V +p9597 +tp9598 +a(g69 +Vxdir +p9599 +tp9600 +a(g336 +V= +tp9601 +a(g256 +V` +tp9602 +a(g69 +V$echo +p9603 +tp9604 +a(g181 +V +tp9605 +a(g237 +V"X$arg" +p9606 +tp9607 +a(g181 +V +tp9608 +a(g181 +V| +tp9609 +a(g181 +V +tp9610 +a(g69 +V$Xsed +p9611 +tp9612 +a(g181 +V +tp9613 +a(g181 +V-e +p9614 +tp9615 +a(g181 +V +tp9616 +a(g264 +V's%/[^/]*$%%' +p9617 +tp9618 +a(g256 +V` +tp9619 +a(g181 +V\u000a +p9620 +tp9621 +a(g107 +Vif +p9622 +tp9623 +a(g53 +Vtest +p9624 +tp9625 +a(g181 +V +tp9626 +a(g237 +V"X$xdir" +p9627 +tp9628 +a(g181 +V +tp9629 +a(g336 +V= +tp9630 +a(g181 +V +tp9631 +a(g237 +V"X$arg" +p9632 +tp9633 +a(g181 +V; +tp9634 +a(g181 +V +tp9635 +a(g107 +Vthen\u000a +p9636 +tp9637 +a(g69 +Vxdir +p9638 +tp9639 +a(g336 +V= +tp9640 +a(g181 +V\u000a +p9641 +tp9642 +a(g107 +Velse\u000a +p9643 +tp9644 +a(g69 +Vxdir +p9645 +tp9646 +a(g336 +V= +tp9647 +a(g237 +V"$xdir/" +p9648 +tp9649 +a(g181 +V\u000a +p9650 +tp9651 +a(g107 +Vfi\u000a\u000a +p9652 +tp9653 +a(g107 +Vif +p9654 +tp9655 +a(g53 +Vtest +p9656 +tp9657 +a(g181 +V +tp9658 +a(g237 +V"$pic_object" +p9659 +tp9660 +a(g181 +V +tp9661 +a(g181 +V! +tp9662 +a(g336 +V= +tp9663 +a(g181 +V +tp9664 +a(g181 +Vnone; +p9665 +tp9666 +a(g181 +V +tp9667 +a(g107 +Vthen +p9668 +tp9669 +a(g181 +V\u000a +p9670 +tp9671 +a(g6 +V# Prepend the subdirectory the object is found in.\u000a +p9672 +tp9673 +a(g181 +V +p9674 +tp9675 +a(g69 +Vpic_object +p9676 +tp9677 +a(g336 +V= +tp9678 +a(g237 +V"$xdir$pic_object" +p9679 +tp9680 +a(g181 +V\u000a\u000a +p9681 +tp9682 +a(g107 +Vif +p9683 +tp9684 +a(g53 +Vtest +p9685 +tp9686 +a(g181 +V +tp9687 +a(g237 +V"$prev" +p9688 +tp9689 +a(g181 +V +tp9690 +a(g336 +V= +tp9691 +a(g181 +V +tp9692 +a(g181 +Vdlfiles; +p9693 +tp9694 +a(g181 +V +tp9695 +a(g107 +Vthen\u000a +p9696 +tp9697 +a(g107 +Vif +p9698 +tp9699 +a(g53 +Vtest +p9700 +tp9701 +a(g181 +V +tp9702 +a(g237 +V"$build_libtool_libs" +p9703 +tp9704 +a(g181 +V +tp9705 +a(g336 +V= +tp9706 +a(g181 +V +tp9707 +a(g181 +Vyes +p9708 +tp9709 +a(g181 +V +tp9710 +a(g336 +V&& +p9711 +tp9712 +a(g181 +V +tp9713 +a(g53 +Vtest +p9714 +tp9715 +a(g181 +V +tp9716 +a(g237 +V"$dlopen_support" +p9717 +tp9718 +a(g181 +V +tp9719 +a(g336 +V= +tp9720 +a(g181 +V +tp9721 +a(g181 +Vyes; +p9722 +tp9723 +a(g181 +V +tp9724 +a(g107 +Vthen\u000a +p9725 +tp9726 +a(g69 +Vdlfiles +p9727 +tp9728 +a(g336 +V= +tp9729 +a(g237 +V"$dlfiles $pic_object" +p9730 +tp9731 +a(g181 +V\u000a +p9732 +tp9733 +a(g69 +Vprev +p9734 +tp9735 +a(g336 +V= +tp9736 +a(g181 +V\u000a +p9737 +tp9738 +a(g107 +Vcontinue\u000a +p9739 +tp9740 +a(g107 +Velse +p9741 +tp9742 +a(g181 +V\u000a +p9743 +tp9744 +a(g6 +V# If libtool objects are unsupported, then we need to preload.\u000a +p9745 +tp9746 +a(g181 +V +p9747 +tp9748 +a(g69 +Vprev +p9749 +tp9750 +a(g336 +V= +tp9751 +a(g181 +Vdlprefiles +p9752 +tp9753 +a(g181 +V\u000a +p9754 +tp9755 +a(g107 +Vfi\u000a +p9756 +tp9757 +a(g107 +Vfi +p9758 +tp9759 +a(g181 +V\u000a\u000a +p9760 +tp9761 +a(g6 +V# CHECK ME: I think I busted this. -Ossama\u000a +p9762 +tp9763 +a(g181 +V +p9764 +tp9765 +a(g107 +Vif +p9766 +tp9767 +a(g53 +Vtest +p9768 +tp9769 +a(g181 +V +tp9770 +a(g237 +V"$prev" +p9771 +tp9772 +a(g181 +V +tp9773 +a(g336 +V= +tp9774 +a(g181 +V +tp9775 +a(g181 +Vdlprefiles; +p9776 +tp9777 +a(g181 +V +tp9778 +a(g107 +Vthen +p9779 +tp9780 +a(g181 +V\u000a +p9781 +tp9782 +a(g6 +V# Preload the old-style object.\u000a +p9783 +tp9784 +a(g181 +V +p9785 +tp9786 +a(g69 +Vdlprefiles +p9787 +tp9788 +a(g336 +V= +tp9789 +a(g237 +V"$dlprefiles $pic_object" +p9790 +tp9791 +a(g181 +V\u000a +p9792 +tp9793 +a(g69 +Vprev +p9794 +tp9795 +a(g336 +V= +tp9796 +a(g181 +V\u000a +p9797 +tp9798 +a(g107 +Vfi +p9799 +tp9800 +a(g181 +V\u000a\u000a +p9801 +tp9802 +a(g6 +V# A PIC object.\u000a +p9803 +tp9804 +a(g181 +V +p9805 +tp9806 +a(g69 +Vlibobjs +p9807 +tp9808 +a(g336 +V= +tp9809 +a(g237 +V"$libobjs $pic_object" +p9810 +tp9811 +a(g181 +V\u000a +p9812 +tp9813 +a(g69 +Varg +p9814 +tp9815 +a(g336 +V= +tp9816 +a(g237 +V"$pic_object" +p9817 +tp9818 +a(g181 +V\u000a +p9819 +tp9820 +a(g107 +Vfi +p9821 +tp9822 +a(g181 +V\u000a\u000a +p9823 +tp9824 +a(g6 +V# Non-PIC object.\u000a +p9825 +tp9826 +a(g181 +V +p9827 +tp9828 +a(g107 +Vif +p9829 +tp9830 +a(g53 +Vtest +p9831 +tp9832 +a(g181 +V +tp9833 +a(g237 +V"$non_pic_object" +p9834 +tp9835 +a(g181 +V +tp9836 +a(g181 +V! +tp9837 +a(g336 +V= +tp9838 +a(g181 +V +tp9839 +a(g181 +Vnone; +p9840 +tp9841 +a(g181 +V +tp9842 +a(g107 +Vthen +p9843 +tp9844 +a(g181 +V\u000a +p9845 +tp9846 +a(g6 +V# Prepend the subdirectory the object is found in.\u000a +p9847 +tp9848 +a(g181 +V +p9849 +tp9850 +a(g69 +Vnon_pic_object +p9851 +tp9852 +a(g336 +V= +tp9853 +a(g237 +V"$xdir$non_pic_object" +p9854 +tp9855 +a(g181 +V\u000a\u000a +p9856 +tp9857 +a(g6 +V# A standard non-PIC object\u000a +p9858 +tp9859 +a(g181 +V +p9860 +tp9861 +a(g69 +Vnon_pic_objects +p9862 +tp9863 +a(g336 +V= +tp9864 +a(g237 +V"$non_pic_objects $non_pic_object" +p9865 +tp9866 +a(g181 +V\u000a +p9867 +tp9868 +a(g107 +Vif +p9869 +tp9870 +a(g53 +Vtest +p9871 +tp9872 +a(g181 +V +tp9873 +a(g181 +V-z +p9874 +tp9875 +a(g181 +V +tp9876 +a(g237 +V"$pic_object" +p9877 +tp9878 +a(g181 +V +tp9879 +a(g336 +V|| +p9880 +tp9881 +a(g181 +V +tp9882 +a(g53 +Vtest +p9883 +tp9884 +a(g181 +V +tp9885 +a(g237 +V"$pic_object" +p9886 +tp9887 +a(g181 +V +tp9888 +a(g336 +V= +tp9889 +a(g181 +V +tp9890 +a(g181 +Vnone +p9891 +tp9892 +a(g181 +V +tp9893 +a(g181 +V; +tp9894 +a(g181 +V +tp9895 +a(g107 +Vthen\u000a +p9896 +tp9897 +a(g69 +Varg +p9898 +tp9899 +a(g336 +V= +tp9900 +a(g237 +V"$non_pic_object" +p9901 +tp9902 +a(g181 +V\u000a +p9903 +tp9904 +a(g107 +Vfi\u000a +p9905 +tp9906 +a(g107 +Velse +p9907 +tp9908 +a(g181 +V\u000a +p9909 +tp9910 +a(g6 +V# If the PIC object exists, use it instead.\u000a +p9911 +tp9912 +a(g181 +V +p9913 +tp9914 +a(g6 +V# $xdir was prepended to $pic_object above.\u000a +p9915 +tp9916 +a(g181 +V +p9917 +tp9918 +a(g69 +Vnon_pic_object +p9919 +tp9920 +a(g336 +V= +tp9921 +a(g237 +V"$pic_object" +p9922 +tp9923 +a(g181 +V\u000a +p9924 +tp9925 +a(g69 +Vnon_pic_objects +p9926 +tp9927 +a(g336 +V= +tp9928 +a(g237 +V"$non_pic_objects $non_pic_object" +p9929 +tp9930 +a(g181 +V\u000a +p9931 +tp9932 +a(g107 +Vfi\u000a +p9933 +tp9934 +a(g107 +Velse +p9935 +tp9936 +a(g181 +V\u000a +p9937 +tp9938 +a(g6 +V# Only an error if not doing a dry-run.\u000a +p9939 +tp9940 +a(g181 +V +p9941 +tp9942 +a(g107 +Vif +p9943 +tp9944 +a(g53 +Vtest +p9945 +tp9946 +a(g181 +V +tp9947 +a(g181 +V-z +p9948 +tp9949 +a(g181 +V +tp9950 +a(g237 +V"$run" +p9951 +tp9952 +a(g181 +V; +tp9953 +a(g181 +V +tp9954 +a(g107 +Vthen +p9955 +tp9956 +a(g181 +V\u000a +p9957 +tp9958 +a(g69 +V$echo +p9959 +tp9960 +a(g181 +V +tp9961 +a(g237 +V"$modename: \u005c`$arg' is not a valid libtool object" +p9962 +tp9963 +a(g181 +V +tp9964 +a(g181 +V1>&2 +p9965 +tp9966 +a(g181 +V\u000a +p9967 +tp9968 +a(g53 +Vexit +p9969 +tp9970 +a(g181 +V +tp9971 +a(g69 +V$EXIT_FAILURE +p9972 +tp9973 +a(g181 +V\u000a +p9974 +tp9975 +a(g107 +Velse +p9976 +tp9977 +a(g181 +V\u000a +p9978 +tp9979 +a(g6 +V# Dry-run case.\u000a +p9980 +tp9981 +a(g181 +V\u000a +p9982 +tp9983 +a(g6 +V# Extract subdirectory from the argument.\u000a +p9984 +tp9985 +a(g181 +V +p9986 +tp9987 +a(g69 +Vxdir +p9988 +tp9989 +a(g336 +V= +tp9990 +a(g256 +V` +tp9991 +a(g69 +V$echo +p9992 +tp9993 +a(g181 +V +tp9994 +a(g237 +V"X$arg" +p9995 +tp9996 +a(g181 +V +tp9997 +a(g181 +V| +tp9998 +a(g181 +V +tp9999 +a(g69 +V$Xsed +p10000 +tp10001 +a(g181 +V +tp10002 +a(g181 +V-e +p10003 +tp10004 +a(g181 +V +tp10005 +a(g264 +V's%/[^/]*$%%' +p10006 +tp10007 +a(g256 +V` +tp10008 +a(g181 +V\u000a +p10009 +tp10010 +a(g107 +Vif +p10011 +tp10012 +a(g53 +Vtest +p10013 +tp10014 +a(g181 +V +tp10015 +a(g237 +V"X$xdir" +p10016 +tp10017 +a(g181 +V +tp10018 +a(g336 +V= +tp10019 +a(g181 +V +tp10020 +a(g237 +V"X$arg" +p10021 +tp10022 +a(g181 +V; +tp10023 +a(g181 +V +tp10024 +a(g107 +Vthen\u000a +p10025 +tp10026 +a(g69 +Vxdir +p10027 +tp10028 +a(g336 +V= +tp10029 +a(g181 +V\u000a +p10030 +tp10031 +a(g107 +Velse\u000a +p10032 +tp10033 +a(g69 +Vxdir +p10034 +tp10035 +a(g336 +V= +tp10036 +a(g237 +V"$xdir/" +p10037 +tp10038 +a(g181 +V\u000a +p10039 +tp10040 +a(g107 +Vfi\u000a\u000a +p10041 +tp10042 +a(g69 +Vpic_object +p10043 +tp10044 +a(g336 +V= +tp10045 +a(g256 +V` +tp10046 +a(g69 +V$echo +p10047 +tp10048 +a(g181 +V +tp10049 +a(g237 +V"X${xdir}${objdir}/${arg}" +p10050 +tp10051 +a(g181 +V +tp10052 +a(g181 +V| +tp10053 +a(g181 +V +tp10054 +a(g69 +V$Xsed +p10055 +tp10056 +a(g181 +V +tp10057 +a(g181 +V-e +p10058 +tp10059 +a(g181 +V +tp10060 +a(g237 +V"$lo2o" +p10061 +tp10062 +a(g256 +V` +tp10063 +a(g181 +V\u000a +p10064 +tp10065 +a(g69 +Vnon_pic_object +p10066 +tp10067 +a(g336 +V= +tp10068 +a(g256 +V` +tp10069 +a(g69 +V$echo +p10070 +tp10071 +a(g181 +V +tp10072 +a(g237 +V"X${xdir}${arg}" +p10073 +tp10074 +a(g181 +V +tp10075 +a(g181 +V| +tp10076 +a(g181 +V +tp10077 +a(g69 +V$Xsed +p10078 +tp10079 +a(g181 +V +tp10080 +a(g181 +V-e +p10081 +tp10082 +a(g181 +V +tp10083 +a(g237 +V"$lo2o" +p10084 +tp10085 +a(g256 +V` +tp10086 +a(g181 +V\u000a +p10087 +tp10088 +a(g69 +Vlibobjs +p10089 +tp10090 +a(g336 +V= +tp10091 +a(g237 +V"$libobjs $pic_object" +p10092 +tp10093 +a(g181 +V\u000a +p10094 +tp10095 +a(g69 +Vnon_pic_objects +p10096 +tp10097 +a(g336 +V= +tp10098 +a(g237 +V"$non_pic_objects $non_pic_object" +p10099 +tp10100 +a(g181 +V\u000a +p10101 +tp10102 +a(g107 +Vfi\u000a +p10103 +tp10104 +a(g107 +Vfi\u000a +p10105 +tp10106 +a(g107 +Vdone\u000a +p10107 +tp10108 +a(g107 +Velse +p10109 +tp10110 +a(g181 +V\u000a +p10111 +tp10112 +a(g69 +V$echo +p10113 +tp10114 +a(g181 +V +tp10115 +a(g237 +V"$modename: link input file \u005c`$save_arg' does not exist" +p10116 +tp10117 +a(g181 +V\u000a +p10118 +tp10119 +a(g53 +Vexit +p10120 +tp10121 +a(g181 +V +tp10122 +a(g69 +V$EXIT_FAILURE +p10123 +tp10124 +a(g181 +V\u000a +p10125 +tp10126 +a(g107 +Vfi\u000a +p10127 +tp10128 +a(g69 +Varg +p10129 +tp10130 +a(g336 +V= +tp10131 +a(g69 +V$save_arg +p10132 +tp10133 +a(g181 +V\u000a +p10134 +tp10135 +a(g69 +Vprev +p10136 +tp10137 +a(g336 +V= +tp10138 +a(g181 +V\u000a +p10139 +tp10140 +a(g107 +Vcontinue +p10141 +tp10142 +a(g181 +V\u000a +p10143 +tp10144 +a(g181 +V; +tp10145 +a(g181 +V; +tp10146 +a(g181 +V\u000a +p10147 +tp10148 +a(g181 +Vrpath +p10149 +tp10150 +a(g181 +V +tp10151 +a(g181 +V| +tp10152 +a(g181 +V +tp10153 +a(g181 +Vxrpath +p10154 +tp10155 +a(g336 +V) +tp10156 +a(g181 +V\u000a +p10157 +tp10158 +a(g6 +V# We need an absolute path.\u000a +p10159 +tp10160 +a(g181 +V +p10161 +tp10162 +a(g107 +Vcase +p10163 +tp10164 +a(g181 +V +tp10165 +a(g69 +V$arg +p10166 +tp10167 +a(g181 +V +tp10168 +a(g181 +Vin +p10169 +tp10170 +a(g181 +V\u000a +p10171 +tp10172 +a(g336 +V[ +tp10173 +a(g244 +V\u005c\u005c +p10174 +tp10175 +a(g181 +V/ +tp10176 +a(g336 +V] +tp10177 +a(g181 +V* +tp10178 +a(g181 +V +tp10179 +a(g181 +V| +tp10180 +a(g181 +V +tp10181 +a(g336 +V[ +tp10182 +a(g181 +VA-Za-z +p10183 +tp10184 +a(g336 +V] +tp10185 +a(g181 +V: +tp10186 +a(g336 +V[ +tp10187 +a(g244 +V\u005c\u005c +p10188 +tp10189 +a(g181 +V/ +tp10190 +a(g336 +V] +tp10191 +a(g181 +V* +tp10192 +a(g336 +V) +tp10193 +a(g181 +V +tp10194 +a(g181 +V; +tp10195 +a(g181 +V; +tp10196 +a(g181 +V\u000a +p10197 +tp10198 +a(g181 +V* +tp10199 +a(g336 +V) +tp10200 +a(g181 +V\u000a +p10201 +tp10202 +a(g69 +V$echo +p10203 +tp10204 +a(g181 +V +tp10205 +a(g237 +V"$modename: only absolute run-paths are allowed" +p10206 +tp10207 +a(g181 +V +tp10208 +a(g181 +V1>&2 +p10209 +tp10210 +a(g181 +V\u000a +p10211 +tp10212 +a(g53 +Vexit +p10213 +tp10214 +a(g181 +V +tp10215 +a(g69 +V$EXIT_FAILURE +p10216 +tp10217 +a(g181 +V\u000a +p10218 +tp10219 +a(g181 +V; +tp10220 +a(g181 +V; +tp10221 +a(g181 +V\u000a +p10222 +tp10223 +a(g107 +Vesac\u000a +p10224 +tp10225 +a(g107 +Vif +p10226 +tp10227 +a(g53 +Vtest +p10228 +tp10229 +a(g181 +V +tp10230 +a(g237 +V"$prev" +p10231 +tp10232 +a(g181 +V +tp10233 +a(g336 +V= +tp10234 +a(g181 +V +tp10235 +a(g181 +Vrpath; +p10236 +tp10237 +a(g181 +V +tp10238 +a(g107 +Vthen\u000a +p10239 +tp10240 +a(g107 +Vcase +p10241 +tp10242 +a(g181 +V +tp10243 +a(g237 +V"$rpath " +p10244 +tp10245 +a(g181 +V +tp10246 +a(g181 +Vin +p10247 +tp10248 +a(g181 +V\u000a +p10249 +tp10250 +a(g181 +V* +tp10251 +a(g237 +V" $arg " +p10252 +tp10253 +a(g181 +V* +tp10254 +a(g336 +V) +tp10255 +a(g181 +V +tp10256 +a(g181 +V; +tp10257 +a(g181 +V; +tp10258 +a(g181 +V\u000a +p10259 +tp10260 +a(g181 +V* +tp10261 +a(g336 +V) +tp10262 +a(g181 +V +tp10263 +a(g69 +Vrpath +p10264 +tp10265 +a(g336 +V= +tp10266 +a(g237 +V"$rpath $arg" +p10267 +tp10268 +a(g181 +V +tp10269 +a(g181 +V; +tp10270 +a(g181 +V; +tp10271 +a(g181 +V\u000a +p10272 +tp10273 +a(g107 +Vesac\u000a +p10274 +tp10275 +a(g107 +Velse\u000a +p10276 +tp10277 +a(g107 +Vcase +p10278 +tp10279 +a(g181 +V +tp10280 +a(g237 +V"$xrpath " +p10281 +tp10282 +a(g181 +V +tp10283 +a(g181 +Vin +p10284 +tp10285 +a(g181 +V\u000a +p10286 +tp10287 +a(g181 +V* +tp10288 +a(g237 +V" $arg " +p10289 +tp10290 +a(g181 +V* +tp10291 +a(g336 +V) +tp10292 +a(g181 +V +tp10293 +a(g181 +V; +tp10294 +a(g181 +V; +tp10295 +a(g181 +V\u000a +p10296 +tp10297 +a(g181 +V* +tp10298 +a(g336 +V) +tp10299 +a(g181 +V +tp10300 +a(g69 +Vxrpath +p10301 +tp10302 +a(g336 +V= +tp10303 +a(g237 +V"$xrpath $arg" +p10304 +tp10305 +a(g181 +V +tp10306 +a(g181 +V; +tp10307 +a(g181 +V; +tp10308 +a(g181 +V\u000a +p10309 +tp10310 +a(g107 +Vesac\u000a +p10311 +tp10312 +a(g107 +Vfi\u000a +p10313 +tp10314 +a(g69 +Vprev +p10315 +tp10316 +a(g336 +V= +tp10317 +a(g181 +V\u000a +p10318 +tp10319 +a(g107 +Vcontinue +p10320 +tp10321 +a(g181 +V\u000a +p10322 +tp10323 +a(g181 +V; +tp10324 +a(g181 +V; +tp10325 +a(g181 +V\u000a +p10326 +tp10327 +a(g181 +Vxcompiler +p10328 +tp10329 +a(g336 +V) +tp10330 +a(g181 +V\u000a +p10331 +tp10332 +a(g69 +Vcompiler_flags +p10333 +tp10334 +a(g336 +V= +tp10335 +a(g237 +V"$compiler_flags $qarg" +p10336 +tp10337 +a(g181 +V\u000a +p10338 +tp10339 +a(g69 +Vprev +p10340 +tp10341 +a(g336 +V= +tp10342 +a(g181 +V\u000a +p10343 +tp10344 +a(g69 +Vcompile_command +p10345 +tp10346 +a(g336 +V= +tp10347 +a(g237 +V"$compile_command $qarg" +p10348 +tp10349 +a(g181 +V\u000a +p10350 +tp10351 +a(g69 +Vfinalize_command +p10352 +tp10353 +a(g336 +V= +tp10354 +a(g237 +V"$finalize_command $qarg" +p10355 +tp10356 +a(g181 +V\u000a +p10357 +tp10358 +a(g107 +Vcontinue +p10359 +tp10360 +a(g181 +V\u000a +p10361 +tp10362 +a(g181 +V; +tp10363 +a(g181 +V; +tp10364 +a(g181 +V\u000a +p10365 +tp10366 +a(g181 +Vxlinker +p10367 +tp10368 +a(g336 +V) +tp10369 +a(g181 +V\u000a +p10370 +tp10371 +a(g69 +Vlinker_flags +p10372 +tp10373 +a(g336 +V= +tp10374 +a(g237 +V"$linker_flags $qarg" +p10375 +tp10376 +a(g181 +V\u000a +p10377 +tp10378 +a(g69 +Vcompiler_flags +p10379 +tp10380 +a(g336 +V= +tp10381 +a(g237 +V"$compiler_flags $wl$qarg" +p10382 +tp10383 +a(g181 +V\u000a +p10384 +tp10385 +a(g69 +Vprev +p10386 +tp10387 +a(g336 +V= +tp10388 +a(g181 +V\u000a +p10389 +tp10390 +a(g69 +Vcompile_command +p10391 +tp10392 +a(g336 +V= +tp10393 +a(g237 +V"$compile_command $wl$qarg" +p10394 +tp10395 +a(g181 +V\u000a +p10396 +tp10397 +a(g69 +Vfinalize_command +p10398 +tp10399 +a(g336 +V= +tp10400 +a(g237 +V"$finalize_command $wl$qarg" +p10401 +tp10402 +a(g181 +V\u000a +p10403 +tp10404 +a(g107 +Vcontinue +p10405 +tp10406 +a(g181 +V\u000a +p10407 +tp10408 +a(g181 +V; +tp10409 +a(g181 +V; +tp10410 +a(g181 +V\u000a +p10411 +tp10412 +a(g181 +Vxcclinker +p10413 +tp10414 +a(g336 +V) +tp10415 +a(g181 +V\u000a +p10416 +tp10417 +a(g69 +Vlinker_flags +p10418 +tp10419 +a(g336 +V= +tp10420 +a(g237 +V"$linker_flags $qarg" +p10421 +tp10422 +a(g181 +V\u000a +p10423 +tp10424 +a(g69 +Vcompiler_flags +p10425 +tp10426 +a(g336 +V= +tp10427 +a(g237 +V"$compiler_flags $qarg" +p10428 +tp10429 +a(g181 +V\u000a +p10430 +tp10431 +a(g69 +Vprev +p10432 +tp10433 +a(g336 +V= +tp10434 +a(g181 +V\u000a +p10435 +tp10436 +a(g69 +Vcompile_command +p10437 +tp10438 +a(g336 +V= +tp10439 +a(g237 +V"$compile_command $qarg" +p10440 +tp10441 +a(g181 +V\u000a +p10442 +tp10443 +a(g69 +Vfinalize_command +p10444 +tp10445 +a(g336 +V= +tp10446 +a(g237 +V"$finalize_command $qarg" +p10447 +tp10448 +a(g181 +V\u000a +p10449 +tp10450 +a(g107 +Vcontinue +p10451 +tp10452 +a(g181 +V\u000a +p10453 +tp10454 +a(g181 +V; +tp10455 +a(g181 +V; +tp10456 +a(g181 +V\u000a +p10457 +tp10458 +a(g181 +Vshrext +p10459 +tp10460 +a(g336 +V) +tp10461 +a(g181 +V\u000a +p10462 +tp10463 +a(g69 +Vshrext_cmds +p10464 +tp10465 +a(g336 +V= +tp10466 +a(g237 +V"$arg" +p10467 +tp10468 +a(g181 +V\u000a +p10469 +tp10470 +a(g69 +Vprev +p10471 +tp10472 +a(g336 +V= +tp10473 +a(g181 +V\u000a +p10474 +tp10475 +a(g107 +Vcontinue +p10476 +tp10477 +a(g181 +V\u000a +p10478 +tp10479 +a(g181 +V; +tp10480 +a(g181 +V; +tp10481 +a(g181 +V\u000a +p10482 +tp10483 +a(g181 +Vdarwin_framework|darwin_framework_skip +p10484 +tp10485 +a(g336 +V) +tp10486 +a(g181 +V\u000a +p10487 +tp10488 +a(g53 +Vtest +p10489 +tp10490 +a(g181 +V +tp10491 +a(g237 +V"$prev" +p10492 +tp10493 +a(g181 +V +tp10494 +a(g336 +V= +tp10495 +a(g181 +V +tp10496 +a(g237 +V"darwin_framework" +p10497 +tp10498 +a(g181 +V +tp10499 +a(g336 +V&& +p10500 +tp10501 +a(g181 +V +tp10502 +a(g69 +Vcompiler_flags +p10503 +tp10504 +a(g336 +V= +tp10505 +a(g237 +V"$compiler_flags $arg" +p10506 +tp10507 +a(g181 +V\u000a +p10508 +tp10509 +a(g69 +Vcompile_command +p10510 +tp10511 +a(g336 +V= +tp10512 +a(g237 +V"$compile_command $arg" +p10513 +tp10514 +a(g181 +V\u000a +p10515 +tp10516 +a(g69 +Vfinalize_command +p10517 +tp10518 +a(g336 +V= +tp10519 +a(g237 +V"$finalize_command $arg" +p10520 +tp10521 +a(g181 +V\u000a +p10522 +tp10523 +a(g69 +Vprev +p10524 +tp10525 +a(g336 +V= +tp10526 +a(g181 +V\u000a +p10527 +tp10528 +a(g107 +Vcontinue +p10529 +tp10530 +a(g181 +V\u000a +p10531 +tp10532 +a(g181 +V; +tp10533 +a(g181 +V; +tp10534 +a(g181 +V\u000a +p10535 +tp10536 +a(g181 +V* +tp10537 +a(g336 +V) +tp10538 +a(g181 +V\u000a +p10539 +tp10540 +a(g53 +Veval +p10541 +tp10542 +a(g181 +V +tp10543 +a(g237 +V"$prev=\u005c"\u005c$arg\u005c"" +p10544 +tp10545 +a(g181 +V\u000a +p10546 +tp10547 +a(g69 +Vprev +p10548 +tp10549 +a(g336 +V= +tp10550 +a(g181 +V\u000a +p10551 +tp10552 +a(g107 +Vcontinue +p10553 +tp10554 +a(g181 +V\u000a +p10555 +tp10556 +a(g181 +V; +tp10557 +a(g181 +V; +tp10558 +a(g181 +V\u000a +p10559 +tp10560 +a(g107 +Vesac\u000a +p10561 +tp10562 +a(g107 +Vfi +p10563 +tp10564 +a(g181 +V +tp10565 +a(g6 +V# test -n "$prev"\u000a +p10566 +tp10567 +a(g181 +V\u000a +p10568 +tp10569 +a(g69 +Vprevarg +p10570 +tp10571 +a(g336 +V= +tp10572 +a(g237 +V"$arg" +p10573 +tp10574 +a(g181 +V\u000a\u000a +p10575 +tp10576 +a(g107 +Vcase +p10577 +tp10578 +a(g181 +V +tp10579 +a(g69 +V$arg +p10580 +tp10581 +a(g181 +V +tp10582 +a(g181 +Vin +p10583 +tp10584 +a(g181 +V\u000a +p10585 +tp10586 +a(g181 +V-all-static +p10587 +tp10588 +a(g336 +V) +tp10589 +a(g181 +V\u000a +p10590 +tp10591 +a(g107 +Vif +p10592 +tp10593 +a(g53 +Vtest +p10594 +tp10595 +a(g181 +V +tp10596 +a(g181 +V-n +p10597 +tp10598 +a(g181 +V +tp10599 +a(g237 +V"$link_static_flag" +p10600 +tp10601 +a(g181 +V; +tp10602 +a(g181 +V +tp10603 +a(g107 +Vthen\u000a +p10604 +tp10605 +a(g69 +Vcompile_command +p10606 +tp10607 +a(g336 +V= +tp10608 +a(g237 +V"$compile_command $link_static_flag" +p10609 +tp10610 +a(g181 +V\u000a +p10611 +tp10612 +a(g69 +Vfinalize_command +p10613 +tp10614 +a(g336 +V= +tp10615 +a(g237 +V"$finalize_command $link_static_flag" +p10616 +tp10617 +a(g181 +V\u000a +p10618 +tp10619 +a(g107 +Vfi\u000a +p10620 +tp10621 +a(g107 +Vcontinue +p10622 +tp10623 +a(g181 +V\u000a +p10624 +tp10625 +a(g181 +V; +tp10626 +a(g181 +V; +tp10627 +a(g181 +V\u000a\u000a +p10628 +tp10629 +a(g181 +V-allow-undefined +p10630 +tp10631 +a(g336 +V) +tp10632 +a(g181 +V\u000a +p10633 +tp10634 +a(g6 +V# FIXME: remove this flag sometime in the future.\u000a +p10635 +tp10636 +a(g181 +V +tp10637 +a(g69 +V$echo +p10638 +tp10639 +a(g181 +V +tp10640 +a(g237 +V"$modename: \u005c`-allow-undefined' is deprecated because it is the default" +p10641 +tp10642 +a(g181 +V +tp10643 +a(g181 +V1>&2 +p10644 +tp10645 +a(g181 +V\u000a +p10646 +tp10647 +a(g107 +Vcontinue +p10648 +tp10649 +a(g181 +V\u000a +p10650 +tp10651 +a(g181 +V; +tp10652 +a(g181 +V; +tp10653 +a(g181 +V\u000a\u000a +p10654 +tp10655 +a(g181 +V-avoid-version +p10656 +tp10657 +a(g336 +V) +tp10658 +a(g181 +V\u000a +p10659 +tp10660 +a(g69 +Vavoid_version +p10661 +tp10662 +a(g336 +V= +tp10663 +a(g181 +Vyes +p10664 +tp10665 +a(g181 +V\u000a +p10666 +tp10667 +a(g107 +Vcontinue +p10668 +tp10669 +a(g181 +V\u000a +p10670 +tp10671 +a(g181 +V; +tp10672 +a(g181 +V; +tp10673 +a(g181 +V\u000a\u000a +p10674 +tp10675 +a(g181 +V-dlopen +p10676 +tp10677 +a(g336 +V) +tp10678 +a(g181 +V\u000a +p10679 +tp10680 +a(g69 +Vprev +p10681 +tp10682 +a(g336 +V= +tp10683 +a(g181 +Vdlfiles +p10684 +tp10685 +a(g181 +V\u000a +p10686 +tp10687 +a(g107 +Vcontinue +p10688 +tp10689 +a(g181 +V\u000a +p10690 +tp10691 +a(g181 +V; +tp10692 +a(g181 +V; +tp10693 +a(g181 +V\u000a\u000a +p10694 +tp10695 +a(g181 +V-dlpreopen +p10696 +tp10697 +a(g336 +V) +tp10698 +a(g181 +V\u000a +p10699 +tp10700 +a(g69 +Vprev +p10701 +tp10702 +a(g336 +V= +tp10703 +a(g181 +Vdlprefiles +p10704 +tp10705 +a(g181 +V\u000a +p10706 +tp10707 +a(g107 +Vcontinue +p10708 +tp10709 +a(g181 +V\u000a +p10710 +tp10711 +a(g181 +V; +tp10712 +a(g181 +V; +tp10713 +a(g181 +V\u000a\u000a +p10714 +tp10715 +a(g181 +V-export-dynamic +p10716 +tp10717 +a(g336 +V) +tp10718 +a(g181 +V\u000a +p10719 +tp10720 +a(g69 +Vexport_dynamic +p10721 +tp10722 +a(g336 +V= +tp10723 +a(g181 +Vyes +p10724 +tp10725 +a(g181 +V\u000a +p10726 +tp10727 +a(g107 +Vcontinue +p10728 +tp10729 +a(g181 +V\u000a +p10730 +tp10731 +a(g181 +V; +tp10732 +a(g181 +V; +tp10733 +a(g181 +V\u000a\u000a +p10734 +tp10735 +a(g181 +V-export-symbols +p10736 +tp10737 +a(g181 +V +tp10738 +a(g181 +V| +tp10739 +a(g181 +V +tp10740 +a(g181 +V-export-symbols-regex +p10741 +tp10742 +a(g336 +V) +tp10743 +a(g181 +V\u000a +p10744 +tp10745 +a(g107 +Vif +p10746 +tp10747 +a(g53 +Vtest +p10748 +tp10749 +a(g181 +V +tp10750 +a(g181 +V-n +p10751 +tp10752 +a(g181 +V +tp10753 +a(g237 +V"$export_symbols" +p10754 +tp10755 +a(g181 +V +tp10756 +a(g336 +V|| +p10757 +tp10758 +a(g181 +V +tp10759 +a(g53 +Vtest +p10760 +tp10761 +a(g181 +V +tp10762 +a(g181 +V-n +p10763 +tp10764 +a(g181 +V +tp10765 +a(g237 +V"$export_symbols_regex" +p10766 +tp10767 +a(g181 +V; +tp10768 +a(g181 +V +tp10769 +a(g107 +Vthen +p10770 +tp10771 +a(g181 +V\u000a +p10772 +tp10773 +a(g69 +V$echo +p10774 +tp10775 +a(g181 +V +tp10776 +a(g237 +V"$modename: more than one -exported-symbols argument is not allowed" +p10777 +tp10778 +a(g181 +V\u000a +p10779 +tp10780 +a(g53 +Vexit +p10781 +tp10782 +a(g181 +V +tp10783 +a(g69 +V$EXIT_FAILURE +p10784 +tp10785 +a(g181 +V\u000a +p10786 +tp10787 +a(g107 +Vfi\u000a +p10788 +tp10789 +a(g107 +Vif +p10790 +tp10791 +a(g53 +Vtest +p10792 +tp10793 +a(g181 +V +tp10794 +a(g237 +V"X$arg" +p10795 +tp10796 +a(g181 +V +tp10797 +a(g336 +V= +tp10798 +a(g181 +V +tp10799 +a(g237 +V"X-export-symbols" +p10800 +tp10801 +a(g181 +V; +tp10802 +a(g181 +V +tp10803 +a(g107 +Vthen\u000a +p10804 +tp10805 +a(g69 +Vprev +p10806 +tp10807 +a(g336 +V= +tp10808 +a(g181 +Vexpsyms +p10809 +tp10810 +a(g181 +V\u000a +p10811 +tp10812 +a(g107 +Velse\u000a +p10813 +tp10814 +a(g69 +Vprev +p10815 +tp10816 +a(g336 +V= +tp10817 +a(g181 +Vexpsyms_regex +p10818 +tp10819 +a(g181 +V\u000a +p10820 +tp10821 +a(g107 +Vfi\u000a +p10822 +tp10823 +a(g107 +Vcontinue +p10824 +tp10825 +a(g181 +V\u000a +p10826 +tp10827 +a(g181 +V; +tp10828 +a(g181 +V; +tp10829 +a(g181 +V\u000a\u000a +p10830 +tp10831 +a(g181 +V-framework|-arch|-isysroot +p10832 +tp10833 +a(g336 +V) +tp10834 +a(g181 +V\u000a +p10835 +tp10836 +a(g107 +Vcase +p10837 +tp10838 +a(g181 +V +tp10839 +a(g237 +V" $CC " +p10840 +tp10841 +a(g181 +V +tp10842 +a(g181 +Vin +p10843 +tp10844 +a(g181 +V\u000a +p10845 +tp10846 +a(g181 +V* +tp10847 +a(g237 +V" ${arg} ${1} " +p10848 +tp10849 +a(g181 +V* +tp10850 +a(g181 +V +tp10851 +a(g181 +V| +tp10852 +a(g181 +V +tp10853 +a(g181 +V* +tp10854 +a(g237 +V" ${arg} ${1} " +p10855 +tp10856 +a(g181 +V* +tp10857 +a(g336 +V) +tp10858 +a(g181 +V \u000a +p10859 +tp10860 +a(g69 +Vprev +p10861 +tp10862 +a(g336 +V= +tp10863 +a(g181 +Vdarwin_framework_skip +p10864 +tp10865 +a(g181 +V +tp10866 +a(g181 +V; +tp10867 +a(g181 +V; +tp10868 +a(g181 +V\u000a +p10869 +tp10870 +a(g181 +V* +tp10871 +a(g336 +V) +tp10872 +a(g181 +V +tp10873 +a(g69 +Vcompiler_flags +p10874 +tp10875 +a(g336 +V= +tp10876 +a(g237 +V"$compiler_flags $arg" +p10877 +tp10878 +a(g181 +V\u000a +p10879 +tp10880 +a(g69 +Vprev +p10881 +tp10882 +a(g336 +V= +tp10883 +a(g181 +Vdarwin_framework +p10884 +tp10885 +a(g181 +V +tp10886 +a(g181 +V; +tp10887 +a(g181 +V; +tp10888 +a(g181 +V\u000a +p10889 +tp10890 +a(g107 +Vesac\u000a +p10891 +tp10892 +a(g69 +Vcompile_command +p10893 +tp10894 +a(g336 +V= +tp10895 +a(g237 +V"$compile_command $arg" +p10896 +tp10897 +a(g181 +V\u000a +p10898 +tp10899 +a(g69 +Vfinalize_command +p10900 +tp10901 +a(g336 +V= +tp10902 +a(g237 +V"$finalize_command $arg" +p10903 +tp10904 +a(g181 +V\u000a +p10905 +tp10906 +a(g107 +Vcontinue +p10907 +tp10908 +a(g181 +V\u000a +p10909 +tp10910 +a(g181 +V; +tp10911 +a(g181 +V; +tp10912 +a(g181 +V\u000a\u000a +p10913 +tp10914 +a(g181 +V-inst-prefix-dir +p10915 +tp10916 +a(g336 +V) +tp10917 +a(g181 +V\u000a +p10918 +tp10919 +a(g69 +Vprev +p10920 +tp10921 +a(g336 +V= +tp10922 +a(g181 +Vinst_prefix +p10923 +tp10924 +a(g181 +V\u000a +p10925 +tp10926 +a(g107 +Vcontinue +p10927 +tp10928 +a(g181 +V\u000a +p10929 +tp10930 +a(g181 +V; +tp10931 +a(g181 +V; +tp10932 +a(g181 +V\u000a\u000a +p10933 +tp10934 +a(g6 +V# The native IRIX linker understands -LANG:*, -LIST:* and -LNO:*\u000a +p10935 +tp10936 +a(g181 +V +p10937 +tp10938 +a(g6 +V# so, if we see these flags be careful not to treat them like -L\u000a +p10939 +tp10940 +a(g181 +V +p10941 +tp10942 +a(g181 +V-L +p10943 +tp10944 +a(g336 +V[ +tp10945 +a(g181 +VA-Z +p10946 +tp10947 +a(g336 +V] +tp10948 +a(g336 +V[ +tp10949 +a(g181 +VA-Z +p10950 +tp10951 +a(g336 +V] +tp10952 +a(g181 +V*:* +p10953 +tp10954 +a(g336 +V) +tp10955 +a(g181 +V\u000a +p10956 +tp10957 +a(g107 +Vcase +p10958 +tp10959 +a(g181 +V +tp10960 +a(g69 +V$with_gcc +p10961 +tp10962 +a(g181 +V/ +tp10963 +a(g69 +V$host +p10964 +tp10965 +a(g181 +V +tp10966 +a(g181 +Vin +p10967 +tp10968 +a(g181 +V\u000a +p10969 +tp10970 +a(g181 +Vno/*-*-irix* +p10971 +tp10972 +a(g181 +V +tp10973 +a(g181 +V| +tp10974 +a(g181 +V +tp10975 +a(g181 +V/*-*-irix* +p10976 +tp10977 +a(g336 +V) +tp10978 +a(g181 +V\u000a +p10979 +tp10980 +a(g69 +Vcompile_command +p10981 +tp10982 +a(g336 +V= +tp10983 +a(g237 +V"$compile_command $arg" +p10984 +tp10985 +a(g181 +V\u000a +p10986 +tp10987 +a(g69 +Vfinalize_command +p10988 +tp10989 +a(g336 +V= +tp10990 +a(g237 +V"$finalize_command $arg" +p10991 +tp10992 +a(g181 +V\u000a +p10993 +tp10994 +a(g181 +V; +tp10995 +a(g181 +V; +tp10996 +a(g181 +V\u000a +p10997 +tp10998 +a(g107 +Vesac\u000a +p10999 +tp11000 +a(g107 +Vcontinue +p11001 +tp11002 +a(g181 +V\u000a +p11003 +tp11004 +a(g181 +V; +tp11005 +a(g181 +V; +tp11006 +a(g181 +V\u000a\u000a +p11007 +tp11008 +a(g181 +V-L* +p11009 +tp11010 +a(g336 +V) +tp11011 +a(g181 +V\u000a +p11012 +tp11013 +a(g69 +Vdir +p11014 +tp11015 +a(g336 +V= +tp11016 +a(g256 +V` +tp11017 +a(g69 +V$echo +p11018 +tp11019 +a(g181 +V +tp11020 +a(g237 +V"X$arg" +p11021 +tp11022 +a(g181 +V +tp11023 +a(g181 +V| +tp11024 +a(g181 +V +tp11025 +a(g69 +V$Xsed +p11026 +tp11027 +a(g181 +V +tp11028 +a(g181 +V-e +p11029 +tp11030 +a(g181 +V +tp11031 +a(g264 +V's/^-L//' +p11032 +tp11033 +a(g256 +V` +tp11034 +a(g181 +V\u000a +p11035 +tp11036 +a(g6 +V# We need an absolute path.\u000a +p11037 +tp11038 +a(g181 +V +tp11039 +a(g107 +Vcase +p11040 +tp11041 +a(g181 +V +tp11042 +a(g69 +V$dir +p11043 +tp11044 +a(g181 +V +tp11045 +a(g181 +Vin +p11046 +tp11047 +a(g181 +V\u000a +p11048 +tp11049 +a(g336 +V[ +tp11050 +a(g244 +V\u005c\u005c +p11051 +tp11052 +a(g181 +V/ +tp11053 +a(g336 +V] +tp11054 +a(g181 +V* +tp11055 +a(g181 +V +tp11056 +a(g181 +V| +tp11057 +a(g181 +V +tp11058 +a(g336 +V[ +tp11059 +a(g181 +VA-Za-z +p11060 +tp11061 +a(g336 +V] +tp11062 +a(g181 +V: +tp11063 +a(g336 +V[ +tp11064 +a(g244 +V\u005c\u005c +p11065 +tp11066 +a(g181 +V/ +tp11067 +a(g336 +V] +tp11068 +a(g181 +V* +tp11069 +a(g336 +V) +tp11070 +a(g181 +V +tp11071 +a(g181 +V; +tp11072 +a(g181 +V; +tp11073 +a(g181 +V\u000a +p11074 +tp11075 +a(g181 +V* +tp11076 +a(g336 +V) +tp11077 +a(g181 +V\u000a +p11078 +tp11079 +a(g69 +Vabsdir +p11080 +tp11081 +a(g336 +V= +tp11082 +a(g256 +V` +tp11083 +a(g53 +Vcd +p11084 +tp11085 +a(g181 +V +tp11086 +a(g237 +V"$dir" +p11087 +tp11088 +a(g181 +V +tp11089 +a(g336 +V&& +p11090 +tp11091 +a(g181 +V +tp11092 +a(g53 +Vpwd +p11093 +tp11094 +a(g256 +V` +tp11095 +a(g181 +V\u000a +p11096 +tp11097 +a(g107 +Vif +p11098 +tp11099 +a(g53 +Vtest +p11100 +tp11101 +a(g181 +V +tp11102 +a(g181 +V-z +p11103 +tp11104 +a(g181 +V +tp11105 +a(g237 +V"$absdir" +p11106 +tp11107 +a(g181 +V; +tp11108 +a(g181 +V +tp11109 +a(g107 +Vthen +p11110 +tp11111 +a(g181 +V\u000a +p11112 +tp11113 +a(g69 +V$echo +p11114 +tp11115 +a(g181 +V +tp11116 +a(g237 +V"$modename: cannot determine absolute directory name of \u005c`$dir'" +p11117 +tp11118 +a(g181 +V +tp11119 +a(g181 +V1>&2 +p11120 +tp11121 +a(g181 +V\u000a +p11122 +tp11123 +a(g69 +Vabsdir +p11124 +tp11125 +a(g336 +V= +tp11126 +a(g237 +V"$dir" +p11127 +tp11128 +a(g181 +V\u000a +p11129 +tp11130 +a(g69 +Vnotinst_path +p11131 +tp11132 +a(g336 +V= +tp11133 +a(g237 +V"$notinst_path $dir" +p11134 +tp11135 +a(g181 +V\u000a +p11136 +tp11137 +a(g107 +Vfi\u000a +p11138 +tp11139 +a(g69 +Vdir +p11140 +tp11141 +a(g336 +V= +tp11142 +a(g237 +V"$absdir" +p11143 +tp11144 +a(g181 +V\u000a +p11145 +tp11146 +a(g181 +V; +tp11147 +a(g181 +V; +tp11148 +a(g181 +V\u000a +p11149 +tp11150 +a(g107 +Vesac\u000a +p11151 +tp11152 +a(g107 +Vcase +p11153 +tp11154 +a(g181 +V +tp11155 +a(g237 +V"$deplibs " +p11156 +tp11157 +a(g181 +V +tp11158 +a(g181 +Vin +p11159 +tp11160 +a(g181 +V\u000a +p11161 +tp11162 +a(g181 +V* +tp11163 +a(g237 +V" -L$dir " +p11164 +tp11165 +a(g181 +V* +tp11166 +a(g336 +V) +tp11167 +a(g181 +V +tp11168 +a(g181 +V; +tp11169 +a(g181 +V; +tp11170 +a(g181 +V\u000a +p11171 +tp11172 +a(g181 +V* +tp11173 +a(g336 +V) +tp11174 +a(g181 +V\u000a +p11175 +tp11176 +a(g69 +Vdeplibs +p11177 +tp11178 +a(g336 +V= +tp11179 +a(g237 +V"$deplibs -L$dir" +p11180 +tp11181 +a(g181 +V\u000a +p11182 +tp11183 +a(g69 +Vlib_search_path +p11184 +tp11185 +a(g336 +V= +tp11186 +a(g237 +V"$lib_search_path $dir" +p11187 +tp11188 +a(g181 +V\u000a +p11189 +tp11190 +a(g181 +V; +tp11191 +a(g181 +V; +tp11192 +a(g181 +V\u000a +p11193 +tp11194 +a(g107 +Vesac\u000a +p11195 +tp11196 +a(g107 +Vcase +p11197 +tp11198 +a(g181 +V +tp11199 +a(g69 +V$host +p11200 +tp11201 +a(g181 +V +tp11202 +a(g181 +Vin +p11203 +tp11204 +a(g181 +V\u000a +p11205 +tp11206 +a(g181 +V*-*-cygwin* +p11207 +tp11208 +a(g181 +V +tp11209 +a(g181 +V| +tp11210 +a(g181 +V +tp11211 +a(g181 +V*-*-mingw* +p11212 +tp11213 +a(g181 +V +tp11214 +a(g181 +V| +tp11215 +a(g181 +V +tp11216 +a(g181 +V*-*-pw32* +p11217 +tp11218 +a(g181 +V +tp11219 +a(g181 +V| +tp11220 +a(g181 +V +tp11221 +a(g181 +V*-*-os2* +p11222 +tp11223 +a(g336 +V) +tp11224 +a(g181 +V\u000a +p11225 +tp11226 +a(g69 +Vtestbindir +p11227 +tp11228 +a(g336 +V= +tp11229 +a(g256 +V` +tp11230 +a(g69 +V$echo +p11231 +tp11232 +a(g181 +V +tp11233 +a(g237 +V"X$dir" +p11234 +tp11235 +a(g181 +V +tp11236 +a(g181 +V| +tp11237 +a(g181 +V +tp11238 +a(g69 +V$Xsed +p11239 +tp11240 +a(g181 +V +tp11241 +a(g181 +V-e +p11242 +tp11243 +a(g181 +V +tp11244 +a(g264 +V's*/lib$*/bin*' +p11245 +tp11246 +a(g256 +V` +tp11247 +a(g181 +V\u000a +p11248 +tp11249 +a(g107 +Vcase +p11250 +tp11251 +a(g181 +V +tp11252 +a(g181 +V: +tp11253 +a(g69 +V$dllsearchpath +p11254 +tp11255 +a(g181 +V: +tp11256 +a(g181 +V +tp11257 +a(g181 +Vin +p11258 +tp11259 +a(g181 +V\u000a +p11260 +tp11261 +a(g181 +V* +tp11262 +a(g237 +V":$dir:" +p11263 +tp11264 +a(g181 +V* +tp11265 +a(g336 +V) +tp11266 +a(g181 +V +tp11267 +a(g181 +V; +tp11268 +a(g181 +V; +tp11269 +a(g181 +V\u000a +p11270 +tp11271 +a(g181 +V* +tp11272 +a(g336 +V) +tp11273 +a(g181 +V +tp11274 +a(g69 +Vdllsearchpath +p11275 +tp11276 +a(g336 +V= +tp11277 +a(g237 +V"$dllsearchpath:$dir" +p11278 +tp11279 +a(g181 +V; +tp11280 +a(g181 +V; +tp11281 +a(g181 +V\u000a +p11282 +tp11283 +a(g107 +Vesac\u000a +p11284 +tp11285 +a(g107 +Vcase +p11286 +tp11287 +a(g181 +V +tp11288 +a(g181 +V: +tp11289 +a(g69 +V$dllsearchpath +p11290 +tp11291 +a(g181 +V: +tp11292 +a(g181 +V +tp11293 +a(g181 +Vin +p11294 +tp11295 +a(g181 +V\u000a +p11296 +tp11297 +a(g181 +V* +tp11298 +a(g237 +V":$testbindir:" +p11299 +tp11300 +a(g181 +V* +tp11301 +a(g336 +V) +tp11302 +a(g181 +V +tp11303 +a(g181 +V; +tp11304 +a(g181 +V; +tp11305 +a(g181 +V\u000a +p11306 +tp11307 +a(g181 +V* +tp11308 +a(g336 +V) +tp11309 +a(g181 +V +tp11310 +a(g69 +Vdllsearchpath +p11311 +tp11312 +a(g336 +V= +tp11313 +a(g237 +V"$dllsearchpath:$testbindir" +p11314 +tp11315 +a(g181 +V; +tp11316 +a(g181 +V; +tp11317 +a(g181 +V\u000a +p11318 +tp11319 +a(g107 +Vesac +p11320 +tp11321 +a(g181 +V\u000a +p11322 +tp11323 +a(g181 +V; +tp11324 +a(g181 +V; +tp11325 +a(g181 +V\u000a +p11326 +tp11327 +a(g107 +Vesac\u000a +p11328 +tp11329 +a(g107 +Vcontinue +p11330 +tp11331 +a(g181 +V\u000a +p11332 +tp11333 +a(g181 +V; +tp11334 +a(g181 +V; +tp11335 +a(g181 +V\u000a\u000a +p11336 +tp11337 +a(g181 +V-l* +p11338 +tp11339 +a(g336 +V) +tp11340 +a(g181 +V\u000a +p11341 +tp11342 +a(g107 +Vif +p11343 +tp11344 +a(g53 +Vtest +p11345 +tp11346 +a(g181 +V +tp11347 +a(g237 +V"X$arg" +p11348 +tp11349 +a(g181 +V +tp11350 +a(g336 +V= +tp11351 +a(g181 +V +tp11352 +a(g237 +V"X-lc" +p11353 +tp11354 +a(g181 +V +tp11355 +a(g336 +V|| +p11356 +tp11357 +a(g181 +V +tp11358 +a(g53 +Vtest +p11359 +tp11360 +a(g181 +V +tp11361 +a(g237 +V"X$arg" +p11362 +tp11363 +a(g181 +V +tp11364 +a(g336 +V= +tp11365 +a(g181 +V +tp11366 +a(g237 +V"X-lm" +p11367 +tp11368 +a(g181 +V; +tp11369 +a(g181 +V +tp11370 +a(g107 +Vthen\u000a +p11371 +tp11372 +a(g107 +Vcase +p11373 +tp11374 +a(g181 +V +tp11375 +a(g69 +V$host +p11376 +tp11377 +a(g181 +V +tp11378 +a(g181 +Vin +p11379 +tp11380 +a(g181 +V\u000a +p11381 +tp11382 +a(g181 +V*-*-cygwin* +p11383 +tp11384 +a(g181 +V +tp11385 +a(g181 +V| +tp11386 +a(g181 +V +tp11387 +a(g181 +V*-*-mingw* +p11388 +tp11389 +a(g181 +V +tp11390 +a(g181 +V| +tp11391 +a(g181 +V +tp11392 +a(g181 +V*-*-pw32* +p11393 +tp11394 +a(g181 +V +tp11395 +a(g181 +V| +tp11396 +a(g181 +V +tp11397 +a(g181 +V*-*-beos* +p11398 +tp11399 +a(g336 +V) +tp11400 +a(g181 +V\u000a +p11401 +tp11402 +a(g6 +V# These systems don't actually have a C or math library (as such)\u000a +p11403 +tp11404 +a(g181 +V +p11405 +tp11406 +a(g107 +Vcontinue +p11407 +tp11408 +a(g181 +V\u000a +p11409 +tp11410 +a(g181 +V; +tp11411 +a(g181 +V; +tp11412 +a(g181 +V\u000a +p11413 +tp11414 +a(g181 +V*-*-os2* +p11415 +tp11416 +a(g336 +V) +tp11417 +a(g181 +V\u000a +p11418 +tp11419 +a(g6 +V# These systems don't actually have a C library (as such)\u000a +p11420 +tp11421 +a(g181 +V +p11422 +tp11423 +a(g53 +Vtest +p11424 +tp11425 +a(g181 +V +tp11426 +a(g237 +V"X$arg" +p11427 +tp11428 +a(g181 +V +tp11429 +a(g336 +V= +tp11430 +a(g181 +V +tp11431 +a(g237 +V"X-lc" +p11432 +tp11433 +a(g181 +V +tp11434 +a(g336 +V&& +p11435 +tp11436 +a(g181 +V +tp11437 +a(g107 +Vcontinue +p11438 +tp11439 +a(g181 +V\u000a +p11440 +tp11441 +a(g181 +V; +tp11442 +a(g181 +V; +tp11443 +a(g181 +V\u000a +p11444 +tp11445 +a(g181 +V*-*-openbsd* +p11446 +tp11447 +a(g181 +V +tp11448 +a(g181 +V| +tp11449 +a(g181 +V +tp11450 +a(g181 +V*-*-freebsd* +p11451 +tp11452 +a(g181 +V +tp11453 +a(g181 +V| +tp11454 +a(g181 +V +tp11455 +a(g181 +V*-*-dragonfly* +p11456 +tp11457 +a(g336 +V) +tp11458 +a(g181 +V\u000a +p11459 +tp11460 +a(g6 +V# Do not include libc due to us having libc/libc_r.\u000a +p11461 +tp11462 +a(g181 +V +p11463 +tp11464 +a(g53 +Vtest +p11465 +tp11466 +a(g181 +V +tp11467 +a(g237 +V"X$arg" +p11468 +tp11469 +a(g181 +V +tp11470 +a(g336 +V= +tp11471 +a(g181 +V +tp11472 +a(g237 +V"X-lc" +p11473 +tp11474 +a(g181 +V +tp11475 +a(g336 +V&& +p11476 +tp11477 +a(g181 +V +tp11478 +a(g107 +Vcontinue +p11479 +tp11480 +a(g181 +V\u000a +p11481 +tp11482 +a(g181 +V; +tp11483 +a(g181 +V; +tp11484 +a(g181 +V\u000a +p11485 +tp11486 +a(g181 +V*-*-rhapsody* +p11487 +tp11488 +a(g181 +V +tp11489 +a(g181 +V| +tp11490 +a(g181 +V +tp11491 +a(g181 +V*-*-darwin1. +p11492 +tp11493 +a(g336 +V[ +tp11494 +a(g181 +V012 +p11495 +tp11496 +a(g336 +V] +tp11497 +a(g336 +V) +tp11498 +a(g181 +V\u000a +p11499 +tp11500 +a(g6 +V# Rhapsody C and math libraries are in the System framework\u000a +p11501 +tp11502 +a(g181 +V +p11503 +tp11504 +a(g69 +Vdeplibs +p11505 +tp11506 +a(g336 +V= +tp11507 +a(g237 +V"$deplibs -framework System" +p11508 +tp11509 +a(g181 +V\u000a +p11510 +tp11511 +a(g107 +Vcontinue +p11512 +tp11513 +a(g181 +V\u000a +p11514 +tp11515 +a(g181 +V; +tp11516 +a(g181 +V; +tp11517 +a(g181 +V\u000a +p11518 +tp11519 +a(g181 +V*-*-sco3.2v5* +p11520 +tp11521 +a(g181 +V +tp11522 +a(g181 +V| +tp11523 +a(g181 +V +tp11524 +a(g181 +V*-*-sco5v6* +p11525 +tp11526 +a(g336 +V) +tp11527 +a(g181 +V\u000a +p11528 +tp11529 +a(g6 +V# Causes problems with __ctype\u000a +p11530 +tp11531 +a(g181 +V +p11532 +tp11533 +a(g53 +Vtest +p11534 +tp11535 +a(g181 +V +tp11536 +a(g237 +V"X$arg" +p11537 +tp11538 +a(g181 +V +tp11539 +a(g336 +V= +tp11540 +a(g181 +V +tp11541 +a(g237 +V"X-lc" +p11542 +tp11543 +a(g181 +V +tp11544 +a(g336 +V&& +p11545 +tp11546 +a(g181 +V +tp11547 +a(g107 +Vcontinue +p11548 +tp11549 +a(g181 +V\u000a +p11550 +tp11551 +a(g181 +V; +tp11552 +a(g181 +V; +tp11553 +a(g181 +V\u000a +p11554 +tp11555 +a(g181 +V*-*-sysv4.2uw2* +p11556 +tp11557 +a(g181 +V +tp11558 +a(g181 +V| +tp11559 +a(g181 +V +tp11560 +a(g181 +V*-*-sysv5* +p11561 +tp11562 +a(g181 +V +tp11563 +a(g181 +V| +tp11564 +a(g181 +V +tp11565 +a(g181 +V*-*-unixware* +p11566 +tp11567 +a(g181 +V +tp11568 +a(g181 +V| +tp11569 +a(g181 +V +tp11570 +a(g181 +V*-*-OpenUNIX* +p11571 +tp11572 +a(g336 +V) +tp11573 +a(g181 +V\u000a +p11574 +tp11575 +a(g6 +V# Compiler inserts libc in the correct place for threads to work\u000a +p11576 +tp11577 +a(g181 +V +p11578 +tp11579 +a(g53 +Vtest +p11580 +tp11581 +a(g181 +V +tp11582 +a(g237 +V"X$arg" +p11583 +tp11584 +a(g181 +V +tp11585 +a(g336 +V= +tp11586 +a(g181 +V +tp11587 +a(g237 +V"X-lc" +p11588 +tp11589 +a(g181 +V +tp11590 +a(g336 +V&& +p11591 +tp11592 +a(g181 +V +tp11593 +a(g107 +Vcontinue +p11594 +tp11595 +a(g181 +V\u000a +p11596 +tp11597 +a(g181 +V; +tp11598 +a(g181 +V; +tp11599 +a(g181 +V\u000a +p11600 +tp11601 +a(g107 +Vesac\u000a +p11602 +tp11603 +a(g107 +Velif +p11604 +tp11605 +a(g53 +Vtest +p11606 +tp11607 +a(g181 +V +tp11608 +a(g237 +V"X$arg" +p11609 +tp11610 +a(g181 +V +tp11611 +a(g336 +V= +tp11612 +a(g181 +V +tp11613 +a(g237 +V"X-lc_r" +p11614 +tp11615 +a(g181 +V; +tp11616 +a(g181 +V +tp11617 +a(g107 +Vthen\u000a +p11618 +tp11619 +a(g107 +Vcase +p11620 +tp11621 +a(g181 +V +tp11622 +a(g69 +V$host +p11623 +tp11624 +a(g181 +V +tp11625 +a(g181 +Vin +p11626 +tp11627 +a(g181 +V\u000a +p11628 +tp11629 +a(g181 +V*-*-openbsd* +p11630 +tp11631 +a(g181 +V +tp11632 +a(g181 +V| +tp11633 +a(g181 +V +tp11634 +a(g181 +V*-*-freebsd* +p11635 +tp11636 +a(g181 +V +tp11637 +a(g181 +V| +tp11638 +a(g181 +V +tp11639 +a(g181 +V*-*-dragonfly* +p11640 +tp11641 +a(g336 +V) +tp11642 +a(g181 +V\u000a +p11643 +tp11644 +a(g6 +V# Do not include libc_r directly, use -pthread flag.\u000a +p11645 +tp11646 +a(g181 +V +p11647 +tp11648 +a(g107 +Vcontinue +p11649 +tp11650 +a(g181 +V\u000a +p11651 +tp11652 +a(g181 +V; +tp11653 +a(g181 +V; +tp11654 +a(g181 +V\u000a +p11655 +tp11656 +a(g107 +Vesac\u000a +p11657 +tp11658 +a(g107 +Vfi\u000a +p11659 +tp11660 +a(g69 +Vdeplibs +p11661 +tp11662 +a(g336 +V= +tp11663 +a(g237 +V"$deplibs $arg" +p11664 +tp11665 +a(g181 +V\u000a +p11666 +tp11667 +a(g107 +Vcontinue +p11668 +tp11669 +a(g181 +V\u000a +p11670 +tp11671 +a(g181 +V; +tp11672 +a(g181 +V; +tp11673 +a(g181 +V\u000a\u000a +p11674 +tp11675 +a(g6 +V# Tru64 UNIX uses -model [arg] to determine the layout of C++\u000a +p11676 +tp11677 +a(g181 +V +p11678 +tp11679 +a(g6 +V# classes, name mangling, and exception handling.\u000a +p11680 +tp11681 +a(g181 +V +p11682 +tp11683 +a(g181 +V-model +p11684 +tp11685 +a(g336 +V) +tp11686 +a(g181 +V\u000a +p11687 +tp11688 +a(g69 +Vcompile_command +p11689 +tp11690 +a(g336 +V= +tp11691 +a(g237 +V"$compile_command $arg" +p11692 +tp11693 +a(g181 +V\u000a +p11694 +tp11695 +a(g69 +Vcompiler_flags +p11696 +tp11697 +a(g336 +V= +tp11698 +a(g237 +V"$compiler_flags $arg" +p11699 +tp11700 +a(g181 +V\u000a +p11701 +tp11702 +a(g69 +Vfinalize_command +p11703 +tp11704 +a(g336 +V= +tp11705 +a(g237 +V"$finalize_command $arg" +p11706 +tp11707 +a(g181 +V\u000a +p11708 +tp11709 +a(g69 +Vprev +p11710 +tp11711 +a(g336 +V= +tp11712 +a(g181 +Vxcompiler +p11713 +tp11714 +a(g181 +V\u000a +p11715 +tp11716 +a(g107 +Vcontinue +p11717 +tp11718 +a(g181 +V\u000a +p11719 +tp11720 +a(g181 +V; +tp11721 +a(g181 +V; +tp11722 +a(g181 +V\u000a\u000a +p11723 +tp11724 +a(g181 +V-mt|-mthreads|-kthread|-Kthread|-pthread|-pthreads|--thread-safe +p11725 +tp11726 +a(g336 +V) +tp11727 +a(g181 +V\u000a +p11728 +tp11729 +a(g69 +Vcompiler_flags +p11730 +tp11731 +a(g336 +V= +tp11732 +a(g237 +V"$compiler_flags $arg" +p11733 +tp11734 +a(g181 +V\u000a +p11735 +tp11736 +a(g69 +Vcompile_command +p11737 +tp11738 +a(g336 +V= +tp11739 +a(g237 +V"$compile_command $arg" +p11740 +tp11741 +a(g181 +V\u000a +p11742 +tp11743 +a(g69 +Vfinalize_command +p11744 +tp11745 +a(g336 +V= +tp11746 +a(g237 +V"$finalize_command $arg" +p11747 +tp11748 +a(g181 +V\u000a +p11749 +tp11750 +a(g107 +Vcontinue +p11751 +tp11752 +a(g181 +V\u000a +p11753 +tp11754 +a(g181 +V; +tp11755 +a(g181 +V; +tp11756 +a(g181 +V\u000a\u000a +p11757 +tp11758 +a(g181 +V-module +p11759 +tp11760 +a(g336 +V) +tp11761 +a(g181 +V\u000a +p11762 +tp11763 +a(g69 +Vmodule +p11764 +tp11765 +a(g336 +V= +tp11766 +a(g181 +Vyes +p11767 +tp11768 +a(g181 +V\u000a +p11769 +tp11770 +a(g107 +Vcontinue +p11771 +tp11772 +a(g181 +V\u000a +p11773 +tp11774 +a(g181 +V; +tp11775 +a(g181 +V; +tp11776 +a(g181 +V\u000a\u000a +p11777 +tp11778 +a(g6 +V# -64, -mips[0-9] enable 64-bit mode on the SGI compiler\u000a +p11779 +tp11780 +a(g181 +V +p11781 +tp11782 +a(g6 +V# -r[0-9][0-9]* specifies the processor on the SGI compiler\u000a +p11783 +tp11784 +a(g181 +V +p11785 +tp11786 +a(g6 +V# -xarch=*, -xtarget=* enable 64-bit mode on the Sun compiler\u000a +p11787 +tp11788 +a(g181 +V +p11789 +tp11790 +a(g6 +V# +DA*, +DD* enable 64-bit mode on the HP compiler\u000a +p11791 +tp11792 +a(g181 +V +p11793 +tp11794 +a(g6 +V# -q* pass through compiler args for the IBM compiler\u000a +p11795 +tp11796 +a(g181 +V +p11797 +tp11798 +a(g6 +V# -m* pass through architecture-specific compiler args for GCC\u000a +p11799 +tp11800 +a(g181 +V +p11801 +tp11802 +a(g6 +V# -m*, -t[45]*, -txscale* pass through architecture-specific\u000a +p11803 +tp11804 +a(g181 +V +p11805 +tp11806 +a(g6 +V# compiler args for GCC\u000a +p11807 +tp11808 +a(g181 +V +p11809 +tp11810 +a(g6 +V# -pg pass through profiling flag for GCC\u000a +p11811 +tp11812 +a(g181 +V +p11813 +tp11814 +a(g6 +V# @file GCC response files\u000a +p11815 +tp11816 +a(g181 +V +p11817 +tp11818 +a(g181 +V-64|-mips +p11819 +tp11820 +a(g336 +V[ +tp11821 +a(g181 +V0-9 +p11822 +tp11823 +a(g336 +V] +tp11824 +a(g181 +V|-r +p11825 +tp11826 +a(g336 +V[ +tp11827 +a(g181 +V0-9 +p11828 +tp11829 +a(g336 +V] +tp11830 +a(g336 +V[ +tp11831 +a(g181 +V0-9 +p11832 +tp11833 +a(g336 +V] +tp11834 +a(g181 +V*|-xarch +p11835 +tp11836 +a(g336 +V= +tp11837 +a(g181 +V*|-xtarget +p11838 +tp11839 +a(g336 +V= +tp11840 +a(g181 +V*|+DA*|+DD*|-q*|-m*|-pg| +p11841 +tp11842 +a(g181 +V +tp11843 +a(g244 +V\u005c\u000a +p11844 +tp11845 +a(g181 +V +p11846 +tp11847 +a(g181 +V-t +p11848 +tp11849 +a(g336 +V[ +tp11850 +a(g181 +V45 +p11851 +tp11852 +a(g336 +V] +tp11853 +a(g181 +V*|-txscale*|@* +p11854 +tp11855 +a(g336 +V) +tp11856 +a(g181 +V\u000a\u000a +p11857 +tp11858 +a(g6 +V# Unknown arguments in both finalize_command and compile_command need\u000a +p11859 +tp11860 +a(g181 +V +tp11861 +a(g6 +V# to be aesthetically quoted because they are evaled later.\u000a +p11862 +tp11863 +a(g181 +V +tp11864 +a(g69 +Varg +p11865 +tp11866 +a(g336 +V= +tp11867 +a(g256 +V` +tp11868 +a(g69 +V$echo +p11869 +tp11870 +a(g181 +V +tp11871 +a(g237 +V"X$arg" +p11872 +tp11873 +a(g181 +V +tp11874 +a(g181 +V| +tp11875 +a(g181 +V +tp11876 +a(g69 +V$Xsed +p11877 +tp11878 +a(g181 +V +tp11879 +a(g181 +V-e +p11880 +tp11881 +a(g181 +V +tp11882 +a(g237 +V"$sed_quote_subst" +p11883 +tp11884 +a(g256 +V` +tp11885 +a(g181 +V\u000a +p11886 +tp11887 +a(g107 +Vcase +p11888 +tp11889 +a(g181 +V +tp11890 +a(g69 +V$arg +p11891 +tp11892 +a(g181 +V +tp11893 +a(g181 +Vin +p11894 +tp11895 +a(g181 +V\u000a +p11896 +tp11897 +a(g181 +V* +tp11898 +a(g336 +V[ +tp11899 +a(g244 +V\u005c[ +p11900 +tp11901 +a(g244 +V\u005c~ +p11902 +tp11903 +a(g244 +V\u005c# +p11904 +tp11905 +a(g244 +V\u005c^ +p11906 +tp11907 +a(g244 +V\u005c& +p11908 +tp11909 +a(g244 +V\u005c* +p11910 +tp11911 +a(g244 +V\u005c( +p11912 +tp11913 +a(g244 +V\u005c) +p11914 +tp11915 +a(g244 +V\u005c{ +p11916 +tp11917 +a(g244 +V\u005c} +p11918 +tp11919 +a(g244 +V\u005c| +p11920 +tp11921 +a(g244 +V\u005c; +p11922 +tp11923 +a(g244 +V\u005c< +p11924 +tp11925 +a(g244 +V\u005c> +p11926 +tp11927 +a(g244 +V\u005c? +p11928 +tp11929 +a(g244 +V\u005c' +p11930 +tp11931 +a(g244 +V\u005c +p11932 +tp11933 +a(g244 +V\u005c +p11934 +tp11935 +a(g336 +V] +tp11936 +a(g181 +V*|* +p11937 +tp11938 +a(g336 +V] +tp11939 +a(g181 +V*| +p11940 +tp11941 +a(g237 +V"" +p11942 +tp11943 +a(g336 +V) +tp11944 +a(g181 +V\u000a +p11945 +tp11946 +a(g69 +Varg +p11947 +tp11948 +a(g336 +V= +tp11949 +a(g237 +V"\u005c"$arg\u005c"" +p11950 +tp11951 +a(g181 +V\u000a +p11952 +tp11953 +a(g181 +V; +tp11954 +a(g181 +V; +tp11955 +a(g181 +V\u000a +p11956 +tp11957 +a(g107 +Vesac\u000a +p11958 +tp11959 +a(g69 +Vcompile_command +p11960 +tp11961 +a(g336 +V= +tp11962 +a(g237 +V"$compile_command $arg" +p11963 +tp11964 +a(g181 +V\u000a +p11965 +tp11966 +a(g69 +Vfinalize_command +p11967 +tp11968 +a(g336 +V= +tp11969 +a(g237 +V"$finalize_command $arg" +p11970 +tp11971 +a(g181 +V\u000a +p11972 +tp11973 +a(g69 +Vcompiler_flags +p11974 +tp11975 +a(g336 +V= +tp11976 +a(g237 +V"$compiler_flags $arg" +p11977 +tp11978 +a(g181 +V\u000a +p11979 +tp11980 +a(g107 +Vcontinue +p11981 +tp11982 +a(g181 +V\u000a +p11983 +tp11984 +a(g181 +V; +tp11985 +a(g181 +V; +tp11986 +a(g181 +V\u000a\u000a +p11987 +tp11988 +a(g181 +V-shrext +p11989 +tp11990 +a(g336 +V) +tp11991 +a(g181 +V\u000a +p11992 +tp11993 +a(g69 +Vprev +p11994 +tp11995 +a(g336 +V= +tp11996 +a(g181 +Vshrext +p11997 +tp11998 +a(g181 +V\u000a +p11999 +tp12000 +a(g107 +Vcontinue +p12001 +tp12002 +a(g181 +V\u000a +p12003 +tp12004 +a(g181 +V; +tp12005 +a(g181 +V; +tp12006 +a(g181 +V\u000a\u000a +p12007 +tp12008 +a(g181 +V-no-fast-install +p12009 +tp12010 +a(g336 +V) +tp12011 +a(g181 +V\u000a +p12012 +tp12013 +a(g69 +Vfast_install +p12014 +tp12015 +a(g336 +V= +tp12016 +a(g181 +Vno +p12017 +tp12018 +a(g181 +V\u000a +p12019 +tp12020 +a(g107 +Vcontinue +p12021 +tp12022 +a(g181 +V\u000a +p12023 +tp12024 +a(g181 +V; +tp12025 +a(g181 +V; +tp12026 +a(g181 +V\u000a\u000a +p12027 +tp12028 +a(g181 +V-no-install +p12029 +tp12030 +a(g336 +V) +tp12031 +a(g181 +V\u000a +p12032 +tp12033 +a(g107 +Vcase +p12034 +tp12035 +a(g181 +V +tp12036 +a(g69 +V$host +p12037 +tp12038 +a(g181 +V +tp12039 +a(g181 +Vin +p12040 +tp12041 +a(g181 +V\u000a +p12042 +tp12043 +a(g181 +V*-*-cygwin* +p12044 +tp12045 +a(g181 +V +tp12046 +a(g181 +V| +tp12047 +a(g181 +V +tp12048 +a(g181 +V*-*-mingw* +p12049 +tp12050 +a(g181 +V +tp12051 +a(g181 +V| +tp12052 +a(g181 +V +tp12053 +a(g181 +V*-*-pw32* +p12054 +tp12055 +a(g181 +V +tp12056 +a(g181 +V| +tp12057 +a(g181 +V +tp12058 +a(g181 +V*-*-os2* +p12059 +tp12060 +a(g336 +V) +tp12061 +a(g181 +V\u000a +p12062 +tp12063 +a(g6 +V# The PATH hackery in wrapper scripts is required on Windows\u000a +p12064 +tp12065 +a(g181 +V +p12066 +tp12067 +a(g6 +V# in order for the loader to find any dlls it needs.\u000a +p12068 +tp12069 +a(g181 +V +p12070 +tp12071 +a(g69 +V$echo +p12072 +tp12073 +a(g181 +V +tp12074 +a(g237 +V"$modename: warning: \u005c`-no-install' is ignored for $host" +p12075 +tp12076 +a(g181 +V +tp12077 +a(g181 +V1>&2 +p12078 +tp12079 +a(g181 +V\u000a +p12080 +tp12081 +a(g69 +V$echo +p12082 +tp12083 +a(g181 +V +tp12084 +a(g237 +V"$modename: warning: assuming \u005c`-no-fast-install' instead" +p12085 +tp12086 +a(g181 +V +tp12087 +a(g181 +V1>&2 +p12088 +tp12089 +a(g181 +V\u000a +p12090 +tp12091 +a(g69 +Vfast_install +p12092 +tp12093 +a(g336 +V= +tp12094 +a(g181 +Vno +p12095 +tp12096 +a(g181 +V\u000a +p12097 +tp12098 +a(g181 +V; +tp12099 +a(g181 +V; +tp12100 +a(g181 +V\u000a +p12101 +tp12102 +a(g181 +V* +tp12103 +a(g336 +V) +tp12104 +a(g181 +V +tp12105 +a(g69 +Vno_install +p12106 +tp12107 +a(g336 +V= +tp12108 +a(g181 +Vyes +p12109 +tp12110 +a(g181 +V +tp12111 +a(g181 +V; +tp12112 +a(g181 +V; +tp12113 +a(g181 +V\u000a +p12114 +tp12115 +a(g107 +Vesac\u000a +p12116 +tp12117 +a(g107 +Vcontinue +p12118 +tp12119 +a(g181 +V\u000a +p12120 +tp12121 +a(g181 +V; +tp12122 +a(g181 +V; +tp12123 +a(g181 +V\u000a\u000a +p12124 +tp12125 +a(g181 +V-no-undefined +p12126 +tp12127 +a(g336 +V) +tp12128 +a(g181 +V\u000a +p12129 +tp12130 +a(g69 +Vallow_undefined +p12131 +tp12132 +a(g336 +V= +tp12133 +a(g181 +Vno +p12134 +tp12135 +a(g181 +V\u000a +p12136 +tp12137 +a(g107 +Vcontinue +p12138 +tp12139 +a(g181 +V\u000a +p12140 +tp12141 +a(g181 +V; +tp12142 +a(g181 +V; +tp12143 +a(g181 +V\u000a\u000a +p12144 +tp12145 +a(g181 +V-objectlist +p12146 +tp12147 +a(g336 +V) +tp12148 +a(g181 +V\u000a +p12149 +tp12150 +a(g69 +Vprev +p12151 +tp12152 +a(g336 +V= +tp12153 +a(g181 +Vobjectlist +p12154 +tp12155 +a(g181 +V\u000a +p12156 +tp12157 +a(g107 +Vcontinue +p12158 +tp12159 +a(g181 +V\u000a +p12160 +tp12161 +a(g181 +V; +tp12162 +a(g181 +V; +tp12163 +a(g181 +V\u000a\u000a +p12164 +tp12165 +a(g181 +V-o +p12166 +tp12167 +a(g336 +V) +tp12168 +a(g181 +V +tp12169 +a(g69 +Vprev +p12170 +tp12171 +a(g336 +V= +tp12172 +a(g181 +Voutput +p12173 +tp12174 +a(g181 +V +tp12175 +a(g181 +V; +tp12176 +a(g181 +V; +tp12177 +a(g181 +V\u000a\u000a +p12178 +tp12179 +a(g181 +V-precious-files-regex +p12180 +tp12181 +a(g336 +V) +tp12182 +a(g181 +V\u000a +p12183 +tp12184 +a(g69 +Vprev +p12185 +tp12186 +a(g336 +V= +tp12187 +a(g181 +Vprecious_regex +p12188 +tp12189 +a(g181 +V\u000a +p12190 +tp12191 +a(g107 +Vcontinue +p12192 +tp12193 +a(g181 +V\u000a +p12194 +tp12195 +a(g181 +V; +tp12196 +a(g181 +V; +tp12197 +a(g181 +V\u000a\u000a +p12198 +tp12199 +a(g181 +V-release +p12200 +tp12201 +a(g336 +V) +tp12202 +a(g181 +V\u000a +p12203 +tp12204 +a(g69 +Vprev +p12205 +tp12206 +a(g336 +V= +tp12207 +a(g181 +Vrelease +p12208 +tp12209 +a(g181 +V\u000a +p12210 +tp12211 +a(g107 +Vcontinue +p12212 +tp12213 +a(g181 +V\u000a +p12214 +tp12215 +a(g181 +V; +tp12216 +a(g181 +V; +tp12217 +a(g181 +V\u000a\u000a +p12218 +tp12219 +a(g181 +V-rpath +p12220 +tp12221 +a(g336 +V) +tp12222 +a(g181 +V\u000a +p12223 +tp12224 +a(g69 +Vprev +p12225 +tp12226 +a(g336 +V= +tp12227 +a(g181 +Vrpath +p12228 +tp12229 +a(g181 +V\u000a +p12230 +tp12231 +a(g107 +Vcontinue +p12232 +tp12233 +a(g181 +V\u000a +p12234 +tp12235 +a(g181 +V; +tp12236 +a(g181 +V; +tp12237 +a(g181 +V\u000a\u000a +p12238 +tp12239 +a(g181 +V-R +p12240 +tp12241 +a(g336 +V) +tp12242 +a(g181 +V\u000a +p12243 +tp12244 +a(g69 +Vprev +p12245 +tp12246 +a(g336 +V= +tp12247 +a(g181 +Vxrpath +p12248 +tp12249 +a(g181 +V\u000a +p12250 +tp12251 +a(g107 +Vcontinue +p12252 +tp12253 +a(g181 +V\u000a +p12254 +tp12255 +a(g181 +V; +tp12256 +a(g181 +V; +tp12257 +a(g181 +V\u000a\u000a +p12258 +tp12259 +a(g181 +V-R* +p12260 +tp12261 +a(g336 +V) +tp12262 +a(g181 +V\u000a +p12263 +tp12264 +a(g69 +Vdir +p12265 +tp12266 +a(g336 +V= +tp12267 +a(g256 +V` +tp12268 +a(g69 +V$echo +p12269 +tp12270 +a(g181 +V +tp12271 +a(g237 +V"X$arg" +p12272 +tp12273 +a(g181 +V +tp12274 +a(g181 +V| +tp12275 +a(g181 +V +tp12276 +a(g69 +V$Xsed +p12277 +tp12278 +a(g181 +V +tp12279 +a(g181 +V-e +p12280 +tp12281 +a(g181 +V +tp12282 +a(g264 +V's/^-R//' +p12283 +tp12284 +a(g256 +V` +tp12285 +a(g181 +V\u000a +p12286 +tp12287 +a(g6 +V# We need an absolute path.\u000a +p12288 +tp12289 +a(g181 +V +tp12290 +a(g107 +Vcase +p12291 +tp12292 +a(g181 +V +tp12293 +a(g69 +V$dir +p12294 +tp12295 +a(g181 +V +tp12296 +a(g181 +Vin +p12297 +tp12298 +a(g181 +V\u000a +p12299 +tp12300 +a(g336 +V[ +tp12301 +a(g244 +V\u005c\u005c +p12302 +tp12303 +a(g181 +V/ +tp12304 +a(g336 +V] +tp12305 +a(g181 +V* +tp12306 +a(g181 +V +tp12307 +a(g181 +V| +tp12308 +a(g181 +V +tp12309 +a(g336 +V[ +tp12310 +a(g181 +VA-Za-z +p12311 +tp12312 +a(g336 +V] +tp12313 +a(g181 +V: +tp12314 +a(g336 +V[ +tp12315 +a(g244 +V\u005c\u005c +p12316 +tp12317 +a(g181 +V/ +tp12318 +a(g336 +V] +tp12319 +a(g181 +V* +tp12320 +a(g336 +V) +tp12321 +a(g181 +V +tp12322 +a(g181 +V; +tp12323 +a(g181 +V; +tp12324 +a(g181 +V\u000a +p12325 +tp12326 +a(g181 +V* +tp12327 +a(g336 +V) +tp12328 +a(g181 +V\u000a +p12329 +tp12330 +a(g69 +V$echo +p12331 +tp12332 +a(g181 +V +tp12333 +a(g237 +V"$modename: only absolute run-paths are allowed" +p12334 +tp12335 +a(g181 +V +tp12336 +a(g181 +V1>&2 +p12337 +tp12338 +a(g181 +V\u000a +p12339 +tp12340 +a(g53 +Vexit +p12341 +tp12342 +a(g181 +V +tp12343 +a(g69 +V$EXIT_FAILURE +p12344 +tp12345 +a(g181 +V\u000a +p12346 +tp12347 +a(g181 +V; +tp12348 +a(g181 +V; +tp12349 +a(g181 +V\u000a +p12350 +tp12351 +a(g107 +Vesac\u000a +p12352 +tp12353 +a(g107 +Vcase +p12354 +tp12355 +a(g181 +V +tp12356 +a(g237 +V"$xrpath " +p12357 +tp12358 +a(g181 +V +tp12359 +a(g181 +Vin +p12360 +tp12361 +a(g181 +V\u000a +p12362 +tp12363 +a(g181 +V* +tp12364 +a(g237 +V" $dir " +p12365 +tp12366 +a(g181 +V* +tp12367 +a(g336 +V) +tp12368 +a(g181 +V +tp12369 +a(g181 +V; +tp12370 +a(g181 +V; +tp12371 +a(g181 +V\u000a +p12372 +tp12373 +a(g181 +V* +tp12374 +a(g336 +V) +tp12375 +a(g181 +V +tp12376 +a(g69 +Vxrpath +p12377 +tp12378 +a(g336 +V= +tp12379 +a(g237 +V"$xrpath $dir" +p12380 +tp12381 +a(g181 +V +tp12382 +a(g181 +V; +tp12383 +a(g181 +V; +tp12384 +a(g181 +V\u000a +p12385 +tp12386 +a(g107 +Vesac\u000a +p12387 +tp12388 +a(g107 +Vcontinue +p12389 +tp12390 +a(g181 +V\u000a +p12391 +tp12392 +a(g181 +V; +tp12393 +a(g181 +V; +tp12394 +a(g181 +V\u000a\u000a +p12395 +tp12396 +a(g181 +V-static +p12397 +tp12398 +a(g336 +V) +tp12399 +a(g181 +V\u000a +p12400 +tp12401 +a(g6 +V# The effects of -static are defined in a previous loop.\u000a +p12402 +tp12403 +a(g181 +V +tp12404 +a(g6 +V# We used to do the same as -all-static on platforms that\u000a +p12405 +tp12406 +a(g181 +V +tp12407 +a(g6 +V# didn't have a PIC flag, but the assumption that the effects\u000a +p12408 +tp12409 +a(g181 +V +tp12410 +a(g6 +V# would be equivalent was wrong. It would break on at least\u000a +p12411 +tp12412 +a(g181 +V +tp12413 +a(g6 +V# Digital Unix and AIX.\u000a +p12414 +tp12415 +a(g181 +V +tp12416 +a(g107 +Vcontinue +p12417 +tp12418 +a(g181 +V\u000a +p12419 +tp12420 +a(g181 +V; +tp12421 +a(g181 +V; +tp12422 +a(g181 +V\u000a\u000a +p12423 +tp12424 +a(g181 +V-thread-safe +p12425 +tp12426 +a(g336 +V) +tp12427 +a(g181 +V\u000a +p12428 +tp12429 +a(g69 +Vthread_safe +p12430 +tp12431 +a(g336 +V= +tp12432 +a(g181 +Vyes +p12433 +tp12434 +a(g181 +V\u000a +p12435 +tp12436 +a(g107 +Vcontinue +p12437 +tp12438 +a(g181 +V\u000a +p12439 +tp12440 +a(g181 +V; +tp12441 +a(g181 +V; +tp12442 +a(g181 +V\u000a\u000a +p12443 +tp12444 +a(g181 +V-version-info +p12445 +tp12446 +a(g336 +V) +tp12447 +a(g181 +V\u000a +p12448 +tp12449 +a(g69 +Vprev +p12450 +tp12451 +a(g336 +V= +tp12452 +a(g181 +Vvinfo +p12453 +tp12454 +a(g181 +V\u000a +p12455 +tp12456 +a(g107 +Vcontinue +p12457 +tp12458 +a(g181 +V\u000a +p12459 +tp12460 +a(g181 +V; +tp12461 +a(g181 +V; +tp12462 +a(g181 +V\u000a +p12463 +tp12464 +a(g181 +V-version-number +p12465 +tp12466 +a(g336 +V) +tp12467 +a(g181 +V\u000a +p12468 +tp12469 +a(g69 +Vprev +p12470 +tp12471 +a(g336 +V= +tp12472 +a(g181 +Vvinfo +p12473 +tp12474 +a(g181 +V\u000a +p12475 +tp12476 +a(g69 +Vvinfo_number +p12477 +tp12478 +a(g336 +V= +tp12479 +a(g181 +Vyes +p12480 +tp12481 +a(g181 +V\u000a +p12482 +tp12483 +a(g107 +Vcontinue +p12484 +tp12485 +a(g181 +V\u000a +p12486 +tp12487 +a(g181 +V; +tp12488 +a(g181 +V; +tp12489 +a(g181 +V\u000a\u000a +p12490 +tp12491 +a(g181 +V-Wc,* +p12492 +tp12493 +a(g336 +V) +tp12494 +a(g181 +V\u000a +p12495 +tp12496 +a(g69 +Vargs +p12497 +tp12498 +a(g336 +V= +tp12499 +a(g256 +V` +tp12500 +a(g69 +V$echo +p12501 +tp12502 +a(g181 +V +tp12503 +a(g237 +V"X$arg" +p12504 +tp12505 +a(g181 +V +tp12506 +a(g181 +V| +tp12507 +a(g181 +V +tp12508 +a(g69 +V$Xsed +p12509 +tp12510 +a(g181 +V +tp12511 +a(g181 +V-e +p12512 +tp12513 +a(g181 +V +tp12514 +a(g237 +V"$sed_quote_subst" +p12515 +tp12516 +a(g181 +V +tp12517 +a(g181 +V-e +p12518 +tp12519 +a(g181 +V +tp12520 +a(g264 +V's/^-Wc,//' +p12521 +tp12522 +a(g256 +V` +tp12523 +a(g181 +V\u000a +p12524 +tp12525 +a(g69 +Varg +p12526 +tp12527 +a(g336 +V= +tp12528 +a(g181 +V\u000a +p12529 +tp12530 +a(g69 +Vsave_ifs +p12531 +tp12532 +a(g336 +V= +tp12533 +a(g237 +V"$IFS" +p12534 +tp12535 +a(g181 +V; +tp12536 +a(g181 +V +tp12537 +a(g69 +VIFS +p12538 +tp12539 +a(g336 +V= +tp12540 +a(g264 +V',' +p12541 +tp12542 +a(g181 +V\u000a +p12543 +tp12544 +a(g107 +Vfor +p12545 +tp12546 +a(g181 +Vflag +p12547 +tp12548 +a(g181 +V +tp12549 +a(g181 +Vin +p12550 +tp12551 +a(g181 +V +tp12552 +a(g69 +V$args +p12553 +tp12554 +a(g181 +V; +tp12555 +a(g181 +V +tp12556 +a(g107 +Vdo\u000a +p12557 +tp12558 +a(g69 +VIFS +p12559 +tp12560 +a(g336 +V= +tp12561 +a(g237 +V"$save_ifs" +p12562 +tp12563 +a(g181 +V\u000a +p12564 +tp12565 +a(g107 +Vcase +p12566 +tp12567 +a(g181 +V +tp12568 +a(g69 +V$flag +p12569 +tp12570 +a(g181 +V +tp12571 +a(g181 +Vin +p12572 +tp12573 +a(g181 +V\u000a +p12574 +tp12575 +a(g181 +V* +tp12576 +a(g336 +V[ +tp12577 +a(g244 +V\u005c[ +p12578 +tp12579 +a(g244 +V\u005c~ +p12580 +tp12581 +a(g244 +V\u005c# +p12582 +tp12583 +a(g244 +V\u005c^ +p12584 +tp12585 +a(g244 +V\u005c& +p12586 +tp12587 +a(g244 +V\u005c* +p12588 +tp12589 +a(g244 +V\u005c( +p12590 +tp12591 +a(g244 +V\u005c) +p12592 +tp12593 +a(g244 +V\u005c{ +p12594 +tp12595 +a(g244 +V\u005c} +p12596 +tp12597 +a(g244 +V\u005c| +p12598 +tp12599 +a(g244 +V\u005c; +p12600 +tp12601 +a(g244 +V\u005c< +p12602 +tp12603 +a(g244 +V\u005c> +p12604 +tp12605 +a(g244 +V\u005c? +p12606 +tp12607 +a(g244 +V\u005c' +p12608 +tp12609 +a(g244 +V\u005c +p12610 +tp12611 +a(g244 +V\u005c +p12612 +tp12613 +a(g336 +V] +tp12614 +a(g181 +V*|* +p12615 +tp12616 +a(g336 +V] +tp12617 +a(g181 +V*| +p12618 +tp12619 +a(g237 +V"" +p12620 +tp12621 +a(g336 +V) +tp12622 +a(g181 +V\u000a +p12623 +tp12624 +a(g69 +Vflag +p12625 +tp12626 +a(g336 +V= +tp12627 +a(g237 +V"\u005c"$flag\u005c"" +p12628 +tp12629 +a(g181 +V\u000a +p12630 +tp12631 +a(g181 +V; +tp12632 +a(g181 +V; +tp12633 +a(g181 +V\u000a +p12634 +tp12635 +a(g107 +Vesac\u000a +p12636 +tp12637 +a(g69 +Varg +p12638 +tp12639 +a(g336 +V= +tp12640 +a(g237 +V"$arg $wl$flag" +p12641 +tp12642 +a(g181 +V\u000a +p12643 +tp12644 +a(g69 +Vcompiler_flags +p12645 +tp12646 +a(g336 +V= +tp12647 +a(g237 +V"$compiler_flags $flag" +p12648 +tp12649 +a(g181 +V\u000a +p12650 +tp12651 +a(g107 +Vdone\u000a +p12652 +tp12653 +a(g69 +VIFS +p12654 +tp12655 +a(g336 +V= +tp12656 +a(g237 +V"$save_ifs" +p12657 +tp12658 +a(g181 +V\u000a +p12659 +tp12660 +a(g69 +Varg +p12661 +tp12662 +a(g336 +V= +tp12663 +a(g256 +V` +tp12664 +a(g69 +V$echo +p12665 +tp12666 +a(g181 +V +tp12667 +a(g237 +V"X$arg" +p12668 +tp12669 +a(g181 +V +tp12670 +a(g181 +V| +tp12671 +a(g181 +V +tp12672 +a(g69 +V$Xsed +p12673 +tp12674 +a(g181 +V +tp12675 +a(g181 +V-e +p12676 +tp12677 +a(g181 +V +tp12678 +a(g237 +V"s/^ //" +p12679 +tp12680 +a(g256 +V` +tp12681 +a(g181 +V\u000a +p12682 +tp12683 +a(g181 +V; +tp12684 +a(g181 +V; +tp12685 +a(g181 +V\u000a\u000a +p12686 +tp12687 +a(g181 +V-Wl,* +p12688 +tp12689 +a(g336 +V) +tp12690 +a(g181 +V\u000a +p12691 +tp12692 +a(g69 +Vargs +p12693 +tp12694 +a(g336 +V= +tp12695 +a(g256 +V` +tp12696 +a(g69 +V$echo +p12697 +tp12698 +a(g181 +V +tp12699 +a(g237 +V"X$arg" +p12700 +tp12701 +a(g181 +V +tp12702 +a(g181 +V| +tp12703 +a(g181 +V +tp12704 +a(g69 +V$Xsed +p12705 +tp12706 +a(g181 +V +tp12707 +a(g181 +V-e +p12708 +tp12709 +a(g181 +V +tp12710 +a(g237 +V"$sed_quote_subst" +p12711 +tp12712 +a(g181 +V +tp12713 +a(g181 +V-e +p12714 +tp12715 +a(g181 +V +tp12716 +a(g264 +V's/^-Wl,//' +p12717 +tp12718 +a(g256 +V` +tp12719 +a(g181 +V\u000a +p12720 +tp12721 +a(g69 +Varg +p12722 +tp12723 +a(g336 +V= +tp12724 +a(g181 +V\u000a +p12725 +tp12726 +a(g69 +Vsave_ifs +p12727 +tp12728 +a(g336 +V= +tp12729 +a(g237 +V"$IFS" +p12730 +tp12731 +a(g181 +V; +tp12732 +a(g181 +V +tp12733 +a(g69 +VIFS +p12734 +tp12735 +a(g336 +V= +tp12736 +a(g264 +V',' +p12737 +tp12738 +a(g181 +V\u000a +p12739 +tp12740 +a(g107 +Vfor +p12741 +tp12742 +a(g181 +Vflag +p12743 +tp12744 +a(g181 +V +tp12745 +a(g181 +Vin +p12746 +tp12747 +a(g181 +V +tp12748 +a(g69 +V$args +p12749 +tp12750 +a(g181 +V; +tp12751 +a(g181 +V +tp12752 +a(g107 +Vdo\u000a +p12753 +tp12754 +a(g69 +VIFS +p12755 +tp12756 +a(g336 +V= +tp12757 +a(g237 +V"$save_ifs" +p12758 +tp12759 +a(g181 +V\u000a +p12760 +tp12761 +a(g107 +Vcase +p12762 +tp12763 +a(g181 +V +tp12764 +a(g69 +V$flag +p12765 +tp12766 +a(g181 +V +tp12767 +a(g181 +Vin +p12768 +tp12769 +a(g181 +V\u000a +p12770 +tp12771 +a(g181 +V* +tp12772 +a(g336 +V[ +tp12773 +a(g244 +V\u005c[ +p12774 +tp12775 +a(g244 +V\u005c~ +p12776 +tp12777 +a(g244 +V\u005c# +p12778 +tp12779 +a(g244 +V\u005c^ +p12780 +tp12781 +a(g244 +V\u005c& +p12782 +tp12783 +a(g244 +V\u005c* +p12784 +tp12785 +a(g244 +V\u005c( +p12786 +tp12787 +a(g244 +V\u005c) +p12788 +tp12789 +a(g244 +V\u005c{ +p12790 +tp12791 +a(g244 +V\u005c} +p12792 +tp12793 +a(g244 +V\u005c| +p12794 +tp12795 +a(g244 +V\u005c; +p12796 +tp12797 +a(g244 +V\u005c< +p12798 +tp12799 +a(g244 +V\u005c> +p12800 +tp12801 +a(g244 +V\u005c? +p12802 +tp12803 +a(g244 +V\u005c' +p12804 +tp12805 +a(g244 +V\u005c +p12806 +tp12807 +a(g244 +V\u005c +p12808 +tp12809 +a(g336 +V] +tp12810 +a(g181 +V*|* +p12811 +tp12812 +a(g336 +V] +tp12813 +a(g181 +V*| +p12814 +tp12815 +a(g237 +V"" +p12816 +tp12817 +a(g336 +V) +tp12818 +a(g181 +V\u000a +p12819 +tp12820 +a(g69 +Vflag +p12821 +tp12822 +a(g336 +V= +tp12823 +a(g237 +V"\u005c"$flag\u005c"" +p12824 +tp12825 +a(g181 +V\u000a +p12826 +tp12827 +a(g181 +V; +tp12828 +a(g181 +V; +tp12829 +a(g181 +V\u000a +p12830 +tp12831 +a(g107 +Vesac\u000a +p12832 +tp12833 +a(g69 +Varg +p12834 +tp12835 +a(g336 +V= +tp12836 +a(g237 +V"$arg $wl$flag" +p12837 +tp12838 +a(g181 +V\u000a +p12839 +tp12840 +a(g69 +Vcompiler_flags +p12841 +tp12842 +a(g336 +V= +tp12843 +a(g237 +V"$compiler_flags $wl$flag" +p12844 +tp12845 +a(g181 +V\u000a +p12846 +tp12847 +a(g69 +Vlinker_flags +p12848 +tp12849 +a(g336 +V= +tp12850 +a(g237 +V"$linker_flags $flag" +p12851 +tp12852 +a(g181 +V\u000a +p12853 +tp12854 +a(g107 +Vdone\u000a +p12855 +tp12856 +a(g69 +VIFS +p12857 +tp12858 +a(g336 +V= +tp12859 +a(g237 +V"$save_ifs" +p12860 +tp12861 +a(g181 +V\u000a +p12862 +tp12863 +a(g69 +Varg +p12864 +tp12865 +a(g336 +V= +tp12866 +a(g256 +V` +tp12867 +a(g69 +V$echo +p12868 +tp12869 +a(g181 +V +tp12870 +a(g237 +V"X$arg" +p12871 +tp12872 +a(g181 +V +tp12873 +a(g181 +V| +tp12874 +a(g181 +V +tp12875 +a(g69 +V$Xsed +p12876 +tp12877 +a(g181 +V +tp12878 +a(g181 +V-e +p12879 +tp12880 +a(g181 +V +tp12881 +a(g237 +V"s/^ //" +p12882 +tp12883 +a(g256 +V` +tp12884 +a(g181 +V\u000a +p12885 +tp12886 +a(g181 +V; +tp12887 +a(g181 +V; +tp12888 +a(g181 +V\u000a\u000a +p12889 +tp12890 +a(g181 +V-Xcompiler +p12891 +tp12892 +a(g336 +V) +tp12893 +a(g181 +V\u000a +p12894 +tp12895 +a(g69 +Vprev +p12896 +tp12897 +a(g336 +V= +tp12898 +a(g181 +Vxcompiler +p12899 +tp12900 +a(g181 +V\u000a +p12901 +tp12902 +a(g107 +Vcontinue +p12903 +tp12904 +a(g181 +V\u000a +p12905 +tp12906 +a(g181 +V; +tp12907 +a(g181 +V; +tp12908 +a(g181 +V\u000a\u000a +p12909 +tp12910 +a(g181 +V-Xlinker +p12911 +tp12912 +a(g336 +V) +tp12913 +a(g181 +V\u000a +p12914 +tp12915 +a(g69 +Vprev +p12916 +tp12917 +a(g336 +V= +tp12918 +a(g181 +Vxlinker +p12919 +tp12920 +a(g181 +V\u000a +p12921 +tp12922 +a(g107 +Vcontinue +p12923 +tp12924 +a(g181 +V\u000a +p12925 +tp12926 +a(g181 +V; +tp12927 +a(g181 +V; +tp12928 +a(g181 +V\u000a\u000a +p12929 +tp12930 +a(g181 +V-XCClinker +p12931 +tp12932 +a(g336 +V) +tp12933 +a(g181 +V\u000a +p12934 +tp12935 +a(g69 +Vprev +p12936 +tp12937 +a(g336 +V= +tp12938 +a(g181 +Vxcclinker +p12939 +tp12940 +a(g181 +V\u000a +p12941 +tp12942 +a(g107 +Vcontinue +p12943 +tp12944 +a(g181 +V\u000a +p12945 +tp12946 +a(g181 +V; +tp12947 +a(g181 +V; +tp12948 +a(g181 +V\u000a\u000a +p12949 +tp12950 +a(g6 +V# Some other compiler flag.\u000a +p12951 +tp12952 +a(g181 +V +p12953 +tp12954 +a(g181 +V-* +p12955 +tp12956 +a(g181 +V +tp12957 +a(g181 +V| +tp12958 +a(g181 +V +tp12959 +a(g181 +V+* +p12960 +tp12961 +a(g336 +V) +tp12962 +a(g181 +V\u000a +p12963 +tp12964 +a(g6 +V# Unknown arguments in both finalize_command and compile_command need\u000a +p12965 +tp12966 +a(g181 +V +tp12967 +a(g6 +V# to be aesthetically quoted because they are evaled later.\u000a +p12968 +tp12969 +a(g181 +V +tp12970 +a(g69 +Varg +p12971 +tp12972 +a(g336 +V= +tp12973 +a(g256 +V` +tp12974 +a(g69 +V$echo +p12975 +tp12976 +a(g181 +V +tp12977 +a(g237 +V"X$arg" +p12978 +tp12979 +a(g181 +V +tp12980 +a(g181 +V| +tp12981 +a(g181 +V +tp12982 +a(g69 +V$Xsed +p12983 +tp12984 +a(g181 +V +tp12985 +a(g181 +V-e +p12986 +tp12987 +a(g181 +V +tp12988 +a(g237 +V"$sed_quote_subst" +p12989 +tp12990 +a(g256 +V` +tp12991 +a(g181 +V\u000a +p12992 +tp12993 +a(g107 +Vcase +p12994 +tp12995 +a(g181 +V +tp12996 +a(g69 +V$arg +p12997 +tp12998 +a(g181 +V +tp12999 +a(g181 +Vin +p13000 +tp13001 +a(g181 +V\u000a +p13002 +tp13003 +a(g181 +V* +tp13004 +a(g336 +V[ +tp13005 +a(g244 +V\u005c[ +p13006 +tp13007 +a(g244 +V\u005c~ +p13008 +tp13009 +a(g244 +V\u005c# +p13010 +tp13011 +a(g244 +V\u005c^ +p13012 +tp13013 +a(g244 +V\u005c& +p13014 +tp13015 +a(g244 +V\u005c* +p13016 +tp13017 +a(g244 +V\u005c( +p13018 +tp13019 +a(g244 +V\u005c) +p13020 +tp13021 +a(g244 +V\u005c{ +p13022 +tp13023 +a(g244 +V\u005c} +p13024 +tp13025 +a(g244 +V\u005c| +p13026 +tp13027 +a(g244 +V\u005c; +p13028 +tp13029 +a(g244 +V\u005c< +p13030 +tp13031 +a(g244 +V\u005c> +p13032 +tp13033 +a(g244 +V\u005c? +p13034 +tp13035 +a(g244 +V\u005c' +p13036 +tp13037 +a(g244 +V\u005c +p13038 +tp13039 +a(g244 +V\u005c +p13040 +tp13041 +a(g336 +V] +tp13042 +a(g181 +V*|* +p13043 +tp13044 +a(g336 +V] +tp13045 +a(g181 +V*| +p13046 +tp13047 +a(g237 +V"" +p13048 +tp13049 +a(g336 +V) +tp13050 +a(g181 +V\u000a +p13051 +tp13052 +a(g69 +Varg +p13053 +tp13054 +a(g336 +V= +tp13055 +a(g237 +V"\u005c"$arg\u005c"" +p13056 +tp13057 +a(g181 +V\u000a +p13058 +tp13059 +a(g181 +V; +tp13060 +a(g181 +V; +tp13061 +a(g181 +V\u000a +p13062 +tp13063 +a(g107 +Vesac +p13064 +tp13065 +a(g181 +V\u000a +p13066 +tp13067 +a(g181 +V; +tp13068 +a(g181 +V; +tp13069 +a(g181 +V\u000a\u000a +p13070 +tp13071 +a(g181 +V*. +p13072 +tp13073 +a(g69 +V$objext +p13074 +tp13075 +a(g336 +V) +tp13076 +a(g181 +V\u000a +p13077 +tp13078 +a(g6 +V# A standard object.\u000a +p13079 +tp13080 +a(g181 +V +tp13081 +a(g69 +Vobjs +p13082 +tp13083 +a(g336 +V= +tp13084 +a(g237 +V"$objs $arg" +p13085 +tp13086 +a(g181 +V\u000a +p13087 +tp13088 +a(g181 +V; +tp13089 +a(g181 +V; +tp13090 +a(g181 +V\u000a\u000a +p13091 +tp13092 +a(g181 +V*.lo +p13093 +tp13094 +a(g336 +V) +tp13095 +a(g181 +V\u000a +p13096 +tp13097 +a(g6 +V# A libtool-controlled object.\u000a +p13098 +tp13099 +a(g181 +V\u000a +p13100 +tp13101 +a(g6 +V# Check to see that this really is a libtool object.\u000a +p13102 +tp13103 +a(g181 +V +tp13104 +a(g107 +Vif +p13105 +tp13106 +a(g181 +V +tp13107 +a(g336 +V( +tp13108 +a(g107 +V${ +p13109 +tp13110 +a(g69 +VSED +p13111 +tp13112 +a(g107 +V} +tp13113 +a(g181 +V +tp13114 +a(g181 +V-e +p13115 +tp13116 +a(g181 +V +tp13117 +a(g264 +V'2q' +p13118 +tp13119 +a(g181 +V +tp13120 +a(g69 +V$arg +p13121 +tp13122 +a(g181 +V +tp13123 +a(g181 +V| +tp13124 +a(g181 +V +tp13125 +a(g181 +Vgrep +p13126 +tp13127 +a(g181 +V +tp13128 +a(g237 +V"^# Generated by .*$PACKAGE" +p13129 +tp13130 +a(g336 +V) +tp13131 +a(g181 +V +tp13132 +a(g181 +V>/dev/null +p13133 +tp13134 +a(g181 +V +tp13135 +a(g181 +V2>&1; +p13136 +tp13137 +a(g181 +V +tp13138 +a(g107 +Vthen\u000a +p13139 +tp13140 +a(g69 +Vpic_object +p13141 +tp13142 +a(g336 +V= +tp13143 +a(g181 +V\u000a +p13144 +tp13145 +a(g69 +Vnon_pic_object +p13146 +tp13147 +a(g336 +V= +tp13148 +a(g181 +V\u000a\u000a +p13149 +tp13150 +a(g6 +V# Read the .lo file\u000a +p13151 +tp13152 +a(g181 +V +p13153 +tp13154 +a(g6 +V# If there is no directory component, then add one.\u000a +p13155 +tp13156 +a(g181 +V +p13157 +tp13158 +a(g107 +Vcase +p13159 +tp13160 +a(g181 +V +tp13161 +a(g69 +V$arg +p13162 +tp13163 +a(g181 +V +tp13164 +a(g181 +Vin +p13165 +tp13166 +a(g181 +V\u000a +p13167 +tp13168 +a(g181 +V*/* +p13169 +tp13170 +a(g181 +V +tp13171 +a(g181 +V| +tp13172 +a(g181 +V +tp13173 +a(g181 +V* +tp13174 +a(g244 +V\u005c\u005c +p13175 +tp13176 +a(g181 +V* +tp13177 +a(g336 +V) +tp13178 +a(g181 +V +tp13179 +a(g181 +V. +tp13180 +a(g181 +V +tp13181 +a(g69 +V$arg +p13182 +tp13183 +a(g181 +V +tp13184 +a(g181 +V; +tp13185 +a(g181 +V; +tp13186 +a(g181 +V\u000a +p13187 +tp13188 +a(g181 +V* +tp13189 +a(g336 +V) +tp13190 +a(g181 +V +tp13191 +a(g181 +V. +tp13192 +a(g181 +V +tp13193 +a(g181 +V./ +p13194 +tp13195 +a(g69 +V$arg +p13196 +tp13197 +a(g181 +V +tp13198 +a(g181 +V; +tp13199 +a(g181 +V; +tp13200 +a(g181 +V\u000a +p13201 +tp13202 +a(g107 +Vesac\u000a\u000a +p13203 +tp13204 +a(g107 +Vif +p13205 +tp13206 +a(g53 +Vtest +p13207 +tp13208 +a(g181 +V +tp13209 +a(g181 +V-z +p13210 +tp13211 +a(g181 +V +tp13212 +a(g237 +V"$pic_object" +p13213 +tp13214 +a(g181 +V +tp13215 +a(g336 +V|| +p13216 +tp13217 +a(g181 +V +tp13218 +a(g244 +V\u005c\u000a +p13219 +tp13220 +a(g181 +V +p13221 +tp13222 +a(g53 +Vtest +p13223 +tp13224 +a(g181 +V +tp13225 +a(g181 +V-z +p13226 +tp13227 +a(g181 +V +tp13228 +a(g237 +V"$non_pic_object" +p13229 +tp13230 +a(g181 +V +tp13231 +a(g336 +V|| +p13232 +tp13233 +a(g181 +V\u000a +p13234 +tp13235 +a(g53 +Vtest +p13236 +tp13237 +a(g181 +V +tp13238 +a(g237 +V"$pic_object" +p13239 +tp13240 +a(g181 +V +tp13241 +a(g336 +V= +tp13242 +a(g181 +V +tp13243 +a(g181 +Vnone +p13244 +tp13245 +a(g181 +V +tp13246 +a(g336 +V&& +p13247 +tp13248 +a(g181 +V +tp13249 +a(g244 +V\u005c\u000a +p13250 +tp13251 +a(g181 +V +p13252 +tp13253 +a(g53 +Vtest +p13254 +tp13255 +a(g181 +V +tp13256 +a(g237 +V"$non_pic_object" +p13257 +tp13258 +a(g181 +V +tp13259 +a(g336 +V= +tp13260 +a(g181 +V +tp13261 +a(g181 +Vnone; +p13262 +tp13263 +a(g181 +V +tp13264 +a(g107 +Vthen +p13265 +tp13266 +a(g181 +V\u000a +p13267 +tp13268 +a(g69 +V$echo +p13269 +tp13270 +a(g181 +V +tp13271 +a(g237 +V"$modename: cannot find name of object for \u005c`$arg'" +p13272 +tp13273 +a(g181 +V +tp13274 +a(g181 +V1>&2 +p13275 +tp13276 +a(g181 +V\u000a +p13277 +tp13278 +a(g53 +Vexit +p13279 +tp13280 +a(g181 +V +tp13281 +a(g69 +V$EXIT_FAILURE +p13282 +tp13283 +a(g181 +V\u000a +p13284 +tp13285 +a(g107 +Vfi +p13286 +tp13287 +a(g181 +V\u000a\u000a +p13288 +tp13289 +a(g6 +V# Extract subdirectory from the argument.\u000a +p13290 +tp13291 +a(g181 +V +p13292 +tp13293 +a(g69 +Vxdir +p13294 +tp13295 +a(g336 +V= +tp13296 +a(g256 +V` +tp13297 +a(g69 +V$echo +p13298 +tp13299 +a(g181 +V +tp13300 +a(g237 +V"X$arg" +p13301 +tp13302 +a(g181 +V +tp13303 +a(g181 +V| +tp13304 +a(g181 +V +tp13305 +a(g69 +V$Xsed +p13306 +tp13307 +a(g181 +V +tp13308 +a(g181 +V-e +p13309 +tp13310 +a(g181 +V +tp13311 +a(g264 +V's%/[^/]*$%%' +p13312 +tp13313 +a(g256 +V` +tp13314 +a(g181 +V\u000a +p13315 +tp13316 +a(g107 +Vif +p13317 +tp13318 +a(g53 +Vtest +p13319 +tp13320 +a(g181 +V +tp13321 +a(g237 +V"X$xdir" +p13322 +tp13323 +a(g181 +V +tp13324 +a(g336 +V= +tp13325 +a(g181 +V +tp13326 +a(g237 +V"X$arg" +p13327 +tp13328 +a(g181 +V; +tp13329 +a(g181 +V +tp13330 +a(g107 +Vthen\u000a +p13331 +tp13332 +a(g69 +Vxdir +p13333 +tp13334 +a(g336 +V= +tp13335 +a(g181 +V\u000a +p13336 +tp13337 +a(g107 +Velse\u000a +p13338 +tp13339 +a(g69 +Vxdir +p13340 +tp13341 +a(g336 +V= +tp13342 +a(g237 +V"$xdir/" +p13343 +tp13344 +a(g181 +V\u000a +p13345 +tp13346 +a(g107 +Vfi\u000a\u000a +p13347 +tp13348 +a(g107 +Vif +p13349 +tp13350 +a(g53 +Vtest +p13351 +tp13352 +a(g181 +V +tp13353 +a(g237 +V"$pic_object" +p13354 +tp13355 +a(g181 +V +tp13356 +a(g181 +V! +tp13357 +a(g336 +V= +tp13358 +a(g181 +V +tp13359 +a(g181 +Vnone; +p13360 +tp13361 +a(g181 +V +tp13362 +a(g107 +Vthen +p13363 +tp13364 +a(g181 +V\u000a +p13365 +tp13366 +a(g6 +V# Prepend the subdirectory the object is found in.\u000a +p13367 +tp13368 +a(g181 +V +p13369 +tp13370 +a(g69 +Vpic_object +p13371 +tp13372 +a(g336 +V= +tp13373 +a(g237 +V"$xdir$pic_object" +p13374 +tp13375 +a(g181 +V\u000a\u000a +p13376 +tp13377 +a(g107 +Vif +p13378 +tp13379 +a(g53 +Vtest +p13380 +tp13381 +a(g181 +V +tp13382 +a(g237 +V"$prev" +p13383 +tp13384 +a(g181 +V +tp13385 +a(g336 +V= +tp13386 +a(g181 +V +tp13387 +a(g181 +Vdlfiles; +p13388 +tp13389 +a(g181 +V +tp13390 +a(g107 +Vthen\u000a +p13391 +tp13392 +a(g107 +Vif +p13393 +tp13394 +a(g53 +Vtest +p13395 +tp13396 +a(g181 +V +tp13397 +a(g237 +V"$build_libtool_libs" +p13398 +tp13399 +a(g181 +V +tp13400 +a(g336 +V= +tp13401 +a(g181 +V +tp13402 +a(g181 +Vyes +p13403 +tp13404 +a(g181 +V +tp13405 +a(g336 +V&& +p13406 +tp13407 +a(g181 +V +tp13408 +a(g53 +Vtest +p13409 +tp13410 +a(g181 +V +tp13411 +a(g237 +V"$dlopen_support" +p13412 +tp13413 +a(g181 +V +tp13414 +a(g336 +V= +tp13415 +a(g181 +V +tp13416 +a(g181 +Vyes; +p13417 +tp13418 +a(g181 +V +tp13419 +a(g107 +Vthen\u000a +p13420 +tp13421 +a(g69 +Vdlfiles +p13422 +tp13423 +a(g336 +V= +tp13424 +a(g237 +V"$dlfiles $pic_object" +p13425 +tp13426 +a(g181 +V\u000a +p13427 +tp13428 +a(g69 +Vprev +p13429 +tp13430 +a(g336 +V= +tp13431 +a(g181 +V\u000a +p13432 +tp13433 +a(g107 +Vcontinue\u000a +p13434 +tp13435 +a(g107 +Velse +p13436 +tp13437 +a(g181 +V\u000a +p13438 +tp13439 +a(g6 +V# If libtool objects are unsupported, then we need to preload.\u000a +p13440 +tp13441 +a(g181 +V +p13442 +tp13443 +a(g69 +Vprev +p13444 +tp13445 +a(g336 +V= +tp13446 +a(g181 +Vdlprefiles +p13447 +tp13448 +a(g181 +V\u000a +p13449 +tp13450 +a(g107 +Vfi\u000a +p13451 +tp13452 +a(g107 +Vfi +p13453 +tp13454 +a(g181 +V\u000a\u000a +p13455 +tp13456 +a(g6 +V# CHECK ME: I think I busted this. -Ossama\u000a +p13457 +tp13458 +a(g181 +V +p13459 +tp13460 +a(g107 +Vif +p13461 +tp13462 +a(g53 +Vtest +p13463 +tp13464 +a(g181 +V +tp13465 +a(g237 +V"$prev" +p13466 +tp13467 +a(g181 +V +tp13468 +a(g336 +V= +tp13469 +a(g181 +V +tp13470 +a(g181 +Vdlprefiles; +p13471 +tp13472 +a(g181 +V +tp13473 +a(g107 +Vthen +p13474 +tp13475 +a(g181 +V\u000a +p13476 +tp13477 +a(g6 +V# Preload the old-style object.\u000a +p13478 +tp13479 +a(g181 +V +p13480 +tp13481 +a(g69 +Vdlprefiles +p13482 +tp13483 +a(g336 +V= +tp13484 +a(g237 +V"$dlprefiles $pic_object" +p13485 +tp13486 +a(g181 +V\u000a +p13487 +tp13488 +a(g69 +Vprev +p13489 +tp13490 +a(g336 +V= +tp13491 +a(g181 +V\u000a +p13492 +tp13493 +a(g107 +Vfi +p13494 +tp13495 +a(g181 +V\u000a\u000a +p13496 +tp13497 +a(g6 +V# A PIC object.\u000a +p13498 +tp13499 +a(g181 +V +p13500 +tp13501 +a(g69 +Vlibobjs +p13502 +tp13503 +a(g336 +V= +tp13504 +a(g237 +V"$libobjs $pic_object" +p13505 +tp13506 +a(g181 +V\u000a +p13507 +tp13508 +a(g69 +Varg +p13509 +tp13510 +a(g336 +V= +tp13511 +a(g237 +V"$pic_object" +p13512 +tp13513 +a(g181 +V\u000a +p13514 +tp13515 +a(g107 +Vfi +p13516 +tp13517 +a(g181 +V\u000a\u000a +p13518 +tp13519 +a(g6 +V# Non-PIC object.\u000a +p13520 +tp13521 +a(g181 +V +p13522 +tp13523 +a(g107 +Vif +p13524 +tp13525 +a(g53 +Vtest +p13526 +tp13527 +a(g181 +V +tp13528 +a(g237 +V"$non_pic_object" +p13529 +tp13530 +a(g181 +V +tp13531 +a(g181 +V! +tp13532 +a(g336 +V= +tp13533 +a(g181 +V +tp13534 +a(g181 +Vnone; +p13535 +tp13536 +a(g181 +V +tp13537 +a(g107 +Vthen +p13538 +tp13539 +a(g181 +V\u000a +p13540 +tp13541 +a(g6 +V# Prepend the subdirectory the object is found in.\u000a +p13542 +tp13543 +a(g181 +V +p13544 +tp13545 +a(g69 +Vnon_pic_object +p13546 +tp13547 +a(g336 +V= +tp13548 +a(g237 +V"$xdir$non_pic_object" +p13549 +tp13550 +a(g181 +V\u000a\u000a +p13551 +tp13552 +a(g6 +V# A standard non-PIC object\u000a +p13553 +tp13554 +a(g181 +V +p13555 +tp13556 +a(g69 +Vnon_pic_objects +p13557 +tp13558 +a(g336 +V= +tp13559 +a(g237 +V"$non_pic_objects $non_pic_object" +p13560 +tp13561 +a(g181 +V\u000a +p13562 +tp13563 +a(g107 +Vif +p13564 +tp13565 +a(g53 +Vtest +p13566 +tp13567 +a(g181 +V +tp13568 +a(g181 +V-z +p13569 +tp13570 +a(g181 +V +tp13571 +a(g237 +V"$pic_object" +p13572 +tp13573 +a(g181 +V +tp13574 +a(g336 +V|| +p13575 +tp13576 +a(g181 +V +tp13577 +a(g53 +Vtest +p13578 +tp13579 +a(g181 +V +tp13580 +a(g237 +V"$pic_object" +p13581 +tp13582 +a(g181 +V +tp13583 +a(g336 +V= +tp13584 +a(g181 +V +tp13585 +a(g181 +Vnone +p13586 +tp13587 +a(g181 +V +tp13588 +a(g181 +V; +tp13589 +a(g181 +V +tp13590 +a(g107 +Vthen\u000a +p13591 +tp13592 +a(g69 +Varg +p13593 +tp13594 +a(g336 +V= +tp13595 +a(g237 +V"$non_pic_object" +p13596 +tp13597 +a(g181 +V\u000a +p13598 +tp13599 +a(g107 +Vfi\u000a +p13600 +tp13601 +a(g107 +Velse +p13602 +tp13603 +a(g181 +V\u000a +p13604 +tp13605 +a(g6 +V# If the PIC object exists, use it instead.\u000a +p13606 +tp13607 +a(g181 +V +p13608 +tp13609 +a(g6 +V# $xdir was prepended to $pic_object above.\u000a +p13610 +tp13611 +a(g181 +V +p13612 +tp13613 +a(g69 +Vnon_pic_object +p13614 +tp13615 +a(g336 +V= +tp13616 +a(g237 +V"$pic_object" +p13617 +tp13618 +a(g181 +V\u000a +p13619 +tp13620 +a(g69 +Vnon_pic_objects +p13621 +tp13622 +a(g336 +V= +tp13623 +a(g237 +V"$non_pic_objects $non_pic_object" +p13624 +tp13625 +a(g181 +V\u000a +p13626 +tp13627 +a(g107 +Vfi\u000a +p13628 +tp13629 +a(g107 +Velse +p13630 +tp13631 +a(g181 +V\u000a +p13632 +tp13633 +a(g6 +V# Only an error if not doing a dry-run.\u000a +p13634 +tp13635 +a(g181 +V +p13636 +tp13637 +a(g107 +Vif +p13638 +tp13639 +a(g53 +Vtest +p13640 +tp13641 +a(g181 +V +tp13642 +a(g181 +V-z +p13643 +tp13644 +a(g181 +V +tp13645 +a(g237 +V"$run" +p13646 +tp13647 +a(g181 +V; +tp13648 +a(g181 +V +tp13649 +a(g107 +Vthen +p13650 +tp13651 +a(g181 +V\u000a +p13652 +tp13653 +a(g69 +V$echo +p13654 +tp13655 +a(g181 +V +tp13656 +a(g237 +V"$modename: \u005c`$arg' is not a valid libtool object" +p13657 +tp13658 +a(g181 +V +tp13659 +a(g181 +V1>&2 +p13660 +tp13661 +a(g181 +V\u000a +p13662 +tp13663 +a(g53 +Vexit +p13664 +tp13665 +a(g181 +V +tp13666 +a(g69 +V$EXIT_FAILURE +p13667 +tp13668 +a(g181 +V\u000a +p13669 +tp13670 +a(g107 +Velse +p13671 +tp13672 +a(g181 +V\u000a +p13673 +tp13674 +a(g6 +V# Dry-run case.\u000a +p13675 +tp13676 +a(g181 +V\u000a +p13677 +tp13678 +a(g6 +V# Extract subdirectory from the argument.\u000a +p13679 +tp13680 +a(g181 +V +p13681 +tp13682 +a(g69 +Vxdir +p13683 +tp13684 +a(g336 +V= +tp13685 +a(g256 +V` +tp13686 +a(g69 +V$echo +p13687 +tp13688 +a(g181 +V +tp13689 +a(g237 +V"X$arg" +p13690 +tp13691 +a(g181 +V +tp13692 +a(g181 +V| +tp13693 +a(g181 +V +tp13694 +a(g69 +V$Xsed +p13695 +tp13696 +a(g181 +V +tp13697 +a(g181 +V-e +p13698 +tp13699 +a(g181 +V +tp13700 +a(g264 +V's%/[^/]*$%%' +p13701 +tp13702 +a(g256 +V` +tp13703 +a(g181 +V\u000a +p13704 +tp13705 +a(g107 +Vif +p13706 +tp13707 +a(g53 +Vtest +p13708 +tp13709 +a(g181 +V +tp13710 +a(g237 +V"X$xdir" +p13711 +tp13712 +a(g181 +V +tp13713 +a(g336 +V= +tp13714 +a(g181 +V +tp13715 +a(g237 +V"X$arg" +p13716 +tp13717 +a(g181 +V; +tp13718 +a(g181 +V +tp13719 +a(g107 +Vthen\u000a +p13720 +tp13721 +a(g69 +Vxdir +p13722 +tp13723 +a(g336 +V= +tp13724 +a(g181 +V\u000a +p13725 +tp13726 +a(g107 +Velse\u000a +p13727 +tp13728 +a(g69 +Vxdir +p13729 +tp13730 +a(g336 +V= +tp13731 +a(g237 +V"$xdir/" +p13732 +tp13733 +a(g181 +V\u000a +p13734 +tp13735 +a(g107 +Vfi\u000a\u000a +p13736 +tp13737 +a(g69 +Vpic_object +p13738 +tp13739 +a(g336 +V= +tp13740 +a(g256 +V` +tp13741 +a(g69 +V$echo +p13742 +tp13743 +a(g181 +V +tp13744 +a(g237 +V"X${xdir}${objdir}/${arg}" +p13745 +tp13746 +a(g181 +V +tp13747 +a(g181 +V| +tp13748 +a(g181 +V +tp13749 +a(g69 +V$Xsed +p13750 +tp13751 +a(g181 +V +tp13752 +a(g181 +V-e +p13753 +tp13754 +a(g181 +V +tp13755 +a(g237 +V"$lo2o" +p13756 +tp13757 +a(g256 +V` +tp13758 +a(g181 +V\u000a +p13759 +tp13760 +a(g69 +Vnon_pic_object +p13761 +tp13762 +a(g336 +V= +tp13763 +a(g256 +V` +tp13764 +a(g69 +V$echo +p13765 +tp13766 +a(g181 +V +tp13767 +a(g237 +V"X${xdir}${arg}" +p13768 +tp13769 +a(g181 +V +tp13770 +a(g181 +V| +tp13771 +a(g181 +V +tp13772 +a(g69 +V$Xsed +p13773 +tp13774 +a(g181 +V +tp13775 +a(g181 +V-e +p13776 +tp13777 +a(g181 +V +tp13778 +a(g237 +V"$lo2o" +p13779 +tp13780 +a(g256 +V` +tp13781 +a(g181 +V\u000a +p13782 +tp13783 +a(g69 +Vlibobjs +p13784 +tp13785 +a(g336 +V= +tp13786 +a(g237 +V"$libobjs $pic_object" +p13787 +tp13788 +a(g181 +V\u000a +p13789 +tp13790 +a(g69 +Vnon_pic_objects +p13791 +tp13792 +a(g336 +V= +tp13793 +a(g237 +V"$non_pic_objects $non_pic_object" +p13794 +tp13795 +a(g181 +V\u000a +p13796 +tp13797 +a(g107 +Vfi\u000a +p13798 +tp13799 +a(g107 +Vfi +p13800 +tp13801 +a(g181 +V\u000a +p13802 +tp13803 +a(g181 +V; +tp13804 +a(g181 +V; +tp13805 +a(g181 +V\u000a\u000a +p13806 +tp13807 +a(g181 +V*. +p13808 +tp13809 +a(g69 +V$libext +p13810 +tp13811 +a(g336 +V) +tp13812 +a(g181 +V\u000a +p13813 +tp13814 +a(g6 +V# An archive.\u000a +p13815 +tp13816 +a(g181 +V +tp13817 +a(g69 +Vdeplibs +p13818 +tp13819 +a(g336 +V= +tp13820 +a(g237 +V"$deplibs $arg" +p13821 +tp13822 +a(g181 +V\u000a +p13823 +tp13824 +a(g69 +Vold_deplibs +p13825 +tp13826 +a(g336 +V= +tp13827 +a(g237 +V"$old_deplibs $arg" +p13828 +tp13829 +a(g181 +V\u000a +p13830 +tp13831 +a(g107 +Vcontinue +p13832 +tp13833 +a(g181 +V\u000a +p13834 +tp13835 +a(g181 +V; +tp13836 +a(g181 +V; +tp13837 +a(g181 +V\u000a\u000a +p13838 +tp13839 +a(g181 +V*.la +p13840 +tp13841 +a(g336 +V) +tp13842 +a(g181 +V\u000a +p13843 +tp13844 +a(g6 +V# A libtool-controlled library.\u000a +p13845 +tp13846 +a(g181 +V\u000a +p13847 +tp13848 +a(g107 +Vif +p13849 +tp13850 +a(g53 +Vtest +p13851 +tp13852 +a(g181 +V +tp13853 +a(g237 +V"$prev" +p13854 +tp13855 +a(g181 +V +tp13856 +a(g336 +V= +tp13857 +a(g181 +V +tp13858 +a(g181 +Vdlfiles; +p13859 +tp13860 +a(g181 +V +tp13861 +a(g107 +Vthen +p13862 +tp13863 +a(g181 +V\u000a +p13864 +tp13865 +a(g6 +V# This library was specified with -dlopen.\u000a +p13866 +tp13867 +a(g181 +V +p13868 +tp13869 +a(g69 +Vdlfiles +p13870 +tp13871 +a(g336 +V= +tp13872 +a(g237 +V"$dlfiles $arg" +p13873 +tp13874 +a(g181 +V\u000a +p13875 +tp13876 +a(g69 +Vprev +p13877 +tp13878 +a(g336 +V= +tp13879 +a(g181 +V\u000a +p13880 +tp13881 +a(g107 +Velif +p13882 +tp13883 +a(g53 +Vtest +p13884 +tp13885 +a(g181 +V +tp13886 +a(g237 +V"$prev" +p13887 +tp13888 +a(g181 +V +tp13889 +a(g336 +V= +tp13890 +a(g181 +V +tp13891 +a(g181 +Vdlprefiles; +p13892 +tp13893 +a(g181 +V +tp13894 +a(g107 +Vthen +p13895 +tp13896 +a(g181 +V\u000a +p13897 +tp13898 +a(g6 +V# The library was specified with -dlpreopen.\u000a +p13899 +tp13900 +a(g181 +V +p13901 +tp13902 +a(g69 +Vdlprefiles +p13903 +tp13904 +a(g336 +V= +tp13905 +a(g237 +V"$dlprefiles $arg" +p13906 +tp13907 +a(g181 +V\u000a +p13908 +tp13909 +a(g69 +Vprev +p13910 +tp13911 +a(g336 +V= +tp13912 +a(g181 +V\u000a +p13913 +tp13914 +a(g107 +Velse\u000a +p13915 +tp13916 +a(g69 +Vdeplibs +p13917 +tp13918 +a(g336 +V= +tp13919 +a(g237 +V"$deplibs $arg" +p13920 +tp13921 +a(g181 +V\u000a +p13922 +tp13923 +a(g107 +Vfi\u000a +p13924 +tp13925 +a(g107 +Vcontinue +p13926 +tp13927 +a(g181 +V\u000a +p13928 +tp13929 +a(g181 +V; +tp13930 +a(g181 +V; +tp13931 +a(g181 +V\u000a\u000a +p13932 +tp13933 +a(g6 +V# Some other compiler argument.\u000a +p13934 +tp13935 +a(g181 +V +p13936 +tp13937 +a(g181 +V* +tp13938 +a(g336 +V) +tp13939 +a(g181 +V\u000a +p13940 +tp13941 +a(g6 +V# Unknown arguments in both finalize_command and compile_command need\u000a +p13942 +tp13943 +a(g181 +V +tp13944 +a(g6 +V# to be aesthetically quoted because they are evaled later.\u000a +p13945 +tp13946 +a(g181 +V +tp13947 +a(g69 +Varg +p13948 +tp13949 +a(g336 +V= +tp13950 +a(g256 +V` +tp13951 +a(g69 +V$echo +p13952 +tp13953 +a(g181 +V +tp13954 +a(g237 +V"X$arg" +p13955 +tp13956 +a(g181 +V +tp13957 +a(g181 +V| +tp13958 +a(g181 +V +tp13959 +a(g69 +V$Xsed +p13960 +tp13961 +a(g181 +V +tp13962 +a(g181 +V-e +p13963 +tp13964 +a(g181 +V +tp13965 +a(g237 +V"$sed_quote_subst" +p13966 +tp13967 +a(g256 +V` +tp13968 +a(g181 +V\u000a +p13969 +tp13970 +a(g107 +Vcase +p13971 +tp13972 +a(g181 +V +tp13973 +a(g69 +V$arg +p13974 +tp13975 +a(g181 +V +tp13976 +a(g181 +Vin +p13977 +tp13978 +a(g181 +V\u000a +p13979 +tp13980 +a(g181 +V* +tp13981 +a(g336 +V[ +tp13982 +a(g244 +V\u005c[ +p13983 +tp13984 +a(g244 +V\u005c~ +p13985 +tp13986 +a(g244 +V\u005c# +p13987 +tp13988 +a(g244 +V\u005c^ +p13989 +tp13990 +a(g244 +V\u005c& +p13991 +tp13992 +a(g244 +V\u005c* +p13993 +tp13994 +a(g244 +V\u005c( +p13995 +tp13996 +a(g244 +V\u005c) +p13997 +tp13998 +a(g244 +V\u005c{ +p13999 +tp14000 +a(g244 +V\u005c} +p14001 +tp14002 +a(g244 +V\u005c| +p14003 +tp14004 +a(g244 +V\u005c; +p14005 +tp14006 +a(g244 +V\u005c< +p14007 +tp14008 +a(g244 +V\u005c> +p14009 +tp14010 +a(g244 +V\u005c? +p14011 +tp14012 +a(g244 +V\u005c' +p14013 +tp14014 +a(g244 +V\u005c +p14015 +tp14016 +a(g244 +V\u005c +p14017 +tp14018 +a(g336 +V] +tp14019 +a(g181 +V*|* +p14020 +tp14021 +a(g336 +V] +tp14022 +a(g181 +V*| +p14023 +tp14024 +a(g237 +V"" +p14025 +tp14026 +a(g336 +V) +tp14027 +a(g181 +V\u000a +p14028 +tp14029 +a(g69 +Varg +p14030 +tp14031 +a(g336 +V= +tp14032 +a(g237 +V"\u005c"$arg\u005c"" +p14033 +tp14034 +a(g181 +V\u000a +p14035 +tp14036 +a(g181 +V; +tp14037 +a(g181 +V; +tp14038 +a(g181 +V\u000a +p14039 +tp14040 +a(g107 +Vesac +p14041 +tp14042 +a(g181 +V\u000a +p14043 +tp14044 +a(g181 +V; +tp14045 +a(g181 +V; +tp14046 +a(g181 +V\u000a +p14047 +tp14048 +a(g107 +Vesac +p14049 +tp14050 +a(g181 +V +tp14051 +a(g6 +V# arg\u000a +p14052 +tp14053 +a(g181 +V\u000a +p14054 +tp14055 +a(g6 +V# Now actually substitute the argument into the commands.\u000a +p14056 +tp14057 +a(g181 +V +p14058 +tp14059 +a(g107 +Vif +p14060 +tp14061 +a(g53 +Vtest +p14062 +tp14063 +a(g181 +V +tp14064 +a(g181 +V-n +p14065 +tp14066 +a(g181 +V +tp14067 +a(g237 +V"$arg" +p14068 +tp14069 +a(g181 +V; +tp14070 +a(g181 +V +tp14071 +a(g107 +Vthen\u000a +p14072 +tp14073 +a(g69 +Vcompile_command +p14074 +tp14075 +a(g336 +V= +tp14076 +a(g237 +V"$compile_command $arg" +p14077 +tp14078 +a(g181 +V\u000a +p14079 +tp14080 +a(g69 +Vfinalize_command +p14081 +tp14082 +a(g336 +V= +tp14083 +a(g237 +V"$finalize_command $arg" +p14084 +tp14085 +a(g181 +V\u000a +p14086 +tp14087 +a(g107 +Vfi\u000a +p14088 +tp14089 +a(g107 +Vdone +p14090 +tp14091 +a(g181 +V +tp14092 +a(g6 +V# argument parsing loop\u000a +p14093 +tp14094 +a(g181 +V\u000a +p14095 +tp14096 +a(g107 +Vif +p14097 +tp14098 +a(g53 +Vtest +p14099 +tp14100 +a(g181 +V +tp14101 +a(g181 +V-n +p14102 +tp14103 +a(g181 +V +tp14104 +a(g237 +V"$prev" +p14105 +tp14106 +a(g181 +V; +tp14107 +a(g181 +V +tp14108 +a(g107 +Vthen +p14109 +tp14110 +a(g181 +V\u000a +p14111 +tp14112 +a(g69 +V$echo +p14113 +tp14114 +a(g181 +V +tp14115 +a(g237 +V"$modename: the \u005c`$prevarg' option requires an argument" +p14116 +tp14117 +a(g181 +V +tp14118 +a(g181 +V1>&2 +p14119 +tp14120 +a(g181 +V\u000a +p14121 +tp14122 +a(g69 +V$echo +p14123 +tp14124 +a(g181 +V +tp14125 +a(g237 +V"$help" +p14126 +tp14127 +a(g181 +V +tp14128 +a(g181 +V1>&2 +p14129 +tp14130 +a(g181 +V\u000a +p14131 +tp14132 +a(g53 +Vexit +p14133 +tp14134 +a(g181 +V +tp14135 +a(g69 +V$EXIT_FAILURE +p14136 +tp14137 +a(g181 +V\u000a +p14138 +tp14139 +a(g107 +Vfi\u000a\u000a +p14140 +tp14141 +a(g107 +Vif +p14142 +tp14143 +a(g53 +Vtest +p14144 +tp14145 +a(g181 +V +tp14146 +a(g237 +V"$export_dynamic" +p14147 +tp14148 +a(g181 +V +tp14149 +a(g336 +V= +tp14150 +a(g181 +V +tp14151 +a(g181 +Vyes +p14152 +tp14153 +a(g181 +V +tp14154 +a(g336 +V&& +p14155 +tp14156 +a(g181 +V +tp14157 +a(g53 +Vtest +p14158 +tp14159 +a(g181 +V +tp14160 +a(g181 +V-n +p14161 +tp14162 +a(g181 +V +tp14163 +a(g237 +V"$export_dynamic_flag_spec" +p14164 +tp14165 +a(g181 +V; +tp14166 +a(g181 +V +tp14167 +a(g107 +Vthen\u000a +p14168 +tp14169 +a(g53 +Veval +p14170 +tp14171 +a(g69 +Varg +p14172 +tp14173 +a(g336 +V= +tp14174 +a(g244 +V\u005c" +p14175 +tp14176 +a(g69 +V$export_dynamic_flag_spec +p14177 +tp14178 +a(g244 +V\u005c" +p14179 +tp14180 +a(g181 +V\u000a +p14181 +tp14182 +a(g69 +Vcompile_command +p14183 +tp14184 +a(g336 +V= +tp14185 +a(g237 +V"$compile_command $arg" +p14186 +tp14187 +a(g181 +V\u000a +p14188 +tp14189 +a(g69 +Vfinalize_command +p14190 +tp14191 +a(g336 +V= +tp14192 +a(g237 +V"$finalize_command $arg" +p14193 +tp14194 +a(g181 +V\u000a +p14195 +tp14196 +a(g107 +Vfi\u000a\u000a +p14197 +tp14198 +a(g69 +Voldlibs +p14199 +tp14200 +a(g336 +V= +tp14201 +a(g181 +V\u000a +p14202 +tp14203 +a(g6 +V# calculate the name of the file, without its directory\u000a +p14204 +tp14205 +a(g181 +V +p14206 +tp14207 +a(g69 +Voutputname +p14208 +tp14209 +a(g336 +V= +tp14210 +a(g256 +V` +tp14211 +a(g69 +V$echo +p14212 +tp14213 +a(g181 +V +tp14214 +a(g237 +V"X$output" +p14215 +tp14216 +a(g181 +V +tp14217 +a(g181 +V| +tp14218 +a(g181 +V +tp14219 +a(g69 +V$Xsed +p14220 +tp14221 +a(g181 +V +tp14222 +a(g181 +V-e +p14223 +tp14224 +a(g181 +V +tp14225 +a(g264 +V's%^.*/%%' +p14226 +tp14227 +a(g256 +V` +tp14228 +a(g181 +V\u000a +p14229 +tp14230 +a(g69 +Vlibobjs_save +p14231 +tp14232 +a(g336 +V= +tp14233 +a(g237 +V"$libobjs" +p14234 +tp14235 +a(g181 +V\u000a\u000a +p14236 +tp14237 +a(g107 +Vif +p14238 +tp14239 +a(g53 +Vtest +p14240 +tp14241 +a(g181 +V +tp14242 +a(g181 +V-n +p14243 +tp14244 +a(g181 +V +tp14245 +a(g237 +V"$shlibpath_var" +p14246 +tp14247 +a(g181 +V; +tp14248 +a(g181 +V +tp14249 +a(g107 +Vthen +p14250 +tp14251 +a(g181 +V\u000a +p14252 +tp14253 +a(g6 +V# get the directories listed in $shlibpath_var\u000a +p14254 +tp14255 +a(g181 +V +p14256 +tp14257 +a(g53 +Veval +p14258 +tp14259 +a(g69 +Vshlib_search_path +p14260 +tp14261 +a(g336 +V= +tp14262 +a(g244 +V\u005c` +p14263 +tp14264 +a(g244 +V\u005c$ +p14265 +tp14266 +a(g53 +Vecho +p14267 +tp14268 +a(g181 +V +tp14269 +a(g244 +V\u005c" +p14270 +tp14271 +a(g181 +VX +tp14272 +a(g244 +V\u005c$ +p14273 +tp14274 +a(g336 +V{ +tp14275 +a(g69 +V$shlibpath_var +p14276 +tp14277 +a(g336 +V} +tp14278 +a(g244 +V\u005c" +p14279 +tp14280 +a(g181 +V +tp14281 +a(g244 +V\u005c| +p14282 +tp14283 +a(g181 +V +tp14284 +a(g244 +V\u005c$ +p14285 +tp14286 +a(g181 +VXsed +p14287 +tp14288 +a(g181 +V +tp14289 +a(g181 +V-e +p14290 +tp14291 +a(g181 +V +tp14292 +a(g244 +V\u005c' +p14293 +tp14294 +a(g181 +Vs/:/ +p14295 +tp14296 +a(g181 +V +tp14297 +a(g181 +V/g +p14298 +tp14299 +a(g244 +V\u005c' +p14300 +tp14301 +a(g244 +V\u005c` +p14302 +tp14303 +a(g181 +V\u000a +p14304 +tp14305 +a(g107 +Velse\u000a +p14306 +tp14307 +a(g69 +Vshlib_search_path +p14308 +tp14309 +a(g336 +V= +tp14310 +a(g181 +V\u000a +p14311 +tp14312 +a(g107 +Vfi\u000a +p14313 +tp14314 +a(g53 +Veval +p14315 +tp14316 +a(g69 +Vsys_lib_search_path +p14317 +tp14318 +a(g336 +V= +tp14319 +a(g244 +V\u005c" +p14320 +tp14321 +a(g69 +V$sys_lib_search_path_spec +p14322 +tp14323 +a(g244 +V\u005c" +p14324 +tp14325 +a(g181 +V\u000a +p14326 +tp14327 +a(g53 +Veval +p14328 +tp14329 +a(g69 +Vsys_lib_dlsearch_path +p14330 +tp14331 +a(g336 +V= +tp14332 +a(g244 +V\u005c" +p14333 +tp14334 +a(g69 +V$sys_lib_dlsearch_path_spec +p14335 +tp14336 +a(g244 +V\u005c" +p14337 +tp14338 +a(g181 +V\u000a\u000a +p14339 +tp14340 +a(g69 +Voutput_objdir +p14341 +tp14342 +a(g336 +V= +tp14343 +a(g256 +V` +tp14344 +a(g69 +V$echo +p14345 +tp14346 +a(g181 +V +tp14347 +a(g237 +V"X$output" +p14348 +tp14349 +a(g181 +V +tp14350 +a(g181 +V| +tp14351 +a(g181 +V +tp14352 +a(g69 +V$Xsed +p14353 +tp14354 +a(g181 +V +tp14355 +a(g181 +V-e +p14356 +tp14357 +a(g181 +V +tp14358 +a(g264 +V's%/[^/]*$%%' +p14359 +tp14360 +a(g256 +V` +tp14361 +a(g181 +V\u000a +p14362 +tp14363 +a(g107 +Vif +p14364 +tp14365 +a(g53 +Vtest +p14366 +tp14367 +a(g181 +V +tp14368 +a(g237 +V"X$output_objdir" +p14369 +tp14370 +a(g181 +V +tp14371 +a(g336 +V= +tp14372 +a(g181 +V +tp14373 +a(g237 +V"X$output" +p14374 +tp14375 +a(g181 +V; +tp14376 +a(g181 +V +tp14377 +a(g107 +Vthen\u000a +p14378 +tp14379 +a(g69 +Voutput_objdir +p14380 +tp14381 +a(g336 +V= +tp14382 +a(g237 +V"$objdir" +p14383 +tp14384 +a(g181 +V\u000a +p14385 +tp14386 +a(g107 +Velse\u000a +p14387 +tp14388 +a(g69 +Voutput_objdir +p14389 +tp14390 +a(g336 +V= +tp14391 +a(g237 +V"$output_objdir/$objdir" +p14392 +tp14393 +a(g181 +V\u000a +p14394 +tp14395 +a(g107 +Vfi +p14396 +tp14397 +a(g181 +V\u000a +p14398 +tp14399 +a(g6 +V# Create the object directory.\u000a +p14400 +tp14401 +a(g181 +V +p14402 +tp14403 +a(g107 +Vif +p14404 +tp14405 +a(g53 +Vtest +p14406 +tp14407 +a(g181 +V +tp14408 +a(g181 +V! +tp14409 +a(g181 +V +tp14410 +a(g181 +V-d +p14411 +tp14412 +a(g181 +V +tp14413 +a(g237 +V"$output_objdir" +p14414 +tp14415 +a(g181 +V; +tp14416 +a(g181 +V +tp14417 +a(g107 +Vthen +p14418 +tp14419 +a(g181 +V\u000a +p14420 +tp14421 +a(g69 +V$show +p14422 +tp14423 +a(g181 +V +tp14424 +a(g237 +V"$mkdir $output_objdir" +p14425 +tp14426 +a(g181 +V\u000a +p14427 +tp14428 +a(g69 +V$run +p14429 +tp14430 +a(g181 +V +tp14431 +a(g69 +V$mkdir +p14432 +tp14433 +a(g181 +V +tp14434 +a(g69 +V$output_objdir +p14435 +tp14436 +a(g181 +V\u000a +p14437 +tp14438 +a(g69 +Vexit_status +p14439 +tp14440 +a(g336 +V= +tp14441 +a(g69 +V$? +p14442 +tp14443 +a(g181 +V\u000a +p14444 +tp14445 +a(g107 +Vif +p14446 +tp14447 +a(g53 +Vtest +p14448 +tp14449 +a(g181 +V +tp14450 +a(g237 +V"$exit_status" +p14451 +tp14452 +a(g181 +V +tp14453 +a(g181 +V-ne +p14454 +tp14455 +a(g181 +V +tp14456 +a(g181 +V0 +tp14457 +a(g181 +V +tp14458 +a(g336 +V&& +p14459 +tp14460 +a(g181 +V +tp14461 +a(g53 +Vtest +p14462 +tp14463 +a(g181 +V +tp14464 +a(g181 +V! +tp14465 +a(g181 +V +tp14466 +a(g181 +V-d +p14467 +tp14468 +a(g181 +V +tp14469 +a(g237 +V"$output_objdir" +p14470 +tp14471 +a(g181 +V; +tp14472 +a(g181 +V +tp14473 +a(g107 +Vthen\u000a +p14474 +tp14475 +a(g53 +Vexit +p14476 +tp14477 +a(g181 +V +tp14478 +a(g69 +V$exit_status +p14479 +tp14480 +a(g181 +V\u000a +p14481 +tp14482 +a(g107 +Vfi\u000a +p14483 +tp14484 +a(g107 +Vfi +p14485 +tp14486 +a(g181 +V\u000a\u000a +p14487 +tp14488 +a(g6 +V# Determine the type of output\u000a +p14489 +tp14490 +a(g181 +V +p14491 +tp14492 +a(g107 +Vcase +p14493 +tp14494 +a(g181 +V +tp14495 +a(g69 +V$output +p14496 +tp14497 +a(g181 +V +tp14498 +a(g181 +Vin +p14499 +tp14500 +a(g181 +V\u000a +p14501 +tp14502 +a(g237 +V"" +p14503 +tp14504 +a(g336 +V) +tp14505 +a(g181 +V\u000a +p14506 +tp14507 +a(g69 +V$echo +p14508 +tp14509 +a(g181 +V +tp14510 +a(g237 +V"$modename: you must specify an output file" +p14511 +tp14512 +a(g181 +V +tp14513 +a(g181 +V1>&2 +p14514 +tp14515 +a(g181 +V\u000a +p14516 +tp14517 +a(g69 +V$echo +p14518 +tp14519 +a(g181 +V +tp14520 +a(g237 +V"$help" +p14521 +tp14522 +a(g181 +V +tp14523 +a(g181 +V1>&2 +p14524 +tp14525 +a(g181 +V\u000a +p14526 +tp14527 +a(g53 +Vexit +p14528 +tp14529 +a(g181 +V +tp14530 +a(g69 +V$EXIT_FAILURE +p14531 +tp14532 +a(g181 +V\u000a +p14533 +tp14534 +a(g181 +V; +tp14535 +a(g181 +V; +tp14536 +a(g181 +V\u000a +p14537 +tp14538 +a(g181 +V*. +p14539 +tp14540 +a(g69 +V$libext +p14541 +tp14542 +a(g336 +V) +tp14543 +a(g181 +V +tp14544 +a(g69 +Vlinkmode +p14545 +tp14546 +a(g336 +V= +tp14547 +a(g181 +Voldlib +p14548 +tp14549 +a(g181 +V +tp14550 +a(g181 +V; +tp14551 +a(g181 +V; +tp14552 +a(g181 +V\u000a +p14553 +tp14554 +a(g181 +V*.lo +p14555 +tp14556 +a(g181 +V +tp14557 +a(g181 +V| +tp14558 +a(g181 +V +tp14559 +a(g181 +V*. +p14560 +tp14561 +a(g69 +V$objext +p14562 +tp14563 +a(g336 +V) +tp14564 +a(g181 +V +tp14565 +a(g69 +Vlinkmode +p14566 +tp14567 +a(g336 +V= +tp14568 +a(g181 +Vobj +p14569 +tp14570 +a(g181 +V +tp14571 +a(g181 +V; +tp14572 +a(g181 +V; +tp14573 +a(g181 +V\u000a +p14574 +tp14575 +a(g181 +V*.la +p14576 +tp14577 +a(g336 +V) +tp14578 +a(g181 +V +tp14579 +a(g69 +Vlinkmode +p14580 +tp14581 +a(g336 +V= +tp14582 +a(g181 +Vlib +p14583 +tp14584 +a(g181 +V +tp14585 +a(g181 +V; +tp14586 +a(g181 +V; +tp14587 +a(g181 +V\u000a +p14588 +tp14589 +a(g181 +V* +tp14590 +a(g336 +V) +tp14591 +a(g181 +V +tp14592 +a(g69 +Vlinkmode +p14593 +tp14594 +a(g336 +V= +tp14595 +a(g181 +Vprog +p14596 +tp14597 +a(g181 +V +tp14598 +a(g181 +V; +tp14599 +a(g181 +V; +tp14600 +a(g181 +V +tp14601 +a(g6 +V# Anything else should be a program.\u000a +p14602 +tp14603 +a(g181 +V +p14604 +tp14605 +a(g107 +Vesac\u000a\u000a +p14606 +tp14607 +a(g107 +Vcase +p14608 +tp14609 +a(g181 +V +tp14610 +a(g69 +V$host +p14611 +tp14612 +a(g181 +V +tp14613 +a(g181 +Vin +p14614 +tp14615 +a(g181 +V\u000a +p14616 +tp14617 +a(g181 +V*cygwin* +p14618 +tp14619 +a(g181 +V +tp14620 +a(g181 +V| +tp14621 +a(g181 +V +tp14622 +a(g181 +V*mingw* +p14623 +tp14624 +a(g181 +V +tp14625 +a(g181 +V| +tp14626 +a(g181 +V +tp14627 +a(g181 +V*pw32* +p14628 +tp14629 +a(g336 +V) +tp14630 +a(g181 +V\u000a +p14631 +tp14632 +a(g6 +V# don't eliminate duplications in $postdeps and $predeps\u000a +p14633 +tp14634 +a(g181 +V +p14635 +tp14636 +a(g69 +Vduplicate_compiler_generated_deps +p14637 +tp14638 +a(g336 +V= +tp14639 +a(g181 +Vyes +p14640 +tp14641 +a(g181 +V\u000a +p14642 +tp14643 +a(g181 +V; +tp14644 +a(g181 +V; +tp14645 +a(g181 +V\u000a +p14646 +tp14647 +a(g181 +V* +tp14648 +a(g336 +V) +tp14649 +a(g181 +V\u000a +p14650 +tp14651 +a(g69 +Vduplicate_compiler_generated_deps +p14652 +tp14653 +a(g336 +V= +tp14654 +a(g69 +V$duplicate_deps +p14655 +tp14656 +a(g181 +V\u000a +p14657 +tp14658 +a(g181 +V; +tp14659 +a(g181 +V; +tp14660 +a(g181 +V\u000a +p14661 +tp14662 +a(g107 +Vesac\u000a +p14663 +tp14664 +a(g69 +Vspecialdeplibs +p14665 +tp14666 +a(g336 +V= +tp14667 +a(g181 +V\u000a\u000a +p14668 +tp14669 +a(g69 +Vlibs +p14670 +tp14671 +a(g336 +V= +tp14672 +a(g181 +V\u000a +p14673 +tp14674 +a(g6 +V# Find all interdependent deplibs by searching for libraries\u000a +p14675 +tp14676 +a(g181 +V +p14677 +tp14678 +a(g6 +V# that are linked more than once (e.g. -la -lb -la)\u000a +p14679 +tp14680 +a(g181 +V +p14681 +tp14682 +a(g107 +Vfor +p14683 +tp14684 +a(g181 +Vdeplib +p14685 +tp14686 +a(g181 +V +tp14687 +a(g181 +Vin +p14688 +tp14689 +a(g181 +V +tp14690 +a(g69 +V$deplibs +p14691 +tp14692 +a(g181 +V; +tp14693 +a(g181 +V +tp14694 +a(g107 +Vdo\u000a +p14695 +tp14696 +a(g107 +Vif +p14697 +tp14698 +a(g53 +Vtest +p14699 +tp14700 +a(g181 +V +tp14701 +a(g237 +V"X$duplicate_deps" +p14702 +tp14703 +a(g181 +V +tp14704 +a(g336 +V= +tp14705 +a(g181 +V +tp14706 +a(g237 +V"Xyes" +p14707 +tp14708 +a(g181 +V +tp14709 +a(g181 +V; +tp14710 +a(g181 +V +tp14711 +a(g107 +Vthen\u000a +p14712 +tp14713 +a(g107 +Vcase +p14714 +tp14715 +a(g181 +V +tp14716 +a(g237 +V"$libs " +p14717 +tp14718 +a(g181 +V +tp14719 +a(g181 +Vin +p14720 +tp14721 +a(g181 +V\u000a +p14722 +tp14723 +a(g181 +V* +tp14724 +a(g237 +V" $deplib " +p14725 +tp14726 +a(g181 +V* +tp14727 +a(g336 +V) +tp14728 +a(g181 +V +tp14729 +a(g69 +Vspecialdeplibs +p14730 +tp14731 +a(g336 +V= +tp14732 +a(g237 +V"$specialdeplibs $deplib" +p14733 +tp14734 +a(g181 +V +tp14735 +a(g181 +V; +tp14736 +a(g181 +V; +tp14737 +a(g181 +V\u000a +p14738 +tp14739 +a(g107 +Vesac\u000a +p14740 +tp14741 +a(g107 +Vfi\u000a +p14742 +tp14743 +a(g69 +Vlibs +p14744 +tp14745 +a(g336 +V= +tp14746 +a(g237 +V"$libs $deplib" +p14747 +tp14748 +a(g181 +V\u000a +p14749 +tp14750 +a(g107 +Vdone\u000a\u000a +p14751 +tp14752 +a(g107 +Vif +p14753 +tp14754 +a(g53 +Vtest +p14755 +tp14756 +a(g181 +V +tp14757 +a(g237 +V"$linkmode" +p14758 +tp14759 +a(g181 +V +tp14760 +a(g336 +V= +tp14761 +a(g181 +V +tp14762 +a(g181 +Vlib; +p14763 +tp14764 +a(g181 +V +tp14765 +a(g107 +Vthen\u000a +p14766 +tp14767 +a(g69 +Vlibs +p14768 +tp14769 +a(g336 +V= +tp14770 +a(g237 +V"$predeps $libs $compiler_lib_search_path $postdeps" +p14771 +tp14772 +a(g181 +V\u000a\u000a +p14773 +tp14774 +a(g6 +V# Compute libraries that are listed more than once in $predeps\u000a +p14775 +tp14776 +a(g181 +V +p14777 +tp14778 +a(g6 +V# $postdeps and mark them as special (i.e., whose duplicates are\u000a +p14779 +tp14780 +a(g181 +V +p14781 +tp14782 +a(g6 +V# not to be eliminated).\u000a +p14783 +tp14784 +a(g181 +V +p14785 +tp14786 +a(g69 +Vpre_post_deps +p14787 +tp14788 +a(g336 +V= +tp14789 +a(g181 +V\u000a +p14790 +tp14791 +a(g107 +Vif +p14792 +tp14793 +a(g53 +Vtest +p14794 +tp14795 +a(g181 +V +tp14796 +a(g237 +V"X$duplicate_compiler_generated_deps" +p14797 +tp14798 +a(g181 +V +tp14799 +a(g336 +V= +tp14800 +a(g181 +V +tp14801 +a(g237 +V"Xyes" +p14802 +tp14803 +a(g181 +V +tp14804 +a(g181 +V; +tp14805 +a(g181 +V +tp14806 +a(g107 +Vthen\u000a +p14807 +tp14808 +a(g107 +Vfor +p14809 +tp14810 +a(g181 +Vpre_post_dep +p14811 +tp14812 +a(g181 +V +tp14813 +a(g181 +Vin +p14814 +tp14815 +a(g181 +V +tp14816 +a(g69 +V$predeps +p14817 +tp14818 +a(g181 +V +tp14819 +a(g69 +V$postdeps +p14820 +tp14821 +a(g181 +V; +tp14822 +a(g181 +V +tp14823 +a(g107 +Vdo\u000a +p14824 +tp14825 +a(g107 +Vcase +p14826 +tp14827 +a(g181 +V +tp14828 +a(g237 +V"$pre_post_deps " +p14829 +tp14830 +a(g181 +V +tp14831 +a(g181 +Vin +p14832 +tp14833 +a(g181 +V\u000a +p14834 +tp14835 +a(g181 +V* +tp14836 +a(g237 +V" $pre_post_dep " +p14837 +tp14838 +a(g181 +V* +tp14839 +a(g336 +V) +tp14840 +a(g181 +V +tp14841 +a(g69 +Vspecialdeplibs +p14842 +tp14843 +a(g336 +V= +tp14844 +a(g237 +V"$specialdeplibs $pre_post_deps" +p14845 +tp14846 +a(g181 +V +tp14847 +a(g181 +V; +tp14848 +a(g181 +V; +tp14849 +a(g181 +V\u000a +p14850 +tp14851 +a(g107 +Vesac\u000a +p14852 +tp14853 +a(g69 +Vpre_post_deps +p14854 +tp14855 +a(g336 +V= +tp14856 +a(g237 +V"$pre_post_deps $pre_post_dep" +p14857 +tp14858 +a(g181 +V\u000a +p14859 +tp14860 +a(g107 +Vdone\u000a +p14861 +tp14862 +a(g107 +Vfi\u000a +p14863 +tp14864 +a(g69 +Vpre_post_deps +p14865 +tp14866 +a(g336 +V= +tp14867 +a(g181 +V\u000a +p14868 +tp14869 +a(g107 +Vfi\u000a\u000a +p14870 +tp14871 +a(g69 +Vdeplibs +p14872 +tp14873 +a(g336 +V= +tp14874 +a(g181 +V\u000a +p14875 +tp14876 +a(g69 +Vnewdependency_libs +p14877 +tp14878 +a(g336 +V= +tp14879 +a(g181 +V\u000a +p14880 +tp14881 +a(g69 +Vnewlib_search_path +p14882 +tp14883 +a(g336 +V= +tp14884 +a(g181 +V\u000a +p14885 +tp14886 +a(g69 +Vneed_relink +p14887 +tp14888 +a(g336 +V= +tp14889 +a(g181 +Vno +p14890 +tp14891 +a(g181 +V +tp14892 +a(g6 +V# whether we're linking any uninstalled libtool libraries\u000a +p14893 +tp14894 +a(g181 +V +p14895 +tp14896 +a(g69 +Vnotinst_deplibs +p14897 +tp14898 +a(g336 +V= +tp14899 +a(g181 +V +tp14900 +a(g6 +V# not-installed libtool libraries\u000a +p14901 +tp14902 +a(g181 +V +p14903 +tp14904 +a(g107 +Vcase +p14905 +tp14906 +a(g181 +V +tp14907 +a(g69 +V$linkmode +p14908 +tp14909 +a(g181 +V +tp14910 +a(g181 +Vin +p14911 +tp14912 +a(g181 +V\u000a +p14913 +tp14914 +a(g181 +Vlib +p14915 +tp14916 +a(g336 +V) +tp14917 +a(g181 +V\u000a +p14918 +tp14919 +a(g69 +Vpasses +p14920 +tp14921 +a(g336 +V= +tp14922 +a(g237 +V"conv link" +p14923 +tp14924 +a(g181 +V\u000a +p14925 +tp14926 +a(g107 +Vfor +p14927 +tp14928 +a(g181 +Vfile +p14929 +tp14930 +a(g181 +V +tp14931 +a(g181 +Vin +p14932 +tp14933 +a(g181 +V +tp14934 +a(g69 +V$dlfiles +p14935 +tp14936 +a(g181 +V +tp14937 +a(g69 +V$dlprefiles +p14938 +tp14939 +a(g181 +V; +tp14940 +a(g181 +V +tp14941 +a(g107 +Vdo\u000a +p14942 +tp14943 +a(g107 +Vcase +p14944 +tp14945 +a(g181 +V +tp14946 +a(g69 +V$file +p14947 +tp14948 +a(g181 +V +tp14949 +a(g181 +Vin +p14950 +tp14951 +a(g181 +V\u000a +p14952 +tp14953 +a(g181 +V*.la +p14954 +tp14955 +a(g336 +V) +tp14956 +a(g181 +V +tp14957 +a(g181 +V; +tp14958 +a(g181 +V; +tp14959 +a(g181 +V\u000a +p14960 +tp14961 +a(g181 +V* +tp14962 +a(g336 +V) +tp14963 +a(g181 +V\u000a +p14964 +tp14965 +a(g69 +V$echo +p14966 +tp14967 +a(g181 +V +tp14968 +a(g237 +V"$modename: libraries can \u005c`-dlopen' only libtool libraries: $file" +p14969 +tp14970 +a(g181 +V +tp14971 +a(g181 +V1>&2 +p14972 +tp14973 +a(g181 +V\u000a +p14974 +tp14975 +a(g53 +Vexit +p14976 +tp14977 +a(g181 +V +tp14978 +a(g69 +V$EXIT_FAILURE +p14979 +tp14980 +a(g181 +V\u000a +p14981 +tp14982 +a(g181 +V; +tp14983 +a(g181 +V; +tp14984 +a(g181 +V\u000a +p14985 +tp14986 +a(g107 +Vesac\u000a +p14987 +tp14988 +a(g107 +Vdone +p14989 +tp14990 +a(g181 +V\u000a +p14991 +tp14992 +a(g181 +V; +tp14993 +a(g181 +V; +tp14994 +a(g181 +V\u000a +p14995 +tp14996 +a(g181 +Vprog +p14997 +tp14998 +a(g336 +V) +tp14999 +a(g181 +V\u000a +p15000 +tp15001 +a(g69 +Vcompile_deplibs +p15002 +tp15003 +a(g336 +V= +tp15004 +a(g181 +V\u000a +p15005 +tp15006 +a(g69 +Vfinalize_deplibs +p15007 +tp15008 +a(g336 +V= +tp15009 +a(g181 +V\u000a +p15010 +tp15011 +a(g69 +Valldeplibs +p15012 +tp15013 +a(g336 +V= +tp15014 +a(g181 +Vno +p15015 +tp15016 +a(g181 +V\u000a +p15017 +tp15018 +a(g69 +Vnewdlfiles +p15019 +tp15020 +a(g336 +V= +tp15021 +a(g181 +V\u000a +p15022 +tp15023 +a(g69 +Vnewdlprefiles +p15024 +tp15025 +a(g336 +V= +tp15026 +a(g181 +V\u000a +p15027 +tp15028 +a(g69 +Vpasses +p15029 +tp15030 +a(g336 +V= +tp15031 +a(g237 +V"conv scan dlopen dlpreopen link" +p15032 +tp15033 +a(g181 +V\u000a +p15034 +tp15035 +a(g181 +V; +tp15036 +a(g181 +V; +tp15037 +a(g181 +V\u000a +p15038 +tp15039 +a(g181 +V* +tp15040 +a(g336 +V) +tp15041 +a(g181 +V +p15042 +tp15043 +a(g69 +Vpasses +p15044 +tp15045 +a(g336 +V= +tp15046 +a(g237 +V"conv" +p15047 +tp15048 +a(g181 +V\u000a +p15049 +tp15050 +a(g181 +V; +tp15051 +a(g181 +V; +tp15052 +a(g181 +V\u000a +p15053 +tp15054 +a(g107 +Vesac\u000a +p15055 +tp15056 +a(g107 +Vfor +p15057 +tp15058 +a(g181 +Vpass +p15059 +tp15060 +a(g181 +V +tp15061 +a(g181 +Vin +p15062 +tp15063 +a(g181 +V +tp15064 +a(g69 +V$passes +p15065 +tp15066 +a(g181 +V; +tp15067 +a(g181 +V +tp15068 +a(g107 +Vdo\u000a +p15069 +tp15070 +a(g107 +Vif +p15071 +tp15072 +a(g53 +Vtest +p15073 +tp15074 +a(g181 +V +tp15075 +a(g237 +V"$linkmode,$pass" +p15076 +tp15077 +a(g181 +V +tp15078 +a(g336 +V= +tp15079 +a(g181 +V +tp15080 +a(g237 +V"lib,link" +p15081 +tp15082 +a(g181 +V +tp15083 +a(g336 +V|| +p15084 +tp15085 +a(g181 +V\u000a +p15086 +tp15087 +a(g53 +Vtest +p15088 +tp15089 +a(g181 +V +tp15090 +a(g237 +V"$linkmode,$pass" +p15091 +tp15092 +a(g181 +V +tp15093 +a(g336 +V= +tp15094 +a(g181 +V +tp15095 +a(g237 +V"prog,scan" +p15096 +tp15097 +a(g181 +V; +tp15098 +a(g181 +V +tp15099 +a(g107 +Vthen\u000a +p15100 +tp15101 +a(g69 +Vlibs +p15102 +tp15103 +a(g336 +V= +tp15104 +a(g237 +V"$deplibs" +p15105 +tp15106 +a(g181 +V\u000a +p15107 +tp15108 +a(g69 +Vdeplibs +p15109 +tp15110 +a(g336 +V= +tp15111 +a(g181 +V\u000a +p15112 +tp15113 +a(g107 +Vfi\u000a +p15114 +tp15115 +a(g107 +Vif +p15116 +tp15117 +a(g53 +Vtest +p15118 +tp15119 +a(g181 +V +tp15120 +a(g237 +V"$linkmode" +p15121 +tp15122 +a(g181 +V +tp15123 +a(g336 +V= +tp15124 +a(g181 +V +tp15125 +a(g181 +Vprog; +p15126 +tp15127 +a(g181 +V +tp15128 +a(g107 +Vthen\u000a +p15129 +tp15130 +a(g107 +Vcase +p15131 +tp15132 +a(g181 +V +tp15133 +a(g69 +V$pass +p15134 +tp15135 +a(g181 +V +tp15136 +a(g181 +Vin +p15137 +tp15138 +a(g181 +V\u000a +p15139 +tp15140 +a(g181 +Vdlopen +p15141 +tp15142 +a(g336 +V) +tp15143 +a(g181 +V +tp15144 +a(g69 +Vlibs +p15145 +tp15146 +a(g336 +V= +tp15147 +a(g237 +V"$dlfiles" +p15148 +tp15149 +a(g181 +V +tp15150 +a(g181 +V; +tp15151 +a(g181 +V; +tp15152 +a(g181 +V\u000a +p15153 +tp15154 +a(g181 +Vdlpreopen +p15155 +tp15156 +a(g336 +V) +tp15157 +a(g181 +V +tp15158 +a(g69 +Vlibs +p15159 +tp15160 +a(g336 +V= +tp15161 +a(g237 +V"$dlprefiles" +p15162 +tp15163 +a(g181 +V +tp15164 +a(g181 +V; +tp15165 +a(g181 +V; +tp15166 +a(g181 +V\u000a +p15167 +tp15168 +a(g181 +Vlink +p15169 +tp15170 +a(g336 +V) +tp15171 +a(g181 +V +tp15172 +a(g69 +Vlibs +p15173 +tp15174 +a(g336 +V= +tp15175 +a(g237 +V"$deplibs %DEPLIBS% $dependency_libs" +p15176 +tp15177 +a(g181 +V +tp15178 +a(g181 +V; +tp15179 +a(g181 +V; +tp15180 +a(g181 +V\u000a +p15181 +tp15182 +a(g107 +Vesac\u000a +p15183 +tp15184 +a(g107 +Vfi\u000a +p15185 +tp15186 +a(g107 +Vif +p15187 +tp15188 +a(g53 +Vtest +p15189 +tp15190 +a(g181 +V +tp15191 +a(g237 +V"$pass" +p15192 +tp15193 +a(g181 +V +tp15194 +a(g336 +V= +tp15195 +a(g181 +V +tp15196 +a(g181 +Vdlopen; +p15197 +tp15198 +a(g181 +V +tp15199 +a(g107 +Vthen +p15200 +tp15201 +a(g181 +V\u000a +p15202 +tp15203 +a(g6 +V# Collect dlpreopened libraries\u000a +p15204 +tp15205 +a(g181 +V +tp15206 +a(g69 +Vsave_deplibs +p15207 +tp15208 +a(g336 +V= +tp15209 +a(g237 +V"$deplibs" +p15210 +tp15211 +a(g181 +V\u000a +p15212 +tp15213 +a(g69 +Vdeplibs +p15214 +tp15215 +a(g336 +V= +tp15216 +a(g181 +V\u000a +p15217 +tp15218 +a(g107 +Vfi\u000a +p15219 +tp15220 +a(g107 +Vfor +p15221 +tp15222 +a(g181 +Vdeplib +p15223 +tp15224 +a(g181 +V +tp15225 +a(g181 +Vin +p15226 +tp15227 +a(g181 +V +tp15228 +a(g69 +V$libs +p15229 +tp15230 +a(g181 +V; +tp15231 +a(g181 +V +tp15232 +a(g107 +Vdo\u000a +p15233 +tp15234 +a(g69 +Vlib +p15235 +tp15236 +a(g336 +V= +tp15237 +a(g181 +V\u000a +p15238 +tp15239 +a(g69 +Vfound +p15240 +tp15241 +a(g336 +V= +tp15242 +a(g181 +Vno +p15243 +tp15244 +a(g181 +V\u000a +p15245 +tp15246 +a(g107 +Vcase +p15247 +tp15248 +a(g181 +V +tp15249 +a(g69 +V$deplib +p15250 +tp15251 +a(g181 +V +tp15252 +a(g181 +Vin +p15253 +tp15254 +a(g181 +V\u000a +p15255 +tp15256 +a(g181 +V-mt|-mthreads|-kthread|-Kthread|-pthread|-pthreads|--thread-safe +p15257 +tp15258 +a(g336 +V) +tp15259 +a(g181 +V\u000a +p15260 +tp15261 +a(g107 +Vif +p15262 +tp15263 +a(g53 +Vtest +p15264 +tp15265 +a(g181 +V +tp15266 +a(g237 +V"$linkmode,$pass" +p15267 +tp15268 +a(g181 +V +tp15269 +a(g336 +V= +tp15270 +a(g181 +V +tp15271 +a(g237 +V"prog,link" +p15272 +tp15273 +a(g181 +V; +tp15274 +a(g181 +V +tp15275 +a(g107 +Vthen\u000a +p15276 +tp15277 +a(g69 +Vcompile_deplibs +p15278 +tp15279 +a(g336 +V= +tp15280 +a(g237 +V"$deplib $compile_deplibs" +p15281 +tp15282 +a(g181 +V\u000a +p15283 +tp15284 +a(g69 +Vfinalize_deplibs +p15285 +tp15286 +a(g336 +V= +tp15287 +a(g237 +V"$deplib $finalize_deplibs" +p15288 +tp15289 +a(g181 +V\u000a +p15290 +tp15291 +a(g107 +Velse\u000a +p15292 +tp15293 +a(g69 +Vcompiler_flags +p15294 +tp15295 +a(g336 +V= +tp15296 +a(g237 +V"$compiler_flags $deplib" +p15297 +tp15298 +a(g181 +V\u000a +p15299 +tp15300 +a(g107 +Vfi\u000a +p15301 +tp15302 +a(g107 +Vcontinue +p15303 +tp15304 +a(g181 +V\u000a +p15305 +tp15306 +a(g181 +V; +tp15307 +a(g181 +V; +tp15308 +a(g181 +V\u000a +p15309 +tp15310 +a(g181 +V-l* +p15311 +tp15312 +a(g336 +V) +tp15313 +a(g181 +V\u000a +p15314 +tp15315 +a(g107 +Vif +p15316 +tp15317 +a(g53 +Vtest +p15318 +tp15319 +a(g181 +V +tp15320 +a(g237 +V"$linkmode" +p15321 +tp15322 +a(g181 +V +tp15323 +a(g181 +V! +tp15324 +a(g336 +V= +tp15325 +a(g181 +V +tp15326 +a(g181 +Vlib +p15327 +tp15328 +a(g181 +V +tp15329 +a(g336 +V&& +p15330 +tp15331 +a(g181 +V +tp15332 +a(g53 +Vtest +p15333 +tp15334 +a(g181 +V +tp15335 +a(g237 +V"$linkmode" +p15336 +tp15337 +a(g181 +V +tp15338 +a(g181 +V! +tp15339 +a(g336 +V= +tp15340 +a(g181 +V +tp15341 +a(g181 +Vprog; +p15342 +tp15343 +a(g181 +V +tp15344 +a(g107 +Vthen +p15345 +tp15346 +a(g181 +V\u000a +p15347 +tp15348 +a(g69 +V$echo +p15349 +tp15350 +a(g181 +V +tp15351 +a(g237 +V"$modename: warning: \u005c`-l' is ignored for archives/objects" +p15352 +tp15353 +a(g181 +V +tp15354 +a(g181 +V1>&2 +p15355 +tp15356 +a(g181 +V\u000a +p15357 +tp15358 +a(g107 +Vcontinue\u000a +p15359 +tp15360 +a(g107 +Vfi\u000a +p15361 +tp15362 +a(g69 +Vname +p15363 +tp15364 +a(g336 +V= +tp15365 +a(g256 +V` +tp15366 +a(g69 +V$echo +p15367 +tp15368 +a(g181 +V +tp15369 +a(g237 +V"X$deplib" +p15370 +tp15371 +a(g181 +V +tp15372 +a(g181 +V| +tp15373 +a(g181 +V +tp15374 +a(g69 +V$Xsed +p15375 +tp15376 +a(g181 +V +tp15377 +a(g181 +V-e +p15378 +tp15379 +a(g181 +V +tp15380 +a(g264 +V's/^-l//' +p15381 +tp15382 +a(g256 +V` +tp15383 +a(g181 +V\u000a +p15384 +tp15385 +a(g107 +Vfor +p15386 +tp15387 +a(g181 +Vsearchdir +p15388 +tp15389 +a(g181 +V +tp15390 +a(g181 +Vin +p15391 +tp15392 +a(g181 +V +tp15393 +a(g69 +V$newlib_search_path +p15394 +tp15395 +a(g181 +V +tp15396 +a(g69 +V$lib_search_path +p15397 +tp15398 +a(g181 +V +tp15399 +a(g69 +V$sys_lib_search_path +p15400 +tp15401 +a(g181 +V +tp15402 +a(g69 +V$shlib_search_path +p15403 +tp15404 +a(g181 +V; +tp15405 +a(g181 +V +tp15406 +a(g107 +Vdo\u000a +p15407 +tp15408 +a(g107 +Vfor +p15409 +tp15410 +a(g181 +Vsearch_ext +p15411 +tp15412 +a(g181 +V +tp15413 +a(g181 +Vin +p15414 +tp15415 +a(g181 +V +tp15416 +a(g181 +V.la +p15417 +tp15418 +a(g181 +V +tp15419 +a(g69 +V$std_shrext +p15420 +tp15421 +a(g181 +V +tp15422 +a(g181 +V.so +p15423 +tp15424 +a(g181 +V +tp15425 +a(g181 +V.a; +p15426 +tp15427 +a(g181 +V +tp15428 +a(g107 +Vdo +p15429 +tp15430 +a(g181 +V\u000a +p15431 +tp15432 +a(g6 +V# Search the libtool library\u000a +p15433 +tp15434 +a(g181 +V +p15435 +tp15436 +a(g69 +Vlib +p15437 +tp15438 +a(g336 +V= +tp15439 +a(g237 +V"$searchdir/lib${name}${search_ext}" +p15440 +tp15441 +a(g181 +V\u000a +p15442 +tp15443 +a(g107 +Vif +p15444 +tp15445 +a(g53 +Vtest +p15446 +tp15447 +a(g181 +V +tp15448 +a(g181 +V-f +p15449 +tp15450 +a(g181 +V +tp15451 +a(g237 +V"$lib" +p15452 +tp15453 +a(g181 +V; +tp15454 +a(g181 +V +tp15455 +a(g107 +Vthen\u000a +p15456 +tp15457 +a(g107 +Vif +p15458 +tp15459 +a(g53 +Vtest +p15460 +tp15461 +a(g181 +V +tp15462 +a(g237 +V"$search_ext" +p15463 +tp15464 +a(g181 +V +tp15465 +a(g336 +V= +tp15466 +a(g181 +V +tp15467 +a(g237 +V".la" +p15468 +tp15469 +a(g181 +V; +tp15470 +a(g181 +V +tp15471 +a(g107 +Vthen\u000a +p15472 +tp15473 +a(g69 +Vfound +p15474 +tp15475 +a(g336 +V= +tp15476 +a(g181 +Vyes +p15477 +tp15478 +a(g181 +V\u000a +p15479 +tp15480 +a(g107 +Velse\u000a +p15481 +tp15482 +a(g69 +Vfound +p15483 +tp15484 +a(g336 +V= +tp15485 +a(g181 +Vno +p15486 +tp15487 +a(g181 +V\u000a +p15488 +tp15489 +a(g107 +Vfi\u000a +p15490 +tp15491 +a(g53 +Vbreak +p15492 +tp15493 +a(g181 +V2 +tp15494 +a(g181 +V\u000a +p15495 +tp15496 +a(g107 +Vfi\u000a +p15497 +tp15498 +a(g107 +Vdone\u000a +p15499 +tp15500 +a(g107 +Vdone\u000a +p15501 +tp15502 +a(g107 +Vif +p15503 +tp15504 +a(g53 +Vtest +p15505 +tp15506 +a(g181 +V +tp15507 +a(g237 +V"$found" +p15508 +tp15509 +a(g181 +V +tp15510 +a(g181 +V! +tp15511 +a(g336 +V= +tp15512 +a(g181 +V +tp15513 +a(g181 +Vyes; +p15514 +tp15515 +a(g181 +V +tp15516 +a(g107 +Vthen +p15517 +tp15518 +a(g181 +V\u000a +p15519 +tp15520 +a(g6 +V# deplib doesn't seem to be a libtool library\u000a +p15521 +tp15522 +a(g181 +V +p15523 +tp15524 +a(g107 +Vif +p15525 +tp15526 +a(g53 +Vtest +p15527 +tp15528 +a(g181 +V +tp15529 +a(g237 +V"$linkmode,$pass" +p15530 +tp15531 +a(g181 +V +tp15532 +a(g336 +V= +tp15533 +a(g181 +V +tp15534 +a(g237 +V"prog,link" +p15535 +tp15536 +a(g181 +V; +tp15537 +a(g181 +V +tp15538 +a(g107 +Vthen\u000a +p15539 +tp15540 +a(g69 +Vcompile_deplibs +p15541 +tp15542 +a(g336 +V= +tp15543 +a(g237 +V"$deplib $compile_deplibs" +p15544 +tp15545 +a(g181 +V\u000a +p15546 +tp15547 +a(g69 +Vfinalize_deplibs +p15548 +tp15549 +a(g336 +V= +tp15550 +a(g237 +V"$deplib $finalize_deplibs" +p15551 +tp15552 +a(g181 +V\u000a +p15553 +tp15554 +a(g107 +Velse\u000a +p15555 +tp15556 +a(g69 +Vdeplibs +p15557 +tp15558 +a(g336 +V= +tp15559 +a(g237 +V"$deplib $deplibs" +p15560 +tp15561 +a(g181 +V\u000a +p15562 +tp15563 +a(g53 +Vtest +p15564 +tp15565 +a(g181 +V +tp15566 +a(g237 +V"$linkmode" +p15567 +tp15568 +a(g181 +V +tp15569 +a(g336 +V= +tp15570 +a(g181 +V +tp15571 +a(g181 +Vlib +p15572 +tp15573 +a(g181 +V +tp15574 +a(g336 +V&& +p15575 +tp15576 +a(g181 +V +tp15577 +a(g69 +Vnewdependency_libs +p15578 +tp15579 +a(g336 +V= +tp15580 +a(g237 +V"$deplib $newdependency_libs" +p15581 +tp15582 +a(g181 +V\u000a +p15583 +tp15584 +a(g107 +Vfi\u000a +p15585 +tp15586 +a(g107 +Vcontinue\u000a +p15587 +tp15588 +a(g107 +Velse +p15589 +tp15590 +a(g181 +V +tp15591 +a(g6 +V# deplib is a libtool library\u000a +p15592 +tp15593 +a(g181 +V +p15594 +tp15595 +a(g6 +V# If $allow_libtool_libs_with_static_runtimes && $deplib is a stdlib,\u000a +p15596 +tp15597 +a(g181 +V +p15598 +tp15599 +a(g6 +V# We need to do some special things here, and not later.\u000a +p15600 +tp15601 +a(g181 +V +p15602 +tp15603 +a(g107 +Vif +p15604 +tp15605 +a(g53 +Vtest +p15606 +tp15607 +a(g181 +V +tp15608 +a(g237 +V"X$allow_libtool_libs_with_static_runtimes" +p15609 +tp15610 +a(g181 +V +tp15611 +a(g336 +V= +tp15612 +a(g181 +V +tp15613 +a(g237 +V"Xyes" +p15614 +tp15615 +a(g181 +V +tp15616 +a(g181 +V; +tp15617 +a(g181 +V +tp15618 +a(g107 +Vthen\u000a +p15619 +tp15620 +a(g107 +Vcase +p15621 +tp15622 +a(g181 +V +tp15623 +a(g237 +V" $predeps $postdeps " +p15624 +tp15625 +a(g181 +V +tp15626 +a(g181 +Vin +p15627 +tp15628 +a(g181 +V\u000a +p15629 +tp15630 +a(g181 +V* +tp15631 +a(g237 +V" $deplib " +p15632 +tp15633 +a(g181 +V* +tp15634 +a(g336 +V) +tp15635 +a(g181 +V\u000a +p15636 +tp15637 +a(g107 +Vif +p15638 +tp15639 +a(g181 +V +tp15640 +a(g336 +V( +tp15641 +a(g107 +V${ +p15642 +tp15643 +a(g69 +VSED +p15644 +tp15645 +a(g107 +V} +tp15646 +a(g181 +V +tp15647 +a(g181 +V-e +p15648 +tp15649 +a(g181 +V +tp15650 +a(g264 +V'2q' +p15651 +tp15652 +a(g181 +V +tp15653 +a(g69 +V$lib +p15654 +tp15655 +a(g181 +V +tp15656 +a(g181 +V| +tp15657 +a(g181 +V\u000a +p15658 +tp15659 +a(g181 +Vgrep +p15660 +tp15661 +a(g181 +V +tp15662 +a(g237 +V"^# Generated by .*$PACKAGE" +p15663 +tp15664 +a(g336 +V) +tp15665 +a(g181 +V +tp15666 +a(g181 +V>/dev/null +p15667 +tp15668 +a(g181 +V +tp15669 +a(g181 +V2>&1; +p15670 +tp15671 +a(g181 +V +tp15672 +a(g107 +Vthen\u000a +p15673 +tp15674 +a(g69 +Vlibrary_names +p15675 +tp15676 +a(g336 +V= +tp15677 +a(g181 +V\u000a +p15678 +tp15679 +a(g69 +Vold_library +p15680 +tp15681 +a(g336 +V= +tp15682 +a(g181 +V\u000a +p15683 +tp15684 +a(g107 +Vcase +p15685 +tp15686 +a(g181 +V +tp15687 +a(g69 +V$lib +p15688 +tp15689 +a(g181 +V +tp15690 +a(g181 +Vin +p15691 +tp15692 +a(g181 +V\u000a +p15693 +tp15694 +a(g181 +V*/* +p15695 +tp15696 +a(g181 +V +tp15697 +a(g181 +V| +tp15698 +a(g181 +V +tp15699 +a(g181 +V* +tp15700 +a(g244 +V\u005c\u005c +p15701 +tp15702 +a(g181 +V* +tp15703 +a(g336 +V) +tp15704 +a(g181 +V +tp15705 +a(g181 +V. +tp15706 +a(g181 +V +tp15707 +a(g69 +V$lib +p15708 +tp15709 +a(g181 +V +tp15710 +a(g181 +V; +tp15711 +a(g181 +V; +tp15712 +a(g181 +V\u000a +p15713 +tp15714 +a(g181 +V* +tp15715 +a(g336 +V) +tp15716 +a(g181 +V +tp15717 +a(g181 +V. +tp15718 +a(g181 +V +tp15719 +a(g181 +V./ +p15720 +tp15721 +a(g69 +V$lib +p15722 +tp15723 +a(g181 +V +tp15724 +a(g181 +V; +tp15725 +a(g181 +V; +tp15726 +a(g181 +V\u000a +p15727 +tp15728 +a(g107 +Vesac\u000a +p15729 +tp15730 +a(g107 +Vfor +p15731 +tp15732 +a(g181 +Vl +tp15733 +a(g181 +V +tp15734 +a(g181 +Vin +p15735 +tp15736 +a(g181 +V +tp15737 +a(g69 +V$old_library +p15738 +tp15739 +a(g181 +V +tp15740 +a(g69 +V$library_names +p15741 +tp15742 +a(g181 +V; +tp15743 +a(g181 +V +tp15744 +a(g107 +Vdo\u000a +p15745 +tp15746 +a(g69 +Vll +p15747 +tp15748 +a(g336 +V= +tp15749 +a(g237 +V"$l" +p15750 +tp15751 +a(g181 +V\u000a +p15752 +tp15753 +a(g107 +Vdone\u000a +p15754 +tp15755 +a(g107 +Vif +p15756 +tp15757 +a(g53 +Vtest +p15758 +tp15759 +a(g181 +V +tp15760 +a(g237 +V"X$ll" +p15761 +tp15762 +a(g181 +V +tp15763 +a(g336 +V= +tp15764 +a(g181 +V +tp15765 +a(g237 +V"X$old_library" +p15766 +tp15767 +a(g181 +V +tp15768 +a(g181 +V; +tp15769 +a(g181 +V +tp15770 +a(g107 +Vthen +p15771 +tp15772 +a(g181 +V +tp15773 +a(g6 +V# only static version available\u000a +p15774 +tp15775 +a(g181 +V +p15776 +tp15777 +a(g69 +Vfound +p15778 +tp15779 +a(g336 +V= +tp15780 +a(g181 +Vno +p15781 +tp15782 +a(g181 +V\u000a +p15783 +tp15784 +a(g69 +Vladir +p15785 +tp15786 +a(g336 +V= +tp15787 +a(g256 +V` +tp15788 +a(g69 +V$echo +p15789 +tp15790 +a(g181 +V +tp15791 +a(g237 +V"X$lib" +p15792 +tp15793 +a(g181 +V +tp15794 +a(g181 +V| +tp15795 +a(g181 +V +tp15796 +a(g69 +V$Xsed +p15797 +tp15798 +a(g181 +V +tp15799 +a(g181 +V-e +p15800 +tp15801 +a(g181 +V +tp15802 +a(g264 +V's%/[^/]*$%%' +p15803 +tp15804 +a(g256 +V` +tp15805 +a(g181 +V\u000a +p15806 +tp15807 +a(g53 +Vtest +p15808 +tp15809 +a(g181 +V +tp15810 +a(g237 +V"X$ladir" +p15811 +tp15812 +a(g181 +V +tp15813 +a(g336 +V= +tp15814 +a(g181 +V +tp15815 +a(g237 +V"X$lib" +p15816 +tp15817 +a(g181 +V +tp15818 +a(g336 +V&& +p15819 +tp15820 +a(g181 +V +tp15821 +a(g69 +Vladir +p15822 +tp15823 +a(g336 +V= +tp15824 +a(g237 +V"." +p15825 +tp15826 +a(g181 +V\u000a +p15827 +tp15828 +a(g69 +Vlib +p15829 +tp15830 +a(g336 +V= +tp15831 +a(g69 +V$ladir +p15832 +tp15833 +a(g181 +V/ +tp15834 +a(g69 +V$old_library +p15835 +tp15836 +a(g181 +V\u000a +p15837 +tp15838 +a(g107 +Vif +p15839 +tp15840 +a(g53 +Vtest +p15841 +tp15842 +a(g181 +V +tp15843 +a(g237 +V"$linkmode,$pass" +p15844 +tp15845 +a(g181 +V +tp15846 +a(g336 +V= +tp15847 +a(g181 +V +tp15848 +a(g237 +V"prog,link" +p15849 +tp15850 +a(g181 +V; +tp15851 +a(g181 +V +tp15852 +a(g107 +Vthen\u000a +p15853 +tp15854 +a(g69 +Vcompile_deplibs +p15855 +tp15856 +a(g336 +V= +tp15857 +a(g237 +V"$deplib $compile_deplibs" +p15858 +tp15859 +a(g181 +V\u000a +p15860 +tp15861 +a(g69 +Vfinalize_deplibs +p15862 +tp15863 +a(g336 +V= +tp15864 +a(g237 +V"$deplib $finalize_deplibs" +p15865 +tp15866 +a(g181 +V\u000a +p15867 +tp15868 +a(g107 +Velse\u000a +p15869 +tp15870 +a(g69 +Vdeplibs +p15871 +tp15872 +a(g336 +V= +tp15873 +a(g237 +V"$deplib $deplibs" +p15874 +tp15875 +a(g181 +V\u000a +p15876 +tp15877 +a(g53 +Vtest +p15878 +tp15879 +a(g181 +V +tp15880 +a(g237 +V"$linkmode" +p15881 +tp15882 +a(g181 +V +tp15883 +a(g336 +V= +tp15884 +a(g181 +V +tp15885 +a(g181 +Vlib +p15886 +tp15887 +a(g181 +V +tp15888 +a(g336 +V&& +p15889 +tp15890 +a(g181 +V +tp15891 +a(g69 +Vnewdependency_libs +p15892 +tp15893 +a(g336 +V= +tp15894 +a(g237 +V"$deplib $newdependency_libs" +p15895 +tp15896 +a(g181 +V\u000a +p15897 +tp15898 +a(g107 +Vfi\u000a +p15899 +tp15900 +a(g107 +Vcontinue\u000a +p15901 +tp15902 +a(g107 +Vfi\u000a +p15903 +tp15904 +a(g107 +Vfi +p15905 +tp15906 +a(g181 +V\u000a +p15907 +tp15908 +a(g181 +V; +tp15909 +a(g181 +V; +tp15910 +a(g181 +V\u000a +p15911 +tp15912 +a(g181 +V* +tp15913 +a(g336 +V) +tp15914 +a(g181 +V +tp15915 +a(g181 +V; +tp15916 +a(g181 +V; +tp15917 +a(g181 +V\u000a +p15918 +tp15919 +a(g107 +Vesac\u000a +p15920 +tp15921 +a(g107 +Vfi\u000a +p15922 +tp15923 +a(g107 +Vfi +p15924 +tp15925 +a(g181 +V\u000a +p15926 +tp15927 +a(g181 +V; +tp15928 +a(g181 +V; +tp15929 +a(g181 +V +tp15930 +a(g6 +V# -l\u000a +p15931 +tp15932 +a(g181 +V +tp15933 +a(g181 +V-L* +p15934 +tp15935 +a(g336 +V) +tp15936 +a(g181 +V\u000a +p15937 +tp15938 +a(g107 +Vcase +p15939 +tp15940 +a(g181 +V +tp15941 +a(g69 +V$linkmode +p15942 +tp15943 +a(g181 +V +tp15944 +a(g181 +Vin +p15945 +tp15946 +a(g181 +V\u000a +p15947 +tp15948 +a(g181 +Vlib +p15949 +tp15950 +a(g336 +V) +tp15951 +a(g181 +V\u000a +p15952 +tp15953 +a(g69 +Vdeplibs +p15954 +tp15955 +a(g336 +V= +tp15956 +a(g237 +V"$deplib $deplibs" +p15957 +tp15958 +a(g181 +V\u000a +p15959 +tp15960 +a(g53 +Vtest +p15961 +tp15962 +a(g181 +V +tp15963 +a(g237 +V"$pass" +p15964 +tp15965 +a(g181 +V +tp15966 +a(g336 +V= +tp15967 +a(g181 +V +tp15968 +a(g181 +Vconv +p15969 +tp15970 +a(g181 +V +tp15971 +a(g336 +V&& +p15972 +tp15973 +a(g181 +V +tp15974 +a(g107 +Vcontinue\u000a +p15975 +tp15976 +a(g69 +Vnewdependency_libs +p15977 +tp15978 +a(g336 +V= +tp15979 +a(g237 +V"$deplib $newdependency_libs" +p15980 +tp15981 +a(g181 +V\u000a +p15982 +tp15983 +a(g69 +Vnewlib_search_path +p15984 +tp15985 +a(g336 +V= +tp15986 +a(g237 +V"$newlib_search_path " +p15987 +tp15988 +a(g256 +V` +tp15989 +a(g69 +V$echo +p15990 +tp15991 +a(g181 +V +tp15992 +a(g237 +V"X$deplib" +p15993 +tp15994 +a(g181 +V +tp15995 +a(g181 +V| +tp15996 +a(g181 +V +tp15997 +a(g69 +V$Xsed +p15998 +tp15999 +a(g181 +V +tp16000 +a(g181 +V-e +p16001 +tp16002 +a(g181 +V +tp16003 +a(g264 +V's/^-L//' +p16004 +tp16005 +a(g256 +V` +tp16006 +a(g181 +V\u000a +p16007 +tp16008 +a(g181 +V; +tp16009 +a(g181 +V; +tp16010 +a(g181 +V\u000a +p16011 +tp16012 +a(g181 +Vprog +p16013 +tp16014 +a(g336 +V) +tp16015 +a(g181 +V\u000a +p16016 +tp16017 +a(g107 +Vif +p16018 +tp16019 +a(g53 +Vtest +p16020 +tp16021 +a(g181 +V +tp16022 +a(g237 +V"$pass" +p16023 +tp16024 +a(g181 +V +tp16025 +a(g336 +V= +tp16026 +a(g181 +V +tp16027 +a(g181 +Vconv; +p16028 +tp16029 +a(g181 +V +tp16030 +a(g107 +Vthen\u000a +p16031 +tp16032 +a(g69 +Vdeplibs +p16033 +tp16034 +a(g336 +V= +tp16035 +a(g237 +V"$deplib $deplibs" +p16036 +tp16037 +a(g181 +V\u000a +p16038 +tp16039 +a(g107 +Vcontinue\u000a +p16040 +tp16041 +a(g107 +Vfi\u000a +p16042 +tp16043 +a(g107 +Vif +p16044 +tp16045 +a(g53 +Vtest +p16046 +tp16047 +a(g181 +V +tp16048 +a(g237 +V"$pass" +p16049 +tp16050 +a(g181 +V +tp16051 +a(g336 +V= +tp16052 +a(g181 +V +tp16053 +a(g181 +Vscan; +p16054 +tp16055 +a(g181 +V +tp16056 +a(g107 +Vthen\u000a +p16057 +tp16058 +a(g69 +Vdeplibs +p16059 +tp16060 +a(g336 +V= +tp16061 +a(g237 +V"$deplib $deplibs" +p16062 +tp16063 +a(g181 +V\u000a +p16064 +tp16065 +a(g107 +Velse\u000a +p16066 +tp16067 +a(g69 +Vcompile_deplibs +p16068 +tp16069 +a(g336 +V= +tp16070 +a(g237 +V"$deplib $compile_deplibs" +p16071 +tp16072 +a(g181 +V\u000a +p16073 +tp16074 +a(g69 +Vfinalize_deplibs +p16075 +tp16076 +a(g336 +V= +tp16077 +a(g237 +V"$deplib $finalize_deplibs" +p16078 +tp16079 +a(g181 +V\u000a +p16080 +tp16081 +a(g107 +Vfi\u000a +p16082 +tp16083 +a(g69 +Vnewlib_search_path +p16084 +tp16085 +a(g336 +V= +tp16086 +a(g237 +V"$newlib_search_path " +p16087 +tp16088 +a(g256 +V` +tp16089 +a(g69 +V$echo +p16090 +tp16091 +a(g181 +V +tp16092 +a(g237 +V"X$deplib" +p16093 +tp16094 +a(g181 +V +tp16095 +a(g181 +V| +tp16096 +a(g181 +V +tp16097 +a(g69 +V$Xsed +p16098 +tp16099 +a(g181 +V +tp16100 +a(g181 +V-e +p16101 +tp16102 +a(g181 +V +tp16103 +a(g264 +V's/^-L//' +p16104 +tp16105 +a(g256 +V` +tp16106 +a(g181 +V\u000a +p16107 +tp16108 +a(g181 +V; +tp16109 +a(g181 +V; +tp16110 +a(g181 +V\u000a +p16111 +tp16112 +a(g181 +V* +tp16113 +a(g336 +V) +tp16114 +a(g181 +V\u000a +p16115 +tp16116 +a(g69 +V$echo +p16117 +tp16118 +a(g181 +V +tp16119 +a(g237 +V"$modename: warning: \u005c`-L' is ignored for archives/objects" +p16120 +tp16121 +a(g181 +V +tp16122 +a(g181 +V1>&2 +p16123 +tp16124 +a(g181 +V\u000a +p16125 +tp16126 +a(g181 +V; +tp16127 +a(g181 +V; +tp16128 +a(g181 +V\u000a +p16129 +tp16130 +a(g107 +Vesac +p16131 +tp16132 +a(g181 +V +tp16133 +a(g6 +V# linkmode\u000a +p16134 +tp16135 +a(g181 +V +p16136 +tp16137 +a(g107 +Vcontinue +p16138 +tp16139 +a(g181 +V\u000a +p16140 +tp16141 +a(g181 +V; +tp16142 +a(g181 +V; +tp16143 +a(g181 +V +tp16144 +a(g6 +V# -L\u000a +p16145 +tp16146 +a(g181 +V +tp16147 +a(g181 +V-R* +p16148 +tp16149 +a(g336 +V) +tp16150 +a(g181 +V\u000a +p16151 +tp16152 +a(g107 +Vif +p16153 +tp16154 +a(g53 +Vtest +p16155 +tp16156 +a(g181 +V +tp16157 +a(g237 +V"$pass" +p16158 +tp16159 +a(g181 +V +tp16160 +a(g336 +V= +tp16161 +a(g181 +V +tp16162 +a(g181 +Vlink; +p16163 +tp16164 +a(g181 +V +tp16165 +a(g107 +Vthen\u000a +p16166 +tp16167 +a(g69 +Vdir +p16168 +tp16169 +a(g336 +V= +tp16170 +a(g256 +V` +tp16171 +a(g69 +V$echo +p16172 +tp16173 +a(g181 +V +tp16174 +a(g237 +V"X$deplib" +p16175 +tp16176 +a(g181 +V +tp16177 +a(g181 +V| +tp16178 +a(g181 +V +tp16179 +a(g69 +V$Xsed +p16180 +tp16181 +a(g181 +V +tp16182 +a(g181 +V-e +p16183 +tp16184 +a(g181 +V +tp16185 +a(g264 +V's/^-R//' +p16186 +tp16187 +a(g256 +V` +tp16188 +a(g181 +V\u000a +p16189 +tp16190 +a(g6 +V# Make sure the xrpath contains only unique directories.\u000a +p16191 +tp16192 +a(g181 +V +p16193 +tp16194 +a(g107 +Vcase +p16195 +tp16196 +a(g181 +V +tp16197 +a(g237 +V"$xrpath " +p16198 +tp16199 +a(g181 +V +tp16200 +a(g181 +Vin +p16201 +tp16202 +a(g181 +V\u000a +p16203 +tp16204 +a(g181 +V* +tp16205 +a(g237 +V" $dir " +p16206 +tp16207 +a(g181 +V* +tp16208 +a(g336 +V) +tp16209 +a(g181 +V +tp16210 +a(g181 +V; +tp16211 +a(g181 +V; +tp16212 +a(g181 +V\u000a +p16213 +tp16214 +a(g181 +V* +tp16215 +a(g336 +V) +tp16216 +a(g181 +V +tp16217 +a(g69 +Vxrpath +p16218 +tp16219 +a(g336 +V= +tp16220 +a(g237 +V"$xrpath $dir" +p16221 +tp16222 +a(g181 +V +tp16223 +a(g181 +V; +tp16224 +a(g181 +V; +tp16225 +a(g181 +V\u000a +p16226 +tp16227 +a(g107 +Vesac\u000a +p16228 +tp16229 +a(g107 +Vfi\u000a +p16230 +tp16231 +a(g69 +Vdeplibs +p16232 +tp16233 +a(g336 +V= +tp16234 +a(g237 +V"$deplib $deplibs" +p16235 +tp16236 +a(g181 +V\u000a +p16237 +tp16238 +a(g107 +Vcontinue +p16239 +tp16240 +a(g181 +V\u000a +p16241 +tp16242 +a(g181 +V; +tp16243 +a(g181 +V; +tp16244 +a(g181 +V\u000a +p16245 +tp16246 +a(g181 +V*.la +p16247 +tp16248 +a(g336 +V) +tp16249 +a(g181 +V +tp16250 +a(g69 +Vlib +p16251 +tp16252 +a(g336 +V= +tp16253 +a(g237 +V"$deplib" +p16254 +tp16255 +a(g181 +V +tp16256 +a(g181 +V; +tp16257 +a(g181 +V; +tp16258 +a(g181 +V\u000a +p16259 +tp16260 +a(g181 +V*. +p16261 +tp16262 +a(g69 +V$libext +p16263 +tp16264 +a(g336 +V) +tp16265 +a(g181 +V\u000a +p16266 +tp16267 +a(g107 +Vif +p16268 +tp16269 +a(g53 +Vtest +p16270 +tp16271 +a(g181 +V +tp16272 +a(g237 +V"$pass" +p16273 +tp16274 +a(g181 +V +tp16275 +a(g336 +V= +tp16276 +a(g181 +V +tp16277 +a(g181 +Vconv; +p16278 +tp16279 +a(g181 +V +tp16280 +a(g107 +Vthen\u000a +p16281 +tp16282 +a(g69 +Vdeplibs +p16283 +tp16284 +a(g336 +V= +tp16285 +a(g237 +V"$deplib $deplibs" +p16286 +tp16287 +a(g181 +V\u000a +p16288 +tp16289 +a(g107 +Vcontinue\u000a +p16290 +tp16291 +a(g107 +Vfi\u000a +p16292 +tp16293 +a(g107 +Vcase +p16294 +tp16295 +a(g181 +V +tp16296 +a(g69 +V$linkmode +p16297 +tp16298 +a(g181 +V +tp16299 +a(g181 +Vin +p16300 +tp16301 +a(g181 +V\u000a +p16302 +tp16303 +a(g181 +Vlib +p16304 +tp16305 +a(g336 +V) +tp16306 +a(g181 +V\u000a +p16307 +tp16308 +a(g69 +Vvalid_a_lib +p16309 +tp16310 +a(g336 +V= +tp16311 +a(g181 +Vno +p16312 +tp16313 +a(g181 +V\u000a +p16314 +tp16315 +a(g107 +Vcase +p16316 +tp16317 +a(g181 +V +tp16318 +a(g69 +V$deplibs_check_method +p16319 +tp16320 +a(g181 +V +tp16321 +a(g181 +Vin +p16322 +tp16323 +a(g181 +V\u000a +p16324 +tp16325 +a(g181 +Vmatch_pattern* +p16326 +tp16327 +a(g336 +V) +tp16328 +a(g181 +V\u000a +p16329 +tp16330 +a(g53 +Vset +p16331 +tp16332 +a(g181 +Vdummy +p16333 +tp16334 +a(g181 +V +tp16335 +a(g69 +V$deplibs_check_method +p16336 +tp16337 +a(g181 +V\u000a +p16338 +tp16339 +a(g69 +Vmatch_pattern_regex +p16340 +tp16341 +a(g336 +V= +tp16342 +a(g256 +V` +tp16343 +a(g181 +Vexpr +p16344 +tp16345 +a(g181 +V +tp16346 +a(g237 +V"$deplibs_check_method" +p16347 +tp16348 +a(g181 +V +tp16349 +a(g181 +V: +tp16350 +a(g181 +V +tp16351 +a(g237 +V"$2 \u005c(.*\u005c)" +p16352 +tp16353 +a(g256 +V` +tp16354 +a(g181 +V\u000a +p16355 +tp16356 +a(g107 +Vif +p16357 +tp16358 +a(g53 +Veval +p16359 +tp16360 +a(g181 +V +tp16361 +a(g69 +V$echo +p16362 +tp16363 +a(g181 +V +tp16364 +a(g244 +V\u005c" +p16365 +tp16366 +a(g69 +V$deplib +p16367 +tp16368 +a(g244 +V\u005c" +p16369 +tp16370 +a(g181 +V +tp16371 +a(g181 +V2>/dev/null +p16372 +tp16373 +a(g181 +V +tp16374 +a(g244 +V\u005c\u000a +p16375 +tp16376 +a(g181 +V +p16377 +tp16378 +a(g181 +V| +tp16379 +a(g181 +V +tp16380 +a(g69 +V$SED +p16381 +tp16382 +a(g181 +V +tp16383 +a(g181 +V10q +p16384 +tp16385 +a(g181 +V +tp16386 +a(g244 +V\u005c\u000a +p16387 +tp16388 +a(g181 +V +p16389 +tp16390 +a(g181 +V| +tp16391 +a(g181 +V +tp16392 +a(g69 +V$EGREP +p16393 +tp16394 +a(g181 +V +tp16395 +a(g237 +V"$match_pattern_regex" +p16396 +tp16397 +a(g181 +V +tp16398 +a(g181 +V> +tp16399 +a(g181 +V +tp16400 +a(g181 +V/dev/null; +p16401 +tp16402 +a(g181 +V +tp16403 +a(g107 +Vthen\u000a +p16404 +tp16405 +a(g69 +Vvalid_a_lib +p16406 +tp16407 +a(g336 +V= +tp16408 +a(g181 +Vyes +p16409 +tp16410 +a(g181 +V\u000a +p16411 +tp16412 +a(g107 +Vfi +p16413 +tp16414 +a(g181 +V\u000a +p16415 +tp16416 +a(g181 +V; +tp16417 +a(g181 +V; +tp16418 +a(g181 +V\u000a +p16419 +tp16420 +a(g181 +Vpass_all +p16421 +tp16422 +a(g336 +V) +tp16423 +a(g181 +V\u000a +p16424 +tp16425 +a(g69 +Vvalid_a_lib +p16426 +tp16427 +a(g336 +V= +tp16428 +a(g181 +Vyes +p16429 +tp16430 +a(g181 +V\u000a +p16431 +tp16432 +a(g181 +V; +tp16433 +a(g181 +V; +tp16434 +a(g181 +V\u000a +p16435 +tp16436 +a(g107 +Vesac\u000a +p16437 +tp16438 +a(g107 +Vif +p16439 +tp16440 +a(g53 +Vtest +p16441 +tp16442 +a(g181 +V +tp16443 +a(g237 +V"$valid_a_lib" +p16444 +tp16445 +a(g181 +V +tp16446 +a(g181 +V! +tp16447 +a(g336 +V= +tp16448 +a(g181 +V +tp16449 +a(g181 +Vyes; +p16450 +tp16451 +a(g181 +V +tp16452 +a(g107 +Vthen +p16453 +tp16454 +a(g181 +V\u000a +p16455 +tp16456 +a(g69 +V$echo +p16457 +tp16458 +a(g181 +V\u000a +p16459 +tp16460 +a(g69 +V$echo +p16461 +tp16462 +a(g181 +V +tp16463 +a(g237 +V"*** Warning: Trying to link with static lib archive $deplib." +p16464 +tp16465 +a(g181 +V\u000a +p16466 +tp16467 +a(g69 +V$echo +p16468 +tp16469 +a(g181 +V +tp16470 +a(g237 +V"*** I have the capability to make that library automatically link in when" +p16471 +tp16472 +a(g181 +V\u000a +p16473 +tp16474 +a(g69 +V$echo +p16475 +tp16476 +a(g181 +V +tp16477 +a(g237 +V"*** you link to this library. But I can only do this if you have a" +p16478 +tp16479 +a(g181 +V\u000a +p16480 +tp16481 +a(g69 +V$echo +p16482 +tp16483 +a(g181 +V +tp16484 +a(g237 +V"*** shared version of the library, which you do not appear to have" +p16485 +tp16486 +a(g181 +V\u000a +p16487 +tp16488 +a(g69 +V$echo +p16489 +tp16490 +a(g181 +V +tp16491 +a(g237 +V"*** because the file extensions .$libext of this argument makes me believe" +p16492 +tp16493 +a(g181 +V\u000a +p16494 +tp16495 +a(g69 +V$echo +p16496 +tp16497 +a(g181 +V +tp16498 +a(g237 +V"*** that it is just a static archive that I should not used here." +p16499 +tp16500 +a(g181 +V\u000a +p16501 +tp16502 +a(g107 +Velse +p16503 +tp16504 +a(g181 +V\u000a +p16505 +tp16506 +a(g69 +V$echo +p16507 +tp16508 +a(g181 +V\u000a +p16509 +tp16510 +a(g69 +V$echo +p16511 +tp16512 +a(g181 +V +tp16513 +a(g237 +V"*** Warning: Linking the shared library $output against the" +p16514 +tp16515 +a(g181 +V\u000a +p16516 +tp16517 +a(g69 +V$echo +p16518 +tp16519 +a(g181 +V +tp16520 +a(g237 +V"*** static library $deplib is not portable!" +p16521 +tp16522 +a(g181 +V\u000a +p16523 +tp16524 +a(g69 +Vdeplibs +p16525 +tp16526 +a(g336 +V= +tp16527 +a(g237 +V"$deplib $deplibs" +p16528 +tp16529 +a(g181 +V\u000a +p16530 +tp16531 +a(g107 +Vfi\u000a +p16532 +tp16533 +a(g107 +Vcontinue +p16534 +tp16535 +a(g181 +V\u000a +p16536 +tp16537 +a(g181 +V; +tp16538 +a(g181 +V; +tp16539 +a(g181 +V\u000a +p16540 +tp16541 +a(g181 +Vprog +p16542 +tp16543 +a(g336 +V) +tp16544 +a(g181 +V\u000a +p16545 +tp16546 +a(g107 +Vif +p16547 +tp16548 +a(g53 +Vtest +p16549 +tp16550 +a(g181 +V +tp16551 +a(g237 +V"$pass" +p16552 +tp16553 +a(g181 +V +tp16554 +a(g181 +V! +tp16555 +a(g336 +V= +tp16556 +a(g181 +V +tp16557 +a(g181 +Vlink; +p16558 +tp16559 +a(g181 +V +tp16560 +a(g107 +Vthen\u000a +p16561 +tp16562 +a(g69 +Vdeplibs +p16563 +tp16564 +a(g336 +V= +tp16565 +a(g237 +V"$deplib $deplibs" +p16566 +tp16567 +a(g181 +V\u000a +p16568 +tp16569 +a(g107 +Velse\u000a +p16570 +tp16571 +a(g69 +Vcompile_deplibs +p16572 +tp16573 +a(g336 +V= +tp16574 +a(g237 +V"$deplib $compile_deplibs" +p16575 +tp16576 +a(g181 +V\u000a +p16577 +tp16578 +a(g69 +Vfinalize_deplibs +p16579 +tp16580 +a(g336 +V= +tp16581 +a(g237 +V"$deplib $finalize_deplibs" +p16582 +tp16583 +a(g181 +V\u000a +p16584 +tp16585 +a(g107 +Vfi\u000a +p16586 +tp16587 +a(g107 +Vcontinue +p16588 +tp16589 +a(g181 +V\u000a +p16590 +tp16591 +a(g181 +V; +tp16592 +a(g181 +V; +tp16593 +a(g181 +V\u000a +p16594 +tp16595 +a(g107 +Vesac +p16596 +tp16597 +a(g181 +V +tp16598 +a(g6 +V# linkmode\u000a +p16599 +tp16600 +a(g181 +V +p16601 +tp16602 +a(g181 +V; +tp16603 +a(g181 +V; +tp16604 +a(g181 +V +tp16605 +a(g6 +V# *.$libext\u000a +p16606 +tp16607 +a(g181 +V +tp16608 +a(g181 +V*.lo +p16609 +tp16610 +a(g181 +V +tp16611 +a(g181 +V| +tp16612 +a(g181 +V +tp16613 +a(g181 +V*. +p16614 +tp16615 +a(g69 +V$objext +p16616 +tp16617 +a(g336 +V) +tp16618 +a(g181 +V\u000a +p16619 +tp16620 +a(g107 +Vif +p16621 +tp16622 +a(g53 +Vtest +p16623 +tp16624 +a(g181 +V +tp16625 +a(g237 +V"$pass" +p16626 +tp16627 +a(g181 +V +tp16628 +a(g336 +V= +tp16629 +a(g181 +V +tp16630 +a(g181 +Vconv; +p16631 +tp16632 +a(g181 +V +tp16633 +a(g107 +Vthen\u000a +p16634 +tp16635 +a(g69 +Vdeplibs +p16636 +tp16637 +a(g336 +V= +tp16638 +a(g237 +V"$deplib $deplibs" +p16639 +tp16640 +a(g181 +V\u000a +p16641 +tp16642 +a(g107 +Velif +p16643 +tp16644 +a(g53 +Vtest +p16645 +tp16646 +a(g181 +V +tp16647 +a(g237 +V"$linkmode" +p16648 +tp16649 +a(g181 +V +tp16650 +a(g336 +V= +tp16651 +a(g181 +V +tp16652 +a(g181 +Vprog; +p16653 +tp16654 +a(g181 +V +tp16655 +a(g107 +Vthen\u000a +p16656 +tp16657 +a(g107 +Vif +p16658 +tp16659 +a(g53 +Vtest +p16660 +tp16661 +a(g181 +V +tp16662 +a(g237 +V"$pass" +p16663 +tp16664 +a(g181 +V +tp16665 +a(g336 +V= +tp16666 +a(g181 +V +tp16667 +a(g181 +Vdlpreopen +p16668 +tp16669 +a(g181 +V +tp16670 +a(g336 +V|| +p16671 +tp16672 +a(g181 +V +tp16673 +a(g53 +Vtest +p16674 +tp16675 +a(g181 +V +tp16676 +a(g237 +V"$dlopen_support" +p16677 +tp16678 +a(g181 +V +tp16679 +a(g181 +V! +tp16680 +a(g336 +V= +tp16681 +a(g181 +V +tp16682 +a(g181 +Vyes +p16683 +tp16684 +a(g181 +V +tp16685 +a(g336 +V|| +p16686 +tp16687 +a(g181 +V +tp16688 +a(g53 +Vtest +p16689 +tp16690 +a(g181 +V +tp16691 +a(g237 +V"$build_libtool_libs" +p16692 +tp16693 +a(g181 +V +tp16694 +a(g336 +V= +tp16695 +a(g181 +V +tp16696 +a(g181 +Vno; +p16697 +tp16698 +a(g181 +V +tp16699 +a(g107 +Vthen +p16700 +tp16701 +a(g181 +V\u000a +p16702 +tp16703 +a(g6 +V# If there is no dlopen support or we're linking statically,\u000a +p16704 +tp16705 +a(g181 +V +p16706 +tp16707 +a(g6 +V# we need to preload.\u000a +p16708 +tp16709 +a(g181 +V +p16710 +tp16711 +a(g69 +Vnewdlprefiles +p16712 +tp16713 +a(g336 +V= +tp16714 +a(g237 +V"$newdlprefiles $deplib" +p16715 +tp16716 +a(g181 +V\u000a +p16717 +tp16718 +a(g69 +Vcompile_deplibs +p16719 +tp16720 +a(g336 +V= +tp16721 +a(g237 +V"$deplib $compile_deplibs" +p16722 +tp16723 +a(g181 +V\u000a +p16724 +tp16725 +a(g69 +Vfinalize_deplibs +p16726 +tp16727 +a(g336 +V= +tp16728 +a(g237 +V"$deplib $finalize_deplibs" +p16729 +tp16730 +a(g181 +V\u000a +p16731 +tp16732 +a(g107 +Velse\u000a +p16733 +tp16734 +a(g69 +Vnewdlfiles +p16735 +tp16736 +a(g336 +V= +tp16737 +a(g237 +V"$newdlfiles $deplib" +p16738 +tp16739 +a(g181 +V\u000a +p16740 +tp16741 +a(g107 +Vfi\u000a +p16742 +tp16743 +a(g107 +Vfi\u000a +p16744 +tp16745 +a(g107 +Vcontinue +p16746 +tp16747 +a(g181 +V\u000a +p16748 +tp16749 +a(g181 +V; +tp16750 +a(g181 +V; +tp16751 +a(g181 +V\u000a +p16752 +tp16753 +a(g181 +V%DEPLIBS% +p16754 +tp16755 +a(g336 +V) +tp16756 +a(g181 +V\u000a +p16757 +tp16758 +a(g69 +Valldeplibs +p16759 +tp16760 +a(g336 +V= +tp16761 +a(g181 +Vyes +p16762 +tp16763 +a(g181 +V\u000a +p16764 +tp16765 +a(g107 +Vcontinue +p16766 +tp16767 +a(g181 +V\u000a +p16768 +tp16769 +a(g181 +V; +tp16770 +a(g181 +V; +tp16771 +a(g181 +V\u000a +p16772 +tp16773 +a(g107 +Vesac +p16774 +tp16775 +a(g181 +V +tp16776 +a(g6 +V# case $deplib\u000a +p16777 +tp16778 +a(g181 +V +tp16779 +a(g107 +Vif +p16780 +tp16781 +a(g53 +Vtest +p16782 +tp16783 +a(g181 +V +tp16784 +a(g237 +V"$found" +p16785 +tp16786 +a(g181 +V +tp16787 +a(g336 +V= +tp16788 +a(g181 +V +tp16789 +a(g181 +Vyes +p16790 +tp16791 +a(g181 +V +tp16792 +a(g336 +V|| +p16793 +tp16794 +a(g181 +V +tp16795 +a(g53 +Vtest +p16796 +tp16797 +a(g181 +V +tp16798 +a(g181 +V-f +p16799 +tp16800 +a(g181 +V +tp16801 +a(g237 +V"$lib" +p16802 +tp16803 +a(g181 +V; +tp16804 +a(g181 +V +tp16805 +a(g107 +Vthen +p16806 +tp16807 +a(g181 +V +tp16808 +a(g181 +V: +tp16809 +a(g181 +V\u000a +p16810 +tp16811 +a(g107 +Velse +p16812 +tp16813 +a(g181 +V\u000a +p16814 +tp16815 +a(g69 +V$echo +p16816 +tp16817 +a(g181 +V +tp16818 +a(g237 +V"$modename: cannot find the library \u005c`$lib' or unhandled argument \u005c`$deplib'" +p16819 +tp16820 +a(g181 +V +tp16821 +a(g181 +V1>&2 +p16822 +tp16823 +a(g181 +V\u000a +p16824 +tp16825 +a(g53 +Vexit +p16826 +tp16827 +a(g181 +V +tp16828 +a(g69 +V$EXIT_FAILURE +p16829 +tp16830 +a(g181 +V\u000a +p16831 +tp16832 +a(g107 +Vfi +p16833 +tp16834 +a(g181 +V\u000a\u000a +p16835 +tp16836 +a(g6 +V# Check to see that this really is a libtool archive.\u000a +p16837 +tp16838 +a(g181 +V +tp16839 +a(g107 +Vif +p16840 +tp16841 +a(g181 +V +tp16842 +a(g336 +V( +tp16843 +a(g107 +V${ +p16844 +tp16845 +a(g69 +VSED +p16846 +tp16847 +a(g107 +V} +tp16848 +a(g181 +V +tp16849 +a(g181 +V-e +p16850 +tp16851 +a(g181 +V +tp16852 +a(g264 +V'2q' +p16853 +tp16854 +a(g181 +V +tp16855 +a(g69 +V$lib +p16856 +tp16857 +a(g181 +V +tp16858 +a(g181 +V| +tp16859 +a(g181 +V +tp16860 +a(g181 +Vgrep +p16861 +tp16862 +a(g181 +V +tp16863 +a(g237 +V"^# Generated by .*$PACKAGE" +p16864 +tp16865 +a(g336 +V) +tp16866 +a(g181 +V +tp16867 +a(g181 +V>/dev/null +p16868 +tp16869 +a(g181 +V +tp16870 +a(g181 +V2>&1; +p16871 +tp16872 +a(g181 +V +tp16873 +a(g107 +Vthen +p16874 +tp16875 +a(g181 +V +tp16876 +a(g181 +V: +tp16877 +a(g181 +V\u000a +p16878 +tp16879 +a(g107 +Velse +p16880 +tp16881 +a(g181 +V\u000a +p16882 +tp16883 +a(g69 +V$echo +p16884 +tp16885 +a(g181 +V +tp16886 +a(g237 +V"$modename: \u005c`$lib' is not a valid libtool archive" +p16887 +tp16888 +a(g181 +V +tp16889 +a(g181 +V1>&2 +p16890 +tp16891 +a(g181 +V\u000a +p16892 +tp16893 +a(g53 +Vexit +p16894 +tp16895 +a(g181 +V +tp16896 +a(g69 +V$EXIT_FAILURE +p16897 +tp16898 +a(g181 +V\u000a +p16899 +tp16900 +a(g107 +Vfi\u000a\u000a +p16901 +tp16902 +a(g69 +Vladir +p16903 +tp16904 +a(g336 +V= +tp16905 +a(g256 +V` +tp16906 +a(g69 +V$echo +p16907 +tp16908 +a(g181 +V +tp16909 +a(g237 +V"X$lib" +p16910 +tp16911 +a(g181 +V +tp16912 +a(g181 +V| +tp16913 +a(g181 +V +tp16914 +a(g69 +V$Xsed +p16915 +tp16916 +a(g181 +V +tp16917 +a(g181 +V-e +p16918 +tp16919 +a(g181 +V +tp16920 +a(g264 +V's%/[^/]*$%%' +p16921 +tp16922 +a(g256 +V` +tp16923 +a(g181 +V\u000a +p16924 +tp16925 +a(g53 +Vtest +p16926 +tp16927 +a(g181 +V +tp16928 +a(g237 +V"X$ladir" +p16929 +tp16930 +a(g181 +V +tp16931 +a(g336 +V= +tp16932 +a(g181 +V +tp16933 +a(g237 +V"X$lib" +p16934 +tp16935 +a(g181 +V +tp16936 +a(g336 +V&& +p16937 +tp16938 +a(g181 +V +tp16939 +a(g69 +Vladir +p16940 +tp16941 +a(g336 +V= +tp16942 +a(g237 +V"." +p16943 +tp16944 +a(g181 +V\u000a\u000a +p16945 +tp16946 +a(g69 +Vdlname +p16947 +tp16948 +a(g336 +V= +tp16949 +a(g181 +V\u000a +p16950 +tp16951 +a(g69 +Vdlopen +p16952 +tp16953 +a(g336 +V= +tp16954 +a(g181 +V\u000a +p16955 +tp16956 +a(g69 +Vdlpreopen +p16957 +tp16958 +a(g336 +V= +tp16959 +a(g181 +V\u000a +p16960 +tp16961 +a(g69 +Vlibdir +p16962 +tp16963 +a(g336 +V= +tp16964 +a(g181 +V\u000a +p16965 +tp16966 +a(g69 +Vlibrary_names +p16967 +tp16968 +a(g336 +V= +tp16969 +a(g181 +V\u000a +p16970 +tp16971 +a(g69 +Vold_library +p16972 +tp16973 +a(g336 +V= +tp16974 +a(g181 +V\u000a +p16975 +tp16976 +a(g6 +V# If the library was installed with an old release of libtool,\u000a +p16977 +tp16978 +a(g181 +V +tp16979 +a(g6 +V# it will not redefine variables installed, or shouldnotlink\u000a +p16980 +tp16981 +a(g181 +V +tp16982 +a(g69 +Vinstalled +p16983 +tp16984 +a(g336 +V= +tp16985 +a(g181 +Vyes +p16986 +tp16987 +a(g181 +V\u000a +p16988 +tp16989 +a(g69 +Vshouldnotlink +p16990 +tp16991 +a(g336 +V= +tp16992 +a(g181 +Vno +p16993 +tp16994 +a(g181 +V\u000a +p16995 +tp16996 +a(g69 +Vavoidtemprpath +p16997 +tp16998 +a(g336 +V= +tp16999 +a(g181 +V\u000a\u000a\u000a +p17000 +tp17001 +a(g6 +V# Read the .la file\u000a +p17002 +tp17003 +a(g181 +V +tp17004 +a(g107 +Vcase +p17005 +tp17006 +a(g181 +V +tp17007 +a(g69 +V$lib +p17008 +tp17009 +a(g181 +V +tp17010 +a(g181 +Vin +p17011 +tp17012 +a(g181 +V\u000a +p17013 +tp17014 +a(g181 +V*/* +p17015 +tp17016 +a(g181 +V +tp17017 +a(g181 +V| +tp17018 +a(g181 +V +tp17019 +a(g181 +V* +tp17020 +a(g244 +V\u005c\u005c +p17021 +tp17022 +a(g181 +V* +tp17023 +a(g336 +V) +tp17024 +a(g181 +V +tp17025 +a(g181 +V. +tp17026 +a(g181 +V +tp17027 +a(g69 +V$lib +p17028 +tp17029 +a(g181 +V +tp17030 +a(g181 +V; +tp17031 +a(g181 +V; +tp17032 +a(g181 +V\u000a +p17033 +tp17034 +a(g181 +V* +tp17035 +a(g336 +V) +tp17036 +a(g181 +V +tp17037 +a(g181 +V. +tp17038 +a(g181 +V +tp17039 +a(g181 +V./ +p17040 +tp17041 +a(g69 +V$lib +p17042 +tp17043 +a(g181 +V +tp17044 +a(g181 +V; +tp17045 +a(g181 +V; +tp17046 +a(g181 +V\u000a +p17047 +tp17048 +a(g107 +Vesac\u000a\u000a +p17049 +tp17050 +a(g107 +Vif +p17051 +tp17052 +a(g53 +Vtest +p17053 +tp17054 +a(g181 +V +tp17055 +a(g237 +V"$linkmode,$pass" +p17056 +tp17057 +a(g181 +V +tp17058 +a(g336 +V= +tp17059 +a(g181 +V +tp17060 +a(g237 +V"lib,link" +p17061 +tp17062 +a(g181 +V +tp17063 +a(g336 +V|| +p17064 +tp17065 +a(g181 +V\u000a +p17066 +tp17067 +a(g53 +Vtest +p17068 +tp17069 +a(g181 +V +tp17070 +a(g237 +V"$linkmode,$pass" +p17071 +tp17072 +a(g181 +V +tp17073 +a(g336 +V= +tp17074 +a(g181 +V +tp17075 +a(g237 +V"prog,scan" +p17076 +tp17077 +a(g181 +V +tp17078 +a(g336 +V|| +p17079 +tp17080 +a(g181 +V\u000a +p17081 +tp17082 +a(g336 +V{ +tp17083 +a(g181 +V +tp17084 +a(g53 +Vtest +p17085 +tp17086 +a(g181 +V +tp17087 +a(g237 +V"$linkmode" +p17088 +tp17089 +a(g181 +V +tp17090 +a(g181 +V! +tp17091 +a(g336 +V= +tp17092 +a(g181 +V +tp17093 +a(g181 +Vprog +p17094 +tp17095 +a(g181 +V +tp17096 +a(g336 +V&& +p17097 +tp17098 +a(g181 +V +tp17099 +a(g53 +Vtest +p17100 +tp17101 +a(g181 +V +tp17102 +a(g237 +V"$linkmode" +p17103 +tp17104 +a(g181 +V +tp17105 +a(g181 +V! +tp17106 +a(g336 +V= +tp17107 +a(g181 +V +tp17108 +a(g181 +Vlib; +p17109 +tp17110 +a(g181 +V +tp17111 +a(g336 +V} +tp17112 +a(g181 +V; +tp17113 +a(g181 +V +tp17114 +a(g107 +Vthen\u000a +p17115 +tp17116 +a(g53 +Vtest +p17117 +tp17118 +a(g181 +V +tp17119 +a(g181 +V-n +p17120 +tp17121 +a(g181 +V +tp17122 +a(g237 +V"$dlopen" +p17123 +tp17124 +a(g181 +V +tp17125 +a(g336 +V&& +p17126 +tp17127 +a(g181 +V +tp17128 +a(g69 +Vdlfiles +p17129 +tp17130 +a(g336 +V= +tp17131 +a(g237 +V"$dlfiles $dlopen" +p17132 +tp17133 +a(g181 +V\u000a +p17134 +tp17135 +a(g53 +Vtest +p17136 +tp17137 +a(g181 +V +tp17138 +a(g181 +V-n +p17139 +tp17140 +a(g181 +V +tp17141 +a(g237 +V"$dlpreopen" +p17142 +tp17143 +a(g181 +V +tp17144 +a(g336 +V&& +p17145 +tp17146 +a(g181 +V +tp17147 +a(g69 +Vdlprefiles +p17148 +tp17149 +a(g336 +V= +tp17150 +a(g237 +V"$dlprefiles $dlpreopen" +p17151 +tp17152 +a(g181 +V\u000a +p17153 +tp17154 +a(g107 +Vfi\u000a\u000a +p17155 +tp17156 +a(g107 +Vif +p17157 +tp17158 +a(g53 +Vtest +p17159 +tp17160 +a(g181 +V +tp17161 +a(g237 +V"$pass" +p17162 +tp17163 +a(g181 +V +tp17164 +a(g336 +V= +tp17165 +a(g181 +V +tp17166 +a(g181 +Vconv; +p17167 +tp17168 +a(g181 +V +tp17169 +a(g107 +Vthen +p17170 +tp17171 +a(g181 +V\u000a +p17172 +tp17173 +a(g6 +V# Only check for convenience libraries\u000a +p17174 +tp17175 +a(g181 +V +p17176 +tp17177 +a(g69 +Vdeplibs +p17178 +tp17179 +a(g336 +V= +tp17180 +a(g237 +V"$lib $deplibs" +p17181 +tp17182 +a(g181 +V\u000a +p17183 +tp17184 +a(g107 +Vif +p17185 +tp17186 +a(g53 +Vtest +p17187 +tp17188 +a(g181 +V +tp17189 +a(g181 +V-z +p17190 +tp17191 +a(g181 +V +tp17192 +a(g237 +V"$libdir" +p17193 +tp17194 +a(g181 +V; +tp17195 +a(g181 +V +tp17196 +a(g107 +Vthen\u000a +p17197 +tp17198 +a(g107 +Vif +p17199 +tp17200 +a(g53 +Vtest +p17201 +tp17202 +a(g181 +V +tp17203 +a(g181 +V-z +p17204 +tp17205 +a(g181 +V +tp17206 +a(g237 +V"$old_library" +p17207 +tp17208 +a(g181 +V; +tp17209 +a(g181 +V +tp17210 +a(g107 +Vthen +p17211 +tp17212 +a(g181 +V\u000a +p17213 +tp17214 +a(g69 +V$echo +p17215 +tp17216 +a(g181 +V +tp17217 +a(g237 +V"$modename: cannot find name of link library for \u005c`$lib'" +p17218 +tp17219 +a(g181 +V +tp17220 +a(g181 +V1>&2 +p17221 +tp17222 +a(g181 +V\u000a +p17223 +tp17224 +a(g53 +Vexit +p17225 +tp17226 +a(g181 +V +tp17227 +a(g69 +V$EXIT_FAILURE +p17228 +tp17229 +a(g181 +V\u000a +p17230 +tp17231 +a(g107 +Vfi +p17232 +tp17233 +a(g181 +V\u000a +p17234 +tp17235 +a(g6 +V# It is a libtool convenience library, so add in its objects.\u000a +p17236 +tp17237 +a(g181 +V +p17238 +tp17239 +a(g69 +Vconvenience +p17240 +tp17241 +a(g336 +V= +tp17242 +a(g237 +V"$convenience $ladir/$objdir/$old_library" +p17243 +tp17244 +a(g181 +V\u000a +p17245 +tp17246 +a(g69 +Vold_convenience +p17247 +tp17248 +a(g336 +V= +tp17249 +a(g237 +V"$old_convenience $ladir/$objdir/$old_library" +p17250 +tp17251 +a(g181 +V\u000a +p17252 +tp17253 +a(g69 +Vtmp_libs +p17254 +tp17255 +a(g336 +V= +tp17256 +a(g181 +V\u000a +p17257 +tp17258 +a(g107 +Vfor +p17259 +tp17260 +a(g181 +Vdeplib +p17261 +tp17262 +a(g181 +V +tp17263 +a(g181 +Vin +p17264 +tp17265 +a(g181 +V +tp17266 +a(g69 +V$dependency_libs +p17267 +tp17268 +a(g181 +V; +tp17269 +a(g181 +V +tp17270 +a(g107 +Vdo\u000a +p17271 +tp17272 +a(g69 +Vdeplibs +p17273 +tp17274 +a(g336 +V= +tp17275 +a(g237 +V"$deplib $deplibs" +p17276 +tp17277 +a(g181 +V\u000a +p17278 +tp17279 +a(g107 +Vif +p17280 +tp17281 +a(g53 +Vtest +p17282 +tp17283 +a(g181 +V +tp17284 +a(g237 +V"X$duplicate_deps" +p17285 +tp17286 +a(g181 +V +tp17287 +a(g336 +V= +tp17288 +a(g181 +V +tp17289 +a(g237 +V"Xyes" +p17290 +tp17291 +a(g181 +V +tp17292 +a(g181 +V; +tp17293 +a(g181 +V +tp17294 +a(g107 +Vthen\u000a +p17295 +tp17296 +a(g107 +Vcase +p17297 +tp17298 +a(g181 +V +tp17299 +a(g237 +V"$tmp_libs " +p17300 +tp17301 +a(g181 +V +tp17302 +a(g181 +Vin +p17303 +tp17304 +a(g181 +V\u000a +p17305 +tp17306 +a(g181 +V* +tp17307 +a(g237 +V" $deplib " +p17308 +tp17309 +a(g181 +V* +tp17310 +a(g336 +V) +tp17311 +a(g181 +V +tp17312 +a(g69 +Vspecialdeplibs +p17313 +tp17314 +a(g336 +V= +tp17315 +a(g237 +V"$specialdeplibs $deplib" +p17316 +tp17317 +a(g181 +V +tp17318 +a(g181 +V; +tp17319 +a(g181 +V; +tp17320 +a(g181 +V\u000a +p17321 +tp17322 +a(g107 +Vesac\u000a +p17323 +tp17324 +a(g107 +Vfi\u000a +p17325 +tp17326 +a(g69 +Vtmp_libs +p17327 +tp17328 +a(g336 +V= +tp17329 +a(g237 +V"$tmp_libs $deplib" +p17330 +tp17331 +a(g181 +V\u000a +p17332 +tp17333 +a(g107 +Vdone\u000a +p17334 +tp17335 +a(g107 +Velif +p17336 +tp17337 +a(g53 +Vtest +p17338 +tp17339 +a(g181 +V +tp17340 +a(g237 +V"$linkmode" +p17341 +tp17342 +a(g181 +V +tp17343 +a(g181 +V! +tp17344 +a(g336 +V= +tp17345 +a(g181 +V +tp17346 +a(g181 +Vprog +p17347 +tp17348 +a(g181 +V +tp17349 +a(g336 +V&& +p17350 +tp17351 +a(g181 +V +tp17352 +a(g53 +Vtest +p17353 +tp17354 +a(g181 +V +tp17355 +a(g237 +V"$linkmode" +p17356 +tp17357 +a(g181 +V +tp17358 +a(g181 +V! +tp17359 +a(g336 +V= +tp17360 +a(g181 +V +tp17361 +a(g181 +Vlib; +p17362 +tp17363 +a(g181 +V +tp17364 +a(g107 +Vthen +p17365 +tp17366 +a(g181 +V\u000a +p17367 +tp17368 +a(g69 +V$echo +p17369 +tp17370 +a(g181 +V +tp17371 +a(g237 +V"$modename: \u005c`$lib' is not a convenience library" +p17372 +tp17373 +a(g181 +V +tp17374 +a(g181 +V1>&2 +p17375 +tp17376 +a(g181 +V\u000a +p17377 +tp17378 +a(g53 +Vexit +p17379 +tp17380 +a(g181 +V +tp17381 +a(g69 +V$EXIT_FAILURE +p17382 +tp17383 +a(g181 +V\u000a +p17384 +tp17385 +a(g107 +Vfi\u000a +p17386 +tp17387 +a(g107 +Vcontinue\u000a +p17388 +tp17389 +a(g107 +Vfi +p17390 +tp17391 +a(g181 +V +tp17392 +a(g6 +V# $pass = conv\u000a +p17393 +tp17394 +a(g181 +V\u000a\u000a +p17395 +tp17396 +a(g6 +V# Get the name of the library we link against.\u000a +p17397 +tp17398 +a(g181 +V +tp17399 +a(g69 +Vlinklib +p17400 +tp17401 +a(g336 +V= +tp17402 +a(g181 +V\u000a +p17403 +tp17404 +a(g107 +Vfor +p17405 +tp17406 +a(g181 +Vl +tp17407 +a(g181 +V +tp17408 +a(g181 +Vin +p17409 +tp17410 +a(g181 +V +tp17411 +a(g69 +V$old_library +p17412 +tp17413 +a(g181 +V +tp17414 +a(g69 +V$library_names +p17415 +tp17416 +a(g181 +V; +tp17417 +a(g181 +V +tp17418 +a(g107 +Vdo\u000a +p17419 +tp17420 +a(g69 +Vlinklib +p17421 +tp17422 +a(g336 +V= +tp17423 +a(g237 +V"$l" +p17424 +tp17425 +a(g181 +V\u000a +p17426 +tp17427 +a(g107 +Vdone\u000a +p17428 +tp17429 +a(g107 +Vif +p17430 +tp17431 +a(g53 +Vtest +p17432 +tp17433 +a(g181 +V +tp17434 +a(g181 +V-z +p17435 +tp17436 +a(g181 +V +tp17437 +a(g237 +V"$linklib" +p17438 +tp17439 +a(g181 +V; +tp17440 +a(g181 +V +tp17441 +a(g107 +Vthen +p17442 +tp17443 +a(g181 +V\u000a +p17444 +tp17445 +a(g69 +V$echo +p17446 +tp17447 +a(g181 +V +tp17448 +a(g237 +V"$modename: cannot find name of link library for \u005c`$lib'" +p17449 +tp17450 +a(g181 +V +tp17451 +a(g181 +V1>&2 +p17452 +tp17453 +a(g181 +V\u000a +p17454 +tp17455 +a(g53 +Vexit +p17456 +tp17457 +a(g181 +V +tp17458 +a(g69 +V$EXIT_FAILURE +p17459 +tp17460 +a(g181 +V\u000a +p17461 +tp17462 +a(g107 +Vfi +p17463 +tp17464 +a(g181 +V\u000a\u000a +p17465 +tp17466 +a(g6 +V# This library was specified with -dlopen.\u000a +p17467 +tp17468 +a(g181 +V +tp17469 +a(g107 +Vif +p17470 +tp17471 +a(g53 +Vtest +p17472 +tp17473 +a(g181 +V +tp17474 +a(g237 +V"$pass" +p17475 +tp17476 +a(g181 +V +tp17477 +a(g336 +V= +tp17478 +a(g181 +V +tp17479 +a(g181 +Vdlopen; +p17480 +tp17481 +a(g181 +V +tp17482 +a(g107 +Vthen\u000a +p17483 +tp17484 +a(g107 +Vif +p17485 +tp17486 +a(g53 +Vtest +p17487 +tp17488 +a(g181 +V +tp17489 +a(g181 +V-z +p17490 +tp17491 +a(g181 +V +tp17492 +a(g237 +V"$libdir" +p17493 +tp17494 +a(g181 +V; +tp17495 +a(g181 +V +tp17496 +a(g107 +Vthen +p17497 +tp17498 +a(g181 +V\u000a +p17499 +tp17500 +a(g69 +V$echo +p17501 +tp17502 +a(g181 +V +tp17503 +a(g237 +V"$modename: cannot -dlopen a convenience library: \u005c`$lib'" +p17504 +tp17505 +a(g181 +V +tp17506 +a(g181 +V1>&2 +p17507 +tp17508 +a(g181 +V\u000a +p17509 +tp17510 +a(g53 +Vexit +p17511 +tp17512 +a(g181 +V +tp17513 +a(g69 +V$EXIT_FAILURE +p17514 +tp17515 +a(g181 +V\u000a +p17516 +tp17517 +a(g107 +Vfi\u000a +p17518 +tp17519 +a(g107 +Vif +p17520 +tp17521 +a(g53 +Vtest +p17522 +tp17523 +a(g181 +V +tp17524 +a(g181 +V-z +p17525 +tp17526 +a(g181 +V +tp17527 +a(g237 +V"$dlname" +p17528 +tp17529 +a(g181 +V +tp17530 +a(g336 +V|| +p17531 +tp17532 +a(g181 +V\u000a +p17533 +tp17534 +a(g53 +Vtest +p17535 +tp17536 +a(g181 +V +tp17537 +a(g237 +V"$dlopen_support" +p17538 +tp17539 +a(g181 +V +tp17540 +a(g181 +V! +tp17541 +a(g336 +V= +tp17542 +a(g181 +V +tp17543 +a(g181 +Vyes +p17544 +tp17545 +a(g181 +V +tp17546 +a(g336 +V|| +p17547 +tp17548 +a(g181 +V\u000a +p17549 +tp17550 +a(g53 +Vtest +p17551 +tp17552 +a(g181 +V +tp17553 +a(g237 +V"$build_libtool_libs" +p17554 +tp17555 +a(g181 +V +tp17556 +a(g336 +V= +tp17557 +a(g181 +V +tp17558 +a(g181 +Vno; +p17559 +tp17560 +a(g181 +V +tp17561 +a(g107 +Vthen +p17562 +tp17563 +a(g181 +V\u000a +p17564 +tp17565 +a(g6 +V# If there is no dlname, no dlopen support or we're linking\u000a +p17566 +tp17567 +a(g181 +V +p17568 +tp17569 +a(g6 +V# statically, we need to preload. We also need to preload any\u000a +p17570 +tp17571 +a(g181 +V +p17572 +tp17573 +a(g6 +V# dependent libraries so libltdl's deplib preloader doesn't\u000a +p17574 +tp17575 +a(g181 +V +p17576 +tp17577 +a(g6 +V# bomb out in the load deplibs phase.\u000a +p17578 +tp17579 +a(g181 +V +p17580 +tp17581 +a(g69 +Vdlprefiles +p17582 +tp17583 +a(g336 +V= +tp17584 +a(g237 +V"$dlprefiles $lib $dependency_libs" +p17585 +tp17586 +a(g181 +V\u000a +p17587 +tp17588 +a(g107 +Velse\u000a +p17589 +tp17590 +a(g69 +Vnewdlfiles +p17591 +tp17592 +a(g336 +V= +tp17593 +a(g237 +V"$newdlfiles $lib" +p17594 +tp17595 +a(g181 +V\u000a +p17596 +tp17597 +a(g107 +Vfi\u000a +p17598 +tp17599 +a(g107 +Vcontinue\u000a +p17600 +tp17601 +a(g107 +Vfi +p17602 +tp17603 +a(g181 +V +tp17604 +a(g6 +V# $pass = dlopen\u000a +p17605 +tp17606 +a(g181 +V\u000a +p17607 +tp17608 +a(g6 +V# We need an absolute path.\u000a +p17609 +tp17610 +a(g181 +V +tp17611 +a(g107 +Vcase +p17612 +tp17613 +a(g181 +V +tp17614 +a(g69 +V$ladir +p17615 +tp17616 +a(g181 +V +tp17617 +a(g181 +Vin +p17618 +tp17619 +a(g181 +V\u000a +p17620 +tp17621 +a(g336 +V[ +tp17622 +a(g244 +V\u005c\u005c +p17623 +tp17624 +a(g181 +V/ +tp17625 +a(g336 +V] +tp17626 +a(g181 +V* +tp17627 +a(g181 +V +tp17628 +a(g181 +V| +tp17629 +a(g181 +V +tp17630 +a(g336 +V[ +tp17631 +a(g181 +VA-Za-z +p17632 +tp17633 +a(g336 +V] +tp17634 +a(g181 +V: +tp17635 +a(g336 +V[ +tp17636 +a(g244 +V\u005c\u005c +p17637 +tp17638 +a(g181 +V/ +tp17639 +a(g336 +V] +tp17640 +a(g181 +V* +tp17641 +a(g336 +V) +tp17642 +a(g181 +V +tp17643 +a(g69 +Vabs_ladir +p17644 +tp17645 +a(g336 +V= +tp17646 +a(g237 +V"$ladir" +p17647 +tp17648 +a(g181 +V +tp17649 +a(g181 +V; +tp17650 +a(g181 +V; +tp17651 +a(g181 +V\u000a +p17652 +tp17653 +a(g181 +V* +tp17654 +a(g336 +V) +tp17655 +a(g181 +V\u000a +p17656 +tp17657 +a(g69 +Vabs_ladir +p17658 +tp17659 +a(g336 +V= +tp17660 +a(g256 +V` +tp17661 +a(g53 +Vcd +p17662 +tp17663 +a(g181 +V +tp17664 +a(g237 +V"$ladir" +p17665 +tp17666 +a(g181 +V +tp17667 +a(g336 +V&& +p17668 +tp17669 +a(g181 +V +tp17670 +a(g53 +Vpwd +p17671 +tp17672 +a(g256 +V` +tp17673 +a(g181 +V\u000a +p17674 +tp17675 +a(g107 +Vif +p17676 +tp17677 +a(g53 +Vtest +p17678 +tp17679 +a(g181 +V +tp17680 +a(g181 +V-z +p17681 +tp17682 +a(g181 +V +tp17683 +a(g237 +V"$abs_ladir" +p17684 +tp17685 +a(g181 +V; +tp17686 +a(g181 +V +tp17687 +a(g107 +Vthen +p17688 +tp17689 +a(g181 +V\u000a +p17690 +tp17691 +a(g69 +V$echo +p17692 +tp17693 +a(g181 +V +tp17694 +a(g237 +V"$modename: warning: cannot determine absolute directory name of \u005c`$ladir'" +p17695 +tp17696 +a(g181 +V +tp17697 +a(g181 +V1>&2 +p17698 +tp17699 +a(g181 +V\u000a +p17700 +tp17701 +a(g69 +V$echo +p17702 +tp17703 +a(g181 +V +tp17704 +a(g237 +V"$modename: passing it literally to the linker, although it might fail" +p17705 +tp17706 +a(g181 +V +tp17707 +a(g181 +V1>&2 +p17708 +tp17709 +a(g181 +V\u000a +p17710 +tp17711 +a(g69 +Vabs_ladir +p17712 +tp17713 +a(g336 +V= +tp17714 +a(g237 +V"$ladir" +p17715 +tp17716 +a(g181 +V\u000a +p17717 +tp17718 +a(g107 +Vfi +p17719 +tp17720 +a(g181 +V\u000a +p17721 +tp17722 +a(g181 +V; +tp17723 +a(g181 +V; +tp17724 +a(g181 +V\u000a +p17725 +tp17726 +a(g107 +Vesac\u000a +p17727 +tp17728 +a(g69 +Vlaname +p17729 +tp17730 +a(g336 +V= +tp17731 +a(g256 +V` +tp17732 +a(g69 +V$echo +p17733 +tp17734 +a(g181 +V +tp17735 +a(g237 +V"X$lib" +p17736 +tp17737 +a(g181 +V +tp17738 +a(g181 +V| +tp17739 +a(g181 +V +tp17740 +a(g69 +V$Xsed +p17741 +tp17742 +a(g181 +V +tp17743 +a(g181 +V-e +p17744 +tp17745 +a(g181 +V +tp17746 +a(g264 +V's%^.*/%%' +p17747 +tp17748 +a(g256 +V` +tp17749 +a(g181 +V\u000a\u000a +p17750 +tp17751 +a(g6 +V# Find the relevant object directory and library name.\u000a +p17752 +tp17753 +a(g181 +V +tp17754 +a(g107 +Vif +p17755 +tp17756 +a(g53 +Vtest +p17757 +tp17758 +a(g181 +V +tp17759 +a(g237 +V"X$installed" +p17760 +tp17761 +a(g181 +V +tp17762 +a(g336 +V= +tp17763 +a(g181 +V +tp17764 +a(g181 +VXyes; +p17765 +tp17766 +a(g181 +V +tp17767 +a(g107 +Vthen\u000a +p17768 +tp17769 +a(g107 +Vif +p17770 +tp17771 +a(g53 +Vtest +p17772 +tp17773 +a(g181 +V +tp17774 +a(g181 +V! +tp17775 +a(g181 +V +tp17776 +a(g181 +V-f +p17777 +tp17778 +a(g181 +V +tp17779 +a(g237 +V"$libdir/$linklib" +p17780 +tp17781 +a(g181 +V +tp17782 +a(g336 +V&& +p17783 +tp17784 +a(g181 +V +tp17785 +a(g53 +Vtest +p17786 +tp17787 +a(g181 +V +tp17788 +a(g181 +V-f +p17789 +tp17790 +a(g181 +V +tp17791 +a(g237 +V"$abs_ladir/$linklib" +p17792 +tp17793 +a(g181 +V; +tp17794 +a(g181 +V +tp17795 +a(g107 +Vthen +p17796 +tp17797 +a(g181 +V\u000a +p17798 +tp17799 +a(g69 +V$echo +p17800 +tp17801 +a(g181 +V +tp17802 +a(g237 +V"$modename: warning: library \u005c`$lib' was moved." +p17803 +tp17804 +a(g181 +V +tp17805 +a(g181 +V1>&2 +p17806 +tp17807 +a(g181 +V\u000a +p17808 +tp17809 +a(g69 +Vdir +p17810 +tp17811 +a(g336 +V= +tp17812 +a(g237 +V"$ladir" +p17813 +tp17814 +a(g181 +V\u000a +p17815 +tp17816 +a(g69 +Vabsdir +p17817 +tp17818 +a(g336 +V= +tp17819 +a(g237 +V"$abs_ladir" +p17820 +tp17821 +a(g181 +V\u000a +p17822 +tp17823 +a(g69 +Vlibdir +p17824 +tp17825 +a(g336 +V= +tp17826 +a(g237 +V"$abs_ladir" +p17827 +tp17828 +a(g181 +V\u000a +p17829 +tp17830 +a(g107 +Velse\u000a +p17831 +tp17832 +a(g69 +Vdir +p17833 +tp17834 +a(g336 +V= +tp17835 +a(g237 +V"$libdir" +p17836 +tp17837 +a(g181 +V\u000a +p17838 +tp17839 +a(g69 +Vabsdir +p17840 +tp17841 +a(g336 +V= +tp17842 +a(g237 +V"$libdir" +p17843 +tp17844 +a(g181 +V\u000a +p17845 +tp17846 +a(g107 +Vfi\u000a +p17847 +tp17848 +a(g53 +Vtest +p17849 +tp17850 +a(g181 +V +tp17851 +a(g237 +V"X$hardcode_automatic" +p17852 +tp17853 +a(g181 +V +tp17854 +a(g336 +V= +tp17855 +a(g181 +V +tp17856 +a(g181 +VXyes +p17857 +tp17858 +a(g181 +V +tp17859 +a(g336 +V&& +p17860 +tp17861 +a(g181 +V +tp17862 +a(g69 +Vavoidtemprpath +p17863 +tp17864 +a(g336 +V= +tp17865 +a(g181 +Vyes +p17866 +tp17867 +a(g181 +V\u000a +p17868 +tp17869 +a(g107 +Velse\u000a +p17870 +tp17871 +a(g107 +Vif +p17872 +tp17873 +a(g53 +Vtest +p17874 +tp17875 +a(g181 +V +tp17876 +a(g181 +V! +tp17877 +a(g181 +V +tp17878 +a(g181 +V-f +p17879 +tp17880 +a(g181 +V +tp17881 +a(g237 +V"$ladir/$objdir/$linklib" +p17882 +tp17883 +a(g181 +V +tp17884 +a(g336 +V&& +p17885 +tp17886 +a(g181 +V +tp17887 +a(g53 +Vtest +p17888 +tp17889 +a(g181 +V +tp17890 +a(g181 +V-f +p17891 +tp17892 +a(g181 +V +tp17893 +a(g237 +V"$abs_ladir/$linklib" +p17894 +tp17895 +a(g181 +V; +tp17896 +a(g181 +V +tp17897 +a(g107 +Vthen\u000a +p17898 +tp17899 +a(g69 +Vdir +p17900 +tp17901 +a(g336 +V= +tp17902 +a(g237 +V"$ladir" +p17903 +tp17904 +a(g181 +V\u000a +p17905 +tp17906 +a(g69 +Vabsdir +p17907 +tp17908 +a(g336 +V= +tp17909 +a(g237 +V"$abs_ladir" +p17910 +tp17911 +a(g181 +V\u000a +p17912 +tp17913 +a(g6 +V# Remove this search path later\u000a +p17914 +tp17915 +a(g181 +V +p17916 +tp17917 +a(g69 +Vnotinst_path +p17918 +tp17919 +a(g336 +V= +tp17920 +a(g237 +V"$notinst_path $abs_ladir" +p17921 +tp17922 +a(g181 +V\u000a +p17923 +tp17924 +a(g107 +Velse\u000a +p17925 +tp17926 +a(g69 +Vdir +p17927 +tp17928 +a(g336 +V= +tp17929 +a(g237 +V"$ladir/$objdir" +p17930 +tp17931 +a(g181 +V\u000a +p17932 +tp17933 +a(g69 +Vabsdir +p17934 +tp17935 +a(g336 +V= +tp17936 +a(g237 +V"$abs_ladir/$objdir" +p17937 +tp17938 +a(g181 +V\u000a +p17939 +tp17940 +a(g6 +V# Remove this search path later\u000a +p17941 +tp17942 +a(g181 +V +p17943 +tp17944 +a(g69 +Vnotinst_path +p17945 +tp17946 +a(g336 +V= +tp17947 +a(g237 +V"$notinst_path $abs_ladir" +p17948 +tp17949 +a(g181 +V\u000a +p17950 +tp17951 +a(g107 +Vfi\u000a +p17952 +tp17953 +a(g107 +Vfi +p17954 +tp17955 +a(g181 +V +tp17956 +a(g6 +V# $installed = yes\u000a +p17957 +tp17958 +a(g181 +V +tp17959 +a(g69 +Vname +p17960 +tp17961 +a(g336 +V= +tp17962 +a(g256 +V` +tp17963 +a(g69 +V$echo +p17964 +tp17965 +a(g181 +V +tp17966 +a(g237 +V"X$laname" +p17967 +tp17968 +a(g181 +V +tp17969 +a(g181 +V| +tp17970 +a(g181 +V +tp17971 +a(g69 +V$Xsed +p17972 +tp17973 +a(g181 +V +tp17974 +a(g181 +V-e +p17975 +tp17976 +a(g181 +V +tp17977 +a(g264 +V's/\u005c.la$//' +p17978 +tp17979 +a(g181 +V +tp17980 +a(g181 +V-e +p17981 +tp17982 +a(g181 +V +tp17983 +a(g264 +V's/^lib//' +p17984 +tp17985 +a(g256 +V` +tp17986 +a(g181 +V\u000a\u000a +p17987 +tp17988 +a(g6 +V# This library was specified with -dlpreopen.\u000a +p17989 +tp17990 +a(g181 +V +tp17991 +a(g107 +Vif +p17992 +tp17993 +a(g53 +Vtest +p17994 +tp17995 +a(g181 +V +tp17996 +a(g237 +V"$pass" +p17997 +tp17998 +a(g181 +V +tp17999 +a(g336 +V= +tp18000 +a(g181 +V +tp18001 +a(g181 +Vdlpreopen; +p18002 +tp18003 +a(g181 +V +tp18004 +a(g107 +Vthen\u000a +p18005 +tp18006 +a(g107 +Vif +p18007 +tp18008 +a(g53 +Vtest +p18009 +tp18010 +a(g181 +V +tp18011 +a(g181 +V-z +p18012 +tp18013 +a(g181 +V +tp18014 +a(g237 +V"$libdir" +p18015 +tp18016 +a(g181 +V; +tp18017 +a(g181 +V +tp18018 +a(g107 +Vthen +p18019 +tp18020 +a(g181 +V\u000a +p18021 +tp18022 +a(g69 +V$echo +p18023 +tp18024 +a(g181 +V +tp18025 +a(g237 +V"$modename: cannot -dlpreopen a convenience library: \u005c`$lib'" +p18026 +tp18027 +a(g181 +V +tp18028 +a(g181 +V1>&2 +p18029 +tp18030 +a(g181 +V\u000a +p18031 +tp18032 +a(g53 +Vexit +p18033 +tp18034 +a(g181 +V +tp18035 +a(g69 +V$EXIT_FAILURE +p18036 +tp18037 +a(g181 +V\u000a +p18038 +tp18039 +a(g107 +Vfi +p18040 +tp18041 +a(g181 +V\u000a +p18042 +tp18043 +a(g6 +V# Prefer using a static library (so that no silly _DYNAMIC symbols\u000a +p18044 +tp18045 +a(g181 +V +p18046 +tp18047 +a(g6 +V# are required to link).\u000a +p18048 +tp18049 +a(g181 +V +p18050 +tp18051 +a(g107 +Vif +p18052 +tp18053 +a(g53 +Vtest +p18054 +tp18055 +a(g181 +V +tp18056 +a(g181 +V-n +p18057 +tp18058 +a(g181 +V +tp18059 +a(g237 +V"$old_library" +p18060 +tp18061 +a(g181 +V; +tp18062 +a(g181 +V +tp18063 +a(g107 +Vthen\u000a +p18064 +tp18065 +a(g69 +Vnewdlprefiles +p18066 +tp18067 +a(g336 +V= +tp18068 +a(g237 +V"$newdlprefiles $dir/$old_library" +p18069 +tp18070 +a(g181 +V\u000a +p18071 +tp18072 +a(g6 +V# Otherwise, use the dlname, so that lt_dlopen finds it.\u000a +p18073 +tp18074 +a(g181 +V +p18075 +tp18076 +a(g107 +Velif +p18077 +tp18078 +a(g53 +Vtest +p18079 +tp18080 +a(g181 +V +tp18081 +a(g181 +V-n +p18082 +tp18083 +a(g181 +V +tp18084 +a(g237 +V"$dlname" +p18085 +tp18086 +a(g181 +V; +tp18087 +a(g181 +V +tp18088 +a(g107 +Vthen\u000a +p18089 +tp18090 +a(g69 +Vnewdlprefiles +p18091 +tp18092 +a(g336 +V= +tp18093 +a(g237 +V"$newdlprefiles $dir/$dlname" +p18094 +tp18095 +a(g181 +V\u000a +p18096 +tp18097 +a(g107 +Velse\u000a +p18098 +tp18099 +a(g69 +Vnewdlprefiles +p18100 +tp18101 +a(g336 +V= +tp18102 +a(g237 +V"$newdlprefiles $dir/$linklib" +p18103 +tp18104 +a(g181 +V\u000a +p18105 +tp18106 +a(g107 +Vfi\u000a +p18107 +tp18108 +a(g107 +Vfi +p18109 +tp18110 +a(g181 +V +tp18111 +a(g6 +V# $pass = dlpreopen\u000a +p18112 +tp18113 +a(g181 +V\u000a +p18114 +tp18115 +a(g107 +Vif +p18116 +tp18117 +a(g53 +Vtest +p18118 +tp18119 +a(g181 +V +tp18120 +a(g181 +V-z +p18121 +tp18122 +a(g181 +V +tp18123 +a(g237 +V"$libdir" +p18124 +tp18125 +a(g181 +V; +tp18126 +a(g181 +V +tp18127 +a(g107 +Vthen +p18128 +tp18129 +a(g181 +V\u000a +p18130 +tp18131 +a(g6 +V# Link the convenience library\u000a +p18132 +tp18133 +a(g181 +V +p18134 +tp18135 +a(g107 +Vif +p18136 +tp18137 +a(g53 +Vtest +p18138 +tp18139 +a(g181 +V +tp18140 +a(g237 +V"$linkmode" +p18141 +tp18142 +a(g181 +V +tp18143 +a(g336 +V= +tp18144 +a(g181 +V +tp18145 +a(g181 +Vlib; +p18146 +tp18147 +a(g181 +V +tp18148 +a(g107 +Vthen\u000a +p18149 +tp18150 +a(g69 +Vdeplibs +p18151 +tp18152 +a(g336 +V= +tp18153 +a(g237 +V"$dir/$old_library $deplibs" +p18154 +tp18155 +a(g181 +V\u000a +p18156 +tp18157 +a(g107 +Velif +p18158 +tp18159 +a(g53 +Vtest +p18160 +tp18161 +a(g181 +V +tp18162 +a(g237 +V"$linkmode,$pass" +p18163 +tp18164 +a(g181 +V +tp18165 +a(g336 +V= +tp18166 +a(g181 +V +tp18167 +a(g237 +V"prog,link" +p18168 +tp18169 +a(g181 +V; +tp18170 +a(g181 +V +tp18171 +a(g107 +Vthen\u000a +p18172 +tp18173 +a(g69 +Vcompile_deplibs +p18174 +tp18175 +a(g336 +V= +tp18176 +a(g237 +V"$dir/$old_library $compile_deplibs" +p18177 +tp18178 +a(g181 +V\u000a +p18179 +tp18180 +a(g69 +Vfinalize_deplibs +p18181 +tp18182 +a(g336 +V= +tp18183 +a(g237 +V"$dir/$old_library $finalize_deplibs" +p18184 +tp18185 +a(g181 +V\u000a +p18186 +tp18187 +a(g107 +Velse\u000a +p18188 +tp18189 +a(g69 +Vdeplibs +p18190 +tp18191 +a(g336 +V= +tp18192 +a(g237 +V"$lib $deplibs" +p18193 +tp18194 +a(g181 +V +tp18195 +a(g6 +V# used for prog,scan pass\u000a +p18196 +tp18197 +a(g181 +V +p18198 +tp18199 +a(g107 +Vfi\u000a +p18200 +tp18201 +a(g107 +Vcontinue\u000a +p18202 +tp18203 +a(g107 +Vfi\u000a\u000a\u000a +p18204 +tp18205 +a(g107 +Vif +p18206 +tp18207 +a(g53 +Vtest +p18208 +tp18209 +a(g181 +V +tp18210 +a(g237 +V"$linkmode" +p18211 +tp18212 +a(g181 +V +tp18213 +a(g336 +V= +tp18214 +a(g181 +V +tp18215 +a(g181 +Vprog +p18216 +tp18217 +a(g181 +V +tp18218 +a(g336 +V&& +p18219 +tp18220 +a(g181 +V +tp18221 +a(g53 +Vtest +p18222 +tp18223 +a(g181 +V +tp18224 +a(g237 +V"$pass" +p18225 +tp18226 +a(g181 +V +tp18227 +a(g181 +V! +tp18228 +a(g336 +V= +tp18229 +a(g181 +V +tp18230 +a(g181 +Vlink; +p18231 +tp18232 +a(g181 +V +tp18233 +a(g107 +Vthen\u000a +p18234 +tp18235 +a(g69 +Vnewlib_search_path +p18236 +tp18237 +a(g336 +V= +tp18238 +a(g237 +V"$newlib_search_path $ladir" +p18239 +tp18240 +a(g181 +V\u000a +p18241 +tp18242 +a(g69 +Vdeplibs +p18243 +tp18244 +a(g336 +V= +tp18245 +a(g237 +V"$lib $deplibs" +p18246 +tp18247 +a(g181 +V\u000a\u000a +p18248 +tp18249 +a(g69 +Vlinkalldeplibs +p18250 +tp18251 +a(g336 +V= +tp18252 +a(g181 +Vno +p18253 +tp18254 +a(g181 +V\u000a +p18255 +tp18256 +a(g107 +Vif +p18257 +tp18258 +a(g53 +Vtest +p18259 +tp18260 +a(g181 +V +tp18261 +a(g237 +V"$link_all_deplibs" +p18262 +tp18263 +a(g181 +V +tp18264 +a(g181 +V! +tp18265 +a(g336 +V= +tp18266 +a(g181 +V +tp18267 +a(g181 +Vno +p18268 +tp18269 +a(g181 +V +tp18270 +a(g336 +V|| +p18271 +tp18272 +a(g181 +V +tp18273 +a(g53 +Vtest +p18274 +tp18275 +a(g181 +V +tp18276 +a(g181 +V-z +p18277 +tp18278 +a(g181 +V +tp18279 +a(g237 +V"$library_names" +p18280 +tp18281 +a(g181 +V +tp18282 +a(g336 +V|| +p18283 +tp18284 +a(g181 +V\u000a +p18285 +tp18286 +a(g53 +Vtest +p18287 +tp18288 +a(g181 +V +tp18289 +a(g237 +V"$build_libtool_libs" +p18290 +tp18291 +a(g181 +V +tp18292 +a(g336 +V= +tp18293 +a(g181 +V +tp18294 +a(g181 +Vno; +p18295 +tp18296 +a(g181 +V +tp18297 +a(g107 +Vthen\u000a +p18298 +tp18299 +a(g69 +Vlinkalldeplibs +p18300 +tp18301 +a(g336 +V= +tp18302 +a(g181 +Vyes +p18303 +tp18304 +a(g181 +V\u000a +p18305 +tp18306 +a(g107 +Vfi\u000a\u000a +p18307 +tp18308 +a(g69 +Vtmp_libs +p18309 +tp18310 +a(g336 +V= +tp18311 +a(g181 +V\u000a +p18312 +tp18313 +a(g107 +Vfor +p18314 +tp18315 +a(g181 +Vdeplib +p18316 +tp18317 +a(g181 +V +tp18318 +a(g181 +Vin +p18319 +tp18320 +a(g181 +V +tp18321 +a(g69 +V$dependency_libs +p18322 +tp18323 +a(g181 +V; +tp18324 +a(g181 +V +tp18325 +a(g107 +Vdo\u000a +p18326 +tp18327 +a(g107 +Vcase +p18328 +tp18329 +a(g181 +V +tp18330 +a(g69 +V$deplib +p18331 +tp18332 +a(g181 +V +tp18333 +a(g181 +Vin +p18334 +tp18335 +a(g181 +V\u000a +p18336 +tp18337 +a(g181 +V-L* +p18338 +tp18339 +a(g336 +V) +tp18340 +a(g181 +V +tp18341 +a(g69 +Vnewlib_search_path +p18342 +tp18343 +a(g336 +V= +tp18344 +a(g237 +V"$newlib_search_path " +p18345 +tp18346 +a(g256 +V` +tp18347 +a(g69 +V$echo +p18348 +tp18349 +a(g181 +V +tp18350 +a(g237 +V"X$deplib" +p18351 +tp18352 +a(g181 +V +tp18353 +a(g181 +V| +tp18354 +a(g181 +V +tp18355 +a(g69 +V$Xsed +p18356 +tp18357 +a(g181 +V +tp18358 +a(g181 +V-e +p18359 +tp18360 +a(g181 +V +tp18361 +a(g264 +V's/^-L//' +p18362 +tp18363 +a(g256 +V` +tp18364 +a(g181 +V; +tp18365 +a(g181 +V; +tp18366 +a(g181 +V +tp18367 +a(g6 +V### testsuite: skip nested quoting test\u000a +p18368 +tp18369 +a(g181 +V +p18370 +tp18371 +a(g107 +Vesac +p18372 +tp18373 +a(g181 +V\u000a +p18374 +tp18375 +a(g6 +V# Need to link against all dependency_libs?\u000a +p18376 +tp18377 +a(g181 +V +p18378 +tp18379 +a(g107 +Vif +p18380 +tp18381 +a(g53 +Vtest +p18382 +tp18383 +a(g181 +V +tp18384 +a(g237 +V"$linkalldeplibs" +p18385 +tp18386 +a(g181 +V +tp18387 +a(g336 +V= +tp18388 +a(g181 +V +tp18389 +a(g181 +Vyes; +p18390 +tp18391 +a(g181 +V +tp18392 +a(g107 +Vthen\u000a +p18393 +tp18394 +a(g69 +Vdeplibs +p18395 +tp18396 +a(g336 +V= +tp18397 +a(g237 +V"$deplib $deplibs" +p18398 +tp18399 +a(g181 +V\u000a +p18400 +tp18401 +a(g107 +Velse +p18402 +tp18403 +a(g181 +V\u000a +p18404 +tp18405 +a(g6 +V# Need to hardcode shared library paths\u000a +p18406 +tp18407 +a(g181 +V +p18408 +tp18409 +a(g6 +V# or/and link against static libraries\u000a +p18410 +tp18411 +a(g181 +V +p18412 +tp18413 +a(g69 +Vnewdependency_libs +p18414 +tp18415 +a(g336 +V= +tp18416 +a(g237 +V"$deplib $newdependency_libs" +p18417 +tp18418 +a(g181 +V\u000a +p18419 +tp18420 +a(g107 +Vfi\u000a +p18421 +tp18422 +a(g107 +Vif +p18423 +tp18424 +a(g53 +Vtest +p18425 +tp18426 +a(g181 +V +tp18427 +a(g237 +V"X$duplicate_deps" +p18428 +tp18429 +a(g181 +V +tp18430 +a(g336 +V= +tp18431 +a(g181 +V +tp18432 +a(g237 +V"Xyes" +p18433 +tp18434 +a(g181 +V +tp18435 +a(g181 +V; +tp18436 +a(g181 +V +tp18437 +a(g107 +Vthen\u000a +p18438 +tp18439 +a(g107 +Vcase +p18440 +tp18441 +a(g181 +V +tp18442 +a(g237 +V"$tmp_libs " +p18443 +tp18444 +a(g181 +V +tp18445 +a(g181 +Vin +p18446 +tp18447 +a(g181 +V\u000a +p18448 +tp18449 +a(g181 +V* +tp18450 +a(g237 +V" $deplib " +p18451 +tp18452 +a(g181 +V* +tp18453 +a(g336 +V) +tp18454 +a(g181 +V +tp18455 +a(g69 +Vspecialdeplibs +p18456 +tp18457 +a(g336 +V= +tp18458 +a(g237 +V"$specialdeplibs $deplib" +p18459 +tp18460 +a(g181 +V +tp18461 +a(g181 +V; +tp18462 +a(g181 +V; +tp18463 +a(g181 +V\u000a +p18464 +tp18465 +a(g107 +Vesac\u000a +p18466 +tp18467 +a(g107 +Vfi\u000a +p18468 +tp18469 +a(g69 +Vtmp_libs +p18470 +tp18471 +a(g336 +V= +tp18472 +a(g237 +V"$tmp_libs $deplib" +p18473 +tp18474 +a(g181 +V\u000a +p18475 +tp18476 +a(g107 +Vdone +p18477 +tp18478 +a(g181 +V +tp18479 +a(g6 +V# for deplib\u000a +p18480 +tp18481 +a(g181 +V +p18482 +tp18483 +a(g107 +Vcontinue\u000a +p18484 +tp18485 +a(g107 +Vfi +p18486 +tp18487 +a(g181 +V +tp18488 +a(g6 +V# $linkmode = prog...\u000a +p18489 +tp18490 +a(g181 +V\u000a +p18491 +tp18492 +a(g107 +Vif +p18493 +tp18494 +a(g53 +Vtest +p18495 +tp18496 +a(g181 +V +tp18497 +a(g237 +V"$linkmode,$pass" +p18498 +tp18499 +a(g181 +V +tp18500 +a(g336 +V= +tp18501 +a(g181 +V +tp18502 +a(g237 +V"prog,link" +p18503 +tp18504 +a(g181 +V; +tp18505 +a(g181 +V +tp18506 +a(g107 +Vthen\u000a +p18507 +tp18508 +a(g107 +Vif +p18509 +tp18510 +a(g53 +Vtest +p18511 +tp18512 +a(g181 +V +tp18513 +a(g181 +V-n +p18514 +tp18515 +a(g181 +V +tp18516 +a(g237 +V"$library_names" +p18517 +tp18518 +a(g181 +V +tp18519 +a(g336 +V&& +p18520 +tp18521 +a(g181 +V\u000a +p18522 +tp18523 +a(g336 +V{ +tp18524 +a(g181 +V +tp18525 +a(g53 +Vtest +p18526 +tp18527 +a(g181 +V +tp18528 +a(g237 +V"$prefer_static_libs" +p18529 +tp18530 +a(g181 +V +tp18531 +a(g336 +V= +tp18532 +a(g181 +V +tp18533 +a(g181 +Vno +p18534 +tp18535 +a(g181 +V +tp18536 +a(g336 +V|| +p18537 +tp18538 +a(g181 +V +tp18539 +a(g53 +Vtest +p18540 +tp18541 +a(g181 +V +tp18542 +a(g181 +V-z +p18543 +tp18544 +a(g181 +V +tp18545 +a(g237 +V"$old_library" +p18546 +tp18547 +a(g181 +V; +tp18548 +a(g181 +V +tp18549 +a(g336 +V} +tp18550 +a(g181 +V; +tp18551 +a(g181 +V +tp18552 +a(g107 +Vthen +p18553 +tp18554 +a(g181 +V\u000a +p18555 +tp18556 +a(g6 +V# We need to hardcode the library path\u000a +p18557 +tp18558 +a(g181 +V +p18559 +tp18560 +a(g107 +Vif +p18561 +tp18562 +a(g53 +Vtest +p18563 +tp18564 +a(g181 +V +tp18565 +a(g181 +V-n +p18566 +tp18567 +a(g181 +V +tp18568 +a(g237 +V"$shlibpath_var" +p18569 +tp18570 +a(g181 +V +tp18571 +a(g336 +V&& +p18572 +tp18573 +a(g181 +V +tp18574 +a(g53 +Vtest +p18575 +tp18576 +a(g181 +V +tp18577 +a(g181 +V-z +p18578 +tp18579 +a(g181 +V +tp18580 +a(g237 +V"$avoidtemprpath" +p18581 +tp18582 +a(g181 +V +tp18583 +a(g181 +V; +tp18584 +a(g181 +V +tp18585 +a(g107 +Vthen +p18586 +tp18587 +a(g181 +V\u000a +p18588 +tp18589 +a(g6 +V# Make sure the rpath contains only unique directories.\u000a +p18590 +tp18591 +a(g181 +V +p18592 +tp18593 +a(g107 +Vcase +p18594 +tp18595 +a(g181 +V +tp18596 +a(g237 +V"$temp_rpath " +p18597 +tp18598 +a(g181 +V +tp18599 +a(g181 +Vin +p18600 +tp18601 +a(g181 +V\u000a +p18602 +tp18603 +a(g181 +V* +tp18604 +a(g237 +V" $dir " +p18605 +tp18606 +a(g181 +V* +tp18607 +a(g336 +V) +tp18608 +a(g181 +V +tp18609 +a(g181 +V; +tp18610 +a(g181 +V; +tp18611 +a(g181 +V\u000a +p18612 +tp18613 +a(g181 +V* +tp18614 +a(g237 +V" $absdir " +p18615 +tp18616 +a(g181 +V* +tp18617 +a(g336 +V) +tp18618 +a(g181 +V +tp18619 +a(g181 +V; +tp18620 +a(g181 +V; +tp18621 +a(g181 +V\u000a +p18622 +tp18623 +a(g181 +V* +tp18624 +a(g336 +V) +tp18625 +a(g181 +V +tp18626 +a(g69 +Vtemp_rpath +p18627 +tp18628 +a(g336 +V= +tp18629 +a(g237 +V"$temp_rpath $absdir" +p18630 +tp18631 +a(g181 +V +tp18632 +a(g181 +V; +tp18633 +a(g181 +V; +tp18634 +a(g181 +V\u000a +p18635 +tp18636 +a(g107 +Vesac\u000a +p18637 +tp18638 +a(g107 +Vfi +p18639 +tp18640 +a(g181 +V\u000a\u000a +p18641 +tp18642 +a(g6 +V# Hardcode the library path.\u000a +p18643 +tp18644 +a(g181 +V +p18645 +tp18646 +a(g6 +V# Skip directories that are in the system default run-time\u000a +p18647 +tp18648 +a(g181 +V +p18649 +tp18650 +a(g6 +V# search path.\u000a +p18651 +tp18652 +a(g181 +V +p18653 +tp18654 +a(g107 +Vcase +p18655 +tp18656 +a(g181 +V +tp18657 +a(g237 +V" $sys_lib_dlsearch_path " +p18658 +tp18659 +a(g181 +V +tp18660 +a(g181 +Vin +p18661 +tp18662 +a(g181 +V\u000a +p18663 +tp18664 +a(g181 +V* +tp18665 +a(g237 +V" $absdir " +p18666 +tp18667 +a(g181 +V* +tp18668 +a(g336 +V) +tp18669 +a(g181 +V +tp18670 +a(g181 +V; +tp18671 +a(g181 +V; +tp18672 +a(g181 +V\u000a +p18673 +tp18674 +a(g181 +V* +tp18675 +a(g336 +V) +tp18676 +a(g181 +V\u000a +p18677 +tp18678 +a(g107 +Vcase +p18679 +tp18680 +a(g181 +V +tp18681 +a(g237 +V"$compile_rpath " +p18682 +tp18683 +a(g181 +V +tp18684 +a(g181 +Vin +p18685 +tp18686 +a(g181 +V\u000a +p18687 +tp18688 +a(g181 +V* +tp18689 +a(g237 +V" $absdir " +p18690 +tp18691 +a(g181 +V* +tp18692 +a(g336 +V) +tp18693 +a(g181 +V +tp18694 +a(g181 +V; +tp18695 +a(g181 +V; +tp18696 +a(g181 +V\u000a +p18697 +tp18698 +a(g181 +V* +tp18699 +a(g336 +V) +tp18700 +a(g181 +V +tp18701 +a(g69 +Vcompile_rpath +p18702 +tp18703 +a(g336 +V= +tp18704 +a(g237 +V"$compile_rpath $absdir" +p18705 +tp18706 +a(g181 +V\u000a +p18707 +tp18708 +a(g107 +Vesac +p18709 +tp18710 +a(g181 +V\u000a +p18711 +tp18712 +a(g181 +V; +tp18713 +a(g181 +V; +tp18714 +a(g181 +V\u000a +p18715 +tp18716 +a(g107 +Vesac\u000a +p18717 +tp18718 +a(g107 +Vcase +p18719 +tp18720 +a(g181 +V +tp18721 +a(g237 +V" $sys_lib_dlsearch_path " +p18722 +tp18723 +a(g181 +V +tp18724 +a(g181 +Vin +p18725 +tp18726 +a(g181 +V\u000a +p18727 +tp18728 +a(g181 +V* +tp18729 +a(g237 +V" $libdir " +p18730 +tp18731 +a(g181 +V* +tp18732 +a(g336 +V) +tp18733 +a(g181 +V +tp18734 +a(g181 +V; +tp18735 +a(g181 +V; +tp18736 +a(g181 +V\u000a +p18737 +tp18738 +a(g181 +V* +tp18739 +a(g336 +V) +tp18740 +a(g181 +V\u000a +p18741 +tp18742 +a(g107 +Vcase +p18743 +tp18744 +a(g181 +V +tp18745 +a(g237 +V"$finalize_rpath " +p18746 +tp18747 +a(g181 +V +tp18748 +a(g181 +Vin +p18749 +tp18750 +a(g181 +V\u000a +p18751 +tp18752 +a(g181 +V* +tp18753 +a(g237 +V" $libdir " +p18754 +tp18755 +a(g181 +V* +tp18756 +a(g336 +V) +tp18757 +a(g181 +V +tp18758 +a(g181 +V; +tp18759 +a(g181 +V; +tp18760 +a(g181 +V\u000a +p18761 +tp18762 +a(g181 +V* +tp18763 +a(g336 +V) +tp18764 +a(g181 +V +tp18765 +a(g69 +Vfinalize_rpath +p18766 +tp18767 +a(g336 +V= +tp18768 +a(g237 +V"$finalize_rpath $libdir" +p18769 +tp18770 +a(g181 +V\u000a +p18771 +tp18772 +a(g107 +Vesac +p18773 +tp18774 +a(g181 +V\u000a +p18775 +tp18776 +a(g181 +V; +tp18777 +a(g181 +V; +tp18778 +a(g181 +V\u000a +p18779 +tp18780 +a(g107 +Vesac\u000a +p18781 +tp18782 +a(g107 +Vfi +p18783 +tp18784 +a(g181 +V +tp18785 +a(g6 +V# $linkmode,$pass = prog,link...\u000a +p18786 +tp18787 +a(g181 +V\u000a +p18788 +tp18789 +a(g107 +Vif +p18790 +tp18791 +a(g53 +Vtest +p18792 +tp18793 +a(g181 +V +tp18794 +a(g237 +V"$alldeplibs" +p18795 +tp18796 +a(g181 +V +tp18797 +a(g336 +V= +tp18798 +a(g181 +V +tp18799 +a(g181 +Vyes +p18800 +tp18801 +a(g181 +V +tp18802 +a(g336 +V&& +p18803 +tp18804 +a(g181 +V\u000a +p18805 +tp18806 +a(g336 +V{ +tp18807 +a(g181 +V +tp18808 +a(g53 +Vtest +p18809 +tp18810 +a(g181 +V +tp18811 +a(g237 +V"$deplibs_check_method" +p18812 +tp18813 +a(g181 +V +tp18814 +a(g336 +V= +tp18815 +a(g181 +V +tp18816 +a(g181 +Vpass_all +p18817 +tp18818 +a(g181 +V +tp18819 +a(g336 +V|| +p18820 +tp18821 +a(g181 +V\u000a +p18822 +tp18823 +a(g336 +V{ +tp18824 +a(g181 +V +tp18825 +a(g53 +Vtest +p18826 +tp18827 +a(g181 +V +tp18828 +a(g237 +V"$build_libtool_libs" +p18829 +tp18830 +a(g181 +V +tp18831 +a(g336 +V= +tp18832 +a(g181 +V +tp18833 +a(g181 +Vyes +p18834 +tp18835 +a(g181 +V +tp18836 +a(g336 +V&& +p18837 +tp18838 +a(g181 +V\u000a +p18839 +tp18840 +a(g53 +Vtest +p18841 +tp18842 +a(g181 +V +tp18843 +a(g181 +V-n +p18844 +tp18845 +a(g181 +V +tp18846 +a(g237 +V"$library_names" +p18847 +tp18848 +a(g181 +V; +tp18849 +a(g181 +V +tp18850 +a(g336 +V} +tp18851 +a(g181 +V; +tp18852 +a(g181 +V +tp18853 +a(g336 +V} +tp18854 +a(g181 +V; +tp18855 +a(g181 +V +tp18856 +a(g107 +Vthen +p18857 +tp18858 +a(g181 +V\u000a +p18859 +tp18860 +a(g6 +V# We only need to search for static libraries\u000a +p18861 +tp18862 +a(g181 +V +p18863 +tp18864 +a(g107 +Vcontinue\u000a +p18865 +tp18866 +a(g107 +Vfi\u000a +p18867 +tp18868 +a(g107 +Vfi\u000a\u000a +p18869 +tp18870 +a(g69 +Vlink_static +p18871 +tp18872 +a(g336 +V= +tp18873 +a(g181 +Vno +p18874 +tp18875 +a(g181 +V +tp18876 +a(g6 +V# Whether the deplib will be linked statically\u000a +p18877 +tp18878 +a(g181 +V +tp18879 +a(g69 +Vuse_static_libs +p18880 +tp18881 +a(g336 +V= +tp18882 +a(g69 +V$prefer_static_libs +p18883 +tp18884 +a(g181 +V\u000a +p18885 +tp18886 +a(g107 +Vif +p18887 +tp18888 +a(g53 +Vtest +p18889 +tp18890 +a(g181 +V +tp18891 +a(g237 +V"$use_static_libs" +p18892 +tp18893 +a(g181 +V +tp18894 +a(g336 +V= +tp18895 +a(g181 +V +tp18896 +a(g181 +Vbuilt +p18897 +tp18898 +a(g181 +V +tp18899 +a(g336 +V&& +p18900 +tp18901 +a(g181 +V +tp18902 +a(g53 +Vtest +p18903 +tp18904 +a(g181 +V +tp18905 +a(g237 +V"$installed" +p18906 +tp18907 +a(g181 +V +tp18908 +a(g336 +V= +tp18909 +a(g181 +V +tp18910 +a(g181 +Vyes +p18911 +tp18912 +a(g181 +V +tp18913 +a(g181 +V; +tp18914 +a(g181 +V +tp18915 +a(g107 +Vthen\u000a +p18916 +tp18917 +a(g69 +Vuse_static_libs +p18918 +tp18919 +a(g336 +V= +tp18920 +a(g181 +Vno +p18921 +tp18922 +a(g181 +V\u000a +p18923 +tp18924 +a(g107 +Vfi\u000a +p18925 +tp18926 +a(g107 +Vif +p18927 +tp18928 +a(g53 +Vtest +p18929 +tp18930 +a(g181 +V +tp18931 +a(g181 +V-n +p18932 +tp18933 +a(g181 +V +tp18934 +a(g237 +V"$library_names" +p18935 +tp18936 +a(g181 +V +tp18937 +a(g336 +V&& +p18938 +tp18939 +a(g181 +V\u000a +p18940 +tp18941 +a(g336 +V{ +tp18942 +a(g181 +V +tp18943 +a(g53 +Vtest +p18944 +tp18945 +a(g181 +V +tp18946 +a(g237 +V"$use_static_libs" +p18947 +tp18948 +a(g181 +V +tp18949 +a(g336 +V= +tp18950 +a(g181 +V +tp18951 +a(g181 +Vno +p18952 +tp18953 +a(g181 +V +tp18954 +a(g336 +V|| +p18955 +tp18956 +a(g181 +V +tp18957 +a(g53 +Vtest +p18958 +tp18959 +a(g181 +V +tp18960 +a(g181 +V-z +p18961 +tp18962 +a(g181 +V +tp18963 +a(g237 +V"$old_library" +p18964 +tp18965 +a(g181 +V; +tp18966 +a(g181 +V +tp18967 +a(g336 +V} +tp18968 +a(g181 +V; +tp18969 +a(g181 +V +tp18970 +a(g107 +Vthen\u000a +p18971 +tp18972 +a(g107 +Vif +p18973 +tp18974 +a(g53 +Vtest +p18975 +tp18976 +a(g181 +V +tp18977 +a(g237 +V"$installed" +p18978 +tp18979 +a(g181 +V +tp18980 +a(g336 +V= +tp18981 +a(g181 +V +tp18982 +a(g181 +Vno; +p18983 +tp18984 +a(g181 +V +tp18985 +a(g107 +Vthen\u000a +p18986 +tp18987 +a(g69 +Vnotinst_deplibs +p18988 +tp18989 +a(g336 +V= +tp18990 +a(g237 +V"$notinst_deplibs $lib" +p18991 +tp18992 +a(g181 +V\u000a +p18993 +tp18994 +a(g69 +Vneed_relink +p18995 +tp18996 +a(g336 +V= +tp18997 +a(g181 +Vyes +p18998 +tp18999 +a(g181 +V\u000a +p19000 +tp19001 +a(g107 +Vfi +p19002 +tp19003 +a(g181 +V\u000a +p19004 +tp19005 +a(g6 +V# This is a shared library\u000a +p19006 +tp19007 +a(g181 +V\u000a +p19008 +tp19009 +a(g6 +V# Warn about portability, can't link against -module's on\u000a +p19010 +tp19011 +a(g181 +V +p19012 +tp19013 +a(g6 +V# some systems (darwin)\u000a +p19014 +tp19015 +a(g181 +V +p19016 +tp19017 +a(g107 +Vif +p19018 +tp19019 +a(g53 +Vtest +p19020 +tp19021 +a(g181 +V +tp19022 +a(g237 +V"$shouldnotlink" +p19023 +tp19024 +a(g181 +V +tp19025 +a(g336 +V= +tp19026 +a(g181 +V +tp19027 +a(g181 +Vyes +p19028 +tp19029 +a(g181 +V +tp19030 +a(g336 +V&& +p19031 +tp19032 +a(g181 +V +tp19033 +a(g53 +Vtest +p19034 +tp19035 +a(g181 +V +tp19036 +a(g237 +V"$pass" +p19037 +tp19038 +a(g181 +V +tp19039 +a(g336 +V= +tp19040 +a(g181 +V +tp19041 +a(g181 +Vlink +p19042 +tp19043 +a(g181 +V +tp19044 +a(g181 +V; +tp19045 +a(g181 +V +tp19046 +a(g107 +Vthen +p19047 +tp19048 +a(g181 +V\u000a +p19049 +tp19050 +a(g69 +V$echo +p19051 +tp19052 +a(g181 +V\u000a +p19053 +tp19054 +a(g107 +Vif +p19055 +tp19056 +a(g53 +Vtest +p19057 +tp19058 +a(g181 +V +tp19059 +a(g237 +V"$linkmode" +p19060 +tp19061 +a(g181 +V +tp19062 +a(g336 +V= +tp19063 +a(g181 +V +tp19064 +a(g181 +Vprog; +p19065 +tp19066 +a(g181 +V +tp19067 +a(g107 +Vthen +p19068 +tp19069 +a(g181 +V\u000a +p19070 +tp19071 +a(g69 +V$echo +p19072 +tp19073 +a(g181 +V +tp19074 +a(g237 +V"*** Warning: Linking the executable $output against the loadable module" +p19075 +tp19076 +a(g181 +V\u000a +p19077 +tp19078 +a(g107 +Velse +p19079 +tp19080 +a(g181 +V\u000a +p19081 +tp19082 +a(g69 +V$echo +p19083 +tp19084 +a(g181 +V +tp19085 +a(g237 +V"*** Warning: Linking the shared library $output against the loadable module" +p19086 +tp19087 +a(g181 +V\u000a +p19088 +tp19089 +a(g107 +Vfi +p19090 +tp19091 +a(g181 +V\u000a +p19092 +tp19093 +a(g69 +V$echo +p19094 +tp19095 +a(g181 +V +tp19096 +a(g237 +V"*** $linklib is not portable!" +p19097 +tp19098 +a(g181 +V\u000a +p19099 +tp19100 +a(g107 +Vfi\u000a +p19101 +tp19102 +a(g107 +Vif +p19103 +tp19104 +a(g53 +Vtest +p19105 +tp19106 +a(g181 +V +tp19107 +a(g237 +V"$linkmode" +p19108 +tp19109 +a(g181 +V +tp19110 +a(g336 +V= +tp19111 +a(g181 +V +tp19112 +a(g181 +Vlib +p19113 +tp19114 +a(g181 +V +tp19115 +a(g336 +V&& +p19116 +tp19117 +a(g181 +V\u000a +p19118 +tp19119 +a(g53 +Vtest +p19120 +tp19121 +a(g181 +V +tp19122 +a(g237 +V"$hardcode_into_libs" +p19123 +tp19124 +a(g181 +V +tp19125 +a(g336 +V= +tp19126 +a(g181 +V +tp19127 +a(g181 +Vyes; +p19128 +tp19129 +a(g181 +V +tp19130 +a(g107 +Vthen +p19131 +tp19132 +a(g181 +V\u000a +p19133 +tp19134 +a(g6 +V# Hardcode the library path.\u000a +p19135 +tp19136 +a(g181 +V +p19137 +tp19138 +a(g6 +V# Skip directories that are in the system default run-time\u000a +p19139 +tp19140 +a(g181 +V +p19141 +tp19142 +a(g6 +V# search path.\u000a +p19143 +tp19144 +a(g181 +V +p19145 +tp19146 +a(g107 +Vcase +p19147 +tp19148 +a(g181 +V +tp19149 +a(g237 +V" $sys_lib_dlsearch_path " +p19150 +tp19151 +a(g181 +V +tp19152 +a(g181 +Vin +p19153 +tp19154 +a(g181 +V\u000a +p19155 +tp19156 +a(g181 +V* +tp19157 +a(g237 +V" $absdir " +p19158 +tp19159 +a(g181 +V* +tp19160 +a(g336 +V) +tp19161 +a(g181 +V +tp19162 +a(g181 +V; +tp19163 +a(g181 +V; +tp19164 +a(g181 +V\u000a +p19165 +tp19166 +a(g181 +V* +tp19167 +a(g336 +V) +tp19168 +a(g181 +V\u000a +p19169 +tp19170 +a(g107 +Vcase +p19171 +tp19172 +a(g181 +V +tp19173 +a(g237 +V"$compile_rpath " +p19174 +tp19175 +a(g181 +V +tp19176 +a(g181 +Vin +p19177 +tp19178 +a(g181 +V\u000a +p19179 +tp19180 +a(g181 +V* +tp19181 +a(g237 +V" $absdir " +p19182 +tp19183 +a(g181 +V* +tp19184 +a(g336 +V) +tp19185 +a(g181 +V +tp19186 +a(g181 +V; +tp19187 +a(g181 +V; +tp19188 +a(g181 +V\u000a +p19189 +tp19190 +a(g181 +V* +tp19191 +a(g336 +V) +tp19192 +a(g181 +V +tp19193 +a(g69 +Vcompile_rpath +p19194 +tp19195 +a(g336 +V= +tp19196 +a(g237 +V"$compile_rpath $absdir" +p19197 +tp19198 +a(g181 +V\u000a +p19199 +tp19200 +a(g107 +Vesac +p19201 +tp19202 +a(g181 +V\u000a +p19203 +tp19204 +a(g181 +V; +tp19205 +a(g181 +V; +tp19206 +a(g181 +V\u000a +p19207 +tp19208 +a(g107 +Vesac\u000a +p19209 +tp19210 +a(g107 +Vcase +p19211 +tp19212 +a(g181 +V +tp19213 +a(g237 +V" $sys_lib_dlsearch_path " +p19214 +tp19215 +a(g181 +V +tp19216 +a(g181 +Vin +p19217 +tp19218 +a(g181 +V\u000a +p19219 +tp19220 +a(g181 +V* +tp19221 +a(g237 +V" $libdir " +p19222 +tp19223 +a(g181 +V* +tp19224 +a(g336 +V) +tp19225 +a(g181 +V +tp19226 +a(g181 +V; +tp19227 +a(g181 +V; +tp19228 +a(g181 +V\u000a +p19229 +tp19230 +a(g181 +V* +tp19231 +a(g336 +V) +tp19232 +a(g181 +V\u000a +p19233 +tp19234 +a(g107 +Vcase +p19235 +tp19236 +a(g181 +V +tp19237 +a(g237 +V"$finalize_rpath " +p19238 +tp19239 +a(g181 +V +tp19240 +a(g181 +Vin +p19241 +tp19242 +a(g181 +V\u000a +p19243 +tp19244 +a(g181 +V* +tp19245 +a(g237 +V" $libdir " +p19246 +tp19247 +a(g181 +V* +tp19248 +a(g336 +V) +tp19249 +a(g181 +V +tp19250 +a(g181 +V; +tp19251 +a(g181 +V; +tp19252 +a(g181 +V\u000a +p19253 +tp19254 +a(g181 +V* +tp19255 +a(g336 +V) +tp19256 +a(g181 +V +tp19257 +a(g69 +Vfinalize_rpath +p19258 +tp19259 +a(g336 +V= +tp19260 +a(g237 +V"$finalize_rpath $libdir" +p19261 +tp19262 +a(g181 +V\u000a +p19263 +tp19264 +a(g107 +Vesac +p19265 +tp19266 +a(g181 +V\u000a +p19267 +tp19268 +a(g181 +V; +tp19269 +a(g181 +V; +tp19270 +a(g181 +V\u000a +p19271 +tp19272 +a(g107 +Vesac\u000a +p19273 +tp19274 +a(g107 +Vfi\u000a\u000a +p19275 +tp19276 +a(g107 +Vif +p19277 +tp19278 +a(g53 +Vtest +p19279 +tp19280 +a(g181 +V +tp19281 +a(g181 +V-n +p19282 +tp19283 +a(g181 +V +tp19284 +a(g237 +V"$old_archive_from_expsyms_cmds" +p19285 +tp19286 +a(g181 +V; +tp19287 +a(g181 +V +tp19288 +a(g107 +Vthen +p19289 +tp19290 +a(g181 +V\u000a +p19291 +tp19292 +a(g6 +V# figure out the soname\u000a +p19293 +tp19294 +a(g181 +V +p19295 +tp19296 +a(g53 +Vset +p19297 +tp19298 +a(g181 +Vdummy +p19299 +tp19300 +a(g181 +V +tp19301 +a(g69 +V$library_names +p19302 +tp19303 +a(g181 +V\u000a +p19304 +tp19305 +a(g69 +Vrealname +p19306 +tp19307 +a(g336 +V= +tp19308 +a(g237 +V"$2" +p19309 +tp19310 +a(g181 +V\u000a +p19311 +tp19312 +a(g53 +Vshift +p19313 +tp19314 +a(g181 +V; +tp19315 +a(g181 +V +tp19316 +a(g53 +Vshift\u000a +p19317 +tp19318 +a(g69 +Vlibname +p19319 +tp19320 +a(g336 +V= +tp19321 +a(g256 +V` +tp19322 +a(g53 +Veval +p19323 +tp19324 +a(g181 +V +tp19325 +a(g244 +V\u005c\u005c +p19326 +tp19327 +a(g69 +V$echo +p19328 +tp19329 +a(g181 +V +tp19330 +a(g244 +V\u005c" +p19331 +tp19332 +a(g69 +V$libname_spec +p19333 +tp19334 +a(g244 +V\u005c" +p19335 +tp19336 +a(g256 +V` +tp19337 +a(g181 +V\u000a +p19338 +tp19339 +a(g6 +V# use dlname if we got it. it's perfectly good, no?\u000a +p19340 +tp19341 +a(g181 +V +p19342 +tp19343 +a(g107 +Vif +p19344 +tp19345 +a(g53 +Vtest +p19346 +tp19347 +a(g181 +V +tp19348 +a(g181 +V-n +p19349 +tp19350 +a(g181 +V +tp19351 +a(g237 +V"$dlname" +p19352 +tp19353 +a(g181 +V; +tp19354 +a(g181 +V +tp19355 +a(g107 +Vthen\u000a +p19356 +tp19357 +a(g69 +Vsoname +p19358 +tp19359 +a(g336 +V= +tp19360 +a(g237 +V"$dlname" +p19361 +tp19362 +a(g181 +V\u000a +p19363 +tp19364 +a(g107 +Velif +p19365 +tp19366 +a(g53 +Vtest +p19367 +tp19368 +a(g181 +V +tp19369 +a(g181 +V-n +p19370 +tp19371 +a(g181 +V +tp19372 +a(g237 +V"$soname_spec" +p19373 +tp19374 +a(g181 +V; +tp19375 +a(g181 +V +tp19376 +a(g107 +Vthen +p19377 +tp19378 +a(g181 +V\u000a +p19379 +tp19380 +a(g6 +V# bleh windows\u000a +p19381 +tp19382 +a(g181 +V +p19383 +tp19384 +a(g107 +Vcase +p19385 +tp19386 +a(g181 +V +tp19387 +a(g69 +V$host +p19388 +tp19389 +a(g181 +V +tp19390 +a(g181 +Vin +p19391 +tp19392 +a(g181 +V\u000a +p19393 +tp19394 +a(g181 +V*cygwin* +p19395 +tp19396 +a(g181 +V +tp19397 +a(g181 +V| +tp19398 +a(g181 +V +tp19399 +a(g181 +Vmingw* +p19400 +tp19401 +a(g336 +V) +tp19402 +a(g181 +V\u000a +p19403 +tp19404 +a(g69 +Vmajor +p19405 +tp19406 +a(g336 +V= +tp19407 +a(g256 +V` +tp19408 +a(g181 +Vexpr +p19409 +tp19410 +a(g181 +V +tp19411 +a(g69 +V$current +p19412 +tp19413 +a(g181 +V +tp19414 +a(g181 +V- +tp19415 +a(g181 +V +tp19416 +a(g69 +V$age +p19417 +tp19418 +a(g256 +V` +tp19419 +a(g181 +V\u000a +p19420 +tp19421 +a(g69 +Vversuffix +p19422 +tp19423 +a(g336 +V= +tp19424 +a(g237 +V"-$major" +p19425 +tp19426 +a(g181 +V\u000a +p19427 +tp19428 +a(g181 +V; +tp19429 +a(g181 +V; +tp19430 +a(g181 +V\u000a +p19431 +tp19432 +a(g107 +Vesac\u000a +p19433 +tp19434 +a(g53 +Veval +p19435 +tp19436 +a(g69 +Vsoname +p19437 +tp19438 +a(g336 +V= +tp19439 +a(g244 +V\u005c" +p19440 +tp19441 +a(g69 +V$soname_spec +p19442 +tp19443 +a(g244 +V\u005c" +p19444 +tp19445 +a(g181 +V\u000a +p19446 +tp19447 +a(g107 +Velse\u000a +p19448 +tp19449 +a(g69 +Vsoname +p19450 +tp19451 +a(g336 +V= +tp19452 +a(g237 +V"$realname" +p19453 +tp19454 +a(g181 +V\u000a +p19455 +tp19456 +a(g107 +Vfi +p19457 +tp19458 +a(g181 +V\u000a\u000a +p19459 +tp19460 +a(g6 +V# Make a new name for the extract_expsyms_cmds to use\u000a +p19461 +tp19462 +a(g181 +V +p19463 +tp19464 +a(g69 +Vsoroot +p19465 +tp19466 +a(g336 +V= +tp19467 +a(g237 +V"$soname" +p19468 +tp19469 +a(g181 +V\u000a +p19470 +tp19471 +a(g69 +Vsoname +p19472 +tp19473 +a(g336 +V= +tp19474 +a(g256 +V` +tp19475 +a(g69 +V$echo +p19476 +tp19477 +a(g181 +V +tp19478 +a(g69 +V$soroot +p19479 +tp19480 +a(g181 +V +tp19481 +a(g181 +V| +tp19482 +a(g181 +V +tp19483 +a(g107 +V${ +p19484 +tp19485 +a(g69 +VSED +p19486 +tp19487 +a(g107 +V} +tp19488 +a(g181 +V +tp19489 +a(g181 +V-e +p19490 +tp19491 +a(g181 +V +tp19492 +a(g264 +V's/^.*\u005c///' +p19493 +tp19494 +a(g256 +V` +tp19495 +a(g181 +V\u000a +p19496 +tp19497 +a(g69 +Vnewlib +p19498 +tp19499 +a(g336 +V= +tp19500 +a(g237 +V"libimp-`$echo $soname | ${SED} 's/^lib//;s/\u005c.dll$//'`.a" +p19501 +tp19502 +a(g181 +V\u000a\u000a +p19503 +tp19504 +a(g6 +V# If the library has no export list, then create one now\u000a +p19505 +tp19506 +a(g181 +V +p19507 +tp19508 +a(g107 +Vif +p19509 +tp19510 +a(g53 +Vtest +p19511 +tp19512 +a(g181 +V +tp19513 +a(g181 +V-f +p19514 +tp19515 +a(g181 +V +tp19516 +a(g237 +V"$output_objdir/$soname-def" +p19517 +tp19518 +a(g181 +V; +tp19519 +a(g181 +V +tp19520 +a(g107 +Vthen +p19521 +tp19522 +a(g181 +V +tp19523 +a(g181 +V: +tp19524 +a(g181 +V\u000a +p19525 +tp19526 +a(g107 +Velse +p19527 +tp19528 +a(g181 +V\u000a +p19529 +tp19530 +a(g69 +V$show +p19531 +tp19532 +a(g181 +V +tp19533 +a(g237 +V"extracting exported symbol list from \u005c`$soname'" +p19534 +tp19535 +a(g181 +V\u000a +p19536 +tp19537 +a(g69 +Vsave_ifs +p19538 +tp19539 +a(g336 +V= +tp19540 +a(g237 +V"$IFS" +p19541 +tp19542 +a(g181 +V; +tp19543 +a(g181 +V +tp19544 +a(g69 +VIFS +p19545 +tp19546 +a(g336 +V= +tp19547 +a(g264 +V'~' +p19548 +tp19549 +a(g181 +V\u000a +p19550 +tp19551 +a(g69 +Vcmds +p19552 +tp19553 +a(g336 +V= +tp19554 +a(g69 +V$extract_expsyms_cmds +p19555 +tp19556 +a(g181 +V\u000a +p19557 +tp19558 +a(g107 +Vfor +p19559 +tp19560 +a(g181 +Vcmd +p19561 +tp19562 +a(g181 +V +tp19563 +a(g181 +Vin +p19564 +tp19565 +a(g181 +V +tp19566 +a(g69 +V$cmds +p19567 +tp19568 +a(g181 +V; +tp19569 +a(g181 +V +tp19570 +a(g107 +Vdo\u000a +p19571 +tp19572 +a(g69 +VIFS +p19573 +tp19574 +a(g336 +V= +tp19575 +a(g237 +V"$save_ifs" +p19576 +tp19577 +a(g181 +V\u000a +p19578 +tp19579 +a(g53 +Veval +p19580 +tp19581 +a(g69 +Vcmd +p19582 +tp19583 +a(g336 +V= +tp19584 +a(g244 +V\u005c" +p19585 +tp19586 +a(g69 +V$cmd +p19587 +tp19588 +a(g244 +V\u005c" +p19589 +tp19590 +a(g181 +V\u000a +p19591 +tp19592 +a(g69 +V$show +p19593 +tp19594 +a(g181 +V +tp19595 +a(g237 +V"$cmd" +p19596 +tp19597 +a(g181 +V\u000a +p19598 +tp19599 +a(g69 +V$run +p19600 +tp19601 +a(g181 +V +tp19602 +a(g53 +Veval +p19603 +tp19604 +a(g181 +V +tp19605 +a(g237 +V"$cmd" +p19606 +tp19607 +a(g181 +V +tp19608 +a(g336 +V|| +p19609 +tp19610 +a(g181 +V +tp19611 +a(g53 +Vexit +p19612 +tp19613 +a(g181 +V +tp19614 +a(g69 +V$? +p19615 +tp19616 +a(g181 +V\u000a +p19617 +tp19618 +a(g107 +Vdone\u000a +p19619 +tp19620 +a(g69 +VIFS +p19621 +tp19622 +a(g336 +V= +tp19623 +a(g237 +V"$save_ifs" +p19624 +tp19625 +a(g181 +V\u000a +p19626 +tp19627 +a(g107 +Vfi +p19628 +tp19629 +a(g181 +V\u000a\u000a +p19630 +tp19631 +a(g6 +V# Create $newlib\u000a +p19632 +tp19633 +a(g181 +V +p19634 +tp19635 +a(g107 +Vif +p19636 +tp19637 +a(g53 +Vtest +p19638 +tp19639 +a(g181 +V +tp19640 +a(g181 +V-f +p19641 +tp19642 +a(g181 +V +tp19643 +a(g237 +V"$output_objdir/$newlib" +p19644 +tp19645 +a(g181 +V; +tp19646 +a(g181 +V +tp19647 +a(g107 +Vthen +p19648 +tp19649 +a(g181 +V +tp19650 +a(g181 +V:; +p19651 +tp19652 +a(g181 +V +tp19653 +a(g107 +Velse +p19654 +tp19655 +a(g181 +V\u000a +p19656 +tp19657 +a(g69 +V$show +p19658 +tp19659 +a(g181 +V +tp19660 +a(g237 +V"generating import library for \u005c`$soname'" +p19661 +tp19662 +a(g181 +V\u000a +p19663 +tp19664 +a(g69 +Vsave_ifs +p19665 +tp19666 +a(g336 +V= +tp19667 +a(g237 +V"$IFS" +p19668 +tp19669 +a(g181 +V; +tp19670 +a(g181 +V +tp19671 +a(g69 +VIFS +p19672 +tp19673 +a(g336 +V= +tp19674 +a(g264 +V'~' +p19675 +tp19676 +a(g181 +V\u000a +p19677 +tp19678 +a(g69 +Vcmds +p19679 +tp19680 +a(g336 +V= +tp19681 +a(g69 +V$old_archive_from_expsyms_cmds +p19682 +tp19683 +a(g181 +V\u000a +p19684 +tp19685 +a(g107 +Vfor +p19686 +tp19687 +a(g181 +Vcmd +p19688 +tp19689 +a(g181 +V +tp19690 +a(g181 +Vin +p19691 +tp19692 +a(g181 +V +tp19693 +a(g69 +V$cmds +p19694 +tp19695 +a(g181 +V; +tp19696 +a(g181 +V +tp19697 +a(g107 +Vdo\u000a +p19698 +tp19699 +a(g69 +VIFS +p19700 +tp19701 +a(g336 +V= +tp19702 +a(g237 +V"$save_ifs" +p19703 +tp19704 +a(g181 +V\u000a +p19705 +tp19706 +a(g53 +Veval +p19707 +tp19708 +a(g69 +Vcmd +p19709 +tp19710 +a(g336 +V= +tp19711 +a(g244 +V\u005c" +p19712 +tp19713 +a(g69 +V$cmd +p19714 +tp19715 +a(g244 +V\u005c" +p19716 +tp19717 +a(g181 +V\u000a +p19718 +tp19719 +a(g69 +V$show +p19720 +tp19721 +a(g181 +V +tp19722 +a(g237 +V"$cmd" +p19723 +tp19724 +a(g181 +V\u000a +p19725 +tp19726 +a(g69 +V$run +p19727 +tp19728 +a(g181 +V +tp19729 +a(g53 +Veval +p19730 +tp19731 +a(g181 +V +tp19732 +a(g237 +V"$cmd" +p19733 +tp19734 +a(g181 +V +tp19735 +a(g336 +V|| +p19736 +tp19737 +a(g181 +V +tp19738 +a(g53 +Vexit +p19739 +tp19740 +a(g181 +V +tp19741 +a(g69 +V$? +p19742 +tp19743 +a(g181 +V\u000a +p19744 +tp19745 +a(g107 +Vdone\u000a +p19746 +tp19747 +a(g69 +VIFS +p19748 +tp19749 +a(g336 +V= +tp19750 +a(g237 +V"$save_ifs" +p19751 +tp19752 +a(g181 +V\u000a +p19753 +tp19754 +a(g107 +Vfi +p19755 +tp19756 +a(g181 +V\u000a +p19757 +tp19758 +a(g6 +V# make sure the library variables are pointing to the new library\u000a +p19759 +tp19760 +a(g181 +V +p19761 +tp19762 +a(g69 +Vdir +p19763 +tp19764 +a(g336 +V= +tp19765 +a(g69 +V$output_objdir +p19766 +tp19767 +a(g181 +V\u000a +p19768 +tp19769 +a(g69 +Vlinklib +p19770 +tp19771 +a(g336 +V= +tp19772 +a(g69 +V$newlib +p19773 +tp19774 +a(g181 +V\u000a +p19775 +tp19776 +a(g107 +Vfi +p19777 +tp19778 +a(g181 +V +tp19779 +a(g6 +V# test -n "$old_archive_from_expsyms_cmds"\u000a +p19780 +tp19781 +a(g181 +V\u000a +p19782 +tp19783 +a(g107 +Vif +p19784 +tp19785 +a(g53 +Vtest +p19786 +tp19787 +a(g181 +V +tp19788 +a(g237 +V"$linkmode" +p19789 +tp19790 +a(g181 +V +tp19791 +a(g336 +V= +tp19792 +a(g181 +V +tp19793 +a(g181 +Vprog +p19794 +tp19795 +a(g181 +V +tp19796 +a(g336 +V|| +p19797 +tp19798 +a(g181 +V +tp19799 +a(g53 +Vtest +p19800 +tp19801 +a(g181 +V +tp19802 +a(g237 +V"$mode" +p19803 +tp19804 +a(g181 +V +tp19805 +a(g181 +V! +tp19806 +a(g336 +V= +tp19807 +a(g181 +V +tp19808 +a(g181 +Vrelink; +p19809 +tp19810 +a(g181 +V +tp19811 +a(g107 +Vthen\u000a +p19812 +tp19813 +a(g69 +Vadd_shlibpath +p19814 +tp19815 +a(g336 +V= +tp19816 +a(g181 +V\u000a +p19817 +tp19818 +a(g69 +Vadd_dir +p19819 +tp19820 +a(g336 +V= +tp19821 +a(g181 +V\u000a +p19822 +tp19823 +a(g69 +Vadd +p19824 +tp19825 +a(g336 +V= +tp19826 +a(g181 +V\u000a +p19827 +tp19828 +a(g69 +Vlib_linked +p19829 +tp19830 +a(g336 +V= +tp19831 +a(g181 +Vyes +p19832 +tp19833 +a(g181 +V\u000a +p19834 +tp19835 +a(g107 +Vcase +p19836 +tp19837 +a(g181 +V +tp19838 +a(g69 +V$hardcode_action +p19839 +tp19840 +a(g181 +V +tp19841 +a(g181 +Vin +p19842 +tp19843 +a(g181 +V\u000a +p19844 +tp19845 +a(g181 +Vimmediate +p19846 +tp19847 +a(g181 +V +tp19848 +a(g181 +V| +tp19849 +a(g181 +V +tp19850 +a(g181 +Vunsupported +p19851 +tp19852 +a(g336 +V) +tp19853 +a(g181 +V\u000a +p19854 +tp19855 +a(g107 +Vif +p19856 +tp19857 +a(g53 +Vtest +p19858 +tp19859 +a(g181 +V +tp19860 +a(g237 +V"$hardcode_direct" +p19861 +tp19862 +a(g181 +V +tp19863 +a(g336 +V= +tp19864 +a(g181 +V +tp19865 +a(g181 +Vno; +p19866 +tp19867 +a(g181 +V +tp19868 +a(g107 +Vthen\u000a +p19869 +tp19870 +a(g69 +Vadd +p19871 +tp19872 +a(g336 +V= +tp19873 +a(g237 +V"$dir/$linklib" +p19874 +tp19875 +a(g181 +V\u000a +p19876 +tp19877 +a(g107 +Vcase +p19878 +tp19879 +a(g181 +V +tp19880 +a(g69 +V$host +p19881 +tp19882 +a(g181 +V +tp19883 +a(g181 +Vin +p19884 +tp19885 +a(g181 +V\u000a +p19886 +tp19887 +a(g181 +V*-*-sco3.2v5.0. +p19888 +tp19889 +a(g336 +V[ +tp19890 +a(g181 +V024 +p19891 +tp19892 +a(g336 +V] +tp19893 +a(g181 +V* +tp19894 +a(g336 +V) +tp19895 +a(g181 +V +tp19896 +a(g69 +Vadd_dir +p19897 +tp19898 +a(g336 +V= +tp19899 +a(g237 +V"-L$dir" +p19900 +tp19901 +a(g181 +V +tp19902 +a(g181 +V; +tp19903 +a(g181 +V; +tp19904 +a(g181 +V\u000a +p19905 +tp19906 +a(g181 +V*-*-sysv4*uw2* +p19907 +tp19908 +a(g336 +V) +tp19909 +a(g181 +V +tp19910 +a(g69 +Vadd_dir +p19911 +tp19912 +a(g336 +V= +tp19913 +a(g237 +V"-L$dir" +p19914 +tp19915 +a(g181 +V +tp19916 +a(g181 +V; +tp19917 +a(g181 +V; +tp19918 +a(g181 +V\u000a +p19919 +tp19920 +a(g181 +V*-*-sysv5OpenUNIX* +p19921 +tp19922 +a(g181 +V +tp19923 +a(g181 +V| +tp19924 +a(g181 +V +tp19925 +a(g181 +V*-*-sysv5UnixWare7. +p19926 +tp19927 +a(g336 +V[ +tp19928 +a(g181 +V01 +p19929 +tp19930 +a(g336 +V] +tp19931 +a(g181 +V. +tp19932 +a(g336 +V[ +tp19933 +a(g181 +V10 +p19934 +tp19935 +a(g336 +V] +tp19936 +a(g181 +V* +tp19937 +a(g181 +V +tp19938 +a(g181 +V| +tp19939 +a(g181 +V +tp19940 +a(g244 +V\u005c\u000a +p19941 +tp19942 +a(g181 +V +p19943 +tp19944 +a(g181 +V*-*-unixware7* +p19945 +tp19946 +a(g336 +V) +tp19947 +a(g181 +V +tp19948 +a(g69 +Vadd_dir +p19949 +tp19950 +a(g336 +V= +tp19951 +a(g237 +V"-L$dir" +p19952 +tp19953 +a(g181 +V +tp19954 +a(g181 +V; +tp19955 +a(g181 +V; +tp19956 +a(g181 +V\u000a +p19957 +tp19958 +a(g181 +V*-*-darwin* +p19959 +tp19960 +a(g181 +V +tp19961 +a(g336 +V) +tp19962 +a(g181 +V\u000a +p19963 +tp19964 +a(g6 +V# if the lib is a module then we can not link against\u000a +p19965 +tp19966 +a(g181 +V +p19967 +tp19968 +a(g6 +V# it, someone is ignoring the new warnings I added\u000a +p19969 +tp19970 +a(g181 +V +p19971 +tp19972 +a(g107 +Vif +p19973 +tp19974 +a(g181 +V +tp19975 +a(g181 +V/usr/bin/file +p19976 +tp19977 +a(g181 +V +tp19978 +a(g181 +V-L +p19979 +tp19980 +a(g181 +V +tp19981 +a(g69 +V$add +p19982 +tp19983 +a(g181 +V +tp19984 +a(g181 +V2> +p19985 +tp19986 +a(g181 +V +tp19987 +a(g181 +V/dev/null +p19988 +tp19989 +a(g181 +V +tp19990 +a(g181 +V| +tp19991 +a(g181 +V\u000a +p19992 +tp19993 +a(g69 +V$EGREP +p19994 +tp19995 +a(g181 +V +tp19996 +a(g237 +V": [^:]* bundle" +p19997 +tp19998 +a(g181 +V +tp19999 +a(g181 +V>/dev/null +p20000 +tp20001 +a(g181 +V +tp20002 +a(g181 +V; +tp20003 +a(g181 +V +tp20004 +a(g107 +Vthen +p20005 +tp20006 +a(g181 +V\u000a +p20007 +tp20008 +a(g69 +V$echo +p20009 +tp20010 +a(g181 +V +tp20011 +a(g237 +V"** Warning, lib $linklib is a module, not a shared library" +p20012 +tp20013 +a(g181 +V\u000a +p20014 +tp20015 +a(g107 +Vif +p20016 +tp20017 +a(g53 +Vtest +p20018 +tp20019 +a(g181 +V +tp20020 +a(g181 +V-z +p20021 +tp20022 +a(g181 +V +tp20023 +a(g237 +V"$old_library" +p20024 +tp20025 +a(g181 +V +tp20026 +a(g181 +V; +tp20027 +a(g181 +V +tp20028 +a(g107 +Vthen +p20029 +tp20030 +a(g181 +V\u000a +p20031 +tp20032 +a(g69 +V$echo +p20033 +tp20034 +a(g181 +V\u000a +p20035 +tp20036 +a(g69 +V$echo +p20037 +tp20038 +a(g181 +V +tp20039 +a(g237 +V"** And there doesn't seem to be a static archive available" +p20040 +tp20041 +a(g181 +V\u000a +p20042 +tp20043 +a(g69 +V$echo +p20044 +tp20045 +a(g181 +V +tp20046 +a(g237 +V"** The link will probably fail, sorry" +p20047 +tp20048 +a(g181 +V\u000a +p20049 +tp20050 +a(g107 +Velse\u000a +p20051 +tp20052 +a(g69 +Vadd +p20053 +tp20054 +a(g336 +V= +tp20055 +a(g237 +V"$dir/$old_library" +p20056 +tp20057 +a(g181 +V\u000a +p20058 +tp20059 +a(g107 +Vfi\u000a +p20060 +tp20061 +a(g107 +Vfi\u000a +p20062 +tp20063 +a(g107 +Vesac\u000a +p20064 +tp20065 +a(g107 +Velif +p20066 +tp20067 +a(g53 +Vtest +p20068 +tp20069 +a(g181 +V +tp20070 +a(g237 +V"$hardcode_minus_L" +p20071 +tp20072 +a(g181 +V +tp20073 +a(g336 +V= +tp20074 +a(g181 +V +tp20075 +a(g181 +Vno; +p20076 +tp20077 +a(g181 +V +tp20078 +a(g107 +Vthen\u000a +p20079 +tp20080 +a(g107 +Vcase +p20081 +tp20082 +a(g181 +V +tp20083 +a(g69 +V$host +p20084 +tp20085 +a(g181 +V +tp20086 +a(g181 +Vin +p20087 +tp20088 +a(g181 +V\u000a +p20089 +tp20090 +a(g181 +V*-*-sunos* +p20091 +tp20092 +a(g336 +V) +tp20093 +a(g181 +V +tp20094 +a(g69 +Vadd_shlibpath +p20095 +tp20096 +a(g336 +V= +tp20097 +a(g237 +V"$dir" +p20098 +tp20099 +a(g181 +V +tp20100 +a(g181 +V; +tp20101 +a(g181 +V; +tp20102 +a(g181 +V\u000a +p20103 +tp20104 +a(g107 +Vesac\u000a +p20105 +tp20106 +a(g69 +Vadd_dir +p20107 +tp20108 +a(g336 +V= +tp20109 +a(g237 +V"-L$dir" +p20110 +tp20111 +a(g181 +V\u000a +p20112 +tp20113 +a(g69 +Vadd +p20114 +tp20115 +a(g336 +V= +tp20116 +a(g237 +V"-l$name" +p20117 +tp20118 +a(g181 +V\u000a +p20119 +tp20120 +a(g107 +Velif +p20121 +tp20122 +a(g53 +Vtest +p20123 +tp20124 +a(g181 +V +tp20125 +a(g237 +V"$hardcode_shlibpath_var" +p20126 +tp20127 +a(g181 +V +tp20128 +a(g336 +V= +tp20129 +a(g181 +V +tp20130 +a(g181 +Vno; +p20131 +tp20132 +a(g181 +V +tp20133 +a(g107 +Vthen\u000a +p20134 +tp20135 +a(g69 +Vadd_shlibpath +p20136 +tp20137 +a(g336 +V= +tp20138 +a(g237 +V"$dir" +p20139 +tp20140 +a(g181 +V\u000a +p20141 +tp20142 +a(g69 +Vadd +p20143 +tp20144 +a(g336 +V= +tp20145 +a(g237 +V"-l$name" +p20146 +tp20147 +a(g181 +V\u000a +p20148 +tp20149 +a(g107 +Velse\u000a +p20150 +tp20151 +a(g69 +Vlib_linked +p20152 +tp20153 +a(g336 +V= +tp20154 +a(g181 +Vno +p20155 +tp20156 +a(g181 +V\u000a +p20157 +tp20158 +a(g107 +Vfi +p20159 +tp20160 +a(g181 +V\u000a +p20161 +tp20162 +a(g181 +V; +tp20163 +a(g181 +V; +tp20164 +a(g181 +V\u000a +p20165 +tp20166 +a(g181 +Vrelink +p20167 +tp20168 +a(g336 +V) +tp20169 +a(g181 +V\u000a +p20170 +tp20171 +a(g107 +Vif +p20172 +tp20173 +a(g53 +Vtest +p20174 +tp20175 +a(g181 +V +tp20176 +a(g237 +V"$hardcode_direct" +p20177 +tp20178 +a(g181 +V +tp20179 +a(g336 +V= +tp20180 +a(g181 +V +tp20181 +a(g181 +Vyes; +p20182 +tp20183 +a(g181 +V +tp20184 +a(g107 +Vthen\u000a +p20185 +tp20186 +a(g69 +Vadd +p20187 +tp20188 +a(g336 +V= +tp20189 +a(g237 +V"$dir/$linklib" +p20190 +tp20191 +a(g181 +V\u000a +p20192 +tp20193 +a(g107 +Velif +p20194 +tp20195 +a(g53 +Vtest +p20196 +tp20197 +a(g181 +V +tp20198 +a(g237 +V"$hardcode_minus_L" +p20199 +tp20200 +a(g181 +V +tp20201 +a(g336 +V= +tp20202 +a(g181 +V +tp20203 +a(g181 +Vyes; +p20204 +tp20205 +a(g181 +V +tp20206 +a(g107 +Vthen\u000a +p20207 +tp20208 +a(g69 +Vadd_dir +p20209 +tp20210 +a(g336 +V= +tp20211 +a(g237 +V"-L$dir" +p20212 +tp20213 +a(g181 +V\u000a +p20214 +tp20215 +a(g6 +V# Try looking first in the location we're being installed to.\u000a +p20216 +tp20217 +a(g181 +V +p20218 +tp20219 +a(g107 +Vif +p20220 +tp20221 +a(g53 +Vtest +p20222 +tp20223 +a(g181 +V +tp20224 +a(g181 +V-n +p20225 +tp20226 +a(g181 +V +tp20227 +a(g237 +V"$inst_prefix_dir" +p20228 +tp20229 +a(g181 +V; +tp20230 +a(g181 +V +tp20231 +a(g107 +Vthen\u000a +p20232 +tp20233 +a(g107 +Vcase +p20234 +tp20235 +a(g181 +V +tp20236 +a(g69 +V$libdir +p20237 +tp20238 +a(g181 +V +tp20239 +a(g181 +Vin +p20240 +tp20241 +a(g181 +V\u000a +p20242 +tp20243 +a(g336 +V[ +tp20244 +a(g244 +V\u005c\u005c +p20245 +tp20246 +a(g181 +V/ +tp20247 +a(g336 +V] +tp20248 +a(g181 +V* +tp20249 +a(g336 +V) +tp20250 +a(g181 +V\u000a +p20251 +tp20252 +a(g69 +Vadd_dir +p20253 +tp20254 +a(g336 +V= +tp20255 +a(g237 +V"$add_dir -L$inst_prefix_dir$libdir" +p20256 +tp20257 +a(g181 +V\u000a +p20258 +tp20259 +a(g181 +V; +tp20260 +a(g181 +V; +tp20261 +a(g181 +V\u000a +p20262 +tp20263 +a(g107 +Vesac\u000a +p20264 +tp20265 +a(g107 +Vfi\u000a +p20266 +tp20267 +a(g69 +Vadd +p20268 +tp20269 +a(g336 +V= +tp20270 +a(g237 +V"-l$name" +p20271 +tp20272 +a(g181 +V\u000a +p20273 +tp20274 +a(g107 +Velif +p20275 +tp20276 +a(g53 +Vtest +p20277 +tp20278 +a(g181 +V +tp20279 +a(g237 +V"$hardcode_shlibpath_var" +p20280 +tp20281 +a(g181 +V +tp20282 +a(g336 +V= +tp20283 +a(g181 +V +tp20284 +a(g181 +Vyes; +p20285 +tp20286 +a(g181 +V +tp20287 +a(g107 +Vthen\u000a +p20288 +tp20289 +a(g69 +Vadd_shlibpath +p20290 +tp20291 +a(g336 +V= +tp20292 +a(g237 +V"$dir" +p20293 +tp20294 +a(g181 +V\u000a +p20295 +tp20296 +a(g69 +Vadd +p20297 +tp20298 +a(g336 +V= +tp20299 +a(g237 +V"-l$name" +p20300 +tp20301 +a(g181 +V\u000a +p20302 +tp20303 +a(g107 +Velse\u000a +p20304 +tp20305 +a(g69 +Vlib_linked +p20306 +tp20307 +a(g336 +V= +tp20308 +a(g181 +Vno +p20309 +tp20310 +a(g181 +V\u000a +p20311 +tp20312 +a(g107 +Vfi +p20313 +tp20314 +a(g181 +V\u000a +p20315 +tp20316 +a(g181 +V; +tp20317 +a(g181 +V; +tp20318 +a(g181 +V\u000a +p20319 +tp20320 +a(g181 +V* +tp20321 +a(g336 +V) +tp20322 +a(g181 +V +tp20323 +a(g69 +Vlib_linked +p20324 +tp20325 +a(g336 +V= +tp20326 +a(g181 +Vno +p20327 +tp20328 +a(g181 +V +tp20329 +a(g181 +V; +tp20330 +a(g181 +V; +tp20331 +a(g181 +V\u000a +p20332 +tp20333 +a(g107 +Vesac\u000a\u000a +p20334 +tp20335 +a(g107 +Vif +p20336 +tp20337 +a(g53 +Vtest +p20338 +tp20339 +a(g181 +V +tp20340 +a(g237 +V"$lib_linked" +p20341 +tp20342 +a(g181 +V +tp20343 +a(g181 +V! +tp20344 +a(g336 +V= +tp20345 +a(g181 +V +tp20346 +a(g181 +Vyes; +p20347 +tp20348 +a(g181 +V +tp20349 +a(g107 +Vthen +p20350 +tp20351 +a(g181 +V\u000a +p20352 +tp20353 +a(g69 +V$echo +p20354 +tp20355 +a(g181 +V +tp20356 +a(g237 +V"$modename: configuration error: unsupported hardcode properties" +p20357 +tp20358 +a(g181 +V\u000a +p20359 +tp20360 +a(g53 +Vexit +p20361 +tp20362 +a(g181 +V +tp20363 +a(g69 +V$EXIT_FAILURE +p20364 +tp20365 +a(g181 +V\u000a +p20366 +tp20367 +a(g107 +Vfi\u000a\u000a +p20368 +tp20369 +a(g107 +Vif +p20370 +tp20371 +a(g53 +Vtest +p20372 +tp20373 +a(g181 +V +tp20374 +a(g181 +V-n +p20375 +tp20376 +a(g181 +V +tp20377 +a(g237 +V"$add_shlibpath" +p20378 +tp20379 +a(g181 +V; +tp20380 +a(g181 +V +tp20381 +a(g107 +Vthen\u000a +p20382 +tp20383 +a(g107 +Vcase +p20384 +tp20385 +a(g181 +V +tp20386 +a(g181 +V: +tp20387 +a(g69 +V$compile_shlibpath +p20388 +tp20389 +a(g181 +V: +tp20390 +a(g181 +V +tp20391 +a(g181 +Vin +p20392 +tp20393 +a(g181 +V\u000a +p20394 +tp20395 +a(g181 +V* +tp20396 +a(g237 +V":$add_shlibpath:" +p20397 +tp20398 +a(g181 +V* +tp20399 +a(g336 +V) +tp20400 +a(g181 +V +tp20401 +a(g181 +V; +tp20402 +a(g181 +V; +tp20403 +a(g181 +V\u000a +p20404 +tp20405 +a(g181 +V* +tp20406 +a(g336 +V) +tp20407 +a(g181 +V +tp20408 +a(g69 +Vcompile_shlibpath +p20409 +tp20410 +a(g336 +V= +tp20411 +a(g237 +V"$compile_shlibpath$add_shlibpath:" +p20412 +tp20413 +a(g181 +V +tp20414 +a(g181 +V; +tp20415 +a(g181 +V; +tp20416 +a(g181 +V\u000a +p20417 +tp20418 +a(g107 +Vesac\u000a +p20419 +tp20420 +a(g107 +Vfi\u000a +p20421 +tp20422 +a(g107 +Vif +p20423 +tp20424 +a(g53 +Vtest +p20425 +tp20426 +a(g181 +V +tp20427 +a(g237 +V"$linkmode" +p20428 +tp20429 +a(g181 +V +tp20430 +a(g336 +V= +tp20431 +a(g181 +V +tp20432 +a(g181 +Vprog; +p20433 +tp20434 +a(g181 +V +tp20435 +a(g107 +Vthen\u000a +p20436 +tp20437 +a(g53 +Vtest +p20438 +tp20439 +a(g181 +V +tp20440 +a(g181 +V-n +p20441 +tp20442 +a(g181 +V +tp20443 +a(g237 +V"$add_dir" +p20444 +tp20445 +a(g181 +V +tp20446 +a(g336 +V&& +p20447 +tp20448 +a(g181 +V +tp20449 +a(g69 +Vcompile_deplibs +p20450 +tp20451 +a(g336 +V= +tp20452 +a(g237 +V"$add_dir $compile_deplibs" +p20453 +tp20454 +a(g181 +V\u000a +p20455 +tp20456 +a(g53 +Vtest +p20457 +tp20458 +a(g181 +V +tp20459 +a(g181 +V-n +p20460 +tp20461 +a(g181 +V +tp20462 +a(g237 +V"$add" +p20463 +tp20464 +a(g181 +V +tp20465 +a(g336 +V&& +p20466 +tp20467 +a(g181 +V +tp20468 +a(g69 +Vcompile_deplibs +p20469 +tp20470 +a(g336 +V= +tp20471 +a(g237 +V"$add $compile_deplibs" +p20472 +tp20473 +a(g181 +V\u000a +p20474 +tp20475 +a(g107 +Velse\u000a +p20476 +tp20477 +a(g53 +Vtest +p20478 +tp20479 +a(g181 +V +tp20480 +a(g181 +V-n +p20481 +tp20482 +a(g181 +V +tp20483 +a(g237 +V"$add_dir" +p20484 +tp20485 +a(g181 +V +tp20486 +a(g336 +V&& +p20487 +tp20488 +a(g181 +V +tp20489 +a(g69 +Vdeplibs +p20490 +tp20491 +a(g336 +V= +tp20492 +a(g237 +V"$add_dir $deplibs" +p20493 +tp20494 +a(g181 +V\u000a +p20495 +tp20496 +a(g53 +Vtest +p20497 +tp20498 +a(g181 +V +tp20499 +a(g181 +V-n +p20500 +tp20501 +a(g181 +V +tp20502 +a(g237 +V"$add" +p20503 +tp20504 +a(g181 +V +tp20505 +a(g336 +V&& +p20506 +tp20507 +a(g181 +V +tp20508 +a(g69 +Vdeplibs +p20509 +tp20510 +a(g336 +V= +tp20511 +a(g237 +V"$add $deplibs" +p20512 +tp20513 +a(g181 +V\u000a +p20514 +tp20515 +a(g107 +Vif +p20516 +tp20517 +a(g53 +Vtest +p20518 +tp20519 +a(g181 +V +tp20520 +a(g237 +V"$hardcode_direct" +p20521 +tp20522 +a(g181 +V +tp20523 +a(g181 +V! +tp20524 +a(g336 +V= +tp20525 +a(g181 +V +tp20526 +a(g181 +Vyes +p20527 +tp20528 +a(g181 +V +tp20529 +a(g336 +V&& +p20530 +tp20531 +a(g181 +V +tp20532 +a(g244 +V\u005c\u000a +p20533 +tp20534 +a(g181 +V +p20535 +tp20536 +a(g53 +Vtest +p20537 +tp20538 +a(g181 +V +tp20539 +a(g237 +V"$hardcode_minus_L" +p20540 +tp20541 +a(g181 +V +tp20542 +a(g181 +V! +tp20543 +a(g336 +V= +tp20544 +a(g181 +V +tp20545 +a(g181 +Vyes +p20546 +tp20547 +a(g181 +V +tp20548 +a(g336 +V&& +p20549 +tp20550 +a(g181 +V +tp20551 +a(g244 +V\u005c\u000a +p20552 +tp20553 +a(g181 +V +p20554 +tp20555 +a(g53 +Vtest +p20556 +tp20557 +a(g181 +V +tp20558 +a(g237 +V"$hardcode_shlibpath_var" +p20559 +tp20560 +a(g181 +V +tp20561 +a(g336 +V= +tp20562 +a(g181 +V +tp20563 +a(g181 +Vyes; +p20564 +tp20565 +a(g181 +V +tp20566 +a(g107 +Vthen\u000a +p20567 +tp20568 +a(g107 +Vcase +p20569 +tp20570 +a(g181 +V +tp20571 +a(g181 +V: +tp20572 +a(g69 +V$finalize_shlibpath +p20573 +tp20574 +a(g181 +V: +tp20575 +a(g181 +V +tp20576 +a(g181 +Vin +p20577 +tp20578 +a(g181 +V\u000a +p20579 +tp20580 +a(g181 +V* +tp20581 +a(g237 +V":$libdir:" +p20582 +tp20583 +a(g181 +V* +tp20584 +a(g336 +V) +tp20585 +a(g181 +V +tp20586 +a(g181 +V; +tp20587 +a(g181 +V; +tp20588 +a(g181 +V\u000a +p20589 +tp20590 +a(g181 +V* +tp20591 +a(g336 +V) +tp20592 +a(g181 +V +tp20593 +a(g69 +Vfinalize_shlibpath +p20594 +tp20595 +a(g336 +V= +tp20596 +a(g237 +V"$finalize_shlibpath$libdir:" +p20597 +tp20598 +a(g181 +V +tp20599 +a(g181 +V; +tp20600 +a(g181 +V; +tp20601 +a(g181 +V\u000a +p20602 +tp20603 +a(g107 +Vesac\u000a +p20604 +tp20605 +a(g107 +Vfi\u000a +p20606 +tp20607 +a(g107 +Vfi\u000a +p20608 +tp20609 +a(g107 +Vfi\u000a\u000a +p20610 +tp20611 +a(g107 +Vif +p20612 +tp20613 +a(g53 +Vtest +p20614 +tp20615 +a(g181 +V +tp20616 +a(g237 +V"$linkmode" +p20617 +tp20618 +a(g181 +V +tp20619 +a(g336 +V= +tp20620 +a(g181 +V +tp20621 +a(g181 +Vprog +p20622 +tp20623 +a(g181 +V +tp20624 +a(g336 +V|| +p20625 +tp20626 +a(g181 +V +tp20627 +a(g53 +Vtest +p20628 +tp20629 +a(g181 +V +tp20630 +a(g237 +V"$mode" +p20631 +tp20632 +a(g181 +V +tp20633 +a(g336 +V= +tp20634 +a(g181 +V +tp20635 +a(g181 +Vrelink; +p20636 +tp20637 +a(g181 +V +tp20638 +a(g107 +Vthen\u000a +p20639 +tp20640 +a(g69 +Vadd_shlibpath +p20641 +tp20642 +a(g336 +V= +tp20643 +a(g181 +V\u000a +p20644 +tp20645 +a(g69 +Vadd_dir +p20646 +tp20647 +a(g336 +V= +tp20648 +a(g181 +V\u000a +p20649 +tp20650 +a(g69 +Vadd +p20651 +tp20652 +a(g336 +V= +tp20653 +a(g181 +V\u000a +p20654 +tp20655 +a(g6 +V# Finalize command for both is simple: just hardcode it.\u000a +p20656 +tp20657 +a(g181 +V +p20658 +tp20659 +a(g107 +Vif +p20660 +tp20661 +a(g53 +Vtest +p20662 +tp20663 +a(g181 +V +tp20664 +a(g237 +V"$hardcode_direct" +p20665 +tp20666 +a(g181 +V +tp20667 +a(g336 +V= +tp20668 +a(g181 +V +tp20669 +a(g181 +Vyes; +p20670 +tp20671 +a(g181 +V +tp20672 +a(g107 +Vthen\u000a +p20673 +tp20674 +a(g69 +Vadd +p20675 +tp20676 +a(g336 +V= +tp20677 +a(g237 +V"$libdir/$linklib" +p20678 +tp20679 +a(g181 +V\u000a +p20680 +tp20681 +a(g107 +Velif +p20682 +tp20683 +a(g53 +Vtest +p20684 +tp20685 +a(g181 +V +tp20686 +a(g237 +V"$hardcode_minus_L" +p20687 +tp20688 +a(g181 +V +tp20689 +a(g336 +V= +tp20690 +a(g181 +V +tp20691 +a(g181 +Vyes; +p20692 +tp20693 +a(g181 +V +tp20694 +a(g107 +Vthen\u000a +p20695 +tp20696 +a(g69 +Vadd_dir +p20697 +tp20698 +a(g336 +V= +tp20699 +a(g237 +V"-L$libdir" +p20700 +tp20701 +a(g181 +V\u000a +p20702 +tp20703 +a(g69 +Vadd +p20704 +tp20705 +a(g336 +V= +tp20706 +a(g237 +V"-l$name" +p20707 +tp20708 +a(g181 +V\u000a +p20709 +tp20710 +a(g107 +Velif +p20711 +tp20712 +a(g53 +Vtest +p20713 +tp20714 +a(g181 +V +tp20715 +a(g237 +V"$hardcode_shlibpath_var" +p20716 +tp20717 +a(g181 +V +tp20718 +a(g336 +V= +tp20719 +a(g181 +V +tp20720 +a(g181 +Vyes; +p20721 +tp20722 +a(g181 +V +tp20723 +a(g107 +Vthen\u000a +p20724 +tp20725 +a(g107 +Vcase +p20726 +tp20727 +a(g181 +V +tp20728 +a(g181 +V: +tp20729 +a(g69 +V$finalize_shlibpath +p20730 +tp20731 +a(g181 +V: +tp20732 +a(g181 +V +tp20733 +a(g181 +Vin +p20734 +tp20735 +a(g181 +V\u000a +p20736 +tp20737 +a(g181 +V* +tp20738 +a(g237 +V":$libdir:" +p20739 +tp20740 +a(g181 +V* +tp20741 +a(g336 +V) +tp20742 +a(g181 +V +tp20743 +a(g181 +V; +tp20744 +a(g181 +V; +tp20745 +a(g181 +V\u000a +p20746 +tp20747 +a(g181 +V* +tp20748 +a(g336 +V) +tp20749 +a(g181 +V +tp20750 +a(g69 +Vfinalize_shlibpath +p20751 +tp20752 +a(g336 +V= +tp20753 +a(g237 +V"$finalize_shlibpath$libdir:" +p20754 +tp20755 +a(g181 +V +tp20756 +a(g181 +V; +tp20757 +a(g181 +V; +tp20758 +a(g181 +V\u000a +p20759 +tp20760 +a(g107 +Vesac\u000a +p20761 +tp20762 +a(g69 +Vadd +p20763 +tp20764 +a(g336 +V= +tp20765 +a(g237 +V"-l$name" +p20766 +tp20767 +a(g181 +V\u000a +p20768 +tp20769 +a(g107 +Velif +p20770 +tp20771 +a(g53 +Vtest +p20772 +tp20773 +a(g181 +V +tp20774 +a(g237 +V"$hardcode_automatic" +p20775 +tp20776 +a(g181 +V +tp20777 +a(g336 +V= +tp20778 +a(g181 +V +tp20779 +a(g181 +Vyes; +p20780 +tp20781 +a(g181 +V +tp20782 +a(g107 +Vthen\u000a +p20783 +tp20784 +a(g107 +Vif +p20785 +tp20786 +a(g53 +Vtest +p20787 +tp20788 +a(g181 +V +tp20789 +a(g181 +V-n +p20790 +tp20791 +a(g181 +V +tp20792 +a(g237 +V"$inst_prefix_dir" +p20793 +tp20794 +a(g181 +V +tp20795 +a(g336 +V&& +p20796 +tp20797 +a(g181 +V\u000a +p20798 +tp20799 +a(g53 +Vtest +p20800 +tp20801 +a(g181 +V +tp20802 +a(g181 +V-f +p20803 +tp20804 +a(g181 +V +tp20805 +a(g237 +V"$inst_prefix_dir$libdir/$linklib" +p20806 +tp20807 +a(g181 +V +tp20808 +a(g181 +V; +tp20809 +a(g181 +V +tp20810 +a(g107 +Vthen\u000a +p20811 +tp20812 +a(g69 +Vadd +p20813 +tp20814 +a(g336 +V= +tp20815 +a(g237 +V"$inst_prefix_dir$libdir/$linklib" +p20816 +tp20817 +a(g181 +V\u000a +p20818 +tp20819 +a(g107 +Velse\u000a +p20820 +tp20821 +a(g69 +Vadd +p20822 +tp20823 +a(g336 +V= +tp20824 +a(g237 +V"$libdir/$linklib" +p20825 +tp20826 +a(g181 +V\u000a +p20827 +tp20828 +a(g107 +Vfi\u000a +p20829 +tp20830 +a(g107 +Velse +p20831 +tp20832 +a(g181 +V\u000a +p20833 +tp20834 +a(g6 +V# We cannot seem to hardcode it, guess we'll fake it.\u000a +p20835 +tp20836 +a(g181 +V +p20837 +tp20838 +a(g69 +Vadd_dir +p20839 +tp20840 +a(g336 +V= +tp20841 +a(g237 +V"-L$libdir" +p20842 +tp20843 +a(g181 +V\u000a +p20844 +tp20845 +a(g6 +V# Try looking first in the location we're being installed to.\u000a +p20846 +tp20847 +a(g181 +V +p20848 +tp20849 +a(g107 +Vif +p20850 +tp20851 +a(g53 +Vtest +p20852 +tp20853 +a(g181 +V +tp20854 +a(g181 +V-n +p20855 +tp20856 +a(g181 +V +tp20857 +a(g237 +V"$inst_prefix_dir" +p20858 +tp20859 +a(g181 +V; +tp20860 +a(g181 +V +tp20861 +a(g107 +Vthen\u000a +p20862 +tp20863 +a(g107 +Vcase +p20864 +tp20865 +a(g181 +V +tp20866 +a(g69 +V$libdir +p20867 +tp20868 +a(g181 +V +tp20869 +a(g181 +Vin +p20870 +tp20871 +a(g181 +V\u000a +p20872 +tp20873 +a(g336 +V[ +tp20874 +a(g244 +V\u005c\u005c +p20875 +tp20876 +a(g181 +V/ +tp20877 +a(g336 +V] +tp20878 +a(g181 +V* +tp20879 +a(g336 +V) +tp20880 +a(g181 +V\u000a +p20881 +tp20882 +a(g69 +Vadd_dir +p20883 +tp20884 +a(g336 +V= +tp20885 +a(g237 +V"$add_dir -L$inst_prefix_dir$libdir" +p20886 +tp20887 +a(g181 +V\u000a +p20888 +tp20889 +a(g181 +V; +tp20890 +a(g181 +V; +tp20891 +a(g181 +V\u000a +p20892 +tp20893 +a(g107 +Vesac\u000a +p20894 +tp20895 +a(g107 +Vfi\u000a +p20896 +tp20897 +a(g69 +Vadd +p20898 +tp20899 +a(g336 +V= +tp20900 +a(g237 +V"-l$name" +p20901 +tp20902 +a(g181 +V\u000a +p20903 +tp20904 +a(g107 +Vfi\u000a\u000a +p20905 +tp20906 +a(g107 +Vif +p20907 +tp20908 +a(g53 +Vtest +p20909 +tp20910 +a(g181 +V +tp20911 +a(g237 +V"$linkmode" +p20912 +tp20913 +a(g181 +V +tp20914 +a(g336 +V= +tp20915 +a(g181 +V +tp20916 +a(g181 +Vprog; +p20917 +tp20918 +a(g181 +V +tp20919 +a(g107 +Vthen\u000a +p20920 +tp20921 +a(g53 +Vtest +p20922 +tp20923 +a(g181 +V +tp20924 +a(g181 +V-n +p20925 +tp20926 +a(g181 +V +tp20927 +a(g237 +V"$add_dir" +p20928 +tp20929 +a(g181 +V +tp20930 +a(g336 +V&& +p20931 +tp20932 +a(g181 +V +tp20933 +a(g69 +Vfinalize_deplibs +p20934 +tp20935 +a(g336 +V= +tp20936 +a(g237 +V"$add_dir $finalize_deplibs" +p20937 +tp20938 +a(g181 +V\u000a +p20939 +tp20940 +a(g53 +Vtest +p20941 +tp20942 +a(g181 +V +tp20943 +a(g181 +V-n +p20944 +tp20945 +a(g181 +V +tp20946 +a(g237 +V"$add" +p20947 +tp20948 +a(g181 +V +tp20949 +a(g336 +V&& +p20950 +tp20951 +a(g181 +V +tp20952 +a(g69 +Vfinalize_deplibs +p20953 +tp20954 +a(g336 +V= +tp20955 +a(g237 +V"$add $finalize_deplibs" +p20956 +tp20957 +a(g181 +V\u000a +p20958 +tp20959 +a(g107 +Velse\u000a +p20960 +tp20961 +a(g53 +Vtest +p20962 +tp20963 +a(g181 +V +tp20964 +a(g181 +V-n +p20965 +tp20966 +a(g181 +V +tp20967 +a(g237 +V"$add_dir" +p20968 +tp20969 +a(g181 +V +tp20970 +a(g336 +V&& +p20971 +tp20972 +a(g181 +V +tp20973 +a(g69 +Vdeplibs +p20974 +tp20975 +a(g336 +V= +tp20976 +a(g237 +V"$add_dir $deplibs" +p20977 +tp20978 +a(g181 +V\u000a +p20979 +tp20980 +a(g53 +Vtest +p20981 +tp20982 +a(g181 +V +tp20983 +a(g181 +V-n +p20984 +tp20985 +a(g181 +V +tp20986 +a(g237 +V"$add" +p20987 +tp20988 +a(g181 +V +tp20989 +a(g336 +V&& +p20990 +tp20991 +a(g181 +V +tp20992 +a(g69 +Vdeplibs +p20993 +tp20994 +a(g336 +V= +tp20995 +a(g237 +V"$add $deplibs" +p20996 +tp20997 +a(g181 +V\u000a +p20998 +tp20999 +a(g107 +Vfi\u000a +p21000 +tp21001 +a(g107 +Vfi\u000a +p21002 +tp21003 +a(g107 +Velif +p21004 +tp21005 +a(g53 +Vtest +p21006 +tp21007 +a(g181 +V +tp21008 +a(g237 +V"$linkmode" +p21009 +tp21010 +a(g181 +V +tp21011 +a(g336 +V= +tp21012 +a(g181 +V +tp21013 +a(g181 +Vprog; +p21014 +tp21015 +a(g181 +V +tp21016 +a(g107 +Vthen +p21017 +tp21018 +a(g181 +V\u000a +p21019 +tp21020 +a(g6 +V# Here we assume that one of hardcode_direct or hardcode_minus_L\u000a +p21021 +tp21022 +a(g181 +V +p21023 +tp21024 +a(g6 +V# is not unsupported. This is valid on all known static and\u000a +p21025 +tp21026 +a(g181 +V +p21027 +tp21028 +a(g6 +V# shared platforms.\u000a +p21029 +tp21030 +a(g181 +V +p21031 +tp21032 +a(g107 +Vif +p21033 +tp21034 +a(g53 +Vtest +p21035 +tp21036 +a(g181 +V +tp21037 +a(g237 +V"$hardcode_direct" +p21038 +tp21039 +a(g181 +V +tp21040 +a(g181 +V! +tp21041 +a(g336 +V= +tp21042 +a(g181 +V +tp21043 +a(g181 +Vunsupported; +p21044 +tp21045 +a(g181 +V +tp21046 +a(g107 +Vthen\u000a +p21047 +tp21048 +a(g53 +Vtest +p21049 +tp21050 +a(g181 +V +tp21051 +a(g181 +V-n +p21052 +tp21053 +a(g181 +V +tp21054 +a(g237 +V"$old_library" +p21055 +tp21056 +a(g181 +V +tp21057 +a(g336 +V&& +p21058 +tp21059 +a(g181 +V +tp21060 +a(g69 +Vlinklib +p21061 +tp21062 +a(g336 +V= +tp21063 +a(g237 +V"$old_library" +p21064 +tp21065 +a(g181 +V\u000a +p21066 +tp21067 +a(g69 +Vcompile_deplibs +p21068 +tp21069 +a(g336 +V= +tp21070 +a(g237 +V"$dir/$linklib $compile_deplibs" +p21071 +tp21072 +a(g181 +V\u000a +p21073 +tp21074 +a(g69 +Vfinalize_deplibs +p21075 +tp21076 +a(g336 +V= +tp21077 +a(g237 +V"$dir/$linklib $finalize_deplibs" +p21078 +tp21079 +a(g181 +V\u000a +p21080 +tp21081 +a(g107 +Velse\u000a +p21082 +tp21083 +a(g69 +Vcompile_deplibs +p21084 +tp21085 +a(g336 +V= +tp21086 +a(g237 +V"-l$name -L$dir $compile_deplibs" +p21087 +tp21088 +a(g181 +V\u000a +p21089 +tp21090 +a(g69 +Vfinalize_deplibs +p21091 +tp21092 +a(g336 +V= +tp21093 +a(g237 +V"-l$name -L$dir $finalize_deplibs" +p21094 +tp21095 +a(g181 +V\u000a +p21096 +tp21097 +a(g107 +Vfi\u000a +p21098 +tp21099 +a(g107 +Velif +p21100 +tp21101 +a(g53 +Vtest +p21102 +tp21103 +a(g181 +V +tp21104 +a(g237 +V"$build_libtool_libs" +p21105 +tp21106 +a(g181 +V +tp21107 +a(g336 +V= +tp21108 +a(g181 +V +tp21109 +a(g181 +Vyes; +p21110 +tp21111 +a(g181 +V +tp21112 +a(g107 +Vthen +p21113 +tp21114 +a(g181 +V\u000a +p21115 +tp21116 +a(g6 +V# Not a shared library\u000a +p21117 +tp21118 +a(g181 +V +p21119 +tp21120 +a(g107 +Vif +p21121 +tp21122 +a(g53 +Vtest +p21123 +tp21124 +a(g181 +V +tp21125 +a(g237 +V"$deplibs_check_method" +p21126 +tp21127 +a(g181 +V +tp21128 +a(g181 +V! +tp21129 +a(g336 +V= +tp21130 +a(g181 +V +tp21131 +a(g181 +Vpass_all; +p21132 +tp21133 +a(g181 +V +tp21134 +a(g107 +Vthen +p21135 +tp21136 +a(g181 +V\u000a +p21137 +tp21138 +a(g6 +V# We're trying link a shared library against a static one\u000a +p21139 +tp21140 +a(g181 +V +p21141 +tp21142 +a(g6 +V# but the system doesn't support it.\u000a +p21143 +tp21144 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/main.cmake b/tests/examplefiles/output/main.cmake new file mode 100644 index 0000000..2fabf04 --- /dev/null +++ b/tests/examplefiles/output/main.cmake @@ -0,0 +1,2518 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Name' +p5 +S'Builtin' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((lp11 +g2 +(g3 +g4 +(g5 +g6 +S'Pseudo' +p12 +ttRp13 +(dp14 +g9 +g10 +((ltRp15 +sS'parent' +p16 +g7 +sbatRp17 +sg12 +g13 +sg16 +g2 +(g3 +g4 +(g5 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g5 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg16 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g5 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg16 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g5 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg16 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g5 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg16 +g18 +sbsg16 +g2 +(g3 +g4 +(ttRp36 +(dp37 +S'Comment' +p38 +g2 +(g3 +g4 +(g38 +ttRp39 +(dp40 +g16 +g36 +sS'Preproc' +p41 +g2 +(g3 +g4 +(g38 +g41 +ttRp42 +(dp43 +g9 +g10 +((ltRp44 +sg16 +g39 +sbsS'Single' +p45 +g2 +(g3 +g4 +(g38 +g45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg16 +g39 +sbsS'Multiline' +p49 +g2 +(g3 +g4 +(g38 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg16 +g39 +sbsg9 +g10 +((lp53 +g2 +(g3 +g4 +(g38 +S'Special' +p54 +ttRp55 +(dp56 +g9 +g10 +((ltRp57 +sg16 +g39 +sbag42 +ag46 +ag50 +atRp58 +sg54 +g55 +sbsg5 +g18 +sS'Keyword' +p59 +g2 +(g3 +g4 +(g59 +ttRp60 +(dp61 +g32 +g2 +(g3 +g4 +(g59 +g32 +ttRp62 +(dp63 +g9 +g10 +((ltRp64 +sg16 +g60 +sbsg16 +g36 +sS'Namespace' +p65 +g2 +(g3 +g4 +(g59 +g65 +ttRp66 +(dp67 +g9 +g10 +((ltRp68 +sg16 +g60 +sbsg12 +g2 +(g3 +g4 +(g59 +g12 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg16 +g60 +sbsS'Reserved' +p72 +g2 +(g3 +g4 +(g59 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg16 +g60 +sbsS'Declaration' +p76 +g2 +(g3 +g4 +(g59 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg16 +g60 +sbsS'Variable' +p80 +g2 +(g3 +g4 +(g59 +g80 +ttRp81 +(dp82 +g9 +g10 +((ltRp83 +sg16 +g60 +sbsg9 +g10 +((lp84 +g62 +ag73 +ag2 +(g3 +g4 +(g59 +S'Type' +p85 +ttRp86 +(dp87 +g9 +g10 +((ltRp88 +sg16 +g60 +sbag77 +ag81 +ag66 +ag69 +atRp89 +sg85 +g86 +sbsS'Generic' +p90 +g2 +(g3 +g4 +(g90 +ttRp91 +(dp92 +S'Prompt' +p93 +g2 +(g3 +g4 +(g90 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg16 +g91 +sbsg16 +g36 +sS'Deleted' +p97 +g2 +(g3 +g4 +(g90 +g97 +ttRp98 +(dp99 +g9 +g10 +((ltRp100 +sg16 +g91 +sbsS'Traceback' +p101 +g2 +(g3 +g4 +(g90 +g101 +ttRp102 +(dp103 +g9 +g10 +((ltRp104 +sg16 +g91 +sbsS'Emph' +p105 +g2 +(g3 +g4 +(g90 +g105 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg16 +g91 +sbsS'Output' +p109 +g2 +(g3 +g4 +(g90 +g109 +ttRp110 +(dp111 +g9 +g10 +((ltRp112 +sg16 +g91 +sbsS'Subheading' +p113 +g2 +(g3 +g4 +(g90 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg16 +g91 +sbsS'Error' +p117 +g2 +(g3 +g4 +(g90 +g117 +ttRp118 +(dp119 +g9 +g10 +((ltRp120 +sg16 +g91 +sbsg9 +g10 +((lp121 +g110 +ag106 +ag118 +ag114 +ag102 +ag98 +ag2 +(g3 +g4 +(g90 +S'Heading' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg16 +g91 +sbag2 +(g3 +g4 +(g90 +S'Inserted' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg16 +g91 +sbag2 +(g3 +g4 +(g90 +S'Strong' +p130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg16 +g91 +sbag94 +atRp134 +sg130 +g131 +sg126 +g127 +sg122 +g123 +sbsS'Text' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +g9 +g10 +((lp138 +g2 +(g3 +g4 +(g135 +S'Symbol' +p139 +ttRp140 +(dp141 +g9 +g10 +((ltRp142 +sg16 +g136 +sbag2 +(g3 +g4 +(g135 +S'Whitespace' +p143 +ttRp144 +(dp145 +g9 +g10 +((ltRp146 +sg16 +g136 +sbatRp147 +sg139 +g140 +sg143 +g144 +sg16 +g36 +sbsS'Punctuation' +p148 +g2 +(g3 +g4 +(g148 +ttRp149 +(dp150 +g9 +g10 +((lp151 +g2 +(g3 +g4 +(g148 +S'Indicator' +p152 +ttRp153 +(dp154 +g9 +g10 +((ltRp155 +sg16 +g149 +sbatRp156 +sg152 +g153 +sg16 +g36 +sbsS'Token' +p157 +g36 +sS'Number' +p158 +g2 +(g3 +g4 +(S'Literal' +p159 +g158 +ttRp160 +(dp161 +S'Bin' +p162 +g2 +(g3 +g4 +(g159 +g158 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg16 +g160 +sbsS'Binary' +p166 +g2 +(g3 +g4 +(g159 +g158 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg16 +g160 +sbsg16 +g2 +(g3 +g4 +(g159 +ttRp170 +(dp171 +S'String' +p172 +g2 +(g3 +g4 +(g159 +g172 +ttRp173 +(dp174 +S'Regex' +p175 +g2 +(g3 +g4 +(g159 +g172 +g175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg16 +g173 +sbsS'Interpol' +p179 +g2 +(g3 +g4 +(g159 +g172 +g179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg16 +g173 +sbsS'Regexp' +p183 +g2 +(g3 +g4 +(g159 +g172 +g183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg16 +g173 +sbsg16 +g170 +sS'Heredoc' +p187 +g2 +(g3 +g4 +(g159 +g172 +g187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg16 +g173 +sbsS'Double' +p191 +g2 +(g3 +g4 +(g159 +g172 +g191 +ttRp192 +(dp193 +g9 +g10 +((ltRp194 +sg16 +g173 +sbsg139 +g2 +(g3 +g4 +(g159 +g172 +g139 +ttRp195 +(dp196 +g9 +g10 +((ltRp197 +sg16 +g173 +sbsS'Escape' +p198 +g2 +(g3 +g4 +(g159 +g172 +g198 +ttRp199 +(dp200 +g9 +g10 +((ltRp201 +sg16 +g173 +sbsS'Character' +p202 +g2 +(g3 +g4 +(g159 +g172 +g202 +ttRp203 +(dp204 +g9 +g10 +((ltRp205 +sg16 +g173 +sbsS'Interp' +p206 +g2 +(g3 +g4 +(g159 +g172 +g206 +ttRp207 +(dp208 +g9 +g10 +((ltRp209 +sg16 +g173 +sbsS'Backtick' +p210 +g2 +(g3 +g4 +(g159 +g172 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg16 +g173 +sbsS'Char' +p214 +g2 +(g3 +g4 +(g159 +g172 +g214 +ttRp215 +(dp216 +g9 +g10 +((ltRp217 +sg16 +g173 +sbsg45 +g2 +(g3 +g4 +(g159 +g172 +g45 +ttRp218 +(dp219 +g9 +g10 +((ltRp220 +sg16 +g173 +sbsS'Other' +p221 +g2 +(g3 +g4 +(g159 +g172 +g221 +ttRp222 +(dp223 +g9 +g10 +((ltRp224 +sg16 +g173 +sbsS'Doc' +p225 +g2 +(g3 +g4 +(g159 +g172 +g225 +ttRp226 +(dp227 +g9 +g10 +((ltRp228 +sg16 +g173 +sbsg9 +g10 +((lp229 +g222 +ag2 +(g3 +g4 +(g159 +g172 +S'Atom' +p230 +ttRp231 +(dp232 +g9 +g10 +((ltRp233 +sg16 +g173 +sbag192 +ag215 +ag207 +ag226 +ag188 +ag211 +ag180 +ag195 +ag184 +ag176 +ag218 +ag203 +ag199 +atRp234 +sg230 +g231 +sbsg16 +g36 +sg158 +g160 +sS'Scalar' +p235 +g2 +(g3 +g4 +(g159 +g235 +ttRp236 +(dp237 +g9 +g10 +((lp238 +g2 +(g3 +g4 +(g159 +g235 +S'Plain' +p239 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg16 +g236 +sbatRp243 +sg16 +g170 +sg239 +g240 +sbsg221 +g2 +(g3 +g4 +(g159 +g221 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg16 +g170 +sbsS'Date' +p247 +g2 +(g3 +g4 +(g159 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg16 +g170 +sbsg9 +g10 +((lp251 +g248 +ag173 +ag244 +ag160 +ag236 +atRp252 +sbsS'Decimal' +p253 +g2 +(g3 +g4 +(g159 +g158 +g253 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg16 +g160 +sbsS'Float' +p257 +g2 +(g3 +g4 +(g159 +g158 +g257 +ttRp258 +(dp259 +g9 +g10 +((ltRp260 +sg16 +g160 +sbsS'Hex' +p261 +g2 +(g3 +g4 +(g159 +g158 +g261 +ttRp262 +(dp263 +g9 +g10 +((ltRp264 +sg16 +g160 +sbsS'Integer' +p265 +g2 +(g3 +g4 +(g159 +g158 +g265 +ttRp266 +(dp267 +g9 +g10 +((lp268 +g2 +(g3 +g4 +(g159 +g158 +g265 +S'Long' +p269 +ttRp270 +(dp271 +g9 +g10 +((ltRp272 +sg16 +g266 +sbatRp273 +sg269 +g270 +sg16 +g160 +sbsS'Octal' +p274 +g2 +(g3 +g4 +(g159 +g158 +g274 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg16 +g160 +sbsg9 +g10 +((lp278 +g163 +ag167 +ag275 +ag254 +ag2 +(g3 +g4 +(g159 +g158 +S'Oct' +p279 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg16 +g160 +sbag266 +ag258 +ag262 +atRp283 +sg279 +g280 +sbsg159 +g170 +sg221 +g2 +(g3 +g4 +(g221 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg16 +g36 +sbsg117 +g2 +(g3 +g4 +(g117 +ttRp287 +(dp288 +g9 +g10 +((ltRp289 +sg16 +g36 +sbsS'Operator' +p290 +g2 +(g3 +g4 +(g290 +ttRp291 +(dp292 +g9 +g10 +((lp293 +g2 +(g3 +g4 +(g290 +S'Word' +p294 +ttRp295 +(dp296 +g9 +g10 +((ltRp297 +sg16 +g291 +sbatRp298 +sg294 +g295 +sg16 +g36 +sbsg9 +g10 +((lp299 +g39 +ag287 +ag91 +ag136 +ag18 +ag149 +ag60 +ag170 +ag291 +ag284 +atRp300 +sg172 +g173 +sbsg12 +g2 +(g3 +g4 +(g5 +g12 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg16 +g18 +sbsS'Attribute' +p304 +g2 +(g3 +g4 +(g5 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg16 +g18 +sbsS'Label' +p308 +g2 +(g3 +g4 +(g5 +g308 +ttRp309 +(dp310 +g9 +g10 +((ltRp311 +sg16 +g18 +sbsS'Blubb' +p312 +g2 +(g3 +g4 +(g5 +g312 +ttRp313 +(dp314 +g9 +g10 +((ltRp315 +sg16 +g18 +sbsS'Entity' +p316 +g2 +(g3 +g4 +(g5 +g316 +ttRp317 +(dp318 +g9 +g10 +((ltRp319 +sg16 +g18 +sbsg6 +g7 +sg221 +g2 +(g3 +g4 +(g5 +g221 +ttRp320 +(dp321 +g9 +g10 +((ltRp322 +sg16 +g18 +sbsS'Identifier' +p323 +g2 +(g3 +g4 +(g5 +g323 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg16 +g18 +sbsg80 +g2 +(g3 +g4 +(g5 +g80 +ttRp327 +(dp328 +g16 +g18 +sS'Global' +p329 +g2 +(g3 +g4 +(g5 +g80 +g329 +ttRp330 +(dp331 +g9 +g10 +((ltRp332 +sg16 +g327 +sbsS'Instance' +p333 +g2 +(g3 +g4 +(g5 +g80 +g333 +ttRp334 +(dp335 +g9 +g10 +((ltRp336 +sg16 +g327 +sbsS'Anonymous' +p337 +g2 +(g3 +g4 +(g5 +g80 +g337 +ttRp338 +(dp339 +g9 +g10 +((ltRp340 +sg16 +g327 +sbsg9 +g10 +((lp341 +g338 +ag334 +ag330 +ag2 +(g3 +g4 +(g5 +g80 +S'Class' +p342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg16 +g327 +sbatRp346 +sg342 +g343 +sbsg9 +g10 +((lp347 +g2 +(g3 +g4 +(g5 +S'Decorator' +p348 +ttRp349 +(dp350 +g9 +g10 +((ltRp351 +sg16 +g18 +sbag305 +ag33 +ag301 +ag2 +(g3 +g4 +(g5 +g65 +ttRp352 +(dp353 +g9 +g10 +((ltRp354 +sg16 +g18 +sbag324 +ag7 +ag327 +ag320 +ag313 +ag317 +ag21 +ag2 +(g3 +g4 +(g5 +S'Property' +p355 +ttRp356 +(dp357 +g9 +g10 +((ltRp358 +sg16 +g18 +sbag309 +ag29 +ag25 +ag2 +(g3 +g4 +(g5 +g342 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg16 +g18 +sbatRp362 +sg355 +g356 +sg342 +g359 +sg348 +g349 +sg65 +g352 +sbsbVSET +p363 +tp364 +a(g149 +V( +tp365 +a(g136 +V +tp366 +a(g173 +VSOURCES +p367 +tp368 +a(g136 +V +tp369 +a(g173 +Vback.c +p370 +tp371 +a(g136 +V +tp372 +a(g173 +Vio.c +p373 +tp374 +a(g136 +V +tp375 +a(g173 +Vmain.c +p376 +tp377 +a(g136 +V +tp378 +a(g149 +V) +tp379 +a(g136 +V\u000a +tp380 +a(g7 +VMESSAGE +p381 +tp382 +a(g149 +V( +tp383 +a(g136 +V +tp384 +a(g291 +V${ +p385 +tp386 +a(g327 +VSOURCES +p387 +tp388 +a(g291 +V} +tp389 +a(g136 +V +p390 +tp391 +a(g149 +V) +tp392 +a(g136 +V +p393 +tp394 +a(g39 +V# three arguments, prints "back.cio.cmain.c"\u000a +p395 +tp396 +a(g7 +VMESSAGE +p397 +tp398 +a(g149 +V( +tp399 +a(g136 +V +tp400 +a(g192 +V"${SOURCES}" +p401 +tp402 +a(g136 +V +tp403 +a(g149 +V) +tp404 +a(g136 +V +p405 +tp406 +a(g39 +V# one argument, prints "back.c;io.c;main.c"\u000a +p407 +tp408 +a(g7 +VMESSAGE +p409 +tp410 +a(g149 +V( +tp411 +a(g136 +V +tp412 +a(g192 +V"" +p413 +tp414 +a(g136 +V +tp415 +a(g149 +V) +tp416 +a(g136 +V +p417 +tp418 +a(g39 +V# one argument, prints "" an empty line\u000a +p419 +tp420 +a(g7 +VMESSAGE +p421 +tp422 +a(g149 +V( +tp423 +a(g136 +V +tp424 +a(g192 +V"${EMPTY_STRING}" +p425 +tp426 +a(g136 +V +tp427 +a(g149 +V) +tp428 +a(g136 +V +tp429 +a(g39 +V# one argument, prints "" an empty line\u000a +p430 +tp431 +a(g7 +VMESSAGE +p432 +tp433 +a(g149 +V( +tp434 +a(g136 +V +tp435 +a(g291 +V${ +p436 +tp437 +a(g327 +VEMPTY_STRING +p438 +tp439 +a(g291 +V} +tp440 +a(g136 +V +tp441 +a(g149 +V) +tp442 +a(g136 +V +p443 +tp444 +a(g39 +V# zero arguments, causes CMake Error\u000a +p445 +tp446 +a(g136 +V +p447 +tp448 +a(g39 +V# "MESSAGE called with incorrect number of arguments"\u000a +p449 +tp450 +a(g7 +VMESSAGE +p451 +tp452 +a(g149 +V( +tp453 +a(g136 +V +tp454 +a(g173 +V\u005c\u005c\u005c"\u005c +p455 +tp456 +a(g136 +V +tp457 +a(g173 +V\u005c(\u005c)\u005c#\u005c$\u005c^ +p458 +tp459 +a(g136 +V +tp460 +a(g149 +V) +tp461 +a(g136 +V +tp462 +a(g39 +V# this message contains literal characters\u000a +p463 +tp464 +a(g136 +V\u000a +tp465 +a(g7 +VMESSAGE +p466 +tp467 +a(g149 +V( +tp468 +a(g136 +V +tp469 +a(g192 +V"This is practice." +p470 +tp471 +a(g136 +V +tp472 +a(g149 +V) +tp473 +a(g136 +V +p474 +tp475 +a(g39 +V# prints "This is practice."\u000a +p476 +tp477 +a(g7 +VMESSAGE +p478 +tp479 +a(g149 +V( +tp480 +a(g136 +V +tp481 +a(g192 +V"This;is;practice." +p482 +tp483 +a(g136 +V +tp484 +a(g149 +V) +tp485 +a(g136 +V +p486 +tp487 +a(g39 +V# prints "This;is;practice."\u000a +p488 +tp489 +a(g7 +VMESSAGE +p490 +tp491 +a(g149 +V( +tp492 +a(g136 +V +tp493 +a(g192 +V"Hi. ) MESSAGE( x )" +p494 +tp495 +a(g136 +V +tp496 +a(g149 +V) +tp497 +a(g136 +V +tp498 +a(g39 +V# prints "Hi. ) MESSAGE( x )"\u000a +p499 +tp500 +a(g136 +V\u000a +tp501 +a(g7 +VMESSAGE +p502 +tp503 +a(g149 +V( +tp504 +a(g136 +V +tp505 +a(g192 +V"Welc" +p506 +tp507 +a(g173 +Vome +p508 +tp509 +a(g136 +V +tp510 +a(g149 +V) +tp511 +a(g136 +V +tp512 +a(g39 +V# rule 1\u000a +p513 +tp514 +a(g7 +VMESSAGE +p515 +tp516 +a(g149 +V( +tp517 +a(g136 +V +tp518 +a(g173 +VWelc +p519 +tp520 +a(g192 +V"ome" +p521 +tp522 +a(g136 +V +tp523 +a(g149 +V) +tp524 +a(g136 +V +tp525 +a(g39 +V# rule 3\u000a +p526 +tp527 +a(g7 +VMESSAGE +p528 +tp529 +a(g149 +V( +tp530 +a(g136 +V +tp531 +a(g173 +VWelc +p532 +tp533 +a(g192 +V"ome)" +p534 +tp535 +a(g136 +V +tp536 +a(g149 +V) +tp537 +a(g136 +V +tp538 +a(g39 +V# rule 2\u000a +p539 +tp540 +a(g7 +VMESSAGE +p541 +tp542 +a(g149 +V( +tp543 +a(g136 +V +tp544 +a(g192 +V"" +p545 +tp546 +a(g173 +VThanks +p547 +tp548 +a(g136 +V +tp549 +a(g149 +V) +tp550 +a(g136 +V +tp551 +a(g39 +V# rule 1\u000a +p552 +tp553 +a(g7 +VMESSAGE +p554 +tp555 +a(g149 +V( +tp556 +a(g136 +V +tp557 +a(g173 +VThanks +p558 +tp559 +a(g192 +V"" +p560 +tp561 +a(g136 +V +tp562 +a(g149 +V) +tp563 +a(g136 +V +tp564 +a(g39 +V# rule 3\u000a +p565 +tp566 +a(g136 +V\u000a +tp567 +a(g7 +VSET +p568 +tp569 +a(g149 +V( +tp570 +a(g136 +V +tp571 +a(g173 +Vx +tp572 +a(g136 +V +tp573 +a(g173 +Vy +tp574 +a(g136 +V +tp575 +a(g173 +VA +tp576 +a(g136 +V +tp577 +a(g173 +VB +tp578 +a(g136 +V +tp579 +a(g173 +VC +tp580 +a(g136 +V +tp581 +a(g149 +V) +tp582 +a(g136 +V +p583 +tp584 +a(g39 +V# stores "y;A;B;C" in x (without quote)\u000a +p585 +tp586 +a(g7 +VSET +p587 +tp588 +a(g149 +V( +tp589 +a(g136 +V +tp590 +a(g291 +V${ +p591 +tp592 +a(g327 +Vx +tp593 +a(g291 +V} +tp594 +a(g136 +V +tp595 +a(g149 +V) +tp596 +a(g136 +V +p597 +tp598 +a(g39 +V# => SET( y;A;B;C ) => SET( y A B C)\u000a +p599 +tp600 +a(g7 +VMESSAGE +p601 +tp602 +a(g149 +V( +tp603 +a(g136 +V +tp604 +a(g291 +V${ +p605 +tp606 +a(g327 +Vy +tp607 +a(g291 +V} +tp608 +a(g136 +V +tp609 +a(g149 +V) +tp610 +a(g136 +V +p611 +tp612 +a(g39 +V# prints "ABC" to stdout (without quotes)\u000a +p613 +tp614 +a(g7 +VSET +p615 +tp616 +a(g149 +V( +tp617 +a(g136 +V +tp618 +a(g173 +Vy +tp619 +a(g136 +V +tp620 +a(g173 +Vx +tp621 +a(g136 +V +tp622 +a(g149 +V) +tp623 +a(g136 +V +p624 +tp625 +a(g39 +V# stores "x" in y (without quotes)\u000a +p626 +tp627 +a(g7 +VSET +p628 +tp629 +a(g149 +V( +tp630 +a(g136 +V +tp631 +a(g291 +V${ +p632 +tp633 +a(g327 +Vy +tp634 +a(g291 +V} +tp635 +a(g136 +V +tp636 +a(g173 +Vy +tp637 +a(g136 +V +tp638 +a(g173 +V= +tp639 +a(g136 +V +tp640 +a(g173 +Vx +tp641 +a(g136 +V +tp642 +a(g149 +V) +tp643 +a(g136 +V +p644 +tp645 +a(g39 +V# => SET( x y )\u000a +p646 +tp647 +a(g7 +VMESSAGE +p648 +tp649 +a(g149 +V( +tp650 +a(g136 +V +tp651 +a(g192 +V"\u005c${x} = '${x}'" +p652 +tp653 +a(g136 +V +tp654 +a(g149 +V) +tp655 +a(g136 +V +p656 +tp657 +a(g39 +V# prints "${x} = 'y;=;x'" to stdout (without quotes)\u000a +p658 +tp659 +a(g7 +VSET +p660 +tp661 +a(g149 +V( +tp662 +a(g136 +V +tp663 +a(g173 +Vy +tp664 +a(g136 +V +tp665 +a(g291 +V${ +p666 +tp667 +a(g327 +Vx +tp668 +a(g291 +V} +tp669 +a(g136 +V +tp670 +a(g149 +V) +tp671 +a(g136 +V +p672 +tp673 +a(g39 +V# => SET( y y = x ) => stores "y;=;x" in y (without quotes)\u000a +p674 +tp675 +a(g7 +VMESSAGE +p676 +tp677 +a(g149 +V( +tp678 +a(g136 +V +tp679 +a(g291 +V${ +p680 +tp681 +a(g327 +Vy +tp682 +a(g291 +V} +tp683 +a(g136 +V +tp684 +a(g149 +V) +tp685 +a(g136 +V +p686 +tp687 +a(g39 +V# prints "y=x" to stdout (without quotes)\u000a +p688 +tp689 +a(g136 +V\u000a +tp690 +a(g7 +VSET +p691 +tp692 +a(g149 +V( +tp693 +a(g136 +V +tp694 +a(g173 +Vx +tp695 +a(g136 +V +tp696 +a(g173 +Va +tp697 +a(g136 +V +tp698 +a(g173 +Vb +tp699 +a(g136 +V +tp700 +a(g173 +Vc +tp701 +a(g136 +V +p702 +tp703 +a(g149 +V) +tp704 +a(g136 +V +tp705 +a(g39 +V# stores "a;b;c" in x (without quotes)\u000a +p706 +tp707 +a(g7 +VSET +p708 +tp709 +a(g149 +V( +tp710 +a(g136 +V +tp711 +a(g173 +Vy +tp712 +a(g136 +V +tp713 +a(g192 +V"a b c" +p714 +tp715 +a(g136 +V +tp716 +a(g149 +V) +tp717 +a(g136 +V +tp718 +a(g39 +V# stores "a b c" in y (without quotes)\u000a +p719 +tp720 +a(g7 +VMESSAGE +p721 +tp722 +a(g149 +V( +tp723 +a(g136 +V +tp724 +a(g173 +Va +tp725 +a(g136 +V +tp726 +a(g173 +Vb +tp727 +a(g136 +V +tp728 +a(g173 +Vc +tp729 +a(g136 +V +tp730 +a(g149 +V) +tp731 +a(g136 +V +tp732 +a(g39 +V# prints "abc" to stdout (without quotes)\u000a +p733 +tp734 +a(g7 +VMESSAGE +p735 +tp736 +a(g149 +V( +tp737 +a(g136 +V +tp738 +a(g291 +V${ +p739 +tp740 +a(g327 +Vx +tp741 +a(g291 +V} +tp742 +a(g136 +V +tp743 +a(g149 +V) +tp744 +a(g136 +V +p745 +tp746 +a(g39 +V# prints "abc" to stdout (without quotes)\u000a +p747 +tp748 +a(g7 +VMESSAGE +p749 +tp750 +a(g149 +V( +tp751 +a(g192 +V"${x}" +p752 +tp753 +a(g149 +V) +tp754 +a(g136 +V +p755 +tp756 +a(g39 +V# prints "a;b;c" to stdout (without quotes)\u000a +p757 +tp758 +a(g7 +VMESSAGE +p759 +tp760 +a(g149 +V( +tp761 +a(g136 +V +tp762 +a(g291 +V${ +p763 +tp764 +a(g327 +Vy +tp765 +a(g291 +V} +tp766 +a(g136 +V +tp767 +a(g149 +V) +tp768 +a(g136 +V +p769 +tp770 +a(g39 +V# prints "a b c" to stdout (without quotes)\u000a +p771 +tp772 +a(g7 +VMESSAGE +p773 +tp774 +a(g149 +V( +tp775 +a(g192 +V"${y}" +p776 +tp777 +a(g149 +V) +tp778 +a(g136 +V +p779 +tp780 +a(g39 +V# prints "a b c" to stdout (without quotes)\u000a +p781 +tp782 +a(g136 +V\u000a +tp783 +a(g39 +V# This is a comment.\u000a +p784 +tp785 +a(g7 +VCOMMAND +p786 +tp787 +a(g149 +V( +tp788 +a(g136 +V +tp789 +a(g173 +Varguments +p790 +tp791 +a(g136 +V +tp792 +a(g173 +Vgo +p793 +tp794 +a(g136 +V +tp795 +a(g173 +Vhere +p796 +tp797 +a(g136 +V +tp798 +a(g149 +V) +tp799 +a(g136 +V\u000a +tp800 +a(g7 +VANOTHER_COMMAND +p801 +tp802 +a(g149 +V( +tp803 +a(g149 +V) +tp804 +a(g136 +V +tp805 +a(g39 +V# this command has no arguments\u000a +p806 +tp807 +a(g7 +VYET_ANOTHER_COMMAND +p808 +tp809 +a(g149 +V( +tp810 +a(g136 +V +tp811 +a(g173 +Vthese +p812 +tp813 +a(g136 +V\u000a +tp814 +a(g136 +V +p815 +tp816 +a(g173 +Varguments +p817 +tp818 +a(g136 +V +tp819 +a(g173 +Vare +p820 +tp821 +a(g136 +V +tp822 +a(g173 +Vspread +p823 +tp824 +a(g136 +V +p825 +tp826 +a(g39 +V# another comment\u000a +p827 +tp828 +a(g136 +V +p829 +tp830 +a(g173 +Vover +p831 +tp832 +a(g136 +V +tp833 +a(g173 +Vseveral +p834 +tp835 +a(g136 +V +tp836 +a(g173 +Vlines +p837 +tp838 +a(g136 +V +tp839 +a(g149 +V) +tp840 +a(g136 +V\u000a +tp841 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/matlab_noreturn b/tests/examplefiles/output/matlab_noreturn new file mode 100644 index 0000000..7261141 --- /dev/null +++ b/tests/examplefiles/output/matlab_noreturn @@ -0,0 +1,1425 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Pervasive' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsS'Constant' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g11 +g12 +((ltRp18 +sg14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g14 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g11 +g12 +((ltRp35 +sg14 +g22 +sbsg11 +g12 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g11 +g12 +((ltRp40 +sg14 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g11 +g12 +((ltRp56 +sg14 +g43 +sbsg15 +g2 +(g3 +g4 +(g42 +g15 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g43 +sbsg14 +g19 +sS'Pseudo' +p60 +g2 +(g3 +g4 +(g42 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g43 +sbsS'Attribute' +p64 +g2 +(g3 +g4 +(g42 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g43 +sbsS'Label' +p68 +g2 +(g3 +g4 +(g42 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g43 +sbsS'Blubb' +p72 +g2 +(g3 +g4 +(g42 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g43 +sbsS'Entity' +p76 +g2 +(g3 +g4 +(g42 +g76 +ttRp77 +(dp78 +g11 +g12 +((ltRp79 +sg14 +g43 +sbsS'Builtin' +p80 +g2 +(g3 +g4 +(g42 +g80 +ttRp81 +(dp82 +g11 +g12 +((lp83 +g2 +(g3 +g4 +(g42 +g80 +g60 +ttRp84 +(dp85 +g11 +g12 +((ltRp86 +sg14 +g81 +sbatRp87 +sg60 +g84 +sg14 +g43 +sbsS'Other' +p88 +g2 +(g3 +g4 +(g42 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g43 +sbsS'Identifier' +p92 +g2 +(g3 +g4 +(g42 +g92 +ttRp93 +(dp94 +g11 +g12 +((ltRp95 +sg14 +g43 +sbsS'Variable' +p96 +g2 +(g3 +g4 +(g42 +g96 +ttRp97 +(dp98 +g14 +g43 +sS'Global' +p99 +g2 +(g3 +g4 +(g42 +g96 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g97 +sbsS'Instance' +p103 +g2 +(g3 +g4 +(g42 +g96 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g97 +sbsS'Anonymous' +p107 +g2 +(g3 +g4 +(g42 +g96 +g107 +ttRp108 +(dp109 +g11 +g12 +((ltRp110 +sg14 +g97 +sbsg11 +g12 +((lp111 +g108 +ag104 +ag100 +ag2 +(g3 +g4 +(g42 +g96 +S'Class' +p112 +ttRp113 +(dp114 +g11 +g12 +((ltRp115 +sg14 +g97 +sbatRp116 +sg112 +g113 +sbsg11 +g12 +((lp117 +g2 +(g3 +g4 +(g42 +S'Decorator' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g43 +sbag65 +ag57 +ag61 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g43 +sbag93 +ag81 +ag97 +ag89 +ag73 +ag77 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p126 +ttRp127 +(dp128 +g11 +g12 +((ltRp129 +sg14 +g43 +sbag69 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g112 +ttRp130 +(dp131 +g11 +g12 +((ltRp132 +sg14 +g43 +sbatRp133 +sg126 +g127 +sg112 +g130 +sg118 +g119 +sg122 +g123 +sbsg5 +g6 +sS'Generic' +p134 +g2 +(g3 +g4 +(g134 +ttRp135 +(dp136 +S'Prompt' +p137 +g2 +(g3 +g4 +(g134 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g135 +sbsg14 +g19 +sS'Deleted' +p141 +g2 +(g3 +g4 +(g134 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g135 +sbsS'Traceback' +p145 +g2 +(g3 +g4 +(g134 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g135 +sbsS'Emph' +p149 +g2 +(g3 +g4 +(g134 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g135 +sbsS'Output' +p153 +g2 +(g3 +g4 +(g134 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g135 +sbsS'Subheading' +p157 +g2 +(g3 +g4 +(g134 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g135 +sbsS'Error' +p161 +g2 +(g3 +g4 +(g134 +g161 +ttRp162 +(dp163 +g11 +g12 +((ltRp164 +sg14 +g135 +sbsg11 +g12 +((lp165 +g154 +ag150 +ag162 +ag158 +ag146 +ag142 +ag2 +(g3 +g4 +(g134 +S'Heading' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Inserted' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Strong' +p174 +ttRp175 +(dp176 +g11 +g12 +((ltRp177 +sg14 +g135 +sbag138 +atRp178 +sg174 +g175 +sg170 +g171 +sg166 +g167 +sbsS'Text' +p179 +g2 +(g3 +g4 +(g179 +ttRp180 +(dp181 +g11 +g12 +((lp182 +g2 +(g3 +g4 +(g179 +S'Symbol' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g180 +sbag2 +(g3 +g4 +(g179 +S'Whitespace' +p187 +ttRp188 +(dp189 +g11 +g12 +((ltRp190 +sg14 +g180 +sbatRp191 +sg183 +g184 +sg187 +g188 +sg14 +g19 +sbsS'Punctuation' +p192 +g2 +(g3 +g4 +(g192 +ttRp193 +(dp194 +g11 +g12 +((lp195 +g2 +(g3 +g4 +(g192 +S'Indicator' +p196 +ttRp197 +(dp198 +g11 +g12 +((ltRp199 +sg14 +g193 +sbatRp200 +sg196 +g197 +sg14 +g19 +sbsS'Token' +p201 +g19 +sS'Number' +p202 +g2 +(g3 +g4 +(S'Literal' +p203 +g202 +ttRp204 +(dp205 +S'Bin' +p206 +g2 +(g3 +g4 +(g203 +g202 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g204 +sbsS'Binary' +p210 +g2 +(g3 +g4 +(g203 +g202 +g210 +ttRp211 +(dp212 +g11 +g12 +((ltRp213 +sg14 +g204 +sbsg14 +g2 +(g3 +g4 +(g203 +ttRp214 +(dp215 +S'String' +p216 +g2 +(g3 +g4 +(g203 +g216 +ttRp217 +(dp218 +S'Regex' +p219 +g2 +(g3 +g4 +(g203 +g216 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g217 +sbsS'Interpol' +p223 +g2 +(g3 +g4 +(g203 +g216 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g217 +sbsS'Regexp' +p227 +g2 +(g3 +g4 +(g203 +g216 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g217 +sbsg14 +g214 +sS'Heredoc' +p231 +g2 +(g3 +g4 +(g203 +g216 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g217 +sbsS'Double' +p235 +g2 +(g3 +g4 +(g203 +g216 +g235 +ttRp236 +(dp237 +g11 +g12 +((ltRp238 +sg14 +g217 +sbsg183 +g2 +(g3 +g4 +(g203 +g216 +g183 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g217 +sbsS'Escape' +p242 +g2 +(g3 +g4 +(g203 +g216 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g217 +sbsS'Character' +p246 +g2 +(g3 +g4 +(g203 +g216 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g217 +sbsS'Interp' +p250 +g2 +(g3 +g4 +(g203 +g216 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g217 +sbsS'Backtick' +p254 +g2 +(g3 +g4 +(g203 +g216 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g217 +sbsS'Char' +p258 +g2 +(g3 +g4 +(g203 +g216 +g258 +ttRp259 +(dp260 +g11 +g12 +((ltRp261 +sg14 +g217 +sbsg28 +g2 +(g3 +g4 +(g203 +g216 +g28 +ttRp262 +(dp263 +g11 +g12 +((ltRp264 +sg14 +g217 +sbsg88 +g2 +(g3 +g4 +(g203 +g216 +g88 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g217 +sbsS'Doc' +p268 +g2 +(g3 +g4 +(g203 +g216 +g268 +ttRp269 +(dp270 +g11 +g12 +((ltRp271 +sg14 +g217 +sbsg11 +g12 +((lp272 +g265 +ag2 +(g3 +g4 +(g203 +g216 +S'Atom' +p273 +ttRp274 +(dp275 +g11 +g12 +((ltRp276 +sg14 +g217 +sbag236 +ag259 +ag251 +ag269 +ag232 +ag255 +ag224 +ag239 +ag228 +ag220 +ag262 +ag247 +ag243 +atRp277 +sg273 +g274 +sbsg14 +g19 +sg202 +g204 +sS'Scalar' +p278 +g2 +(g3 +g4 +(g203 +g278 +ttRp279 +(dp280 +g11 +g12 +((lp281 +g2 +(g3 +g4 +(g203 +g278 +S'Plain' +p282 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g279 +sbatRp286 +sg14 +g214 +sg282 +g283 +sbsg88 +g2 +(g3 +g4 +(g203 +g88 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g214 +sbsS'Date' +p290 +g2 +(g3 +g4 +(g203 +g290 +ttRp291 +(dp292 +g11 +g12 +((ltRp293 +sg14 +g214 +sbsg11 +g12 +((lp294 +g291 +ag217 +ag287 +ag204 +ag279 +atRp295 +sbsS'Decimal' +p296 +g2 +(g3 +g4 +(g203 +g202 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g204 +sbsS'Float' +p300 +g2 +(g3 +g4 +(g203 +g202 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g204 +sbsS'Hex' +p304 +g2 +(g3 +g4 +(g203 +g202 +g304 +ttRp305 +(dp306 +g11 +g12 +((ltRp307 +sg14 +g204 +sbsS'Integer' +p308 +g2 +(g3 +g4 +(g203 +g202 +g308 +ttRp309 +(dp310 +g11 +g12 +((lp311 +g2 +(g3 +g4 +(g203 +g202 +g308 +S'Long' +p312 +ttRp313 +(dp314 +g11 +g12 +((ltRp315 +sg14 +g309 +sbatRp316 +sg312 +g313 +sg14 +g204 +sbsS'Octal' +p317 +g2 +(g3 +g4 +(g203 +g202 +g317 +ttRp318 +(dp319 +g11 +g12 +((ltRp320 +sg14 +g204 +sbsg11 +g12 +((lp321 +g207 +ag211 +ag318 +ag297 +ag2 +(g3 +g4 +(g203 +g202 +S'Oct' +p322 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g204 +sbag309 +ag301 +ag305 +atRp326 +sg322 +g323 +sbsg203 +g214 +sg88 +g2 +(g3 +g4 +(g88 +ttRp327 +(dp328 +g11 +g12 +((ltRp329 +sg14 +g19 +sbsg161 +g2 +(g3 +g4 +(g161 +ttRp330 +(dp331 +g11 +g12 +((ltRp332 +sg14 +g19 +sbsS'Operator' +p333 +g2 +(g3 +g4 +(g333 +ttRp334 +(dp335 +g11 +g12 +((lp336 +g2 +(g3 +g4 +(g333 +S'Word' +p337 +ttRp338 +(dp339 +g11 +g12 +((ltRp340 +sg14 +g334 +sbatRp341 +sg337 +g338 +sg14 +g19 +sbsg11 +g12 +((lp342 +g22 +ag330 +ag135 +ag180 +ag43 +ag193 +ag6 +ag214 +ag334 +ag327 +atRp343 +sg216 +g217 +sbsg122 +g2 +(g3 +g4 +(g5 +g122 +ttRp344 +(dp345 +g11 +g12 +((ltRp346 +sg14 +g6 +sbsg60 +g2 +(g3 +g4 +(g5 +g60 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Reserved' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsS'Declaration' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g11 +g12 +((ltRp357 +sg14 +g6 +sbsg96 +g2 +(g3 +g4 +(g5 +g96 +ttRp358 +(dp359 +g11 +g12 +((ltRp360 +sg14 +g6 +sbsg11 +g12 +((lp361 +g16 +ag351 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g11 +g12 +((ltRp365 +sg14 +g6 +sbag9 +ag355 +ag358 +ag344 +ag347 +atRp366 +sg362 +g363 +sbV function +p367 +tp368 +a(g188 +V +tp369 +a(g46 +Vmyfunc +p370 +tp371 +a(g193 +V( +tp372 +a(g180 +Vs +tp373 +a(g193 +V) +tp374 +a(g188 +V\u000a +p375 +tp376 +a(g43 +Va +tp377 +a(g180 +V +tp378 +a(g193 +V= +tp379 +a(g180 +V +tp380 +a(g180 +V1 +tp381 +a(g193 +V; +tp382 +a(g180 +V\u000a +tp383 +a(g180 +V +tp384 +a(g6 +Vend +p385 +tp386 +a(g180 +V\u000a +tp387 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/matlab_sample b/tests/examplefiles/output/matlab_sample new file mode 100644 index 0000000..2397373 --- /dev/null +++ b/tests/examplefiles/output/matlab_sample @@ -0,0 +1,1892 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Pervasive' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsS'Constant' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g11 +g12 +((ltRp18 +sg14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g14 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g11 +g12 +((ltRp35 +sg14 +g22 +sbsg11 +g12 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g11 +g12 +((ltRp40 +sg14 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g11 +g12 +((ltRp56 +sg14 +g43 +sbsg15 +g2 +(g3 +g4 +(g42 +g15 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g43 +sbsg14 +g19 +sS'Pseudo' +p60 +g2 +(g3 +g4 +(g42 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g43 +sbsS'Attribute' +p64 +g2 +(g3 +g4 +(g42 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g43 +sbsS'Label' +p68 +g2 +(g3 +g4 +(g42 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g43 +sbsS'Blubb' +p72 +g2 +(g3 +g4 +(g42 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g43 +sbsS'Entity' +p76 +g2 +(g3 +g4 +(g42 +g76 +ttRp77 +(dp78 +g11 +g12 +((ltRp79 +sg14 +g43 +sbsS'Builtin' +p80 +g2 +(g3 +g4 +(g42 +g80 +ttRp81 +(dp82 +g11 +g12 +((lp83 +g2 +(g3 +g4 +(g42 +g80 +g60 +ttRp84 +(dp85 +g11 +g12 +((ltRp86 +sg14 +g81 +sbatRp87 +sg60 +g84 +sg14 +g43 +sbsS'Other' +p88 +g2 +(g3 +g4 +(g42 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g43 +sbsS'Identifier' +p92 +g2 +(g3 +g4 +(g42 +g92 +ttRp93 +(dp94 +g11 +g12 +((ltRp95 +sg14 +g43 +sbsS'Variable' +p96 +g2 +(g3 +g4 +(g42 +g96 +ttRp97 +(dp98 +g14 +g43 +sS'Global' +p99 +g2 +(g3 +g4 +(g42 +g96 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g97 +sbsS'Instance' +p103 +g2 +(g3 +g4 +(g42 +g96 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g97 +sbsS'Anonymous' +p107 +g2 +(g3 +g4 +(g42 +g96 +g107 +ttRp108 +(dp109 +g11 +g12 +((ltRp110 +sg14 +g97 +sbsg11 +g12 +((lp111 +g108 +ag104 +ag100 +ag2 +(g3 +g4 +(g42 +g96 +S'Class' +p112 +ttRp113 +(dp114 +g11 +g12 +((ltRp115 +sg14 +g97 +sbatRp116 +sg112 +g113 +sbsg11 +g12 +((lp117 +g2 +(g3 +g4 +(g42 +S'Decorator' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g43 +sbag65 +ag57 +ag61 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g43 +sbag93 +ag81 +ag97 +ag89 +ag73 +ag77 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p126 +ttRp127 +(dp128 +g11 +g12 +((ltRp129 +sg14 +g43 +sbag69 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g112 +ttRp130 +(dp131 +g11 +g12 +((ltRp132 +sg14 +g43 +sbatRp133 +sg126 +g127 +sg112 +g130 +sg118 +g119 +sg122 +g123 +sbsg5 +g6 +sS'Generic' +p134 +g2 +(g3 +g4 +(g134 +ttRp135 +(dp136 +S'Prompt' +p137 +g2 +(g3 +g4 +(g134 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g135 +sbsg14 +g19 +sS'Deleted' +p141 +g2 +(g3 +g4 +(g134 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g135 +sbsS'Traceback' +p145 +g2 +(g3 +g4 +(g134 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g135 +sbsS'Emph' +p149 +g2 +(g3 +g4 +(g134 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g135 +sbsS'Output' +p153 +g2 +(g3 +g4 +(g134 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g135 +sbsS'Subheading' +p157 +g2 +(g3 +g4 +(g134 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g135 +sbsS'Error' +p161 +g2 +(g3 +g4 +(g134 +g161 +ttRp162 +(dp163 +g11 +g12 +((ltRp164 +sg14 +g135 +sbsg11 +g12 +((lp165 +g154 +ag150 +ag162 +ag158 +ag146 +ag142 +ag2 +(g3 +g4 +(g134 +S'Heading' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Inserted' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Strong' +p174 +ttRp175 +(dp176 +g11 +g12 +((ltRp177 +sg14 +g135 +sbag138 +atRp178 +sg174 +g175 +sg170 +g171 +sg166 +g167 +sbsS'Text' +p179 +g2 +(g3 +g4 +(g179 +ttRp180 +(dp181 +g11 +g12 +((lp182 +g2 +(g3 +g4 +(g179 +S'Symbol' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g180 +sbag2 +(g3 +g4 +(g179 +S'Whitespace' +p187 +ttRp188 +(dp189 +g11 +g12 +((ltRp190 +sg14 +g180 +sbatRp191 +sg183 +g184 +sg187 +g188 +sg14 +g19 +sbsS'Punctuation' +p192 +g2 +(g3 +g4 +(g192 +ttRp193 +(dp194 +g11 +g12 +((lp195 +g2 +(g3 +g4 +(g192 +S'Indicator' +p196 +ttRp197 +(dp198 +g11 +g12 +((ltRp199 +sg14 +g193 +sbatRp200 +sg196 +g197 +sg14 +g19 +sbsS'Token' +p201 +g19 +sS'Number' +p202 +g2 +(g3 +g4 +(S'Literal' +p203 +g202 +ttRp204 +(dp205 +S'Bin' +p206 +g2 +(g3 +g4 +(g203 +g202 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g204 +sbsS'Binary' +p210 +g2 +(g3 +g4 +(g203 +g202 +g210 +ttRp211 +(dp212 +g11 +g12 +((ltRp213 +sg14 +g204 +sbsg14 +g2 +(g3 +g4 +(g203 +ttRp214 +(dp215 +S'String' +p216 +g2 +(g3 +g4 +(g203 +g216 +ttRp217 +(dp218 +S'Regex' +p219 +g2 +(g3 +g4 +(g203 +g216 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g217 +sbsS'Interpol' +p223 +g2 +(g3 +g4 +(g203 +g216 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g217 +sbsS'Regexp' +p227 +g2 +(g3 +g4 +(g203 +g216 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g217 +sbsg14 +g214 +sS'Heredoc' +p231 +g2 +(g3 +g4 +(g203 +g216 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g217 +sbsS'Double' +p235 +g2 +(g3 +g4 +(g203 +g216 +g235 +ttRp236 +(dp237 +g11 +g12 +((ltRp238 +sg14 +g217 +sbsg183 +g2 +(g3 +g4 +(g203 +g216 +g183 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g217 +sbsS'Escape' +p242 +g2 +(g3 +g4 +(g203 +g216 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g217 +sbsS'Character' +p246 +g2 +(g3 +g4 +(g203 +g216 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g217 +sbsS'Interp' +p250 +g2 +(g3 +g4 +(g203 +g216 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g217 +sbsS'Backtick' +p254 +g2 +(g3 +g4 +(g203 +g216 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g217 +sbsS'Char' +p258 +g2 +(g3 +g4 +(g203 +g216 +g258 +ttRp259 +(dp260 +g11 +g12 +((ltRp261 +sg14 +g217 +sbsg28 +g2 +(g3 +g4 +(g203 +g216 +g28 +ttRp262 +(dp263 +g11 +g12 +((ltRp264 +sg14 +g217 +sbsg88 +g2 +(g3 +g4 +(g203 +g216 +g88 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g217 +sbsS'Doc' +p268 +g2 +(g3 +g4 +(g203 +g216 +g268 +ttRp269 +(dp270 +g11 +g12 +((ltRp271 +sg14 +g217 +sbsg11 +g12 +((lp272 +g265 +ag2 +(g3 +g4 +(g203 +g216 +S'Atom' +p273 +ttRp274 +(dp275 +g11 +g12 +((ltRp276 +sg14 +g217 +sbag236 +ag259 +ag251 +ag269 +ag232 +ag255 +ag224 +ag239 +ag228 +ag220 +ag262 +ag247 +ag243 +atRp277 +sg273 +g274 +sbsg14 +g19 +sg202 +g204 +sS'Scalar' +p278 +g2 +(g3 +g4 +(g203 +g278 +ttRp279 +(dp280 +g11 +g12 +((lp281 +g2 +(g3 +g4 +(g203 +g278 +S'Plain' +p282 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g279 +sbatRp286 +sg14 +g214 +sg282 +g283 +sbsg88 +g2 +(g3 +g4 +(g203 +g88 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g214 +sbsS'Date' +p290 +g2 +(g3 +g4 +(g203 +g290 +ttRp291 +(dp292 +g11 +g12 +((ltRp293 +sg14 +g214 +sbsg11 +g12 +((lp294 +g291 +ag217 +ag287 +ag204 +ag279 +atRp295 +sbsS'Decimal' +p296 +g2 +(g3 +g4 +(g203 +g202 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g204 +sbsS'Float' +p300 +g2 +(g3 +g4 +(g203 +g202 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g204 +sbsS'Hex' +p304 +g2 +(g3 +g4 +(g203 +g202 +g304 +ttRp305 +(dp306 +g11 +g12 +((ltRp307 +sg14 +g204 +sbsS'Integer' +p308 +g2 +(g3 +g4 +(g203 +g202 +g308 +ttRp309 +(dp310 +g11 +g12 +((lp311 +g2 +(g3 +g4 +(g203 +g202 +g308 +S'Long' +p312 +ttRp313 +(dp314 +g11 +g12 +((ltRp315 +sg14 +g309 +sbatRp316 +sg312 +g313 +sg14 +g204 +sbsS'Octal' +p317 +g2 +(g3 +g4 +(g203 +g202 +g317 +ttRp318 +(dp319 +g11 +g12 +((ltRp320 +sg14 +g204 +sbsg11 +g12 +((lp321 +g207 +ag211 +ag318 +ag297 +ag2 +(g3 +g4 +(g203 +g202 +S'Oct' +p322 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g204 +sbag309 +ag301 +ag305 +atRp326 +sg322 +g323 +sbsg203 +g214 +sg88 +g2 +(g3 +g4 +(g88 +ttRp327 +(dp328 +g11 +g12 +((ltRp329 +sg14 +g19 +sbsg161 +g2 +(g3 +g4 +(g161 +ttRp330 +(dp331 +g11 +g12 +((ltRp332 +sg14 +g19 +sbsS'Operator' +p333 +g2 +(g3 +g4 +(g333 +ttRp334 +(dp335 +g11 +g12 +((lp336 +g2 +(g3 +g4 +(g333 +S'Word' +p337 +ttRp338 +(dp339 +g11 +g12 +((ltRp340 +sg14 +g334 +sbatRp341 +sg337 +g338 +sg14 +g19 +sbsg11 +g12 +((lp342 +g22 +ag330 +ag135 +ag180 +ag43 +ag193 +ag6 +ag214 +ag334 +ag327 +atRp343 +sg216 +g217 +sbsg122 +g2 +(g3 +g4 +(g5 +g122 +ttRp344 +(dp345 +g11 +g12 +((ltRp346 +sg14 +g6 +sbsg60 +g2 +(g3 +g4 +(g5 +g60 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Reserved' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsS'Declaration' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g11 +g12 +((ltRp357 +sg14 +g6 +sbsg96 +g2 +(g3 +g4 +(g5 +g96 +ttRp358 +(dp359 +g11 +g12 +((ltRp360 +sg14 +g6 +sbsg11 +g12 +((lp361 +g16 +ag351 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g11 +g12 +((ltRp365 +sg14 +g6 +sbag9 +ag355 +ag358 +ag344 +ag347 +atRp366 +sg362 +g363 +sbVfunction +p367 +tp368 +a(g188 +V +tp369 +a(g180 +Vzz +p370 +tp371 +a(g193 +V= +tp372 +a(g46 +Vsample +p373 +tp374 +a(g193 +V( +tp375 +a(g180 +Vaa +p376 +tp377 +a(g193 +V) +tp378 +a(g188 +V\u000a +tp379 +a(g22 +V%%%%%%%%%%%%%%%%%% +p380 +tp381 +a(g180 +V\u000a +tp382 +a(g22 +V% some comments +p383 +tp384 +a(g180 +V\u000a +tp385 +a(g22 +V%%%%%%%%%%%%%%%%%% +p386 +tp387 +a(g180 +V\u000a +tp388 +a(g180 +V\u000a +tp389 +a(g43 +Vx +tp390 +a(g180 +V +tp391 +a(g193 +V= +tp392 +a(g180 +V +tp393 +a(g217 +V' +tp394 +a(g217 +Va string' +p395 +tp396 +a(g193 +V; +tp397 +a(g180 +V +tp398 +a(g180 +V +tp399 +a(g180 +V +tp400 +a(g180 +V +tp401 +a(g22 +V% some 'ticks' in a comment +p402 +tp403 +a(g180 +V\u000a +tp404 +a(g43 +Vy +tp405 +a(g180 +V +tp406 +a(g193 +V= +tp407 +a(g180 +V +tp408 +a(g217 +V' +tp409 +a(g217 +Va string with ' +p410 +tp411 +a(g217 +V' +tp412 +a(g217 +Vinteral' +p413 +tp414 +a(g217 +V' +tp415 +a(g217 +V quotes' +p416 +tp417 +a(g193 +V; +tp418 +a(g180 +V\u000a +tp419 +a(g180 +V\u000a +tp420 +a(g6 +Vfor +p421 +tp422 +a(g180 +V +tp423 +a(g81 +Vi +tp424 +a(g193 +V= +tp425 +a(g180 +V1 +tp426 +a(g193 +V: +tp427 +a(g180 +V2 +tp428 +a(g180 +V0 +tp429 +a(g180 +V\u000a +tp430 +a(g180 +V +tp431 +a(g180 +V +tp432 +a(g81 +Vdisp +p433 +tp434 +a(g193 +V( +tp435 +a(g81 +Vi +tp436 +a(g193 +V) +tp437 +a(g193 +V; +tp438 +a(g180 +V\u000a +tp439 +a(g6 +Vend +p440 +tp441 +a(g180 +V\u000a +tp442 +a(g180 +V\u000a +tp443 +a(g43 +Va +tp444 +a(g180 +V +tp445 +a(g193 +V= +tp446 +a(g180 +V +tp447 +a(g81 +Vrand +p448 +tp449 +a(g193 +V( +tp450 +a(g180 +V3 +tp451 +a(g180 +V0 +tp452 +a(g193 +V) +tp453 +a(g193 +V; +tp454 +a(g180 +V\u000a +tp455 +a(g43 +Vb +tp456 +a(g180 +V +tp457 +a(g193 +V= +tp458 +a(g180 +V +tp459 +a(g81 +Vrand +p460 +tp461 +a(g193 +V( +tp462 +a(g180 +V3 +tp463 +a(g180 +V0 +tp464 +a(g193 +V) +tp465 +a(g193 +V; +tp466 +a(g180 +V\u000a +tp467 +a(g180 +V\u000a +tp468 +a(g43 +Vc +tp469 +a(g180 +V +tp470 +a(g193 +V= +tp471 +a(g180 +V +tp472 +a(g43 +Va +tp473 +a(g180 +V +tp474 +a(g334 +V.* +p475 +tp476 +a(g180 +V +tp477 +a(g43 +Vb +tp478 +a(g180 +V +tp479 +a(g334 +V./ +p480 +tp481 +a(g180 +V +tp482 +a(g43 +Va +tp483 +a(g180 +V +tp484 +a(g334 +V\u005c +tp485 +a(g180 +V +tp486 +a(g193 +V( +tp487 +a(g43 +Vb +tp488 +a(g180 +V +tp489 +a(g334 +V.* +p490 +tp491 +a(g180 +V +tp492 +a(g43 +Va +tp493 +a(g180 +V +tp494 +a(g334 +V+ +tp495 +a(g180 +V +tp496 +a(g43 +Vb +tp497 +a(g180 +V +tp498 +a(g334 +V- +tp499 +a(g180 +V +tp500 +a(g43 +Va +tp501 +a(g193 +V) +tp502 +a(g193 +V; +tp503 +a(g180 +V\u000a +tp504 +a(g180 +V\u000a +tp505 +a(g43 +Vc +tp506 +a(g180 +V +tp507 +a(g193 +V= +tp508 +a(g180 +V +tp509 +a(g43 +Va +tp510 +a(g334 +V' +tp511 +a(g180 +V +tp512 +a(g334 +V* +tp513 +a(g180 +V +tp514 +a(g43 +Vb +tp515 +a(g334 +V' +tp516 +a(g193 +V; +tp517 +a(g180 +V +tp518 +a(g180 +V +tp519 +a(g22 +V% note: these ticks are for transpose, not quotes. +p520 +tp521 +a(g180 +V\u000a +tp522 +a(g180 +V\u000a +tp523 +a(g81 +Vdisp +p524 +tp525 +a(g193 +V( +tp526 +a(g217 +V' +tp527 +a(g217 +Va comment symbol, %, in a string' +p528 +tp529 +a(g193 +V) +tp530 +a(g193 +V; +tp531 +a(g180 +V\u000a +tp532 +a(g180 +V\u000a +tp533 +a(g265 +V!echo abc % this isn't a comment - it's passed to system command +p534 +tp535 +a(g180 +V\u000a +tp536 +a(g6 +V\u000afunction +p537 +tp538 +a(g188 +V +tp539 +a(g180 +Vy +tp540 +a(g193 +V= +tp541 +a(g46 +Vmyfunc +p542 +tp543 +a(g193 +V( +tp544 +a(g180 +Vx +tp545 +a(g193 +V) +tp546 +a(g188 +V\u000a +tp547 +a(g43 +Vy +tp548 +a(g180 +V +tp549 +a(g193 +V= +tp550 +a(g180 +V +tp551 +a(g81 +Vexp +p552 +tp553 +a(g193 +V( +tp554 +a(g43 +Vx +tp555 +a(g193 +V) +tp556 +a(g193 +V; +tp557 +a(g180 +V\u000a +tp558 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/matlabsession_sample.txt b/tests/examplefiles/output/matlabsession_sample.txt new file mode 100644 index 0000000..858256f --- /dev/null +++ b/tests/examplefiles/output/matlabsession_sample.txt @@ -0,0 +1,1374 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV>>\u000a>>\u000a>> a = 'okay'\u000a\u000aa =\u000a\u000aokay\u000a\u000a>> x = rand(3) % a matrix\u000a\u000ax =\u000a\u000a 0.8147 0.9134 0.2785\u000a 0.9058 0.6324 0.5469\u000a 0.1270 0.0975 0.9575\u000a\u000a>> 1/0\u000a\u000aans =\u000a\u000a Inf\u000a\u000a>> foo\u000a??? Undefined function or variable 'foo'.\u000a\u000a>>\u000a>>\u000a>> {cos(2*pi), 'testing'}\u000a\u000aans =\u000a\u000a [1] 'testing'\u000a\u000a>>\u000a>>\u000a>>\u000a +p367 +tp368 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/minimal.ns2 b/tests/examplefiles/output/minimal.ns2 new file mode 100644 index 0000000..652245c --- /dev/null +++ b/tests/examplefiles/output/minimal.ns2 @@ -0,0 +1,1637 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +S'Declaration' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Pervasive' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsS'Constant' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g9 +g10 +((ltRp22 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp23 +(dp24 +S'Comment' +p25 +g2 +(g3 +g4 +(g25 +ttRp26 +(dp27 +g12 +g23 +sS'Preproc' +p28 +g2 +(g3 +g4 +(g25 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g26 +sbsS'Single' +p32 +g2 +(g3 +g4 +(g25 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g26 +sbsS'Multiline' +p36 +g2 +(g3 +g4 +(g25 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g26 +sbsg9 +g10 +((lp40 +g2 +(g3 +g4 +(g25 +S'Special' +p41 +ttRp42 +(dp43 +g9 +g10 +((ltRp44 +sg12 +g26 +sbag29 +ag33 +ag37 +atRp45 +sg41 +g42 +sbsS'Name' +p46 +g2 +(g3 +g4 +(g46 +ttRp47 +(dp48 +S'Function' +p49 +g2 +(g3 +g4 +(g46 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g47 +sbsS'Exception' +p53 +g2 +(g3 +g4 +(g46 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g47 +sbsS'Tag' +p57 +g2 +(g3 +g4 +(g46 +g57 +ttRp58 +(dp59 +g9 +g10 +((ltRp60 +sg12 +g47 +sbsg19 +g2 +(g3 +g4 +(g46 +g19 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g47 +sbsg12 +g23 +sS'Pseudo' +p64 +g2 +(g3 +g4 +(g46 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g47 +sbsS'Attribute' +p68 +g2 +(g3 +g4 +(g46 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g47 +sbsS'Label' +p72 +g2 +(g3 +g4 +(g46 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g47 +sbsS'Blubb' +p76 +g2 +(g3 +g4 +(g46 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g47 +sbsS'Entity' +p80 +g2 +(g3 +g4 +(g46 +g80 +ttRp81 +(dp82 +g9 +g10 +((ltRp83 +sg12 +g47 +sbsS'Builtin' +p84 +g2 +(g3 +g4 +(g46 +g84 +ttRp85 +(dp86 +g9 +g10 +((lp87 +g2 +(g3 +g4 +(g46 +g84 +g64 +ttRp88 +(dp89 +g9 +g10 +((ltRp90 +sg12 +g85 +sbatRp91 +sg64 +g88 +sg12 +g47 +sbsS'Other' +p92 +g2 +(g3 +g4 +(g46 +g92 +ttRp93 +(dp94 +g9 +g10 +((ltRp95 +sg12 +g47 +sbsS'Identifier' +p96 +g2 +(g3 +g4 +(g46 +g96 +ttRp97 +(dp98 +g9 +g10 +((ltRp99 +sg12 +g47 +sbsS'Variable' +p100 +g2 +(g3 +g4 +(g46 +g100 +ttRp101 +(dp102 +g12 +g47 +sS'Global' +p103 +g2 +(g3 +g4 +(g46 +g100 +g103 +ttRp104 +(dp105 +g9 +g10 +((ltRp106 +sg12 +g101 +sbsS'Instance' +p107 +g2 +(g3 +g4 +(g46 +g100 +g107 +ttRp108 +(dp109 +g9 +g10 +((ltRp110 +sg12 +g101 +sbsS'Anonymous' +p111 +g2 +(g3 +g4 +(g46 +g100 +g111 +ttRp112 +(dp113 +g9 +g10 +((ltRp114 +sg12 +g101 +sbsg9 +g10 +((lp115 +g112 +ag108 +ag104 +ag2 +(g3 +g4 +(g46 +g100 +S'Class' +p116 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g101 +sbatRp120 +sg116 +g117 +sbsg9 +g10 +((lp121 +g2 +(g3 +g4 +(g46 +S'Decorator' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g47 +sbag69 +ag61 +ag65 +ag2 +(g3 +g4 +(g46 +S'Namespace' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g47 +sbag97 +ag85 +ag101 +ag93 +ag77 +ag81 +ag50 +ag2 +(g3 +g4 +(g46 +S'Property' +p130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g47 +sbag73 +ag58 +ag54 +ag2 +(g3 +g4 +(g46 +g116 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g47 +sbatRp137 +sg130 +g131 +sg116 +g134 +sg122 +g123 +sg126 +g127 +sbsg5 +g13 +sS'Generic' +p138 +g2 +(g3 +g4 +(g138 +ttRp139 +(dp140 +S'Prompt' +p141 +g2 +(g3 +g4 +(g138 +g141 +ttRp142 +(dp143 +g9 +g10 +((ltRp144 +sg12 +g139 +sbsg12 +g23 +sS'Deleted' +p145 +g2 +(g3 +g4 +(g138 +g145 +ttRp146 +(dp147 +g9 +g10 +((ltRp148 +sg12 +g139 +sbsS'Traceback' +p149 +g2 +(g3 +g4 +(g138 +g149 +ttRp150 +(dp151 +g9 +g10 +((ltRp152 +sg12 +g139 +sbsS'Emph' +p153 +g2 +(g3 +g4 +(g138 +g153 +ttRp154 +(dp155 +g9 +g10 +((ltRp156 +sg12 +g139 +sbsS'Output' +p157 +g2 +(g3 +g4 +(g138 +g157 +ttRp158 +(dp159 +g9 +g10 +((ltRp160 +sg12 +g139 +sbsS'Subheading' +p161 +g2 +(g3 +g4 +(g138 +g161 +ttRp162 +(dp163 +g9 +g10 +((ltRp164 +sg12 +g139 +sbsS'Error' +p165 +g2 +(g3 +g4 +(g138 +g165 +ttRp166 +(dp167 +g9 +g10 +((ltRp168 +sg12 +g139 +sbsg9 +g10 +((lp169 +g158 +ag154 +ag166 +ag162 +ag150 +ag146 +ag2 +(g3 +g4 +(g138 +S'Heading' +p170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g139 +sbag2 +(g3 +g4 +(g138 +S'Inserted' +p174 +ttRp175 +(dp176 +g9 +g10 +((ltRp177 +sg12 +g139 +sbag2 +(g3 +g4 +(g138 +S'Strong' +p178 +ttRp179 +(dp180 +g9 +g10 +((ltRp181 +sg12 +g139 +sbag142 +atRp182 +sg178 +g179 +sg174 +g175 +sg170 +g171 +sbsS'Text' +p183 +g2 +(g3 +g4 +(g183 +ttRp184 +(dp185 +g9 +g10 +((lp186 +g2 +(g3 +g4 +(g183 +S'Symbol' +p187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg12 +g184 +sbag2 +(g3 +g4 +(g183 +S'Whitespace' +p191 +ttRp192 +(dp193 +g9 +g10 +((ltRp194 +sg12 +g184 +sbatRp195 +sg187 +g188 +sg191 +g192 +sg12 +g23 +sbsS'Punctuation' +p196 +g2 +(g3 +g4 +(g196 +ttRp197 +(dp198 +g9 +g10 +((lp199 +g2 +(g3 +g4 +(g196 +S'Indicator' +p200 +ttRp201 +(dp202 +g9 +g10 +((ltRp203 +sg12 +g197 +sbatRp204 +sg200 +g201 +sg12 +g23 +sbsS'Token' +p205 +g23 +sS'Number' +p206 +g2 +(g3 +g4 +(S'Literal' +p207 +g206 +ttRp208 +(dp209 +S'Bin' +p210 +g2 +(g3 +g4 +(g207 +g206 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg12 +g208 +sbsS'Binary' +p214 +g2 +(g3 +g4 +(g207 +g206 +g214 +ttRp215 +(dp216 +g9 +g10 +((ltRp217 +sg12 +g208 +sbsg12 +g2 +(g3 +g4 +(g207 +ttRp218 +(dp219 +S'String' +p220 +g2 +(g3 +g4 +(g207 +g220 +ttRp221 +(dp222 +S'Regex' +p223 +g2 +(g3 +g4 +(g207 +g220 +g223 +ttRp224 +(dp225 +g9 +g10 +((ltRp226 +sg12 +g221 +sbsS'Interpol' +p227 +g2 +(g3 +g4 +(g207 +g220 +g227 +ttRp228 +(dp229 +g9 +g10 +((ltRp230 +sg12 +g221 +sbsS'Regexp' +p231 +g2 +(g3 +g4 +(g207 +g220 +g231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g221 +sbsg12 +g218 +sS'Heredoc' +p235 +g2 +(g3 +g4 +(g207 +g220 +g235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g221 +sbsS'Double' +p239 +g2 +(g3 +g4 +(g207 +g220 +g239 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g221 +sbsg187 +g2 +(g3 +g4 +(g207 +g220 +g187 +ttRp243 +(dp244 +g9 +g10 +((ltRp245 +sg12 +g221 +sbsS'Escape' +p246 +g2 +(g3 +g4 +(g207 +g220 +g246 +ttRp247 +(dp248 +g9 +g10 +((ltRp249 +sg12 +g221 +sbsS'Character' +p250 +g2 +(g3 +g4 +(g207 +g220 +g250 +ttRp251 +(dp252 +g9 +g10 +((ltRp253 +sg12 +g221 +sbsS'Interp' +p254 +g2 +(g3 +g4 +(g207 +g220 +g254 +ttRp255 +(dp256 +g9 +g10 +((ltRp257 +sg12 +g221 +sbsS'Backtick' +p258 +g2 +(g3 +g4 +(g207 +g220 +g258 +ttRp259 +(dp260 +g9 +g10 +((ltRp261 +sg12 +g221 +sbsS'Char' +p262 +g2 +(g3 +g4 +(g207 +g220 +g262 +ttRp263 +(dp264 +g9 +g10 +((ltRp265 +sg12 +g221 +sbsg32 +g2 +(g3 +g4 +(g207 +g220 +g32 +ttRp266 +(dp267 +g9 +g10 +((ltRp268 +sg12 +g221 +sbsg92 +g2 +(g3 +g4 +(g207 +g220 +g92 +ttRp269 +(dp270 +g9 +g10 +((ltRp271 +sg12 +g221 +sbsS'Doc' +p272 +g2 +(g3 +g4 +(g207 +g220 +g272 +ttRp273 +(dp274 +g9 +g10 +((ltRp275 +sg12 +g221 +sbsg9 +g10 +((lp276 +g269 +ag2 +(g3 +g4 +(g207 +g220 +S'Atom' +p277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g221 +sbag240 +ag263 +ag255 +ag273 +ag236 +ag259 +ag228 +ag243 +ag232 +ag224 +ag266 +ag251 +ag247 +atRp281 +sg277 +g278 +sbsg12 +g23 +sg206 +g208 +sS'Scalar' +p282 +g2 +(g3 +g4 +(g207 +g282 +ttRp283 +(dp284 +g9 +g10 +((lp285 +g2 +(g3 +g4 +(g207 +g282 +S'Plain' +p286 +ttRp287 +(dp288 +g9 +g10 +((ltRp289 +sg12 +g283 +sbatRp290 +sg12 +g218 +sg286 +g287 +sbsg92 +g2 +(g3 +g4 +(g207 +g92 +ttRp291 +(dp292 +g9 +g10 +((ltRp293 +sg12 +g218 +sbsS'Date' +p294 +g2 +(g3 +g4 +(g207 +g294 +ttRp295 +(dp296 +g9 +g10 +((ltRp297 +sg12 +g218 +sbsg9 +g10 +((lp298 +g295 +ag221 +ag291 +ag208 +ag283 +atRp299 +sbsS'Decimal' +p300 +g2 +(g3 +g4 +(g207 +g206 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g208 +sbsS'Float' +p304 +g2 +(g3 +g4 +(g207 +g206 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g208 +sbsS'Hex' +p308 +g2 +(g3 +g4 +(g207 +g206 +g308 +ttRp309 +(dp310 +g9 +g10 +((ltRp311 +sg12 +g208 +sbsS'Integer' +p312 +g2 +(g3 +g4 +(g207 +g206 +g312 +ttRp313 +(dp314 +g9 +g10 +((lp315 +g2 +(g3 +g4 +(g207 +g206 +g312 +S'Long' +p316 +ttRp317 +(dp318 +g9 +g10 +((ltRp319 +sg12 +g313 +sbatRp320 +sg316 +g317 +sg12 +g208 +sbsS'Octal' +p321 +g2 +(g3 +g4 +(g207 +g206 +g321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g208 +sbsg9 +g10 +((lp325 +g211 +ag215 +ag322 +ag301 +ag2 +(g3 +g4 +(g207 +g206 +S'Oct' +p326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g208 +sbag313 +ag305 +ag309 +atRp330 +sg326 +g327 +sbsg207 +g218 +sg92 +g2 +(g3 +g4 +(g92 +ttRp331 +(dp332 +g9 +g10 +((ltRp333 +sg12 +g23 +sbsg165 +g2 +(g3 +g4 +(g165 +ttRp334 +(dp335 +g9 +g10 +((ltRp336 +sg12 +g23 +sbsS'Operator' +p337 +g2 +(g3 +g4 +(g337 +ttRp338 +(dp339 +g9 +g10 +((lp340 +g2 +(g3 +g4 +(g337 +S'Word' +p341 +ttRp342 +(dp343 +g9 +g10 +((ltRp344 +sg12 +g338 +sbatRp345 +sg341 +g342 +sg12 +g23 +sbsg9 +g10 +((lp346 +g26 +ag334 +ag139 +ag184 +ag47 +ag197 +ag13 +ag218 +ag338 +ag331 +atRp347 +sg220 +g221 +sbsg126 +g2 +(g3 +g4 +(g5 +g126 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g13 +sbsg64 +g2 +(g3 +g4 +(g5 +g64 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbsS'Reserved' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg6 +g7 +sg100 +g2 +(g3 +g4 +(g5 +g100 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g20 +ag355 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag16 +ag7 +ag358 +ag348 +ag351 +atRp366 +sg362 +g363 +sbsbVclass +p367 +tp368 +a(g184 +V +tp369 +a(g134 +VA +tp370 +a(g184 +V +tp371 +a(g338 +V= +tp372 +a(g184 +V +tp373 +a(g197 +V( +tp374 +a(g184 +V +tp375 +a(g338 +V| +tp376 +a(g184 +V +tp377 +a(g69 +Va +tp378 +a(g184 +V +tp379 +a(g338 +V= +tp380 +a(g184 +V +tp381 +a(g13 +Vself +p382 +tp383 +a(g184 +V +tp384 +a(g101 +Vm +tp385 +a(g197 +V. +tp386 +a(g184 +V +tp387 +a(g338 +V| +tp388 +a(g184 +V +tp389 +a(g197 +V) +tp390 +a(g184 +V +tp391 +a(g197 +V( +tp392 +a(g184 +V\u000a +p393 +tp394 +a(g69 +Vm +tp395 +a(g184 +V +tp396 +a(g338 +V= +tp397 +a(g184 +V +tp398 +a(g197 +V( +tp399 +a(g338 +V^ +tp400 +a(g101 +Va +tp401 +a(g184 +V +tp402 +a(g101 +VisNil +p403 +tp404 +a(g184 +V +tp405 +a(g50 +VifTrue: +p406 +tp407 +a(g184 +V +tp408 +a(g197 +V[ +tp409 +a(g313 +V0 +tp410 +a(g197 +V] +tp411 +a(g184 +V +tp412 +a(g50 +VifFalse: +p413 +tp414 +a(g184 +V +tp415 +a(g197 +V[ +tp416 +a(g313 +V1 +tp417 +a(g197 +V] +tp418 +a(g197 +V) +tp419 +a(g184 +V\u000a +tp420 +a(g197 +V) +tp421 +a(g184 +V\u000a +tp422 +a(g7 +Vclass +p423 +tp424 +a(g184 +V +tp425 +a(g134 +VB +tp426 +a(g184 +V +tp427 +a(g338 +V= +tp428 +a(g184 +V +tp429 +a(g101 +VC +tp430 +a(g184 +V +tp431 +a(g197 +V( +tp432 +a(g184 +V +tp433 +a(g338 +V| +tp434 +a(g184 +V +tp435 +a(g69 +Vb0 +p436 +tp437 +a(g184 +V +tp438 +a(g338 +V= +tp439 +a(g184 +V +tp440 +a(g305 +V0. +p441 +tp442 +a(g184 +V +tp443 +a(g69 +Vb1 +p444 +tp445 +a(g184 +V +tp446 +a(g338 +V= +tp447 +a(g184 +V +tp448 +a(g101 +Vb0 +p449 +tp450 +a(g184 +V +tp451 +a(g338 +V+ +tp452 +a(g184 +V +tp453 +a(g305 +V1. +p454 +tp455 +a(g184 +V +tp456 +a(g338 +V| +tp457 +a(g184 +V +tp458 +a(g197 +V) +tp459 +a(g184 +V +tp460 +a(g197 +V( +tp461 +a(g197 +V) +tp462 +a(g184 +V\u000a +tp463 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/moin_SyntaxReference.txt b/tests/examplefiles/output/moin_SyntaxReference.txt new file mode 100644 index 0000000..242dbb9 --- /dev/null +++ b/tests/examplefiles/output/moin_SyntaxReference.txt @@ -0,0 +1,1359 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +g59 +g2 +(g3 +g4 +(g137 +g59 +ttRp140 +(dp141 +g8 +g9 +((ltRp142 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp143 +(dp144 +g8 +g9 +((ltRp145 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g138 +sbsS'Reserved' +p149 +g2 +(g3 +g4 +(g137 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Declaration' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp157 +(dp158 +g8 +g9 +((ltRp159 +sg15 +g138 +sbsg8 +g9 +((lp160 +g140 +ag150 +ag2 +(g3 +g4 +(g137 +S'Type' +p161 +ttRp162 +(dp163 +g8 +g9 +((ltRp164 +sg15 +g138 +sbag154 +ag157 +ag143 +ag146 +atRp165 +sg161 +g162 +sbsS'Generic' +p166 +g2 +(g3 +g4 +(g166 +ttRp167 +(dp168 +S'Prompt' +p169 +g2 +(g3 +g4 +(g166 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g167 +sbsg15 +g21 +sS'Deleted' +p173 +g2 +(g3 +g4 +(g166 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g167 +sbsS'Traceback' +p177 +g2 +(g3 +g4 +(g166 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g167 +sbsS'Emph' +p181 +g2 +(g3 +g4 +(g166 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g167 +sbsS'Output' +p185 +g2 +(g3 +g4 +(g166 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g167 +sbsS'Subheading' +p189 +g2 +(g3 +g4 +(g166 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g167 +sbsS'Error' +p193 +g2 +(g3 +g4 +(g166 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g167 +sbsg8 +g9 +((lp197 +g186 +ag182 +ag194 +ag190 +ag178 +ag174 +ag2 +(g3 +g4 +(g166 +S'Heading' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Inserted' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Strong' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g167 +sbag170 +atRp210 +sg206 +g207 +sg202 +g203 +sg198 +g199 +sbsg5 +g6 +sS'Punctuation' +p211 +g2 +(g3 +g4 +(g211 +ttRp212 +(dp213 +g8 +g9 +((lp214 +g2 +(g3 +g4 +(g211 +S'Indicator' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g212 +sbatRp219 +sg215 +g216 +sg15 +g21 +sbsS'Token' +p220 +g21 +sS'Number' +p221 +g2 +(g3 +g4 +(S'Literal' +p222 +g221 +ttRp223 +(dp224 +S'Bin' +p225 +g2 +(g3 +g4 +(g222 +g221 +g225 +ttRp226 +(dp227 +g8 +g9 +((ltRp228 +sg15 +g223 +sbsS'Binary' +p229 +g2 +(g3 +g4 +(g222 +g221 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g223 +sbsg15 +g2 +(g3 +g4 +(g222 +ttRp233 +(dp234 +S'String' +p235 +g2 +(g3 +g4 +(g222 +g235 +ttRp236 +(dp237 +S'Regex' +p238 +g2 +(g3 +g4 +(g222 +g235 +g238 +ttRp239 +(dp240 +g8 +g9 +((ltRp241 +sg15 +g236 +sbsS'Interpol' +p242 +g2 +(g3 +g4 +(g222 +g235 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g236 +sbsS'Regexp' +p246 +g2 +(g3 +g4 +(g222 +g235 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g236 +sbsg15 +g233 +sS'Heredoc' +p250 +g2 +(g3 +g4 +(g222 +g235 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g236 +sbsS'Double' +p254 +g2 +(g3 +g4 +(g222 +g235 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g236 +sbsg11 +g2 +(g3 +g4 +(g222 +g235 +g11 +ttRp258 +(dp259 +g8 +g9 +((ltRp260 +sg15 +g236 +sbsS'Escape' +p261 +g2 +(g3 +g4 +(g222 +g235 +g261 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g236 +sbsS'Character' +p265 +g2 +(g3 +g4 +(g222 +g235 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g236 +sbsS'Interp' +p269 +g2 +(g3 +g4 +(g222 +g235 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g236 +sbsS'Backtick' +p273 +g2 +(g3 +g4 +(g222 +g235 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g236 +sbsS'Char' +p277 +g2 +(g3 +g4 +(g222 +g235 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g236 +sbsg30 +g2 +(g3 +g4 +(g222 +g235 +g30 +ttRp281 +(dp282 +g8 +g9 +((ltRp283 +sg15 +g236 +sbsg91 +g2 +(g3 +g4 +(g222 +g235 +g91 +ttRp284 +(dp285 +g8 +g9 +((ltRp286 +sg15 +g236 +sbsS'Doc' +p287 +g2 +(g3 +g4 +(g222 +g235 +g287 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g236 +sbsg8 +g9 +((lp291 +g284 +ag2 +(g3 +g4 +(g222 +g235 +S'Atom' +p292 +ttRp293 +(dp294 +g8 +g9 +((ltRp295 +sg15 +g236 +sbag255 +ag278 +ag270 +ag288 +ag251 +ag274 +ag243 +ag258 +ag247 +ag239 +ag281 +ag266 +ag262 +atRp296 +sg292 +g293 +sbsg15 +g21 +sg221 +g223 +sS'Scalar' +p297 +g2 +(g3 +g4 +(g222 +g297 +ttRp298 +(dp299 +g8 +g9 +((lp300 +g2 +(g3 +g4 +(g222 +g297 +S'Plain' +p301 +ttRp302 +(dp303 +g8 +g9 +((ltRp304 +sg15 +g298 +sbatRp305 +sg15 +g233 +sg301 +g302 +sbsg91 +g2 +(g3 +g4 +(g222 +g91 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g233 +sbsS'Date' +p309 +g2 +(g3 +g4 +(g222 +g309 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g233 +sbsg8 +g9 +((lp313 +g310 +ag236 +ag306 +ag223 +ag298 +atRp314 +sbsS'Decimal' +p315 +g2 +(g3 +g4 +(g222 +g221 +g315 +ttRp316 +(dp317 +g8 +g9 +((ltRp318 +sg15 +g223 +sbsS'Float' +p319 +g2 +(g3 +g4 +(g222 +g221 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g223 +sbsS'Hex' +p323 +g2 +(g3 +g4 +(g222 +g221 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g223 +sbsS'Integer' +p327 +g2 +(g3 +g4 +(g222 +g221 +g327 +ttRp328 +(dp329 +g8 +g9 +((lp330 +g2 +(g3 +g4 +(g222 +g221 +g327 +S'Long' +p331 +ttRp332 +(dp333 +g8 +g9 +((ltRp334 +sg15 +g328 +sbatRp335 +sg331 +g332 +sg15 +g223 +sbsS'Octal' +p336 +g2 +(g3 +g4 +(g222 +g221 +g336 +ttRp337 +(dp338 +g8 +g9 +((ltRp339 +sg15 +g223 +sbsg8 +g9 +((lp340 +g226 +ag230 +ag337 +ag316 +ag2 +(g3 +g4 +(g222 +g221 +S'Oct' +p341 +ttRp342 +(dp343 +g8 +g9 +((ltRp344 +sg15 +g223 +sbag328 +ag320 +ag324 +atRp345 +sg341 +g342 +sbsg222 +g233 +sg91 +g2 +(g3 +g4 +(g91 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g21 +sbsg193 +g2 +(g3 +g4 +(g193 +ttRp349 +(dp350 +g8 +g9 +((ltRp351 +sg15 +g21 +sbsS'Operator' +p352 +g2 +(g3 +g4 +(g352 +ttRp353 +(dp354 +g8 +g9 +((lp355 +g2 +(g3 +g4 +(g352 +S'Word' +p356 +ttRp357 +(dp358 +g8 +g9 +((ltRp359 +sg15 +g353 +sbatRp360 +sg356 +g357 +sg15 +g21 +sbsg8 +g9 +((lp361 +g24 +ag349 +ag167 +ag6 +ag45 +ag212 +ag138 +ag233 +ag353 +ag346 +atRp362 +sg235 +g236 +sbsbV## Please edit system and help pages ONLY in the moinmaster wiki! For more\u000a## information, please see MoinMaster:MoinPagesEditorGroup.\u000a##master-page:Unknown-Page\u000a##master-date:Unknown-Date\u000a#acl MoinPagesEditorGroup:read,write,delete,revert All:read\u000a#format wiki\u000a#language en\u000a\u000aThis page aims to introduce the most important elements of MoinMoin``'s syntax at a glance, showing first the markup verbatim and then how it is rendered by the wiki engine. Additionally, you'll find links to the relative help pages. Please note that some of the features depend on your configuration.\u000a\u000a= Table of Contents =\u000a{{{\u000a'''Contents''' (up to the 2nd level)\u000a[[TableOfContents(2)]]\u000a}}}\u000a'''Contents''' (up to the 2nd level)\u000a[[TableOfContents(2)]]\u000a\u000a= Headings =\u000a'''''see:''' HelpOnHeadlines''\u000a{{{\u000a= heading 1st level =\u000a== heading 2nd level ==\u000a=== heading 3rd level ===\u000a==== heading 4th level ====\u000a===== heading 5th level =====\u000a}}}\u000a= heading 1st level =\u000a== heading 2nd level ==\u000a=== heading 3rd level ===\u000a==== heading 4th level ====\u000a===== heading 5th level =====\u000a\u000a= Text Formatting =\u000a'''''see:''' HelpOnFormatting''\u000a{{{\u000a * ''emphasized (italics)''\u000a * '''boldface'''\u000a * '''''bold italics'''''\u000a * `monospace`\u000a * {{{source code}}}\u000a * __underline__\u000a * ,,sub,,script\u000a * ^super^script\u000a * ~-smaller-~\u000a * ~+larger+~\u000a * --(strike through)--\u000a}}}\u000a * ''emphasized (italics)''\u000a * '''boldface'''\u000a * '''''bold italics'''''\u000a * `monospace`\u000a * {{{source code}}}\u000a * __underline__\u000a * ,,sub,,script\u000a * ^super^script\u000a * ~-smaller-~\u000a * ~+larger+~\u000a * --(strike through)--\u000a\u000a= Hyperlinks =\u000a'''''see:''' HelpOnLinking''\u000a== Internal Links ==\u000a{{{\u000a * FrontPage\u000a * ["FrontPage"]\u000a * HelpOnEditing/SubPages\u000a * /SubPage\u000a * ../SiblingPage\u000a * [:FrontPage:named link]\u000a * [#anchorname]\u000a * [#anchorname description]\u000a * [wiki:Self:PageName#anchorname]\u000a * [wiki:Self:PageName#anchorname description]\u000a * attachment:filename.txt\u000a}}}\u000a * FrontPage\u000a * ["FrontPage"]\u000a * HelpOnEditing/SubPages\u000a * /SubPage\u000a * ../SiblingPage\u000a * [:FrontPage:named link]\u000a * [#anchorname]\u000a * [#anchorname description]\u000a * [wiki:Self:PageName#anchorname]\u000a * [wiki:Self:PageName#anchorname description]\u000a * attachment:filename.txt\u000a\u000a== External Links ==\u000a{{{\u000a * http://moinmoin.wikiwikiweb.de/\u000a * [http://moinmoin.wikiwikiweb.de/]\u000a * [http://moinmoin.wikiwikiweb.de/ MoinMoin Wiki]\u000a * [http://moinmoin.wikiwikiweb.de/wiki/moinmoin.png]\u000a * http://moinmoin.wikiwikiweb.de/wiki/moinmoin.png\u000a * [http://moinmoin.wikiwikiweb.de/wiki/moinmoin.png moinmoin.png]\u000a * MeatBall:InterWiki\u000a * wiki:MeatBall/InterWiki\u000a * [wiki:MeatBall/InterWiki]\u000a * [wiki:MeatBall/InterWiki InterWiki page on MeatBall]\u000a * [file://///servername/share/full/path/to/file/filename%20with%20spaces.txt link to file filename with spaces.txt]\u000a * user@example.com\u000a}}}\u000a * http://moinmoin.wikiwikiweb.de/\u000a * [http://moinmoin.wikiwikiweb.de/]\u000a * [http://moinmoin.wikiwikiweb.de/ MoinMoin Wiki]\u000a * [http://moinmoin.wikiwikiweb.de/wiki/moinmoin.png]\u000a * http://moinmoin.wikiwikiweb.de/wiki/moinmoin.png\u000a * [http://moinmoin.wikiwikiweb.de/wiki/moinmoin.png moinmoin.png]\u000a * MeatBall:InterWiki\u000a * wiki:MeatBall/InterWiki\u000a * [wiki:MeatBall/InterWiki]\u000a * [wiki:MeatBall/InterWiki InterWiki page on MeatBall]\u000a * [file://///servername/share/full/path/to/file/filename%20with%20spaces.txt link to file filename with spaces.txt]\u000a * user@example.com\u000a\u000a== Avoid or Limit Automatical Linking ==\u000a{{{\u000a * Wiki''''''Name\u000a * Wiki``Name\u000a * !WikiName\u000a * WikiName''''''s\u000a * WikiName``s\u000a * `http://www.example.com`\u000a}}}\u000a * Wiki''''''Name\u000a * Wiki``Name\u000a * !WikiName\u000a * WikiName''''''s\u000a * WikiName``s\u000a * `http://www.example.com`\u000a\u000a= Blockquotes and Indentions =\u000a{{{\u000a indented text\u000a text indented to the 2nd level\u000a}}}\u000a indented text\u000a text indented to the 2nd level\u000a\u000a= Lists =\u000a'''''see:''' HelpOnLists''\u000a== Unordered Lists ==\u000a{{{\u000a * item 1\u000a\u000a * item 2 (preceding white space)\u000a * item 2.1\u000a * item 2.1.1\u000a * item 3\u000a . item 3.1 (bulletless)\u000a . item 4 (bulletless)\u000a * item 4.1\u000a . item 4.1.1 (bulletless)\u000a}}}\u000a * item 1\u000a\u000a * item 2 (preceding white space)\u000a * item 2.1\u000a * item 2.1.1\u000a * item 3\u000a . item 3.1 (bulletless)\u000a . item 4 (bulletless)\u000a * item 4.1\u000a . item 4.1.1 (bulletless)\u000a\u000a== Ordered Lists ==\u000a=== with Numbers ===\u000a{{{\u000a 1. item 1\u000a 1. item 1.1\u000a 1. item 1.2\u000a 1. item 2\u000a}}}\u000a 1. item 1\u000a 1. item 1.1\u000a 1. item 1.2\u000a 1. item 2\u000a\u000a=== with Roman Numbers ===\u000a{{{\u000a I. item 1\u000a i. item 1.1\u000a i. item 1.2\u000a I. item 2\u000a}}}\u000a I. item 1\u000a i. item 1.1\u000a i. item 1.2\u000a I. item 2\u000a\u000a=== with Letters ===\u000a{{{\u000a A. item A\u000a a. item A. a)\u000a a. item A. b)\u000a A. item B\u000a}}}\u000a A. item A\u000a a. item A. a)\u000a a. item A. b)\u000a A. item B\u000a\u000a== Definition Lists ==\u000a{{{\u000a term:: definition\u000a object:: description 1\u000a :: description 2\u000a Action Items::\u000a :: First Item\u000a :: Second Item\u000a}}}\u000a term:: definition\u000a object:: description 1\u000a :: description 2\u000a Action Items::\u000a :: First Item\u000a :: Second Item\u000a\u000a= Horizontal Rules =\u000a'''''see:''' HelpOnRules''\u000a{{{\u000a----\u000a-----\u000a------\u000a-------\u000a--------\u000a---------\u000a----------\u000a}}}\u000a----\u000a-----\u000a------\u000a-------\u000a--------\u000a---------\u000a----------\u000a\u000a= Tables =\u000a'''''see:''' HelpOnTables''\u000a== Tables ==\u000a{{{\u000a||'''A'''||'''B'''||'''C'''||\u000a||1 ||2 ||3 ||\u000a}}}\u000a||'''A'''||'''B'''||'''C'''||\u000a||1 ||2 ||3 ||\u000a\u000a== Cell Width ==\u000a{{{\u000a||minimal width ||<99%>maximal width ||\u000a}}}\u000a||minimal width ||<99%>maximal width ||\u000a\u000a== Spanning Rows and Columns ==\u000a{{{\u000a||<|2> cell spanning 2 rows ||cell in the 2nd column ||\u000a||cell in the 2nd column of the 2nd row ||\u000a||<-2> cell spanning 2 columns ||\u000a||||use empty cells as a shorthand ||\u000a}}}\u000a||<|2> cell spanning 2 rows ||cell in the 2nd column ||\u000a||cell in the 2nd column of the 2nd row ||\u000a||<-2> cell spanning 2 columns ||\u000a||||use empty cells as a shorthand ||\u000a\u000a== Alignment of Cell Contents ==\u000a{{{\u000a||<^|3> top (combined) ||<:99%> center (combined) || bottom (combined) ||\u000a||<)> right ||\u000a||<(> left ||\u000a}}}\u000a||<^|3> top (combined) ||<:99%> center (combined) || bottom (combined) ||\u000a||<)> right ||\u000a||<(> left ||\u000a\u000a== Coulored Table Cells ==\u000a{{{\u000a||<#0000FF> blue ||<#00FF00> green ||<#FF0000> red ||\u000a||<#00FFFF> cyan ||<#FF00FF> magenta ||<#FFFF00> yellow ||\u000a}}}\u000a||<#0000FF> blue ||<#00FF00> green ||<#FF0000> red ||\u000a||<#00FFFF> cyan ||<#FF00FF> magenta ||<#FFFF00> yellow ||\u000a\u000a== HTML-like Options for Tables ==\u000a{{{\u000a||A || like <|2> ||\u000a|| like <#00FF00> ||\u000a|| like <-2>||\u000a}}}\u000a||A || like <|2> ||\u000a|| like <#00FF00> ||\u000a|| like <-2>||\u000a\u000a= Macros and Variables =\u000a== Macros ==\u000a'''''see:''' HelpOnMacros''\u000a * `[[Anchor(anchorname)]]` inserts a link anchor `anchorname`\u000a * `[[BR]]` inserts a hard line break\u000a * `[[FootNote(Note)]]` inserts a footnote saying `Note`\u000a * `[[Include(HelpOnMacros/Include)]]` inserts the contents of the page `HelpOnMacros/Include` inline\u000a * `[[MailTo(user AT example DOT com)]]` obfuscates the email address `user@example.com` to users not logged in\u000a\u000a== Variables ==\u000a'''''see:''' HelpOnVariables''\u000a * `@``SIG``@` inserts your login name and timestamp of modification\u000a * `@``TIME``@` inserts date and time of modification\u000a\u000a= Smileys and Icons =\u000a'''''see:''' HelpOnSmileys''\u000a[[ShowSmileys]]\u000a\u000a= Source code =\u000a'''''see:''' HelpOnParsers''\u000a== Verbatim Display ==\u000a{{{\u000a{ { {\u000adef hello():\u000a print "Hello World!"\u000a} } }\u000a}}}\u000a/!\u005c Remove spaces between "`{ { {`" and "`} } }`".\u000a{{{\u000adef hello():\u000a print "Hello World!"\u000a}}}\u000a\u000a== Syntax Highlighting ==\u000a{{{\u000a{ { {#!python\u000adef hello():\u000a print "Hello World!"\u000a} } }\u000a}}}\u000a/!\u005c Remove spaces between "`{ { {`" and "`} } }`".\u000a{{{#!python\u000adef hello():\u000a print "Hello World!"\u000a}}}\u000a +p363 +tp364 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/multiline_regexes.rb b/tests/examplefiles/output/multiline_regexes.rb new file mode 100644 index 0000000..82fc1a5 --- /dev/null +++ b/tests/examplefiles/output/multiline_regexes.rb @@ -0,0 +1,1714 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Literal' +p5 +S'String' +p6 +S'Regex' +p7 +ttRp8 +(dp9 +S'subtypes' +p10 +c__builtin__ +set +p11 +((ltRp12 +sS'parent' +p13 +g2 +(g3 +g4 +(g5 +g6 +ttRp14 +(dp15 +g7 +g8 +sS'Interpol' +p16 +g2 +(g3 +g4 +(g5 +g6 +g16 +ttRp17 +(dp18 +g10 +g11 +((ltRp19 +sg13 +g14 +sbsS'Regexp' +p20 +g2 +(g3 +g4 +(g5 +g6 +g20 +ttRp21 +(dp22 +g10 +g11 +((ltRp23 +sg13 +g14 +sbsg13 +g2 +(g3 +g4 +(g5 +ttRp24 +(dp25 +g6 +g14 +sg13 +g2 +(g3 +g4 +(ttRp26 +(dp27 +S'Comment' +p28 +g2 +(g3 +g4 +(g28 +ttRp29 +(dp30 +g13 +g26 +sS'Preproc' +p31 +g2 +(g3 +g4 +(g28 +g31 +ttRp32 +(dp33 +g10 +g11 +((ltRp34 +sg13 +g29 +sbsS'Single' +p35 +g2 +(g3 +g4 +(g28 +g35 +ttRp36 +(dp37 +g10 +g11 +((ltRp38 +sg13 +g29 +sbsS'Multiline' +p39 +g2 +(g3 +g4 +(g28 +g39 +ttRp40 +(dp41 +g10 +g11 +((ltRp42 +sg13 +g29 +sbsg10 +g11 +((lp43 +g2 +(g3 +g4 +(g28 +S'Special' +p44 +ttRp45 +(dp46 +g10 +g11 +((ltRp47 +sg13 +g29 +sbag32 +ag36 +ag40 +atRp48 +sg44 +g45 +sbsS'Name' +p49 +g2 +(g3 +g4 +(g49 +ttRp50 +(dp51 +S'Function' +p52 +g2 +(g3 +g4 +(g49 +g52 +ttRp53 +(dp54 +g10 +g11 +((ltRp55 +sg13 +g50 +sbsS'Exception' +p56 +g2 +(g3 +g4 +(g49 +g56 +ttRp57 +(dp58 +g10 +g11 +((ltRp59 +sg13 +g50 +sbsS'Tag' +p60 +g2 +(g3 +g4 +(g49 +g60 +ttRp61 +(dp62 +g10 +g11 +((ltRp63 +sg13 +g50 +sbsS'Constant' +p64 +g2 +(g3 +g4 +(g49 +g64 +ttRp65 +(dp66 +g10 +g11 +((ltRp67 +sg13 +g50 +sbsg13 +g26 +sS'Pseudo' +p68 +g2 +(g3 +g4 +(g49 +g68 +ttRp69 +(dp70 +g10 +g11 +((ltRp71 +sg13 +g50 +sbsS'Attribute' +p72 +g2 +(g3 +g4 +(g49 +g72 +ttRp73 +(dp74 +g10 +g11 +((ltRp75 +sg13 +g50 +sbsS'Label' +p76 +g2 +(g3 +g4 +(g49 +g76 +ttRp77 +(dp78 +g10 +g11 +((ltRp79 +sg13 +g50 +sbsS'Blubb' +p80 +g2 +(g3 +g4 +(g49 +g80 +ttRp81 +(dp82 +g10 +g11 +((ltRp83 +sg13 +g50 +sbsS'Entity' +p84 +g2 +(g3 +g4 +(g49 +g84 +ttRp85 +(dp86 +g10 +g11 +((ltRp87 +sg13 +g50 +sbsS'Builtin' +p88 +g2 +(g3 +g4 +(g49 +g88 +ttRp89 +(dp90 +g10 +g11 +((lp91 +g2 +(g3 +g4 +(g49 +g88 +g68 +ttRp92 +(dp93 +g10 +g11 +((ltRp94 +sg13 +g89 +sbatRp95 +sg68 +g92 +sg13 +g50 +sbsS'Other' +p96 +g2 +(g3 +g4 +(g49 +g96 +ttRp97 +(dp98 +g10 +g11 +((ltRp99 +sg13 +g50 +sbsS'Identifier' +p100 +g2 +(g3 +g4 +(g49 +g100 +ttRp101 +(dp102 +g10 +g11 +((ltRp103 +sg13 +g50 +sbsS'Variable' +p104 +g2 +(g3 +g4 +(g49 +g104 +ttRp105 +(dp106 +g13 +g50 +sS'Global' +p107 +g2 +(g3 +g4 +(g49 +g104 +g107 +ttRp108 +(dp109 +g10 +g11 +((ltRp110 +sg13 +g105 +sbsS'Instance' +p111 +g2 +(g3 +g4 +(g49 +g104 +g111 +ttRp112 +(dp113 +g10 +g11 +((ltRp114 +sg13 +g105 +sbsS'Anonymous' +p115 +g2 +(g3 +g4 +(g49 +g104 +g115 +ttRp116 +(dp117 +g10 +g11 +((ltRp118 +sg13 +g105 +sbsg10 +g11 +((lp119 +g116 +ag112 +ag108 +ag2 +(g3 +g4 +(g49 +g104 +S'Class' +p120 +ttRp121 +(dp122 +g10 +g11 +((ltRp123 +sg13 +g105 +sbatRp124 +sg120 +g121 +sbsg10 +g11 +((lp125 +g2 +(g3 +g4 +(g49 +S'Decorator' +p126 +ttRp127 +(dp128 +g10 +g11 +((ltRp129 +sg13 +g50 +sbag73 +ag65 +ag69 +ag2 +(g3 +g4 +(g49 +S'Namespace' +p130 +ttRp131 +(dp132 +g10 +g11 +((ltRp133 +sg13 +g50 +sbag101 +ag89 +ag105 +ag97 +ag81 +ag85 +ag53 +ag2 +(g3 +g4 +(g49 +S'Property' +p134 +ttRp135 +(dp136 +g10 +g11 +((ltRp137 +sg13 +g50 +sbag77 +ag61 +ag57 +ag2 +(g3 +g4 +(g49 +g120 +ttRp138 +(dp139 +g10 +g11 +((ltRp140 +sg13 +g50 +sbatRp141 +sg134 +g135 +sg120 +g138 +sg126 +g127 +sg130 +g131 +sbsS'Keyword' +p142 +g2 +(g3 +g4 +(g142 +ttRp143 +(dp144 +S'Pervasive' +p145 +g2 +(g3 +g4 +(g142 +g145 +ttRp146 +(dp147 +g10 +g11 +((ltRp148 +sg13 +g143 +sbsg64 +g2 +(g3 +g4 +(g142 +g64 +ttRp149 +(dp150 +g10 +g11 +((ltRp151 +sg13 +g143 +sbsg13 +g26 +sg130 +g2 +(g3 +g4 +(g142 +g130 +ttRp152 +(dp153 +g10 +g11 +((ltRp154 +sg13 +g143 +sbsg68 +g2 +(g3 +g4 +(g142 +g68 +ttRp155 +(dp156 +g10 +g11 +((ltRp157 +sg13 +g143 +sbsS'Reserved' +p158 +g2 +(g3 +g4 +(g142 +g158 +ttRp159 +(dp160 +g10 +g11 +((ltRp161 +sg13 +g143 +sbsS'Declaration' +p162 +g2 +(g3 +g4 +(g142 +g162 +ttRp163 +(dp164 +g10 +g11 +((ltRp165 +sg13 +g143 +sbsg104 +g2 +(g3 +g4 +(g142 +g104 +ttRp166 +(dp167 +g10 +g11 +((ltRp168 +sg13 +g143 +sbsg10 +g11 +((lp169 +g149 +ag159 +ag2 +(g3 +g4 +(g142 +S'Type' +p170 +ttRp171 +(dp172 +g10 +g11 +((ltRp173 +sg13 +g143 +sbag146 +ag163 +ag166 +ag152 +ag155 +atRp174 +sg170 +g171 +sbsS'Generic' +p175 +g2 +(g3 +g4 +(g175 +ttRp176 +(dp177 +S'Prompt' +p178 +g2 +(g3 +g4 +(g175 +g178 +ttRp179 +(dp180 +g10 +g11 +((ltRp181 +sg13 +g176 +sbsg13 +g26 +sS'Deleted' +p182 +g2 +(g3 +g4 +(g175 +g182 +ttRp183 +(dp184 +g10 +g11 +((ltRp185 +sg13 +g176 +sbsS'Traceback' +p186 +g2 +(g3 +g4 +(g175 +g186 +ttRp187 +(dp188 +g10 +g11 +((ltRp189 +sg13 +g176 +sbsS'Emph' +p190 +g2 +(g3 +g4 +(g175 +g190 +ttRp191 +(dp192 +g10 +g11 +((ltRp193 +sg13 +g176 +sbsS'Output' +p194 +g2 +(g3 +g4 +(g175 +g194 +ttRp195 +(dp196 +g10 +g11 +((ltRp197 +sg13 +g176 +sbsS'Subheading' +p198 +g2 +(g3 +g4 +(g175 +g198 +ttRp199 +(dp200 +g10 +g11 +((ltRp201 +sg13 +g176 +sbsS'Error' +p202 +g2 +(g3 +g4 +(g175 +g202 +ttRp203 +(dp204 +g10 +g11 +((ltRp205 +sg13 +g176 +sbsg10 +g11 +((lp206 +g195 +ag191 +ag203 +ag199 +ag187 +ag183 +ag2 +(g3 +g4 +(g175 +S'Heading' +p207 +ttRp208 +(dp209 +g10 +g11 +((ltRp210 +sg13 +g176 +sbag2 +(g3 +g4 +(g175 +S'Inserted' +p211 +ttRp212 +(dp213 +g10 +g11 +((ltRp214 +sg13 +g176 +sbag2 +(g3 +g4 +(g175 +S'Strong' +p215 +ttRp216 +(dp217 +g10 +g11 +((ltRp218 +sg13 +g176 +sbag179 +atRp219 +sg215 +g216 +sg211 +g212 +sg207 +g208 +sbsS'Text' +p220 +g2 +(g3 +g4 +(g220 +ttRp221 +(dp222 +g10 +g11 +((lp223 +g2 +(g3 +g4 +(g220 +S'Symbol' +p224 +ttRp225 +(dp226 +g10 +g11 +((ltRp227 +sg13 +g221 +sbag2 +(g3 +g4 +(g220 +S'Whitespace' +p228 +ttRp229 +(dp230 +g10 +g11 +((ltRp231 +sg13 +g221 +sbatRp232 +sg224 +g225 +sg228 +g229 +sg13 +g26 +sbsS'Punctuation' +p233 +g2 +(g3 +g4 +(g233 +ttRp234 +(dp235 +g10 +g11 +((lp236 +g2 +(g3 +g4 +(g233 +S'Indicator' +p237 +ttRp238 +(dp239 +g10 +g11 +((ltRp240 +sg13 +g234 +sbatRp241 +sg237 +g238 +sg13 +g26 +sbsS'Token' +p242 +g26 +sS'Number' +p243 +g2 +(g3 +g4 +(g5 +g243 +ttRp244 +(dp245 +S'Bin' +p246 +g2 +(g3 +g4 +(g5 +g243 +g246 +ttRp247 +(dp248 +g10 +g11 +((ltRp249 +sg13 +g244 +sbsS'Binary' +p250 +g2 +(g3 +g4 +(g5 +g243 +g250 +ttRp251 +(dp252 +g10 +g11 +((ltRp253 +sg13 +g244 +sbsg13 +g24 +sS'Decimal' +p254 +g2 +(g3 +g4 +(g5 +g243 +g254 +ttRp255 +(dp256 +g10 +g11 +((ltRp257 +sg13 +g244 +sbsS'Float' +p258 +g2 +(g3 +g4 +(g5 +g243 +g258 +ttRp259 +(dp260 +g10 +g11 +((ltRp261 +sg13 +g244 +sbsS'Hex' +p262 +g2 +(g3 +g4 +(g5 +g243 +g262 +ttRp263 +(dp264 +g10 +g11 +((ltRp265 +sg13 +g244 +sbsS'Integer' +p266 +g2 +(g3 +g4 +(g5 +g243 +g266 +ttRp267 +(dp268 +g10 +g11 +((lp269 +g2 +(g3 +g4 +(g5 +g243 +g266 +S'Long' +p270 +ttRp271 +(dp272 +g10 +g11 +((ltRp273 +sg13 +g267 +sbatRp274 +sg270 +g271 +sg13 +g244 +sbsS'Octal' +p275 +g2 +(g3 +g4 +(g5 +g243 +g275 +ttRp276 +(dp277 +g10 +g11 +((ltRp278 +sg13 +g244 +sbsg10 +g11 +((lp279 +g247 +ag251 +ag276 +ag255 +ag2 +(g3 +g4 +(g5 +g243 +S'Oct' +p280 +ttRp281 +(dp282 +g10 +g11 +((ltRp283 +sg13 +g244 +sbag267 +ag259 +ag263 +atRp284 +sg280 +g281 +sbsg5 +g24 +sg96 +g2 +(g3 +g4 +(g96 +ttRp285 +(dp286 +g10 +g11 +((ltRp287 +sg13 +g26 +sbsg202 +g2 +(g3 +g4 +(g202 +ttRp288 +(dp289 +g10 +g11 +((ltRp290 +sg13 +g26 +sbsS'Operator' +p291 +g2 +(g3 +g4 +(g291 +ttRp292 +(dp293 +g10 +g11 +((lp294 +g2 +(g3 +g4 +(g291 +S'Word' +p295 +ttRp296 +(dp297 +g10 +g11 +((ltRp298 +sg13 +g292 +sbatRp299 +sg295 +g296 +sg13 +g26 +sbsg10 +g11 +((lp300 +g29 +ag288 +ag176 +ag221 +ag50 +ag234 +ag143 +ag24 +ag292 +ag285 +atRp301 +sg6 +g14 +sbsg243 +g244 +sS'Scalar' +p302 +g2 +(g3 +g4 +(g5 +g302 +ttRp303 +(dp304 +g10 +g11 +((lp305 +g2 +(g3 +g4 +(g5 +g302 +S'Plain' +p306 +ttRp307 +(dp308 +g10 +g11 +((ltRp309 +sg13 +g303 +sbatRp310 +sg13 +g24 +sg306 +g307 +sbsg96 +g2 +(g3 +g4 +(g5 +g96 +ttRp311 +(dp312 +g10 +g11 +((ltRp313 +sg13 +g24 +sbsS'Date' +p314 +g2 +(g3 +g4 +(g5 +g314 +ttRp315 +(dp316 +g10 +g11 +((ltRp317 +sg13 +g24 +sbsg10 +g11 +((lp318 +g315 +ag14 +ag311 +ag244 +ag303 +atRp319 +sbsS'Heredoc' +p320 +g2 +(g3 +g4 +(g5 +g6 +g320 +ttRp321 +(dp322 +g10 +g11 +((ltRp323 +sg13 +g14 +sbsS'Double' +p324 +g2 +(g3 +g4 +(g5 +g6 +g324 +ttRp325 +(dp326 +g10 +g11 +((ltRp327 +sg13 +g14 +sbsg224 +g2 +(g3 +g4 +(g5 +g6 +g224 +ttRp328 +(dp329 +g10 +g11 +((ltRp330 +sg13 +g14 +sbsS'Escape' +p331 +g2 +(g3 +g4 +(g5 +g6 +g331 +ttRp332 +(dp333 +g10 +g11 +((ltRp334 +sg13 +g14 +sbsS'Character' +p335 +g2 +(g3 +g4 +(g5 +g6 +g335 +ttRp336 +(dp337 +g10 +g11 +((ltRp338 +sg13 +g14 +sbsS'Interp' +p339 +g2 +(g3 +g4 +(g5 +g6 +g339 +ttRp340 +(dp341 +g10 +g11 +((ltRp342 +sg13 +g14 +sbsS'Backtick' +p343 +g2 +(g3 +g4 +(g5 +g6 +g343 +ttRp344 +(dp345 +g10 +g11 +((ltRp346 +sg13 +g14 +sbsS'Char' +p347 +g2 +(g3 +g4 +(g5 +g6 +g347 +ttRp348 +(dp349 +g10 +g11 +((ltRp350 +sg13 +g14 +sbsg35 +g2 +(g3 +g4 +(g5 +g6 +g35 +ttRp351 +(dp352 +g10 +g11 +((ltRp353 +sg13 +g14 +sbsg96 +g2 +(g3 +g4 +(g5 +g6 +g96 +ttRp354 +(dp355 +g10 +g11 +((ltRp356 +sg13 +g14 +sbsS'Doc' +p357 +g2 +(g3 +g4 +(g5 +g6 +g357 +ttRp358 +(dp359 +g10 +g11 +((ltRp360 +sg13 +g14 +sbsg10 +g11 +((lp361 +g354 +ag2 +(g3 +g4 +(g5 +g6 +S'Atom' +p362 +ttRp363 +(dp364 +g10 +g11 +((ltRp365 +sg13 +g14 +sbag325 +ag348 +ag340 +ag358 +ag321 +ag344 +ag17 +ag328 +ag21 +ag8 +ag351 +ag336 +ag332 +atRp366 +sg362 +g363 +sbsbV/ +tp367 +a(g8 +V\u000athis is a\u000amultiline\u000aregex\u000a +p368 +tp369 +a(g8 +V/ +tp370 +a(g221 +V\u000a\u000a +p371 +tp372 +a(g50 +Vthis +p373 +tp374 +a(g8 +V /i +p375 +tp376 +a(g8 +Vs a\u000amultiline regex too +p377 +tp378 +a(g8 +V/ +tp379 +a(g221 +V\u000a\u000a +p380 +tp381 +a(g50 +Vfoo +p382 +tp383 +a(g221 +V +tp384 +a(g292 +V= +tp385 +a(g221 +V +tp386 +a(g8 +V/ +tp387 +a(g8 +Vis also\u000aone +p388 +tp389 +a(g8 +V/ +tp390 +a(g221 +V\u000a\u000a +p391 +tp392 +a(g50 +Valso +p393 +tp394 +a(g8 +V /4 +p395 +tp396 +a(g8 +V\u000ais one +p397 +tp398 +a(g8 +V/ +tp399 +a(g221 +V\u000a\u000a +p400 +tp401 +a(g50 +Vthis +p402 +tp403 +a(g234 +V( +tp404 +a(g8 +V/ +tp405 +a(g8 +V\u000atoo\u000a +p406 +tp407 +a(g8 +V/ +tp408 +a(g234 +V) +tp409 +a(g221 +V\u000a\u000a +p410 +tp411 +a(g36 +V# this not +p412 +tp413 +a(g221 +V\u000a +tp414 +a(g267 +V2 +tp415 +a(g221 +V +tp416 +a(g292 +V/ +tp417 +a(g267 +V4 +tp418 +a(g221 +V\u000a +tp419 +a(g50 +Vasfsadf +p420 +tp421 +a(g292 +V/ +tp422 +a(g221 +V\u000a\u000a +p423 +tp424 +a(g36 +V# this is also not one +p425 +tp426 +a(g221 +V\u000a +tp427 +a(g263 +V0x4d +p428 +tp429 +a(g221 +V +tp430 +a(g292 +V/ +tp431 +a(g267 +V25 +p432 +tp433 +a(g221 +V\u000a +tp434 +a(g50 +Vfoo +p435 +tp436 +a(g292 +V/ +tp437 +a(g221 +V\u000a\u000a +p438 +tp439 +a(g267 +V42 +p440 +tp441 +a(g221 +V +tp442 +a(g296 +Vand +p443 +tp444 +a(g8 +V /t +p445 +tp446 +a(g8 +Vhis\u000ais also a multiline\u000aregex +p447 +tp448 +a(g8 +V/ +tp449 +a(g221 +V\u000a\u000a\u000a +p450 +tp451 +a(g36 +V# And here some special string cases +p452 +tp453 +a(g221 +V\u000a +tp454 +a(g50 +Vfoo +p455 +tp456 +a(g221 +V +tp457 +a(g292 +V= +tp458 +a(g221 +V +tp459 +a(g354 +V% blah +p460 +tp461 +a(g221 +V +p462 +tp463 +a(g36 +V# comment here to ensure whitespace +p464 +tp465 +a(g221 +V\u000a +tp466 +a(g50 +Vfoo +p467 +tp468 +a(g234 +V( +tp469 +a(g354 +V% blah +p470 +tp471 +a(g234 +V) +tp472 +a(g221 +V\u000a +tp473 +a(g50 +Vfoo +p474 +tp475 +a(g221 +V +tp476 +a(g292 +V<< +p477 +tp478 +a(g221 +V +tp479 +a(g354 +V% blah +p480 +tp481 +a(g221 +V +p482 +tp483 +a(g36 +V# stupid but has to work +p484 +tp485 +a(g221 +V\u000a +tp486 +a(g50 +Vfoo +p487 +tp488 +a(g221 +V +tp489 +a(g292 +V= +tp490 +a(g221 +V +tp491 +a(g354 +V% blah +p492 +tp493 +a(g292 +V+ +tp494 +a(g221 +V +tp495 +a(g354 +V% blub +p496 +tp497 +a(g221 +V +p498 +tp499 +a(g36 +V# wicked +p500 +tp501 +a(g221 +V\u000a +tp502 +a(g50 +Vfoo +p503 +tp504 +a(g221 +V +tp505 +a(g292 +V= +tp506 +a(g221 +V +tp507 +a(g354 +V%q wicked +p508 +tp509 +a(g221 +V +p510 +tp511 +a(g36 +V# works too +p512 +tp513 +a(g221 +V\u000a +tp514 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/nasm_aoutso.asm b/tests/examplefiles/output/nasm_aoutso.asm new file mode 100644 index 0000000..5c43f3a --- /dev/null +++ b/tests/examplefiles/output/nasm_aoutso.asm @@ -0,0 +1,3214 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV; test source file for assembling to NetBSD/FreeBSD a.out shared library +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g7 +V; build with: +p370 +tp371 +a(g189 +V\u000a +tp372 +a(g7 +V; nasm -f aoutb aoutso.asm +p373 +tp374 +a(g189 +V\u000a +tp375 +a(g7 +V; ld -Bshareable -o aoutso.so aoutso.o +p376 +tp377 +a(g189 +V\u000a +tp378 +a(g7 +V; test with: +p379 +tp380 +a(g189 +V\u000a +tp381 +a(g7 +V; cc -o aoutso aouttest.c aoutso.so +p382 +tp383 +a(g189 +V\u000a +tp384 +a(g7 +V; ./aoutso +p385 +tp386 +a(g189 +V\u000a +tp387 +a(g189 +V\u000a +tp388 +a(g7 +V; This file should test the following: +p389 +tp390 +a(g189 +V\u000a +tp391 +a(g7 +V; [1] Define and export a global text-section symbol +p392 +tp393 +a(g189 +V\u000a +tp394 +a(g7 +V; [2] Define and export a global data-section symbol +p395 +tp396 +a(g189 +V\u000a +tp397 +a(g7 +V; [3] Define and export a global BSS-section symbol +p398 +tp399 +a(g189 +V\u000a +tp400 +a(g7 +V; [4] Define a non-global text-section symbol +p401 +tp402 +a(g189 +V\u000a +tp403 +a(g7 +V; [5] Define a non-global data-section symbol +p404 +tp405 +a(g189 +V\u000a +tp406 +a(g7 +V; [6] Define a non-global BSS-section symbol +p407 +tp408 +a(g189 +V\u000a +tp409 +a(g7 +V; [7] Define a COMMON symbol +p410 +tp411 +a(g189 +V\u000a +tp412 +a(g7 +V; [8] Define a NASM local label +p413 +tp414 +a(g189 +V\u000a +tp415 +a(g7 +V; [9] Reference a NASM local label +p416 +tp417 +a(g189 +V\u000a +tp418 +a(g7 +V; [10] Import an external symbol +p419 +tp420 +a(g189 +V\u000a +tp421 +a(g7 +V; [11] Make a PC-relative call to an external symbol +p422 +tp423 +a(g189 +V\u000a +tp424 +a(g7 +V; [12] Reference a text-section symbol in the text section +p425 +tp426 +a(g189 +V\u000a +tp427 +a(g7 +V; [13] Reference a data-section symbol in the text section +p428 +tp429 +a(g189 +V\u000a +tp430 +a(g7 +V; [14] Reference a BSS-section symbol in the text section +p431 +tp432 +a(g189 +V\u000a +tp433 +a(g7 +V; [15] Reference a text-section symbol in the data section +p434 +tp435 +a(g189 +V\u000a +tp436 +a(g7 +V; [16] Reference a data-section symbol in the data section +p437 +tp438 +a(g189 +V\u000a +tp439 +a(g7 +V; [17] Reference a BSS-section symbol in the data section +p440 +tp441 +a(g189 +V\u000a +tp442 +a(g189 +V\u000a +tp443 +a(g189 +V +p444 +tp445 +a(g111 +VBITS +p446 +tp447 +a(g189 +V +tp448 +a(g318 +V32 +p449 +tp450 +a(g189 +V\u000a +tp451 +a(g189 +V +p452 +tp453 +a(g111 +VEXTERN +p454 +tp455 +a(g189 +V +tp456 +a(g73 +V__GLOBAL_OFFSET_TABLE_ +p457 +tp458 +a(g189 +V\u000a +tp459 +a(g189 +V +p460 +tp461 +a(g111 +VGLOBAL +p462 +tp463 +a(g189 +V +tp464 +a(g73 +V_lrotate +p465 +tp466 +a(g202 +V: +tp467 +a(g73 +Vfunction +p468 +tp469 +a(g189 +V +tp470 +a(g7 +V; [1] +p471 +tp472 +a(g189 +V\u000a +tp473 +a(g189 +V +p474 +tp475 +a(g111 +VGLOBAL +p476 +tp477 +a(g189 +V +tp478 +a(g73 +V_greet +p479 +tp480 +a(g202 +V: +tp481 +a(g73 +Vfunction +p482 +tp483 +a(g189 +V +tp484 +a(g7 +V; [1] +p485 +tp486 +a(g189 +V\u000a +tp487 +a(g189 +V +p488 +tp489 +a(g111 +VGLOBAL +p490 +tp491 +a(g189 +V +tp492 +a(g73 +V_asmstr +p493 +tp494 +a(g202 +V: +tp495 +a(g73 +Vdata +p496 +tp497 +a(g189 +V +tp498 +a(g73 +V_asmstr.end +p499 +tp500 +a(g343 +V- +tp501 +a(g73 +V_asmstr +p502 +tp503 +a(g189 +V +tp504 +a(g7 +V; [2] +p505 +tp506 +a(g189 +V\u000a +tp507 +a(g189 +V +p508 +tp509 +a(g111 +VGLOBAL +p510 +tp511 +a(g189 +V +tp512 +a(g73 +V_textptr +p513 +tp514 +a(g202 +V: +tp515 +a(g73 +Vdata +p516 +tp517 +a(g189 +V +tp518 +a(g318 +V4 +tp519 +a(g189 +V +tp520 +a(g7 +V; [2] +p521 +tp522 +a(g189 +V\u000a +tp523 +a(g189 +V +p524 +tp525 +a(g111 +VGLOBAL +p526 +tp527 +a(g189 +V +tp528 +a(g73 +V_selfptr +p529 +tp530 +a(g202 +V: +tp531 +a(g73 +Vdata +p532 +tp533 +a(g189 +V +tp534 +a(g318 +V4 +tp535 +a(g189 +V +tp536 +a(g7 +V; [2] +p537 +tp538 +a(g189 +V\u000a +tp539 +a(g189 +V +p540 +tp541 +a(g111 +VGLOBAL +p542 +tp543 +a(g189 +V +tp544 +a(g73 +V_integer +p545 +tp546 +a(g202 +V: +tp547 +a(g73 +Vdata +p548 +tp549 +a(g189 +V +tp550 +a(g318 +V4 +tp551 +a(g189 +V +tp552 +a(g7 +V; [3] +p553 +tp554 +a(g189 +V\u000a +tp555 +a(g189 +V +p556 +tp557 +a(g111 +VEXTERN +p558 +tp559 +a(g189 +V +tp560 +a(g73 +V_printf +p561 +tp562 +a(g189 +V +tp563 +a(g7 +V; [10] +p564 +tp565 +a(g189 +V\u000a +tp566 +a(g189 +V +p567 +tp568 +a(g111 +VCOMMON +p569 +tp570 +a(g189 +V +tp571 +a(g73 +V_commvar +p572 +tp573 +a(g189 +V +tp574 +a(g318 +V4 +tp575 +a(g189 +V +tp576 +a(g7 +V; [7] +p577 +tp578 +a(g189 +V\u000a\u000a +p579 +tp580 +a(g189 +V +p581 +tp582 +a(g111 +VSECTION +p583 +tp584 +a(g189 +V +tp585 +a(g73 +V.text +p586 +tp587 +a(g189 +V\u000a\u000a +p588 +tp589 +a(g7 +V; prototype: long lrotate(long x, int num); +p590 +tp591 +a(g189 +V\u000a +tp592 +a(g45 +V_lrotate: +p593 +tp594 +a(g189 +V +p595 +tp596 +a(g7 +V; [1] +p597 +tp598 +a(g189 +V\u000a +tp599 +a(g189 +V +p600 +tp601 +a(g21 +Vpush +p602 +tp603 +a(g189 +V +tp604 +a(g57 +Vebp +p605 +tp606 +a(g189 +V\u000a +tp607 +a(g189 +V +p608 +tp609 +a(g21 +Vmov +p610 +tp611 +a(g189 +V +tp612 +a(g57 +Vebp +p613 +tp614 +a(g202 +V, +tp615 +a(g57 +Vesp +p616 +tp617 +a(g189 +V\u000a +tp618 +a(g189 +V +p619 +tp620 +a(g21 +Vmov +p621 +tp622 +a(g189 +V +tp623 +a(g57 +Veax +p624 +tp625 +a(g202 +V,[ +p626 +tp627 +a(g57 +Vebp +p628 +tp629 +a(g343 +V+ +tp630 +a(g318 +V8 +tp631 +a(g202 +V] +tp632 +a(g189 +V\u000a +tp633 +a(g189 +V +p634 +tp635 +a(g21 +Vmov +p636 +tp637 +a(g189 +V +tp638 +a(g57 +Vecx +p639 +tp640 +a(g202 +V,[ +p641 +tp642 +a(g57 +Vebp +p643 +tp644 +a(g343 +V+ +tp645 +a(g318 +V12 +p646 +tp647 +a(g202 +V] +tp648 +a(g189 +V\u000a +tp649 +a(g21 +V.label +p650 +tp651 +a(g189 +V +p652 +tp653 +a(g73 +Vrol +p654 +tp655 +a(g189 +V +tp656 +a(g57 +Veax +p657 +tp658 +a(g202 +V, +tp659 +a(g318 +V1 +tp660 +a(g189 +V +p661 +tp662 +a(g7 +V; [4] [8] +p663 +tp664 +a(g189 +V\u000a +tp665 +a(g189 +V +p666 +tp667 +a(g21 +Vloop +p668 +tp669 +a(g189 +V +tp670 +a(g73 +V.label +p671 +tp672 +a(g189 +V +p673 +tp674 +a(g7 +V; [9] [12] +p675 +tp676 +a(g189 +V\u000a +tp677 +a(g189 +V +p678 +tp679 +a(g21 +Vmov +p680 +tp681 +a(g189 +V +tp682 +a(g57 +Vesp +p683 +tp684 +a(g202 +V, +tp685 +a(g57 +Vebp +p686 +tp687 +a(g189 +V\u000a +tp688 +a(g189 +V +p689 +tp690 +a(g21 +Vpop +p691 +tp692 +a(g189 +V +tp693 +a(g57 +Vebp +p694 +tp695 +a(g189 +V\u000a +tp696 +a(g189 +V +p697 +tp698 +a(g21 +Vret +p699 +tp700 +a(g189 +V\u000a\u000a +p701 +tp702 +a(g7 +V; prototype: void greet(void); +p703 +tp704 +a(g189 +V\u000a +tp705 +a(g21 +V_greet +p706 +tp707 +a(g189 +V +p708 +tp709 +a(g73 +Vpush +p710 +tp711 +a(g189 +V +tp712 +a(g57 +Vebx +p713 +tp714 +a(g189 +V +p715 +tp716 +a(g7 +V; we'll use EBX for GOT, so save it +p717 +tp718 +a(g189 +V\u000a +tp719 +a(g189 +V +p720 +tp721 +a(g21 +Vcall +p722 +tp723 +a(g189 +V +tp724 +a(g73 +V.getgot +p725 +tp726 +a(g189 +V\u000a +tp727 +a(g45 +V.getgot: +p728 +tp729 +a(g189 +V +p730 +tp731 +a(g21 +Vpop +p732 +tp733 +a(g189 +V +tp734 +a(g57 +Vebx +p735 +tp736 +a(g189 +V\u000a +tp737 +a(g189 +V +p738 +tp739 +a(g21 +Vadd +p740 +tp741 +a(g189 +V +tp742 +a(g57 +Vebx +p743 +tp744 +a(g202 +V, +tp745 +a(g73 +V__GLOBAL_OFFSET_TABLE_ +p746 +tp747 +a(g189 +V +tp748 +a(g343 +V+ +tp749 +a(g189 +V +tp750 +a(g117 +V$$ +p751 +tp752 +a(g189 +V +tp753 +a(g343 +V- +tp754 +a(g189 +V +tp755 +a(g73 +V.getgot +p756 +tp757 +a(g189 +V +tp758 +a(g347 +Vwrt +p759 +tp760 +a(g189 +V +tp761 +a(g73 +V..gotpc +p762 +tp763 +a(g189 +V\u000a +tp764 +a(g189 +V +p765 +tp766 +a(g21 +Vmov +p767 +tp768 +a(g189 +V +tp769 +a(g57 +Veax +p770 +tp771 +a(g202 +V,[ +p772 +tp773 +a(g57 +Vebx +p774 +tp775 +a(g343 +V+ +tp776 +a(g73 +V_integer +p777 +tp778 +a(g189 +V +tp779 +a(g347 +Vwrt +p780 +tp781 +a(g189 +V +tp782 +a(g73 +V..got +p783 +tp784 +a(g202 +V] +tp785 +a(g189 +V +tp786 +a(g7 +V; [14] +p787 +tp788 +a(g189 +V\u000a +tp789 +a(g189 +V +p790 +tp791 +a(g21 +Vmov +p792 +tp793 +a(g189 +V +tp794 +a(g57 +Veax +p795 +tp796 +a(g202 +V,[ +p797 +tp798 +a(g57 +Veax +p799 +tp800 +a(g202 +V] +tp801 +a(g189 +V\u000a +tp802 +a(g189 +V +p803 +tp804 +a(g21 +Vinc +p805 +tp806 +a(g189 +V +tp807 +a(g57 +Veax +p808 +tp809 +a(g189 +V\u000a +tp810 +a(g189 +V +p811 +tp812 +a(g21 +Vmov +p813 +tp814 +a(g189 +V +tp815 +a(g202 +V[ +tp816 +a(g57 +Vebx +p817 +tp818 +a(g343 +V+ +tp819 +a(g73 +Vlocalint +p820 +tp821 +a(g189 +V +tp822 +a(g347 +Vwrt +p823 +tp824 +a(g189 +V +tp825 +a(g73 +V..gotoff +p826 +tp827 +a(g202 +V], +p828 +tp829 +a(g57 +Veax +p830 +tp831 +a(g189 +V +tp832 +a(g7 +V; [14] +p833 +tp834 +a(g189 +V\u000a +tp835 +a(g189 +V +p836 +tp837 +a(g21 +Vmov +p838 +tp839 +a(g189 +V +tp840 +a(g57 +Veax +p841 +tp842 +a(g202 +V,[ +p843 +tp844 +a(g57 +Vebx +p845 +tp846 +a(g343 +V+ +tp847 +a(g73 +V_commvar +p848 +tp849 +a(g189 +V +tp850 +a(g347 +Vwrt +p851 +tp852 +a(g189 +V +tp853 +a(g73 +V..got +p854 +tp855 +a(g202 +V] +tp856 +a(g189 +V\u000a +tp857 +a(g189 +V +p858 +tp859 +a(g21 +Vpush +p860 +tp861 +a(g189 +V +tp862 +a(g139 +Vdword +p863 +tp864 +a(g189 +V +tp865 +a(g202 +V[ +tp866 +a(g57 +Veax +p867 +tp868 +a(g202 +V] +tp869 +a(g189 +V\u000a +tp870 +a(g189 +V +p871 +tp872 +a(g21 +Vmov +p873 +tp874 +a(g189 +V +tp875 +a(g57 +Veax +p876 +tp877 +a(g202 +V,[ +p878 +tp879 +a(g57 +Vebx +p880 +tp881 +a(g343 +V+ +tp882 +a(g73 +Vlocalptr +p883 +tp884 +a(g189 +V +tp885 +a(g347 +Vwrt +p886 +tp887 +a(g189 +V +tp888 +a(g73 +V..gotoff +p889 +tp890 +a(g202 +V] +tp891 +a(g189 +V +tp892 +a(g7 +V; [13] +p893 +tp894 +a(g189 +V\u000a +tp895 +a(g189 +V +p896 +tp897 +a(g21 +Vpush +p898 +tp899 +a(g189 +V +tp900 +a(g139 +Vdword +p901 +tp902 +a(g189 +V +tp903 +a(g202 +V[ +tp904 +a(g57 +Veax +p905 +tp906 +a(g202 +V] +tp907 +a(g189 +V\u000a +tp908 +a(g189 +V +p909 +tp910 +a(g21 +Vmov +p911 +tp912 +a(g189 +V +tp913 +a(g57 +Veax +p914 +tp915 +a(g202 +V,[ +p916 +tp917 +a(g57 +Vebx +p918 +tp919 +a(g343 +V+ +tp920 +a(g73 +V_integer +p921 +tp922 +a(g189 +V +tp923 +a(g347 +Vwrt +p924 +tp925 +a(g189 +V +tp926 +a(g73 +V..got +p927 +tp928 +a(g202 +V] +tp929 +a(g189 +V +tp930 +a(g7 +V; [1] [14] +p931 +tp932 +a(g189 +V\u000a +tp933 +a(g189 +V +p934 +tp935 +a(g21 +Vpush +p936 +tp937 +a(g189 +V +tp938 +a(g139 +Vdword +p939 +tp940 +a(g189 +V +tp941 +a(g202 +V[ +tp942 +a(g57 +Veax +p943 +tp944 +a(g202 +V] +tp945 +a(g189 +V\u000a +tp946 +a(g189 +V +p947 +tp948 +a(g21 +Vlea +p949 +tp950 +a(g189 +V +tp951 +a(g57 +Veax +p952 +tp953 +a(g202 +V,[ +p954 +tp955 +a(g57 +Vebx +p956 +tp957 +a(g343 +V+ +tp958 +a(g73 +V_printfstr +p959 +tp960 +a(g189 +V +tp961 +a(g347 +Vwrt +p962 +tp963 +a(g189 +V +tp964 +a(g73 +V..gotoff +p965 +tp966 +a(g202 +V] +tp967 +a(g189 +V\u000a +tp968 +a(g189 +V +p969 +tp970 +a(g21 +Vpush +p971 +tp972 +a(g189 +V +tp973 +a(g57 +Veax +p974 +tp975 +a(g189 +V +p976 +tp977 +a(g7 +V; [13] +p978 +tp979 +a(g189 +V\u000a +tp980 +a(g189 +V +p981 +tp982 +a(g21 +Vcall +p983 +tp984 +a(g189 +V +tp985 +a(g73 +V_printf +p986 +tp987 +a(g189 +V +tp988 +a(g347 +Vwrt +p989 +tp990 +a(g189 +V +tp991 +a(g73 +V..plt +p992 +tp993 +a(g189 +V +tp994 +a(g7 +V; [11] +p995 +tp996 +a(g189 +V\u000a +tp997 +a(g189 +V +p998 +tp999 +a(g21 +Vadd +p1000 +tp1001 +a(g189 +V +tp1002 +a(g57 +Vesp +p1003 +tp1004 +a(g202 +V, +tp1005 +a(g318 +V16 +p1006 +tp1007 +a(g189 +V\u000a +tp1008 +a(g189 +V +p1009 +tp1010 +a(g21 +Vpop +p1011 +tp1012 +a(g189 +V +tp1013 +a(g57 +Vebx +p1014 +tp1015 +a(g189 +V\u000a +tp1016 +a(g189 +V +p1017 +tp1018 +a(g21 +Vret +p1019 +tp1020 +a(g189 +V\u000a\u000a +p1021 +tp1022 +a(g189 +V +p1023 +tp1024 +a(g111 +VSECTION +p1025 +tp1026 +a(g189 +V +tp1027 +a(g73 +V.data +p1028 +tp1029 +a(g189 +V\u000a\u000a +p1030 +tp1031 +a(g7 +V; a string +p1032 +tp1033 +a(g189 +V\u000a +tp1034 +a(g21 +V_asmstr +p1035 +tp1036 +a(g189 +V +p1037 +tp1038 +a(g73 +Vdb +p1039 +tp1040 +a(g189 +V +tp1041 +a(g226 +V'hello, world' +p1042 +tp1043 +a(g202 +V, +tp1044 +a(g189 +V +tp1045 +a(g318 +V0 +tp1046 +a(g189 +V +tp1047 +a(g7 +V; [2] +p1048 +tp1049 +a(g189 +V\u000a +tp1050 +a(g21 +V.end +p1051 +tp1052 +a(g189 +V\u000a\u000a +p1053 +tp1054 +a(g7 +V; a string for Printf +p1055 +tp1056 +a(g189 +V\u000a +tp1057 +a(g21 +V_printfstr +p1058 +tp1059 +a(g189 +V +tp1060 +a(g73 +Vdb +p1061 +tp1062 +a(g189 +V +tp1063 +a(g226 +V"integer==%d, localint==%d, commvar=%d" +p1064 +tp1065 +a(g189 +V\u000a +tp1066 +a(g189 +V +p1067 +tp1068 +a(g131 +Vdb +p1069 +tp1070 +a(g189 +V +tp1071 +a(g318 +V10 +p1072 +tp1073 +a(g202 +V, +tp1074 +a(g189 +V +tp1075 +a(g318 +V0 +tp1076 +a(g189 +V\u000a\u000a +p1077 +tp1078 +a(g7 +V; some pointers +p1079 +tp1080 +a(g189 +V\u000a +tp1081 +a(g21 +Vlocalptr +p1082 +tp1083 +a(g189 +V +p1084 +tp1085 +a(g73 +Vdd +p1086 +tp1087 +a(g189 +V +tp1088 +a(g73 +Vlocalint +p1089 +tp1090 +a(g189 +V +p1091 +tp1092 +a(g7 +V; [5] [17] +p1093 +tp1094 +a(g189 +V\u000a +tp1095 +a(g21 +V_textptr +p1096 +tp1097 +a(g189 +V +p1098 +tp1099 +a(g73 +Vdd +p1100 +tp1101 +a(g189 +V +tp1102 +a(g73 +V_greet +p1103 +tp1104 +a(g189 +V +tp1105 +a(g347 +Vwrt +p1106 +tp1107 +a(g189 +V +tp1108 +a(g73 +V..sym +p1109 +tp1110 +a(g189 +V +tp1111 +a(g7 +V; [15] +p1112 +tp1113 +a(g189 +V\u000a +tp1114 +a(g21 +V_selfptr +p1115 +tp1116 +a(g189 +V +p1117 +tp1118 +a(g73 +Vdd +p1119 +tp1120 +a(g189 +V +tp1121 +a(g73 +V_selfptr +p1122 +tp1123 +a(g189 +V +tp1124 +a(g347 +Vwrt +p1125 +tp1126 +a(g189 +V +tp1127 +a(g73 +V..sym +p1128 +tp1129 +a(g189 +V +tp1130 +a(g7 +V; [16] +p1131 +tp1132 +a(g189 +V\u000a\u000a +p1133 +tp1134 +a(g189 +V +p1135 +tp1136 +a(g111 +VSECTION +p1137 +tp1138 +a(g189 +V +tp1139 +a(g73 +V.bss +p1140 +tp1141 +a(g189 +V\u000a\u000a +p1142 +tp1143 +a(g7 +V; an integer +p1144 +tp1145 +a(g189 +V\u000a +tp1146 +a(g21 +V_integer +p1147 +tp1148 +a(g189 +V +p1149 +tp1150 +a(g73 +Vresd +p1151 +tp1152 +a(g189 +V +tp1153 +a(g318 +V1 +tp1154 +a(g189 +V +p1155 +tp1156 +a(g7 +V; [3] +p1157 +tp1158 +a(g189 +V\u000a\u000a +p1159 +tp1160 +a(g7 +V; a local integer +p1161 +tp1162 +a(g189 +V\u000a +tp1163 +a(g21 +Vlocalint +p1164 +tp1165 +a(g189 +V +p1166 +tp1167 +a(g73 +Vresd +p1168 +tp1169 +a(g189 +V +tp1170 +a(g318 +V1 +tp1171 +a(g189 +V +p1172 +tp1173 +a(g7 +V; [6] +p1174 +tp1175 +a(g189 +V\u000a +tp1176 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/nasm_objexe.asm b/tests/examplefiles/output/nasm_objexe.asm new file mode 100644 index 0000000..5fb391f --- /dev/null +++ b/tests/examplefiles/output/nasm_objexe.asm @@ -0,0 +1,1815 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV; Demonstration of how to write an entire .EXE format program as a .OBJ +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g7 +V; file to be linked. Tested with the VAL free linker. +p370 +tp371 +a(g189 +V\u000a +tp372 +a(g7 +V; To build: +p373 +tp374 +a(g189 +V\u000a +tp375 +a(g7 +V; nasm -fobj objexe.asm +p376 +tp377 +a(g189 +V\u000a +tp378 +a(g7 +V; val objexe.obj,objexe.exe; +p379 +tp380 +a(g189 +V\u000a +tp381 +a(g7 +V; To test: +p382 +tp383 +a(g189 +V\u000a +tp384 +a(g7 +V; objexe +p385 +tp386 +a(g189 +V\u000a +tp387 +a(g7 +V; (should print `hello, world') +p388 +tp389 +a(g189 +V\u000a +tp390 +a(g189 +V +p391 +tp392 +a(g189 +V\u000a +tp393 +a(g189 +V +p394 +tp395 +a(g111 +Vsegment +p396 +tp397 +a(g189 +V +tp398 +a(g73 +Vcode +p399 +tp400 +a(g189 +V\u000a\u000a +p401 +tp402 +a(g45 +V..start: +p403 +tp404 +a(g189 +V +p405 +tp406 +a(g21 +Vmov +p407 +tp408 +a(g189 +V +tp409 +a(g57 +Vax +p410 +tp411 +a(g202 +V, +tp412 +a(g73 +Vdata +p413 +tp414 +a(g189 +V\u000a +tp415 +a(g189 +V +p416 +tp417 +a(g21 +Vmov +p418 +tp419 +a(g189 +V +tp420 +a(g57 +Vds +p421 +tp422 +a(g202 +V, +tp423 +a(g57 +Vax +p424 +tp425 +a(g189 +V\u000a +tp426 +a(g189 +V +p427 +tp428 +a(g21 +Vmov +p429 +tp430 +a(g189 +V +tp431 +a(g57 +Vax +p432 +tp433 +a(g202 +V, +tp434 +a(g73 +Vstack +p435 +tp436 +a(g189 +V\u000a +tp437 +a(g189 +V +p438 +tp439 +a(g21 +Vmov +p440 +tp441 +a(g189 +V +tp442 +a(g57 +Vss +p443 +tp444 +a(g202 +V, +tp445 +a(g57 +Vax +p446 +tp447 +a(g189 +V\u000a +tp448 +a(g189 +V +p449 +tp450 +a(g21 +Vmov +p451 +tp452 +a(g189 +V +tp453 +a(g57 +Vsp +p454 +tp455 +a(g202 +V, +tp456 +a(g73 +Vstacktop +p457 +tp458 +a(g189 +V\u000a\u000a +p459 +tp460 +a(g189 +V +p461 +tp462 +a(g21 +Vmov +p463 +tp464 +a(g189 +V +tp465 +a(g57 +Vdx +p466 +tp467 +a(g202 +V, +tp468 +a(g73 +Vhello +p469 +tp470 +a(g189 +V\u000a +tp471 +a(g189 +V +p472 +tp473 +a(g21 +Vmov +p474 +tp475 +a(g189 +V +tp476 +a(g57 +Vah +p477 +tp478 +a(g202 +V, +tp479 +a(g318 +V9 +tp480 +a(g189 +V\u000a +tp481 +a(g189 +V +p482 +tp483 +a(g21 +Vint +p484 +tp485 +a(g189 +V +tp486 +a(g314 +V0x21 +p487 +tp488 +a(g189 +V\u000a\u000a +p489 +tp490 +a(g189 +V +p491 +tp492 +a(g21 +Vmov +p493 +tp494 +a(g189 +V +tp495 +a(g57 +Vax +p496 +tp497 +a(g202 +V, +tp498 +a(g314 +V0x4c00 +p499 +tp500 +a(g189 +V\u000a +tp501 +a(g189 +V +p502 +tp503 +a(g21 +Vint +p504 +tp505 +a(g189 +V +tp506 +a(g314 +V0x21 +p507 +tp508 +a(g189 +V\u000a\u000a +p509 +tp510 +a(g189 +V +p511 +tp512 +a(g111 +Vsegment +p513 +tp514 +a(g189 +V +tp515 +a(g73 +Vdata +p516 +tp517 +a(g189 +V\u000a +tp518 +a(g45 +Vhello: +p519 +tp520 +a(g189 +V +p521 +tp522 +a(g131 +Vdb +p523 +tp524 +a(g189 +V +tp525 +a(g226 +V'hello, world' +p526 +tp527 +a(g202 +V, +tp528 +a(g189 +V +tp529 +a(g318 +V13 +p530 +tp531 +a(g202 +V, +tp532 +a(g189 +V +tp533 +a(g318 +V10 +p534 +tp535 +a(g202 +V, +tp536 +a(g189 +V +tp537 +a(g226 +V'$' +p538 +tp539 +a(g189 +V\u000a\u000a +p540 +tp541 +a(g189 +V +p542 +tp543 +a(g111 +Vsegment +p544 +tp545 +a(g189 +V +tp546 +a(g73 +Vstack +p547 +tp548 +a(g189 +V +tp549 +a(g73 +Vstack +p550 +tp551 +a(g189 +V\u000a +tp552 +a(g189 +V +p553 +tp554 +a(g131 +Vresb +p555 +tp556 +a(g189 +V +tp557 +a(g318 +V64 +p558 +tp559 +a(g189 +V\u000a +tp560 +a(g45 +Vstacktop: +p561 +tp562 +a(g189 +V\u000a +tp563 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/nginx_nginx.conf b/tests/examplefiles/output/nginx_nginx.conf new file mode 100644 index 0000000..6ff7284 --- /dev/null +++ b/tests/examplefiles/output/nginx_nginx.conf @@ -0,0 +1,2780 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV#user nobody;\u000a +p367 +tp368 +a(g111 +Vworker_processes +p369 +tp370 +a(g189 +V +p371 +tp372 +a(g318 +V1 +tp373 +a(g202 +V; +tp374 +a(g189 +V\u000a\u000a +p375 +tp376 +a(g7 +V#error_log logs/error.log;\u000a +p377 +tp378 +a(g7 +V#error_log logs/error.log notice;\u000a +p379 +tp380 +a(g7 +V#error_log logs/error.log info;\u000a +p381 +tp382 +a(g189 +V\u000a +tp383 +a(g7 +V#pid logs/nginx.pid;\u000a +p384 +tp385 +a(g189 +V\u000a\u000a +p386 +tp387 +a(g111 +Vevents +p388 +tp389 +a(g189 +V +tp390 +a(g202 +V{ +tp391 +a(g189 +V\u000a +p392 +tp393 +a(g120 +Vworker_connections +p394 +tp395 +a(g189 +V +p396 +tp397 +a(g318 +V1024 +p398 +tp399 +a(g202 +V; +tp400 +a(g189 +V\u000a +tp401 +a(g202 +V} +tp402 +a(g189 +V\u000a\u000a\u000a +p403 +tp404 +a(g111 +Vhttp +p405 +tp406 +a(g189 +V +tp407 +a(g202 +V{ +tp408 +a(g189 +V\u000a +p409 +tp410 +a(g120 +Vinclude +p411 +tp412 +a(g189 +V +p413 +tp414 +a(g226 +Vmime.types +p415 +tp416 +a(g202 +V; +tp417 +a(g189 +V\u000a +p418 +tp419 +a(g120 +Vdefault_type +p420 +tp421 +a(g189 +V +p422 +tp423 +a(g226 +Vapplication/octet-stream +p424 +tp425 +a(g202 +V; +tp426 +a(g189 +V\u000a\u000a +p427 +tp428 +a(g120 +Vlog_format +p429 +tp430 +a(g189 +V +p431 +tp432 +a(g226 +Vmain +p433 +tp434 +a(g189 +V +p435 +tp436 +a(g226 +V' +tp437 +a(g73 +V$remote_addr +p438 +tp439 +a(g189 +V +tp440 +a(g226 +V- +tp441 +a(g189 +V +tp442 +a(g73 +V$remote_user +p443 +tp444 +a(g189 +V +tp445 +a(g226 +V[ +tp446 +a(g73 +V$time_local] +p447 +tp448 +a(g189 +V +tp449 +a(g73 +V$request +p450 +tp451 +a(g189 +V +tp452 +a(g226 +V' +tp453 +a(g189 +V\u000a +p454 +tp455 +a(g226 +V'" +p456 +tp457 +a(g73 +V$status" +p458 +tp459 +a(g189 +V +tp460 +a(g73 +V$body_bytes_sent +p461 +tp462 +a(g189 +V +tp463 +a(g226 +V" +tp464 +a(g73 +V$http_referer" +p465 +tp466 +a(g189 +V +tp467 +a(g226 +V' +tp468 +a(g189 +V\u000a +p469 +tp470 +a(g226 +V'" +p471 +tp472 +a(g73 +V$http_user_agent" +p473 +tp474 +a(g189 +V +tp475 +a(g226 +V" +tp476 +a(g73 +V$http_x_forwarded_for"' +p477 +tp478 +a(g202 +V; +tp479 +a(g189 +V\u000a\u000a +p480 +tp481 +a(g7 +V#access_log logs/access.log main;\u000a +p482 +tp483 +a(g189 +V\u000a +p484 +tp485 +a(g120 +Vsendfile +p486 +tp487 +a(g189 +V +p488 +tp489 +a(g33 +Von +p490 +tp491 +a(g202 +V; +tp492 +a(g189 +V\u000a +p493 +tp494 +a(g7 +V#tcp_nopush on;\u000a +p495 +tp496 +a(g189 +V\u000a +p497 +tp498 +a(g7 +V#keepalive_timeout 0;\u000a +p499 +tp500 +a(g189 +V +p501 +tp502 +a(g120 +Vkeepalive_timeout +p503 +tp504 +a(g189 +V +p505 +tp506 +a(g318 +V65 +p507 +tp508 +a(g202 +V; +tp509 +a(g189 +V\u000a\u000a +p510 +tp511 +a(g7 +V#gzip on;\u000a +p512 +tp513 +a(g189 +V\u000a +p514 +tp515 +a(g120 +Vserver +p516 +tp517 +a(g189 +V +tp518 +a(g202 +V{ +tp519 +a(g189 +V\u000a +p520 +tp521 +a(g120 +Vlisten +p522 +tp523 +a(g189 +V +p524 +tp525 +a(g318 +V80 +p526 +tp527 +a(g202 +V; +tp528 +a(g189 +V\u000a +p529 +tp530 +a(g120 +Vserver_name +p531 +tp532 +a(g189 +V +p533 +tp534 +a(g226 +Vlocalhost +p535 +tp536 +a(g202 +V; +tp537 +a(g189 +V\u000a\u000a +p538 +tp539 +a(g120 +Vcharset +p540 +tp541 +a(g189 +V +tp542 +a(g226 +Vkoi8-r +p543 +tp544 +a(g202 +V; +tp545 +a(g189 +V\u000a\u000a +p546 +tp547 +a(g7 +V#access_log logs/host.access.log main;\u000a +p548 +tp549 +a(g189 +V\u000a +p550 +tp551 +a(g120 +Vlocation +p552 +tp553 +a(g189 +V +tp554 +a(g226 +V/ +tp555 +a(g189 +V +tp556 +a(g202 +V{ +tp557 +a(g189 +V\u000a +p558 +tp559 +a(g120 +Vroot +p560 +tp561 +a(g189 +V +p562 +tp563 +a(g226 +Vhtml +p564 +tp565 +a(g202 +V; +tp566 +a(g189 +V\u000a +p567 +tp568 +a(g120 +Vindex +p569 +tp570 +a(g189 +V +p571 +tp572 +a(g226 +Vindex.html +p573 +tp574 +a(g189 +V +tp575 +a(g226 +Vindex.htm +p576 +tp577 +a(g202 +V; +tp578 +a(g189 +V\u000a +p579 +tp580 +a(g202 +V} +tp581 +a(g189 +V\u000a\u000a +p582 +tp583 +a(g7 +V#error_page 404 /404.html;\u000a +p584 +tp585 +a(g189 +V\u000a +p586 +tp587 +a(g7 +V# redirect server error pages to the static page /50x.html\u000a +p588 +tp589 +a(g189 +V +p590 +tp591 +a(g7 +V#\u000a +p592 +tp593 +a(g189 +V +p594 +tp595 +a(g120 +Verror_page +p596 +tp597 +a(g189 +V +p598 +tp599 +a(g318 +V500 +p600 +tp601 +a(g189 +V +tp602 +a(g318 +V502 +p603 +tp604 +a(g189 +V +tp605 +a(g318 +V503 +p606 +tp607 +a(g189 +V +tp608 +a(g318 +V504 +p609 +tp610 +a(g189 +V +p611 +tp612 +a(g226 +V/50x.html +p613 +tp614 +a(g202 +V; +tp615 +a(g189 +V\u000a +p616 +tp617 +a(g120 +Vlocation +p618 +tp619 +a(g189 +V +tp620 +a(g202 +V= +tp621 +a(g189 +V +tp622 +a(g226 +V/50x.html +p623 +tp624 +a(g189 +V +tp625 +a(g202 +V{ +tp626 +a(g189 +V\u000a +p627 +tp628 +a(g120 +Vroot +p629 +tp630 +a(g189 +V +p631 +tp632 +a(g226 +Vhtml +p633 +tp634 +a(g202 +V; +tp635 +a(g189 +V\u000a +p636 +tp637 +a(g202 +V} +tp638 +a(g189 +V\u000a\u000a +p639 +tp640 +a(g7 +V# proxy the PHP scripts to Apache listening on 127.0.0.1:80\u000a +p641 +tp642 +a(g189 +V +p643 +tp644 +a(g7 +V#\u000a +p645 +tp646 +a(g189 +V +p647 +tp648 +a(g120 +Vlocation +p649 +tp650 +a(g189 +V +tp651 +a(g202 +V~ +tp652 +a(g189 +V +tp653 +a(g229 +V\u005c.php$ +p654 +tp655 +a(g189 +V +tp656 +a(g202 +V{ +tp657 +a(g189 +V\u000a +p658 +tp659 +a(g120 +Vproxy_pass +p660 +tp661 +a(g189 +V +p662 +tp663 +a(g226 +Vhttp://127.0.0.1 +p664 +tp665 +a(g202 +V; +tp666 +a(g189 +V\u000a +p667 +tp668 +a(g202 +V} +tp669 +a(g189 +V\u000a\u000a +p670 +tp671 +a(g7 +V# pass the PHP scripts to FastCGI server listening on 127.0.0.1:9000\u000a +p672 +tp673 +a(g189 +V +p674 +tp675 +a(g7 +V#\u000a +p676 +tp677 +a(g189 +V +p678 +tp679 +a(g120 +Vlocation +p680 +tp681 +a(g189 +V +tp682 +a(g202 +V~ +tp683 +a(g189 +V +tp684 +a(g229 +V\u005c.php$ +p685 +tp686 +a(g189 +V +tp687 +a(g202 +V{ +tp688 +a(g189 +V\u000a +p689 +tp690 +a(g120 +Vroot +p691 +tp692 +a(g189 +V +p693 +tp694 +a(g226 +Vhtml +p695 +tp696 +a(g202 +V; +tp697 +a(g189 +V\u000a +p698 +tp699 +a(g120 +Vfastcgi_pass +p700 +tp701 +a(g189 +V +p702 +tp703 +a(g18 +V127.0.0.1 +p704 +tp705 +a(g202 +V: +tp706 +a(g318 +V9000 +p707 +tp708 +a(g202 +V; +tp709 +a(g189 +V\u000a +p710 +tp711 +a(g120 +Vfastcgi_index +p712 +tp713 +a(g189 +V +p714 +tp715 +a(g226 +Vindex.php +p716 +tp717 +a(g202 +V; +tp718 +a(g189 +V\u000a +p719 +tp720 +a(g120 +Vfastcgi_param +p721 +tp722 +a(g189 +V +p723 +tp724 +a(g226 +VSCRIPT_FILENAME +p725 +tp726 +a(g189 +V +p727 +tp728 +a(g226 +V/scripts +p729 +tp730 +a(g73 +V$fastcgi_script_name +p731 +tp732 +a(g202 +V; +tp733 +a(g189 +V\u000a +p734 +tp735 +a(g120 +Vinclude +p736 +tp737 +a(g189 +V +p738 +tp739 +a(g226 +Vfastcgi_params +p740 +tp741 +a(g202 +V; +tp742 +a(g189 +V\u000a +p743 +tp744 +a(g202 +V} +tp745 +a(g189 +V\u000a\u000a +p746 +tp747 +a(g7 +V# deny access to .htaccess files, if Apache's document root\u000a +p748 +tp749 +a(g189 +V +p750 +tp751 +a(g7 +V# concurs with nginx's one\u000a +p752 +tp753 +a(g189 +V +p754 +tp755 +a(g7 +V#\u000a +p756 +tp757 +a(g189 +V +p758 +tp759 +a(g120 +Vlocation +p760 +tp761 +a(g189 +V +tp762 +a(g202 +V~ +tp763 +a(g189 +V +tp764 +a(g229 +V/\u005c.ht +p765 +tp766 +a(g189 +V +tp767 +a(g202 +V{ +tp768 +a(g189 +V\u000a +p769 +tp770 +a(g120 +Vdeny +p771 +tp772 +a(g189 +V +p773 +tp774 +a(g226 +Vall +p775 +tp776 +a(g202 +V; +tp777 +a(g189 +V\u000a +p778 +tp779 +a(g202 +V} +tp780 +a(g189 +V\u000a +p781 +tp782 +a(g202 +V} +tp783 +a(g189 +V\u000a\u000a\u000a +p784 +tp785 +a(g7 +V# another virtual host using mix of IP-, name-, and port-based configuration\u000a +p786 +tp787 +a(g189 +V +p788 +tp789 +a(g7 +V#\u000a +p790 +tp791 +a(g189 +V +p792 +tp793 +a(g120 +Vserver +p794 +tp795 +a(g189 +V +tp796 +a(g202 +V{ +tp797 +a(g189 +V\u000a +p798 +tp799 +a(g120 +Vlisten +p800 +tp801 +a(g189 +V +p802 +tp803 +a(g318 +V8000 +p804 +tp805 +a(g202 +V; +tp806 +a(g189 +V\u000a +p807 +tp808 +a(g120 +Vlisten +p809 +tp810 +a(g189 +V +p811 +tp812 +a(g18 +Vsomename +p813 +tp814 +a(g202 +V: +tp815 +a(g318 +V8080 +p816 +tp817 +a(g202 +V; +tp818 +a(g189 +V\u000a +p819 +tp820 +a(g120 +Vserver_name +p821 +tp822 +a(g189 +V +p823 +tp824 +a(g226 +Vsomename +p825 +tp826 +a(g189 +V +p827 +tp828 +a(g226 +Valias +p829 +tp830 +a(g189 +V +p831 +tp832 +a(g226 +Vanother.alias +p833 +tp834 +a(g202 +V; +tp835 +a(g189 +V\u000a\u000a +p836 +tp837 +a(g120 +Vlocation +p838 +tp839 +a(g189 +V +tp840 +a(g226 +V/ +tp841 +a(g189 +V +tp842 +a(g202 +V{ +tp843 +a(g189 +V\u000a +p844 +tp845 +a(g120 +Vroot +p846 +tp847 +a(g189 +V +p848 +tp849 +a(g226 +Vhtml +p850 +tp851 +a(g202 +V; +tp852 +a(g189 +V\u000a +p853 +tp854 +a(g120 +Vindex +p855 +tp856 +a(g189 +V +p857 +tp858 +a(g226 +Vindex.html +p859 +tp860 +a(g189 +V +tp861 +a(g226 +Vindex.htm +p862 +tp863 +a(g202 +V; +tp864 +a(g189 +V\u000a +p865 +tp866 +a(g202 +V} +tp867 +a(g189 +V\u000a +p868 +tp869 +a(g202 +V} +tp870 +a(g189 +V\u000a\u000a\u000a +p871 +tp872 +a(g7 +V# HTTPS server\u000a +p873 +tp874 +a(g189 +V +p875 +tp876 +a(g7 +V#\u000a +p877 +tp878 +a(g189 +V +p879 +tp880 +a(g120 +Vserver +p881 +tp882 +a(g189 +V +tp883 +a(g202 +V{ +tp884 +a(g189 +V\u000a +p885 +tp886 +a(g120 +Vlisten +p887 +tp888 +a(g189 +V +p889 +tp890 +a(g318 +V443 +p891 +tp892 +a(g202 +V; +tp893 +a(g189 +V\u000a +p894 +tp895 +a(g120 +Vserver_name +p896 +tp897 +a(g189 +V +p898 +tp899 +a(g226 +Vlocalhost +p900 +tp901 +a(g202 +V; +tp902 +a(g189 +V\u000a\u000a +p903 +tp904 +a(g120 +Vssl +p905 +tp906 +a(g189 +V +p907 +tp908 +a(g33 +Von +p909 +tp910 +a(g202 +V; +tp911 +a(g189 +V\u000a +p912 +tp913 +a(g120 +Vssl_certificate +p914 +tp915 +a(g189 +V +p916 +tp917 +a(g226 +Vcert.pem +p918 +tp919 +a(g202 +V; +tp920 +a(g189 +V\u000a +p921 +tp922 +a(g120 +Vssl_certificate_key +p923 +tp924 +a(g189 +V +p925 +tp926 +a(g226 +Vcert.key +p927 +tp928 +a(g202 +V; +tp929 +a(g189 +V\u000a\u000a +p930 +tp931 +a(g120 +Vssl_session_timeout +p932 +tp933 +a(g189 +V +p934 +tp935 +a(g318 +V5m +p936 +tp937 +a(g202 +V; +tp938 +a(g189 +V\u000a\u000a +p939 +tp940 +a(g120 +Vssl_protocols +p941 +tp942 +a(g189 +V +p943 +tp944 +a(g226 +VSSLv2 +p945 +tp946 +a(g189 +V +tp947 +a(g226 +VSSLv3 +p948 +tp949 +a(g189 +V +tp950 +a(g226 +VTLSv1 +p951 +tp952 +a(g202 +V; +tp953 +a(g189 +V\u000a +p954 +tp955 +a(g120 +Vssl_ciphers +p956 +tp957 +a(g189 +V +p958 +tp959 +a(g226 +VALL:!ADH:!EXPORT56:RC4+RSA:+HIGH:+MEDIUM:+LOW:+SSLv2:+EXP +p960 +tp961 +a(g202 +V; +tp962 +a(g189 +V\u000a +p963 +tp964 +a(g120 +Vssl_prefer_server_ciphers +p965 +tp966 +a(g189 +V +p967 +tp968 +a(g33 +Von +p969 +tp970 +a(g202 +V; +tp971 +a(g189 +V\u000a\u000a +p972 +tp973 +a(g120 +Vlocation +p974 +tp975 +a(g189 +V +tp976 +a(g226 +V/ +tp977 +a(g189 +V +tp978 +a(g202 +V{ +tp979 +a(g189 +V\u000a +p980 +tp981 +a(g120 +Vroot +p982 +tp983 +a(g189 +V +p984 +tp985 +a(g226 +Vhtml +p986 +tp987 +a(g202 +V; +tp988 +a(g189 +V\u000a +p989 +tp990 +a(g120 +Vindex +p991 +tp992 +a(g189 +V +p993 +tp994 +a(g226 +Vindex.html +p995 +tp996 +a(g189 +V +tp997 +a(g226 +Vindex.htm +p998 +tp999 +a(g202 +V; +tp1000 +a(g189 +V\u000a +p1001 +tp1002 +a(g202 +V} +tp1003 +a(g189 +V\u000a +p1004 +tp1005 +a(g202 +V} +tp1006 +a(g189 +V\u000a\u000a +p1007 +tp1008 +a(g202 +V} +tp1009 +a(g189 +V\u000a +tp1010 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/numbers.c b/tests/examplefiles/output/numbers.c new file mode 100644 index 0000000..2642f4b --- /dev/null +++ b/tests/examplefiles/output/numbers.c @@ -0,0 +1,1644 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsS'Preproc' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag355 +ag358 +ag7 +atRp366 +sg362 +g363 +sbsbV/*\u000a * Some Number Test\u000a */ +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g189 +V\u000a +tp370 +a(g189 +V +tp371 +a(g139 +Vint +p372 +tp373 +a(g189 +V +tp374 +a(g18 +Vi +tp375 +a(g189 +V +tp376 +a(g344 +V= +tp377 +a(g189 +V +tp378 +a(g319 +V24241424 +p379 +tp380 +a(g202 +V; +tp381 +a(g189 +V\u000a +tp382 +a(g189 +V +tp383 +a(g139 +Vfloat +p384 +tp385 +a(g189 +V +tp386 +a(g18 +Vf1 +p387 +tp388 +a(g189 +V +tp389 +a(g344 +V= +tp390 +a(g189 +V +tp391 +a(g311 +V342423423.24234 +p392 +tp393 +a(g202 +V; +tp394 +a(g189 +V\u000a +tp395 +a(g189 +V +tp396 +a(g139 +Vfloat +p397 +tp398 +a(g189 +V +tp399 +a(g18 +Vf2 +p400 +tp401 +a(g189 +V +tp402 +a(g344 +V= +tp403 +a(g189 +V +tp404 +a(g311 +V25235235. +p405 +tp406 +a(g202 +V; +tp407 +a(g189 +V\u000a +tp408 +a(g189 +V +tp409 +a(g139 +Vfloat +p410 +tp411 +a(g189 +V +tp412 +a(g18 +Vf3 +p413 +tp414 +a(g189 +V +tp415 +a(g344 +V= +tp416 +a(g189 +V +tp417 +a(g311 +V.234234 +p418 +tp419 +a(g202 +V; +tp420 +a(g189 +V\u000a +tp421 +a(g189 +V +tp422 +a(g139 +Vfloat +p423 +tp424 +a(g189 +V +tp425 +a(g18 +Vf4 +p426 +tp427 +a(g189 +V +tp428 +a(g344 +V= +tp429 +a(g189 +V +tp430 +a(g311 +V234243e+34343 +p431 +tp432 +a(g202 +V; +tp433 +a(g189 +V\u000a +tp434 +a(g189 +V +tp435 +a(g139 +Vfloat +p436 +tp437 +a(g189 +V +tp438 +a(g18 +Vf5 +p439 +tp440 +a(g189 +V +tp441 +a(g344 +V= +tp442 +a(g189 +V +tp443 +a(g311 +V24234e-234 +p444 +tp445 +a(g202 +V; +tp446 +a(g189 +V\u000a +tp447 +a(g189 +V +tp448 +a(g139 +Vint +p449 +tp450 +a(g189 +V +tp451 +a(g18 +Vo +tp452 +a(g189 +V +tp453 +a(g344 +V= +tp454 +a(g189 +V +tp455 +a(g333 +V0234 +p456 +tp457 +a(g202 +V; +tp458 +a(g189 +V\u000a +tp459 +a(g189 +V +tp460 +a(g139 +Vint +p461 +tp462 +a(g189 +V +tp463 +a(g18 +Vh +tp464 +a(g189 +V +tp465 +a(g344 +V= +tp466 +a(g189 +V +tp467 +a(g315 +V0x2342 +p468 +tp469 +a(g202 +V; +tp470 +a(g189 +V\u000a +tp471 +a(g189 +V +tp472 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/objc_example.m b/tests/examplefiles/output/objc_example.m new file mode 100644 index 0000000..4f6bf71 --- /dev/null +++ b/tests/examplefiles/output/objc_example.m @@ -0,0 +1,1694 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Preproc' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsg6 +g7 +sg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag7 +ag354 +ag358 +atRp366 +sg362 +g363 +sbsbV# +tp367 +a(g7 +Vimport "Somefile.h" +p368 +tp369 +a(g7 +V\u000a +tp370 +a(g189 +V\u000a +tp371 +a(g189 +V +tp372 +a(g18 +VNSDictionary +p373 +tp374 +a(g189 +V +tp375 +a(g344 +V* +tp376 +a(g18 +Vdictionary +p377 +tp378 +a(g189 +V +tp379 +a(g344 +V= +tp380 +a(g189 +V +tp381 +a(g202 +V[ +tp382 +a(g18 +VNSDictionary +p383 +tp384 +a(g189 +V +tp385 +a(g45 +VdictionaryWithObjectsAndKeys: +p386 +tp387 +a(g189 +V\u000a +tp388 +a(g189 +V +p389 +tp390 +a(g226 +V@" +p391 +tp392 +a(g226 +Vquattuor +p393 +tp394 +a(g226 +V" +tp395 +a(g202 +V, +tp396 +a(g189 +V +tp397 +a(g226 +V@" +p398 +tp399 +a(g226 +Vfour +p400 +tp401 +a(g226 +V" +tp402 +a(g202 +V, +tp403 +a(g189 +V +tp404 +a(g226 +V@" +p405 +tp406 +a(g226 +Vquinque +p407 +tp408 +a(g226 +V" +tp409 +a(g202 +V, +tp410 +a(g189 +V +tp411 +a(g226 +V@" +p412 +tp413 +a(g226 +Vfive +p414 +tp415 +a(g226 +V" +tp416 +a(g202 +V, +tp417 +a(g189 +V +tp418 +a(g226 +V@" +p419 +tp420 +a(g226 +Vsex +p421 +tp422 +a(g226 +V" +tp423 +a(g202 +V, +tp424 +a(g189 +V +tp425 +a(g226 +V@" +p426 +tp427 +a(g226 +Vsix +p428 +tp429 +a(g226 +V" +tp430 +a(g202 +V, +tp431 +a(g189 +V +tp432 +a(g57 +Vnil +p433 +tp434 +a(g202 +V] +tp435 +a(g202 +V; +tp436 +a(g189 +V\u000a +tp437 +a(g189 +V\u000a +tp438 +a(g189 +V\u000a +tp439 +a(g189 +V +tp440 +a(g18 +VNSString +p441 +tp442 +a(g189 +V +tp443 +a(g344 +V* +tp444 +a(g18 +Vkey +p445 +tp446 +a(g202 +V; +tp447 +a(g189 +V\u000a +tp448 +a(g189 +V +tp449 +a(g111 +Vfor +p450 +tp451 +a(g189 +V +tp452 +a(g202 +V( +tp453 +a(g18 +Vkey +p454 +tp455 +a(g189 +V +tp456 +a(g111 +Vin +p457 +tp458 +a(g189 +V +tp459 +a(g18 +Vdictionary +p460 +tp461 +a(g202 +V) +tp462 +a(g189 +V +tp463 +a(g202 +V{ +tp464 +a(g189 +V\u000a +tp465 +a(g189 +V +p466 +tp467 +a(g18 +VNSLog +p468 +tp469 +a(g202 +V( +tp470 +a(g226 +V@" +p471 +tp472 +a(g226 +VEnglish: %@, Latin: %@ +p473 +tp474 +a(g226 +V" +tp475 +a(g202 +V, +tp476 +a(g189 +V +tp477 +a(g18 +Vkey +p478 +tp479 +a(g202 +V, +tp480 +a(g189 +V +tp481 +a(g202 +V[ +tp482 +a(g18 +Vdictionary +p483 +tp484 +a(g189 +V +tp485 +a(g45 +VvalueForKey: +p486 +tp487 +a(g18 +Vkey +p488 +tp489 +a(g202 +V] +tp490 +a(g202 +V) +tp491 +a(g202 +V; +tp492 +a(g189 +V\u000a +tp493 +a(g189 +V +tp494 +a(g202 +V} +tp495 +a(g189 +V\u000a +tp496 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/objc_example2.m b/tests/examplefiles/output/objc_example2.m new file mode 100644 index 0000000..9503573 --- /dev/null +++ b/tests/examplefiles/output/objc_example2.m @@ -0,0 +1,1753 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +g32 +g2 +(g3 +g4 +(g110 +g32 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp116 +(dp117 +g9 +g10 +((ltRp118 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g111 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g110 +g122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g111 +sbsg9 +g10 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g110 +S'Type' +p134 +ttRp135 +(dp136 +g9 +g10 +((ltRp137 +sg12 +g111 +sbag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g9 +g10 +((ltRp145 +sg12 +g140 +sbsg12 +g15 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g140 +sbsg9 +g10 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g9 +g10 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g9 +g10 +((ltRp191 +sg12 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg12 +g15 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g9 +g10 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g9 +g10 +((ltRp204 +sg12 +g198 +sbatRp205 +sg201 +g202 +sg12 +g15 +sbsS'Token' +p206 +g15 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g9 +g10 +((ltRp214 +sg12 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g209 +sbsg12 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g222 +sbsg12 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g222 +sbsg6 +g2 +(g3 +g4 +(g208 +g221 +g6 +ttRp267 +(dp268 +g9 +g10 +((ltRp269 +sg12 +g222 +sbsg64 +g2 +(g3 +g4 +(g208 +g221 +g64 +ttRp270 +(dp271 +g9 +g10 +((ltRp272 +sg12 +g222 +sbsS'Doc' +p273 +g2 +(g3 +g4 +(g208 +g221 +g273 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g222 +sbsg9 +g10 +((lp277 +g270 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g222 +sbag241 +ag264 +ag256 +ag274 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag267 +ag252 +ag248 +atRp282 +sg278 +g279 +sbsg12 +g15 +sg207 +g209 +sS'Scalar' +p283 +g2 +(g3 +g4 +(g208 +g283 +ttRp284 +(dp285 +g9 +g10 +((lp286 +g2 +(g3 +g4 +(g208 +g283 +S'Plain' +p287 +ttRp288 +(dp289 +g9 +g10 +((ltRp290 +sg12 +g284 +sbatRp291 +sg12 +g219 +sg287 +g288 +sbsg64 +g2 +(g3 +g4 +(g208 +g64 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g219 +sbsS'Date' +p295 +g2 +(g3 +g4 +(g208 +g295 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g219 +sbsg9 +g10 +((lp299 +g296 +ag222 +ag292 +ag209 +ag284 +atRp300 +sbsS'Decimal' +p301 +g2 +(g3 +g4 +(g208 +g207 +g301 +ttRp302 +(dp303 +g9 +g10 +((ltRp304 +sg12 +g209 +sbsS'Float' +p305 +g2 +(g3 +g4 +(g208 +g207 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g209 +sbsS'Hex' +p309 +g2 +(g3 +g4 +(g208 +g207 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g209 +sbsS'Integer' +p313 +g2 +(g3 +g4 +(g208 +g207 +g313 +ttRp314 +(dp315 +g9 +g10 +((lp316 +g2 +(g3 +g4 +(g208 +g207 +g313 +S'Long' +p317 +ttRp318 +(dp319 +g9 +g10 +((ltRp320 +sg12 +g314 +sbatRp321 +sg317 +g318 +sg12 +g209 +sbsS'Octal' +p322 +g2 +(g3 +g4 +(g208 +g207 +g322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g209 +sbsg9 +g10 +((lp326 +g212 +ag216 +ag323 +ag302 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g209 +sbag314 +ag306 +ag310 +atRp331 +sg327 +g328 +sbsg208 +g219 +sg64 +g2 +(g3 +g4 +(g64 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g15 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp335 +(dp336 +g9 +g10 +((ltRp337 +sg12 +g15 +sbsS'Operator' +p338 +g2 +(g3 +g4 +(g338 +ttRp339 +(dp340 +g9 +g10 +((lp341 +g2 +(g3 +g4 +(g338 +S'Word' +p342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g339 +sbatRp346 +sg342 +g343 +sg12 +g15 +sbsg9 +g10 +((lp347 +g13 +ag335 +ag140 +ag185 +ag18 +ag198 +ag111 +ag219 +ag339 +ag332 +atRp348 +sg221 +g222 +sbsS'Preproc' +p349 +g2 +(g3 +g4 +(g5 +g349 +ttRp350 +(dp351 +g9 +g10 +((ltRp352 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg9 +g10 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag350 +ag7 +ag354 +atRp362 +sg358 +g359 +sbsbV// MyClass.h\u000a +p363 +tp364 +a(g111 +V@interface +p365 +tp366 +a(g185 +V +tp367 +a(g106 +VMyClass +p368 +tp369 +a(g185 +V : +p370 +tp371 +a(g106 +VNSObject +p372 +tp373 +a(g185 +V\u000a +tp374 +a(g185 +V +tp375 +a(g198 +V{ +tp376 +a(g185 +V\u000a +tp377 +a(g185 +V +p378 +tp379 +a(g18 +VNSString +p380 +tp381 +a(g185 +V +tp382 +a(g339 +V* +tp383 +a(g18 +Vvalue +p384 +tp385 +a(g198 +V; +tp386 +a(g185 +V\u000a +tp387 +a(g185 +V +p388 +tp389 +a(g185 +V +tp390 +a(g18 +VNSTextField +p391 +tp392 +a(g185 +V +tp393 +a(g339 +V* +tp394 +a(g18 +VtextField +p395 +tp396 +a(g198 +V; +tp397 +a(g185 +V\u000a +tp398 +a(g185 +V +tp399 +a(g111 +V@private +p400 +tp401 +a(g185 +V\u000a +tp402 +a(g185 +V +p403 +tp404 +a(g18 +VNSDate +p405 +tp406 +a(g185 +V +tp407 +a(g339 +V* +tp408 +a(g18 +VlastModifiedDate +p409 +tp410 +a(g198 +V; +tp411 +a(g185 +V\u000a +tp412 +a(g185 +V +tp413 +a(g198 +V} +tp414 +a(g185 +V\u000a +tp415 +a(g111 +V@property +p416 +tp417 +a(g198 +V( +tp418 +a(g18 +Vcopy +p419 +tp420 +a(g198 +V, +tp421 +a(g185 +V +tp422 +a(g18 +Vreadwrite +p423 +tp424 +a(g198 +V) +tp425 +a(g185 +V +tp426 +a(g18 +VNSString +p427 +tp428 +a(g185 +V +tp429 +a(g339 +V* +tp430 +a(g18 +Vvalue +p431 +tp432 +a(g198 +V; +tp433 +a(g185 +V\u000a +tp434 +a(g185 +V +tp435 +a(g111 +V@property +p436 +tp437 +a(g198 +V( +tp438 +a(g18 +Vretain +p439 +tp440 +a(g198 +V) +tp441 +a(g185 +V +tp442 +a(g135 +VIBOutlet +p443 +tp444 +a(g185 +V +tp445 +a(g18 +VNSTextField +p446 +tp447 +a(g185 +V +tp448 +a(g339 +V* +tp449 +a(g18 +VtextField +p450 +tp451 +a(g198 +V; +tp452 +a(g185 +V\u000a +tp453 +a(g111 +V@end +p454 +tp455 +a(g185 +V\u000a\u000a +p456 +tp457 +a(g7 +V// MyClass.m\u000a +p458 +tp459 +a(g7 +V// Class extension to declare private property\u000a +p460 +tp461 +a(g111 +V@interface +p462 +tp463 +a(g185 +V +tp464 +a(g106 +VMyClass +p465 +tp466 +a(g185 +V +tp467 +a(g185 +V +tp468 +a(g198 +V( +tp469 +a(g198 +V) +tp470 +a(g185 +V\u000a +tp471 +a(g111 +V@property +p472 +tp473 +a(g198 +V( +tp474 +a(g18 +Vretain +p475 +tp476 +a(g198 +V) +tp477 +a(g185 +V +tp478 +a(g18 +VNSDate +p479 +tp480 +a(g185 +V +tp481 +a(g339 +V* +tp482 +a(g18 +VlastModifiedDate +p483 +tp484 +a(g198 +V; +tp485 +a(g185 +V\u000a +tp486 +a(g111 +V@end +p487 +tp488 +a(g185 +V\u000a\u000a +p489 +tp490 +a(g111 +V@implementation +p491 +tp492 +a(g185 +V +tp493 +a(g106 +VMyClass +p494 +tp495 +a(g185 +V\u000a +tp496 +a(g185 +V +tp497 +a(g111 +V@synthesize +p498 +tp499 +a(g185 +V +tp500 +a(g18 +Vvalue +p501 +tp502 +a(g198 +V; +tp503 +a(g185 +V\u000a +tp504 +a(g185 +V +tp505 +a(g111 +V@synthesize +p506 +tp507 +a(g185 +V +tp508 +a(g18 +VtextField +p509 +tp510 +a(g198 +V; +tp511 +a(g185 +V\u000a +tp512 +a(g185 +V +tp513 +a(g111 +V@synthesize +p514 +tp515 +a(g185 +V +tp516 +a(g18 +VlastModifiedDate +p517 +tp518 +a(g198 +V; +tp519 +a(g185 +V\u000a +tp520 +a(g7 +V// implementation continues\u000a +p521 +tp522 +a(g111 +V@end +p523 +tp524 +a(g185 +V\u000a +tp525 +a(g185 +V +tp526 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/perl_perl5db b/tests/examplefiles/output/perl_perl5db new file mode 100644 index 0000000..4a58a91 --- /dev/null +++ b/tests/examplefiles/output/perl_perl5db @@ -0,0 +1,14620 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsS'Preproc' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag355 +ag358 +ag7 +atRp366 +sg362 +g363 +sbsbV=head1 NAME \u000a\u000aperl5db.pl - the perl debugger\u000a\u000a=head1 SYNOPSIS\u000a\u000a perl -d your_Perl_script\u000a\u000a=head1 DESCRIPTION\u000a\u000aAfter this routine is over, we don't have user code executing in the debugger's\u000acontext, so we can use C freely.\u000a\u000a=cut +p367 +tp368 +a(g189 +V\u000a\u000a +p369 +tp370 +a(g358 +V############################################## Begin lexical danger zone +p371 +tp372 +a(g189 +V\u000a\u000a +p373 +tp374 +a(g358 +V# 'my' variables used here could leak into (that is, be visible in) +p375 +tp376 +a(g189 +V\u000a +tp377 +a(g358 +V# the context that the code being evaluated is executing in. This means that +p378 +tp379 +a(g189 +V\u000a +tp380 +a(g358 +V# the code could modify the debugger's variables. +p381 +tp382 +a(g189 +V\u000a +tp383 +a(g358 +V# +tp384 +a(g189 +V\u000a +tp385 +a(g358 +V# Fiddling with the debugger's context could be Bad. We insulate things as +p386 +tp387 +a(g189 +V\u000a +tp388 +a(g358 +V# much as we can. +p389 +tp390 +a(g189 +V\u000a\u000a +p391 +tp392 +a(g111 +Vsub +p393 +tp394 +a(g21 +Veval +p395 +tp396 +a(g189 +V +tp397 +a(g202 +V{ +tp398 +a(g189 +V\u000a\u000a +p399 +tp400 +a(g358 +V# 'my' would make it visible from user code +p401 +tp402 +a(g189 +V\u000a +p403 +tp404 +a(g358 +V# but so does local! --tchrist +p405 +tp406 +a(g189 +V\u000a +p407 +tp408 +a(g358 +V# Remember: this localizes @DB::res, not @main::res. +p409 +tp410 +a(g189 +V\u000a +p411 +tp412 +a(g57 +Vlocal +p413 +tp414 +a(g189 +V +tp415 +a(g73 +V@ +tp416 +a(g73 +Vres +p417 +tp418 +a(g202 +V; +tp419 +a(g189 +V\u000a +p420 +tp421 +a(g202 +V{ +tp422 +a(g189 +V\u000a\u000a +p423 +tp424 +a(g358 +V# Try to keep the user code from messing with us. Save these so that +p425 +tp426 +a(g189 +V\u000a +p427 +tp428 +a(g358 +V# even if the eval'ed code changes them, we can put them back again. +p429 +tp430 +a(g189 +V\u000a +p431 +tp432 +a(g358 +V# Needed because the user could refer directly to the debugger's +p433 +tp434 +a(g189 +V\u000a +p435 +tp436 +a(g358 +V# package globals (and any 'my' variables in this containing scope) +p437 +tp438 +a(g189 +V\u000a +p439 +tp440 +a(g358 +V# inside the eval(), and we want to try to stay safe. +p441 +tp442 +a(g189 +V\u000a +p443 +tp444 +a(g57 +Vlocal +p445 +tp446 +a(g189 +V +tp447 +a(g73 +V$ +tp448 +a(g73 +Votrace +p449 +tp450 +a(g189 +V +p451 +tp452 +a(g344 +V= +tp453 +a(g189 +V +tp454 +a(g73 +V$ +tp455 +a(g73 +Vtrace +p456 +tp457 +a(g202 +V; +tp458 +a(g189 +V\u000a +p459 +tp460 +a(g57 +Vlocal +p461 +tp462 +a(g189 +V +tp463 +a(g73 +V$ +tp464 +a(g73 +Vosingle +p465 +tp466 +a(g189 +V +tp467 +a(g344 +V= +tp468 +a(g189 +V +tp469 +a(g73 +V$ +tp470 +a(g73 +Vsingle +p471 +tp472 +a(g202 +V; +tp473 +a(g189 +V\u000a +p474 +tp475 +a(g57 +Vlocal +p476 +tp477 +a(g189 +V +tp478 +a(g73 +V$ +tp479 +a(g73 +Vod +p480 +tp481 +a(g189 +V +p482 +tp483 +a(g344 +V= +tp484 +a(g189 +V +tp485 +a(g76 +V$^D +p486 +tp487 +a(g202 +V; +tp488 +a(g189 +V\u000a\u000a +p489 +tp490 +a(g358 +V# Untaint the incoming eval() argument. +p491 +tp492 +a(g189 +V\u000a +p493 +tp494 +a(g202 +V{ +tp495 +a(g189 +V +tp496 +a(g202 +V( +tp497 +a(g73 +V$ +tp498 +a(g73 +Vevalarg +p499 +tp500 +a(g202 +V) +tp501 +a(g189 +V +tp502 +a(g344 +V= +tp503 +a(g189 +V +tp504 +a(g73 +V$ +tp505 +a(g73 +Vevalarg +p506 +tp507 +a(g189 +V +tp508 +a(g344 +V=~ +p509 +tp510 +a(g229 +V /(.*)/s +p511 +tp512 +a(g202 +V; +tp513 +a(g189 +V +tp514 +a(g202 +V} +tp515 +a(g189 +V\u000a\u000a +p516 +tp517 +a(g358 +V# $usercontext built in DB::DB near the comment +p518 +tp519 +a(g189 +V\u000a +p520 +tp521 +a(g358 +V# "set up the context for DB::eval ..." +p522 +tp523 +a(g189 +V\u000a +p524 +tp525 +a(g358 +V# Evaluate and save any results. +p526 +tp527 +a(g189 +V\u000a +p528 +tp529 +a(g73 +V@ +tp530 +a(g73 +Vres +p531 +tp532 +a(g189 +V +tp533 +a(g344 +V= +tp534 +a(g189 +V +tp535 +a(g57 +Veval +p536 +tp537 +a(g189 +V +tp538 +a(g226 +V"$usercontext $evalarg;\u005cn" +p539 +tp540 +a(g202 +V; +tp541 +a(g189 +V +p542 +tp543 +a(g358 +V# '\u005cn' for nice recursive debug +p544 +tp545 +a(g189 +V\u000a\u000a +p546 +tp547 +a(g358 +V# Restore those old values. +p548 +tp549 +a(g189 +V\u000a +p550 +tp551 +a(g73 +V$ +tp552 +a(g73 +Vtrace +p553 +tp554 +a(g189 +V +p555 +tp556 +a(g344 +V= +tp557 +a(g189 +V +tp558 +a(g73 +V$ +tp559 +a(g73 +Votrace +p560 +tp561 +a(g202 +V; +tp562 +a(g189 +V\u000a +p563 +tp564 +a(g73 +V$ +tp565 +a(g73 +Vsingle +p566 +tp567 +a(g189 +V +tp568 +a(g344 +V= +tp569 +a(g189 +V +tp570 +a(g73 +V$ +tp571 +a(g73 +Vosingle +p572 +tp573 +a(g202 +V; +tp574 +a(g189 +V\u000a +p575 +tp576 +a(g76 +V$^D +p577 +tp578 +a(g189 +V +p579 +tp580 +a(g344 +V= +tp581 +a(g189 +V +tp582 +a(g73 +V$ +tp583 +a(g73 +Vod +p584 +tp585 +a(g202 +V; +tp586 +a(g189 +V\u000a +p587 +tp588 +a(g202 +V} +tp589 +a(g189 +V\u000a\u000a +p590 +tp591 +a(g358 +V# Save the current value of $@, and preserve it in the debugger's copy +p592 +tp593 +a(g189 +V\u000a +p594 +tp595 +a(g358 +V# of the saved precious globals. +p596 +tp597 +a(g189 +V\u000a +p598 +tp599 +a(g111 +Vmy +p600 +tp601 +a(g189 +V +tp602 +a(g73 +V$ +tp603 +a(g73 +Vat +p604 +tp605 +a(g189 +V +tp606 +a(g344 +V= +tp607 +a(g189 +V +tp608 +a(g76 +V$@ +p609 +tp610 +a(g202 +V; +tp611 +a(g189 +V\u000a\u000a +p612 +tp613 +a(g358 +V# Since we're only saving $@, we only have to localize the array element +p614 +tp615 +a(g189 +V\u000a +p616 +tp617 +a(g358 +V# that it will be stored in. +p618 +tp619 +a(g189 +V\u000a +p620 +tp621 +a(g57 +Vlocal +p622 +tp623 +a(g189 +V +tp624 +a(g73 +V$ +tp625 +a(g73 +Vsaved +p626 +tp627 +a(g202 +V[ +tp628 +a(g319 +V0 +tp629 +a(g202 +V] +tp630 +a(g202 +V; +tp631 +a(g189 +V +p632 +tp633 +a(g358 +V# Preserve the old value of $@ +p634 +tp635 +a(g189 +V\u000a +p636 +tp637 +a(g57 +Veval +p638 +tp639 +a(g189 +V +tp640 +a(g202 +V{ +tp641 +a(g189 +V +tp642 +a(g344 +V& +tp643 +a(g18 +V +tp644 +a(g99 +VDB:: +p645 +tp646 +a(g18 +Vsave +p647 +tp648 +a(g189 +V +tp649 +a(g202 +V} +tp650 +a(g202 +V; +tp651 +a(g189 +V\u000a\u000a +p652 +tp653 +a(g358 +V# Now see whether we need to report an error back to the user. +p654 +tp655 +a(g189 +V\u000a +p656 +tp657 +a(g111 +Vif +p658 +tp659 +a(g189 +V +tp660 +a(g202 +V( +tp661 +a(g73 +V$ +tp662 +a(g73 +Vat +p663 +tp664 +a(g202 +V) +tp665 +a(g189 +V +tp666 +a(g202 +V{ +tp667 +a(g189 +V\u000a +p668 +tp669 +a(g57 +Vlocal +p670 +tp671 +a(g189 +V +tp672 +a(g76 +V$\u005c +p673 +tp674 +a(g189 +V +tp675 +a(g344 +V= +tp676 +a(g189 +V +tp677 +a(g226 +V'' +p678 +tp679 +a(g202 +V; +tp680 +a(g189 +V\u000a +p681 +tp682 +a(g111 +Vprint +p683 +tp684 +a(g189 +V +tp685 +a(g73 +V$ +tp686 +a(g73 +VOUT +p687 +tp688 +a(g189 +V +tp689 +a(g73 +V$ +tp690 +a(g73 +Vat +p691 +tp692 +a(g202 +V; +tp693 +a(g189 +V\u000a +p694 +tp695 +a(g202 +V} +tp696 +a(g189 +V\u000a\u000a +p697 +tp698 +a(g358 +V# Display as required by the caller. $onetimeDump and $onetimedumpDepth +p699 +tp700 +a(g189 +V\u000a +p701 +tp702 +a(g358 +V# are package globals. +p703 +tp704 +a(g189 +V\u000a +p705 +tp706 +a(g111 +Velsif +p707 +tp708 +a(g189 +V +tp709 +a(g202 +V( +tp710 +a(g73 +V$ +tp711 +a(g73 +VonetimeDump +p712 +tp713 +a(g202 +V) +tp714 +a(g189 +V +tp715 +a(g202 +V{ +tp716 +a(g189 +V\u000a +p717 +tp718 +a(g111 +Vif +p719 +tp720 +a(g189 +V +tp721 +a(g202 +V( +tp722 +a(g189 +V +tp723 +a(g73 +V$ +tp724 +a(g73 +VonetimeDump +p725 +tp726 +a(g189 +V +tp727 +a(g348 +Veq +p728 +tp729 +a(g189 +V +tp730 +a(g226 +V'dump' +p731 +tp732 +a(g189 +V +tp733 +a(g202 +V) +tp734 +a(g189 +V +tp735 +a(g202 +V{ +tp736 +a(g189 +V\u000a +p737 +tp738 +a(g57 +Vlocal +p739 +tp740 +a(g189 +V +tp741 +a(g73 +V$ +tp742 +a(g73 +Voption +p743 +tp744 +a(g202 +V{ +tp745 +a(g18 +V +tp746 +a(g18 +VdumpDepth +p747 +tp748 +a(g202 +V} +tp749 +a(g189 +V +tp750 +a(g344 +V= +tp751 +a(g189 +V +tp752 +a(g73 +V$ +tp753 +a(g73 +VonetimedumpDepth +p754 +tp755 +a(g189 +V\u000a +p756 +tp757 +a(g111 +Vif +p758 +tp759 +a(g189 +V +tp760 +a(g57 +Vdefined +p761 +tp762 +a(g189 +V +tp763 +a(g73 +V$ +tp764 +a(g73 +VonetimedumpDepth +p765 +tp766 +a(g202 +V; +tp767 +a(g189 +V\u000a +p768 +tp769 +a(g18 +V +tp770 +a(g18 +Vdumpit +p771 +tp772 +a(g202 +V( +tp773 +a(g189 +V +tp774 +a(g73 +V$ +tp775 +a(g73 +VOUT +p776 +tp777 +a(g202 +V, +tp778 +a(g189 +V +tp779 +a(g344 +V\u005c +tp780 +a(g73 +V@ +tp781 +a(g73 +Vres +p782 +tp783 +a(g189 +V +tp784 +a(g202 +V) +tp785 +a(g202 +V; +tp786 +a(g189 +V\u000a +p787 +tp788 +a(g202 +V} +tp789 +a(g189 +V\u000a +p790 +tp791 +a(g111 +Velsif +p792 +tp793 +a(g189 +V +tp794 +a(g202 +V( +tp795 +a(g189 +V +tp796 +a(g73 +V$ +tp797 +a(g73 +VonetimeDump +p798 +tp799 +a(g189 +V +tp800 +a(g348 +Veq +p801 +tp802 +a(g189 +V +tp803 +a(g226 +V'methods' +p804 +tp805 +a(g189 +V +tp806 +a(g202 +V) +tp807 +a(g189 +V +tp808 +a(g202 +V{ +tp809 +a(g189 +V\u000a +p810 +tp811 +a(g18 +V +tp812 +a(g18 +Vmethods +p813 +tp814 +a(g202 +V( +tp815 +a(g189 +V +tp816 +a(g73 +V$ +tp817 +a(g73 +Vres +p818 +tp819 +a(g202 +V[ +tp820 +a(g319 +V0 +tp821 +a(g202 +V] +tp822 +a(g189 +V +tp823 +a(g202 +V) +tp824 +a(g202 +V; +tp825 +a(g189 +V\u000a +p826 +tp827 +a(g202 +V} +tp828 +a(g189 +V\u000a +p829 +tp830 +a(g202 +V} +tp831 +a(g189 +V +tp832 +a(g358 +V## end elsif ($onetimeDump) +p833 +tp834 +a(g189 +V\u000a +p835 +tp836 +a(g73 +V@ +tp837 +a(g73 +Vres +p838 +tp839 +a(g202 +V; +tp840 +a(g189 +V\u000a +tp841 +a(g202 +V} +tp842 +a(g189 +V +tp843 +a(g358 +V## end sub eval +p844 +tp845 +a(g189 +V\u000a\u000a +p846 +tp847 +a(g358 +V############################################## End lexical danger zone +p848 +tp849 +a(g189 +V\u000a\u000a +p850 +tp851 +a(g358 +V# After this point it is safe to introduce lexicals. +p852 +tp853 +a(g189 +V\u000a +tp854 +a(g358 +V# The code being debugged will be executing in its own context, and +p855 +tp856 +a(g189 +V\u000a +tp857 +a(g358 +V# can't see the inside of the debugger. +p858 +tp859 +a(g189 +V\u000a +tp860 +a(g358 +V# +tp861 +a(g189 +V\u000a +tp862 +a(g358 +V# However, one should not overdo it: leave as much control from outside as +p863 +tp864 +a(g189 +V\u000a +tp865 +a(g358 +V# possible. If you make something a lexical, it's not going to be addressable +p866 +tp867 +a(g189 +V\u000a +tp868 +a(g358 +V# from outside the debugger even if you know its name. +p869 +tp870 +a(g189 +V\u000a\u000a +p871 +tp872 +a(g358 +V# This file is automatically included if you do perl -d. +p873 +tp874 +a(g189 +V\u000a +tp875 +a(g358 +V# It's probably not useful to include this yourself. +p876 +tp877 +a(g189 +V\u000a +tp878 +a(g358 +V# +tp879 +a(g189 +V\u000a +tp880 +a(g358 +V# Before venturing further into these twisty passages, it is +p881 +tp882 +a(g189 +V\u000a +tp883 +a(g358 +V# wise to read the perldebguts man page or risk the ire of dragons. +p884 +tp885 +a(g189 +V\u000a +tp886 +a(g358 +V# +tp887 +a(g189 +V\u000a +tp888 +a(g358 +V# (It should be noted that perldebguts will tell you a lot about +p889 +tp890 +a(g189 +V\u000a +tp891 +a(g358 +V# the underlying mechanics of how the debugger interfaces into the +p892 +tp893 +a(g189 +V\u000a +tp894 +a(g358 +V# Perl interpreter, but not a lot about the debugger itself. The new +p895 +tp896 +a(g189 +V\u000a +tp897 +a(g358 +V# comments in this code try to address this problem.) +p898 +tp899 +a(g189 +V\u000a\u000a +p900 +tp901 +a(g358 +V# Note that no subroutine call is possible until &DB::sub is defined +p902 +tp903 +a(g189 +V\u000a +tp904 +a(g358 +V# (for subroutines defined outside of the package DB). In fact the same is +p905 +tp906 +a(g189 +V\u000a +tp907 +a(g358 +V# true if $deep is not defined. +p908 +tp909 +a(g189 +V\u000a\u000a +p910 +tp911 +a(g358 +V# Enhanced by ilya@math.ohio-state.edu (Ilya Zakharevich) +p912 +tp913 +a(g189 +V\u000a\u000a +p914 +tp915 +a(g358 +V# modified Perl debugger, to be run from Emacs in perldb-mode +p916 +tp917 +a(g189 +V\u000a +tp918 +a(g358 +V# Ray Lischner (uunet!mntgfx!lisch) as of 5 Nov 1990 +p919 +tp920 +a(g189 +V\u000a +tp921 +a(g358 +V# Johan Vromans -- upgrade to 4.0 pl 10 +p922 +tp923 +a(g189 +V\u000a +tp924 +a(g358 +V# Ilya Zakharevich -- patches after 5.001 (and some before ;-) +p925 +tp926 +a(g189 +V\u000a\u000a +p927 +tp928 +a(g358 +V# (We have made efforts to clarify the comments in the change log +p929 +tp930 +a(g189 +V\u000a +tp931 +a(g358 +V# in other places; some of them may seem somewhat obscure as they +p932 +tp933 +a(g189 +V\u000a +tp934 +a(g358 +V# were originally written, and explaining them away from the code +p935 +tp936 +a(g189 +V\u000a +tp937 +a(g358 +V# in question seems conterproductive.. -JM) +p938 +tp939 +a(g189 +V\u000a\u000a +p940 +tp941 +a(g7 +V=head1 DEBUGGER INITIALIZATION\u000a\u000aThe debugger starts up in phases.\u000a\u000a=head2 BASIC SETUP\u000a\u000aFirst, it initializes the environment it wants to run in: turning off\u000awarnings during its own compilation, defining variables which it will need\u000ato avoid warnings later, setting itself up to not exit when the program\u000aterminates, and defaulting to printing return values for the C command.\u000a\u000a=cut +p942 +tp943 +a(g189 +V\u000a\u000a +p944 +tp945 +a(g358 +V# Needed for the statement after exec(): +p946 +tp947 +a(g189 +V\u000a +tp948 +a(g358 +V# +tp949 +a(g189 +V\u000a +tp950 +a(g358 +V# This BEGIN block is simply used to switch off warnings during debugger +p951 +tp952 +a(g189 +V\u000a +tp953 +a(g358 +V# compiliation. Probably it would be better practice to fix the warnings, +p954 +tp955 +a(g189 +V\u000a +tp956 +a(g358 +V# but this is how it's done at the moment. +p957 +tp958 +a(g189 +V\u000a\u000a +p959 +tp960 +a(g111 +VBEGIN +p961 +tp962 +a(g189 +V +tp963 +a(g202 +V{ +tp964 +a(g189 +V\u000a +p965 +tp966 +a(g73 +V$ +tp967 +a(g73 +Vini_warn +p968 +tp969 +a(g189 +V +tp970 +a(g344 +V= +tp971 +a(g189 +V +tp972 +a(g76 +V$^W +p973 +tp974 +a(g202 +V; +tp975 +a(g189 +V\u000a +p976 +tp977 +a(g76 +V$^W +p978 +tp979 +a(g189 +V +p980 +tp981 +a(g344 +V= +tp982 +a(g189 +V +tp983 +a(g319 +V0 +tp984 +a(g202 +V; +tp985 +a(g189 +V\u000a +tp986 +a(g202 +V} +tp987 +a(g189 +V +p988 +tp989 +a(g358 +V# Switch compilation warnings off until another BEGIN. +p990 +tp991 +a(g189 +V\u000a\u000a +p992 +tp993 +a(g358 +V# test if assertions are supported and actived: +p994 +tp995 +a(g189 +V\u000a +tp996 +a(g111 +VBEGIN +p997 +tp998 +a(g189 +V +tp999 +a(g202 +V{ +tp1000 +a(g189 +V\u000a +p1001 +tp1002 +a(g73 +V$ +tp1003 +a(g73 +Vini_assertion +p1004 +tp1005 +a(g189 +V +tp1006 +a(g344 +V= +tp1007 +a(g189 +V +tp1008 +a(g57 +Veval +p1009 +tp1010 +a(g189 +V +tp1011 +a(g226 +V"sub asserting_test : assertion {1}; 1" +p1012 +tp1013 +a(g202 +V; +tp1014 +a(g189 +V\u000a\u000a +p1015 +tp1016 +a(g358 +V# $ini_assertion = undef => assertions unsupported, +p1017 +tp1018 +a(g189 +V\u000a +p1019 +tp1020 +a(g358 +V# " = 1 => assertions supported +p1021 +tp1022 +a(g189 +V\u000a +p1023 +tp1024 +a(g358 +V# print "\u005c$ini_assertion=$ini_assertion\u005cn"; +p1025 +tp1026 +a(g189 +V\u000a +tp1027 +a(g202 +V} +tp1028 +a(g189 +V\u000a\u000a +p1029 +tp1030 +a(g57 +Vlocal +p1031 +tp1032 +a(g189 +V +tp1033 +a(g202 +V( +tp1034 +a(g76 +V$^W +p1035 +tp1036 +a(g202 +V) +tp1037 +a(g189 +V +tp1038 +a(g344 +V= +tp1039 +a(g189 +V +tp1040 +a(g319 +V0 +tp1041 +a(g202 +V; +tp1042 +a(g189 +V +p1043 +tp1044 +a(g358 +V# Switch run-time warnings off during init. +p1045 +tp1046 +a(g189 +V\u000a\u000a +p1047 +tp1048 +a(g7 +V=head2 THREADS SUPPORT\u000a\u000aIf we are running under a threaded Perl, we require threads and threads::shared\u000aif the environment variable C is set, to enable proper\u000athreaded debugger control. C<-dt> can also be used to set this.\u000a\u000aEach new thread will be announced and the debugger prompt will always inform\u000ayou of each new thread created. It will also indicate the thread id in which\u000awe are currently running within the prompt like this:\u000a\u000a [tid] DB<$i>\u000a\u000aWhere C<[tid]> is an integer thread id and C<$i> is the familiar debugger\u000acommand prompt. The prompt will show: C<[0]> when running under threads, but\u000anot actually in a thread. C<[tid]> is consistent with C usage.\u000a\u000aWhile running under threads, when you set or delete a breakpoint (etc.), this\u000awill apply to all threads, not just the currently running one. When you are \u000ain a currently executing thread, you will stay there until it completes. With\u000athe current implementation it is not currently possible to hop from one thread\u000ato another.\u000a\u000aThe C and C commands are currently fairly minimal - see C and C.\u000a\u000aNote that threading support was built into the debugger as of Perl version\u000aC<5.8.6> and debugger version C<1.2.8>.\u000a\u000a=cut +p1049 +tp1050 +a(g189 +V\u000a\u000a +p1051 +tp1052 +a(g111 +VBEGIN +p1053 +tp1054 +a(g189 +V +tp1055 +a(g202 +V{ +tp1056 +a(g189 +V\u000a +p1057 +tp1058 +a(g358 +V# ensure we can share our non-threaded variables or no-op +p1059 +tp1060 +a(g189 +V\u000a +p1061 +tp1062 +a(g111 +Vif +p1063 +tp1064 +a(g189 +V +tp1065 +a(g202 +V( +tp1066 +a(g73 +V$ +tp1067 +a(g73 +VENV +p1068 +tp1069 +a(g202 +V{ +tp1070 +a(g18 +V +tp1071 +a(g18 +VPERL5DB_THREADED +p1072 +tp1073 +a(g202 +V} +tp1074 +a(g202 +V) +tp1075 +a(g189 +V +tp1076 +a(g202 +V{ +tp1077 +a(g189 +V\u000a +p1078 +tp1079 +a(g57 +Vrequire +p1080 +tp1081 +a(g189 +V +tp1082 +a(g18 +V +tp1083 +a(g18 +Vthreads +p1084 +tp1085 +a(g202 +V; +tp1086 +a(g189 +V\u000a +p1087 +tp1088 +a(g57 +Vrequire +p1089 +tp1090 +a(g189 +V +tp1091 +a(g18 +V +tp1092 +a(g99 +Vthreads:: +p1093 +tp1094 +a(g18 +Vshared +p1095 +tp1096 +a(g202 +V; +tp1097 +a(g189 +V\u000a +p1098 +tp1099 +a(g57 +Vimport +p1100 +tp1101 +a(g189 +V +tp1102 +a(g18 +V +tp1103 +a(g99 +Vthreads:: +p1104 +tp1105 +a(g18 +Vshared +p1106 +tp1107 +a(g189 +V +tp1108 +a(g275 +Vqw( +p1109 +tp1110 +a(g275 +Vshare +p1111 +tp1112 +a(g275 +V) +tp1113 +a(g202 +V; +tp1114 +a(g189 +V\u000a +p1115 +tp1116 +a(g73 +V$ +tp1117 +a(g73 +VDBGR +p1118 +tp1119 +a(g202 +V; +tp1120 +a(g189 +V\u000a +p1121 +tp1122 +a(g18 +V +tp1123 +a(g18 +Vshare +p1124 +tp1125 +a(g202 +V( +tp1126 +a(g344 +V\u005c +tp1127 +a(g73 +V$ +tp1128 +a(g73 +VDBGR +p1129 +tp1130 +a(g202 +V) +tp1131 +a(g202 +V; +tp1132 +a(g189 +V\u000a +p1133 +tp1134 +a(g18 +V +tp1135 +a(g18 +Vlock +p1136 +tp1137 +a(g202 +V( +tp1138 +a(g73 +V$ +tp1139 +a(g73 +VDBGR +p1140 +tp1141 +a(g202 +V) +tp1142 +a(g202 +V; +tp1143 +a(g189 +V\u000a +p1144 +tp1145 +a(g111 +Vprint +p1146 +tp1147 +a(g189 +V +tp1148 +a(g226 +V"Threads support enabled\u005cn" +p1149 +tp1150 +a(g202 +V; +tp1151 +a(g189 +V\u000a +p1152 +tp1153 +a(g202 +V} +tp1154 +a(g189 +V +tp1155 +a(g111 +Velse +p1156 +tp1157 +a(g189 +V +tp1158 +a(g202 +V{ +tp1159 +a(g189 +V\u000a +p1160 +tp1161 +a(g344 +V* +tp1162 +a(g18 +V +tp1163 +a(g18 +Vlock +p1164 +tp1165 +a(g189 +V +p1166 +tp1167 +a(g344 +V= +tp1168 +a(g189 +V +tp1169 +a(g18 +V +tp1170 +a(g18 +Vsub +p1171 +tp1172 +a(g202 +V( +tp1173 +a(g344 +V* +tp1174 +a(g202 +V) +tp1175 +a(g189 +V +tp1176 +a(g202 +V{ +tp1177 +a(g202 +V} +tp1178 +a(g202 +V; +tp1179 +a(g189 +V\u000a +p1180 +tp1181 +a(g344 +V* +tp1182 +a(g18 +V +tp1183 +a(g18 +Vshare +p1184 +tp1185 +a(g189 +V +tp1186 +a(g344 +V= +tp1187 +a(g189 +V +tp1188 +a(g18 +V +tp1189 +a(g18 +Vsub +p1190 +tp1191 +a(g202 +V( +tp1192 +a(g344 +V* +tp1193 +a(g202 +V) +tp1194 +a(g189 +V +tp1195 +a(g202 +V{ +tp1196 +a(g202 +V} +tp1197 +a(g202 +V; +tp1198 +a(g189 +V\u000a +p1199 +tp1200 +a(g202 +V} +tp1201 +a(g189 +V\u000a +tp1202 +a(g202 +V} +tp1203 +a(g189 +V\u000a\u000a +p1204 +tp1205 +a(g358 +V# This would probably be better done with "use vars", but that wasn't around +p1206 +tp1207 +a(g189 +V\u000a +tp1208 +a(g358 +V# when this code was originally written. (Neither was "use strict".) And on +p1209 +tp1210 +a(g189 +V\u000a +tp1211 +a(g358 +V# the principle of not fiddling with something that was working, this was +p1212 +tp1213 +a(g189 +V\u000a +tp1214 +a(g358 +V# left alone. +p1215 +tp1216 +a(g189 +V\u000a +tp1217 +a(g57 +Vwarn +p1218 +tp1219 +a(g202 +V( +tp1220 +a(g189 +V +p1221 +tp1222 +a(g358 +V# Do not ;-) +p1223 +tp1224 +a(g189 +V\u000a +p1225 +tp1226 +a(g358 +V# These variables control the execution of 'dumpvar.pl'. +p1227 +tp1228 +a(g189 +V\u000a +p1229 +tp1230 +a(g73 +V$ +tp1231 +a(g99 +Vdumpvar:: +p1232 +tp1233 +a(g73 +VhashDepth +p1234 +tp1235 +a(g202 +V, +tp1236 +a(g189 +V\u000a +p1237 +tp1238 +a(g73 +V$ +tp1239 +a(g99 +Vdumpvar:: +p1240 +tp1241 +a(g73 +VarrayDepth +p1242 +tp1243 +a(g202 +V, +tp1244 +a(g189 +V\u000a +p1245 +tp1246 +a(g73 +V$ +tp1247 +a(g99 +Vdumpvar:: +p1248 +tp1249 +a(g73 +VdumpDBFiles +p1250 +tp1251 +a(g202 +V, +tp1252 +a(g189 +V\u000a +p1253 +tp1254 +a(g73 +V$ +tp1255 +a(g99 +Vdumpvar:: +p1256 +tp1257 +a(g73 +VdumpPackages +p1258 +tp1259 +a(g202 +V, +tp1260 +a(g189 +V\u000a +p1261 +tp1262 +a(g73 +V$ +tp1263 +a(g99 +Vdumpvar:: +p1264 +tp1265 +a(g73 +VquoteHighBit +p1266 +tp1267 +a(g202 +V, +tp1268 +a(g189 +V\u000a +p1269 +tp1270 +a(g73 +V$ +tp1271 +a(g99 +Vdumpvar:: +p1272 +tp1273 +a(g73 +VprintUndef +p1274 +tp1275 +a(g202 +V, +tp1276 +a(g189 +V\u000a +p1277 +tp1278 +a(g73 +V$ +tp1279 +a(g99 +Vdumpvar:: +p1280 +tp1281 +a(g73 +VglobPrint +p1282 +tp1283 +a(g202 +V, +tp1284 +a(g189 +V\u000a +p1285 +tp1286 +a(g73 +V$ +tp1287 +a(g99 +Vdumpvar:: +p1288 +tp1289 +a(g73 +VusageOnly +p1290 +tp1291 +a(g202 +V, +tp1292 +a(g189 +V\u000a\u000a +p1293 +tp1294 +a(g358 +V# used to save @ARGV and extract any debugger-related flags. +p1295 +tp1296 +a(g189 +V\u000a +p1297 +tp1298 +a(g73 +V@ +tp1299 +a(g73 +VARGS +p1300 +tp1301 +a(g202 +V, +tp1302 +a(g189 +V\u000a\u000a +p1303 +tp1304 +a(g358 +V# used to control die() reporting in diesignal() +p1305 +tp1306 +a(g189 +V\u000a +p1307 +tp1308 +a(g73 +V$ +tp1309 +a(g99 +VCarp:: +p1310 +tp1311 +a(g73 +VCarpLevel +p1312 +tp1313 +a(g202 +V, +tp1314 +a(g189 +V\u000a\u000a +p1315 +tp1316 +a(g358 +V# used to prevent multiple entries to diesignal() +p1317 +tp1318 +a(g189 +V\u000a +p1319 +tp1320 +a(g358 +V# (if for instance diesignal() itself dies) +p1321 +tp1322 +a(g189 +V\u000a +p1323 +tp1324 +a(g73 +V$ +tp1325 +a(g73 +Vpanic +p1326 +tp1327 +a(g202 +V, +tp1328 +a(g189 +V\u000a\u000a +p1329 +tp1330 +a(g358 +V# used to prevent the debugger from running nonstop +p1331 +tp1332 +a(g189 +V\u000a +p1333 +tp1334 +a(g358 +V# after a restart +p1335 +tp1336 +a(g189 +V\u000a +p1337 +tp1338 +a(g73 +V$ +tp1339 +a(g73 +Vsecond_time +p1340 +tp1341 +a(g202 +V, +tp1342 +a(g189 +V\u000a +p1343 +tp1344 +a(g202 +V) +tp1345 +a(g189 +V\u000a +p1346 +tp1347 +a(g111 +Vif +p1348 +tp1349 +a(g189 +V +tp1350 +a(g319 +V0 +tp1351 +a(g202 +V; +tp1352 +a(g189 +V\u000a\u000a +p1353 +tp1354 +a(g111 +Vforeach +p1355 +tp1356 +a(g189 +V +tp1357 +a(g111 +Vmy +p1358 +tp1359 +a(g189 +V +tp1360 +a(g73 +V$ +tp1361 +a(g73 +Vk +tp1362 +a(g189 +V +tp1363 +a(g202 +V( +tp1364 +a(g57 +Vkeys +p1365 +tp1366 +a(g189 +V +tp1367 +a(g202 +V( +tp1368 +a(g73 +V% +tp1369 +a(g73 +VINC +p1370 +tp1371 +a(g202 +V) +tp1372 +a(g202 +V) +tp1373 +a(g189 +V +tp1374 +a(g202 +V{ +tp1375 +a(g189 +V\u000a +p1376 +tp1377 +a(g344 +V& +tp1378 +a(g18 +V +tp1379 +a(g18 +Vshare +p1380 +tp1381 +a(g202 +V( +tp1382 +a(g344 +V\u005c +tp1383 +a(g73 +V$ +tp1384 +a(g99 +Vmain:: +p1385 +tp1386 +a(g202 +V{ +tp1387 +a(g226 +V'_<' +p1388 +tp1389 +a(g344 +V. +tp1390 +a(g73 +V$ +tp1391 +a(g73 +Vfilename +p1392 +tp1393 +a(g202 +V} +tp1394 +a(g202 +V) +tp1395 +a(g202 +V; +tp1396 +a(g189 +V\u000a +tp1397 +a(g202 +V} +tp1398 +a(g202 +V; +tp1399 +a(g189 +V\u000a\u000a +p1400 +tp1401 +a(g358 +V# Command-line + PERLLIB: +p1402 +tp1403 +a(g189 +V\u000a +tp1404 +a(g358 +V# Save the contents of @INC before they are modified elsewhere. +p1405 +tp1406 +a(g189 +V\u000a +tp1407 +a(g73 +V@ +tp1408 +a(g73 +Vini_INC +p1409 +tp1410 +a(g189 +V +tp1411 +a(g344 +V= +tp1412 +a(g189 +V +tp1413 +a(g73 +V@ +tp1414 +a(g73 +VINC +p1415 +tp1416 +a(g202 +V; +tp1417 +a(g189 +V\u000a\u000a +p1418 +tp1419 +a(g358 +V# This was an attempt to clear out the previous values of various +p1420 +tp1421 +a(g189 +V\u000a +tp1422 +a(g358 +V# trapped errors. Apparently it didn't help. XXX More info needed! +p1423 +tp1424 +a(g189 +V\u000a +tp1425 +a(g358 +V# $prevwarn = $prevdie = $prevbus = $prevsegv = ''; # Does not help?! +p1426 +tp1427 +a(g189 +V\u000a\u000a +p1428 +tp1429 +a(g358 +V# We set these variables to safe values. We don't want to blindly turn +p1430 +tp1431 +a(g189 +V\u000a +tp1432 +a(g358 +V# off warnings, because other packages may still want them. +p1433 +tp1434 +a(g189 +V\u000a +tp1435 +a(g73 +V$ +tp1436 +a(g73 +Vtrace +p1437 +tp1438 +a(g189 +V +tp1439 +a(g344 +V= +tp1440 +a(g189 +V +tp1441 +a(g73 +V$ +tp1442 +a(g73 +Vsignal +p1443 +tp1444 +a(g189 +V +tp1445 +a(g344 +V= +tp1446 +a(g189 +V +tp1447 +a(g73 +V$ +tp1448 +a(g73 +Vsingle +p1449 +tp1450 +a(g189 +V +tp1451 +a(g344 +V= +tp1452 +a(g189 +V +tp1453 +a(g319 +V0 +tp1454 +a(g202 +V; +tp1455 +a(g189 +V +p1456 +tp1457 +a(g358 +V# Uninitialized warning suppression +p1458 +tp1459 +a(g189 +V\u000a +p1460 +tp1461 +a(g358 +V# (local $^W cannot help - other packages!). +p1462 +tp1463 +a(g189 +V\u000a\u000a +p1464 +tp1465 +a(g358 +V# Default to not exiting when program finishes; print the return +p1466 +tp1467 +a(g189 +V\u000a +tp1468 +a(g358 +V# value when the 'r' command is used to return from a subroutine. +p1469 +tp1470 +a(g189 +V\u000a +tp1471 +a(g73 +V$ +tp1472 +a(g73 +Vinhibit_exit +p1473 +tp1474 +a(g189 +V +tp1475 +a(g344 +V= +tp1476 +a(g189 +V +tp1477 +a(g73 +V$ +tp1478 +a(g73 +Voption +p1479 +tp1480 +a(g202 +V{ +tp1481 +a(g18 +V +tp1482 +a(g18 +VPrintRet +p1483 +tp1484 +a(g202 +V} +tp1485 +a(g189 +V +tp1486 +a(g344 +V= +tp1487 +a(g189 +V +tp1488 +a(g319 +V1 +tp1489 +a(g202 +V; +tp1490 +a(g189 +V\u000a\u000a +p1491 +tp1492 +a(g7 +V=head1 OPTION PROCESSING\u000a\u000aThe debugger's options are actually spread out over the debugger itself and \u000aC; some of these are variables to be set, while others are \u000asubs to be called with a value. To try to make this a little easier to\u000amanage, the debugger uses a few data structures to define what options\u000aare legal and how they are to be processed.\u000a\u000aFirst, the C<@options> array defines the I of all the options that\u000aare to be accepted.\u000a\u000a=cut +p1493 +tp1494 +a(g189 +V\u000a\u000a +p1495 +tp1496 +a(g73 +V@ +tp1497 +a(g73 +Voptions +p1498 +tp1499 +a(g189 +V +tp1500 +a(g344 +V= +tp1501 +a(g189 +V +tp1502 +a(g275 +Vqw( +p1503 +tp1504 +a(g275 +V\u000a CommandSet\u000a hashDepth arrayDepth dumpDepth\u000a DumpDBFiles DumpPackages DumpReused\u000a compactDump veryCompact quote\u000a HighBit undefPrint globPrint\u000a PrintRet UsageOnly frame\u000a AutoTrace TTY noTTY\u000a ReadLine NonStop LineInfo\u000a maxTraceLen recallCommand ShellBang\u000a pager tkRunning ornaments\u000a signalLevel warnLevel dieLevel\u000a inhibit_exit ImmediateStop bareStringify\u000a CreateTTY RemotePort windowSize\u000a DollarCaretP OnlyAssertions WarnAssertions\u000a +p1505 +tp1506 +a(g275 +V) +tp1507 +a(g202 +V; +tp1508 +a(g189 +V\u000a\u000a +p1509 +tp1510 +a(g73 +V@ +tp1511 +a(g73 +VRememberOnROptions +p1512 +tp1513 +a(g189 +V +tp1514 +a(g344 +V= +tp1515 +a(g189 +V +tp1516 +a(g275 +Vqw( +p1517 +tp1518 +a(g275 +VDollarCaretP OnlyAssertions +p1519 +tp1520 +a(g275 +V) +tp1521 +a(g202 +V; +tp1522 +a(g189 +V\u000a\u000a +p1523 +tp1524 +a(g7 +V=pod\u000a\u000aSecond, C lists the variables that each option uses to save its\u000astate.\u000a\u000a=cut +p1525 +tp1526 +a(g189 +V\u000a\u000a +p1527 +tp1528 +a(g73 +V% +tp1529 +a(g73 +VoptionVars +p1530 +tp1531 +a(g189 +V +tp1532 +a(g344 +V= +tp1533 +a(g189 +V +tp1534 +a(g202 +V( +tp1535 +a(g189 +V\u000a +p1536 +tp1537 +a(g18 +V +tp1538 +a(g18 +VhashDepth +p1539 +tp1540 +a(g189 +V +p1541 +tp1542 +a(g344 +V= +tp1543 +a(g344 +V> +tp1544 +a(g189 +V +tp1545 +a(g344 +V\u005c +tp1546 +a(g73 +V$ +tp1547 +a(g99 +Vdumpvar:: +p1548 +tp1549 +a(g73 +VhashDepth +p1550 +tp1551 +a(g202 +V, +tp1552 +a(g189 +V\u000a +p1553 +tp1554 +a(g18 +V +tp1555 +a(g18 +VarrayDepth +p1556 +tp1557 +a(g189 +V +p1558 +tp1559 +a(g344 +V= +tp1560 +a(g344 +V> +tp1561 +a(g189 +V +tp1562 +a(g344 +V\u005c +tp1563 +a(g73 +V$ +tp1564 +a(g99 +Vdumpvar:: +p1565 +tp1566 +a(g73 +VarrayDepth +p1567 +tp1568 +a(g202 +V, +tp1569 +a(g189 +V\u000a +p1570 +tp1571 +a(g18 +V +tp1572 +a(g18 +VCommandSet +p1573 +tp1574 +a(g189 +V +p1575 +tp1576 +a(g344 +V= +tp1577 +a(g344 +V> +tp1578 +a(g189 +V +tp1579 +a(g344 +V\u005c +tp1580 +a(g73 +V$ +tp1581 +a(g73 +VCommandSet +p1582 +tp1583 +a(g202 +V, +tp1584 +a(g189 +V\u000a +p1585 +tp1586 +a(g18 +V +tp1587 +a(g18 +VDumpDBFiles +p1588 +tp1589 +a(g189 +V +p1590 +tp1591 +a(g344 +V= +tp1592 +a(g344 +V> +tp1593 +a(g189 +V +tp1594 +a(g344 +V\u005c +tp1595 +a(g73 +V$ +tp1596 +a(g99 +Vdumpvar:: +p1597 +tp1598 +a(g73 +VdumpDBFiles +p1599 +tp1600 +a(g202 +V, +tp1601 +a(g189 +V\u000a +p1602 +tp1603 +a(g18 +V +tp1604 +a(g18 +VDumpPackages +p1605 +tp1606 +a(g189 +V +p1607 +tp1608 +a(g344 +V= +tp1609 +a(g344 +V> +tp1610 +a(g189 +V +tp1611 +a(g344 +V\u005c +tp1612 +a(g73 +V$ +tp1613 +a(g99 +Vdumpvar:: +p1614 +tp1615 +a(g73 +VdumpPackages +p1616 +tp1617 +a(g202 +V, +tp1618 +a(g189 +V\u000a +p1619 +tp1620 +a(g18 +V +tp1621 +a(g18 +VDumpReused +p1622 +tp1623 +a(g189 +V +p1624 +tp1625 +a(g344 +V= +tp1626 +a(g344 +V> +tp1627 +a(g189 +V +tp1628 +a(g344 +V\u005c +tp1629 +a(g73 +V$ +tp1630 +a(g99 +Vdumpvar:: +p1631 +tp1632 +a(g73 +VdumpReused +p1633 +tp1634 +a(g202 +V, +tp1635 +a(g189 +V\u000a +p1636 +tp1637 +a(g18 +V +tp1638 +a(g18 +VHighBit +p1639 +tp1640 +a(g189 +V +p1641 +tp1642 +a(g344 +V= +tp1643 +a(g344 +V> +tp1644 +a(g189 +V +tp1645 +a(g344 +V\u005c +tp1646 +a(g73 +V$ +tp1647 +a(g99 +Vdumpvar:: +p1648 +tp1649 +a(g73 +VquoteHighBit +p1650 +tp1651 +a(g202 +V, +tp1652 +a(g189 +V\u000a +p1653 +tp1654 +a(g18 +V +tp1655 +a(g18 +VundefPrint +p1656 +tp1657 +a(g189 +V +p1658 +tp1659 +a(g344 +V= +tp1660 +a(g344 +V> +tp1661 +a(g189 +V +tp1662 +a(g344 +V\u005c +tp1663 +a(g73 +V$ +tp1664 +a(g99 +Vdumpvar:: +p1665 +tp1666 +a(g73 +VprintUndef +p1667 +tp1668 +a(g202 +V, +tp1669 +a(g189 +V\u000a +p1670 +tp1671 +a(g18 +V +tp1672 +a(g18 +VglobPrint +p1673 +tp1674 +a(g189 +V +p1675 +tp1676 +a(g344 +V= +tp1677 +a(g344 +V> +tp1678 +a(g189 +V +tp1679 +a(g344 +V\u005c +tp1680 +a(g73 +V$ +tp1681 +a(g99 +Vdumpvar:: +p1682 +tp1683 +a(g73 +VglobPrint +p1684 +tp1685 +a(g202 +V, +tp1686 +a(g189 +V\u000a +p1687 +tp1688 +a(g18 +V +tp1689 +a(g18 +VUsageOnly +p1690 +tp1691 +a(g189 +V +p1692 +tp1693 +a(g344 +V= +tp1694 +a(g344 +V> +tp1695 +a(g189 +V +tp1696 +a(g344 +V\u005c +tp1697 +a(g73 +V$ +tp1698 +a(g99 +Vdumpvar:: +p1699 +tp1700 +a(g73 +VusageOnly +p1701 +tp1702 +a(g202 +V, +tp1703 +a(g189 +V\u000a +p1704 +tp1705 +a(g18 +V +tp1706 +a(g18 +VCreateTTY +p1707 +tp1708 +a(g189 +V +p1709 +tp1710 +a(g344 +V= +tp1711 +a(g344 +V> +tp1712 +a(g189 +V +tp1713 +a(g344 +V\u005c +tp1714 +a(g73 +V$ +tp1715 +a(g73 +VCreateTTY +p1716 +tp1717 +a(g202 +V, +tp1718 +a(g189 +V\u000a +p1719 +tp1720 +a(g18 +V +tp1721 +a(g18 +VbareStringify +p1722 +tp1723 +a(g189 +V +tp1724 +a(g344 +V= +tp1725 +a(g344 +V> +tp1726 +a(g189 +V +tp1727 +a(g344 +V\u005c +tp1728 +a(g73 +V$ +tp1729 +a(g99 +Vdumpvar:: +p1730 +tp1731 +a(g73 +VbareStringify +p1732 +tp1733 +a(g202 +V, +tp1734 +a(g189 +V\u000a +p1735 +tp1736 +a(g18 +V +tp1737 +a(g18 +Vframe +p1738 +tp1739 +a(g189 +V +p1740 +tp1741 +a(g344 +V= +tp1742 +a(g344 +V> +tp1743 +a(g189 +V +tp1744 +a(g344 +V\u005c +tp1745 +a(g73 +V$ +tp1746 +a(g73 +Vframe +p1747 +tp1748 +a(g202 +V, +tp1749 +a(g189 +V\u000a +p1750 +tp1751 +a(g18 +V +tp1752 +a(g18 +VAutoTrace +p1753 +tp1754 +a(g189 +V +p1755 +tp1756 +a(g344 +V= +tp1757 +a(g344 +V> +tp1758 +a(g189 +V +tp1759 +a(g344 +V\u005c +tp1760 +a(g73 +V$ +tp1761 +a(g73 +Vtrace +p1762 +tp1763 +a(g202 +V, +tp1764 +a(g189 +V\u000a +p1765 +tp1766 +a(g18 +V +tp1767 +a(g18 +Vinhibit_exit +p1768 +tp1769 +a(g189 +V +p1770 +tp1771 +a(g344 +V= +tp1772 +a(g344 +V> +tp1773 +a(g189 +V +tp1774 +a(g344 +V\u005c +tp1775 +a(g73 +V$ +tp1776 +a(g73 +Vinhibit_exit +p1777 +tp1778 +a(g202 +V, +tp1779 +a(g189 +V\u000a +p1780 +tp1781 +a(g18 +V +tp1782 +a(g18 +VmaxTraceLen +p1783 +tp1784 +a(g189 +V +p1785 +tp1786 +a(g344 +V= +tp1787 +a(g344 +V> +tp1788 +a(g189 +V +tp1789 +a(g344 +V\u005c +tp1790 +a(g73 +V$ +tp1791 +a(g73 +Vmaxtrace +p1792 +tp1793 +a(g202 +V, +tp1794 +a(g189 +V\u000a +p1795 +tp1796 +a(g18 +V +tp1797 +a(g18 +VImmediateStop +p1798 +tp1799 +a(g189 +V +tp1800 +a(g344 +V= +tp1801 +a(g344 +V> +tp1802 +a(g189 +V +tp1803 +a(g344 +V\u005c +tp1804 +a(g73 +V$ +tp1805 +a(g73 +VImmediateStop +p1806 +tp1807 +a(g202 +V, +tp1808 +a(g189 +V\u000a +p1809 +tp1810 +a(g18 +V +tp1811 +a(g18 +VRemotePort +p1812 +tp1813 +a(g189 +V +p1814 +tp1815 +a(g344 +V= +tp1816 +a(g344 +V> +tp1817 +a(g189 +V +tp1818 +a(g344 +V\u005c +tp1819 +a(g73 +V$ +tp1820 +a(g73 +Vremoteport +p1821 +tp1822 +a(g202 +V, +tp1823 +a(g189 +V\u000a +p1824 +tp1825 +a(g18 +V +tp1826 +a(g18 +VwindowSize +p1827 +tp1828 +a(g189 +V +p1829 +tp1830 +a(g344 +V= +tp1831 +a(g344 +V> +tp1832 +a(g189 +V +tp1833 +a(g344 +V\u005c +tp1834 +a(g73 +V$ +tp1835 +a(g73 +Vwindow +p1836 +tp1837 +a(g202 +V, +tp1838 +a(g189 +V\u000a +p1839 +tp1840 +a(g18 +V +tp1841 +a(g18 +VWarnAssertions +p1842 +tp1843 +a(g189 +V +tp1844 +a(g344 +V= +tp1845 +a(g344 +V> +tp1846 +a(g189 +V +tp1847 +a(g344 +V\u005c +tp1848 +a(g73 +V$ +tp1849 +a(g73 +Vwarnassertions +p1850 +tp1851 +a(g202 +V, +tp1852 +a(g189 +V\u000a +tp1853 +a(g202 +V) +tp1854 +a(g202 +V; +tp1855 +a(g189 +V\u000a\u000a +p1856 +tp1857 +a(g7 +V=pod\u000a\u000aThird, C<%optionAction> defines the subroutine to be called to process each\u000aoption.\u000a\u000a=cut +p1858 +tp1859 +a(g189 +V \u000a\u000a +p1860 +tp1861 +a(g73 +V% +tp1862 +a(g73 +VoptionAction +p1863 +tp1864 +a(g189 +V +tp1865 +a(g344 +V= +tp1866 +a(g189 +V +tp1867 +a(g202 +V( +tp1868 +a(g189 +V\u000a +p1869 +tp1870 +a(g18 +V +tp1871 +a(g18 +VcompactDump +p1872 +tp1873 +a(g189 +V +p1874 +tp1875 +a(g344 +V= +tp1876 +a(g344 +V> +tp1877 +a(g189 +V +tp1878 +a(g344 +V\u005c +tp1879 +a(g344 +V& +tp1880 +a(g18 +V +tp1881 +a(g99 +Vdumpvar:: +p1882 +tp1883 +a(g18 +VcompactDump +p1884 +tp1885 +a(g202 +V, +tp1886 +a(g189 +V\u000a +p1887 +tp1888 +a(g18 +V +tp1889 +a(g18 +VveryCompact +p1890 +tp1891 +a(g189 +V +p1892 +tp1893 +a(g344 +V= +tp1894 +a(g344 +V> +tp1895 +a(g189 +V +tp1896 +a(g344 +V\u005c +tp1897 +a(g344 +V& +tp1898 +a(g18 +V +tp1899 +a(g99 +Vdumpvar:: +p1900 +tp1901 +a(g18 +VveryCompact +p1902 +tp1903 +a(g202 +V, +tp1904 +a(g189 +V\u000a +p1905 +tp1906 +a(g18 +V +tp1907 +a(g18 +Vquote +p1908 +tp1909 +a(g189 +V +p1910 +tp1911 +a(g344 +V= +tp1912 +a(g344 +V> +tp1913 +a(g189 +V +tp1914 +a(g344 +V\u005c +tp1915 +a(g344 +V& +tp1916 +a(g18 +V +tp1917 +a(g99 +Vdumpvar:: +p1918 +tp1919 +a(g18 +Vquote +p1920 +tp1921 +a(g202 +V, +tp1922 +a(g189 +V\u000a +p1923 +tp1924 +a(g18 +V +tp1925 +a(g18 +VTTY +p1926 +tp1927 +a(g189 +V +p1928 +tp1929 +a(g344 +V= +tp1930 +a(g344 +V> +tp1931 +a(g189 +V +tp1932 +a(g344 +V\u005c +tp1933 +a(g344 +V& +tp1934 +a(g18 +V +tp1935 +a(g18 +VTTY +p1936 +tp1937 +a(g202 +V, +tp1938 +a(g189 +V\u000a +p1939 +tp1940 +a(g18 +V +tp1941 +a(g18 +VnoTTY +p1942 +tp1943 +a(g189 +V +p1944 +tp1945 +a(g344 +V= +tp1946 +a(g344 +V> +tp1947 +a(g189 +V +tp1948 +a(g344 +V\u005c +tp1949 +a(g344 +V& +tp1950 +a(g18 +V +tp1951 +a(g18 +VnoTTY +p1952 +tp1953 +a(g202 +V, +tp1954 +a(g189 +V\u000a +p1955 +tp1956 +a(g18 +V +tp1957 +a(g18 +VReadLine +p1958 +tp1959 +a(g189 +V +p1960 +tp1961 +a(g344 +V= +tp1962 +a(g344 +V> +tp1963 +a(g189 +V +tp1964 +a(g344 +V\u005c +tp1965 +a(g344 +V& +tp1966 +a(g18 +V +tp1967 +a(g18 +VReadLine +p1968 +tp1969 +a(g202 +V, +tp1970 +a(g189 +V\u000a +p1971 +tp1972 +a(g18 +V +tp1973 +a(g18 +VNonStop +p1974 +tp1975 +a(g189 +V +p1976 +tp1977 +a(g344 +V= +tp1978 +a(g344 +V> +tp1979 +a(g189 +V +tp1980 +a(g344 +V\u005c +tp1981 +a(g344 +V& +tp1982 +a(g18 +V +tp1983 +a(g18 +VNonStop +p1984 +tp1985 +a(g202 +V, +tp1986 +a(g189 +V\u000a +p1987 +tp1988 +a(g18 +V +tp1989 +a(g18 +VLineInfo +p1990 +tp1991 +a(g189 +V +p1992 +tp1993 +a(g344 +V= +tp1994 +a(g344 +V> +tp1995 +a(g189 +V +tp1996 +a(g344 +V\u005c +tp1997 +a(g344 +V& +tp1998 +a(g18 +V +tp1999 +a(g18 +VLineInfo +p2000 +tp2001 +a(g202 +V, +tp2002 +a(g189 +V\u000a +p2003 +tp2004 +a(g18 +V +tp2005 +a(g18 +VrecallCommand +p2006 +tp2007 +a(g189 +V +tp2008 +a(g344 +V= +tp2009 +a(g344 +V> +tp2010 +a(g189 +V +tp2011 +a(g344 +V\u005c +tp2012 +a(g344 +V& +tp2013 +a(g18 +V +tp2014 +a(g18 +VrecallCommand +p2015 +tp2016 +a(g202 +V, +tp2017 +a(g189 +V\u000a +p2018 +tp2019 +a(g18 +V +tp2020 +a(g18 +VShellBang +p2021 +tp2022 +a(g189 +V +p2023 +tp2024 +a(g344 +V= +tp2025 +a(g344 +V> +tp2026 +a(g189 +V +tp2027 +a(g344 +V\u005c +tp2028 +a(g344 +V& +tp2029 +a(g18 +V +tp2030 +a(g18 +VshellBang +p2031 +tp2032 +a(g202 +V, +tp2033 +a(g189 +V\u000a +p2034 +tp2035 +a(g18 +V +tp2036 +a(g18 +Vpager +p2037 +tp2038 +a(g189 +V +p2039 +tp2040 +a(g344 +V= +tp2041 +a(g344 +V> +tp2042 +a(g189 +V +tp2043 +a(g344 +V\u005c +tp2044 +a(g344 +V& +tp2045 +a(g18 +V +tp2046 +a(g18 +Vpager +p2047 +tp2048 +a(g202 +V, +tp2049 +a(g189 +V\u000a +p2050 +tp2051 +a(g18 +V +tp2052 +a(g18 +VsignalLevel +p2053 +tp2054 +a(g189 +V +p2055 +tp2056 +a(g344 +V= +tp2057 +a(g344 +V> +tp2058 +a(g189 +V +tp2059 +a(g344 +V\u005c +tp2060 +a(g344 +V& +tp2061 +a(g18 +V +tp2062 +a(g18 +VsignalLevel +p2063 +tp2064 +a(g202 +V, +tp2065 +a(g189 +V\u000a +p2066 +tp2067 +a(g18 +V +tp2068 +a(g18 +VwarnLevel +p2069 +tp2070 +a(g189 +V +p2071 +tp2072 +a(g344 +V= +tp2073 +a(g344 +V> +tp2074 +a(g189 +V +tp2075 +a(g344 +V\u005c +tp2076 +a(g344 +V& +tp2077 +a(g18 +V +tp2078 +a(g18 +VwarnLevel +p2079 +tp2080 +a(g202 +V, +tp2081 +a(g189 +V\u000a +p2082 +tp2083 +a(g18 +V +tp2084 +a(g18 +VdieLevel +p2085 +tp2086 +a(g189 +V +p2087 +tp2088 +a(g344 +V= +tp2089 +a(g344 +V> +tp2090 +a(g189 +V +tp2091 +a(g344 +V\u005c +tp2092 +a(g344 +V& +tp2093 +a(g18 +V +tp2094 +a(g18 +VdieLevel +p2095 +tp2096 +a(g202 +V, +tp2097 +a(g189 +V\u000a +p2098 +tp2099 +a(g18 +V +tp2100 +a(g18 +VtkRunning +p2101 +tp2102 +a(g189 +V +p2103 +tp2104 +a(g344 +V= +tp2105 +a(g344 +V> +tp2106 +a(g189 +V +tp2107 +a(g344 +V\u005c +tp2108 +a(g344 +V& +tp2109 +a(g18 +V +tp2110 +a(g18 +VtkRunning +p2111 +tp2112 +a(g202 +V, +tp2113 +a(g189 +V\u000a +p2114 +tp2115 +a(g18 +V +tp2116 +a(g18 +Vornaments +p2117 +tp2118 +a(g189 +V +p2119 +tp2120 +a(g344 +V= +tp2121 +a(g344 +V> +tp2122 +a(g189 +V +tp2123 +a(g344 +V\u005c +tp2124 +a(g344 +V& +tp2125 +a(g18 +V +tp2126 +a(g18 +Vornaments +p2127 +tp2128 +a(g202 +V, +tp2129 +a(g189 +V\u000a +p2130 +tp2131 +a(g18 +V +tp2132 +a(g18 +VRemotePort +p2133 +tp2134 +a(g189 +V +p2135 +tp2136 +a(g344 +V= +tp2137 +a(g344 +V> +tp2138 +a(g189 +V +tp2139 +a(g344 +V\u005c +tp2140 +a(g344 +V& +tp2141 +a(g18 +V +tp2142 +a(g18 +VRemotePort +p2143 +tp2144 +a(g202 +V, +tp2145 +a(g189 +V\u000a +p2146 +tp2147 +a(g18 +V +tp2148 +a(g18 +VDollarCaretP +p2149 +tp2150 +a(g189 +V +p2151 +tp2152 +a(g344 +V= +tp2153 +a(g344 +V> +tp2154 +a(g189 +V +tp2155 +a(g344 +V\u005c +tp2156 +a(g344 +V& +tp2157 +a(g18 +V +tp2158 +a(g18 +VDollarCaretP +p2159 +tp2160 +a(g202 +V, +tp2161 +a(g189 +V\u000a +p2162 +tp2163 +a(g18 +V +tp2164 +a(g18 +VOnlyAssertions +p2165 +tp2166 +a(g344 +V= +tp2167 +a(g344 +V> +tp2168 +a(g189 +V +tp2169 +a(g344 +V\u005c +tp2170 +a(g344 +V& +tp2171 +a(g18 +V +tp2172 +a(g18 +VOnlyAssertions +p2173 +tp2174 +a(g202 +V, +tp2175 +a(g189 +V\u000a +tp2176 +a(g202 +V) +tp2177 +a(g202 +V; +tp2178 +a(g189 +V\u000a\u000a +p2179 +tp2180 +a(g7 +V=pod\u000a\u000aLast, the C<%optionRequire> notes modules that must be Cd if an\u000aoption is used.\u000a\u000a=cut +p2181 +tp2182 +a(g189 +V\u000a\u000a +p2183 +tp2184 +a(g358 +V# Note that this list is not complete: several options not listed here +p2185 +tp2186 +a(g189 +V\u000a +tp2187 +a(g358 +V# actually require that dumpvar.pl be loaded for them to work, but are +p2188 +tp2189 +a(g189 +V\u000a +tp2190 +a(g358 +V# not in the table. A subsequent patch will correct this problem; for +p2191 +tp2192 +a(g189 +V\u000a +tp2193 +a(g358 +V# the moment, we're just recommenting, and we are NOT going to change +p2194 +tp2195 +a(g189 +V\u000a +tp2196 +a(g358 +V# function. +p2197 +tp2198 +a(g189 +V\u000a +tp2199 +a(g73 +V% +tp2200 +a(g73 +VoptionRequire +p2201 +tp2202 +a(g189 +V +tp2203 +a(g344 +V= +tp2204 +a(g189 +V +tp2205 +a(g202 +V( +tp2206 +a(g189 +V\u000a +p2207 +tp2208 +a(g18 +V +tp2209 +a(g18 +VcompactDump +p2210 +tp2211 +a(g189 +V +tp2212 +a(g344 +V= +tp2213 +a(g344 +V> +tp2214 +a(g189 +V +tp2215 +a(g226 +V'dumpvar.pl' +p2216 +tp2217 +a(g202 +V, +tp2218 +a(g189 +V\u000a +p2219 +tp2220 +a(g18 +V +tp2221 +a(g18 +VveryCompact +p2222 +tp2223 +a(g189 +V +tp2224 +a(g344 +V= +tp2225 +a(g344 +V> +tp2226 +a(g189 +V +tp2227 +a(g226 +V'dumpvar.pl' +p2228 +tp2229 +a(g202 +V, +tp2230 +a(g189 +V\u000a +p2231 +tp2232 +a(g18 +V +tp2233 +a(g18 +Vquote +p2234 +tp2235 +a(g189 +V +p2236 +tp2237 +a(g344 +V= +tp2238 +a(g344 +V> +tp2239 +a(g189 +V +tp2240 +a(g226 +V'dumpvar.pl' +p2241 +tp2242 +a(g202 +V, +tp2243 +a(g189 +V\u000a +tp2244 +a(g202 +V) +tp2245 +a(g202 +V; +tp2246 +a(g189 +V\u000a\u000a +p2247 +tp2248 +a(g7 +V=pod\u000a\u000aThere are a number of initialization-related variables which can be set\u000aby putting code to set them in a BEGIN block in the C environment\u000avariable. These are:\u000a\u000a=over 4\u000a\u000a=item C<$rl> - readline control XXX needs more explanation\u000a\u000a=item C<$warnLevel> - whether or not debugger takes over warning handling\u000a\u000a=item C<$dieLevel> - whether or not debugger takes over die handling\u000a\u000a=item C<$signalLevel> - whether or not debugger takes over signal handling\u000a\u000a=item C<$pre> - preprompt actions (array reference)\u000a\u000a=item C<$post> - postprompt actions (array reference)\u000a\u000a=item C<$pretype>\u000a\u000a=item C<$CreateTTY> - whether or not to create a new TTY for this debugger\u000a\u000a=item C<$CommandSet> - which command set to use (defaults to new, documented set)\u000a\u000a=back\u000a\u000a=cut +p2249 +tp2250 +a(g189 +V\u000a\u000a +p2251 +tp2252 +a(g358 +V# These guys may be defined in $ENV{PERL5DB} : +p2253 +tp2254 +a(g189 +V\u000a +tp2255 +a(g73 +V$ +tp2256 +a(g73 +Vrl +p2257 +tp2258 +a(g189 +V +p2259 +tp2260 +a(g344 +V= +tp2261 +a(g189 +V +tp2262 +a(g319 +V1 +tp2263 +a(g189 +V +p2264 +tp2265 +a(g111 +Vunless +p2266 +tp2267 +a(g189 +V +tp2268 +a(g57 +Vdefined +p2269 +tp2270 +a(g189 +V +tp2271 +a(g73 +V$ +tp2272 +a(g73 +Vrl +p2273 +tp2274 +a(g202 +V; +tp2275 +a(g189 +V\u000a +tp2276 +a(g73 +V$ +tp2277 +a(g73 +VwarnLevel +p2278 +tp2279 +a(g189 +V +p2280 +tp2281 +a(g344 +V= +tp2282 +a(g189 +V +tp2283 +a(g319 +V1 +tp2284 +a(g189 +V +p2285 +tp2286 +a(g111 +Vunless +p2287 +tp2288 +a(g189 +V +tp2289 +a(g57 +Vdefined +p2290 +tp2291 +a(g189 +V +tp2292 +a(g73 +V$ +tp2293 +a(g73 +VwarnLevel +p2294 +tp2295 +a(g202 +V; +tp2296 +a(g189 +V\u000a +tp2297 +a(g73 +V$ +tp2298 +a(g73 +VdieLevel +p2299 +tp2300 +a(g189 +V +p2301 +tp2302 +a(g344 +V= +tp2303 +a(g189 +V +tp2304 +a(g319 +V1 +tp2305 +a(g189 +V +p2306 +tp2307 +a(g111 +Vunless +p2308 +tp2309 +a(g189 +V +tp2310 +a(g57 +Vdefined +p2311 +tp2312 +a(g189 +V +tp2313 +a(g73 +V$ +tp2314 +a(g73 +VdieLevel +p2315 +tp2316 +a(g202 +V; +tp2317 +a(g189 +V\u000a +tp2318 +a(g73 +V$ +tp2319 +a(g73 +VsignalLevel +p2320 +tp2321 +a(g189 +V +tp2322 +a(g344 +V= +tp2323 +a(g189 +V +tp2324 +a(g319 +V1 +tp2325 +a(g189 +V +p2326 +tp2327 +a(g111 +Vunless +p2328 +tp2329 +a(g189 +V +tp2330 +a(g57 +Vdefined +p2331 +tp2332 +a(g189 +V +tp2333 +a(g73 +V$ +tp2334 +a(g73 +VsignalLevel +p2335 +tp2336 +a(g202 +V; +tp2337 +a(g189 +V\u000a +tp2338 +a(g73 +V$ +tp2339 +a(g73 +Vpre +p2340 +tp2341 +a(g189 +V +p2342 +tp2343 +a(g344 +V= +tp2344 +a(g189 +V +tp2345 +a(g344 +V[] +p2346 +tp2347 +a(g189 +V +p2348 +tp2349 +a(g111 +Vunless +p2350 +tp2351 +a(g189 +V +tp2352 +a(g57 +Vdefined +p2353 +tp2354 +a(g189 +V +tp2355 +a(g73 +V$ +tp2356 +a(g73 +Vpre +p2357 +tp2358 +a(g202 +V; +tp2359 +a(g189 +V\u000a +tp2360 +a(g73 +V$ +tp2361 +a(g73 +Vpost +p2362 +tp2363 +a(g189 +V +p2364 +tp2365 +a(g344 +V= +tp2366 +a(g189 +V +tp2367 +a(g344 +V[] +p2368 +tp2369 +a(g189 +V +p2370 +tp2371 +a(g111 +Vunless +p2372 +tp2373 +a(g189 +V +tp2374 +a(g57 +Vdefined +p2375 +tp2376 +a(g189 +V +tp2377 +a(g73 +V$ +tp2378 +a(g73 +Vpost +p2379 +tp2380 +a(g202 +V; +tp2381 +a(g189 +V\u000a +tp2382 +a(g73 +V$ +tp2383 +a(g73 +Vpretype +p2384 +tp2385 +a(g189 +V +p2386 +tp2387 +a(g344 +V= +tp2388 +a(g189 +V +tp2389 +a(g344 +V[] +p2390 +tp2391 +a(g189 +V +p2392 +tp2393 +a(g111 +Vunless +p2394 +tp2395 +a(g189 +V +tp2396 +a(g57 +Vdefined +p2397 +tp2398 +a(g189 +V +tp2399 +a(g73 +V$ +tp2400 +a(g73 +Vpretype +p2401 +tp2402 +a(g202 +V; +tp2403 +a(g189 +V\u000a +tp2404 +a(g73 +V$ +tp2405 +a(g73 +VCreateTTY +p2406 +tp2407 +a(g189 +V +p2408 +tp2409 +a(g344 +V= +tp2410 +a(g189 +V +tp2411 +a(g319 +V3 +tp2412 +a(g189 +V +p2413 +tp2414 +a(g111 +Vunless +p2415 +tp2416 +a(g189 +V +tp2417 +a(g57 +Vdefined +p2418 +tp2419 +a(g189 +V +tp2420 +a(g73 +V$ +tp2421 +a(g73 +VCreateTTY +p2422 +tp2423 +a(g202 +V; +tp2424 +a(g189 +V\u000a +tp2425 +a(g73 +V$ +tp2426 +a(g73 +VCommandSet +p2427 +tp2428 +a(g189 +V +p2429 +tp2430 +a(g344 +V= +tp2431 +a(g189 +V +tp2432 +a(g226 +V'580' +p2433 +tp2434 +a(g189 +V +tp2435 +a(g111 +Vunless +p2436 +tp2437 +a(g189 +V +tp2438 +a(g57 +Vdefined +p2439 +tp2440 +a(g189 +V +tp2441 +a(g73 +V$ +tp2442 +a(g73 +VCommandSet +p2443 +tp2444 +a(g202 +V; +tp2445 +a(g189 +V\u000a\u000a +p2446 +tp2447 +a(g18 +V +tp2448 +a(g18 +Vshare +p2449 +tp2450 +a(g202 +V( +tp2451 +a(g73 +V$ +tp2452 +a(g73 +Vrl +p2453 +tp2454 +a(g202 +V) +tp2455 +a(g202 +V; +tp2456 +a(g189 +V\u000a +tp2457 +a(g18 +V +tp2458 +a(g18 +Vshare +p2459 +tp2460 +a(g202 +V( +tp2461 +a(g73 +V$ +tp2462 +a(g73 +VwarnLevel +p2463 +tp2464 +a(g202 +V) +tp2465 +a(g202 +V; +tp2466 +a(g189 +V\u000a +tp2467 +a(g18 +V +tp2468 +a(g18 +Vshare +p2469 +tp2470 +a(g202 +V( +tp2471 +a(g73 +V$ +tp2472 +a(g73 +VdieLevel +p2473 +tp2474 +a(g202 +V) +tp2475 +a(g202 +V; +tp2476 +a(g189 +V\u000a +tp2477 +a(g18 +V +tp2478 +a(g18 +Vshare +p2479 +tp2480 +a(g202 +V( +tp2481 +a(g73 +V$ +tp2482 +a(g73 +VsignalLevel +p2483 +tp2484 +a(g202 +V) +tp2485 +a(g202 +V; +tp2486 +a(g189 +V\u000a +tp2487 +a(g18 +V +tp2488 +a(g18 +Vshare +p2489 +tp2490 +a(g202 +V( +tp2491 +a(g73 +V$ +tp2492 +a(g73 +Vpre +p2493 +tp2494 +a(g202 +V) +tp2495 +a(g202 +V; +tp2496 +a(g189 +V\u000a +tp2497 +a(g18 +V +tp2498 +a(g18 +Vshare +p2499 +tp2500 +a(g202 +V( +tp2501 +a(g73 +V$ +tp2502 +a(g73 +Vpost +p2503 +tp2504 +a(g202 +V) +tp2505 +a(g202 +V; +tp2506 +a(g189 +V\u000a +tp2507 +a(g18 +V +tp2508 +a(g18 +Vshare +p2509 +tp2510 +a(g202 +V( +tp2511 +a(g73 +V$ +tp2512 +a(g73 +Vpretype +p2513 +tp2514 +a(g202 +V) +tp2515 +a(g202 +V; +tp2516 +a(g189 +V\u000a +tp2517 +a(g18 +V +tp2518 +a(g18 +Vshare +p2519 +tp2520 +a(g202 +V( +tp2521 +a(g73 +V$ +tp2522 +a(g73 +Vrl +p2523 +tp2524 +a(g202 +V) +tp2525 +a(g202 +V; +tp2526 +a(g189 +V\u000a +tp2527 +a(g18 +V +tp2528 +a(g18 +Vshare +p2529 +tp2530 +a(g202 +V( +tp2531 +a(g73 +V$ +tp2532 +a(g73 +VCreateTTY +p2533 +tp2534 +a(g202 +V) +tp2535 +a(g202 +V; +tp2536 +a(g189 +V\u000a +tp2537 +a(g18 +V +tp2538 +a(g18 +Vshare +p2539 +tp2540 +a(g202 +V( +tp2541 +a(g73 +V$ +tp2542 +a(g73 +VCommandSet +p2543 +tp2544 +a(g202 +V) +tp2545 +a(g202 +V; +tp2546 +a(g189 +V\u000a\u000a +p2547 +tp2548 +a(g7 +V=pod\u000a\u000aThe default C, C, and C handlers are set up.\u000a\u000a=cut +p2549 +tp2550 +a(g189 +V\u000a\u000a +p2551 +tp2552 +a(g18 +V +tp2553 +a(g18 +VwarnLevel +p2554 +tp2555 +a(g202 +V( +tp2556 +a(g73 +V$ +tp2557 +a(g73 +VwarnLevel +p2558 +tp2559 +a(g202 +V) +tp2560 +a(g202 +V; +tp2561 +a(g189 +V\u000a +tp2562 +a(g18 +V +tp2563 +a(g18 +VdieLevel +p2564 +tp2565 +a(g202 +V( +tp2566 +a(g73 +V$ +tp2567 +a(g73 +VdieLevel +p2568 +tp2569 +a(g202 +V) +tp2570 +a(g202 +V; +tp2571 +a(g189 +V\u000a +tp2572 +a(g18 +V +tp2573 +a(g18 +VsignalLevel +p2574 +tp2575 +a(g202 +V( +tp2576 +a(g73 +V$ +tp2577 +a(g73 +VsignalLevel +p2578 +tp2579 +a(g202 +V) +tp2580 +a(g202 +V; +tp2581 +a(g189 +V\u000a\u000a +p2582 +tp2583 +a(g7 +V=pod\u000a\u000aThe pager to be used is needed next. We try to get it from the\u000aenvironment first. if it's not defined there, we try to find it in\u000athe Perl C. If it's not there, we default to C. We\u000athen call the C function to save the pager name.\u000a\u000a=cut +p2584 +tp2585 +a(g189 +V\u000a\u000a +p2586 +tp2587 +a(g358 +V# This routine makes sure $pager is set up so that '|' can use it. +p2588 +tp2589 +a(g189 +V\u000a +tp2590 +a(g18 +V +tp2591 +a(g18 +Vpager +p2592 +tp2593 +a(g202 +V( +tp2594 +a(g189 +V\u000a\u000a +p2595 +tp2596 +a(g358 +V# If PAGER is defined in the environment, use it. +p2597 +tp2598 +a(g189 +V\u000a +p2599 +tp2600 +a(g57 +Vdefined +p2601 +tp2602 +a(g189 +V +tp2603 +a(g73 +V$ +tp2604 +a(g73 +VENV +p2605 +tp2606 +a(g202 +V{ +tp2607 +a(g18 +V +tp2608 +a(g18 +VPAGER +p2609 +tp2610 +a(g202 +V} +tp2611 +a(g189 +V\u000a +p2612 +tp2613 +a(g202 +V? +tp2614 +a(g189 +V +tp2615 +a(g73 +V$ +tp2616 +a(g73 +VENV +p2617 +tp2618 +a(g202 +V{ +tp2619 +a(g18 +V +tp2620 +a(g18 +VPAGER +p2621 +tp2622 +a(g202 +V} +tp2623 +a(g189 +V\u000a\u000a +p2624 +tp2625 +a(g358 +V# If not, see if Config.pm defines it. +p2626 +tp2627 +a(g189 +V\u000a +p2628 +tp2629 +a(g202 +V: +tp2630 +a(g189 +V +tp2631 +a(g57 +Veval +p2632 +tp2633 +a(g189 +V +tp2634 +a(g202 +V{ +tp2635 +a(g189 +V +tp2636 +a(g57 +Vrequire +p2637 +tp2638 +a(g189 +V +tp2639 +a(g18 +V +tp2640 +a(g18 +VConfig +p2641 +tp2642 +a(g189 +V +tp2643 +a(g202 +V} +tp2644 +a(g189 +V\u000a +p2645 +tp2646 +a(g344 +V&& +p2647 +tp2648 +a(g189 +V +tp2649 +a(g57 +Vdefined +p2650 +tp2651 +a(g189 +V +tp2652 +a(g73 +V$ +tp2653 +a(g99 +VConfig:: +p2654 +tp2655 +a(g73 +VConfig +p2656 +tp2657 +a(g202 +V{ +tp2658 +a(g18 +V +tp2659 +a(g18 +Vpager +p2660 +tp2661 +a(g202 +V} +tp2662 +a(g189 +V\u000a +p2663 +tp2664 +a(g202 +V? +tp2665 +a(g189 +V +tp2666 +a(g73 +V$ +tp2667 +a(g99 +VConfig:: +p2668 +tp2669 +a(g73 +VConfig +p2670 +tp2671 +a(g202 +V{ +tp2672 +a(g18 +V +tp2673 +a(g18 +Vpager +p2674 +tp2675 +a(g202 +V} +tp2676 +a(g189 +V\u000a\u000a +p2677 +tp2678 +a(g358 +V# If not, fall back to 'more'. +p2679 +tp2680 +a(g189 +V\u000a +p2681 +tp2682 +a(g202 +V: +tp2683 +a(g189 +V +tp2684 +a(g226 +V'more' +p2685 +tp2686 +a(g189 +V\u000a +p2687 +tp2688 +a(g202 +V) +tp2689 +a(g189 +V\u000a +p2690 +tp2691 +a(g111 +Vunless +p2692 +tp2693 +a(g189 +V +tp2694 +a(g57 +Vdefined +p2695 +tp2696 +a(g189 +V +tp2697 +a(g73 +V$ +tp2698 +a(g73 +Vpager +p2699 +tp2700 +a(g202 +V; +tp2701 +a(g189 +V\u000a\u000a +p2702 +tp2703 +a(g7 +V=pod\u000a\u000aWe set up the command to be used to access the man pages, the command\u000arecall character (C unless otherwise defined) and the shell escape\u000acharacter (C unless otherwise defined). Yes, these do conflict, and\u000aneither works in the debugger at the moment.\u000a\u000a=cut +p2704 +tp2705 +a(g189 +V\u000a\u000a +p2706 +tp2707 +a(g18 +V +tp2708 +a(g18 +Vsetman +p2709 +tp2710 +a(g202 +V( +tp2711 +a(g202 +V) +tp2712 +a(g202 +V; +tp2713 +a(g189 +V\u000a\u000a +p2714 +tp2715 +a(g358 +V# Set up defaults for command recall and shell escape (note: +p2716 +tp2717 +a(g189 +V\u000a +tp2718 +a(g358 +V# these currently don't work in linemode debugging). +p2719 +tp2720 +a(g189 +V\u000a +tp2721 +a(g344 +V& +tp2722 +a(g18 +V +tp2723 +a(g18 +VrecallCommand +p2724 +tp2725 +a(g202 +V( +tp2726 +a(g226 +V"!" +p2727 +tp2728 +a(g202 +V) +tp2729 +a(g189 +V +tp2730 +a(g111 +Vunless +p2731 +tp2732 +a(g189 +V +tp2733 +a(g57 +Vdefined +p2734 +tp2735 +a(g189 +V +tp2736 +a(g73 +V$ +tp2737 +a(g73 +Vprc +p2738 +tp2739 +a(g202 +V; +tp2740 +a(g189 +V\u000a +tp2741 +a(g344 +V& +tp2742 +a(g18 +V +tp2743 +a(g18 +VshellBang +p2744 +tp2745 +a(g202 +V( +tp2746 +a(g226 +V"!" +p2747 +tp2748 +a(g202 +V) +tp2749 +a(g189 +V +p2750 +tp2751 +a(g111 +Vunless +p2752 +tp2753 +a(g189 +V +tp2754 +a(g57 +Vdefined +p2755 +tp2756 +a(g189 +V +tp2757 +a(g73 +V$ +tp2758 +a(g73 +Vpsh +p2759 +tp2760 +a(g202 +V; +tp2761 +a(g189 +V\u000a\u000a +p2762 +tp2763 +a(g7 +V=pod\u000a\u000aWe then set up the gigantic string containing the debugger help.\u000aWe also set the limit on the number of arguments we'll display during a\u000atrace.\u000a\u000a=cut +p2764 +tp2765 +a(g189 +V\u000a\u000a +p2766 +tp2767 +a(g18 +V +tp2768 +a(g18 +Vsethelp +p2769 +tp2770 +a(g202 +V( +tp2771 +a(g202 +V) +tp2772 +a(g202 +V; +tp2773 +a(g189 +V\u000a\u000a +p2774 +tp2775 +a(g358 +V# If we didn't get a default for the length of eval/stack trace args, +p2776 +tp2777 +a(g189 +V\u000a +tp2778 +a(g358 +V# set it here. +p2779 +tp2780 +a(g189 +V\u000a +tp2781 +a(g73 +V$ +tp2782 +a(g73 +Vmaxtrace +p2783 +tp2784 +a(g189 +V +tp2785 +a(g344 +V= +tp2786 +a(g189 +V +tp2787 +a(g319 +V400 +p2788 +tp2789 +a(g189 +V +tp2790 +a(g111 +Vunless +p2791 +tp2792 +a(g189 +V +tp2793 +a(g57 +Vdefined +p2794 +tp2795 +a(g189 +V +tp2796 +a(g73 +V$ +tp2797 +a(g73 +Vmaxtrace +p2798 +tp2799 +a(g202 +V; +tp2800 +a(g189 +V\u000a\u000a +p2801 +tp2802 +a(g7 +V=head2 SETTING UP THE DEBUGGER GREETING\u000a\u000aThe debugger I helps to inform the user how many debuggers are\u000arunning, and whether the current debugger is the primary or a child.\u000a\u000aIf we are the primary, we just hang onto our pid so we'll have it when\u000aor if we start a child debugger. If we are a child, we'll set things up\u000aso we'll have a unique greeting and so the parent will give us our own\u000aTTY later.\u000a\u000aWe save the current contents of the C environment variable\u000abecause we mess around with it. We'll also need to hang onto it because\u000awe'll need it if we restart.\u000a\u000aChild debuggers make a label out of the current PID structure recorded in\u000aPERLDB_PIDS plus the new PID. They also mark themselves as not having a TTY\u000ayet so the parent will give them one later via C.\u000a\u000a=cut +p2803 +tp2804 +a(g189 +V\u000a\u000a +p2805 +tp2806 +a(g358 +V# Save the current contents of the environment; we're about to +p2807 +tp2808 +a(g189 +V\u000a +tp2809 +a(g358 +V# much with it. We'll need this if we have to restart. +p2810 +tp2811 +a(g189 +V\u000a +tp2812 +a(g73 +V$ +tp2813 +a(g73 +Vini_pids +p2814 +tp2815 +a(g189 +V +tp2816 +a(g344 +V= +tp2817 +a(g189 +V +tp2818 +a(g73 +V$ +tp2819 +a(g73 +VENV +p2820 +tp2821 +a(g202 +V{ +tp2822 +a(g18 +V +tp2823 +a(g18 +VPERLDB_PIDS +p2824 +tp2825 +a(g202 +V} +tp2826 +a(g202 +V; +tp2827 +a(g189 +V\u000a\u000a +p2828 +tp2829 +a(g111 +Vif +p2830 +tp2831 +a(g189 +V +tp2832 +a(g202 +V( +tp2833 +a(g189 +V +tp2834 +a(g57 +Vdefined +p2835 +tp2836 +a(g189 +V +tp2837 +a(g73 +V$ +tp2838 +a(g73 +VENV +p2839 +tp2840 +a(g202 +V{ +tp2841 +a(g18 +V +tp2842 +a(g18 +VPERLDB_PIDS +p2843 +tp2844 +a(g202 +V} +tp2845 +a(g189 +V +tp2846 +a(g202 +V) +tp2847 +a(g189 +V +tp2848 +a(g202 +V{ +tp2849 +a(g189 +V\u000a\u000a +p2850 +tp2851 +a(g358 +V# We're a child. Make us a label out of the current PID structure +p2852 +tp2853 +a(g189 +V\u000a +p2854 +tp2855 +a(g358 +V# recorded in PERLDB_PIDS plus our (new) PID. Mark us as not having +p2856 +tp2857 +a(g189 +V\u000a +p2858 +tp2859 +a(g358 +V# a term yet so the parent will give us one later via resetterm(). +p2860 +tp2861 +a(g189 +V\u000a +p2862 +tp2863 +a(g73 +V$ +tp2864 +a(g73 +Vpids +p2865 +tp2866 +a(g189 +V +tp2867 +a(g344 +V= +tp2868 +a(g189 +V +tp2869 +a(g226 +V"[$ENV{PERLDB_PIDS}]" +p2870 +tp2871 +a(g202 +V; +tp2872 +a(g189 +V\u000a +p2873 +tp2874 +a(g73 +V$ +tp2875 +a(g73 +VENV +p2876 +tp2877 +a(g202 +V{ +tp2878 +a(g18 +V +tp2879 +a(g18 +VPERLDB_PIDS +p2880 +tp2881 +a(g202 +V} +tp2882 +a(g189 +V +tp2883 +a(g344 +V. +tp2884 +a(g344 +V= +tp2885 +a(g189 +V +tp2886 +a(g226 +V"->$$" +p2887 +tp2888 +a(g202 +V; +tp2889 +a(g189 +V\u000a +p2890 +tp2891 +a(g73 +V$ +tp2892 +a(g73 +Vterm_pid +p2893 +tp2894 +a(g189 +V +tp2895 +a(g344 +V= +tp2896 +a(g189 +V +tp2897 +a(g344 +V- +tp2898 +a(g319 +V1 +tp2899 +a(g202 +V; +tp2900 +a(g189 +V\u000a +tp2901 +a(g202 +V} +tp2902 +a(g189 +V +tp2903 +a(g358 +V## end if (defined $ENV{PERLDB_PIDS... +p2904 +tp2905 +a(g189 +V\u000a +tp2906 +a(g111 +Velse +p2907 +tp2908 +a(g189 +V +tp2909 +a(g202 +V{ +tp2910 +a(g189 +V\u000a\u000a +p2911 +tp2912 +a(g358 +V# We're the parent PID. Initialize PERLDB_PID in case we end up with a +p2913 +tp2914 +a(g189 +V\u000a +p2915 +tp2916 +a(g358 +V# child debugger, and mark us as the parent, so we'll know to set up +p2917 +tp2918 +a(g189 +V\u000a +p2919 +tp2920 +a(g358 +V# more TTY's is we have to. +p2921 +tp2922 +a(g189 +V\u000a +p2923 +tp2924 +a(g73 +V$ +tp2925 +a(g73 +VENV +p2926 +tp2927 +a(g202 +V{ +tp2928 +a(g18 +V +tp2929 +a(g18 +VPERLDB_PIDS +p2930 +tp2931 +a(g202 +V} +tp2932 +a(g189 +V +tp2933 +a(g344 +V= +tp2934 +a(g189 +V +tp2935 +a(g226 +V"$$" +p2936 +tp2937 +a(g202 +V; +tp2938 +a(g189 +V\u000a +p2939 +tp2940 +a(g73 +V$ +tp2941 +a(g73 +Vpids +p2942 +tp2943 +a(g189 +V +p2944 +tp2945 +a(g344 +V= +tp2946 +a(g189 +V +tp2947 +a(g226 +V"{pid=$$}" +p2948 +tp2949 +a(g202 +V; +tp2950 +a(g189 +V\u000a +p2951 +tp2952 +a(g73 +V$ +tp2953 +a(g73 +Vterm_pid +p2954 +tp2955 +a(g189 +V +p2956 +tp2957 +a(g344 +V= +tp2958 +a(g189 +V +tp2959 +a(g76 +V$$ +p2960 +tp2961 +a(g202 +V; +tp2962 +a(g189 +V\u000a +tp2963 +a(g202 +V} +tp2964 +a(g189 +V\u000a\u000a +p2965 +tp2966 +a(g73 +V$ +tp2967 +a(g73 +Vpidprompt +p2968 +tp2969 +a(g189 +V +tp2970 +a(g344 +V= +tp2971 +a(g189 +V +tp2972 +a(g226 +V'' +p2973 +tp2974 +a(g202 +V; +tp2975 +a(g189 +V\u000a\u000a +p2976 +tp2977 +a(g358 +V# Sets up $emacs as a synonym for $slave_editor. +p2978 +tp2979 +a(g189 +V\u000a +tp2980 +a(g344 +V* +tp2981 +a(g18 +V +tp2982 +a(g18 +Vemacs +p2983 +tp2984 +a(g189 +V +tp2985 +a(g344 +V= +tp2986 +a(g189 +V +tp2987 +a(g73 +V$ +tp2988 +a(g73 +Vslave_editor +p2989 +tp2990 +a(g189 +V +tp2991 +a(g111 +Vif +p2992 +tp2993 +a(g189 +V +tp2994 +a(g73 +V$ +tp2995 +a(g73 +Vslave_editor +p2996 +tp2997 +a(g202 +V; +tp2998 +a(g189 +V +p2999 +tp3000 +a(g358 +V# May be used in afterinit()... +p3001 +tp3002 +a(g189 +V\u000a\u000a +p3003 +tp3004 +a(g7 +V=head2 READING THE RC FILE\u000a\u000aThe debugger will read a file of initialization options if supplied. If \u000arunning interactively, this is C<.perldb>; if not, it's C.\u000a\u000a=cut +p3005 +tp3006 +a(g189 +V \u000a\u000a +p3007 +tp3008 +a(g358 +V# As noted, this test really doesn't check accurately that the debugger +p3009 +tp3010 +a(g189 +V\u000a +tp3011 +a(g358 +V# is running at a terminal or not. +p3012 +tp3013 +a(g189 +V\u000a\u000a +p3014 +tp3015 +a(g111 +Vif +p3016 +tp3017 +a(g189 +V +tp3018 +a(g202 +V( +tp3019 +a(g189 +V +tp3020 +a(g344 +V- +tp3021 +a(g18 +V +tp3022 +a(g18 +Ve +tp3023 +a(g189 +V +tp3024 +a(g226 +V"/dev/tty" +p3025 +tp3026 +a(g189 +V +tp3027 +a(g202 +V) +tp3028 +a(g189 +V +tp3029 +a(g202 +V{ +tp3030 +a(g189 +V +p3031 +tp3032 +a(g358 +V# this is the wrong metric! +p3033 +tp3034 +a(g189 +V\u000a +p3035 +tp3036 +a(g73 +V$ +tp3037 +a(g73 +Vrcfile +p3038 +tp3039 +a(g189 +V +tp3040 +a(g344 +V= +tp3041 +a(g189 +V +tp3042 +a(g226 +V".perldb" +p3043 +tp3044 +a(g202 +V; +tp3045 +a(g189 +V\u000a +tp3046 +a(g202 +V} +tp3047 +a(g189 +V\u000a +tp3048 +a(g111 +Velse +p3049 +tp3050 +a(g189 +V +tp3051 +a(g202 +V{ +tp3052 +a(g189 +V\u000a +p3053 +tp3054 +a(g73 +V$ +tp3055 +a(g73 +Vrcfile +p3056 +tp3057 +a(g189 +V +tp3058 +a(g344 +V= +tp3059 +a(g189 +V +tp3060 +a(g226 +V"perldb.ini" +p3061 +tp3062 +a(g202 +V; +tp3063 +a(g189 +V\u000a +tp3064 +a(g202 +V} +tp3065 +a(g189 +V\u000a\u000a +p3066 +tp3067 +a(g7 +V=pod\u000a\u000aThe debugger does a safety test of the file to be read. It must be owned\u000aeither by the current user or root, and must only be writable by the owner.\u000a\u000a=cut +p3068 +tp3069 +a(g189 +V\u000a\u000a +p3070 +tp3071 +a(g358 +V# This wraps a safety test around "do" to read and evaluate the init file. +p3072 +tp3073 +a(g189 +V\u000a +tp3074 +a(g358 +V# +tp3075 +a(g189 +V\u000a +tp3076 +a(g358 +V# This isn't really safe, because there's a race +p3077 +tp3078 +a(g189 +V\u000a +tp3079 +a(g358 +V# between checking and opening. The solution is to +p3080 +tp3081 +a(g189 +V\u000a +tp3082 +a(g358 +V# open and fstat the handle, but then you have to read and +p3083 +tp3084 +a(g189 +V\u000a +tp3085 +a(g358 +V# eval the contents. But then the silly thing gets +p3086 +tp3087 +a(g189 +V\u000a +tp3088 +a(g358 +V# your lexical scope, which is unfortunate at best. +p3089 +tp3090 +a(g189 +V\u000a +tp3091 +a(g111 +Vsub +p3092 +tp3093 +a(g21 +Vsafe_do +p3094 +tp3095 +a(g189 +V +tp3096 +a(g202 +V{ +tp3097 +a(g189 +V\u000a +p3098 +tp3099 +a(g111 +Vmy +p3100 +tp3101 +a(g189 +V +tp3102 +a(g73 +V$ +tp3103 +a(g73 +Vfile +p3104 +tp3105 +a(g189 +V +tp3106 +a(g344 +V= +tp3107 +a(g189 +V +tp3108 +a(g57 +Vshift +p3109 +tp3110 +a(g202 +V; +tp3111 +a(g189 +V\u000a\u000a +p3112 +tp3113 +a(g358 +V# Just exactly what part of the word "CORE::" don't you understand? +p3114 +tp3115 +a(g189 +V\u000a +p3116 +tp3117 +a(g57 +Vlocal +p3118 +tp3119 +a(g189 +V +tp3120 +a(g73 +V$ +tp3121 +a(g73 +VSIG +p3122 +tp3123 +a(g202 +V{ +tp3124 +a(g60 +V__WARN__ +p3125 +tp3126 +a(g202 +V} +tp3127 +a(g202 +V; +tp3128 +a(g189 +V\u000a +p3129 +tp3130 +a(g57 +Vlocal +p3131 +tp3132 +a(g189 +V +tp3133 +a(g73 +V$ +tp3134 +a(g73 +VSIG +p3135 +tp3136 +a(g202 +V{ +tp3137 +a(g60 +V__DIE__ +p3138 +tp3139 +a(g202 +V} +tp3140 +a(g202 +V; +tp3141 +a(g189 +V\u000a\u000a +p3142 +tp3143 +a(g111 +Vunless +p3144 +tp3145 +a(g189 +V +tp3146 +a(g202 +V( +tp3147 +a(g189 +V +tp3148 +a(g18 +V +tp3149 +a(g18 +Vis_safe_file +p3150 +tp3151 +a(g202 +V( +tp3152 +a(g73 +V$ +tp3153 +a(g73 +Vfile +p3154 +tp3155 +a(g202 +V) +tp3156 +a(g189 +V +tp3157 +a(g202 +V) +tp3158 +a(g189 +V +tp3159 +a(g202 +V{ +tp3160 +a(g189 +V\u000a +p3161 +tp3162 +a(g18 +V +tp3163 +a(g99 +VCORE:: +p3164 +tp3165 +a(g18 +Vwarn +p3166 +tp3167 +a(g189 +V +tp3168 +a(g226 +V< command is invoked, it\u000atries to capture all of the state it can into environment variables, and\u000athen sets C. When we start executing again, we check to see\u000aif C is there; if so, we reload all the information that\u000athe R command stuffed into the environment variables.\u000a\u000a PERLDB_RESTART - flag only, contains no restart data itself. \u000a PERLDB_HIST - command history, if it's available\u000a PERLDB_ON_LOAD - breakpoints set by the rc file\u000a PERLDB_POSTPONE - subs that have been loaded/not executed, and have actions\u000a PERLDB_VISITED - files that had breakpoints\u000a PERLDB_FILE_... - breakpoints for a file\u000a PERLDB_OPT - active options\u000a PERLDB_INC - the original @INC\u000a PERLDB_PRETYPE - preprompt debugger actions\u000a PERLDB_PRE - preprompt Perl code\u000a PERLDB_POST - post-prompt Perl code\u000a PERLDB_TYPEAHEAD - typeahead captured by readline()\u000a\u000aWe chug through all these variables and plug the values saved in them\u000aback into the appropriate spots in the debugger.\u000a\u000a=cut +p3777 +tp3778 +a(g189 +V\u000a\u000a +p3779 +tp3780 +a(g111 +Vif +p3781 +tp3782 +a(g189 +V +tp3783 +a(g202 +V( +tp3784 +a(g189 +V +tp3785 +a(g57 +Vexists +p3786 +tp3787 +a(g189 +V +tp3788 +a(g73 +V$ +tp3789 +a(g73 +VENV +p3790 +tp3791 +a(g202 +V{ +tp3792 +a(g18 +V +tp3793 +a(g18 +VPERLDB_RESTART +p3794 +tp3795 +a(g202 +V} +tp3796 +a(g189 +V +tp3797 +a(g202 +V) +tp3798 +a(g189 +V +tp3799 +a(g202 +V{ +tp3800 +a(g189 +V\u000a\u000a +p3801 +tp3802 +a(g358 +V# We're restarting, so we don't need the flag that says to restart anymore. +p3803 +tp3804 +a(g189 +V\u000a +p3805 +tp3806 +a(g57 +Vdelete +p3807 +tp3808 +a(g189 +V +tp3809 +a(g73 +V$ +tp3810 +a(g73 +VENV +p3811 +tp3812 +a(g202 +V{ +tp3813 +a(g18 +V +tp3814 +a(g18 +VPERLDB_RESTART +p3815 +tp3816 +a(g202 +V} +tp3817 +a(g202 +V; +tp3818 +a(g189 +V\u000a\u000a +p3819 +tp3820 +a(g358 +V# $restart = 1; +p3821 +tp3822 +a(g189 +V\u000a +p3823 +tp3824 +a(g73 +V@ +tp3825 +a(g73 +Vhist +p3826 +tp3827 +a(g189 +V +p3828 +tp3829 +a(g344 +V= +tp3830 +a(g189 +V +tp3831 +a(g18 +V +tp3832 +a(g18 +Vget_list +p3833 +tp3834 +a(g202 +V( +tp3835 +a(g226 +V'PERLDB_HIST' +p3836 +tp3837 +a(g202 +V) +tp3838 +a(g202 +V; +tp3839 +a(g189 +V\u000a +p3840 +tp3841 +a(g73 +V% +tp3842 +a(g73 +Vbreak_on_load +p3843 +tp3844 +a(g189 +V +tp3845 +a(g344 +V= +tp3846 +a(g189 +V +tp3847 +a(g18 +V +tp3848 +a(g18 +Vget_list +p3849 +tp3850 +a(g202 +V( +tp3851 +a(g226 +V"PERLDB_ON_LOAD" +p3852 +tp3853 +a(g202 +V) +tp3854 +a(g202 +V; +tp3855 +a(g189 +V\u000a +p3856 +tp3857 +a(g73 +V% +tp3858 +a(g73 +Vpostponed +p3859 +tp3860 +a(g189 +V +p3861 +tp3862 +a(g344 +V= +tp3863 +a(g189 +V +tp3864 +a(g18 +V +tp3865 +a(g18 +Vget_list +p3866 +tp3867 +a(g202 +V( +tp3868 +a(g226 +V"PERLDB_POSTPONE" +p3869 +tp3870 +a(g202 +V) +tp3871 +a(g202 +V; +tp3872 +a(g189 +V\u000a\u000a +p3873 +tp3874 +a(g18 +V +tp3875 +a(g18 +Vshare +p3876 +tp3877 +a(g202 +V( +tp3878 +a(g73 +V@ +tp3879 +a(g73 +Vhist +p3880 +tp3881 +a(g202 +V) +tp3882 +a(g202 +V; +tp3883 +a(g189 +V\u000a +p3884 +tp3885 +a(g18 +V +tp3886 +a(g18 +Vshare +p3887 +tp3888 +a(g202 +V( +tp3889 +a(g73 +V@ +tp3890 +a(g73 +Vtruehist +p3891 +tp3892 +a(g202 +V) +tp3893 +a(g202 +V; +tp3894 +a(g189 +V\u000a +p3895 +tp3896 +a(g18 +V +tp3897 +a(g18 +Vshare +p3898 +tp3899 +a(g202 +V( +tp3900 +a(g73 +V% +tp3901 +a(g73 +Vbreak_on_load +p3902 +tp3903 +a(g202 +V) +tp3904 +a(g202 +V; +tp3905 +a(g189 +V\u000a +p3906 +tp3907 +a(g18 +V +tp3908 +a(g18 +Vshare +p3909 +tp3910 +a(g202 +V( +tp3911 +a(g73 +V% +tp3912 +a(g73 +Vpostponed +p3913 +tp3914 +a(g202 +V) +tp3915 +a(g202 +V; +tp3916 +a(g189 +V\u000a\u000a +p3917 +tp3918 +a(g358 +V# restore breakpoints/actions +p3919 +tp3920 +a(g189 +V\u000a +p3921 +tp3922 +a(g111 +Vmy +p3923 +tp3924 +a(g189 +V +tp3925 +a(g73 +V@ +tp3926 +a(g73 +Vhad_breakpoints +p3927 +tp3928 +a(g189 +V +tp3929 +a(g344 +V= +tp3930 +a(g189 +V +tp3931 +a(g18 +V +tp3932 +a(g18 +Vget_list +p3933 +tp3934 +a(g202 +V( +tp3935 +a(g226 +V"PERLDB_VISITED" +p3936 +tp3937 +a(g202 +V) +tp3938 +a(g202 +V; +tp3939 +a(g189 +V\u000a +p3940 +tp3941 +a(g111 +Vfor +p3942 +tp3943 +a(g189 +V +tp3944 +a(g202 +V( +tp3945 +a(g189 +V +tp3946 +a(g319 +V0 +tp3947 +a(g189 +V +tp3948 +a(g344 +V.. +p3949 +tp3950 +a(g189 +V +tp3951 +a(g73 +V$# +p3952 +tp3953 +a(g73 +Vhad_breakpoints +p3954 +tp3955 +a(g189 +V +tp3956 +a(g202 +V) +tp3957 +a(g189 +V +tp3958 +a(g202 +V{ +tp3959 +a(g189 +V\u000a +p3960 +tp3961 +a(g111 +Vmy +p3962 +tp3963 +a(g189 +V +tp3964 +a(g73 +V% +tp3965 +a(g73 +Vpf +p3966 +tp3967 +a(g189 +V +tp3968 +a(g344 +V= +tp3969 +a(g189 +V +tp3970 +a(g18 +V +tp3971 +a(g18 +Vget_list +p3972 +tp3973 +a(g202 +V( +tp3974 +a(g226 +V"PERLDB_FILE_$_" +p3975 +tp3976 +a(g202 +V) +tp3977 +a(g202 +V; +tp3978 +a(g189 +V\u000a +p3979 +tp3980 +a(g73 +V$ +tp3981 +a(g73 +Vpostponed_file +p3982 +tp3983 +a(g202 +V{ +tp3984 +a(g189 +V +tp3985 +a(g73 +V$ +tp3986 +a(g73 +Vhad_breakpoints +p3987 +tp3988 +a(g202 +V[ +tp3989 +a(g73 +V$ +tp3990 +a(g73 +V_ +tp3991 +a(g202 +V] +tp3992 +a(g189 +V +tp3993 +a(g202 +V} +tp3994 +a(g189 +V +tp3995 +a(g344 +V= +tp3996 +a(g189 +V +tp3997 +a(g344 +V\u005c +tp3998 +a(g73 +V% +tp3999 +a(g73 +Vpf +p4000 +tp4001 +a(g189 +V +tp4002 +a(g111 +Vif +p4003 +tp4004 +a(g189 +V +tp4005 +a(g73 +V% +tp4006 +a(g73 +Vpf +p4007 +tp4008 +a(g202 +V; +tp4009 +a(g189 +V\u000a +p4010 +tp4011 +a(g202 +V} +tp4012 +a(g189 +V\u000a\u000a +p4013 +tp4014 +a(g358 +V# restore options +p4015 +tp4016 +a(g189 +V\u000a +p4017 +tp4018 +a(g111 +Vmy +p4019 +tp4020 +a(g189 +V +tp4021 +a(g73 +V% +tp4022 +a(g73 +Vopt +p4023 +tp4024 +a(g189 +V +tp4025 +a(g344 +V= +tp4026 +a(g189 +V +tp4027 +a(g18 +V +tp4028 +a(g18 +Vget_list +p4029 +tp4030 +a(g202 +V( +tp4031 +a(g226 +V"PERLDB_OPT" +p4032 +tp4033 +a(g202 +V) +tp4034 +a(g202 +V; +tp4035 +a(g189 +V\u000a +p4036 +tp4037 +a(g111 +Vmy +p4038 +tp4039 +a(g189 +V +tp4040 +a(g202 +V( +tp4041 +a(g189 +V +tp4042 +a(g73 +V$ +tp4043 +a(g73 +Vopt +p4044 +tp4045 +a(g202 +V, +tp4046 +a(g189 +V +tp4047 +a(g73 +V$ +tp4048 +a(g73 +Vval +p4049 +tp4050 +a(g189 +V +tp4051 +a(g202 +V) +tp4052 +a(g202 +V; +tp4053 +a(g189 +V\u000a +p4054 +tp4055 +a(g111 +Vwhile +p4056 +tp4057 +a(g189 +V +tp4058 +a(g202 +V( +tp4059 +a(g189 +V +tp4060 +a(g202 +V( +tp4061 +a(g189 +V +tp4062 +a(g73 +V$ +tp4063 +a(g73 +Vopt +p4064 +tp4065 +a(g202 +V, +tp4066 +a(g189 +V +tp4067 +a(g73 +V$ +tp4068 +a(g73 +Vval +p4069 +tp4070 +a(g189 +V +tp4071 +a(g202 +V) +tp4072 +a(g189 +V +tp4073 +a(g344 +V= +tp4074 +a(g189 +V +tp4075 +a(g57 +Veach +p4076 +tp4077 +a(g189 +V +tp4078 +a(g73 +V% +tp4079 +a(g73 +Vopt +p4080 +tp4081 +a(g189 +V +tp4082 +a(g202 +V) +tp4083 +a(g189 +V +tp4084 +a(g202 +V{ +tp4085 +a(g189 +V\u000a +p4086 +tp4087 +a(g73 +V$ +tp4088 +a(g73 +Vval +p4089 +tp4090 +a(g189 +V +tp4091 +a(g344 +V=~ +p4092 +tp4093 +a(g189 +V +tp4094 +a(g229 +Vs/[\u005c\u005c\u005c']/\u005c\u005c$1/g +p4095 +tp4096 +a(g202 +V; +tp4097 +a(g189 +V\u000a +p4098 +tp4099 +a(g18 +V +tp4100 +a(g18 +Vparse_options +p4101 +tp4102 +a(g202 +V( +tp4103 +a(g226 +V"$opt'$val'" +p4104 +tp4105 +a(g202 +V) +tp4106 +a(g202 +V; +tp4107 +a(g189 +V\u000a +p4108 +tp4109 +a(g202 +V} +tp4110 +a(g189 +V\u000a\u000a +p4111 +tp4112 +a(g358 +V# restore original @INC +p4113 +tp4114 +a(g189 +V\u000a +p4115 +tp4116 +a(g73 +V@ +tp4117 +a(g73 +VINC +p4118 +tp4119 +a(g189 +V +p4120 +tp4121 +a(g344 +V= +tp4122 +a(g189 +V +tp4123 +a(g18 +V +tp4124 +a(g18 +Vget_list +p4125 +tp4126 +a(g202 +V( +tp4127 +a(g226 +V"PERLDB_INC" +p4128 +tp4129 +a(g202 +V) +tp4130 +a(g202 +V; +tp4131 +a(g189 +V\u000a +p4132 +tp4133 +a(g73 +V@ +tp4134 +a(g73 +Vini_INC +p4135 +tp4136 +a(g189 +V +tp4137 +a(g344 +V= +tp4138 +a(g189 +V +tp4139 +a(g73 +V@ +tp4140 +a(g73 +VINC +p4141 +tp4142 +a(g202 +V; +tp4143 +a(g189 +V\u000a\u000a +p4144 +tp4145 +a(g358 +V# return pre/postprompt actions and typeahead buffer +p4146 +tp4147 +a(g189 +V\u000a +p4148 +tp4149 +a(g73 +V$ +tp4150 +a(g73 +Vpretype +p4151 +tp4152 +a(g189 +V +p4153 +tp4154 +a(g344 +V= +tp4155 +a(g189 +V +tp4156 +a(g202 +V[ +tp4157 +a(g189 +V +tp4158 +a(g18 +V +tp4159 +a(g18 +Vget_list +p4160 +tp4161 +a(g202 +V( +tp4162 +a(g226 +V"PERLDB_PRETYPE" +p4163 +tp4164 +a(g202 +V) +tp4165 +a(g189 +V +tp4166 +a(g202 +V] +tp4167 +a(g202 +V; +tp4168 +a(g189 +V\u000a +p4169 +tp4170 +a(g73 +V$ +tp4171 +a(g73 +Vpre +p4172 +tp4173 +a(g189 +V +p4174 +tp4175 +a(g344 +V= +tp4176 +a(g189 +V +tp4177 +a(g202 +V[ +tp4178 +a(g189 +V +tp4179 +a(g18 +V +tp4180 +a(g18 +Vget_list +p4181 +tp4182 +a(g202 +V( +tp4183 +a(g226 +V"PERLDB_PRE" +p4184 +tp4185 +a(g202 +V) +tp4186 +a(g189 +V +tp4187 +a(g202 +V] +tp4188 +a(g202 +V; +tp4189 +a(g189 +V\u000a +p4190 +tp4191 +a(g73 +V$ +tp4192 +a(g73 +Vpost +p4193 +tp4194 +a(g189 +V +p4195 +tp4196 +a(g344 +V= +tp4197 +a(g189 +V +tp4198 +a(g202 +V[ +tp4199 +a(g189 +V +tp4200 +a(g18 +V +tp4201 +a(g18 +Vget_list +p4202 +tp4203 +a(g202 +V( +tp4204 +a(g226 +V"PERLDB_POST" +p4205 +tp4206 +a(g202 +V) +tp4207 +a(g189 +V +tp4208 +a(g202 +V] +tp4209 +a(g202 +V; +tp4210 +a(g189 +V\u000a +p4211 +tp4212 +a(g73 +V@ +tp4213 +a(g73 +Vtypeahead +p4214 +tp4215 +a(g189 +V +tp4216 +a(g344 +V= +tp4217 +a(g189 +V +tp4218 +a(g18 +V +tp4219 +a(g18 +Vget_list +p4220 +tp4221 +a(g202 +V( +tp4222 +a(g189 +V +tp4223 +a(g226 +V"PERLDB_TYPEAHEAD" +p4224 +tp4225 +a(g202 +V, +tp4226 +a(g189 +V +tp4227 +a(g73 +V@ +tp4228 +a(g73 +Vtypeahead +p4229 +tp4230 +a(g189 +V +tp4231 +a(g202 +V) +tp4232 +a(g202 +V; +tp4233 +a(g189 +V\u000a +tp4234 +a(g202 +V} +tp4235 +a(g189 +V +tp4236 +a(g358 +V## end if (exists $ENV{PERLDB_RESTART... +p4237 +tp4238 +a(g189 +V\u000a\u000a +p4239 +tp4240 +a(g7 +V=head2 SETTING UP THE TERMINAL\u000a\u000aNow, we'll decide how the debugger is going to interact with the user.\u000aIf there's no TTY, we set the debugger to run non-stop; there's not going\u000ato be anyone there to enter commands.\u000a\u000a=cut +p4241 +tp4242 +a(g189 +V\u000a\u000a +p4243 +tp4244 +a(g111 +Vif +p4245 +tp4246 +a(g189 +V +tp4247 +a(g202 +V( +tp4248 +a(g73 +V$ +tp4249 +a(g73 +Vnotty +p4250 +tp4251 +a(g202 +V) +tp4252 +a(g189 +V +tp4253 +a(g202 +V{ +tp4254 +a(g189 +V\u000a +p4255 +tp4256 +a(g73 +V$ +tp4257 +a(g73 +Vrunnonstop +p4258 +tp4259 +a(g189 +V +tp4260 +a(g344 +V= +tp4261 +a(g189 +V +tp4262 +a(g319 +V1 +tp4263 +a(g202 +V; +tp4264 +a(g189 +V\u000a +p4265 +tp4266 +a(g18 +V +tp4267 +a(g18 +Vshare +p4268 +tp4269 +a(g202 +V( +tp4270 +a(g73 +V$ +tp4271 +a(g73 +Vrunnonstop +p4272 +tp4273 +a(g202 +V) +tp4274 +a(g202 +V; +tp4275 +a(g189 +V\u000a +tp4276 +a(g202 +V} +tp4277 +a(g189 +V\u000a\u000a +p4278 +tp4279 +a(g7 +V=pod\u000a\u000aIf there is a TTY, we have to determine who it belongs to before we can\u000aproceed. If this is a slave editor or graphical debugger (denoted by\u000athe first command-line switch being '-emacs'), we shift this off and\u000aset C<$rl> to 0 (XXX ostensibly to do straight reads).\u000a\u000a=cut +p4280 +tp4281 +a(g189 +V\u000a\u000a +p4282 +tp4283 +a(g111 +Velse +p4284 +tp4285 +a(g189 +V +tp4286 +a(g202 +V{ +tp4287 +a(g189 +V\u000a\u000a +p4288 +tp4289 +a(g358 +V# Is Perl being run from a slave editor or graphical debugger? +p4290 +tp4291 +a(g189 +V\u000a +p4292 +tp4293 +a(g358 +V# If so, don't use readline, and set $slave_editor = 1. +p4294 +tp4295 +a(g189 +V\u000a +p4296 +tp4297 +a(g73 +V$ +tp4298 +a(g73 +Vslave_editor +p4299 +tp4300 +a(g189 +V +tp4301 +a(g344 +V= +tp4302 +a(g189 +V\u000a +p4303 +tp4304 +a(g202 +V( +tp4305 +a(g189 +V +tp4306 +a(g202 +V( +tp4307 +a(g189 +V +tp4308 +a(g57 +Vdefined +p4309 +tp4310 +a(g189 +V +tp4311 +a(g73 +V$ +tp4312 +a(g99 +Vmain:: +p4313 +tp4314 +a(g73 +VARGV +p4315 +tp4316 +a(g202 +V[ +tp4317 +a(g319 +V0 +tp4318 +a(g202 +V] +tp4319 +a(g189 +V +tp4320 +a(g202 +V) +tp4321 +a(g189 +V +tp4322 +a(g348 +Vand +p4323 +tp4324 +a(g189 +V +tp4325 +a(g202 +V( +tp4326 +a(g189 +V +tp4327 +a(g73 +V$ +tp4328 +a(g99 +Vmain:: +p4329 +tp4330 +a(g73 +VARGV +p4331 +tp4332 +a(g202 +V[ +tp4333 +a(g319 +V0 +tp4334 +a(g202 +V] +tp4335 +a(g189 +V +tp4336 +a(g348 +Veq +p4337 +tp4338 +a(g189 +V +tp4339 +a(g226 +V'-emacs' +p4340 +tp4341 +a(g189 +V +tp4342 +a(g202 +V) +tp4343 +a(g189 +V +tp4344 +a(g202 +V) +tp4345 +a(g202 +V; +tp4346 +a(g189 +V\u000a +p4347 +tp4348 +a(g73 +V$ +tp4349 +a(g73 +Vrl +p4350 +tp4351 +a(g189 +V +tp4352 +a(g344 +V= +tp4353 +a(g189 +V +tp4354 +a(g319 +V0 +tp4355 +a(g202 +V, +tp4356 +a(g189 +V +tp4357 +a(g57 +Vshift +p4358 +tp4359 +a(g202 +V( +tp4360 +a(g73 +V@ +tp4361 +a(g99 +Vmain:: +p4362 +tp4363 +a(g73 +VARGV +p4364 +tp4365 +a(g202 +V) +tp4366 +a(g189 +V +tp4367 +a(g111 +Vif +p4368 +tp4369 +a(g189 +V +tp4370 +a(g73 +V$ +tp4371 +a(g73 +Vslave_editor +p4372 +tp4373 +a(g202 +V; +tp4374 +a(g189 +V\u000a\u000a +p4375 +tp4376 +a(g358 +V#require Term::ReadLine; +p4377 +tp4378 +a(g189 +V\u000a\u000a +p4379 +tp4380 +a(g7 +V=pod\u000a\u000aWe then determine what the console should be on various systems:\u000a\u000a=over 4\u000a\u000a=item * Cygwin - We use C instead of a separate device.\u000a\u000a=cut +p4381 +tp4382 +a(g189 +V\u000a\u000a +p4383 +tp4384 +a(g111 +Vif +p4385 +tp4386 +a(g189 +V +tp4387 +a(g202 +V( +tp4388 +a(g189 +V +tp4389 +a(g76 +V$^O +p4390 +tp4391 +a(g189 +V +tp4392 +a(g348 +Veq +p4393 +tp4394 +a(g189 +V +tp4395 +a(g226 +V'cygwin' +p4396 +tp4397 +a(g189 +V +tp4398 +a(g202 +V) +tp4399 +a(g189 +V +tp4400 +a(g202 +V{ +tp4401 +a(g189 +V\u000a\u000a +p4402 +tp4403 +a(g358 +V# /dev/tty is binary. use stdin for textmode +p4404 +tp4405 +a(g189 +V\u000a +p4406 +tp4407 +a(g57 +Vundef +p4408 +tp4409 +a(g189 +V +tp4410 +a(g73 +V$ +tp4411 +a(g73 +Vconsole +p4412 +tp4413 +a(g202 +V; +tp4414 +a(g189 +V\u000a +p4415 +tp4416 +a(g202 +V} +tp4417 +a(g189 +V\u000a\u000a +p4418 +tp4419 +a(g7 +V=item * Unix - use C.\u000a\u000a=cut +p4420 +tp4421 +a(g189 +V\u000a\u000a +p4422 +tp4423 +a(g111 +Velsif +p4424 +tp4425 +a(g189 +V +tp4426 +a(g202 +V( +tp4427 +a(g189 +V +tp4428 +a(g344 +V- +tp4429 +a(g18 +V +tp4430 +a(g18 +Ve +tp4431 +a(g189 +V +tp4432 +a(g226 +V"/dev/tty" +p4433 +tp4434 +a(g189 +V +tp4435 +a(g202 +V) +tp4436 +a(g189 +V +tp4437 +a(g202 +V{ +tp4438 +a(g189 +V\u000a +p4439 +tp4440 +a(g73 +V$ +tp4441 +a(g73 +Vconsole +p4442 +tp4443 +a(g189 +V +tp4444 +a(g344 +V= +tp4445 +a(g189 +V +tp4446 +a(g226 +V"/dev/tty" +p4447 +tp4448 +a(g202 +V; +tp4449 +a(g189 +V\u000a +p4450 +tp4451 +a(g202 +V} +tp4452 +a(g189 +V\u000a\u000a +p4453 +tp4454 +a(g7 +V=item * Windows or MSDOS - use C.\u000a\u000a=cut +p4455 +tp4456 +a(g189 +V\u000a\u000a +p4457 +tp4458 +a(g111 +Velsif +p4459 +tp4460 +a(g189 +V +tp4461 +a(g202 +V( +tp4462 +a(g189 +V +tp4463 +a(g76 +V$^O +p4464 +tp4465 +a(g189 +V +tp4466 +a(g348 +Veq +p4467 +tp4468 +a(g189 +V +tp4469 +a(g226 +V'dos' +p4470 +tp4471 +a(g189 +V +tp4472 +a(g348 +Vor +p4473 +tp4474 +a(g189 +V +tp4475 +a(g344 +V- +tp4476 +a(g18 +V +tp4477 +a(g18 +Ve +tp4478 +a(g189 +V +tp4479 +a(g226 +V"con" +p4480 +tp4481 +a(g189 +V +tp4482 +a(g348 +Vor +p4483 +tp4484 +a(g189 +V +tp4485 +a(g76 +V$^O +p4486 +tp4487 +a(g189 +V +tp4488 +a(g348 +Veq +p4489 +tp4490 +a(g189 +V +tp4491 +a(g226 +V'MSWin32' +p4492 +tp4493 +a(g189 +V +tp4494 +a(g202 +V) +tp4495 +a(g189 +V +tp4496 +a(g202 +V{ +tp4497 +a(g189 +V\u000a +p4498 +tp4499 +a(g73 +V$ +tp4500 +a(g73 +Vconsole +p4501 +tp4502 +a(g189 +V +tp4503 +a(g344 +V= +tp4504 +a(g189 +V +tp4505 +a(g226 +V"con" +p4506 +tp4507 +a(g202 +V; +tp4508 +a(g189 +V\u000a +p4509 +tp4510 +a(g202 +V} +tp4511 +a(g189 +V\u000a\u000a +p4512 +tp4513 +a(g7 +V=item * MacOS - use C if this is the MPW version; C if not.\u000a\u000aNote that Mac OS X returns C, not C. Also note that the debugger doesn't do anything special for C. Maybe it should.\u000a\u000a=cut +p4514 +tp4515 +a(g189 +V\u000a\u000a +p4516 +tp4517 +a(g111 +Velsif +p4518 +tp4519 +a(g189 +V +tp4520 +a(g202 +V( +tp4521 +a(g189 +V +tp4522 +a(g76 +V$^O +p4523 +tp4524 +a(g189 +V +tp4525 +a(g348 +Veq +p4526 +tp4527 +a(g189 +V +tp4528 +a(g226 +V'MacOS' +p4529 +tp4530 +a(g189 +V +tp4531 +a(g202 +V) +tp4532 +a(g189 +V +tp4533 +a(g202 +V{ +tp4534 +a(g189 +V\u000a +p4535 +tp4536 +a(g111 +Vif +p4537 +tp4538 +a(g189 +V +tp4539 +a(g202 +V( +tp4540 +a(g189 +V +tp4541 +a(g73 +V$ +tp4542 +a(g99 +VMacPerl:: +p4543 +tp4544 +a(g73 +VVersion +p4545 +tp4546 +a(g189 +V +tp4547 +a(g344 +V!~ +p4548 +tp4549 +a(g189 +V +tp4550 +a(g229 +V/MPW/ +p4551 +tp4552 +a(g189 +V +tp4553 +a(g202 +V) +tp4554 +a(g189 +V +tp4555 +a(g202 +V{ +tp4556 +a(g189 +V\u000a +p4557 +tp4558 +a(g73 +V$ +tp4559 +a(g73 +Vconsole +p4560 +tp4561 +a(g189 +V +tp4562 +a(g344 +V= +tp4563 +a(g189 +V\u000a +p4564 +tp4565 +a(g226 +V"Dev:Console:Perl Debug" +p4566 +tp4567 +a(g202 +V; +tp4568 +a(g189 +V +p4569 +tp4570 +a(g358 +V# Separate window for application +p4571 +tp4572 +a(g189 +V\u000a +p4573 +tp4574 +a(g202 +V} +tp4575 +a(g189 +V\u000a +p4576 +tp4577 +a(g111 +Velse +p4578 +tp4579 +a(g189 +V +tp4580 +a(g202 +V{ +tp4581 +a(g189 +V\u000a +p4582 +tp4583 +a(g73 +V$ +tp4584 +a(g73 +Vconsole +p4585 +tp4586 +a(g189 +V +tp4587 +a(g344 +V= +tp4588 +a(g189 +V +tp4589 +a(g226 +V"Dev:Console" +p4590 +tp4591 +a(g202 +V; +tp4592 +a(g189 +V\u000a +p4593 +tp4594 +a(g202 +V} +tp4595 +a(g189 +V\u000a +p4596 +tp4597 +a(g202 +V} +tp4598 +a(g189 +V +tp4599 +a(g358 +V## end elsif ($^O eq 'MacOS') +p4600 +tp4601 +a(g189 +V\u000a\u000a +p4602 +tp4603 +a(g7 +V=item * VMS - use C.\u000a\u000a=cut +p4604 +tp4605 +a(g189 +V\u000a\u000a +p4606 +tp4607 +a(g111 +Velse +p4608 +tp4609 +a(g189 +V +tp4610 +a(g202 +V{ +tp4611 +a(g189 +V\u000a\u000a +p4612 +tp4613 +a(g358 +V# everything else is ... +p4614 +tp4615 +a(g189 +V\u000a +p4616 +tp4617 +a(g73 +V$ +tp4618 +a(g73 +Vconsole +p4619 +tp4620 +a(g189 +V +tp4621 +a(g344 +V= +tp4622 +a(g189 +V +tp4623 +a(g226 +V"sys\u005c$command" +p4624 +tp4625 +a(g202 +V; +tp4626 +a(g189 +V\u000a +p4627 +tp4628 +a(g202 +V} +tp4629 +a(g189 +V\u000a\u000a +p4630 +tp4631 +a(g7 +V=pod\u000a\u000a=back\u000a\u000aSeveral other systems don't use a specific console. We C\u000afor those (Windows using a slave editor/graphical debugger, NetWare, OS/2\u000awith a slave editor, Epoc).\u000a\u000a=cut +p4632 +tp4633 +a(g189 +V\u000a\u000a +p4634 +tp4635 +a(g111 +Vif +p4636 +tp4637 +a(g189 +V +tp4638 +a(g202 +V( +tp4639 +a(g189 +V +tp4640 +a(g202 +V( +tp4641 +a(g189 +V +tp4642 +a(g76 +V$^O +p4643 +tp4644 +a(g189 +V +tp4645 +a(g348 +Veq +p4646 +tp4647 +a(g189 +V +tp4648 +a(g226 +V'MSWin32' +p4649 +tp4650 +a(g189 +V +tp4651 +a(g202 +V) +tp4652 +a(g189 +V +tp4653 +a(g348 +Vand +p4654 +tp4655 +a(g189 +V +tp4656 +a(g202 +V( +tp4657 +a(g189 +V +tp4658 +a(g73 +V$ +tp4659 +a(g73 +Vslave_editor +p4660 +tp4661 +a(g189 +V +tp4662 +a(g348 +Vor +p4663 +tp4664 +a(g189 +V +tp4665 +a(g57 +Vdefined +p4666 +tp4667 +a(g189 +V +tp4668 +a(g73 +V$ +tp4669 +a(g73 +VENV +p4670 +tp4671 +a(g202 +V{ +tp4672 +a(g18 +V +tp4673 +a(g18 +VEMACS +p4674 +tp4675 +a(g202 +V} +tp4676 +a(g189 +V +tp4677 +a(g202 +V) +tp4678 +a(g189 +V +tp4679 +a(g202 +V) +tp4680 +a(g189 +V +tp4681 +a(g202 +V{ +tp4682 +a(g189 +V\u000a\u000a +p4683 +tp4684 +a(g358 +V# /dev/tty is binary. use stdin for textmode +p4685 +tp4686 +a(g189 +V\u000a +p4687 +tp4688 +a(g73 +V$ +tp4689 +a(g73 +Vconsole +p4690 +tp4691 +a(g189 +V +tp4692 +a(g344 +V= +tp4693 +a(g189 +V +tp4694 +a(g57 +Vundef +p4695 +tp4696 +a(g202 +V; +tp4697 +a(g189 +V\u000a +p4698 +tp4699 +a(g202 +V} +tp4700 +a(g189 +V\u000a\u000a +p4701 +tp4702 +a(g111 +Vif +p4703 +tp4704 +a(g189 +V +tp4705 +a(g202 +V( +tp4706 +a(g189 +V +tp4707 +a(g76 +V$^O +p4708 +tp4709 +a(g189 +V +tp4710 +a(g348 +Veq +p4711 +tp4712 +a(g189 +V +tp4713 +a(g226 +V'NetWare' +p4714 +tp4715 +a(g189 +V +tp4716 +a(g202 +V) +tp4717 +a(g189 +V +tp4718 +a(g202 +V{ +tp4719 +a(g189 +V\u000a\u000a +p4720 +tp4721 +a(g358 +V# /dev/tty is binary. use stdin for textmode +p4722 +tp4723 +a(g189 +V\u000a +p4724 +tp4725 +a(g73 +V$ +tp4726 +a(g73 +Vconsole +p4727 +tp4728 +a(g189 +V +tp4729 +a(g344 +V= +tp4730 +a(g189 +V +tp4731 +a(g57 +Vundef +p4732 +tp4733 +a(g202 +V; +tp4734 +a(g189 +V\u000a +p4735 +tp4736 +a(g202 +V} +tp4737 +a(g189 +V\u000a\u000a +p4738 +tp4739 +a(g358 +V# In OS/2, we need to use STDIN to get textmode too, even though +p4740 +tp4741 +a(g189 +V\u000a +p4742 +tp4743 +a(g358 +V# it pretty much looks like Unix otherwise. +p4744 +tp4745 +a(g189 +V\u000a +p4746 +tp4747 +a(g111 +Vif +p4748 +tp4749 +a(g189 +V +tp4750 +a(g202 +V( +tp4751 +a(g189 +V +tp4752 +a(g57 +Vdefined +p4753 +tp4754 +a(g189 +V +tp4755 +a(g73 +V$ +tp4756 +a(g73 +VENV +p4757 +tp4758 +a(g202 +V{ +tp4759 +a(g18 +V +tp4760 +a(g18 +VOS2_SHELL +p4761 +tp4762 +a(g202 +V} +tp4763 +a(g189 +V +tp4764 +a(g348 +Vand +p4765 +tp4766 +a(g189 +V +tp4767 +a(g202 +V( +tp4768 +a(g189 +V +tp4769 +a(g73 +V$ +tp4770 +a(g73 +Vslave_editor +p4771 +tp4772 +a(g189 +V +tp4773 +a(g348 +Vor +p4774 +tp4775 +a(g189 +V +tp4776 +a(g73 +V$ +tp4777 +a(g73 +VENV +p4778 +tp4779 +a(g202 +V{ +tp4780 +a(g18 +V +tp4781 +a(g18 +VWINDOWID +p4782 +tp4783 +a(g202 +V} +tp4784 +a(g189 +V +tp4785 +a(g202 +V) +tp4786 +a(g189 +V +tp4787 +a(g202 +V) +tp4788 +a(g189 +V\u000a +p4789 +tp4790 +a(g202 +V{ +tp4791 +a(g189 +V +p4792 +tp4793 +a(g358 +V# In OS/2 +p4794 +tp4795 +a(g189 +V\u000a +p4796 +tp4797 +a(g73 +V$ +tp4798 +a(g73 +Vconsole +p4799 +tp4800 +a(g189 +V +tp4801 +a(g344 +V= +tp4802 +a(g189 +V +tp4803 +a(g57 +Vundef +p4804 +tp4805 +a(g202 +V; +tp4806 +a(g189 +V\u000a +p4807 +tp4808 +a(g202 +V} +tp4809 +a(g189 +V\u000a\u000a +p4810 +tp4811 +a(g358 +V# EPOC also falls into the 'got to use STDIN' camp. +p4812 +tp4813 +a(g189 +V\u000a +p4814 +tp4815 +a(g111 +Vif +p4816 +tp4817 +a(g189 +V +tp4818 +a(g202 +V( +tp4819 +a(g189 +V +tp4820 +a(g76 +V$^O +p4821 +tp4822 +a(g189 +V +tp4823 +a(g348 +Veq +p4824 +tp4825 +a(g189 +V +tp4826 +a(g226 +V'epoc' +p4827 +tp4828 +a(g189 +V +tp4829 +a(g202 +V) +tp4830 +a(g189 +V +tp4831 +a(g202 +V{ +tp4832 +a(g189 +V\u000a +p4833 +tp4834 +a(g73 +V$ +tp4835 +a(g73 +Vconsole +p4836 +tp4837 +a(g189 +V +tp4838 +a(g344 +V= +tp4839 +a(g189 +V +tp4840 +a(g57 +Vundef +p4841 +tp4842 +a(g202 +V; +tp4843 +a(g189 +V\u000a +p4844 +tp4845 +a(g202 +V} +tp4846 +a(g189 +V\u000a\u000a +p4847 +tp4848 +a(g7 +V=pod\u000a\u000aIf there is a TTY hanging around from a parent, we use that as the console.\u000a\u000a=cut +p4849 +tp4850 +a(g189 +V\u000a\u000a +p4851 +tp4852 +a(g73 +V$ +tp4853 +a(g73 +Vconsole +p4854 +tp4855 +a(g189 +V +tp4856 +a(g344 +V= +tp4857 +a(g189 +V +tp4858 +a(g73 +V$ +tp4859 +a(g73 +Vtty +p4860 +tp4861 +a(g189 +V +tp4862 +a(g111 +Vif +p4863 +tp4864 +a(g189 +V +tp4865 +a(g57 +Vdefined +p4866 +tp4867 +a(g189 +V +tp4868 +a(g73 +V$ +tp4869 +a(g73 +Vtty +p4870 +tp4871 +a(g202 +V; +tp4872 +a(g189 +V\u000a\u000a +p4873 +tp4874 +a(g7 +V=head2 SOCKET HANDLING \u000a\u000aThe debugger is capable of opening a socket and carrying out a debugging\u000asession over the socket.\u000a\u000aIf C was defined in the options, the debugger assumes that it\u000ashould try to start a debugging session on that port. It builds the socket\u000aand then tries to connect the input and output filehandles to it.\u000a\u000a=cut +p4875 +tp4876 +a(g189 +V\u000a\u000a +p4877 +tp4878 +a(g358 +V# Handle socket stuff. +p4879 +tp4880 +a(g189 +V\u000a\u000a +p4881 +tp4882 +a(g111 +Vif +p4883 +tp4884 +a(g189 +V +tp4885 +a(g202 +V( +tp4886 +a(g189 +V +tp4887 +a(g57 +Vdefined +p4888 +tp4889 +a(g189 +V +tp4890 +a(g73 +V$ +tp4891 +a(g73 +Vremoteport +p4892 +tp4893 +a(g189 +V +tp4894 +a(g202 +V) +tp4895 +a(g189 +V +tp4896 +a(g202 +V{ +tp4897 +a(g189 +V\u000a\u000a +p4898 +tp4899 +a(g358 +V# If RemotePort was defined in the options, connect input and output +p4900 +tp4901 +a(g189 +V\u000a +p4902 +tp4903 +a(g358 +V# to the socket. +p4904 +tp4905 +a(g189 +V\u000a +p4906 +tp4907 +a(g57 +Vrequire +p4908 +tp4909 +a(g189 +V +tp4910 +a(g18 +V +tp4911 +a(g99 +VIO:: +p4912 +tp4913 +a(g18 +VSocket +p4914 +tp4915 +a(g202 +V; +tp4916 +a(g189 +V\u000a +p4917 +tp4918 +a(g73 +V$ +tp4919 +a(g73 +VOUT +p4920 +tp4921 +a(g189 +V +tp4922 +a(g344 +V= +tp4923 +a(g189 +V +tp4924 +a(g111 +Vnew +p4925 +tp4926 +a(g189 +V +tp4927 +a(g18 +V +tp4928 +a(g99 +VIO:: +p4929 +tp4930 +a(g99 +VSocket:: +p4931 +tp4932 +a(g18 +VINET +p4933 +tp4934 +a(g202 +V( +tp4935 +a(g189 +V\u000a +p4936 +tp4937 +a(g18 +V +tp4938 +a(g18 +VTimeout +p4939 +tp4940 +a(g189 +V +p4941 +tp4942 +a(g344 +V= +tp4943 +a(g344 +V> +tp4944 +a(g189 +V +tp4945 +a(g226 +V'10' +p4946 +tp4947 +a(g202 +V, +tp4948 +a(g189 +V\u000a +p4949 +tp4950 +a(g18 +V +tp4951 +a(g18 +VPeerAddr +p4952 +tp4953 +a(g189 +V +tp4954 +a(g344 +V= +tp4955 +a(g344 +V> +tp4956 +a(g189 +V +tp4957 +a(g73 +V$ +tp4958 +a(g73 +Vremoteport +p4959 +tp4960 +a(g202 +V, +tp4961 +a(g189 +V\u000a +p4962 +tp4963 +a(g18 +V +tp4964 +a(g18 +VProto +p4965 +tp4966 +a(g189 +V +p4967 +tp4968 +a(g344 +V= +tp4969 +a(g344 +V> +tp4970 +a(g189 +V +tp4971 +a(g226 +V'tcp' +p4972 +tp4973 +a(g202 +V, +tp4974 +a(g189 +V\u000a +p4975 +tp4976 +a(g202 +V) +tp4977 +a(g202 +V; +tp4978 +a(g189 +V\u000a +p4979 +tp4980 +a(g111 +Vif +p4981 +tp4982 +a(g189 +V +tp4983 +a(g202 +V( +tp4984 +a(g189 +V +tp4985 +a(g344 +V! +tp4986 +a(g73 +V$ +tp4987 +a(g73 +VOUT +p4988 +tp4989 +a(g189 +V +tp4990 +a(g202 +V) +tp4991 +a(g189 +V +tp4992 +a(g202 +V{ +tp4993 +a(g189 +V +tp4994 +a(g57 +Vdie +p4995 +tp4996 +a(g189 +V +tp4997 +a(g226 +V"Unable to connect to remote host: $remoteport\u005cn" +p4998 +tp4999 +a(g202 +V; +tp5000 +a(g189 +V +tp5001 +a(g202 +V} +tp5002 +a(g189 +V\u000a +p5003 +tp5004 +a(g73 +V$ +tp5005 +a(g73 +VIN +p5006 +tp5007 +a(g189 +V +tp5008 +a(g344 +V= +tp5009 +a(g189 +V +tp5010 +a(g73 +V$ +tp5011 +a(g73 +VOUT +p5012 +tp5013 +a(g202 +V; +tp5014 +a(g189 +V\u000a +p5015 +tp5016 +a(g202 +V} +tp5017 +a(g189 +V +tp5018 +a(g358 +V## end if (defined $remoteport) +p5019 +tp5020 +a(g189 +V\u000a\u000a +p5021 +tp5022 +a(g7 +V=pod\u000a\u000aIf no C was defined, and we want to create a TTY on startup,\u000athis is probably a situation where multiple debuggers are running (for example,\u000aa backticked command that starts up another debugger). We create a new IN and\u000aOUT filehandle, and do the necessary mojo to create a new TTY if we know how\u000aand if we can.\u000a\u000a=cut +p5023 +tp5024 +a(g189 +V\u000a\u000a +p5025 +tp5026 +a(g358 +V# Non-socket. +p5027 +tp5028 +a(g189 +V\u000a +p5029 +tp5030 +a(g111 +Velse +p5031 +tp5032 +a(g189 +V +tp5033 +a(g202 +V{ +tp5034 +a(g189 +V\u000a\u000a +p5035 +tp5036 +a(g358 +V# Two debuggers running (probably a system or a backtick that invokes +p5037 +tp5038 +a(g189 +V\u000a +p5039 +tp5040 +a(g358 +V# the debugger itself under the running one). create a new IN and OUT +p5041 +tp5042 +a(g189 +V\u000a +p5043 +tp5044 +a(g358 +V# filehandle, and do the necessary mojo to create a new tty if we +p5045 +tp5046 +a(g189 +V\u000a +p5047 +tp5048 +a(g358 +V# know how, and we can. +p5049 +tp5050 +a(g189 +V\u000a +p5051 +tp5052 +a(g18 +V +tp5053 +a(g18 +Vcreate_IN_OUT +p5054 +tp5055 +a(g202 +V( +tp5056 +a(g319 +V4 +tp5057 +a(g202 +V) +tp5058 +a(g189 +V +tp5059 +a(g111 +Vif +p5060 +tp5061 +a(g189 +V +tp5062 +a(g73 +V$ +tp5063 +a(g73 +VCreateTTY +p5064 +tp5065 +a(g189 +V +tp5066 +a(g344 +V& +tp5067 +a(g189 +V +tp5068 +a(g319 +V4 +tp5069 +a(g202 +V; +tp5070 +a(g189 +V\u000a +p5071 +tp5072 +a(g111 +Vif +p5073 +tp5074 +a(g189 +V +tp5075 +a(g202 +V( +tp5076 +a(g73 +V$ +tp5077 +a(g73 +Vconsole +p5078 +tp5079 +a(g202 +V) +tp5080 +a(g189 +V +tp5081 +a(g202 +V{ +tp5082 +a(g189 +V\u000a\u000a +p5083 +tp5084 +a(g358 +V# If we have a console, check to see if there are separate ins and +p5085 +tp5086 +a(g189 +V\u000a +p5087 +tp5088 +a(g358 +V# outs to open. (They are assumed identiical if not.) +p5089 +tp5090 +a(g189 +V\u000a\u000a +p5091 +tp5092 +a(g111 +Vmy +p5093 +tp5094 +a(g189 +V +tp5095 +a(g202 +V( +tp5096 +a(g189 +V +tp5097 +a(g73 +V$ +tp5098 +a(g73 +Vi +tp5099 +a(g202 +V, +tp5100 +a(g189 +V +tp5101 +a(g73 +V$ +tp5102 +a(g73 +Vo +tp5103 +a(g189 +V +tp5104 +a(g202 +V) +tp5105 +a(g189 +V +tp5106 +a(g344 +V= +tp5107 +a(g189 +V +tp5108 +a(g57 +Vsplit +p5109 +tp5110 +a(g189 +V +tp5111 +a(g229 +V/,/ +p5112 +tp5113 +a(g202 +V, +tp5114 +a(g189 +V +tp5115 +a(g73 +V$ +tp5116 +a(g73 +Vconsole +p5117 +tp5118 +a(g202 +V; +tp5119 +a(g189 +V\u000a +p5120 +tp5121 +a(g73 +V$ +tp5122 +a(g73 +Vo +tp5123 +a(g189 +V +tp5124 +a(g344 +V= +tp5125 +a(g189 +V +tp5126 +a(g73 +V$ +tp5127 +a(g73 +Vi +tp5128 +a(g189 +V +tp5129 +a(g111 +Vunless +p5130 +tp5131 +a(g189 +V +tp5132 +a(g57 +Vdefined +p5133 +tp5134 +a(g189 +V +tp5135 +a(g73 +V$ +tp5136 +a(g73 +Vo +tp5137 +a(g202 +V; +tp5138 +a(g189 +V\u000a\u000a +p5139 +tp5140 +a(g358 +V# read/write on in, or just read, or read on STDIN. +p5141 +tp5142 +a(g189 +V\u000a +p5143 +tp5144 +a(g57 +Vopen +p5145 +tp5146 +a(g202 +V( +tp5147 +a(g189 +V +tp5148 +a(g18 +V +tp5149 +a(g18 +VIN +p5150 +tp5151 +a(g202 +V, +tp5152 +a(g189 +V +p5153 +tp5154 +a(g226 +V"+<$i" +p5155 +tp5156 +a(g189 +V +tp5157 +a(g202 +V) +tp5158 +a(g189 +V\u000a +p5159 +tp5160 +a(g344 +V|| +p5161 +tp5162 +a(g189 +V +tp5163 +a(g57 +Vopen +p5164 +tp5165 +a(g202 +V( +tp5166 +a(g189 +V +tp5167 +a(g18 +V +tp5168 +a(g18 +VIN +p5169 +tp5170 +a(g202 +V, +tp5171 +a(g189 +V +tp5172 +a(g226 +V"<$i" +p5173 +tp5174 +a(g189 +V +tp5175 +a(g202 +V) +tp5176 +a(g189 +V\u000a +p5177 +tp5178 +a(g344 +V|| +p5179 +tp5180 +a(g189 +V +tp5181 +a(g57 +Vopen +p5182 +tp5183 +a(g202 +V( +tp5184 +a(g189 +V +tp5185 +a(g18 +V +tp5186 +a(g18 +VIN +p5187 +tp5188 +a(g202 +V, +tp5189 +a(g189 +V +tp5190 +a(g226 +V"<&STDIN" +p5191 +tp5192 +a(g189 +V +tp5193 +a(g202 +V) +tp5194 +a(g202 +V; +tp5195 +a(g189 +V\u000a\u000a +p5196 +tp5197 +a(g358 +V# read/write/create/clobber out, or write/create/clobber out, +p5198 +tp5199 +a(g189 +V\u000a +p5200 +tp5201 +a(g358 +V# or merge with STDERR, or merge with STDOUT. +p5202 +tp5203 +a(g189 +V\u000a +p5204 +tp5205 +a(g57 +Vopen +p5206 +tp5207 +a(g202 +V( +tp5208 +a(g189 +V +tp5209 +a(g18 +V +tp5210 +a(g18 +VOUT +p5211 +tp5212 +a(g202 +V, +tp5213 +a(g189 +V +tp5214 +a(g226 +V"+>$o" +p5215 +tp5216 +a(g189 +V +tp5217 +a(g202 +V) +tp5218 +a(g189 +V\u000a +p5219 +tp5220 +a(g344 +V|| +p5221 +tp5222 +a(g189 +V +tp5223 +a(g57 +Vopen +p5224 +tp5225 +a(g202 +V( +tp5226 +a(g189 +V +tp5227 +a(g18 +V +tp5228 +a(g18 +VOUT +p5229 +tp5230 +a(g202 +V, +tp5231 +a(g189 +V +tp5232 +a(g226 +V">$o" +p5233 +tp5234 +a(g189 +V +tp5235 +a(g202 +V) +tp5236 +a(g189 +V\u000a +p5237 +tp5238 +a(g344 +V|| +p5239 +tp5240 +a(g189 +V +tp5241 +a(g57 +Vopen +p5242 +tp5243 +a(g202 +V( +tp5244 +a(g189 +V +tp5245 +a(g18 +V +tp5246 +a(g18 +VOUT +p5247 +tp5248 +a(g202 +V, +tp5249 +a(g189 +V +tp5250 +a(g226 +V">&STDERR" +p5251 +tp5252 +a(g189 +V +tp5253 +a(g202 +V) +tp5254 +a(g189 +V\u000a +p5255 +tp5256 +a(g344 +V|| +p5257 +tp5258 +a(g189 +V +tp5259 +a(g57 +Vopen +p5260 +tp5261 +a(g202 +V( +tp5262 +a(g189 +V +tp5263 +a(g18 +V +tp5264 +a(g18 +VOUT +p5265 +tp5266 +a(g202 +V, +tp5267 +a(g189 +V +tp5268 +a(g226 +V">&STDOUT" +p5269 +tp5270 +a(g189 +V +tp5271 +a(g202 +V) +tp5272 +a(g202 +V; +tp5273 +a(g189 +V +p5274 +tp5275 +a(g358 +V# so we don't dongle stdout +p5276 +tp5277 +a(g189 +V\u000a\u000a +p5278 +tp5279 +a(g202 +V} +tp5280 +a(g189 +V +tp5281 +a(g358 +V## end if ($console) +p5282 +tp5283 +a(g189 +V\u000a +p5284 +tp5285 +a(g111 +Velsif +p5286 +tp5287 +a(g189 +V +tp5288 +a(g202 +V( +tp5289 +a(g189 +V +tp5290 +a(g348 +Vnot +p5291 +tp5292 +a(g189 +V +tp5293 +a(g57 +Vdefined +p5294 +tp5295 +a(g189 +V +tp5296 +a(g73 +V$ +tp5297 +a(g73 +Vconsole +p5298 +tp5299 +a(g189 +V +tp5300 +a(g202 +V) +tp5301 +a(g189 +V +tp5302 +a(g202 +V{ +tp5303 +a(g189 +V\u000a\u000a +p5304 +tp5305 +a(g358 +V# No console. Open STDIN. +p5306 +tp5307 +a(g189 +V\u000a +p5308 +tp5309 +a(g57 +Vopen +p5310 +tp5311 +a(g202 +V( +tp5312 +a(g189 +V +tp5313 +a(g18 +V +tp5314 +a(g18 +VIN +p5315 +tp5316 +a(g202 +V, +tp5317 +a(g189 +V +tp5318 +a(g226 +V"<&STDIN" +p5319 +tp5320 +a(g189 +V +tp5321 +a(g202 +V) +tp5322 +a(g202 +V; +tp5323 +a(g189 +V\u000a\u000a +p5324 +tp5325 +a(g358 +V# merge with STDERR, or with STDOUT. +p5326 +tp5327 +a(g189 +V\u000a +p5328 +tp5329 +a(g57 +Vopen +p5330 +tp5331 +a(g202 +V( +tp5332 +a(g189 +V +tp5333 +a(g18 +V +tp5334 +a(g18 +VOUT +p5335 +tp5336 +a(g202 +V, +tp5337 +a(g189 +V +p5338 +tp5339 +a(g226 +V">&STDERR" +p5340 +tp5341 +a(g189 +V +tp5342 +a(g202 +V) +tp5343 +a(g189 +V\u000a +p5344 +tp5345 +a(g344 +V|| +p5346 +tp5347 +a(g189 +V +tp5348 +a(g57 +Vopen +p5349 +tp5350 +a(g202 +V( +tp5351 +a(g189 +V +tp5352 +a(g18 +V +tp5353 +a(g18 +VOUT +p5354 +tp5355 +a(g202 +V, +tp5356 +a(g189 +V +tp5357 +a(g226 +V">&STDOUT" +p5358 +tp5359 +a(g189 +V +tp5360 +a(g202 +V) +tp5361 +a(g202 +V; +tp5362 +a(g189 +V +p5363 +tp5364 +a(g358 +V# so we don't dongle stdout +p5365 +tp5366 +a(g189 +V\u000a +p5367 +tp5368 +a(g73 +V$ +tp5369 +a(g73 +Vconsole +p5370 +tp5371 +a(g189 +V +tp5372 +a(g344 +V= +tp5373 +a(g189 +V +tp5374 +a(g226 +V'STDIN/OUT' +p5375 +tp5376 +a(g202 +V; +tp5377 +a(g189 +V\u000a +p5378 +tp5379 +a(g202 +V} +tp5380 +a(g189 +V +tp5381 +a(g358 +V## end elsif (not defined $console) +p5382 +tp5383 +a(g189 +V\u000a\u000a +p5384 +tp5385 +a(g358 +V# Keep copies of the filehandles so that when the pager runs, it +p5386 +tp5387 +a(g189 +V\u000a +p5388 +tp5389 +a(g358 +V# can close standard input without clobbering ours. +p5390 +tp5391 +a(g189 +V\u000a +p5392 +tp5393 +a(g73 +V$ +tp5394 +a(g73 +VIN +p5395 +tp5396 +a(g189 +V +tp5397 +a(g344 +V= +tp5398 +a(g189 +V +tp5399 +a(g344 +V\u005c +tp5400 +a(g344 +V* +tp5401 +a(g18 +V +tp5402 +a(g18 +VIN +p5403 +tp5404 +a(g202 +V, +tp5405 +a(g189 +V +tp5406 +a(g73 +V$ +tp5407 +a(g73 +VOUT +p5408 +tp5409 +a(g189 +V +tp5410 +a(g344 +V= +tp5411 +a(g189 +V +tp5412 +a(g344 +V\u005c +tp5413 +a(g344 +V* +tp5414 +a(g18 +V +tp5415 +a(g18 +VOUT +p5416 +tp5417 +a(g189 +V +tp5418 +a(g111 +Vif +p5419 +tp5420 +a(g189 +V +tp5421 +a(g73 +V$ +tp5422 +a(g73 +Vconsole +p5423 +tp5424 +a(g189 +V +tp5425 +a(g348 +Vor +p5426 +tp5427 +a(g189 +V +tp5428 +a(g348 +Vnot +p5429 +tp5430 +a(g189 +V +tp5431 +a(g57 +Vdefined +p5432 +tp5433 +a(g189 +V +tp5434 +a(g73 +V$ +tp5435 +a(g73 +Vconsole +p5436 +tp5437 +a(g202 +V; +tp5438 +a(g189 +V\u000a +p5439 +tp5440 +a(g202 +V} +tp5441 +a(g189 +V +tp5442 +a(g358 +V## end elsif (from if(defined $remoteport)) +p5443 +tp5444 +a(g189 +V\u000a\u000a +p5445 +tp5446 +a(g358 +V# Unbuffer DB::OUT. We need to see responses right away. +p5447 +tp5448 +a(g189 +V\u000a +p5449 +tp5450 +a(g111 +Vmy +p5451 +tp5452 +a(g189 +V +tp5453 +a(g73 +V$ +tp5454 +a(g73 +Vprevious +p5455 +tp5456 +a(g189 +V +tp5457 +a(g344 +V= +tp5458 +a(g189 +V +tp5459 +a(g57 +Vselect +p5460 +tp5461 +a(g202 +V( +tp5462 +a(g73 +V$ +tp5463 +a(g73 +VOUT +p5464 +tp5465 +a(g202 +V) +tp5466 +a(g202 +V; +tp5467 +a(g189 +V\u000a +p5468 +tp5469 +a(g76 +V$| +p5470 +tp5471 +a(g189 +V +tp5472 +a(g344 +V= +tp5473 +a(g189 +V +tp5474 +a(g319 +V1 +tp5475 +a(g202 +V; +tp5476 +a(g189 +V +p5477 +tp5478 +a(g358 +V# for DB::OUT +p5479 +tp5480 +a(g189 +V\u000a +p5481 +tp5482 +a(g57 +Vselect +p5483 +tp5484 +a(g202 +V( +tp5485 +a(g73 +V$ +tp5486 +a(g73 +Vprevious +p5487 +tp5488 +a(g202 +V) +tp5489 +a(g202 +V; +tp5490 +a(g189 +V\u000a\u000a +p5491 +tp5492 +a(g358 +V# Line info goes to debugger output unless pointed elsewhere. +p5493 +tp5494 +a(g189 +V\u000a +p5495 +tp5496 +a(g358 +V# Pointing elsewhere makes it possible for slave editors to +p5497 +tp5498 +a(g189 +V\u000a +p5499 +tp5500 +a(g358 +V# keep track of file and position. We have both a filehandle +p5501 +tp5502 +a(g189 +V\u000a +p5503 +tp5504 +a(g358 +V# and a I/O description to keep track of. +p5505 +tp5506 +a(g189 +V\u000a +p5507 +tp5508 +a(g73 +V$ +tp5509 +a(g73 +VLINEINFO +p5510 +tp5511 +a(g189 +V +tp5512 +a(g344 +V= +tp5513 +a(g189 +V +tp5514 +a(g73 +V$ +tp5515 +a(g73 +VOUT +p5516 +tp5517 +a(g189 +V +p5518 +tp5519 +a(g111 +Vunless +p5520 +tp5521 +a(g189 +V +tp5522 +a(g57 +Vdefined +p5523 +tp5524 +a(g189 +V +tp5525 +a(g73 +V$ +tp5526 +a(g73 +VLINEINFO +p5527 +tp5528 +a(g202 +V; +tp5529 +a(g189 +V\u000a +p5530 +tp5531 +a(g73 +V$ +tp5532 +a(g73 +Vlineinfo +p5533 +tp5534 +a(g189 +V +tp5535 +a(g344 +V= +tp5536 +a(g189 +V +tp5537 +a(g73 +V$ +tp5538 +a(g73 +Vconsole +p5539 +tp5540 +a(g189 +V +tp5541 +a(g111 +Vunless +p5542 +tp5543 +a(g189 +V +tp5544 +a(g57 +Vdefined +p5545 +tp5546 +a(g189 +V +tp5547 +a(g73 +V$ +tp5548 +a(g73 +Vlineinfo +p5549 +tp5550 +a(g202 +V; +tp5551 +a(g189 +V\u000a +p5552 +tp5553 +a(g358 +V# share($LINEINFO); # <- unable to share globs +p5554 +tp5555 +a(g189 +V\u000a +p5556 +tp5557 +a(g18 +V +tp5558 +a(g18 +Vshare +p5559 +tp5560 +a(g202 +V( +tp5561 +a(g73 +V$ +tp5562 +a(g73 +Vlineinfo +p5563 +tp5564 +a(g202 +V) +tp5565 +a(g202 +V; +tp5566 +a(g189 +V +p5567 +tp5568 +a(g358 +V# +p5569 +tp5570 +a(g189 +V\u000a\u000a +p5571 +tp5572 +a(g7 +V=pod\u000a\u000aTo finish initialization, we show the debugger greeting,\u000aand then call the C subroutine if there is one.\u000a\u000a=cut +p5573 +tp5574 +a(g189 +V\u000a\u000a +p5575 +tp5576 +a(g358 +V# Show the debugger greeting. +p5577 +tp5578 +a(g189 +V\u000a +p5579 +tp5580 +a(g73 +V$ +tp5581 +a(g73 +Vheader +p5582 +tp5583 +a(g189 +V +tp5584 +a(g344 +V=~ +p5585 +tp5586 +a(g189 +V +tp5587 +a(g229 +Vs/.Header: ([^,]+),v(\u005cs+\u005cS+\u005cs+\u005cS+).*$/$1$2/ +p5588 +tp5589 +a(g202 +V; +tp5590 +a(g189 +V\u000a +p5591 +tp5592 +a(g111 +Vunless +p5593 +tp5594 +a(g189 +V +tp5595 +a(g202 +V( +tp5596 +a(g73 +V$ +tp5597 +a(g73 +Vrunnonstop +p5598 +tp5599 +a(g202 +V) +tp5600 +a(g189 +V +tp5601 +a(g202 +V{ +tp5602 +a(g189 +V\u000a +p5603 +tp5604 +a(g57 +Vlocal +p5605 +tp5606 +a(g189 +V +tp5607 +a(g76 +V$\u005c +p5608 +tp5609 +a(g189 +V +tp5610 +a(g344 +V= +tp5611 +a(g189 +V +tp5612 +a(g226 +V'' +p5613 +tp5614 +a(g202 +V; +tp5615 +a(g189 +V\u000a +p5616 +tp5617 +a(g57 +Vlocal +p5618 +tp5619 +a(g189 +V +tp5620 +a(g76 +V$, +p5621 +tp5622 +a(g189 +V +tp5623 +a(g344 +V= +tp5624 +a(g189 +V +tp5625 +a(g226 +V'' +p5626 +tp5627 +a(g202 +V; +tp5628 +a(g189 +V\u000a +p5629 +tp5630 +a(g111 +Vif +p5631 +tp5632 +a(g189 +V +tp5633 +a(g202 +V( +tp5634 +a(g189 +V +tp5635 +a(g73 +V$ +tp5636 +a(g73 +Vterm_pid +p5637 +tp5638 +a(g189 +V +tp5639 +a(g348 +Veq +p5640 +tp5641 +a(g189 +V +tp5642 +a(g226 +V'-1' +p5643 +tp5644 +a(g189 +V +tp5645 +a(g202 +V) +tp5646 +a(g189 +V +tp5647 +a(g202 +V{ +tp5648 +a(g189 +V\u000a +p5649 +tp5650 +a(g111 +Vprint +p5651 +tp5652 +a(g189 +V +tp5653 +a(g73 +V$ +tp5654 +a(g73 +VOUT +p5655 +tp5656 +a(g189 +V +tp5657 +a(g226 +V"\u005cnDaughter DB session started...\u005cn" +p5658 +tp5659 +a(g202 +V; +tp5660 +a(g189 +V\u000a +p5661 +tp5662 +a(g202 +V} +tp5663 +a(g189 +V\u000a +p5664 +tp5665 +a(g111 +Velse +p5666 +tp5667 +a(g189 +V +tp5668 +a(g202 +V{ +tp5669 +a(g189 +V\u000a +p5670 +tp5671 +a(g111 +Vprint +p5672 +tp5673 +a(g189 +V +tp5674 +a(g73 +V$ +tp5675 +a(g73 +VOUT +p5676 +tp5677 +a(g189 +V +tp5678 +a(g226 +V"\u005cnLoading DB routines from $header\u005cn" +p5679 +tp5680 +a(g202 +V; +tp5681 +a(g189 +V\u000a +p5682 +tp5683 +a(g111 +Vprint +p5684 +tp5685 +a(g189 +V +tp5686 +a(g73 +V$ +tp5687 +a(g73 +VOUT +p5688 +tp5689 +a(g189 +V +tp5690 +a(g202 +V( +tp5691 +a(g189 +V\u000a +p5692 +tp5693 +a(g226 +V"Editor support " +p5694 +tp5695 +a(g202 +V, +tp5696 +a(g189 +V\u000a +p5697 +tp5698 +a(g73 +V$ +tp5699 +a(g73 +Vslave_editor +p5700 +tp5701 +a(g189 +V +tp5702 +a(g202 +V? +tp5703 +a(g189 +V +tp5704 +a(g226 +V"enabled" +p5705 +tp5706 +a(g189 +V +tp5707 +a(g202 +V: +tp5708 +a(g189 +V +tp5709 +a(g226 +V"available" +p5710 +tp5711 +a(g202 +V, +tp5712 +a(g189 +V +tp5713 +a(g226 +V".\u005cn" +p5714 +tp5715 +a(g189 +V\u000a +p5716 +tp5717 +a(g202 +V) +tp5718 +a(g202 +V; +tp5719 +a(g189 +V\u000a +p5720 +tp5721 +a(g111 +Vprint +p5722 +tp5723 +a(g189 +V +tp5724 +a(g73 +V$ +tp5725 +a(g73 +VOUT +p5726 +tp5727 +a(g189 +V\u000a +tp5728 +a(g226 +V"\u005cnEnter h or `h h' for help, or `$doccmd perldebug' for more help.\u005cn\u005cn" +p5729 +tp5730 +a(g202 +V; +tp5731 +a(g189 +V\u000a +p5732 +tp5733 +a(g202 +V} +tp5734 +a(g189 +V +tp5735 +a(g358 +V## end else [ if ($term_pid eq '-1') +p5736 +tp5737 +a(g189 +V\u000a +p5738 +tp5739 +a(g202 +V} +tp5740 +a(g189 +V +tp5741 +a(g358 +V## end unless ($runnonstop) +p5742 +tp5743 +a(g189 +V\u000a +tp5744 +a(g202 +V} +tp5745 +a(g189 +V +tp5746 +a(g358 +V## end else [ if ($notty) +p5747 +tp5748 +a(g189 +V\u000a\u000a +p5749 +tp5750 +a(g358 +V# XXX This looks like a bug to me. +p5751 +tp5752 +a(g189 +V\u000a +tp5753 +a(g358 +V# Why copy to @ARGS and then futz with @args? +p5754 +tp5755 +a(g189 +V\u000a +tp5756 +a(g73 +V@ +tp5757 +a(g73 +VARGS +p5758 +tp5759 +a(g189 +V +tp5760 +a(g344 +V= +tp5761 +a(g189 +V +tp5762 +a(g73 +V@ +tp5763 +a(g73 +VARGV +p5764 +tp5765 +a(g202 +V; +tp5766 +a(g189 +V\u000a +tp5767 +a(g111 +Vfor +p5768 +tp5769 +a(g189 +V +tp5770 +a(g202 +V( +tp5771 +a(g73 +V@ +tp5772 +a(g73 +Vargs +p5773 +tp5774 +a(g202 +V) +tp5775 +a(g189 +V +tp5776 +a(g202 +V{ +tp5777 +a(g189 +V\u000a +p5778 +tp5779 +a(g358 +V# Make sure backslashes before single quotes are stripped out, and +p5780 +tp5781 +a(g189 +V\u000a +p5782 +tp5783 +a(g358 +V# keep args unless they are numeric (XXX why?) +p5784 +tp5785 +a(g189 +V\u000a +p5786 +tp5787 +a(g358 +V# s/\u005c'/\u005c\u005c\u005c'/g; # removed while not justified understandably +p5788 +tp5789 +a(g189 +V\u000a +p5790 +tp5791 +a(g358 +V# s/(.*)/'$1'/ unless /^-?[\u005cd.]+$/; # ditto +p5792 +tp5793 +a(g189 +V\u000a +tp5794 +a(g202 +V} +tp5795 +a(g189 +V\u000a\u000a +p5796 +tp5797 +a(g358 +V# If there was an afterinit() sub defined, call it. It will get +p5798 +tp5799 +a(g189 +V\u000a +tp5800 +a(g358 +V# executed in our scope, so it can fiddle with debugger globals. +p5801 +tp5802 +a(g189 +V\u000a +tp5803 +a(g111 +Vif +p5804 +tp5805 +a(g189 +V +tp5806 +a(g202 +V( +tp5807 +a(g189 +V +tp5808 +a(g57 +Vdefined +p5809 +tp5810 +a(g189 +V +tp5811 +a(g344 +V& +tp5812 +a(g18 +V +tp5813 +a(g18 +Vafterinit +p5814 +tp5815 +a(g189 +V +tp5816 +a(g202 +V) +tp5817 +a(g189 +V +tp5818 +a(g202 +V{ +tp5819 +a(g189 +V +p5820 +tp5821 +a(g358 +V# May be defined in $rcfile +p5822 +tp5823 +a(g189 +V\u000a +p5824 +tp5825 +a(g344 +V& +tp5826 +a(g18 +V +tp5827 +a(g18 +Vafterinit +p5828 +tp5829 +a(g202 +V( +tp5830 +a(g202 +V) +tp5831 +a(g202 +V; +tp5832 +a(g189 +V\u000a +tp5833 +a(g202 +V} +tp5834 +a(g189 +V\u000a\u000a +p5835 +tp5836 +a(g358 +V# Inform us about "Stack dump during die enabled ..." in dieLevel(). +p5837 +tp5838 +a(g189 +V\u000a +tp5839 +a(g73 +V$ +tp5840 +a(g73 +VI_m_init +p5841 +tp5842 +a(g189 +V +tp5843 +a(g344 +V= +tp5844 +a(g189 +V +tp5845 +a(g319 +V1 +tp5846 +a(g202 +V; +tp5847 +a(g189 +V\u000a +tp5848 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/perl_regex-delims b/tests/examplefiles/output/perl_regex-delims new file mode 100644 index 0000000..bfe0d7f --- /dev/null +++ b/tests/examplefiles/output/perl_regex-delims @@ -0,0 +1,3714 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV#! /usr/bin/env perl +p367 +tp368 +a(g189 +V\u000a\u000a +p369 +tp370 +a(g111 +Vuse +p371 +tp372 +a(g189 +V +tp373 +a(g18 +V +tp374 +a(g18 +Vstrict +p375 +tp376 +a(g202 +V; +tp377 +a(g189 +V\u000a +tp378 +a(g111 +Vuse +p379 +tp380 +a(g189 +V +tp381 +a(g18 +V +tp382 +a(g18 +Vwarnings +p383 +tp384 +a(g202 +V; +tp385 +a(g189 +V\u000a\u000a +p386 +tp387 +a(g7 +V# common delimiters +p388 +tp389 +a(g189 +V\u000a +tp390 +a(g111 +Vprint +p391 +tp392 +a(g189 +V +tp393 +a(g226 +V"a: " +p394 +tp395 +a(g202 +V; +tp396 +a(g189 +V\u000a +tp397 +a(g111 +Vmy +p398 +tp399 +a(g189 +V +tp400 +a(g73 +V$ +tp401 +a(g73 +Va +tp402 +a(g189 +V +tp403 +a(g343 +V= +tp404 +a(g189 +V +tp405 +a(g226 +V"foo" +p406 +tp407 +a(g202 +V; +tp408 +a(g189 +V\u000a +tp409 +a(g111 +Vprint +p410 +tp411 +a(g189 +V +tp412 +a(g73 +V$ +tp413 +a(g73 +Va +tp414 +a(g202 +V, +tp415 +a(g189 +V +tp416 +a(g226 +V" - " +p417 +tp418 +a(g202 +V; +tp419 +a(g189 +V\u000a +tp420 +a(g73 +V$ +tp421 +a(g73 +Va +tp422 +a(g189 +V +tp423 +a(g343 +V=~ +p424 +tp425 +a(g189 +V +tp426 +a(g229 +Vs/foo/bar/ +p427 +tp428 +a(g202 +V; +tp429 +a(g189 +V\u000a +tp430 +a(g111 +Vprint +p431 +tp432 +a(g189 +V +tp433 +a(g73 +V$ +tp434 +a(g73 +Va +tp435 +a(g202 +V, +tp436 +a(g189 +V +tp437 +a(g226 +V"\u005cn" +p438 +tp439 +a(g202 +V; +tp440 +a(g189 +V\u000a\u000a +p441 +tp442 +a(g111 +Vprint +p443 +tp444 +a(g189 +V +tp445 +a(g226 +V"b: " +p446 +tp447 +a(g202 +V; +tp448 +a(g189 +V\u000a +tp449 +a(g111 +Vmy +p450 +tp451 +a(g189 +V +tp452 +a(g73 +V$ +tp453 +a(g73 +Vb +tp454 +a(g189 +V +tp455 +a(g343 +V= +tp456 +a(g189 +V +tp457 +a(g226 +V"foo" +p458 +tp459 +a(g202 +V; +tp460 +a(g189 +V\u000a +tp461 +a(g111 +Vprint +p462 +tp463 +a(g189 +V +tp464 +a(g73 +V$ +tp465 +a(g73 +Vb +tp466 +a(g202 +V, +tp467 +a(g189 +V +tp468 +a(g226 +V" - " +p469 +tp470 +a(g202 +V; +tp471 +a(g189 +V\u000a +tp472 +a(g73 +V$ +tp473 +a(g73 +Vb +tp474 +a(g189 +V +tp475 +a(g343 +V=~ +p476 +tp477 +a(g189 +V +tp478 +a(g229 +Vs!foo!bar! +p479 +tp480 +a(g202 +V; +tp481 +a(g189 +V\u000a +tp482 +a(g111 +Vprint +p483 +tp484 +a(g189 +V +tp485 +a(g73 +V$ +tp486 +a(g73 +Vb +tp487 +a(g202 +V, +tp488 +a(g189 +V +tp489 +a(g226 +V"\u005cn" +p490 +tp491 +a(g202 +V; +tp492 +a(g189 +V\u000a\u000a +p493 +tp494 +a(g111 +Vprint +p495 +tp496 +a(g189 +V +tp497 +a(g226 +V"c: " +p498 +tp499 +a(g202 +V; +tp500 +a(g189 +V\u000a +tp501 +a(g111 +Vmy +p502 +tp503 +a(g189 +V +tp504 +a(g73 +V$ +tp505 +a(g73 +Vc +tp506 +a(g189 +V +tp507 +a(g343 +V= +tp508 +a(g189 +V +tp509 +a(g226 +V"foo" +p510 +tp511 +a(g202 +V; +tp512 +a(g189 +V\u000a +tp513 +a(g111 +Vprint +p514 +tp515 +a(g189 +V +tp516 +a(g73 +V$ +tp517 +a(g73 +Vc +tp518 +a(g202 +V, +tp519 +a(g189 +V +tp520 +a(g226 +V" - " +p521 +tp522 +a(g202 +V; +tp523 +a(g189 +V\u000a +tp524 +a(g73 +V$ +tp525 +a(g73 +Vc +tp526 +a(g189 +V +tp527 +a(g343 +V=~ +p528 +tp529 +a(g189 +V +tp530 +a(g229 +Vs@foo@bar@ +p531 +tp532 +a(g202 +V; +tp533 +a(g189 +V\u000a +tp534 +a(g111 +Vprint +p535 +tp536 +a(g189 +V +tp537 +a(g73 +V$ +tp538 +a(g73 +Vc +tp539 +a(g202 +V, +tp540 +a(g189 +V +tp541 +a(g226 +V"\u005cn" +p542 +tp543 +a(g202 +V; +tp544 +a(g189 +V\u000a\u000a +p545 +tp546 +a(g111 +Vprint +p547 +tp548 +a(g189 +V +tp549 +a(g226 +V"d: " +p550 +tp551 +a(g202 +V; +tp552 +a(g189 +V\u000a +tp553 +a(g111 +Vmy +p554 +tp555 +a(g189 +V +tp556 +a(g73 +V$ +tp557 +a(g73 +Vd +tp558 +a(g189 +V +tp559 +a(g343 +V= +tp560 +a(g189 +V +tp561 +a(g226 +V"foo" +p562 +tp563 +a(g202 +V; +tp564 +a(g189 +V\u000a +tp565 +a(g111 +Vprint +p566 +tp567 +a(g189 +V +tp568 +a(g73 +V$ +tp569 +a(g73 +Vd +tp570 +a(g202 +V, +tp571 +a(g189 +V +tp572 +a(g226 +V" - " +p573 +tp574 +a(g202 +V; +tp575 +a(g189 +V\u000a +tp576 +a(g73 +V$ +tp577 +a(g73 +Vd +tp578 +a(g189 +V +tp579 +a(g343 +V=~ +p580 +tp581 +a(g189 +V +tp582 +a(g229 +Vs\u005cfoo\u005cbar\u005c +p583 +tp584 +a(g202 +V; +tp585 +a(g189 +V\u000a +tp586 +a(g111 +Vprint +p587 +tp588 +a(g189 +V +tp589 +a(g73 +V$ +tp590 +a(g73 +Vd +tp591 +a(g202 +V, +tp592 +a(g189 +V +tp593 +a(g226 +V"\u005cn" +p594 +tp595 +a(g202 +V; +tp596 +a(g189 +V\u000a\u000a +p597 +tp598 +a(g111 +Vprint +p599 +tp600 +a(g189 +V +tp601 +a(g226 +V"\u005cn" +p602 +tp603 +a(g202 +V; +tp604 +a(g189 +V\u000a\u000a +p605 +tp606 +a(g7 +V# balanced delimiters +p607 +tp608 +a(g189 +V\u000a +tp609 +a(g111 +Vprint +p610 +tp611 +a(g189 +V +tp612 +a(g226 +V"e: " +p613 +tp614 +a(g202 +V; +tp615 +a(g189 +V\u000a +tp616 +a(g111 +Vmy +p617 +tp618 +a(g189 +V +tp619 +a(g73 +V$ +tp620 +a(g73 +Ve +tp621 +a(g189 +V +tp622 +a(g343 +V= +tp623 +a(g189 +V +tp624 +a(g226 +V"foo" +p625 +tp626 +a(g202 +V; +tp627 +a(g189 +V\u000a +tp628 +a(g111 +Vprint +p629 +tp630 +a(g189 +V +tp631 +a(g73 +V$ +tp632 +a(g73 +Ve +tp633 +a(g202 +V, +tp634 +a(g189 +V +tp635 +a(g226 +V" - " +p636 +tp637 +a(g202 +V; +tp638 +a(g189 +V\u000a +tp639 +a(g73 +V$ +tp640 +a(g73 +Ve +tp641 +a(g189 +V +tp642 +a(g343 +V=~ +p643 +tp644 +a(g189 +V +tp645 +a(g229 +Vs{foo} +p646 +tp647 +a(g229 +V{bar} +p648 +tp649 +a(g202 +V; +tp650 +a(g189 +V\u000a +tp651 +a(g111 +Vprint +p652 +tp653 +a(g189 +V +tp654 +a(g73 +V$ +tp655 +a(g73 +Ve +tp656 +a(g202 +V, +tp657 +a(g189 +V +tp658 +a(g226 +V"\u005cn" +p659 +tp660 +a(g202 +V; +tp661 +a(g189 +V\u000a\u000a +p662 +tp663 +a(g111 +Vprint +p664 +tp665 +a(g189 +V +tp666 +a(g226 +V"f: " +p667 +tp668 +a(g202 +V; +tp669 +a(g189 +V\u000a +tp670 +a(g111 +Vmy +p671 +tp672 +a(g189 +V +tp673 +a(g73 +V$ +tp674 +a(g73 +Vf +tp675 +a(g189 +V +tp676 +a(g343 +V= +tp677 +a(g189 +V +tp678 +a(g226 +V"foo" +p679 +tp680 +a(g202 +V; +tp681 +a(g189 +V\u000a +tp682 +a(g111 +Vprint +p683 +tp684 +a(g189 +V +tp685 +a(g73 +V$ +tp686 +a(g73 +Vf +tp687 +a(g202 +V, +tp688 +a(g189 +V +tp689 +a(g226 +V" - " +p690 +tp691 +a(g202 +V; +tp692 +a(g189 +V\u000a +tp693 +a(g73 +V$ +tp694 +a(g73 +Vf +tp695 +a(g189 +V +tp696 +a(g343 +V=~ +p697 +tp698 +a(g189 +V +tp699 +a(g229 +Vs(foo) +p700 +tp701 +a(g229 +V(bar) +p702 +tp703 +a(g202 +V; +tp704 +a(g189 +V\u000a +tp705 +a(g111 +Vprint +p706 +tp707 +a(g189 +V +tp708 +a(g73 +V$ +tp709 +a(g73 +Vf +tp710 +a(g202 +V, +tp711 +a(g189 +V +tp712 +a(g226 +V"\u005cn" +p713 +tp714 +a(g202 +V; +tp715 +a(g189 +V\u000a\u000a +p716 +tp717 +a(g111 +Vprint +p718 +tp719 +a(g189 +V +tp720 +a(g226 +V"g: " +p721 +tp722 +a(g202 +V; +tp723 +a(g189 +V\u000a +tp724 +a(g111 +Vmy +p725 +tp726 +a(g189 +V +tp727 +a(g73 +V$ +tp728 +a(g73 +Vg +tp729 +a(g189 +V +tp730 +a(g343 +V= +tp731 +a(g189 +V +tp732 +a(g226 +V"foo" +p733 +tp734 +a(g202 +V; +tp735 +a(g189 +V\u000a +tp736 +a(g111 +Vprint +p737 +tp738 +a(g189 +V +tp739 +a(g73 +V$ +tp740 +a(g73 +Vg +tp741 +a(g202 +V, +tp742 +a(g189 +V +tp743 +a(g226 +V" - " +p744 +tp745 +a(g202 +V; +tp746 +a(g189 +V\u000a +tp747 +a(g73 +V$ +tp748 +a(g73 +Vg +tp749 +a(g189 +V +tp750 +a(g343 +V=~ +p751 +tp752 +a(g189 +V +tp753 +a(g229 +Vs +p754 +tp755 +a(g229 +V +p756 +tp757 +a(g202 +V; +tp758 +a(g189 +V\u000a +tp759 +a(g111 +Vprint +p760 +tp761 +a(g189 +V +tp762 +a(g73 +V$ +tp763 +a(g73 +Vg +tp764 +a(g202 +V, +tp765 +a(g189 +V +tp766 +a(g226 +V"\u005cn" +p767 +tp768 +a(g202 +V; +tp769 +a(g189 +V\u000a\u000a +p770 +tp771 +a(g111 +Vprint +p772 +tp773 +a(g189 +V +tp774 +a(g226 +V"h: " +p775 +tp776 +a(g202 +V; +tp777 +a(g189 +V\u000a +tp778 +a(g111 +Vmy +p779 +tp780 +a(g189 +V +tp781 +a(g73 +V$ +tp782 +a(g73 +Vh +tp783 +a(g189 +V +tp784 +a(g343 +V= +tp785 +a(g189 +V +tp786 +a(g226 +V"foo" +p787 +tp788 +a(g202 +V; +tp789 +a(g189 +V\u000a +tp790 +a(g111 +Vprint +p791 +tp792 +a(g189 +V +tp793 +a(g73 +V$ +tp794 +a(g73 +Vh +tp795 +a(g202 +V, +tp796 +a(g189 +V +tp797 +a(g226 +V" - " +p798 +tp799 +a(g202 +V; +tp800 +a(g189 +V\u000a +tp801 +a(g73 +V$ +tp802 +a(g73 +Vh +tp803 +a(g189 +V +tp804 +a(g343 +V=~ +p805 +tp806 +a(g189 +V +tp807 +a(g229 +Vs[foo] +p808 +tp809 +a(g229 +V[bar] +p810 +tp811 +a(g202 +V; +tp812 +a(g189 +V\u000a +tp813 +a(g111 +Vprint +p814 +tp815 +a(g189 +V +tp816 +a(g73 +V$ +tp817 +a(g73 +Vh +tp818 +a(g202 +V, +tp819 +a(g189 +V +tp820 +a(g226 +V"\u005cn" +p821 +tp822 +a(g202 +V; +tp823 +a(g189 +V\u000a\u000a +p824 +tp825 +a(g111 +Vprint +p826 +tp827 +a(g189 +V +tp828 +a(g226 +V"\u005cn" +p829 +tp830 +a(g202 +V; +tp831 +a(g189 +V\u000a\u000a +p832 +tp833 +a(g7 +V# balanced delimiters with whitespace +p834 +tp835 +a(g189 +V\u000a +tp836 +a(g111 +Vprint +p837 +tp838 +a(g189 +V +tp839 +a(g226 +V"i: " +p840 +tp841 +a(g202 +V; +tp842 +a(g189 +V\u000a +tp843 +a(g111 +Vmy +p844 +tp845 +a(g189 +V +tp846 +a(g73 +V$ +tp847 +a(g73 +Vi +tp848 +a(g189 +V +tp849 +a(g343 +V= +tp850 +a(g189 +V +tp851 +a(g226 +V"foo" +p852 +tp853 +a(g202 +V; +tp854 +a(g189 +V\u000a +tp855 +a(g111 +Vprint +p856 +tp857 +a(g189 +V +tp858 +a(g73 +V$ +tp859 +a(g73 +Vi +tp860 +a(g202 +V, +tp861 +a(g189 +V +tp862 +a(g226 +V" - " +p863 +tp864 +a(g202 +V; +tp865 +a(g189 +V\u000a +tp866 +a(g73 +V$ +tp867 +a(g73 +Vi +tp868 +a(g189 +V +tp869 +a(g343 +V=~ +p870 +tp871 +a(g189 +V +tp872 +a(g229 +Vs{foo} +p873 +tp874 +a(g229 +V{bar} +p875 +tp876 +a(g202 +V; +tp877 +a(g189 +V\u000a +tp878 +a(g111 +Vprint +p879 +tp880 +a(g189 +V +tp881 +a(g73 +V$ +tp882 +a(g73 +Vi +tp883 +a(g202 +V, +tp884 +a(g189 +V +tp885 +a(g226 +V"\u005cn" +p886 +tp887 +a(g202 +V; +tp888 +a(g189 +V\u000a\u000a +p889 +tp890 +a(g111 +Vprint +p891 +tp892 +a(g189 +V +tp893 +a(g226 +V"j: " +p894 +tp895 +a(g202 +V; +tp896 +a(g189 +V\u000a +tp897 +a(g111 +Vmy +p898 +tp899 +a(g189 +V +tp900 +a(g73 +V$ +tp901 +a(g73 +Vj +tp902 +a(g189 +V +tp903 +a(g343 +V= +tp904 +a(g189 +V +tp905 +a(g226 +V"foo" +p906 +tp907 +a(g202 +V; +tp908 +a(g189 +V\u000a +tp909 +a(g111 +Vprint +p910 +tp911 +a(g189 +V +tp912 +a(g73 +V$ +tp913 +a(g73 +Vj +tp914 +a(g202 +V, +tp915 +a(g189 +V +tp916 +a(g226 +V" - " +p917 +tp918 +a(g202 +V; +tp919 +a(g189 +V\u000a +tp920 +a(g73 +V$ +tp921 +a(g73 +Vj +tp922 +a(g189 +V +tp923 +a(g343 +V=~ +p924 +tp925 +a(g189 +V +tp926 +a(g229 +Vs +p927 +tp928 +a(g229 +V +p929 +tp930 +a(g202 +V; +tp931 +a(g189 +V\u000a +tp932 +a(g111 +Vprint +p933 +tp934 +a(g189 +V +tp935 +a(g73 +V$ +tp936 +a(g73 +Vj +tp937 +a(g202 +V, +tp938 +a(g189 +V +tp939 +a(g226 +V"\u005cn" +p940 +tp941 +a(g202 +V; +tp942 +a(g189 +V\u000a\u000a +p943 +tp944 +a(g111 +Vprint +p945 +tp946 +a(g189 +V +tp947 +a(g226 +V"k: " +p948 +tp949 +a(g202 +V; +tp950 +a(g189 +V\u000a +tp951 +a(g111 +Vmy +p952 +tp953 +a(g189 +V +tp954 +a(g73 +V$ +tp955 +a(g73 +Vk +tp956 +a(g189 +V +tp957 +a(g343 +V= +tp958 +a(g189 +V +tp959 +a(g226 +V"foo" +p960 +tp961 +a(g202 +V; +tp962 +a(g189 +V\u000a +tp963 +a(g111 +Vprint +p964 +tp965 +a(g189 +V +tp966 +a(g73 +V$ +tp967 +a(g73 +Vk +tp968 +a(g202 +V, +tp969 +a(g189 +V +tp970 +a(g226 +V" - " +p971 +tp972 +a(g202 +V; +tp973 +a(g189 +V\u000a +tp974 +a(g73 +V$ +tp975 +a(g73 +Vk +tp976 +a(g189 +V +tp977 +a(g343 +V=~ +p978 +tp979 +a(g189 +V\u000a +p980 +tp981 +a(g229 +Vs(foo)\u000a\u000a +p982 +tp983 +a(g229 +V(bar) +p984 +tp985 +a(g202 +V; +tp986 +a(g189 +V\u000a +tp987 +a(g111 +Vprint +p988 +tp989 +a(g189 +V +tp990 +a(g73 +V$ +tp991 +a(g73 +Vk +tp992 +a(g202 +V, +tp993 +a(g189 +V +tp994 +a(g226 +V"\u005cn" +p995 +tp996 +a(g202 +V; +tp997 +a(g189 +V\u000a\u000a +p998 +tp999 +a(g111 +Vprint +p1000 +tp1001 +a(g189 +V +tp1002 +a(g226 +V"\u005cn" +p1003 +tp1004 +a(g202 +V; +tp1005 +a(g189 +V\u000a\u000a +p1006 +tp1007 +a(g7 +V# mixed delimiters +p1008 +tp1009 +a(g189 +V\u000a +tp1010 +a(g111 +Vprint +p1011 +tp1012 +a(g189 +V +tp1013 +a(g226 +V"l: " +p1014 +tp1015 +a(g202 +V; +tp1016 +a(g189 +V\u000a +tp1017 +a(g111 +Vmy +p1018 +tp1019 +a(g189 +V +tp1020 +a(g73 +V$ +tp1021 +a(g73 +Vl +tp1022 +a(g189 +V +tp1023 +a(g343 +V= +tp1024 +a(g189 +V +tp1025 +a(g226 +V"foo" +p1026 +tp1027 +a(g202 +V; +tp1028 +a(g189 +V\u000a +tp1029 +a(g111 +Vprint +p1030 +tp1031 +a(g189 +V +tp1032 +a(g73 +V$ +tp1033 +a(g73 +Vl +tp1034 +a(g202 +V, +tp1035 +a(g189 +V +tp1036 +a(g226 +V" - " +p1037 +tp1038 +a(g202 +V; +tp1039 +a(g189 +V\u000a +tp1040 +a(g73 +V$ +tp1041 +a(g73 +Vl +tp1042 +a(g189 +V +tp1043 +a(g343 +V=~ +p1044 +tp1045 +a(g189 +V +tp1046 +a(g229 +Vs{foo} +p1047 +tp1048 +a(g229 +V +p1049 +tp1050 +a(g202 +V; +tp1051 +a(g189 +V\u000a +tp1052 +a(g111 +Vprint +p1053 +tp1054 +a(g189 +V +tp1055 +a(g73 +V$ +tp1056 +a(g73 +Vl +tp1057 +a(g202 +V, +tp1058 +a(g189 +V +tp1059 +a(g226 +V"\u005cn" +p1060 +tp1061 +a(g202 +V; +tp1062 +a(g189 +V\u000a\u000a +p1063 +tp1064 +a(g111 +Vprint +p1065 +tp1066 +a(g189 +V +tp1067 +a(g226 +V"m: " +p1068 +tp1069 +a(g202 +V; +tp1070 +a(g189 +V\u000a +tp1071 +a(g111 +Vmy +p1072 +tp1073 +a(g189 +V +tp1074 +a(g73 +V$ +tp1075 +a(g73 +Vm +tp1076 +a(g189 +V +tp1077 +a(g343 +V= +tp1078 +a(g189 +V +tp1079 +a(g226 +V"foo" +p1080 +tp1081 +a(g202 +V; +tp1082 +a(g189 +V\u000a +tp1083 +a(g111 +Vprint +p1084 +tp1085 +a(g189 +V +tp1086 +a(g73 +V$ +tp1087 +a(g73 +Vm +tp1088 +a(g202 +V, +tp1089 +a(g189 +V +tp1090 +a(g226 +V" - " +p1091 +tp1092 +a(g202 +V; +tp1093 +a(g189 +V\u000a +tp1094 +a(g73 +V$ +tp1095 +a(g73 +Vm +tp1096 +a(g189 +V +tp1097 +a(g343 +V=~ +p1098 +tp1099 +a(g189 +V +tp1100 +a(g229 +Vs(foo) +p1101 +tp1102 +a(g229 +V!bar! +p1103 +tp1104 +a(g202 +V; +tp1105 +a(g189 +V\u000a +tp1106 +a(g111 +Vprint +p1107 +tp1108 +a(g189 +V +tp1109 +a(g73 +V$ +tp1110 +a(g73 +Vm +tp1111 +a(g202 +V, +tp1112 +a(g189 +V +tp1113 +a(g226 +V"\u005cn" +p1114 +tp1115 +a(g202 +V; +tp1116 +a(g189 +V\u000a\u000a +p1117 +tp1118 +a(g111 +Vprint +p1119 +tp1120 +a(g189 +V +tp1121 +a(g226 +V"n: " +p1122 +tp1123 +a(g202 +V; +tp1124 +a(g189 +V\u000a +tp1125 +a(g111 +Vmy +p1126 +tp1127 +a(g189 +V +tp1128 +a(g73 +V$ +tp1129 +a(g73 +Vn +tp1130 +a(g189 +V +tp1131 +a(g343 +V= +tp1132 +a(g189 +V +tp1133 +a(g226 +V"foo" +p1134 +tp1135 +a(g202 +V; +tp1136 +a(g189 +V\u000a +tp1137 +a(g111 +Vprint +p1138 +tp1139 +a(g189 +V +tp1140 +a(g73 +V$ +tp1141 +a(g73 +Vn +tp1142 +a(g202 +V, +tp1143 +a(g189 +V +tp1144 +a(g226 +V" - " +p1145 +tp1146 +a(g202 +V; +tp1147 +a(g189 +V\u000a +tp1148 +a(g73 +V$ +tp1149 +a(g73 +Vn +tp1150 +a(g189 +V +tp1151 +a(g343 +V=~ +p1152 +tp1153 +a(g189 +V +tp1154 +a(g229 +Vs[foo] +p1155 +tp1156 +a(g229 +V$bar$ +p1157 +tp1158 +a(g202 +V; +tp1159 +a(g189 +V\u000a +tp1160 +a(g111 +Vprint +p1161 +tp1162 +a(g189 +V +tp1163 +a(g73 +V$ +tp1164 +a(g73 +Vn +tp1165 +a(g202 +V, +tp1166 +a(g189 +V +tp1167 +a(g226 +V"\u005cn" +p1168 +tp1169 +a(g202 +V; +tp1170 +a(g189 +V\u000a\u000a +p1171 +tp1172 +a(g111 +Vprint +p1173 +tp1174 +a(g189 +V +tp1175 +a(g226 +V"\u005cn" +p1176 +tp1177 +a(g202 +V; +tp1178 +a(g189 +V\u000a\u000a +p1179 +tp1180 +a(g7 +V# /x modifier +p1181 +tp1182 +a(g189 +V\u000a +tp1183 +a(g111 +Vprint +p1184 +tp1185 +a(g189 +V +tp1186 +a(g226 +V"o: " +p1187 +tp1188 +a(g202 +V; +tp1189 +a(g189 +V\u000a +tp1190 +a(g111 +Vmy +p1191 +tp1192 +a(g189 +V +tp1193 +a(g73 +V$ +tp1194 +a(g73 +Vo +tp1195 +a(g189 +V +tp1196 +a(g343 +V= +tp1197 +a(g189 +V +tp1198 +a(g226 +V"foo" +p1199 +tp1200 +a(g202 +V; +tp1201 +a(g189 +V\u000a +tp1202 +a(g111 +Vprint +p1203 +tp1204 +a(g189 +V +tp1205 +a(g73 +V$ +tp1206 +a(g73 +Vo +tp1207 +a(g202 +V, +tp1208 +a(g189 +V +tp1209 +a(g226 +V" - " +p1210 +tp1211 +a(g202 +V; +tp1212 +a(g189 +V\u000a +tp1213 +a(g73 +V$ +tp1214 +a(g73 +Vo +tp1215 +a(g189 +V +tp1216 +a(g343 +V=~ +p1217 +tp1218 +a(g189 +V +tp1219 +a(g229 +Vs{\u000a foo\u000a } +p1220 +tp1221 +a(g229 +V{bar}x +p1222 +tp1223 +a(g202 +V; +tp1224 +a(g189 +V\u000a +tp1225 +a(g111 +Vprint +p1226 +tp1227 +a(g189 +V +tp1228 +a(g73 +V$ +tp1229 +a(g73 +Vo +tp1230 +a(g202 +V, +tp1231 +a(g189 +V +tp1232 +a(g226 +V"\u005cn" +p1233 +tp1234 +a(g202 +V; +tp1235 +a(g189 +V\u000a\u000a +p1236 +tp1237 +a(g111 +Vprint +p1238 +tp1239 +a(g189 +V +tp1240 +a(g226 +V"p: " +p1241 +tp1242 +a(g202 +V; +tp1243 +a(g189 +V\u000a +tp1244 +a(g111 +Vmy +p1245 +tp1246 +a(g189 +V +tp1247 +a(g73 +V$ +tp1248 +a(g73 +Vp +tp1249 +a(g189 +V +tp1250 +a(g343 +V= +tp1251 +a(g189 +V +tp1252 +a(g226 +V"foo" +p1253 +tp1254 +a(g202 +V; +tp1255 +a(g189 +V\u000a +tp1256 +a(g111 +Vprint +p1257 +tp1258 +a(g189 +V +tp1259 +a(g73 +V$ +tp1260 +a(g73 +Vp +tp1261 +a(g202 +V, +tp1262 +a(g189 +V +tp1263 +a(g226 +V" - " +p1264 +tp1265 +a(g202 +V; +tp1266 +a(g189 +V\u000a +tp1267 +a(g73 +V$ +tp1268 +a(g73 +Vp +tp1269 +a(g189 +V +tp1270 +a(g343 +V=~ +p1271 +tp1272 +a(g189 +V +tp1273 +a(g229 +Vs%\u000a foo\u000a %bar%x +p1274 +tp1275 +a(g202 +V; +tp1276 +a(g189 +V\u000a +tp1277 +a(g111 +Vprint +p1278 +tp1279 +a(g189 +V +tp1280 +a(g73 +V$ +tp1281 +a(g73 +Vp +tp1282 +a(g202 +V, +tp1283 +a(g189 +V +tp1284 +a(g226 +V"\u005cn" +p1285 +tp1286 +a(g202 +V; +tp1287 +a(g189 +V\u000a +tp1288 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/perlfunc.1 b/tests/examplefiles/output/perlfunc.1 new file mode 100644 index 0000000..1dde924 --- /dev/null +++ b/tests/examplefiles/output/perlfunc.1 @@ -0,0 +1,30315 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Punctuation' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Indicator' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbatRp16 +sg11 +g12 +sg15 +g2 +(g3 +g4 +(ttRp17 +(dp18 +S'Comment' +p19 +g2 +(g3 +g4 +(g19 +ttRp20 +(dp21 +g15 +g17 +sS'Preproc' +p22 +g2 +(g3 +g4 +(g19 +g22 +ttRp23 +(dp24 +g8 +g9 +((ltRp25 +sg15 +g20 +sbsS'Single' +p26 +g2 +(g3 +g4 +(g19 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g20 +sbsS'Multiline' +p30 +g2 +(g3 +g4 +(g19 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g20 +sbsg8 +g9 +((lp34 +g2 +(g3 +g4 +(g19 +S'Special' +p35 +ttRp36 +(dp37 +g8 +g9 +((ltRp38 +sg15 +g20 +sbag23 +ag27 +ag31 +atRp39 +sg35 +g36 +sbsS'Name' +p40 +g2 +(g3 +g4 +(g40 +ttRp41 +(dp42 +S'Function' +p43 +g2 +(g3 +g4 +(g40 +g43 +ttRp44 +(dp45 +g8 +g9 +((ltRp46 +sg15 +g41 +sbsS'Exception' +p47 +g2 +(g3 +g4 +(g40 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g41 +sbsS'Tag' +p51 +g2 +(g3 +g4 +(g40 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g41 +sbsS'Constant' +p55 +g2 +(g3 +g4 +(g40 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g41 +sbsg15 +g17 +sS'Pseudo' +p59 +g2 +(g3 +g4 +(g40 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g41 +sbsS'Attribute' +p63 +g2 +(g3 +g4 +(g40 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g41 +sbsS'Label' +p67 +g2 +(g3 +g4 +(g40 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g41 +sbsS'Blubb' +p71 +g2 +(g3 +g4 +(g40 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g41 +sbsS'Entity' +p75 +g2 +(g3 +g4 +(g40 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g41 +sbsS'Builtin' +p79 +g2 +(g3 +g4 +(g40 +g79 +ttRp80 +(dp81 +g8 +g9 +((lp82 +g2 +(g3 +g4 +(g40 +g79 +g59 +ttRp83 +(dp84 +g8 +g9 +((ltRp85 +sg15 +g80 +sbatRp86 +sg59 +g83 +sg15 +g41 +sbsS'Other' +p87 +g2 +(g3 +g4 +(g40 +g87 +ttRp88 +(dp89 +g8 +g9 +((ltRp90 +sg15 +g41 +sbsS'Identifier' +p91 +g2 +(g3 +g4 +(g40 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g41 +sbsS'Variable' +p95 +g2 +(g3 +g4 +(g40 +g95 +ttRp96 +(dp97 +g15 +g41 +sS'Global' +p98 +g2 +(g3 +g4 +(g40 +g95 +g98 +ttRp99 +(dp100 +g8 +g9 +((ltRp101 +sg15 +g96 +sbsS'Instance' +p102 +g2 +(g3 +g4 +(g40 +g95 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g96 +sbsS'Anonymous' +p106 +g2 +(g3 +g4 +(g40 +g95 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g96 +sbsg8 +g9 +((lp110 +g107 +ag103 +ag99 +ag2 +(g3 +g4 +(g40 +g95 +S'Class' +p111 +ttRp112 +(dp113 +g8 +g9 +((ltRp114 +sg15 +g96 +sbatRp115 +sg111 +g112 +sbsg8 +g9 +((lp116 +g2 +(g3 +g4 +(g40 +S'Decorator' +p117 +ttRp118 +(dp119 +g8 +g9 +((ltRp120 +sg15 +g41 +sbag64 +ag56 +ag60 +ag2 +(g3 +g4 +(g40 +S'Namespace' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g41 +sbag92 +ag80 +ag96 +ag88 +ag72 +ag76 +ag44 +ag2 +(g3 +g4 +(g40 +S'Property' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g41 +sbag68 +ag52 +ag48 +ag2 +(g3 +g4 +(g40 +g111 +ttRp129 +(dp130 +g8 +g9 +((ltRp131 +sg15 +g41 +sbatRp132 +sg125 +g126 +sg111 +g129 +sg117 +g118 +sg121 +g122 +sbsS'Keyword' +p133 +g2 +(g3 +g4 +(g133 +ttRp134 +(dp135 +S'Pervasive' +p136 +g2 +(g3 +g4 +(g133 +g136 +ttRp137 +(dp138 +g8 +g9 +((ltRp139 +sg15 +g134 +sbsg55 +g2 +(g3 +g4 +(g133 +g55 +ttRp140 +(dp141 +g8 +g9 +((ltRp142 +sg15 +g134 +sbsg15 +g17 +sg121 +g2 +(g3 +g4 +(g133 +g121 +ttRp143 +(dp144 +g8 +g9 +((ltRp145 +sg15 +g134 +sbsg59 +g2 +(g3 +g4 +(g133 +g59 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g134 +sbsS'Reserved' +p149 +g2 +(g3 +g4 +(g133 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g134 +sbsS'Declaration' +p153 +g2 +(g3 +g4 +(g133 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g134 +sbsg95 +g2 +(g3 +g4 +(g133 +g95 +ttRp157 +(dp158 +g8 +g9 +((ltRp159 +sg15 +g134 +sbsg8 +g9 +((lp160 +g140 +ag150 +ag2 +(g3 +g4 +(g133 +S'Type' +p161 +ttRp162 +(dp163 +g8 +g9 +((ltRp164 +sg15 +g134 +sbag137 +ag154 +ag157 +ag143 +ag146 +atRp165 +sg161 +g162 +sbsS'Generic' +p166 +g2 +(g3 +g4 +(g166 +ttRp167 +(dp168 +S'Prompt' +p169 +g2 +(g3 +g4 +(g166 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g167 +sbsg15 +g17 +sS'Deleted' +p173 +g2 +(g3 +g4 +(g166 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g167 +sbsS'Traceback' +p177 +g2 +(g3 +g4 +(g166 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g167 +sbsS'Emph' +p181 +g2 +(g3 +g4 +(g166 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g167 +sbsS'Output' +p185 +g2 +(g3 +g4 +(g166 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g167 +sbsS'Subheading' +p189 +g2 +(g3 +g4 +(g166 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g167 +sbsS'Error' +p193 +g2 +(g3 +g4 +(g166 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g167 +sbsg8 +g9 +((lp197 +g186 +ag182 +ag194 +ag190 +ag178 +ag174 +ag2 +(g3 +g4 +(g166 +S'Heading' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Inserted' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Strong' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g167 +sbag170 +atRp210 +sg206 +g207 +sg202 +g203 +sg198 +g199 +sbsS'Text' +p211 +g2 +(g3 +g4 +(g211 +ttRp212 +(dp213 +g8 +g9 +((lp214 +g2 +(g3 +g4 +(g211 +S'Symbol' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g212 +sbag2 +(g3 +g4 +(g211 +S'Whitespace' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g212 +sbatRp223 +sg215 +g216 +sg219 +g220 +sg15 +g17 +sbsg5 +g6 +sS'Token' +p224 +g17 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg215 +g2 +(g3 +g4 +(g226 +g239 +g215 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg26 +g2 +(g3 +g4 +(g226 +g239 +g26 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg87 +g2 +(g3 +g4 +(g226 +g239 +g87 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g17 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg87 +g2 +(g3 +g4 +(g226 +g87 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg87 +g2 +(g3 +g4 +(g87 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g17 +sbsg193 +g2 +(g3 +g4 +(g193 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g17 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g17 +sbsg8 +g9 +((lp365 +g20 +ag353 +ag167 +ag212 +ag41 +ag6 +ag134 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV. +tp367 +a(g20 +V\u005c" Automatically generated by Pod::Man v1.37, Pod::Parser v1.32 +p368 +tp369 +a(g212 +V\u000a +tp370 +a(g6 +V. +tp371 +a(g20 +V\u005c" +p372 +tp373 +a(g212 +V\u000a +tp374 +a(g6 +V. +tp375 +a(g20 +V\u005c" Standard preamble: +p376 +tp377 +a(g212 +V\u000a +tp378 +a(g6 +V. +tp379 +a(g20 +V\u005c" ======================================================================== +p380 +tp381 +a(g212 +V\u000a +tp382 +a(g212 +V. +tp383 +a(g134 +Vde +p384 +tp385 +a(g212 +V +tp386 +a(g240 +VSh +p387 +tp388 +a(g212 +V +tp389 +a(g20 +V\u005c" Subsection heading +p390 +tp391 +a(g212 +V\u000a +tp392 +a(g212 +V. +tp393 +a(g134 +Vbr +p394 +tp395 +a(g212 +V\u000a +tp396 +a(g212 +V. +tp397 +a(g134 +Vif +p398 +tp399 +a(g212 +V +tp400 +a(g240 +Vt +tp401 +a(g212 +V +tp402 +a(g240 +V.Sp +p403 +tp404 +a(g212 +V\u000a +tp405 +a(g212 +V. +tp406 +a(g134 +Vne +p407 +tp408 +a(g212 +V +tp409 +a(g227 +V5 +tp410 +a(g212 +V\u000a +tp411 +a(g212 +V. +tp412 +a(g134 +VPP +p413 +tp414 +a(g212 +V\u000a +tp415 +a(g212 +V +tp416 +a(g266 +V\u005cfB +p417 +tp418 +a(g266 +V\u005c\u005c +p419 +tp420 +a(g212 +V$1 +p421 +tp422 +a(g266 +V\u005cfR +p423 +tp424 +a(g212 +V\u000a +tp425 +a(g212 +V. +tp426 +a(g134 +VPP +p427 +tp428 +a(g212 +V\u000a +tp429 +a(g6 +V. +tp430 +a(g240 +V. +tp431 +a(g212 +V\u000a +tp432 +a(g212 +V. +tp433 +a(g134 +Vde +p434 +tp435 +a(g212 +V +tp436 +a(g240 +VSp +p437 +tp438 +a(g212 +V +tp439 +a(g20 +V\u005c" Vertical space (when we can't use .PP) +p440 +tp441 +a(g212 +V\u000a +tp442 +a(g212 +V. +tp443 +a(g134 +Vif +p444 +tp445 +a(g212 +V +tp446 +a(g240 +Vt +tp447 +a(g212 +V +tp448 +a(g240 +V.sp +p449 +tp450 +a(g212 +V +tp451 +a(g240 +V.5v +p452 +tp453 +a(g212 +V\u000a +tp454 +a(g212 +V. +tp455 +a(g134 +Vif +p456 +tp457 +a(g212 +V +tp458 +a(g240 +Vn +tp459 +a(g212 +V +tp460 +a(g240 +V.sp +p461 +tp462 +a(g212 +V\u000a +tp463 +a(g6 +V. +tp464 +a(g240 +V. +tp465 +a(g212 +V\u000a +tp466 +a(g212 +V. +tp467 +a(g134 +Vde +p468 +tp469 +a(g212 +V +tp470 +a(g240 +VVb +p471 +tp472 +a(g212 +V +tp473 +a(g20 +V\u005c" Begin verbatim text +p474 +tp475 +a(g212 +V\u000a +tp476 +a(g212 +V. +tp477 +a(g134 +Vft +p478 +tp479 +a(g212 +V +tp480 +a(g240 +VCW +p481 +tp482 +a(g212 +V\u000a +tp483 +a(g212 +V. +tp484 +a(g134 +Vnf +p485 +tp486 +a(g212 +V\u000a +tp487 +a(g212 +V. +tp488 +a(g134 +Vne +p489 +tp490 +a(g212 +V +tp491 +a(g266 +V\u005c\u005c +p492 +tp493 +a(g240 +V$1 +p494 +tp495 +a(g212 +V\u000a +tp496 +a(g6 +V. +tp497 +a(g240 +V. +tp498 +a(g212 +V\u000a +tp499 +a(g212 +V. +tp500 +a(g134 +Vde +p501 +tp502 +a(g212 +V +tp503 +a(g240 +VVe +p504 +tp505 +a(g212 +V +tp506 +a(g20 +V\u005c" End verbatim text +p507 +tp508 +a(g212 +V\u000a +tp509 +a(g212 +V. +tp510 +a(g134 +Vft +p511 +tp512 +a(g212 +V +tp513 +a(g240 +VR +tp514 +a(g212 +V\u000a +tp515 +a(g212 +V. +tp516 +a(g134 +Vfi +p517 +tp518 +a(g212 +V\u000a +tp519 +a(g6 +V. +tp520 +a(g240 +V. +tp521 +a(g212 +V\u000a +tp522 +a(g6 +V. +tp523 +a(g20 +V\u005c" Set up some character translations and predefined strings. \u005c*(-- will +p524 +tp525 +a(g212 +V\u000a +tp526 +a(g6 +V. +tp527 +a(g20 +V\u005c" give an unbreakable dash, \u005c*(PI will give pi, \u005c*(L" will give a left +p528 +tp529 +a(g212 +V\u000a +tp530 +a(g6 +V. +tp531 +a(g20 +V\u005c" double quote, and \u005c*(R" will give a right double quote. | will give a +p532 +tp533 +a(g212 +V\u000a +tp534 +a(g6 +V. +tp535 +a(g20 +V\u005c" real vertical bar. \u005c*(C+ will give a nicer C++. Capital omega is used to +p536 +tp537 +a(g212 +V\u000a +tp538 +a(g6 +V. +tp539 +a(g20 +V\u005c" do unbreakable dashes and therefore won't be available. \u005c*(C` and \u005c*(C' +p540 +tp541 +a(g212 +V\u000a +tp542 +a(g6 +V. +tp543 +a(g20 +V\u005c" expand to `' in nroff, nothing in troff, for use with C<>. +p544 +tp545 +a(g212 +V\u000a +tp546 +a(g212 +V. +tp547 +a(g134 +Vtr +p548 +tp549 +a(g212 +V +tp550 +a(g266 +V\u005c(*W +p551 +tp552 +a(g240 +V-|\u005c(bv\u005c*(Tr +p553 +tp554 +a(g212 +V\u000a +tp555 +a(g212 +V. +tp556 +a(g134 +Vds +p557 +tp558 +a(g212 +V +tp559 +a(g240 +VC+ +p560 +tp561 +a(g212 +V +tp562 +a(g240 +VC\u005cv'-.1v'\u005ch'-1p'\u005cs-2+\u005ch'-1p'+\u005cs0\u005cv'.1v'\u005ch'-1p' +p563 +tp564 +a(g212 +V\u000a +tp565 +a(g212 +V. +tp566 +a(g134 +Vie +p567 +tp568 +a(g212 +V +tp569 +a(g240 +Vn +tp570 +a(g212 +V +tp571 +a(g266 +V\u005c{ +p572 +tp573 +a(g212 +V\u005c\u000a +p574 +tp575 +a(g240 +V. +tp576 +a(g212 +V +p577 +tp578 +a(g240 +Vds +p579 +tp580 +a(g212 +V +tp581 +a(g240 +V-- +p582 +tp583 +a(g212 +V +tp584 +a(g266 +V\u005c(*W +p585 +tp586 +a(g240 +V- +tp587 +a(g212 +V\u000a +tp588 +a(g240 +V. +tp589 +a(g212 +V +p590 +tp591 +a(g240 +Vds +p592 +tp593 +a(g212 +V +tp594 +a(g240 +VPI +p595 +tp596 +a(g212 +V +tp597 +a(g240 +Vpi +p598 +tp599 +a(g212 +V\u000a +tp600 +a(g6 +V. +tp601 +a(g212 +V +p602 +tp603 +a(g240 +Vif +p604 +tp605 +a(g212 +V +tp606 +a(g240 +V(\u005cn(.H=4u)&(1m=24u) +p607 +tp608 +a(g212 +V +tp609 +a(g240 +V.ds +p610 +tp611 +a(g212 +V +tp612 +a(g240 +V-- +p613 +tp614 +a(g212 +V +tp615 +a(g266 +V\u005c(*W +p616 +tp617 +a(g266 +V\u005ch +p618 +tp619 +a(g240 +V'-12u'\u005c(*W\u005ch'-12u'-\u005c" +p620 +tp621 +a(g212 +V +tp622 +a(g240 +Vdiablo +p623 +tp624 +a(g212 +V +tp625 +a(g227 +V10 +p626 +tp627 +a(g212 +V +tp628 +a(g240 +Vpitch +p629 +tp630 +a(g212 +V\u000a +tp631 +a(g6 +V. +tp632 +a(g212 +V +p633 +tp634 +a(g240 +Vif +p635 +tp636 +a(g212 +V +tp637 +a(g240 +V(\u005cn(.H=4u)&(1m=20u) +p638 +tp639 +a(g212 +V +tp640 +a(g240 +V.ds +p641 +tp642 +a(g212 +V +tp643 +a(g240 +V-- +p644 +tp645 +a(g212 +V +tp646 +a(g266 +V\u005c(*W +p647 +tp648 +a(g266 +V\u005ch +p649 +tp650 +a(g240 +V'-12u'\u005c(*W\u005ch'-8u'-\u005c" +p651 +tp652 +a(g212 +V +p653 +tp654 +a(g240 +Vdiablo +p655 +tp656 +a(g212 +V +tp657 +a(g227 +V12 +p658 +tp659 +a(g212 +V +tp660 +a(g240 +Vpitch +p661 +tp662 +a(g212 +V\u000a +tp663 +a(g6 +V. +tp664 +a(g212 +V +p665 +tp666 +a(g240 +Vds +p667 +tp668 +a(g212 +V +tp669 +a(g240 +VL" +p670 +tp671 +a(g212 +V +tp672 +a(g240 +V"" +p673 +tp674 +a(g212 +V\u000a +tp675 +a(g6 +V. +tp676 +a(g212 +V +p677 +tp678 +a(g240 +Vds +p679 +tp680 +a(g212 +V +tp681 +a(g240 +VR" +p682 +tp683 +a(g212 +V +tp684 +a(g240 +V"" +p685 +tp686 +a(g212 +V\u000a +tp687 +a(g6 +V. +tp688 +a(g212 +V +p689 +tp690 +a(g240 +Vds +p691 +tp692 +a(g212 +V +tp693 +a(g240 +VC` +p694 +tp695 +a(g212 +V +tp696 +a(g240 +V"" +p697 +tp698 +a(g212 +V\u000a +tp699 +a(g6 +V. +tp700 +a(g212 +V +p701 +tp702 +a(g240 +Vds +p703 +tp704 +a(g212 +V +tp705 +a(g240 +VC' +p706 +tp707 +a(g212 +V +tp708 +a(g240 +V"" +p709 +tp710 +a(g212 +V\u000a +tp711 +a(g212 +V'br +p712 +tp713 +a(g266 +V\u005c} +p714 +tp715 +a(g212 +V\u000a +tp716 +a(g212 +V. +tp717 +a(g134 +Vel +p718 +tp719 +a(g266 +V\u005c{ +p720 +tp721 +a(g212 +V\u005c\u000a +p722 +tp723 +a(g240 +V. +tp724 +a(g212 +V +p725 +tp726 +a(g240 +Vds +p727 +tp728 +a(g212 +V +tp729 +a(g240 +V-- +p730 +tp731 +a(g212 +V +tp732 +a(g266 +V\u005c| +p733 +tp734 +a(g266 +V\u005c(em +p735 +tp736 +a(g266 +V\u005c| +p737 +tp738 +a(g212 +V\u000a +tp739 +a(g240 +V. +tp740 +a(g212 +V +p741 +tp742 +a(g240 +Vds +p743 +tp744 +a(g212 +V +tp745 +a(g240 +VPI +p746 +tp747 +a(g212 +V +tp748 +a(g266 +V\u005c(*p +p749 +tp750 +a(g212 +V\u000a +tp751 +a(g6 +V. +tp752 +a(g212 +V +p753 +tp754 +a(g240 +Vds +p755 +tp756 +a(g212 +V +tp757 +a(g240 +VL" +p758 +tp759 +a(g212 +V +tp760 +a(g240 +V`` +p761 +tp762 +a(g212 +V\u000a +tp763 +a(g6 +V. +tp764 +a(g212 +V +p765 +tp766 +a(g240 +Vds +p767 +tp768 +a(g212 +V +tp769 +a(g240 +VR" +p770 +tp771 +a(g212 +V +tp772 +a(g240 +V'' +p773 +tp774 +a(g212 +V\u000a +tp775 +a(g212 +V'br +p776 +tp777 +a(g266 +V\u005c} +p778 +tp779 +a(g212 +V\u000a +tp780 +a(g6 +V. +tp781 +a(g20 +V\u005c" +p782 +tp783 +a(g212 +V\u000a +tp784 +a(g6 +V. +tp785 +a(g20 +V\u005c" If the F register is turned on, we'll generate index entries on stderr for +p786 +tp787 +a(g212 +V\u000a +tp788 +a(g6 +V. +tp789 +a(g20 +V\u005c" titles (.TH), headers (.SH), subsections (.Sh), items (.Ip), and index +p790 +tp791 +a(g212 +V\u000a +tp792 +a(g6 +V. +tp793 +a(g20 +V\u005c" entries marked with X<> in POD. Of course, you'll have to process the +p794 +tp795 +a(g212 +V\u000a +tp796 +a(g6 +V. +tp797 +a(g20 +V\u005c" output yourself in some meaningful fashion. +p798 +tp799 +a(g212 +V\u000a +tp800 +a(g212 +V. +tp801 +a(g134 +Vif +p802 +tp803 +a(g212 +V +tp804 +a(g266 +V\u005cnF +p805 +tp806 +a(g212 +V +tp807 +a(g266 +V\u005c{ +p808 +tp809 +a(g212 +V\u005c\u000a +p810 +tp811 +a(g240 +V. +tp812 +a(g212 +V +p813 +tp814 +a(g240 +Vde +p815 +tp816 +a(g212 +V +tp817 +a(g240 +VIX +p818 +tp819 +a(g212 +V\u000a +tp820 +a(g240 +V. +tp821 +a(g212 +V +p822 +tp823 +a(g240 +Vtm +p824 +tp825 +a(g212 +V +tp826 +a(g240 +VIndex:\u005c\u005c$1\u005ct\u005c\u005cn%\u005ct"\u005c\u005c$2" +p827 +tp828 +a(g212 +V\u000a +tp829 +a(g6 +V. +tp830 +a(g240 +V. +tp831 +a(g212 +V\u000a +tp832 +a(g6 +V. +tp833 +a(g212 +V +p834 +tp835 +a(g240 +Vnr +p836 +tp837 +a(g212 +V +tp838 +a(g240 +V% +tp839 +a(g212 +V +tp840 +a(g227 +V0 +tp841 +a(g212 +V\u000a +tp842 +a(g6 +V. +tp843 +a(g212 +V +p844 +tp845 +a(g240 +Vrr +p846 +tp847 +a(g212 +V +tp848 +a(g240 +VF +tp849 +a(g212 +V\u000a +tp850 +a(g6 +V. +tp851 +a(g266 +V\u005c} +p852 +tp853 +a(g212 +V\u000a +tp854 +a(g6 +V. +tp855 +a(g20 +V\u005c" +p856 +tp857 +a(g212 +V\u000a +tp858 +a(g6 +V. +tp859 +a(g20 +V\u005c" For nroff, turn off justification. Always turn off hyphenation; it makes +p860 +tp861 +a(g212 +V\u000a +tp862 +a(g6 +V. +tp863 +a(g20 +V\u005c" way too many mistakes in technical documents. +p864 +tp865 +a(g212 +V\u000a +tp866 +a(g212 +V. +tp867 +a(g134 +Vhy +p868 +tp869 +a(g212 +V +tp870 +a(g227 +V0 +tp871 +a(g212 +V\u000a +tp872 +a(g212 +V. +tp873 +a(g134 +Vif +p874 +tp875 +a(g212 +V +tp876 +a(g240 +Vn +tp877 +a(g212 +V +tp878 +a(g240 +V.na +p879 +tp880 +a(g212 +V\u000a +tp881 +a(g6 +V. +tp882 +a(g20 +V\u005c" +p883 +tp884 +a(g212 +V\u000a +tp885 +a(g6 +V. +tp886 +a(g20 +V\u005c" Accent mark definitions (@(#)ms.acc 1.5 88/02/08 SMI; from UCB 4.2). +p887 +tp888 +a(g212 +V\u000a +tp889 +a(g6 +V. +tp890 +a(g20 +V\u005c" Fear. Run. Save yourself. No user-serviceable parts. +p891 +tp892 +a(g212 +V\u000a +tp893 +a(g6 +V. +tp894 +a(g212 +V +p895 +tp896 +a(g20 +V\u005c" fudge factors for nroff and troff +p897 +tp898 +a(g212 +V\u000a +tp899 +a(g212 +V. +tp900 +a(g134 +Vif +p901 +tp902 +a(g212 +V +tp903 +a(g240 +Vn +tp904 +a(g212 +V +tp905 +a(g266 +V\u005c{ +p906 +tp907 +a(g212 +V\u005c\u000a +p908 +tp909 +a(g240 +V. +tp910 +a(g212 +V +p911 +tp912 +a(g240 +Vds +p913 +tp914 +a(g212 +V +tp915 +a(g240 +V#H +p916 +tp917 +a(g212 +V +tp918 +a(g227 +V0 +tp919 +a(g212 +V\u000a +tp920 +a(g240 +V. +tp921 +a(g212 +V +p922 +tp923 +a(g240 +Vds +p924 +tp925 +a(g212 +V +tp926 +a(g240 +V#V +p927 +tp928 +a(g212 +V +tp929 +a(g240 +V.8m +p930 +tp931 +a(g212 +V\u000a +tp932 +a(g6 +V. +tp933 +a(g212 +V +p934 +tp935 +a(g240 +Vds +p936 +tp937 +a(g212 +V +tp938 +a(g240 +V#F +p939 +tp940 +a(g212 +V +tp941 +a(g240 +V.3m +p942 +tp943 +a(g212 +V\u000a +tp944 +a(g6 +V. +tp945 +a(g212 +V +p946 +tp947 +a(g240 +Vds +p948 +tp949 +a(g212 +V +tp950 +a(g240 +V#[ +p951 +tp952 +a(g212 +V +tp953 +a(g266 +V\u005cf1 +p954 +tp955 +a(g212 +V\u000a +tp956 +a(g6 +V. +tp957 +a(g212 +V +p958 +tp959 +a(g240 +Vds +p960 +tp961 +a(g212 +V +tp962 +a(g240 +V#] +p963 +tp964 +a(g212 +V +tp965 +a(g266 +V\u005cfP +p966 +tp967 +a(g212 +V\u000a +tp968 +a(g6 +V. +tp969 +a(g266 +V\u005c} +p970 +tp971 +a(g212 +V\u000a +tp972 +a(g212 +V. +tp973 +a(g134 +Vif +p974 +tp975 +a(g212 +V +tp976 +a(g240 +Vt +tp977 +a(g212 +V +tp978 +a(g266 +V\u005c{ +p979 +tp980 +a(g212 +V\u005c\u000a +p981 +tp982 +a(g240 +V. +tp983 +a(g212 +V +p984 +tp985 +a(g240 +Vds +p986 +tp987 +a(g212 +V +tp988 +a(g240 +V#H +p989 +tp990 +a(g212 +V +tp991 +a(g240 +V((1u-(\u005c\u005c\u005c\u005cn(.fu%2u))*.13m) +p992 +tp993 +a(g212 +V\u000a +tp994 +a(g240 +V. +tp995 +a(g212 +V +p996 +tp997 +a(g240 +Vds +p998 +tp999 +a(g212 +V +tp1000 +a(g240 +V#V +p1001 +tp1002 +a(g212 +V +tp1003 +a(g240 +V.6m +p1004 +tp1005 +a(g212 +V\u000a +tp1006 +a(g6 +V. +tp1007 +a(g212 +V +p1008 +tp1009 +a(g240 +Vds +p1010 +tp1011 +a(g212 +V +tp1012 +a(g240 +V#F +p1013 +tp1014 +a(g212 +V +tp1015 +a(g227 +V0 +tp1016 +a(g212 +V\u000a +tp1017 +a(g6 +V. +tp1018 +a(g212 +V +p1019 +tp1020 +a(g240 +Vds +p1021 +tp1022 +a(g212 +V +tp1023 +a(g240 +V#[ +p1024 +tp1025 +a(g212 +V +tp1026 +a(g266 +V\u005c& +p1027 +tp1028 +a(g212 +V\u000a +tp1029 +a(g6 +V. +tp1030 +a(g212 +V +p1031 +tp1032 +a(g240 +Vds +p1033 +tp1034 +a(g212 +V +tp1035 +a(g240 +V#] +p1036 +tp1037 +a(g212 +V +tp1038 +a(g266 +V\u005c& +p1039 +tp1040 +a(g212 +V\u000a +tp1041 +a(g6 +V. +tp1042 +a(g266 +V\u005c} +p1043 +tp1044 +a(g212 +V\u000a +tp1045 +a(g6 +V. +tp1046 +a(g212 +V +p1047 +tp1048 +a(g20 +V\u005c" simple accents for nroff and troff +p1049 +tp1050 +a(g212 +V\u000a +tp1051 +a(g212 +V. +tp1052 +a(g134 +Vif +p1053 +tp1054 +a(g212 +V +tp1055 +a(g240 +Vn +tp1056 +a(g212 +V +tp1057 +a(g266 +V\u005c{ +p1058 +tp1059 +a(g212 +V\u005c\u000a +p1060 +tp1061 +a(g240 +V. +tp1062 +a(g212 +V +p1063 +tp1064 +a(g240 +Vds +p1065 +tp1066 +a(g212 +V +tp1067 +a(g240 +V' +tp1068 +a(g212 +V +tp1069 +a(g266 +V\u005c& +p1070 +tp1071 +a(g212 +V\u000a +tp1072 +a(g240 +V. +tp1073 +a(g212 +V +p1074 +tp1075 +a(g240 +Vds +p1076 +tp1077 +a(g212 +V +tp1078 +a(g240 +V` +tp1079 +a(g212 +V +tp1080 +a(g266 +V\u005c& +p1081 +tp1082 +a(g212 +V\u000a +tp1083 +a(g6 +V. +tp1084 +a(g212 +V +p1085 +tp1086 +a(g240 +Vds +p1087 +tp1088 +a(g212 +V +tp1089 +a(g240 +V^ +tp1090 +a(g212 +V +tp1091 +a(g266 +V\u005c& +p1092 +tp1093 +a(g212 +V\u000a +tp1094 +a(g6 +V. +tp1095 +a(g212 +V +p1096 +tp1097 +a(g240 +Vds +p1098 +tp1099 +a(g212 +V +tp1100 +a(g240 +V, +tp1101 +a(g212 +V +tp1102 +a(g266 +V\u005c& +p1103 +tp1104 +a(g212 +V\u000a +tp1105 +a(g6 +V. +tp1106 +a(g212 +V +p1107 +tp1108 +a(g240 +Vds +p1109 +tp1110 +a(g212 +V +tp1111 +a(g240 +V~ +tp1112 +a(g212 +V +tp1113 +a(g240 +V~ +tp1114 +a(g212 +V\u000a +tp1115 +a(g6 +V. +tp1116 +a(g212 +V +p1117 +tp1118 +a(g240 +Vds +p1119 +tp1120 +a(g212 +V +tp1121 +a(g240 +V/ +tp1122 +a(g212 +V\u000a +tp1123 +a(g6 +V. +tp1124 +a(g266 +V\u005c} +p1125 +tp1126 +a(g212 +V\u000a +tp1127 +a(g212 +V. +tp1128 +a(g134 +Vif +p1129 +tp1130 +a(g212 +V +tp1131 +a(g240 +Vt +tp1132 +a(g212 +V +tp1133 +a(g266 +V\u005c{ +p1134 +tp1135 +a(g212 +V\u005c\u000a +p1136 +tp1137 +a(g240 +V. +tp1138 +a(g212 +V +p1139 +tp1140 +a(g240 +Vds +p1141 +tp1142 +a(g212 +V +tp1143 +a(g240 +V' +tp1144 +a(g212 +V +tp1145 +a(g266 +V\u005c\u005c +p1146 +tp1147 +a(g240 +Vk:\u005ch'-(\u005c\u005cn(.wu*8/10-\u005c*(#H)'\u005c'\u005ch"|\u005c\u005cn:u" +p1148 +tp1149 +a(g212 +V\u000a +tp1150 +a(g240 +V. +tp1151 +a(g212 +V +p1152 +tp1153 +a(g240 +Vds +p1154 +tp1155 +a(g212 +V +tp1156 +a(g240 +V` +tp1157 +a(g212 +V +tp1158 +a(g266 +V\u005c\u005c +p1159 +tp1160 +a(g240 +Vk:\u005ch'-(\u005c\u005cn(.wu*8/10-\u005c*(#H)'\u005c`\u005ch'|\u005c\u005cn:u' +p1161 +tp1162 +a(g212 +V\u000a +tp1163 +a(g6 +V. +tp1164 +a(g212 +V +p1165 +tp1166 +a(g240 +Vds +p1167 +tp1168 +a(g212 +V +tp1169 +a(g240 +V^ +tp1170 +a(g212 +V +tp1171 +a(g266 +V\u005c\u005c +p1172 +tp1173 +a(g240 +Vk:\u005ch'-(\u005c\u005cn(.wu*10/11-\u005c*(#H)'^\u005ch'|\u005c\u005cn:u' +p1174 +tp1175 +a(g212 +V\u000a +tp1176 +a(g6 +V. +tp1177 +a(g212 +V +p1178 +tp1179 +a(g240 +Vds +p1180 +tp1181 +a(g212 +V +tp1182 +a(g240 +V, +tp1183 +a(g212 +V +tp1184 +a(g266 +V\u005c\u005c +p1185 +tp1186 +a(g240 +Vk:\u005ch'-(\u005c\u005cn(.wu*8/10)',\u005ch'|\u005c\u005cn:u' +p1187 +tp1188 +a(g212 +V\u000a +tp1189 +a(g6 +V. +tp1190 +a(g212 +V +p1191 +tp1192 +a(g240 +Vds +p1193 +tp1194 +a(g212 +V +tp1195 +a(g240 +V~ +tp1196 +a(g212 +V +tp1197 +a(g266 +V\u005c\u005c +p1198 +tp1199 +a(g240 +Vk:\u005ch'-(\u005c\u005cn(.wu-\u005c*(#H-.1m)'~\u005ch'|\u005c\u005cn:u' +p1200 +tp1201 +a(g212 +V\u000a +tp1202 +a(g6 +V. +tp1203 +a(g212 +V +p1204 +tp1205 +a(g240 +Vds +p1206 +tp1207 +a(g212 +V +tp1208 +a(g240 +V/ +tp1209 +a(g212 +V +tp1210 +a(g266 +V\u005c\u005c +p1211 +tp1212 +a(g240 +Vk:\u005ch'-(\u005c\u005cn(.wu*8/10-\u005c*(#H)'\u005cz\u005c(sl\u005ch'|\u005c\u005cn:u' +p1213 +tp1214 +a(g212 +V\u000a +tp1215 +a(g6 +V. +tp1216 +a(g266 +V\u005c} +p1217 +tp1218 +a(g212 +V\u000a +tp1219 +a(g6 +V. +tp1220 +a(g212 +V +p1221 +tp1222 +a(g20 +V\u005c" troff and (daisy-wheel) nroff accents +p1223 +tp1224 +a(g212 +V\u000a +tp1225 +a(g212 +V. +tp1226 +a(g134 +Vds +p1227 +tp1228 +a(g212 +V +tp1229 +a(g240 +V: +tp1230 +a(g212 +V +tp1231 +a(g266 +V\u005c\u005c +p1232 +tp1233 +a(g240 +Vk:\u005ch'-(\u005c\u005cn(.wu*8/10-\u005c*(#H+.1m+\u005c*(#F)'\u005cv'-\u005c*(#V'\u005cz.\u005ch'.2m+\u005c*(#F'.\u005ch'|\u005c\u005cn:u'\u005cv'\u005c*(#V' +p1234 +tp1235 +a(g212 +V\u000a +tp1236 +a(g212 +V. +tp1237 +a(g134 +Vds +p1238 +tp1239 +a(g212 +V +tp1240 +a(g227 +V8 +tp1241 +a(g212 +V +tp1242 +a(g266 +V\u005ch +p1243 +tp1244 +a(g240 +V'\u005c*(#H'\u005c(*b\u005ch'-\u005c*(#H' +p1245 +tp1246 +a(g212 +V\u000a +tp1247 +a(g212 +V. +tp1248 +a(g134 +Vds +p1249 +tp1250 +a(g212 +V +tp1251 +a(g240 +Vo +tp1252 +a(g212 +V +tp1253 +a(g266 +V\u005c\u005c +p1254 +tp1255 +a(g240 +Vk:\u005ch'-(\u005c\u005cn(.wu+\u005cw'\u005c(de'u-\u005c*(#H)/2u'\u005cv'-.3n'\u005c*(#[\u005cz\u005c(de\u005cv'.3n'\u005ch'|\u005c\u005cn:u'\u005c*(#] +p1256 +tp1257 +a(g212 +V\u000a +tp1258 +a(g212 +V. +tp1259 +a(g134 +Vds +p1260 +tp1261 +a(g212 +V +tp1262 +a(g240 +Vd- +p1263 +tp1264 +a(g212 +V +tp1265 +a(g266 +V\u005ch +p1266 +tp1267 +a(g240 +V'\u005c*(#H'\u005c(pd\u005ch'-\u005cw'~'u'\u005cv'-.25m'\u005cf2\u005c(hy\u005cfP\u005cv'.25m'\u005ch'-\u005c*(#H' +p1268 +tp1269 +a(g212 +V\u000a +tp1270 +a(g212 +V. +tp1271 +a(g134 +Vds +p1272 +tp1273 +a(g212 +V +tp1274 +a(g240 +VD- +p1275 +tp1276 +a(g212 +V +tp1277 +a(g240 +VD\u005c\u005ck:\u005ch'-\u005cw'D'u'\u005cv'-.11m'\u005cz\u005c(hy\u005cv'.11m'\u005ch'|\u005c\u005cn:u' +p1278 +tp1279 +a(g212 +V\u000a +tp1280 +a(g212 +V. +tp1281 +a(g134 +Vds +p1282 +tp1283 +a(g212 +V +tp1284 +a(g240 +Vth +p1285 +tp1286 +a(g212 +V +tp1287 +a(g266 +V\u005c* +p1288 +tp1289 +a(g240 +V(#[\u005cv'.3m'\u005cs+1I\u005cs-1\u005cv'-.3m'\u005ch'-(\u005cw'I'u*2/3)'\u005cs-1o\u005cs+1\u005c*(#] +p1290 +tp1291 +a(g212 +V\u000a +tp1292 +a(g212 +V. +tp1293 +a(g134 +Vds +p1294 +tp1295 +a(g212 +V +tp1296 +a(g240 +VTh +p1297 +tp1298 +a(g212 +V +tp1299 +a(g266 +V\u005c* +p1300 +tp1301 +a(g240 +V(#[\u005cs+2I\u005cs-2\u005ch'-\u005cw'I'u*3/5'\u005cv'-.3m'o\u005cv'.3m'\u005c*(#] +p1302 +tp1303 +a(g212 +V\u000a +tp1304 +a(g212 +V. +tp1305 +a(g134 +Vds +p1306 +tp1307 +a(g212 +V +tp1308 +a(g240 +Vae +p1309 +tp1310 +a(g212 +V +tp1311 +a(g240 +Va\u005ch'-(\u005cw'a'u*4/10)'e +p1312 +tp1313 +a(g212 +V\u000a +tp1314 +a(g212 +V. +tp1315 +a(g134 +Vds +p1316 +tp1317 +a(g212 +V +tp1318 +a(g240 +VAe +p1319 +tp1320 +a(g212 +V +tp1321 +a(g240 +VA\u005ch'-(\u005cw'A'u*4/10)'E +p1322 +tp1323 +a(g212 +V\u000a +tp1324 +a(g6 +V. +tp1325 +a(g212 +V +p1326 +tp1327 +a(g20 +V\u005c" corrections for vroff +p1328 +tp1329 +a(g212 +V\u000a +tp1330 +a(g212 +V. +tp1331 +a(g134 +Vif +p1332 +tp1333 +a(g212 +V +tp1334 +a(g240 +Vv +tp1335 +a(g212 +V +tp1336 +a(g240 +V.ds +p1337 +tp1338 +a(g212 +V +tp1339 +a(g240 +V~ +tp1340 +a(g212 +V +tp1341 +a(g266 +V\u005c\u005c +p1342 +tp1343 +a(g240 +Vk:\u005ch'-(\u005c\u005cn(.wu*9/10-\u005c*(#H)'\u005cs-2\u005cu~\u005cd\u005cs+2\u005ch'|\u005c\u005cn:u' +p1344 +tp1345 +a(g212 +V\u000a +tp1346 +a(g212 +V. +tp1347 +a(g134 +Vif +p1348 +tp1349 +a(g212 +V +tp1350 +a(g240 +Vv +tp1351 +a(g212 +V +tp1352 +a(g240 +V.ds +p1353 +tp1354 +a(g212 +V +tp1355 +a(g240 +V^ +tp1356 +a(g212 +V +tp1357 +a(g266 +V\u005c\u005c +p1358 +tp1359 +a(g240 +Vk:\u005ch'-(\u005c\u005cn(.wu*10/11-\u005c*(#H)'\u005cv'-.4m'^\u005cv'.4m'\u005ch'|\u005c\u005cn:u' +p1360 +tp1361 +a(g212 +V\u000a +tp1362 +a(g6 +V. +tp1363 +a(g212 +V +p1364 +tp1365 +a(g20 +V\u005c" for low resolution devices (crt and lpr) +p1366 +tp1367 +a(g212 +V\u000a +tp1368 +a(g212 +V. +tp1369 +a(g134 +Vif +p1370 +tp1371 +a(g212 +V +tp1372 +a(g266 +V\u005cn +p1373 +tp1374 +a(g240 +V(.H>23 +p1375 +tp1376 +a(g212 +V +tp1377 +a(g240 +V.if +p1378 +tp1379 +a(g212 +V +tp1380 +a(g266 +V\u005cn +p1381 +tp1382 +a(g240 +V(.V>19 +p1383 +tp1384 +a(g212 +V +tp1385 +a(g212 +V\u005c\u000a +p1386 +tp1387 +a(g266 +V\u005c{ +p1388 +tp1389 +a(g212 +V\u005c\u000a +p1390 +tp1391 +a(g240 +V. +tp1392 +a(g212 +V +p1393 +tp1394 +a(g240 +Vds +p1395 +tp1396 +a(g212 +V +tp1397 +a(g240 +V: +tp1398 +a(g212 +V +tp1399 +a(g240 +Ve +tp1400 +a(g212 +V\u000a +tp1401 +a(g240 +V. +tp1402 +a(g212 +V +p1403 +tp1404 +a(g240 +Vds +p1405 +tp1406 +a(g212 +V +tp1407 +a(g227 +V8 +tp1408 +a(g212 +V +tp1409 +a(g240 +Vss +p1410 +tp1411 +a(g212 +V\u000a +tp1412 +a(g240 +V. +tp1413 +a(g212 +V +p1414 +tp1415 +a(g240 +Vds +p1416 +tp1417 +a(g212 +V +tp1418 +a(g240 +Vo +tp1419 +a(g212 +V +tp1420 +a(g240 +Va +tp1421 +a(g212 +V\u000a +tp1422 +a(g6 +V. +tp1423 +a(g212 +V +p1424 +tp1425 +a(g240 +Vds +p1426 +tp1427 +a(g212 +V +tp1428 +a(g240 +Vd- +p1429 +tp1430 +a(g212 +V +tp1431 +a(g240 +Vd\u005ch'-1'\u005c(ga +p1432 +tp1433 +a(g212 +V\u000a +tp1434 +a(g6 +V. +tp1435 +a(g212 +V +p1436 +tp1437 +a(g240 +Vds +p1438 +tp1439 +a(g212 +V +tp1440 +a(g240 +VD- +p1441 +tp1442 +a(g212 +V +tp1443 +a(g240 +VD\u005ch'-1'\u005c(hy +p1444 +tp1445 +a(g212 +V\u000a +tp1446 +a(g6 +V. +tp1447 +a(g212 +V +p1448 +tp1449 +a(g240 +Vds +p1450 +tp1451 +a(g212 +V +tp1452 +a(g240 +Vth +p1453 +tp1454 +a(g212 +V +tp1455 +a(g266 +V\u005co +p1456 +tp1457 +a(g240 +V'bp' +p1458 +tp1459 +a(g212 +V\u000a +tp1460 +a(g6 +V. +tp1461 +a(g212 +V +p1462 +tp1463 +a(g240 +Vds +p1464 +tp1465 +a(g212 +V +tp1466 +a(g240 +VTh +p1467 +tp1468 +a(g212 +V +tp1469 +a(g266 +V\u005co +p1470 +tp1471 +a(g240 +V'LP' +p1472 +tp1473 +a(g212 +V\u000a +tp1474 +a(g6 +V. +tp1475 +a(g212 +V +p1476 +tp1477 +a(g240 +Vds +p1478 +tp1479 +a(g212 +V +tp1480 +a(g240 +Vae +p1481 +tp1482 +a(g212 +V +tp1483 +a(g240 +Vae +p1484 +tp1485 +a(g212 +V\u000a +tp1486 +a(g6 +V. +tp1487 +a(g212 +V +p1488 +tp1489 +a(g240 +Vds +p1490 +tp1491 +a(g212 +V +tp1492 +a(g240 +VAe +p1493 +tp1494 +a(g212 +V +tp1495 +a(g240 +VAE +p1496 +tp1497 +a(g212 +V\u000a +tp1498 +a(g6 +V. +tp1499 +a(g266 +V\u005c} +p1500 +tp1501 +a(g212 +V\u000a +tp1502 +a(g212 +V. +tp1503 +a(g134 +Vrm +p1504 +tp1505 +a(g212 +V +tp1506 +a(g240 +V#[ +p1507 +tp1508 +a(g212 +V +tp1509 +a(g240 +V#] +p1510 +tp1511 +a(g212 +V +tp1512 +a(g240 +V#H +p1513 +tp1514 +a(g212 +V +tp1515 +a(g240 +V#V +p1516 +tp1517 +a(g212 +V +tp1518 +a(g240 +V#F +p1519 +tp1520 +a(g212 +V +tp1521 +a(g240 +VC +tp1522 +a(g212 +V\u000a +tp1523 +a(g6 +V. +tp1524 +a(g20 +V\u005c" ======================================================================== +p1525 +tp1526 +a(g212 +V\u000a +tp1527 +a(g6 +V. +tp1528 +a(g20 +V\u005c" +p1529 +tp1530 +a(g212 +V\u000a +tp1531 +a(g212 +V. +tp1532 +a(g134 +VIX +p1533 +tp1534 +a(g212 +V +tp1535 +a(g240 +VTitle +p1536 +tp1537 +a(g212 +V +tp1538 +a(g259 +V"PERLFUNC 1" +p1539 +tp1540 +a(g212 +V\u000a +tp1541 +a(g212 +V. +tp1542 +a(g134 +VTH +p1543 +tp1544 +a(g212 +V +tp1545 +a(g240 +VPERLFUNC +p1546 +tp1547 +a(g212 +V +tp1548 +a(g227 +V1 +tp1549 +a(g212 +V +tp1550 +a(g259 +V"2006-01-07" +p1551 +tp1552 +a(g212 +V +tp1553 +a(g259 +V"perl v5.8.8" +p1554 +tp1555 +a(g212 +V +tp1556 +a(g259 +V"Perl Programmers Reference Guide" +p1557 +tp1558 +a(g212 +V\u000a +tp1559 +a(g212 +V. +tp1560 +a(g134 +VSH +p1561 +tp1562 +a(g212 +V +tp1563 +a(g259 +V"NAME" +p1564 +tp1565 +a(g212 +V\u000a +tp1566 +a(g212 +V. +tp1567 +a(g134 +VIX +p1568 +tp1569 +a(g212 +V +tp1570 +a(g240 +VXref +p1571 +tp1572 +a(g212 +V +tp1573 +a(g259 +V"function" +p1574 +tp1575 +a(g212 +V\u000a +tp1576 +a(g212 +Vperlfunc +p1577 +tp1578 +a(g266 +V\u005c- +p1579 +tp1580 +a(g212 +V Perl builtin functions +p1581 +tp1582 +a(g212 +V\u000a +tp1583 +a(g212 +V. +tp1584 +a(g134 +VSH +p1585 +tp1586 +a(g212 +V +tp1587 +a(g259 +V"DESCRIPTION" +p1588 +tp1589 +a(g212 +V\u000a +tp1590 +a(g212 +V. +tp1591 +a(g134 +VIX +p1592 +tp1593 +a(g212 +V +tp1594 +a(g240 +VHeader +p1595 +tp1596 +a(g212 +V +tp1597 +a(g259 +V"DESCRIPTION" +p1598 +tp1599 +a(g212 +V\u000a +tp1600 +a(g212 +VThe functions in this section can serve as terms in an expression. +p1601 +tp1602 +a(g212 +V\u000a +tp1603 +a(g212 +VThey fall into two major categories: list operators and named unary +p1604 +tp1605 +a(g212 +V\u000a +tp1606 +a(g212 +Voperators. These differ in their precedence relationship with a +p1607 +tp1608 +a(g212 +V\u000a +tp1609 +a(g212 +Vfollowing comma. (See the precedence table in perlop.) List +p1610 +tp1611 +a(g212 +V\u000a +tp1612 +a(g212 +Voperators take more than one argument, while unary operators can never +p1613 +tp1614 +a(g212 +V\u000a +tp1615 +a(g212 +Vtake more than one argument. Thus, a comma terminates the argument of +p1616 +tp1617 +a(g212 +V\u000a +tp1618 +a(g212 +Va unary operator, but merely separates the arguments of a list +p1619 +tp1620 +a(g212 +V\u000a +tp1621 +a(g212 +Voperator. A unary operator generally provides a scalar context to its +p1622 +tp1623 +a(g212 +V\u000a +tp1624 +a(g212 +Vargument, while a list operator may provide either scalar or list +p1625 +tp1626 +a(g212 +V\u000a +tp1627 +a(g212 +Vcontexts for its arguments. If it does both, the scalar arguments will +p1628 +tp1629 +a(g212 +V\u000a +tp1630 +a(g212 +Vbe first, and the list argument will follow. (Note that there can ever +p1631 +tp1632 +a(g212 +V\u000a +tp1633 +a(g212 +Vbe only one such list argument.) For instance, +p1634 +tp1635 +a(g266 +V\u005cfI +p1636 +tp1637 +a(g212 +Vsplice() +p1638 +tp1639 +a(g266 +V\u005cfR +p1640 +tp1641 +a(g212 +V has three scalar +p1642 +tp1643 +a(g212 +V\u000a +tp1644 +a(g212 +Varguments followed by a list, whereas +p1645 +tp1646 +a(g266 +V\u005cfI +p1647 +tp1648 +a(g212 +Vgethostbyname() +p1649 +tp1650 +a(g266 +V\u005cfR +p1651 +tp1652 +a(g212 +V has four scalar +p1653 +tp1654 +a(g212 +V\u000a +tp1655 +a(g212 +Varguments. +p1656 +tp1657 +a(g212 +V\u000a +tp1658 +a(g212 +V. +tp1659 +a(g134 +VPP +p1660 +tp1661 +a(g212 +V\u000a +tp1662 +a(g212 +VIn the syntax descriptions that follow, list operators that expect a +p1663 +tp1664 +a(g212 +V\u000a +tp1665 +a(g212 +Vlist (and provide list context for the elements of the list) are shown +p1666 +tp1667 +a(g212 +V\u000a +tp1668 +a(g212 +Vwith +p1669 +tp1670 +a(g266 +V\u005cs +p1671 +tp1672 +a(g212 +V-1LIST +p1673 +tp1674 +a(g266 +V\u005cs +p1675 +tp1676 +a(g212 +V0 as an argument. Such a list may consist of any combination +p1677 +tp1678 +a(g212 +V\u000a +tp1679 +a(g212 +Vof scalar arguments or list values; the list values will be included +p1680 +tp1681 +a(g212 +V\u000a +tp1682 +a(g212 +Vin the list as if each individual element were interpolated at that +p1683 +tp1684 +a(g212 +V\u000a +tp1685 +a(g212 +Vpoint in the list, forming a longer single-dimensional list value. +p1686 +tp1687 +a(g212 +V\u000a +tp1688 +a(g212 +VCommas should separate elements of the +p1689 +tp1690 +a(g266 +V\u005cs +p1691 +tp1692 +a(g212 +V-1LIST +p1693 +tp1694 +a(g266 +V\u005cs +p1695 +tp1696 +a(g212 +V0. +p1697 +tp1698 +a(g212 +V\u000a +tp1699 +a(g212 +V. +tp1700 +a(g134 +VPP +p1701 +tp1702 +a(g212 +V\u000a +tp1703 +a(g212 +VAny function in the list below may be used either with or without +p1704 +tp1705 +a(g212 +V\u000a +tp1706 +a(g212 +Vparentheses around its arguments. (The syntax descriptions omit the +p1707 +tp1708 +a(g212 +V\u000a +tp1709 +a(g212 +Vparentheses.) If you use the parentheses, the simple (but occasionally +p1710 +tp1711 +a(g212 +V\u000a +tp1712 +a(g212 +Vsurprising) rule is this: It +p1713 +tp1714 +a(g266 +V\u005cfI +p1715 +tp1716 +a(g212 +Vlooks +p1717 +tp1718 +a(g266 +V\u005cfR +p1719 +tp1720 +a(g212 +V like a function, therefore it +p1721 +tp1722 +a(g266 +V\u005cfI +p1723 +tp1724 +a(g212 +Vis +p1725 +tp1726 +a(g266 +V\u005cfR +p1727 +tp1728 +a(g212 +V a +p1729 +tp1730 +a(g212 +V\u000a +tp1731 +a(g212 +Vfunction, and precedence doesn't matter. Otherwise it's a list +p1732 +tp1733 +a(g212 +V\u000a +tp1734 +a(g212 +Voperator or unary operator, and precedence does matter. And whitespace +p1735 +tp1736 +a(g212 +V\u000a +tp1737 +a(g212 +Vbetween the function and left parenthesis doesn't count +p1738 +tp1739 +a(g266 +V\u005c* +p1740 +tp1741 +a(g212 +V(--so you need to +p1742 +tp1743 +a(g212 +V\u000a +tp1744 +a(g212 +Vbe careful sometimes: +p1745 +tp1746 +a(g212 +V\u000a +tp1747 +a(g212 +V. +tp1748 +a(g134 +VPP +p1749 +tp1750 +a(g212 +V\u000a +tp1751 +a(g212 +V. +tp1752 +a(g134 +VVb +p1753 +tp1754 +a(g212 +V +tp1755 +a(g227 +V5 +tp1756 +a(g212 +V\u000a +tp1757 +a(g212 +V +tp1758 +a(g266 +V\u005c& +p1759 +tp1760 +a(g212 +V print 1+2+4; # Prints 7. +p1761 +tp1762 +a(g212 +V\u000a +tp1763 +a(g212 +V +tp1764 +a(g266 +V\u005c& +p1765 +tp1766 +a(g212 +V print(1+2) + 4; # Prints 3. +p1767 +tp1768 +a(g212 +V\u000a +tp1769 +a(g212 +V +tp1770 +a(g266 +V\u005c& +p1771 +tp1772 +a(g212 +V print (1+2)+4; # Also prints 3! +p1773 +tp1774 +a(g212 +V\u000a +tp1775 +a(g212 +V +tp1776 +a(g266 +V\u005c& +p1777 +tp1778 +a(g212 +V print +(1+2)+4; # Prints 7. +p1779 +tp1780 +a(g212 +V\u000a +tp1781 +a(g212 +V +tp1782 +a(g266 +V\u005c& +p1783 +tp1784 +a(g212 +V print ((1+2)+4); # Prints 7. +p1785 +tp1786 +a(g212 +V\u000a +tp1787 +a(g212 +V. +tp1788 +a(g134 +VVe +p1789 +tp1790 +a(g212 +V\u000a +tp1791 +a(g212 +V. +tp1792 +a(g134 +VPP +p1793 +tp1794 +a(g212 +V\u000a +tp1795 +a(g212 +VIf you run Perl with the +p1796 +tp1797 +a(g266 +V\u005cfB +p1798 +tp1799 +a(g266 +V\u005c- +p1800 +tp1801 +a(g212 +Vw +tp1802 +a(g266 +V\u005cfR +p1803 +tp1804 +a(g212 +V switch it can warn you about this. For +p1805 +tp1806 +a(g212 +V\u000a +tp1807 +a(g212 +Vexample, the third line above produces: +p1808 +tp1809 +a(g212 +V\u000a +tp1810 +a(g212 +V. +tp1811 +a(g134 +VPP +p1812 +tp1813 +a(g212 +V\u000a +tp1814 +a(g212 +V. +tp1815 +a(g134 +VVb +p1816 +tp1817 +a(g212 +V +tp1818 +a(g227 +V2 +tp1819 +a(g212 +V\u000a +tp1820 +a(g212 +V +tp1821 +a(g266 +V\u005c& +p1822 +tp1823 +a(g212 +V print (...) interpreted as function at - line 1. +p1824 +tp1825 +a(g212 +V\u000a +tp1826 +a(g212 +V +tp1827 +a(g266 +V\u005c& +p1828 +tp1829 +a(g212 +V Useless use of integer addition in void context at - line 1. +p1830 +tp1831 +a(g212 +V\u000a +tp1832 +a(g212 +V. +tp1833 +a(g134 +VVe +p1834 +tp1835 +a(g212 +V\u000a +tp1836 +a(g212 +V. +tp1837 +a(g134 +VPP +p1838 +tp1839 +a(g212 +V\u000a +tp1840 +a(g212 +VA few functions take no arguments at all, and therefore work as neither +p1841 +tp1842 +a(g212 +V\u000a +tp1843 +a(g212 +Vunary nor list operators. These include such functions as +p1844 +tp1845 +a(g266 +V\u005cf +p1846 +tp1847 +a(g212 +V(CW +p1848 +tp1849 +a(g266 +V\u005c* +p1850 +tp1851 +a(g212 +V(C`time +p1852 +tp1853 +a(g266 +V\u005c* +p1854 +tp1855 +a(g212 +V(C' +p1856 +tp1857 +a(g266 +V\u005cfR +p1858 +tp1859 +a(g212 +V\u000a +tp1860 +a(g212 +Vand +p1861 +tp1862 +a(g266 +V\u005cf +p1863 +tp1864 +a(g212 +V(CW +p1865 +tp1866 +a(g266 +V\u005c* +p1867 +tp1868 +a(g212 +V(C`endpwent +p1869 +tp1870 +a(g266 +V\u005c* +p1871 +tp1872 +a(g212 +V(C' +p1873 +tp1874 +a(g266 +V\u005cfR +p1875 +tp1876 +a(g212 +V. For example, +p1877 +tp1878 +a(g266 +V\u005cf +p1879 +tp1880 +a(g212 +V(CW +p1881 +tp1882 +a(g266 +V\u005c* +p1883 +tp1884 +a(g212 +V(C`time+86_400 +p1885 +tp1886 +a(g266 +V\u005c* +p1887 +tp1888 +a(g212 +V(C' +p1889 +tp1890 +a(g266 +V\u005cfR +p1891 +tp1892 +a(g212 +V always means +p1893 +tp1894 +a(g212 +V\u000a +tp1895 +a(g212 +V +tp1896 +a(g266 +V\u005c& +p1897 +tp1898 +a(g266 +V\u005cf +p1899 +tp1900 +a(g212 +V(CW +p1901 +tp1902 +a(g266 +V\u005c* +p1903 +tp1904 +a(g212 +V(C`time() + 86_400 +p1905 +tp1906 +a(g266 +V\u005c* +p1907 +tp1908 +a(g212 +V(C' +p1909 +tp1910 +a(g266 +V\u005cfR +p1911 +tp1912 +a(g212 +V. +tp1913 +a(g212 +V\u000a +tp1914 +a(g212 +V. +tp1915 +a(g134 +VPP +p1916 +tp1917 +a(g212 +V\u000a +tp1918 +a(g212 +VFor functions that can be used in either a scalar or list context, +p1919 +tp1920 +a(g212 +V\u000a +tp1921 +a(g212 +Vnonabortive failure is generally indicated in a scalar context by +p1922 +tp1923 +a(g212 +V\u000a +tp1924 +a(g212 +Vreturning the undefined value, and in a list context by returning the +p1925 +tp1926 +a(g212 +V\u000a +tp1927 +a(g212 +Vnull list. +p1928 +tp1929 +a(g212 +V\u000a +tp1930 +a(g212 +V. +tp1931 +a(g134 +VPP +p1932 +tp1933 +a(g212 +V\u000a +tp1934 +a(g212 +VRemember the following important rule: There is +p1935 +tp1936 +a(g266 +V\u005cfB +p1937 +tp1938 +a(g212 +Vno rule +p1939 +tp1940 +a(g266 +V\u005cfR +p1941 +tp1942 +a(g212 +V that relates +p1943 +tp1944 +a(g212 +V\u000a +tp1945 +a(g212 +Vthe behavior of an expression in list context to its behavior in scalar +p1946 +tp1947 +a(g212 +V\u000a +tp1948 +a(g212 +Vcontext, or vice versa. It might do two totally different things. +p1949 +tp1950 +a(g212 +V\u000a +tp1951 +a(g212 +VEach operator and function decides which sort of value it would be most +p1952 +tp1953 +a(g212 +V\u000a +tp1954 +a(g212 +Vappropriate to return in scalar context. Some operators return the +p1955 +tp1956 +a(g212 +V\u000a +tp1957 +a(g212 +Vlength of the list that would have been returned in list context. Some +p1958 +tp1959 +a(g212 +V\u000a +tp1960 +a(g212 +Voperators return the first value in the list. Some operators return the +p1961 +tp1962 +a(g212 +V\u000a +tp1963 +a(g212 +Vlast value in the list. Some operators return a count of successful +p1964 +tp1965 +a(g212 +V\u000a +tp1966 +a(g212 +Voperations. In general, they do what you want, unless you want +p1967 +tp1968 +a(g212 +V\u000a +tp1969 +a(g212 +Vconsistency. +p1970 +tp1971 +a(g212 +V\u000a +tp1972 +a(g212 +V. +tp1973 +a(g134 +VIX +p1974 +tp1975 +a(g212 +V +tp1976 +a(g240 +VXref +p1977 +tp1978 +a(g212 +V +tp1979 +a(g259 +V"context" +p1980 +tp1981 +a(g212 +V\u000a +tp1982 +a(g212 +V. +tp1983 +a(g134 +VPP +p1984 +tp1985 +a(g212 +V\u000a +tp1986 +a(g212 +VA named array in scalar context is quite different from what would at +p1987 +tp1988 +a(g212 +V\u000a +tp1989 +a(g212 +Vfirst glance appear to be a list in scalar context. You can't get a list +p1990 +tp1991 +a(g212 +V\u000a +tp1992 +a(g212 +Vlike +p1993 +tp1994 +a(g266 +V\u005cf +p1995 +tp1996 +a(g212 +V(CW +p1997 +tp1998 +a(g266 +V\u005c* +p1999 +tp2000 +a(g212 +V(C`(1,2,3) +p2001 +tp2002 +a(g266 +V\u005c* +p2003 +tp2004 +a(g212 +V(C' +p2005 +tp2006 +a(g266 +V\u005cfR +p2007 +tp2008 +a(g212 +V into being in scalar context, because the compiler knows +p2009 +tp2010 +a(g212 +V\u000a +tp2011 +a(g212 +Vthe context at compile time. It would generate the scalar comma operator +p2012 +tp2013 +a(g212 +V\u000a +tp2014 +a(g212 +Vthere, not the list construction version of the comma. That means it +p2015 +tp2016 +a(g212 +V\u000a +tp2017 +a(g212 +Vwas never a list to start with. +p2018 +tp2019 +a(g212 +V\u000a +tp2020 +a(g212 +V. +tp2021 +a(g134 +VPP +p2022 +tp2023 +a(g212 +V\u000a +tp2024 +a(g212 +VIn general, functions in Perl that serve as wrappers for system calls +p2025 +tp2026 +a(g212 +V\u000a +tp2027 +a(g212 +Vof the same name (like +p2028 +tp2029 +a(g266 +V\u005cfI +p2030 +tp2031 +a(g212 +Vchown +p2032 +tp2033 +a(g266 +V\u005cfR +p2034 +tp2035 +a(g266 +V\u005c| +p2036 +tp2037 +a(g212 +V(2), +p2038 +tp2039 +a(g266 +V\u005cfI +p2040 +tp2041 +a(g212 +Vfork +p2042 +tp2043 +a(g266 +V\u005cfR +p2044 +tp2045 +a(g266 +V\u005c| +p2046 +tp2047 +a(g212 +V(2), +p2048 +tp2049 +a(g266 +V\u005cfI +p2050 +tp2051 +a(g212 +Vclosedir +p2052 +tp2053 +a(g266 +V\u005cfR +p2054 +tp2055 +a(g266 +V\u005c| +p2056 +tp2057 +a(g212 +V(2), etc.) all return +p2058 +tp2059 +a(g212 +V\u000a +tp2060 +a(g212 +Vtrue when they succeed and +p2061 +tp2062 +a(g266 +V\u005cf +p2063 +tp2064 +a(g212 +V(CW +p2065 +tp2066 +a(g266 +V\u005c* +p2067 +tp2068 +a(g212 +V(C`undef +p2069 +tp2070 +a(g266 +V\u005c* +p2071 +tp2072 +a(g212 +V(C' +p2073 +tp2074 +a(g266 +V\u005cfR +p2075 +tp2076 +a(g212 +V otherwise, as is usually mentioned +p2077 +tp2078 +a(g212 +V\u000a +tp2079 +a(g212 +Vin the descriptions below. This is different from the C interfaces, +p2080 +tp2081 +a(g212 +V\u000a +tp2082 +a(g212 +Vwhich return +p2083 +tp2084 +a(g266 +V\u005cf +p2085 +tp2086 +a(g212 +V(CW +p2087 +tp2088 +a(g266 +V\u005c* +p2089 +tp2090 +a(g212 +V(C` +p2091 +tp2092 +a(g266 +V\u005c- +p2093 +tp2094 +a(g212 +V1 +tp2095 +a(g266 +V\u005c* +p2096 +tp2097 +a(g212 +V(C' +p2098 +tp2099 +a(g266 +V\u005cfR +p2100 +tp2101 +a(g212 +V on failure. Exceptions to this rule are +p2102 +tp2103 +a(g266 +V\u005cf +p2104 +tp2105 +a(g212 +V(CW +p2106 +tp2107 +a(g266 +V\u005c* +p2108 +tp2109 +a(g212 +V(C`wait +p2110 +tp2111 +a(g266 +V\u005c* +p2112 +tp2113 +a(g212 +V(C' +p2114 +tp2115 +a(g266 +V\u005cfR +p2116 +tp2117 +a(g212 +V, +tp2118 +a(g212 +V\u000a +tp2119 +a(g212 +V +tp2120 +a(g266 +V\u005c& +p2121 +tp2122 +a(g266 +V\u005cf +p2123 +tp2124 +a(g212 +V(CW +p2125 +tp2126 +a(g266 +V\u005c* +p2127 +tp2128 +a(g212 +V(C`waitpid +p2129 +tp2130 +a(g266 +V\u005c* +p2131 +tp2132 +a(g212 +V(C' +p2133 +tp2134 +a(g266 +V\u005cfR +p2135 +tp2136 +a(g212 +V, and +p2137 +tp2138 +a(g266 +V\u005cf +p2139 +tp2140 +a(g212 +V(CW +p2141 +tp2142 +a(g266 +V\u005c* +p2143 +tp2144 +a(g212 +V(C`syscall +p2145 +tp2146 +a(g266 +V\u005c* +p2147 +tp2148 +a(g212 +V(C' +p2149 +tp2150 +a(g266 +V\u005cfR +p2151 +tp2152 +a(g212 +V. System calls also set the special +p2153 +tp2154 +a(g266 +V\u005cf +p2155 +tp2156 +a(g212 +V(CW$! +p2157 +tp2158 +a(g266 +V\u005cfR +p2159 +tp2160 +a(g212 +V\u000a +tp2161 +a(g212 +Vvariable on failure. Other functions do not, except accidentally. +p2162 +tp2163 +a(g212 +V\u000a +tp2164 +a(g212 +V. +tp2165 +a(g134 +VSh +p2166 +tp2167 +a(g212 +V +tp2168 +a(g259 +V"Perl Functions by Category" +p2169 +tp2170 +a(g212 +V\u000a +tp2171 +a(g212 +V. +tp2172 +a(g134 +VIX +p2173 +tp2174 +a(g212 +V +tp2175 +a(g240 +VXref +p2176 +tp2177 +a(g212 +V +tp2178 +a(g259 +V"function" +p2179 +tp2180 +a(g212 +V\u000a +tp2181 +a(g212 +V. +tp2182 +a(g134 +VIX +p2183 +tp2184 +a(g212 +V +tp2185 +a(g240 +VSubsection +p2186 +tp2187 +a(g212 +V +tp2188 +a(g259 +V"Perl Functions by Category" +p2189 +tp2190 +a(g212 +V\u000a +tp2191 +a(g212 +VHere are Perl's functions (including things that look like +p2192 +tp2193 +a(g212 +V\u000a +tp2194 +a(g212 +Vfunctions, like some keywords and named operators) +p2195 +tp2196 +a(g212 +V\u000a +tp2197 +a(g212 +Varranged by category. Some functions appear in more +p2198 +tp2199 +a(g212 +V\u000a +tp2200 +a(g212 +Vthan one place. +p2201 +tp2202 +a(g212 +V\u000a +tp2203 +a(g212 +V. +tp2204 +a(g134 +VIP +p2205 +tp2206 +a(g212 +V +tp2207 +a(g259 +V"Functions for SCALARs or strings" +p2208 +tp2209 +a(g212 +V +tp2210 +a(g227 +V4 +tp2211 +a(g212 +V\u000a +tp2212 +a(g212 +V. +tp2213 +a(g134 +VIX +p2214 +tp2215 +a(g212 +V +tp2216 +a(g240 +VXref +p2217 +tp2218 +a(g212 +V +tp2219 +a(g259 +V"scalar string character" +p2220 +tp2221 +a(g212 +V\u000a +tp2222 +a(g212 +V. +tp2223 +a(g134 +VIX +p2224 +tp2225 +a(g212 +V +tp2226 +a(g240 +VItem +p2227 +tp2228 +a(g212 +V +tp2229 +a(g259 +V"Functions for SCALARs or strings" +p2230 +tp2231 +a(g212 +V\u000a +tp2232 +a(g212 +V +tp2233 +a(g266 +V\u005c& +p2234 +tp2235 +a(g266 +V\u005cf +p2236 +tp2237 +a(g212 +V(CW +p2238 +tp2239 +a(g266 +V\u005c* +p2240 +tp2241 +a(g212 +V(C`chomp +p2242 +tp2243 +a(g266 +V\u005c* +p2244 +tp2245 +a(g212 +V(C' +p2246 +tp2247 +a(g266 +V\u005cfR +p2248 +tp2249 +a(g212 +V, +p2250 +tp2251 +a(g266 +V\u005cf +p2252 +tp2253 +a(g212 +V(CW +p2254 +tp2255 +a(g266 +V\u005c* +p2256 +tp2257 +a(g212 +V(C`chop +p2258 +tp2259 +a(g266 +V\u005c* +p2260 +tp2261 +a(g212 +V(C' +p2262 +tp2263 +a(g266 +V\u005cfR +p2264 +tp2265 +a(g212 +V, +p2266 +tp2267 +a(g266 +V\u005cf +p2268 +tp2269 +a(g212 +V(CW +p2270 +tp2271 +a(g266 +V\u005c* +p2272 +tp2273 +a(g212 +V(C`chr +p2274 +tp2275 +a(g266 +V\u005c* +p2276 +tp2277 +a(g212 +V(C' +p2278 +tp2279 +a(g266 +V\u005cfR +p2280 +tp2281 +a(g212 +V, +p2282 +tp2283 +a(g266 +V\u005cf +p2284 +tp2285 +a(g212 +V(CW +p2286 +tp2287 +a(g266 +V\u005c* +p2288 +tp2289 +a(g212 +V(C`crypt +p2290 +tp2291 +a(g266 +V\u005c* +p2292 +tp2293 +a(g212 +V(C' +p2294 +tp2295 +a(g266 +V\u005cfR +p2296 +tp2297 +a(g212 +V, +p2298 +tp2299 +a(g266 +V\u005cf +p2300 +tp2301 +a(g212 +V(CW +p2302 +tp2303 +a(g266 +V\u005c* +p2304 +tp2305 +a(g212 +V(C`hex +p2306 +tp2307 +a(g266 +V\u005c* +p2308 +tp2309 +a(g212 +V(C' +p2310 +tp2311 +a(g266 +V\u005cfR +p2312 +tp2313 +a(g212 +V, +p2314 +tp2315 +a(g266 +V\u005cf +p2316 +tp2317 +a(g212 +V(CW +p2318 +tp2319 +a(g266 +V\u005c* +p2320 +tp2321 +a(g212 +V(C`index +p2322 +tp2323 +a(g266 +V\u005c* +p2324 +tp2325 +a(g212 +V(C' +p2326 +tp2327 +a(g266 +V\u005cfR +p2328 +tp2329 +a(g212 +V, +p2330 +tp2331 +a(g266 +V\u005cf +p2332 +tp2333 +a(g212 +V(CW +p2334 +tp2335 +a(g266 +V\u005c* +p2336 +tp2337 +a(g212 +V(C`lc +p2338 +tp2339 +a(g266 +V\u005c* +p2340 +tp2341 +a(g212 +V(C' +p2342 +tp2343 +a(g266 +V\u005cfR +p2344 +tp2345 +a(g212 +V, +p2346 +tp2347 +a(g266 +V\u005cf +p2348 +tp2349 +a(g212 +V(CW +p2350 +tp2351 +a(g266 +V\u005c* +p2352 +tp2353 +a(g212 +V(C`lcfirst +p2354 +tp2355 +a(g266 +V\u005c* +p2356 +tp2357 +a(g212 +V(C' +p2358 +tp2359 +a(g266 +V\u005cfR +p2360 +tp2361 +a(g212 +V, +tp2362 +a(g212 +V\u000a +tp2363 +a(g212 +V +tp2364 +a(g266 +V\u005c& +p2365 +tp2366 +a(g266 +V\u005cf +p2367 +tp2368 +a(g212 +V(CW +p2369 +tp2370 +a(g266 +V\u005c* +p2371 +tp2372 +a(g212 +V(C`length +p2373 +tp2374 +a(g266 +V\u005c* +p2375 +tp2376 +a(g212 +V(C' +p2377 +tp2378 +a(g266 +V\u005cfR +p2379 +tp2380 +a(g212 +V, +p2381 +tp2382 +a(g266 +V\u005cf +p2383 +tp2384 +a(g212 +V(CW +p2385 +tp2386 +a(g266 +V\u005c* +p2387 +tp2388 +a(g212 +V(C`oct +p2389 +tp2390 +a(g266 +V\u005c* +p2391 +tp2392 +a(g212 +V(C' +p2393 +tp2394 +a(g266 +V\u005cfR +p2395 +tp2396 +a(g212 +V, +p2397 +tp2398 +a(g266 +V\u005cf +p2399 +tp2400 +a(g212 +V(CW +p2401 +tp2402 +a(g266 +V\u005c* +p2403 +tp2404 +a(g212 +V(C`ord +p2405 +tp2406 +a(g266 +V\u005c* +p2407 +tp2408 +a(g212 +V(C' +p2409 +tp2410 +a(g266 +V\u005cfR +p2411 +tp2412 +a(g212 +V, +p2413 +tp2414 +a(g266 +V\u005cf +p2415 +tp2416 +a(g212 +V(CW +p2417 +tp2418 +a(g266 +V\u005c* +p2419 +tp2420 +a(g212 +V(C`pack +p2421 +tp2422 +a(g266 +V\u005c* +p2423 +tp2424 +a(g212 +V(C' +p2425 +tp2426 +a(g266 +V\u005cfR +p2427 +tp2428 +a(g212 +V, +p2429 +tp2430 +a(g266 +V\u005cf +p2431 +tp2432 +a(g212 +V(CW +p2433 +tp2434 +a(g266 +V\u005c* +p2435 +tp2436 +a(g212 +V(C`q/STRING/ +p2437 +tp2438 +a(g266 +V\u005c* +p2439 +tp2440 +a(g212 +V(C' +p2441 +tp2442 +a(g266 +V\u005cfR +p2443 +tp2444 +a(g212 +V, +p2445 +tp2446 +a(g266 +V\u005cf +p2447 +tp2448 +a(g212 +V(CW +p2449 +tp2450 +a(g266 +V\u005c* +p2451 +tp2452 +a(g212 +V(C`qq/STRING/ +p2453 +tp2454 +a(g266 +V\u005c* +p2455 +tp2456 +a(g212 +V(C' +p2457 +tp2458 +a(g266 +V\u005cfR +p2459 +tp2460 +a(g212 +V, +p2461 +tp2462 +a(g266 +V\u005cf +p2463 +tp2464 +a(g212 +V(CW +p2465 +tp2466 +a(g266 +V\u005c* +p2467 +tp2468 +a(g212 +V(C`reverse +p2469 +tp2470 +a(g266 +V\u005c* +p2471 +tp2472 +a(g212 +V(C' +p2473 +tp2474 +a(g266 +V\u005cfR +p2475 +tp2476 +a(g212 +V, +tp2477 +a(g212 +V\u000a +tp2478 +a(g212 +V +tp2479 +a(g266 +V\u005c& +p2480 +tp2481 +a(g266 +V\u005cf +p2482 +tp2483 +a(g212 +V(CW +p2484 +tp2485 +a(g266 +V\u005c* +p2486 +tp2487 +a(g212 +V(C`rindex +p2488 +tp2489 +a(g266 +V\u005c* +p2490 +tp2491 +a(g212 +V(C' +p2492 +tp2493 +a(g266 +V\u005cfR +p2494 +tp2495 +a(g212 +V, +p2496 +tp2497 +a(g266 +V\u005cf +p2498 +tp2499 +a(g212 +V(CW +p2500 +tp2501 +a(g266 +V\u005c* +p2502 +tp2503 +a(g212 +V(C`sprintf +p2504 +tp2505 +a(g266 +V\u005c* +p2506 +tp2507 +a(g212 +V(C' +p2508 +tp2509 +a(g266 +V\u005cfR +p2510 +tp2511 +a(g212 +V, +p2512 +tp2513 +a(g266 +V\u005cf +p2514 +tp2515 +a(g212 +V(CW +p2516 +tp2517 +a(g266 +V\u005c* +p2518 +tp2519 +a(g212 +V(C`substr +p2520 +tp2521 +a(g266 +V\u005c* +p2522 +tp2523 +a(g212 +V(C' +p2524 +tp2525 +a(g266 +V\u005cfR +p2526 +tp2527 +a(g212 +V, +p2528 +tp2529 +a(g266 +V\u005cf +p2530 +tp2531 +a(g212 +V(CW +p2532 +tp2533 +a(g266 +V\u005c* +p2534 +tp2535 +a(g212 +V(C`tr/// +p2536 +tp2537 +a(g266 +V\u005c* +p2538 +tp2539 +a(g212 +V(C' +p2540 +tp2541 +a(g266 +V\u005cfR +p2542 +tp2543 +a(g212 +V, +p2544 +tp2545 +a(g266 +V\u005cf +p2546 +tp2547 +a(g212 +V(CW +p2548 +tp2549 +a(g266 +V\u005c* +p2550 +tp2551 +a(g212 +V(C`uc +p2552 +tp2553 +a(g266 +V\u005c* +p2554 +tp2555 +a(g212 +V(C' +p2556 +tp2557 +a(g266 +V\u005cfR +p2558 +tp2559 +a(g212 +V, +p2560 +tp2561 +a(g266 +V\u005cf +p2562 +tp2563 +a(g212 +V(CW +p2564 +tp2565 +a(g266 +V\u005c* +p2566 +tp2567 +a(g212 +V(C`ucfirst +p2568 +tp2569 +a(g266 +V\u005c* +p2570 +tp2571 +a(g212 +V(C' +p2572 +tp2573 +a(g266 +V\u005cfR +p2574 +tp2575 +a(g212 +V, +p2576 +tp2577 +a(g266 +V\u005cf +p2578 +tp2579 +a(g212 +V(CW +p2580 +tp2581 +a(g266 +V\u005c* +p2582 +tp2583 +a(g212 +V(C`y/// +p2584 +tp2585 +a(g266 +V\u005c* +p2586 +tp2587 +a(g212 +V(C' +p2588 +tp2589 +a(g266 +V\u005cfR +p2590 +tp2591 +a(g212 +V\u000a +tp2592 +a(g212 +V. +tp2593 +a(g134 +VIP +p2594 +tp2595 +a(g212 +V +tp2596 +a(g259 +V"Regular expressions and pattern matching" +p2597 +tp2598 +a(g212 +V +tp2599 +a(g227 +V4 +tp2600 +a(g212 +V\u000a +tp2601 +a(g212 +V. +tp2602 +a(g134 +VIX +p2603 +tp2604 +a(g212 +V +tp2605 +a(g240 +VXref +p2606 +tp2607 +a(g212 +V +tp2608 +a(g259 +V"regular expression regex regexp" +p2609 +tp2610 +a(g212 +V\u000a +tp2611 +a(g212 +V. +tp2612 +a(g134 +VIX +p2613 +tp2614 +a(g212 +V +tp2615 +a(g240 +VItem +p2616 +tp2617 +a(g212 +V +tp2618 +a(g259 +V"Regular expressions and pattern matching" +p2619 +tp2620 +a(g212 +V\u000a +tp2621 +a(g212 +V +tp2622 +a(g266 +V\u005c& +p2623 +tp2624 +a(g266 +V\u005cf +p2625 +tp2626 +a(g212 +V(CW +p2627 +tp2628 +a(g266 +V\u005c* +p2629 +tp2630 +a(g212 +V(C`m// +p2631 +tp2632 +a(g266 +V\u005c* +p2633 +tp2634 +a(g212 +V(C' +p2635 +tp2636 +a(g266 +V\u005cfR +p2637 +tp2638 +a(g212 +V, +p2639 +tp2640 +a(g266 +V\u005cf +p2641 +tp2642 +a(g212 +V(CW +p2643 +tp2644 +a(g266 +V\u005c* +p2645 +tp2646 +a(g212 +V(C`pos +p2647 +tp2648 +a(g266 +V\u005c* +p2649 +tp2650 +a(g212 +V(C' +p2651 +tp2652 +a(g266 +V\u005cfR +p2653 +tp2654 +a(g212 +V, +p2655 +tp2656 +a(g266 +V\u005cf +p2657 +tp2658 +a(g212 +V(CW +p2659 +tp2660 +a(g266 +V\u005c* +p2661 +tp2662 +a(g212 +V(C`quotemeta +p2663 +tp2664 +a(g266 +V\u005c* +p2665 +tp2666 +a(g212 +V(C' +p2667 +tp2668 +a(g266 +V\u005cfR +p2669 +tp2670 +a(g212 +V, +p2671 +tp2672 +a(g266 +V\u005cf +p2673 +tp2674 +a(g212 +V(CW +p2675 +tp2676 +a(g266 +V\u005c* +p2677 +tp2678 +a(g212 +V(C`s/// +p2679 +tp2680 +a(g266 +V\u005c* +p2681 +tp2682 +a(g212 +V(C' +p2683 +tp2684 +a(g266 +V\u005cfR +p2685 +tp2686 +a(g212 +V, +p2687 +tp2688 +a(g266 +V\u005cf +p2689 +tp2690 +a(g212 +V(CW +p2691 +tp2692 +a(g266 +V\u005c* +p2693 +tp2694 +a(g212 +V(C`split +p2695 +tp2696 +a(g266 +V\u005c* +p2697 +tp2698 +a(g212 +V(C' +p2699 +tp2700 +a(g266 +V\u005cfR +p2701 +tp2702 +a(g212 +V, +p2703 +tp2704 +a(g266 +V\u005cf +p2705 +tp2706 +a(g212 +V(CW +p2707 +tp2708 +a(g266 +V\u005c* +p2709 +tp2710 +a(g212 +V(C`study +p2711 +tp2712 +a(g266 +V\u005c* +p2713 +tp2714 +a(g212 +V(C' +p2715 +tp2716 +a(g266 +V\u005cfR +p2717 +tp2718 +a(g212 +V, +p2719 +tp2720 +a(g266 +V\u005cf +p2721 +tp2722 +a(g212 +V(CW +p2723 +tp2724 +a(g266 +V\u005c* +p2725 +tp2726 +a(g212 +V(C`qr// +p2727 +tp2728 +a(g266 +V\u005c* +p2729 +tp2730 +a(g212 +V(C' +p2731 +tp2732 +a(g266 +V\u005cfR +p2733 +tp2734 +a(g212 +V\u000a +tp2735 +a(g212 +V. +tp2736 +a(g134 +VIP +p2737 +tp2738 +a(g212 +V +tp2739 +a(g259 +V"Numeric functions" +p2740 +tp2741 +a(g212 +V +tp2742 +a(g227 +V4 +tp2743 +a(g212 +V\u000a +tp2744 +a(g212 +V. +tp2745 +a(g134 +VIX +p2746 +tp2747 +a(g212 +V +tp2748 +a(g240 +VXref +p2749 +tp2750 +a(g212 +V +tp2751 +a(g259 +V"numeric number trigonometric trigonometry" +p2752 +tp2753 +a(g212 +V\u000a +tp2754 +a(g212 +V. +tp2755 +a(g134 +VIX +p2756 +tp2757 +a(g212 +V +tp2758 +a(g240 +VItem +p2759 +tp2760 +a(g212 +V +tp2761 +a(g259 +V"Numeric functions" +p2762 +tp2763 +a(g212 +V\u000a +tp2764 +a(g212 +V +tp2765 +a(g266 +V\u005c& +p2766 +tp2767 +a(g266 +V\u005cf +p2768 +tp2769 +a(g212 +V(CW +p2770 +tp2771 +a(g266 +V\u005c* +p2772 +tp2773 +a(g212 +V(C`abs +p2774 +tp2775 +a(g266 +V\u005c* +p2776 +tp2777 +a(g212 +V(C' +p2778 +tp2779 +a(g266 +V\u005cfR +p2780 +tp2781 +a(g212 +V, +p2782 +tp2783 +a(g266 +V\u005cf +p2784 +tp2785 +a(g212 +V(CW +p2786 +tp2787 +a(g266 +V\u005c* +p2788 +tp2789 +a(g212 +V(C`atan2 +p2790 +tp2791 +a(g266 +V\u005c* +p2792 +tp2793 +a(g212 +V(C' +p2794 +tp2795 +a(g266 +V\u005cfR +p2796 +tp2797 +a(g212 +V, +p2798 +tp2799 +a(g266 +V\u005cf +p2800 +tp2801 +a(g212 +V(CW +p2802 +tp2803 +a(g266 +V\u005c* +p2804 +tp2805 +a(g212 +V(C`cos +p2806 +tp2807 +a(g266 +V\u005c* +p2808 +tp2809 +a(g212 +V(C' +p2810 +tp2811 +a(g266 +V\u005cfR +p2812 +tp2813 +a(g212 +V, +p2814 +tp2815 +a(g266 +V\u005cf +p2816 +tp2817 +a(g212 +V(CW +p2818 +tp2819 +a(g266 +V\u005c* +p2820 +tp2821 +a(g212 +V(C`exp +p2822 +tp2823 +a(g266 +V\u005c* +p2824 +tp2825 +a(g212 +V(C' +p2826 +tp2827 +a(g266 +V\u005cfR +p2828 +tp2829 +a(g212 +V, +p2830 +tp2831 +a(g266 +V\u005cf +p2832 +tp2833 +a(g212 +V(CW +p2834 +tp2835 +a(g266 +V\u005c* +p2836 +tp2837 +a(g212 +V(C`hex +p2838 +tp2839 +a(g266 +V\u005c* +p2840 +tp2841 +a(g212 +V(C' +p2842 +tp2843 +a(g266 +V\u005cfR +p2844 +tp2845 +a(g212 +V, +p2846 +tp2847 +a(g266 +V\u005cf +p2848 +tp2849 +a(g212 +V(CW +p2850 +tp2851 +a(g266 +V\u005c* +p2852 +tp2853 +a(g212 +V(C`int +p2854 +tp2855 +a(g266 +V\u005c* +p2856 +tp2857 +a(g212 +V(C' +p2858 +tp2859 +a(g266 +V\u005cfR +p2860 +tp2861 +a(g212 +V, +p2862 +tp2863 +a(g266 +V\u005cf +p2864 +tp2865 +a(g212 +V(CW +p2866 +tp2867 +a(g266 +V\u005c* +p2868 +tp2869 +a(g212 +V(C`log +p2870 +tp2871 +a(g266 +V\u005c* +p2872 +tp2873 +a(g212 +V(C' +p2874 +tp2875 +a(g266 +V\u005cfR +p2876 +tp2877 +a(g212 +V, +p2878 +tp2879 +a(g266 +V\u005cf +p2880 +tp2881 +a(g212 +V(CW +p2882 +tp2883 +a(g266 +V\u005c* +p2884 +tp2885 +a(g212 +V(C`oct +p2886 +tp2887 +a(g266 +V\u005c* +p2888 +tp2889 +a(g212 +V(C' +p2890 +tp2891 +a(g266 +V\u005cfR +p2892 +tp2893 +a(g212 +V, +p2894 +tp2895 +a(g266 +V\u005cf +p2896 +tp2897 +a(g212 +V(CW +p2898 +tp2899 +a(g266 +V\u005c* +p2900 +tp2901 +a(g212 +V(C`rand +p2902 +tp2903 +a(g266 +V\u005c* +p2904 +tp2905 +a(g212 +V(C' +p2906 +tp2907 +a(g266 +V\u005cfR +p2908 +tp2909 +a(g212 +V, +tp2910 +a(g212 +V\u000a +tp2911 +a(g212 +V +tp2912 +a(g266 +V\u005c& +p2913 +tp2914 +a(g266 +V\u005cf +p2915 +tp2916 +a(g212 +V(CW +p2917 +tp2918 +a(g266 +V\u005c* +p2919 +tp2920 +a(g212 +V(C`sin +p2921 +tp2922 +a(g266 +V\u005c* +p2923 +tp2924 +a(g212 +V(C' +p2925 +tp2926 +a(g266 +V\u005cfR +p2927 +tp2928 +a(g212 +V, +p2929 +tp2930 +a(g266 +V\u005cf +p2931 +tp2932 +a(g212 +V(CW +p2933 +tp2934 +a(g266 +V\u005c* +p2935 +tp2936 +a(g212 +V(C`sqrt +p2937 +tp2938 +a(g266 +V\u005c* +p2939 +tp2940 +a(g212 +V(C' +p2941 +tp2942 +a(g266 +V\u005cfR +p2943 +tp2944 +a(g212 +V, +p2945 +tp2946 +a(g266 +V\u005cf +p2947 +tp2948 +a(g212 +V(CW +p2949 +tp2950 +a(g266 +V\u005c* +p2951 +tp2952 +a(g212 +V(C`srand +p2953 +tp2954 +a(g266 +V\u005c* +p2955 +tp2956 +a(g212 +V(C' +p2957 +tp2958 +a(g266 +V\u005cfR +p2959 +tp2960 +a(g212 +V\u000a +tp2961 +a(g212 +V. +tp2962 +a(g134 +Vie +p2963 +tp2964 +a(g212 +V +tp2965 +a(g240 +Vn +tp2966 +a(g212 +V +tp2967 +a(g240 +V.IP +p2968 +tp2969 +a(g212 +V +tp2970 +a(g259 +V"Functions for real @ARRAYs" +p2971 +tp2972 +a(g212 +V +tp2973 +a(g227 +V4 +tp2974 +a(g212 +V\u000a +tp2975 +a(g212 +V. +tp2976 +a(g134 +Vel +p2977 +tp2978 +a(g212 +V +tp2979 +a(g240 +V.IP +p2980 +tp2981 +a(g212 +V +tp2982 +a(g259 +V"Functions for real \u005cf(CW@ARRAYs\u005cfR" +p2983 +tp2984 +a(g212 +V +tp2985 +a(g227 +V4 +tp2986 +a(g212 +V\u000a +tp2987 +a(g212 +V. +tp2988 +a(g134 +VIX +p2989 +tp2990 +a(g212 +V +tp2991 +a(g240 +VXref +p2992 +tp2993 +a(g212 +V +tp2994 +a(g259 +V"array" +p2995 +tp2996 +a(g212 +V\u000a +tp2997 +a(g212 +V. +tp2998 +a(g134 +VIX +p2999 +tp3000 +a(g212 +V +tp3001 +a(g240 +VItem +p3002 +tp3003 +a(g212 +V +tp3004 +a(g259 +V"Functions for real @ARRAYs" +p3005 +tp3006 +a(g212 +V\u000a +tp3007 +a(g212 +V +tp3008 +a(g266 +V\u005c& +p3009 +tp3010 +a(g266 +V\u005cf +p3011 +tp3012 +a(g212 +V(CW +p3013 +tp3014 +a(g266 +V\u005c* +p3015 +tp3016 +a(g212 +V(C`pop +p3017 +tp3018 +a(g266 +V\u005c* +p3019 +tp3020 +a(g212 +V(C' +p3021 +tp3022 +a(g266 +V\u005cfR +p3023 +tp3024 +a(g212 +V, +p3025 +tp3026 +a(g266 +V\u005cf +p3027 +tp3028 +a(g212 +V(CW +p3029 +tp3030 +a(g266 +V\u005c* +p3031 +tp3032 +a(g212 +V(C`push +p3033 +tp3034 +a(g266 +V\u005c* +p3035 +tp3036 +a(g212 +V(C' +p3037 +tp3038 +a(g266 +V\u005cfR +p3039 +tp3040 +a(g212 +V, +p3041 +tp3042 +a(g266 +V\u005cf +p3043 +tp3044 +a(g212 +V(CW +p3045 +tp3046 +a(g266 +V\u005c* +p3047 +tp3048 +a(g212 +V(C`shift +p3049 +tp3050 +a(g266 +V\u005c* +p3051 +tp3052 +a(g212 +V(C' +p3053 +tp3054 +a(g266 +V\u005cfR +p3055 +tp3056 +a(g212 +V, +p3057 +tp3058 +a(g266 +V\u005cf +p3059 +tp3060 +a(g212 +V(CW +p3061 +tp3062 +a(g266 +V\u005c* +p3063 +tp3064 +a(g212 +V(C`splice +p3065 +tp3066 +a(g266 +V\u005c* +p3067 +tp3068 +a(g212 +V(C' +p3069 +tp3070 +a(g266 +V\u005cfR +p3071 +tp3072 +a(g212 +V, +p3073 +tp3074 +a(g266 +V\u005cf +p3075 +tp3076 +a(g212 +V(CW +p3077 +tp3078 +a(g266 +V\u005c* +p3079 +tp3080 +a(g212 +V(C`unshift +p3081 +tp3082 +a(g266 +V\u005c* +p3083 +tp3084 +a(g212 +V(C' +p3085 +tp3086 +a(g266 +V\u005cfR +p3087 +tp3088 +a(g212 +V\u000a +tp3089 +a(g212 +V. +tp3090 +a(g134 +VIP +p3091 +tp3092 +a(g212 +V +tp3093 +a(g259 +V"Functions for list data" +p3094 +tp3095 +a(g212 +V +tp3096 +a(g227 +V4 +tp3097 +a(g212 +V\u000a +tp3098 +a(g212 +V. +tp3099 +a(g134 +VIX +p3100 +tp3101 +a(g212 +V +tp3102 +a(g240 +VXref +p3103 +tp3104 +a(g212 +V +tp3105 +a(g259 +V"list" +p3106 +tp3107 +a(g212 +V\u000a +tp3108 +a(g212 +V. +tp3109 +a(g134 +VIX +p3110 +tp3111 +a(g212 +V +tp3112 +a(g240 +VItem +p3113 +tp3114 +a(g212 +V +tp3115 +a(g259 +V"Functions for list data" +p3116 +tp3117 +a(g212 +V\u000a +tp3118 +a(g212 +V +tp3119 +a(g266 +V\u005c& +p3120 +tp3121 +a(g266 +V\u005cf +p3122 +tp3123 +a(g212 +V(CW +p3124 +tp3125 +a(g266 +V\u005c* +p3126 +tp3127 +a(g212 +V(C`grep +p3128 +tp3129 +a(g266 +V\u005c* +p3130 +tp3131 +a(g212 +V(C' +p3132 +tp3133 +a(g266 +V\u005cfR +p3134 +tp3135 +a(g212 +V, +p3136 +tp3137 +a(g266 +V\u005cf +p3138 +tp3139 +a(g212 +V(CW +p3140 +tp3141 +a(g266 +V\u005c* +p3142 +tp3143 +a(g212 +V(C`join +p3144 +tp3145 +a(g266 +V\u005c* +p3146 +tp3147 +a(g212 +V(C' +p3148 +tp3149 +a(g266 +V\u005cfR +p3150 +tp3151 +a(g212 +V, +p3152 +tp3153 +a(g266 +V\u005cf +p3154 +tp3155 +a(g212 +V(CW +p3156 +tp3157 +a(g266 +V\u005c* +p3158 +tp3159 +a(g212 +V(C`map +p3160 +tp3161 +a(g266 +V\u005c* +p3162 +tp3163 +a(g212 +V(C' +p3164 +tp3165 +a(g266 +V\u005cfR +p3166 +tp3167 +a(g212 +V, +p3168 +tp3169 +a(g266 +V\u005cf +p3170 +tp3171 +a(g212 +V(CW +p3172 +tp3173 +a(g266 +V\u005c* +p3174 +tp3175 +a(g212 +V(C`qw/STRING/ +p3176 +tp3177 +a(g266 +V\u005c* +p3178 +tp3179 +a(g212 +V(C' +p3180 +tp3181 +a(g266 +V\u005cfR +p3182 +tp3183 +a(g212 +V, +p3184 +tp3185 +a(g266 +V\u005cf +p3186 +tp3187 +a(g212 +V(CW +p3188 +tp3189 +a(g266 +V\u005c* +p3190 +tp3191 +a(g212 +V(C`reverse +p3192 +tp3193 +a(g266 +V\u005c* +p3194 +tp3195 +a(g212 +V(C' +p3196 +tp3197 +a(g266 +V\u005cfR +p3198 +tp3199 +a(g212 +V, +p3200 +tp3201 +a(g266 +V\u005cf +p3202 +tp3203 +a(g212 +V(CW +p3204 +tp3205 +a(g266 +V\u005c* +p3206 +tp3207 +a(g212 +V(C`sort +p3208 +tp3209 +a(g266 +V\u005c* +p3210 +tp3211 +a(g212 +V(C' +p3212 +tp3213 +a(g266 +V\u005cfR +p3214 +tp3215 +a(g212 +V, +p3216 +tp3217 +a(g266 +V\u005cf +p3218 +tp3219 +a(g212 +V(CW +p3220 +tp3221 +a(g266 +V\u005c* +p3222 +tp3223 +a(g212 +V(C`unpack +p3224 +tp3225 +a(g266 +V\u005c* +p3226 +tp3227 +a(g212 +V(C' +p3228 +tp3229 +a(g266 +V\u005cfR +p3230 +tp3231 +a(g212 +V\u000a +tp3232 +a(g212 +V. +tp3233 +a(g134 +Vie +p3234 +tp3235 +a(g212 +V +tp3236 +a(g240 +Vn +tp3237 +a(g212 +V +tp3238 +a(g240 +V.IP +p3239 +tp3240 +a(g212 +V +tp3241 +a(g259 +V"Functions for real %HASHes" +p3242 +tp3243 +a(g212 +V +tp3244 +a(g227 +V4 +tp3245 +a(g212 +V\u000a +tp3246 +a(g212 +V. +tp3247 +a(g134 +Vel +p3248 +tp3249 +a(g212 +V +tp3250 +a(g240 +V.IP +p3251 +tp3252 +a(g212 +V +tp3253 +a(g259 +V"Functions for real \u005cf(CW%HASHes\u005cfR" +p3254 +tp3255 +a(g212 +V +tp3256 +a(g227 +V4 +tp3257 +a(g212 +V\u000a +tp3258 +a(g212 +V. +tp3259 +a(g134 +VIX +p3260 +tp3261 +a(g212 +V +tp3262 +a(g240 +VXref +p3263 +tp3264 +a(g212 +V +tp3265 +a(g259 +V"hash" +p3266 +tp3267 +a(g212 +V\u000a +tp3268 +a(g212 +V. +tp3269 +a(g134 +VIX +p3270 +tp3271 +a(g212 +V +tp3272 +a(g240 +VItem +p3273 +tp3274 +a(g212 +V +tp3275 +a(g259 +V"Functions for real %HASHes" +p3276 +tp3277 +a(g212 +V\u000a +tp3278 +a(g212 +V +tp3279 +a(g266 +V\u005c& +p3280 +tp3281 +a(g266 +V\u005cf +p3282 +tp3283 +a(g212 +V(CW +p3284 +tp3285 +a(g266 +V\u005c* +p3286 +tp3287 +a(g212 +V(C`delete +p3288 +tp3289 +a(g266 +V\u005c* +p3290 +tp3291 +a(g212 +V(C' +p3292 +tp3293 +a(g266 +V\u005cfR +p3294 +tp3295 +a(g212 +V, +p3296 +tp3297 +a(g266 +V\u005cf +p3298 +tp3299 +a(g212 +V(CW +p3300 +tp3301 +a(g266 +V\u005c* +p3302 +tp3303 +a(g212 +V(C`each +p3304 +tp3305 +a(g266 +V\u005c* +p3306 +tp3307 +a(g212 +V(C' +p3308 +tp3309 +a(g266 +V\u005cfR +p3310 +tp3311 +a(g212 +V, +p3312 +tp3313 +a(g266 +V\u005cf +p3314 +tp3315 +a(g212 +V(CW +p3316 +tp3317 +a(g266 +V\u005c* +p3318 +tp3319 +a(g212 +V(C`exists +p3320 +tp3321 +a(g266 +V\u005c* +p3322 +tp3323 +a(g212 +V(C' +p3324 +tp3325 +a(g266 +V\u005cfR +p3326 +tp3327 +a(g212 +V, +p3328 +tp3329 +a(g266 +V\u005cf +p3330 +tp3331 +a(g212 +V(CW +p3332 +tp3333 +a(g266 +V\u005c* +p3334 +tp3335 +a(g212 +V(C`keys +p3336 +tp3337 +a(g266 +V\u005c* +p3338 +tp3339 +a(g212 +V(C' +p3340 +tp3341 +a(g266 +V\u005cfR +p3342 +tp3343 +a(g212 +V, +p3344 +tp3345 +a(g266 +V\u005cf +p3346 +tp3347 +a(g212 +V(CW +p3348 +tp3349 +a(g266 +V\u005c* +p3350 +tp3351 +a(g212 +V(C`values +p3352 +tp3353 +a(g266 +V\u005c* +p3354 +tp3355 +a(g212 +V(C' +p3356 +tp3357 +a(g266 +V\u005cfR +p3358 +tp3359 +a(g212 +V\u000a +tp3360 +a(g212 +V. +tp3361 +a(g134 +VIP +p3362 +tp3363 +a(g212 +V +tp3364 +a(g259 +V"Input and output functions" +p3365 +tp3366 +a(g212 +V +tp3367 +a(g227 +V4 +tp3368 +a(g212 +V\u000a +tp3369 +a(g212 +V. +tp3370 +a(g134 +VIX +p3371 +tp3372 +a(g212 +V +tp3373 +a(g240 +VXref +p3374 +tp3375 +a(g212 +V +tp3376 +a(g259 +V"I O input output dbm" +p3377 +tp3378 +a(g212 +V\u000a +tp3379 +a(g212 +V. +tp3380 +a(g134 +VIX +p3381 +tp3382 +a(g212 +V +tp3383 +a(g240 +VItem +p3384 +tp3385 +a(g212 +V +tp3386 +a(g259 +V"Input and output functions" +p3387 +tp3388 +a(g212 +V\u000a +tp3389 +a(g212 +V +tp3390 +a(g266 +V\u005c& +p3391 +tp3392 +a(g266 +V\u005cf +p3393 +tp3394 +a(g212 +V(CW +p3395 +tp3396 +a(g266 +V\u005c* +p3397 +tp3398 +a(g212 +V(C`binmode +p3399 +tp3400 +a(g266 +V\u005c* +p3401 +tp3402 +a(g212 +V(C' +p3403 +tp3404 +a(g266 +V\u005cfR +p3405 +tp3406 +a(g212 +V, +p3407 +tp3408 +a(g266 +V\u005cf +p3409 +tp3410 +a(g212 +V(CW +p3411 +tp3412 +a(g266 +V\u005c* +p3413 +tp3414 +a(g212 +V(C`close +p3415 +tp3416 +a(g266 +V\u005c* +p3417 +tp3418 +a(g212 +V(C' +p3419 +tp3420 +a(g266 +V\u005cfR +p3421 +tp3422 +a(g212 +V, +p3423 +tp3424 +a(g266 +V\u005cf +p3425 +tp3426 +a(g212 +V(CW +p3427 +tp3428 +a(g266 +V\u005c* +p3429 +tp3430 +a(g212 +V(C`closedir +p3431 +tp3432 +a(g266 +V\u005c* +p3433 +tp3434 +a(g212 +V(C' +p3435 +tp3436 +a(g266 +V\u005cfR +p3437 +tp3438 +a(g212 +V, +p3439 +tp3440 +a(g266 +V\u005cf +p3441 +tp3442 +a(g212 +V(CW +p3443 +tp3444 +a(g266 +V\u005c* +p3445 +tp3446 +a(g212 +V(C`dbmclose +p3447 +tp3448 +a(g266 +V\u005c* +p3449 +tp3450 +a(g212 +V(C' +p3451 +tp3452 +a(g266 +V\u005cfR +p3453 +tp3454 +a(g212 +V, +p3455 +tp3456 +a(g266 +V\u005cf +p3457 +tp3458 +a(g212 +V(CW +p3459 +tp3460 +a(g266 +V\u005c* +p3461 +tp3462 +a(g212 +V(C`dbmopen +p3463 +tp3464 +a(g266 +V\u005c* +p3465 +tp3466 +a(g212 +V(C' +p3467 +tp3468 +a(g266 +V\u005cfR +p3469 +tp3470 +a(g212 +V, +p3471 +tp3472 +a(g266 +V\u005cf +p3473 +tp3474 +a(g212 +V(CW +p3475 +tp3476 +a(g266 +V\u005c* +p3477 +tp3478 +a(g212 +V(C`die +p3479 +tp3480 +a(g266 +V\u005c* +p3481 +tp3482 +a(g212 +V(C' +p3483 +tp3484 +a(g266 +V\u005cfR +p3485 +tp3486 +a(g212 +V, +p3487 +tp3488 +a(g266 +V\u005cf +p3489 +tp3490 +a(g212 +V(CW +p3491 +tp3492 +a(g266 +V\u005c* +p3493 +tp3494 +a(g212 +V(C`eof +p3495 +tp3496 +a(g266 +V\u005c* +p3497 +tp3498 +a(g212 +V(C' +p3499 +tp3500 +a(g266 +V\u005cfR +p3501 +tp3502 +a(g212 +V, +tp3503 +a(g212 +V\u000a +tp3504 +a(g212 +V +tp3505 +a(g266 +V\u005c& +p3506 +tp3507 +a(g266 +V\u005cf +p3508 +tp3509 +a(g212 +V(CW +p3510 +tp3511 +a(g266 +V\u005c* +p3512 +tp3513 +a(g212 +V(C`fileno +p3514 +tp3515 +a(g266 +V\u005c* +p3516 +tp3517 +a(g212 +V(C' +p3518 +tp3519 +a(g266 +V\u005cfR +p3520 +tp3521 +a(g212 +V, +p3522 +tp3523 +a(g266 +V\u005cf +p3524 +tp3525 +a(g212 +V(CW +p3526 +tp3527 +a(g266 +V\u005c* +p3528 +tp3529 +a(g212 +V(C`flock +p3530 +tp3531 +a(g266 +V\u005c* +p3532 +tp3533 +a(g212 +V(C' +p3534 +tp3535 +a(g266 +V\u005cfR +p3536 +tp3537 +a(g212 +V, +p3538 +tp3539 +a(g266 +V\u005cf +p3540 +tp3541 +a(g212 +V(CW +p3542 +tp3543 +a(g266 +V\u005c* +p3544 +tp3545 +a(g212 +V(C`format +p3546 +tp3547 +a(g266 +V\u005c* +p3548 +tp3549 +a(g212 +V(C' +p3550 +tp3551 +a(g266 +V\u005cfR +p3552 +tp3553 +a(g212 +V, +p3554 +tp3555 +a(g266 +V\u005cf +p3556 +tp3557 +a(g212 +V(CW +p3558 +tp3559 +a(g266 +V\u005c* +p3560 +tp3561 +a(g212 +V(C`getc +p3562 +tp3563 +a(g266 +V\u005c* +p3564 +tp3565 +a(g212 +V(C' +p3566 +tp3567 +a(g266 +V\u005cfR +p3568 +tp3569 +a(g212 +V, +p3570 +tp3571 +a(g266 +V\u005cf +p3572 +tp3573 +a(g212 +V(CW +p3574 +tp3575 +a(g266 +V\u005c* +p3576 +tp3577 +a(g212 +V(C`print +p3578 +tp3579 +a(g266 +V\u005c* +p3580 +tp3581 +a(g212 +V(C' +p3582 +tp3583 +a(g266 +V\u005cfR +p3584 +tp3585 +a(g212 +V, +p3586 +tp3587 +a(g266 +V\u005cf +p3588 +tp3589 +a(g212 +V(CW +p3590 +tp3591 +a(g266 +V\u005c* +p3592 +tp3593 +a(g212 +V(C`printf +p3594 +tp3595 +a(g266 +V\u005c* +p3596 +tp3597 +a(g212 +V(C' +p3598 +tp3599 +a(g266 +V\u005cfR +p3600 +tp3601 +a(g212 +V, +p3602 +tp3603 +a(g266 +V\u005cf +p3604 +tp3605 +a(g212 +V(CW +p3606 +tp3607 +a(g266 +V\u005c* +p3608 +tp3609 +a(g212 +V(C`read +p3610 +tp3611 +a(g266 +V\u005c* +p3612 +tp3613 +a(g212 +V(C' +p3614 +tp3615 +a(g266 +V\u005cfR +p3616 +tp3617 +a(g212 +V, +tp3618 +a(g212 +V\u000a +tp3619 +a(g212 +V +tp3620 +a(g266 +V\u005c& +p3621 +tp3622 +a(g266 +V\u005cf +p3623 +tp3624 +a(g212 +V(CW +p3625 +tp3626 +a(g266 +V\u005c* +p3627 +tp3628 +a(g212 +V(C`readdir +p3629 +tp3630 +a(g266 +V\u005c* +p3631 +tp3632 +a(g212 +V(C' +p3633 +tp3634 +a(g266 +V\u005cfR +p3635 +tp3636 +a(g212 +V, +p3637 +tp3638 +a(g266 +V\u005cf +p3639 +tp3640 +a(g212 +V(CW +p3641 +tp3642 +a(g266 +V\u005c* +p3643 +tp3644 +a(g212 +V(C`rewinddir +p3645 +tp3646 +a(g266 +V\u005c* +p3647 +tp3648 +a(g212 +V(C' +p3649 +tp3650 +a(g266 +V\u005cfR +p3651 +tp3652 +a(g212 +V, +p3653 +tp3654 +a(g266 +V\u005cf +p3655 +tp3656 +a(g212 +V(CW +p3657 +tp3658 +a(g266 +V\u005c* +p3659 +tp3660 +a(g212 +V(C`seek +p3661 +tp3662 +a(g266 +V\u005c* +p3663 +tp3664 +a(g212 +V(C' +p3665 +tp3666 +a(g266 +V\u005cfR +p3667 +tp3668 +a(g212 +V, +p3669 +tp3670 +a(g266 +V\u005cf +p3671 +tp3672 +a(g212 +V(CW +p3673 +tp3674 +a(g266 +V\u005c* +p3675 +tp3676 +a(g212 +V(C`seekdir +p3677 +tp3678 +a(g266 +V\u005c* +p3679 +tp3680 +a(g212 +V(C' +p3681 +tp3682 +a(g266 +V\u005cfR +p3683 +tp3684 +a(g212 +V, +p3685 +tp3686 +a(g266 +V\u005cf +p3687 +tp3688 +a(g212 +V(CW +p3689 +tp3690 +a(g266 +V\u005c* +p3691 +tp3692 +a(g212 +V(C`select +p3693 +tp3694 +a(g266 +V\u005c* +p3695 +tp3696 +a(g212 +V(C' +p3697 +tp3698 +a(g266 +V\u005cfR +p3699 +tp3700 +a(g212 +V, +p3701 +tp3702 +a(g266 +V\u005cf +p3703 +tp3704 +a(g212 +V(CW +p3705 +tp3706 +a(g266 +V\u005c* +p3707 +tp3708 +a(g212 +V(C`syscall +p3709 +tp3710 +a(g266 +V\u005c* +p3711 +tp3712 +a(g212 +V(C' +p3713 +tp3714 +a(g266 +V\u005cfR +p3715 +tp3716 +a(g212 +V, +tp3717 +a(g212 +V\u000a +tp3718 +a(g212 +V +tp3719 +a(g266 +V\u005c& +p3720 +tp3721 +a(g266 +V\u005cf +p3722 +tp3723 +a(g212 +V(CW +p3724 +tp3725 +a(g266 +V\u005c* +p3726 +tp3727 +a(g212 +V(C`sysread +p3728 +tp3729 +a(g266 +V\u005c* +p3730 +tp3731 +a(g212 +V(C' +p3732 +tp3733 +a(g266 +V\u005cfR +p3734 +tp3735 +a(g212 +V, +p3736 +tp3737 +a(g266 +V\u005cf +p3738 +tp3739 +a(g212 +V(CW +p3740 +tp3741 +a(g266 +V\u005c* +p3742 +tp3743 +a(g212 +V(C`sysseek +p3744 +tp3745 +a(g266 +V\u005c* +p3746 +tp3747 +a(g212 +V(C' +p3748 +tp3749 +a(g266 +V\u005cfR +p3750 +tp3751 +a(g212 +V, +p3752 +tp3753 +a(g266 +V\u005cf +p3754 +tp3755 +a(g212 +V(CW +p3756 +tp3757 +a(g266 +V\u005c* +p3758 +tp3759 +a(g212 +V(C`syswrite +p3760 +tp3761 +a(g266 +V\u005c* +p3762 +tp3763 +a(g212 +V(C' +p3764 +tp3765 +a(g266 +V\u005cfR +p3766 +tp3767 +a(g212 +V, +p3768 +tp3769 +a(g266 +V\u005cf +p3770 +tp3771 +a(g212 +V(CW +p3772 +tp3773 +a(g266 +V\u005c* +p3774 +tp3775 +a(g212 +V(C`tell +p3776 +tp3777 +a(g266 +V\u005c* +p3778 +tp3779 +a(g212 +V(C' +p3780 +tp3781 +a(g266 +V\u005cfR +p3782 +tp3783 +a(g212 +V, +p3784 +tp3785 +a(g266 +V\u005cf +p3786 +tp3787 +a(g212 +V(CW +p3788 +tp3789 +a(g266 +V\u005c* +p3790 +tp3791 +a(g212 +V(C`telldir +p3792 +tp3793 +a(g266 +V\u005c* +p3794 +tp3795 +a(g212 +V(C' +p3796 +tp3797 +a(g266 +V\u005cfR +p3798 +tp3799 +a(g212 +V, +p3800 +tp3801 +a(g266 +V\u005cf +p3802 +tp3803 +a(g212 +V(CW +p3804 +tp3805 +a(g266 +V\u005c* +p3806 +tp3807 +a(g212 +V(C`truncate +p3808 +tp3809 +a(g266 +V\u005c* +p3810 +tp3811 +a(g212 +V(C' +p3812 +tp3813 +a(g266 +V\u005cfR +p3814 +tp3815 +a(g212 +V, +tp3816 +a(g212 +V\u000a +tp3817 +a(g212 +V +tp3818 +a(g266 +V\u005c& +p3819 +tp3820 +a(g266 +V\u005cf +p3821 +tp3822 +a(g212 +V(CW +p3823 +tp3824 +a(g266 +V\u005c* +p3825 +tp3826 +a(g212 +V(C`warn +p3827 +tp3828 +a(g266 +V\u005c* +p3829 +tp3830 +a(g212 +V(C' +p3831 +tp3832 +a(g266 +V\u005cfR +p3833 +tp3834 +a(g212 +V, +p3835 +tp3836 +a(g266 +V\u005cf +p3837 +tp3838 +a(g212 +V(CW +p3839 +tp3840 +a(g266 +V\u005c* +p3841 +tp3842 +a(g212 +V(C`write +p3843 +tp3844 +a(g266 +V\u005c* +p3845 +tp3846 +a(g212 +V(C' +p3847 +tp3848 +a(g266 +V\u005cfR +p3849 +tp3850 +a(g212 +V\u000a +tp3851 +a(g212 +V. +tp3852 +a(g134 +VIP +p3853 +tp3854 +a(g212 +V +tp3855 +a(g259 +V"Functions for fixed length data or records" +p3856 +tp3857 +a(g212 +V +tp3858 +a(g227 +V4 +tp3859 +a(g212 +V\u000a +tp3860 +a(g212 +V. +tp3861 +a(g134 +VIX +p3862 +tp3863 +a(g212 +V +tp3864 +a(g240 +VItem +p3865 +tp3866 +a(g212 +V +tp3867 +a(g259 +V"Functions for fixed length data or records" +p3868 +tp3869 +a(g212 +V\u000a +tp3870 +a(g212 +V +tp3871 +a(g266 +V\u005c& +p3872 +tp3873 +a(g266 +V\u005cf +p3874 +tp3875 +a(g212 +V(CW +p3876 +tp3877 +a(g266 +V\u005c* +p3878 +tp3879 +a(g212 +V(C`pack +p3880 +tp3881 +a(g266 +V\u005c* +p3882 +tp3883 +a(g212 +V(C' +p3884 +tp3885 +a(g266 +V\u005cfR +p3886 +tp3887 +a(g212 +V, +p3888 +tp3889 +a(g266 +V\u005cf +p3890 +tp3891 +a(g212 +V(CW +p3892 +tp3893 +a(g266 +V\u005c* +p3894 +tp3895 +a(g212 +V(C`read +p3896 +tp3897 +a(g266 +V\u005c* +p3898 +tp3899 +a(g212 +V(C' +p3900 +tp3901 +a(g266 +V\u005cfR +p3902 +tp3903 +a(g212 +V, +p3904 +tp3905 +a(g266 +V\u005cf +p3906 +tp3907 +a(g212 +V(CW +p3908 +tp3909 +a(g266 +V\u005c* +p3910 +tp3911 +a(g212 +V(C`syscall +p3912 +tp3913 +a(g266 +V\u005c* +p3914 +tp3915 +a(g212 +V(C' +p3916 +tp3917 +a(g266 +V\u005cfR +p3918 +tp3919 +a(g212 +V, +p3920 +tp3921 +a(g266 +V\u005cf +p3922 +tp3923 +a(g212 +V(CW +p3924 +tp3925 +a(g266 +V\u005c* +p3926 +tp3927 +a(g212 +V(C`sysread +p3928 +tp3929 +a(g266 +V\u005c* +p3930 +tp3931 +a(g212 +V(C' +p3932 +tp3933 +a(g266 +V\u005cfR +p3934 +tp3935 +a(g212 +V, +p3936 +tp3937 +a(g266 +V\u005cf +p3938 +tp3939 +a(g212 +V(CW +p3940 +tp3941 +a(g266 +V\u005c* +p3942 +tp3943 +a(g212 +V(C`syswrite +p3944 +tp3945 +a(g266 +V\u005c* +p3946 +tp3947 +a(g212 +V(C' +p3948 +tp3949 +a(g266 +V\u005cfR +p3950 +tp3951 +a(g212 +V, +p3952 +tp3953 +a(g266 +V\u005cf +p3954 +tp3955 +a(g212 +V(CW +p3956 +tp3957 +a(g266 +V\u005c* +p3958 +tp3959 +a(g212 +V(C`unpack +p3960 +tp3961 +a(g266 +V\u005c* +p3962 +tp3963 +a(g212 +V(C' +p3964 +tp3965 +a(g266 +V\u005cfR +p3966 +tp3967 +a(g212 +V, +p3968 +tp3969 +a(g266 +V\u005cf +p3970 +tp3971 +a(g212 +V(CW +p3972 +tp3973 +a(g266 +V\u005c* +p3974 +tp3975 +a(g212 +V(C`vec +p3976 +tp3977 +a(g266 +V\u005c* +p3978 +tp3979 +a(g212 +V(C' +p3980 +tp3981 +a(g266 +V\u005cfR +p3982 +tp3983 +a(g212 +V\u000a +tp3984 +a(g212 +V. +tp3985 +a(g134 +VIP +p3986 +tp3987 +a(g212 +V +tp3988 +a(g259 +V"Functions for filehandles, files, or directories" +p3989 +tp3990 +a(g212 +V +tp3991 +a(g227 +V4 +tp3992 +a(g212 +V\u000a +tp3993 +a(g212 +V. +tp3994 +a(g134 +VIX +p3995 +tp3996 +a(g212 +V +tp3997 +a(g240 +VXref +p3998 +tp3999 +a(g212 +V +tp4000 +a(g259 +V"file filehandle directory pipe link symlink" +p4001 +tp4002 +a(g212 +V\u000a +tp4003 +a(g212 +V. +tp4004 +a(g134 +VIX +p4005 +tp4006 +a(g212 +V +tp4007 +a(g240 +VItem +p4008 +tp4009 +a(g212 +V +tp4010 +a(g259 +V"Functions for filehandles, files, or directories" +p4011 +tp4012 +a(g212 +V\u000a +tp4013 +a(g212 +V +tp4014 +a(g266 +V\u005c& +p4015 +tp4016 +a(g266 +V\u005cf +p4017 +tp4018 +a(g212 +V(CW +p4019 +tp4020 +a(g266 +V\u005c* +p4021 +tp4022 +a(g212 +V(C` +p4023 +tp4024 +a(g266 +V\u005c- +p4025 +tp4026 +a(g266 +V\u005cf +p4027 +tp4028 +a(g212 +V(CIX +p4029 +tp4030 +a(g266 +V\u005cf +p4031 +tp4032 +a(g212 +V(CW +p4033 +tp4034 +a(g266 +V\u005c* +p4035 +tp4036 +a(g212 +V(C' +p4037 +tp4038 +a(g266 +V\u005cfR +p4039 +tp4040 +a(g212 +V, +p4041 +tp4042 +a(g266 +V\u005cf +p4043 +tp4044 +a(g212 +V(CW +p4045 +tp4046 +a(g266 +V\u005c* +p4047 +tp4048 +a(g212 +V(C`chdir +p4049 +tp4050 +a(g266 +V\u005c* +p4051 +tp4052 +a(g212 +V(C' +p4053 +tp4054 +a(g266 +V\u005cfR +p4055 +tp4056 +a(g212 +V, +p4057 +tp4058 +a(g266 +V\u005cf +p4059 +tp4060 +a(g212 +V(CW +p4061 +tp4062 +a(g266 +V\u005c* +p4063 +tp4064 +a(g212 +V(C`chmod +p4065 +tp4066 +a(g266 +V\u005c* +p4067 +tp4068 +a(g212 +V(C' +p4069 +tp4070 +a(g266 +V\u005cfR +p4071 +tp4072 +a(g212 +V, +p4073 +tp4074 +a(g266 +V\u005cf +p4075 +tp4076 +a(g212 +V(CW +p4077 +tp4078 +a(g266 +V\u005c* +p4079 +tp4080 +a(g212 +V(C`chown +p4081 +tp4082 +a(g266 +V\u005c* +p4083 +tp4084 +a(g212 +V(C' +p4085 +tp4086 +a(g266 +V\u005cfR +p4087 +tp4088 +a(g212 +V, +p4089 +tp4090 +a(g266 +V\u005cf +p4091 +tp4092 +a(g212 +V(CW +p4093 +tp4094 +a(g266 +V\u005c* +p4095 +tp4096 +a(g212 +V(C`chroot +p4097 +tp4098 +a(g266 +V\u005c* +p4099 +tp4100 +a(g212 +V(C' +p4101 +tp4102 +a(g266 +V\u005cfR +p4103 +tp4104 +a(g212 +V, +p4105 +tp4106 +a(g266 +V\u005cf +p4107 +tp4108 +a(g212 +V(CW +p4109 +tp4110 +a(g266 +V\u005c* +p4111 +tp4112 +a(g212 +V(C`fcntl +p4113 +tp4114 +a(g266 +V\u005c* +p4115 +tp4116 +a(g212 +V(C' +p4117 +tp4118 +a(g266 +V\u005cfR +p4119 +tp4120 +a(g212 +V, +p4121 +tp4122 +a(g266 +V\u005cf +p4123 +tp4124 +a(g212 +V(CW +p4125 +tp4126 +a(g266 +V\u005c* +p4127 +tp4128 +a(g212 +V(C`glob +p4129 +tp4130 +a(g266 +V\u005c* +p4131 +tp4132 +a(g212 +V(C' +p4133 +tp4134 +a(g266 +V\u005cfR +p4135 +tp4136 +a(g212 +V, +tp4137 +a(g212 +V\u000a +tp4138 +a(g212 +V +tp4139 +a(g266 +V\u005c& +p4140 +tp4141 +a(g266 +V\u005cf +p4142 +tp4143 +a(g212 +V(CW +p4144 +tp4145 +a(g266 +V\u005c* +p4146 +tp4147 +a(g212 +V(C`ioctl +p4148 +tp4149 +a(g266 +V\u005c* +p4150 +tp4151 +a(g212 +V(C' +p4152 +tp4153 +a(g266 +V\u005cfR +p4154 +tp4155 +a(g212 +V, +p4156 +tp4157 +a(g266 +V\u005cf +p4158 +tp4159 +a(g212 +V(CW +p4160 +tp4161 +a(g266 +V\u005c* +p4162 +tp4163 +a(g212 +V(C`link +p4164 +tp4165 +a(g266 +V\u005c* +p4166 +tp4167 +a(g212 +V(C' +p4168 +tp4169 +a(g266 +V\u005cfR +p4170 +tp4171 +a(g212 +V, +p4172 +tp4173 +a(g266 +V\u005cf +p4174 +tp4175 +a(g212 +V(CW +p4176 +tp4177 +a(g266 +V\u005c* +p4178 +tp4179 +a(g212 +V(C`lstat +p4180 +tp4181 +a(g266 +V\u005c* +p4182 +tp4183 +a(g212 +V(C' +p4184 +tp4185 +a(g266 +V\u005cfR +p4186 +tp4187 +a(g212 +V, +p4188 +tp4189 +a(g266 +V\u005cf +p4190 +tp4191 +a(g212 +V(CW +p4192 +tp4193 +a(g266 +V\u005c* +p4194 +tp4195 +a(g212 +V(C`mkdir +p4196 +tp4197 +a(g266 +V\u005c* +p4198 +tp4199 +a(g212 +V(C' +p4200 +tp4201 +a(g266 +V\u005cfR +p4202 +tp4203 +a(g212 +V, +p4204 +tp4205 +a(g266 +V\u005cf +p4206 +tp4207 +a(g212 +V(CW +p4208 +tp4209 +a(g266 +V\u005c* +p4210 +tp4211 +a(g212 +V(C`open +p4212 +tp4213 +a(g266 +V\u005c* +p4214 +tp4215 +a(g212 +V(C' +p4216 +tp4217 +a(g266 +V\u005cfR +p4218 +tp4219 +a(g212 +V, +p4220 +tp4221 +a(g266 +V\u005cf +p4222 +tp4223 +a(g212 +V(CW +p4224 +tp4225 +a(g266 +V\u005c* +p4226 +tp4227 +a(g212 +V(C`opendir +p4228 +tp4229 +a(g266 +V\u005c* +p4230 +tp4231 +a(g212 +V(C' +p4232 +tp4233 +a(g266 +V\u005cfR +p4234 +tp4235 +a(g212 +V, +tp4236 +a(g212 +V\u000a +tp4237 +a(g212 +V +tp4238 +a(g266 +V\u005c& +p4239 +tp4240 +a(g266 +V\u005cf +p4241 +tp4242 +a(g212 +V(CW +p4243 +tp4244 +a(g266 +V\u005c* +p4245 +tp4246 +a(g212 +V(C`readlink +p4247 +tp4248 +a(g266 +V\u005c* +p4249 +tp4250 +a(g212 +V(C' +p4251 +tp4252 +a(g266 +V\u005cfR +p4253 +tp4254 +a(g212 +V, +p4255 +tp4256 +a(g266 +V\u005cf +p4257 +tp4258 +a(g212 +V(CW +p4259 +tp4260 +a(g266 +V\u005c* +p4261 +tp4262 +a(g212 +V(C`rename +p4263 +tp4264 +a(g266 +V\u005c* +p4265 +tp4266 +a(g212 +V(C' +p4267 +tp4268 +a(g266 +V\u005cfR +p4269 +tp4270 +a(g212 +V, +p4271 +tp4272 +a(g266 +V\u005cf +p4273 +tp4274 +a(g212 +V(CW +p4275 +tp4276 +a(g266 +V\u005c* +p4277 +tp4278 +a(g212 +V(C`rmdir +p4279 +tp4280 +a(g266 +V\u005c* +p4281 +tp4282 +a(g212 +V(C' +p4283 +tp4284 +a(g266 +V\u005cfR +p4285 +tp4286 +a(g212 +V, +p4287 +tp4288 +a(g266 +V\u005cf +p4289 +tp4290 +a(g212 +V(CW +p4291 +tp4292 +a(g266 +V\u005c* +p4293 +tp4294 +a(g212 +V(C`stat +p4295 +tp4296 +a(g266 +V\u005c* +p4297 +tp4298 +a(g212 +V(C' +p4299 +tp4300 +a(g266 +V\u005cfR +p4301 +tp4302 +a(g212 +V, +p4303 +tp4304 +a(g266 +V\u005cf +p4305 +tp4306 +a(g212 +V(CW +p4307 +tp4308 +a(g266 +V\u005c* +p4309 +tp4310 +a(g212 +V(C`symlink +p4311 +tp4312 +a(g266 +V\u005c* +p4313 +tp4314 +a(g212 +V(C' +p4315 +tp4316 +a(g266 +V\u005cfR +p4317 +tp4318 +a(g212 +V, +p4319 +tp4320 +a(g266 +V\u005cf +p4321 +tp4322 +a(g212 +V(CW +p4323 +tp4324 +a(g266 +V\u005c* +p4325 +tp4326 +a(g212 +V(C`sysopen +p4327 +tp4328 +a(g266 +V\u005c* +p4329 +tp4330 +a(g212 +V(C' +p4331 +tp4332 +a(g266 +V\u005cfR +p4333 +tp4334 +a(g212 +V, +tp4335 +a(g212 +V\u000a +tp4336 +a(g212 +V +tp4337 +a(g266 +V\u005c& +p4338 +tp4339 +a(g266 +V\u005cf +p4340 +tp4341 +a(g212 +V(CW +p4342 +tp4343 +a(g266 +V\u005c* +p4344 +tp4345 +a(g212 +V(C`umask +p4346 +tp4347 +a(g266 +V\u005c* +p4348 +tp4349 +a(g212 +V(C' +p4350 +tp4351 +a(g266 +V\u005cfR +p4352 +tp4353 +a(g212 +V, +p4354 +tp4355 +a(g266 +V\u005cf +p4356 +tp4357 +a(g212 +V(CW +p4358 +tp4359 +a(g266 +V\u005c* +p4360 +tp4361 +a(g212 +V(C`unlink +p4362 +tp4363 +a(g266 +V\u005c* +p4364 +tp4365 +a(g212 +V(C' +p4366 +tp4367 +a(g266 +V\u005cfR +p4368 +tp4369 +a(g212 +V, +p4370 +tp4371 +a(g266 +V\u005cf +p4372 +tp4373 +a(g212 +V(CW +p4374 +tp4375 +a(g266 +V\u005c* +p4376 +tp4377 +a(g212 +V(C`utime +p4378 +tp4379 +a(g266 +V\u005c* +p4380 +tp4381 +a(g212 +V(C' +p4382 +tp4383 +a(g266 +V\u005cfR +p4384 +tp4385 +a(g212 +V\u000a +tp4386 +a(g212 +V. +tp4387 +a(g134 +VIP +p4388 +tp4389 +a(g212 +V +tp4390 +a(g259 +V"Keywords related to the control flow of your Perl program" +p4391 +tp4392 +a(g212 +V +tp4393 +a(g227 +V4 +tp4394 +a(g212 +V\u000a +tp4395 +a(g212 +V. +tp4396 +a(g134 +VIX +p4397 +tp4398 +a(g212 +V +tp4399 +a(g240 +VXref +p4400 +tp4401 +a(g212 +V +tp4402 +a(g259 +V"control flow" +p4403 +tp4404 +a(g212 +V\u000a +tp4405 +a(g212 +V. +tp4406 +a(g134 +VIX +p4407 +tp4408 +a(g212 +V +tp4409 +a(g240 +VItem +p4410 +tp4411 +a(g212 +V +tp4412 +a(g259 +V"Keywords related to the control flow of your Perl program" +p4413 +tp4414 +a(g212 +V\u000a +tp4415 +a(g212 +V +tp4416 +a(g266 +V\u005c& +p4417 +tp4418 +a(g266 +V\u005cf +p4419 +tp4420 +a(g212 +V(CW +p4421 +tp4422 +a(g266 +V\u005c* +p4423 +tp4424 +a(g212 +V(C`caller +p4425 +tp4426 +a(g266 +V\u005c* +p4427 +tp4428 +a(g212 +V(C' +p4429 +tp4430 +a(g266 +V\u005cfR +p4431 +tp4432 +a(g212 +V, +p4433 +tp4434 +a(g266 +V\u005cf +p4435 +tp4436 +a(g212 +V(CW +p4437 +tp4438 +a(g266 +V\u005c* +p4439 +tp4440 +a(g212 +V(C`continue +p4441 +tp4442 +a(g266 +V\u005c* +p4443 +tp4444 +a(g212 +V(C' +p4445 +tp4446 +a(g266 +V\u005cfR +p4447 +tp4448 +a(g212 +V, +p4449 +tp4450 +a(g266 +V\u005cf +p4451 +tp4452 +a(g212 +V(CW +p4453 +tp4454 +a(g266 +V\u005c* +p4455 +tp4456 +a(g212 +V(C`die +p4457 +tp4458 +a(g266 +V\u005c* +p4459 +tp4460 +a(g212 +V(C' +p4461 +tp4462 +a(g266 +V\u005cfR +p4463 +tp4464 +a(g212 +V, +p4465 +tp4466 +a(g266 +V\u005cf +p4467 +tp4468 +a(g212 +V(CW +p4469 +tp4470 +a(g266 +V\u005c* +p4471 +tp4472 +a(g212 +V(C`do +p4473 +tp4474 +a(g266 +V\u005c* +p4475 +tp4476 +a(g212 +V(C' +p4477 +tp4478 +a(g266 +V\u005cfR +p4479 +tp4480 +a(g212 +V, +p4481 +tp4482 +a(g266 +V\u005cf +p4483 +tp4484 +a(g212 +V(CW +p4485 +tp4486 +a(g266 +V\u005c* +p4487 +tp4488 +a(g212 +V(C`dump +p4489 +tp4490 +a(g266 +V\u005c* +p4491 +tp4492 +a(g212 +V(C' +p4493 +tp4494 +a(g266 +V\u005cfR +p4495 +tp4496 +a(g212 +V, +p4497 +tp4498 +a(g266 +V\u005cf +p4499 +tp4500 +a(g212 +V(CW +p4501 +tp4502 +a(g266 +V\u005c* +p4503 +tp4504 +a(g212 +V(C`eval +p4505 +tp4506 +a(g266 +V\u005c* +p4507 +tp4508 +a(g212 +V(C' +p4509 +tp4510 +a(g266 +V\u005cfR +p4511 +tp4512 +a(g212 +V, +p4513 +tp4514 +a(g266 +V\u005cf +p4515 +tp4516 +a(g212 +V(CW +p4517 +tp4518 +a(g266 +V\u005c* +p4519 +tp4520 +a(g212 +V(C`exit +p4521 +tp4522 +a(g266 +V\u005c* +p4523 +tp4524 +a(g212 +V(C' +p4525 +tp4526 +a(g266 +V\u005cfR +p4527 +tp4528 +a(g212 +V, +tp4529 +a(g212 +V\u000a +tp4530 +a(g212 +V +tp4531 +a(g266 +V\u005c& +p4532 +tp4533 +a(g266 +V\u005cf +p4534 +tp4535 +a(g212 +V(CW +p4536 +tp4537 +a(g266 +V\u005c* +p4538 +tp4539 +a(g212 +V(C`goto +p4540 +tp4541 +a(g266 +V\u005c* +p4542 +tp4543 +a(g212 +V(C' +p4544 +tp4545 +a(g266 +V\u005cfR +p4546 +tp4547 +a(g212 +V, +p4548 +tp4549 +a(g266 +V\u005cf +p4550 +tp4551 +a(g212 +V(CW +p4552 +tp4553 +a(g266 +V\u005c* +p4554 +tp4555 +a(g212 +V(C`last +p4556 +tp4557 +a(g266 +V\u005c* +p4558 +tp4559 +a(g212 +V(C' +p4560 +tp4561 +a(g266 +V\u005cfR +p4562 +tp4563 +a(g212 +V, +p4564 +tp4565 +a(g266 +V\u005cf +p4566 +tp4567 +a(g212 +V(CW +p4568 +tp4569 +a(g266 +V\u005c* +p4570 +tp4571 +a(g212 +V(C`next +p4572 +tp4573 +a(g266 +V\u005c* +p4574 +tp4575 +a(g212 +V(C' +p4576 +tp4577 +a(g266 +V\u005cfR +p4578 +tp4579 +a(g212 +V, +p4580 +tp4581 +a(g266 +V\u005cf +p4582 +tp4583 +a(g212 +V(CW +p4584 +tp4585 +a(g266 +V\u005c* +p4586 +tp4587 +a(g212 +V(C`redo +p4588 +tp4589 +a(g266 +V\u005c* +p4590 +tp4591 +a(g212 +V(C' +p4592 +tp4593 +a(g266 +V\u005cfR +p4594 +tp4595 +a(g212 +V, +p4596 +tp4597 +a(g266 +V\u005cf +p4598 +tp4599 +a(g212 +V(CW +p4600 +tp4601 +a(g266 +V\u005c* +p4602 +tp4603 +a(g212 +V(C`return +p4604 +tp4605 +a(g266 +V\u005c* +p4606 +tp4607 +a(g212 +V(C' +p4608 +tp4609 +a(g266 +V\u005cfR +p4610 +tp4611 +a(g212 +V, +p4612 +tp4613 +a(g266 +V\u005cf +p4614 +tp4615 +a(g212 +V(CW +p4616 +tp4617 +a(g266 +V\u005c* +p4618 +tp4619 +a(g212 +V(C`sub +p4620 +tp4621 +a(g266 +V\u005c* +p4622 +tp4623 +a(g212 +V(C' +p4624 +tp4625 +a(g266 +V\u005cfR +p4626 +tp4627 +a(g212 +V, +p4628 +tp4629 +a(g266 +V\u005cf +p4630 +tp4631 +a(g212 +V(CW +p4632 +tp4633 +a(g266 +V\u005c* +p4634 +tp4635 +a(g212 +V(C`wantarray +p4636 +tp4637 +a(g266 +V\u005c* +p4638 +tp4639 +a(g212 +V(C' +p4640 +tp4641 +a(g266 +V\u005cfR +p4642 +tp4643 +a(g212 +V\u000a +tp4644 +a(g212 +V. +tp4645 +a(g134 +VIP +p4646 +tp4647 +a(g212 +V +tp4648 +a(g259 +V"Keywords related to scoping" +p4649 +tp4650 +a(g212 +V +tp4651 +a(g227 +V4 +tp4652 +a(g212 +V\u000a +tp4653 +a(g212 +V. +tp4654 +a(g134 +VIX +p4655 +tp4656 +a(g212 +V +tp4657 +a(g240 +VItem +p4658 +tp4659 +a(g212 +V +tp4660 +a(g259 +V"Keywords related to scoping" +p4661 +tp4662 +a(g212 +V\u000a +tp4663 +a(g212 +V +tp4664 +a(g266 +V\u005c& +p4665 +tp4666 +a(g266 +V\u005cf +p4667 +tp4668 +a(g212 +V(CW +p4669 +tp4670 +a(g266 +V\u005c* +p4671 +tp4672 +a(g212 +V(C`caller +p4673 +tp4674 +a(g266 +V\u005c* +p4675 +tp4676 +a(g212 +V(C' +p4677 +tp4678 +a(g266 +V\u005cfR +p4679 +tp4680 +a(g212 +V, +p4681 +tp4682 +a(g266 +V\u005cf +p4683 +tp4684 +a(g212 +V(CW +p4685 +tp4686 +a(g266 +V\u005c* +p4687 +tp4688 +a(g212 +V(C`import +p4689 +tp4690 +a(g266 +V\u005c* +p4691 +tp4692 +a(g212 +V(C' +p4693 +tp4694 +a(g266 +V\u005cfR +p4695 +tp4696 +a(g212 +V, +p4697 +tp4698 +a(g266 +V\u005cf +p4699 +tp4700 +a(g212 +V(CW +p4701 +tp4702 +a(g266 +V\u005c* +p4703 +tp4704 +a(g212 +V(C`local +p4705 +tp4706 +a(g266 +V\u005c* +p4707 +tp4708 +a(g212 +V(C' +p4709 +tp4710 +a(g266 +V\u005cfR +p4711 +tp4712 +a(g212 +V, +p4713 +tp4714 +a(g266 +V\u005cf +p4715 +tp4716 +a(g212 +V(CW +p4717 +tp4718 +a(g266 +V\u005c* +p4719 +tp4720 +a(g212 +V(C`my +p4721 +tp4722 +a(g266 +V\u005c* +p4723 +tp4724 +a(g212 +V(C' +p4725 +tp4726 +a(g266 +V\u005cfR +p4727 +tp4728 +a(g212 +V, +p4729 +tp4730 +a(g266 +V\u005cf +p4731 +tp4732 +a(g212 +V(CW +p4733 +tp4734 +a(g266 +V\u005c* +p4735 +tp4736 +a(g212 +V(C`our +p4737 +tp4738 +a(g266 +V\u005c* +p4739 +tp4740 +a(g212 +V(C' +p4741 +tp4742 +a(g266 +V\u005cfR +p4743 +tp4744 +a(g212 +V, +p4745 +tp4746 +a(g266 +V\u005cf +p4747 +tp4748 +a(g212 +V(CW +p4749 +tp4750 +a(g266 +V\u005c* +p4751 +tp4752 +a(g212 +V(C`package +p4753 +tp4754 +a(g266 +V\u005c* +p4755 +tp4756 +a(g212 +V(C' +p4757 +tp4758 +a(g266 +V\u005cfR +p4759 +tp4760 +a(g212 +V, +p4761 +tp4762 +a(g266 +V\u005cf +p4763 +tp4764 +a(g212 +V(CW +p4765 +tp4766 +a(g266 +V\u005c* +p4767 +tp4768 +a(g212 +V(C`use +p4769 +tp4770 +a(g266 +V\u005c* +p4771 +tp4772 +a(g212 +V(C' +p4773 +tp4774 +a(g266 +V\u005cfR +p4775 +tp4776 +a(g212 +V\u000a +tp4777 +a(g212 +V. +tp4778 +a(g134 +VIP +p4779 +tp4780 +a(g212 +V +tp4781 +a(g259 +V"Miscellaneous functions" +p4782 +tp4783 +a(g212 +V +tp4784 +a(g227 +V4 +tp4785 +a(g212 +V\u000a +tp4786 +a(g212 +V. +tp4787 +a(g134 +VIX +p4788 +tp4789 +a(g212 +V +tp4790 +a(g240 +VItem +p4791 +tp4792 +a(g212 +V +tp4793 +a(g259 +V"Miscellaneous functions" +p4794 +tp4795 +a(g212 +V\u000a +tp4796 +a(g212 +V +tp4797 +a(g266 +V\u005c& +p4798 +tp4799 +a(g266 +V\u005cf +p4800 +tp4801 +a(g212 +V(CW +p4802 +tp4803 +a(g266 +V\u005c* +p4804 +tp4805 +a(g212 +V(C`defined +p4806 +tp4807 +a(g266 +V\u005c* +p4808 +tp4809 +a(g212 +V(C' +p4810 +tp4811 +a(g266 +V\u005cfR +p4812 +tp4813 +a(g212 +V, +p4814 +tp4815 +a(g266 +V\u005cf +p4816 +tp4817 +a(g212 +V(CW +p4818 +tp4819 +a(g266 +V\u005c* +p4820 +tp4821 +a(g212 +V(C`dump +p4822 +tp4823 +a(g266 +V\u005c* +p4824 +tp4825 +a(g212 +V(C' +p4826 +tp4827 +a(g266 +V\u005cfR +p4828 +tp4829 +a(g212 +V, +p4830 +tp4831 +a(g266 +V\u005cf +p4832 +tp4833 +a(g212 +V(CW +p4834 +tp4835 +a(g266 +V\u005c* +p4836 +tp4837 +a(g212 +V(C`eval +p4838 +tp4839 +a(g266 +V\u005c* +p4840 +tp4841 +a(g212 +V(C' +p4842 +tp4843 +a(g266 +V\u005cfR +p4844 +tp4845 +a(g212 +V, +p4846 +tp4847 +a(g266 +V\u005cf +p4848 +tp4849 +a(g212 +V(CW +p4850 +tp4851 +a(g266 +V\u005c* +p4852 +tp4853 +a(g212 +V(C`formline +p4854 +tp4855 +a(g266 +V\u005c* +p4856 +tp4857 +a(g212 +V(C' +p4858 +tp4859 +a(g266 +V\u005cfR +p4860 +tp4861 +a(g212 +V, +p4862 +tp4863 +a(g266 +V\u005cf +p4864 +tp4865 +a(g212 +V(CW +p4866 +tp4867 +a(g266 +V\u005c* +p4868 +tp4869 +a(g212 +V(C`local +p4870 +tp4871 +a(g266 +V\u005c* +p4872 +tp4873 +a(g212 +V(C' +p4874 +tp4875 +a(g266 +V\u005cfR +p4876 +tp4877 +a(g212 +V, +p4878 +tp4879 +a(g266 +V\u005cf +p4880 +tp4881 +a(g212 +V(CW +p4882 +tp4883 +a(g266 +V\u005c* +p4884 +tp4885 +a(g212 +V(C`my +p4886 +tp4887 +a(g266 +V\u005c* +p4888 +tp4889 +a(g212 +V(C' +p4890 +tp4891 +a(g266 +V\u005cfR +p4892 +tp4893 +a(g212 +V, +p4894 +tp4895 +a(g266 +V\u005cf +p4896 +tp4897 +a(g212 +V(CW +p4898 +tp4899 +a(g266 +V\u005c* +p4900 +tp4901 +a(g212 +V(C`our +p4902 +tp4903 +a(g266 +V\u005c* +p4904 +tp4905 +a(g212 +V(C' +p4906 +tp4907 +a(g266 +V\u005cfR +p4908 +tp4909 +a(g212 +V, +p4910 +tp4911 +a(g266 +V\u005cf +p4912 +tp4913 +a(g212 +V(CW +p4914 +tp4915 +a(g266 +V\u005c* +p4916 +tp4917 +a(g212 +V(C`reset +p4918 +tp4919 +a(g266 +V\u005c* +p4920 +tp4921 +a(g212 +V(C' +p4922 +tp4923 +a(g266 +V\u005cfR +p4924 +tp4925 +a(g212 +V, +tp4926 +a(g212 +V\u000a +tp4927 +a(g212 +V +tp4928 +a(g266 +V\u005c& +p4929 +tp4930 +a(g266 +V\u005cf +p4931 +tp4932 +a(g212 +V(CW +p4933 +tp4934 +a(g266 +V\u005c* +p4935 +tp4936 +a(g212 +V(C`scalar +p4937 +tp4938 +a(g266 +V\u005c* +p4939 +tp4940 +a(g212 +V(C' +p4941 +tp4942 +a(g266 +V\u005cfR +p4943 +tp4944 +a(g212 +V, +p4945 +tp4946 +a(g266 +V\u005cf +p4947 +tp4948 +a(g212 +V(CW +p4949 +tp4950 +a(g266 +V\u005c* +p4951 +tp4952 +a(g212 +V(C`undef +p4953 +tp4954 +a(g266 +V\u005c* +p4955 +tp4956 +a(g212 +V(C' +p4957 +tp4958 +a(g266 +V\u005cfR +p4959 +tp4960 +a(g212 +V, +p4961 +tp4962 +a(g266 +V\u005cf +p4963 +tp4964 +a(g212 +V(CW +p4965 +tp4966 +a(g266 +V\u005c* +p4967 +tp4968 +a(g212 +V(C`wantarray +p4969 +tp4970 +a(g266 +V\u005c* +p4971 +tp4972 +a(g212 +V(C' +p4973 +tp4974 +a(g266 +V\u005cfR +p4975 +tp4976 +a(g212 +V\u000a +tp4977 +a(g212 +V. +tp4978 +a(g134 +VIP +p4979 +tp4980 +a(g212 +V +tp4981 +a(g259 +V"Functions for processes and process groups" +p4982 +tp4983 +a(g212 +V +tp4984 +a(g227 +V4 +tp4985 +a(g212 +V\u000a +tp4986 +a(g212 +V. +tp4987 +a(g134 +VIX +p4988 +tp4989 +a(g212 +V +tp4990 +a(g240 +VXref +p4991 +tp4992 +a(g212 +V +tp4993 +a(g259 +V"process pid process id" +p4994 +tp4995 +a(g212 +V\u000a +tp4996 +a(g212 +V. +tp4997 +a(g134 +VIX +p4998 +tp4999 +a(g212 +V +tp5000 +a(g240 +VItem +p5001 +tp5002 +a(g212 +V +tp5003 +a(g259 +V"Functions for processes and process groups" +p5004 +tp5005 +a(g212 +V\u000a +tp5006 +a(g212 +V +tp5007 +a(g266 +V\u005c& +p5008 +tp5009 +a(g266 +V\u005cf +p5010 +tp5011 +a(g212 +V(CW +p5012 +tp5013 +a(g266 +V\u005c* +p5014 +tp5015 +a(g212 +V(C`alarm +p5016 +tp5017 +a(g266 +V\u005c* +p5018 +tp5019 +a(g212 +V(C' +p5020 +tp5021 +a(g266 +V\u005cfR +p5022 +tp5023 +a(g212 +V, +p5024 +tp5025 +a(g266 +V\u005cf +p5026 +tp5027 +a(g212 +V(CW +p5028 +tp5029 +a(g266 +V\u005c* +p5030 +tp5031 +a(g212 +V(C`exec +p5032 +tp5033 +a(g266 +V\u005c* +p5034 +tp5035 +a(g212 +V(C' +p5036 +tp5037 +a(g266 +V\u005cfR +p5038 +tp5039 +a(g212 +V, +p5040 +tp5041 +a(g266 +V\u005cf +p5042 +tp5043 +a(g212 +V(CW +p5044 +tp5045 +a(g266 +V\u005c* +p5046 +tp5047 +a(g212 +V(C`fork +p5048 +tp5049 +a(g266 +V\u005c* +p5050 +tp5051 +a(g212 +V(C' +p5052 +tp5053 +a(g266 +V\u005cfR +p5054 +tp5055 +a(g212 +V, +p5056 +tp5057 +a(g266 +V\u005cf +p5058 +tp5059 +a(g212 +V(CW +p5060 +tp5061 +a(g266 +V\u005c* +p5062 +tp5063 +a(g212 +V(C`getpgrp +p5064 +tp5065 +a(g266 +V\u005c* +p5066 +tp5067 +a(g212 +V(C' +p5068 +tp5069 +a(g266 +V\u005cfR +p5070 +tp5071 +a(g212 +V, +p5072 +tp5073 +a(g266 +V\u005cf +p5074 +tp5075 +a(g212 +V(CW +p5076 +tp5077 +a(g266 +V\u005c* +p5078 +tp5079 +a(g212 +V(C`getppid +p5080 +tp5081 +a(g266 +V\u005c* +p5082 +tp5083 +a(g212 +V(C' +p5084 +tp5085 +a(g266 +V\u005cfR +p5086 +tp5087 +a(g212 +V, +p5088 +tp5089 +a(g266 +V\u005cf +p5090 +tp5091 +a(g212 +V(CW +p5092 +tp5093 +a(g266 +V\u005c* +p5094 +tp5095 +a(g212 +V(C`getpriority +p5096 +tp5097 +a(g266 +V\u005c* +p5098 +tp5099 +a(g212 +V(C' +p5100 +tp5101 +a(g266 +V\u005cfR +p5102 +tp5103 +a(g212 +V, +p5104 +tp5105 +a(g266 +V\u005cf +p5106 +tp5107 +a(g212 +V(CW +p5108 +tp5109 +a(g266 +V\u005c* +p5110 +tp5111 +a(g212 +V(C`kill +p5112 +tp5113 +a(g266 +V\u005c* +p5114 +tp5115 +a(g212 +V(C' +p5116 +tp5117 +a(g266 +V\u005cfR +p5118 +tp5119 +a(g212 +V, +tp5120 +a(g212 +V\u000a +tp5121 +a(g212 +V +tp5122 +a(g266 +V\u005c& +p5123 +tp5124 +a(g266 +V\u005cf +p5125 +tp5126 +a(g212 +V(CW +p5127 +tp5128 +a(g266 +V\u005c* +p5129 +tp5130 +a(g212 +V(C`pipe +p5131 +tp5132 +a(g266 +V\u005c* +p5133 +tp5134 +a(g212 +V(C' +p5135 +tp5136 +a(g266 +V\u005cfR +p5137 +tp5138 +a(g212 +V, +p5139 +tp5140 +a(g266 +V\u005cf +p5141 +tp5142 +a(g212 +V(CW +p5143 +tp5144 +a(g266 +V\u005c* +p5145 +tp5146 +a(g212 +V(C`qx/STRING/ +p5147 +tp5148 +a(g266 +V\u005c* +p5149 +tp5150 +a(g212 +V(C' +p5151 +tp5152 +a(g266 +V\u005cfR +p5153 +tp5154 +a(g212 +V, +p5155 +tp5156 +a(g266 +V\u005cf +p5157 +tp5158 +a(g212 +V(CW +p5159 +tp5160 +a(g266 +V\u005c* +p5161 +tp5162 +a(g212 +V(C`setpgrp +p5163 +tp5164 +a(g266 +V\u005c* +p5165 +tp5166 +a(g212 +V(C' +p5167 +tp5168 +a(g266 +V\u005cfR +p5169 +tp5170 +a(g212 +V, +p5171 +tp5172 +a(g266 +V\u005cf +p5173 +tp5174 +a(g212 +V(CW +p5175 +tp5176 +a(g266 +V\u005c* +p5177 +tp5178 +a(g212 +V(C`setpriority +p5179 +tp5180 +a(g266 +V\u005c* +p5181 +tp5182 +a(g212 +V(C' +p5183 +tp5184 +a(g266 +V\u005cfR +p5185 +tp5186 +a(g212 +V, +p5187 +tp5188 +a(g266 +V\u005cf +p5189 +tp5190 +a(g212 +V(CW +p5191 +tp5192 +a(g266 +V\u005c* +p5193 +tp5194 +a(g212 +V(C`sleep +p5195 +tp5196 +a(g266 +V\u005c* +p5197 +tp5198 +a(g212 +V(C' +p5199 +tp5200 +a(g266 +V\u005cfR +p5201 +tp5202 +a(g212 +V, +p5203 +tp5204 +a(g266 +V\u005cf +p5205 +tp5206 +a(g212 +V(CW +p5207 +tp5208 +a(g266 +V\u005c* +p5209 +tp5210 +a(g212 +V(C`system +p5211 +tp5212 +a(g266 +V\u005c* +p5213 +tp5214 +a(g212 +V(C' +p5215 +tp5216 +a(g266 +V\u005cfR +p5217 +tp5218 +a(g212 +V, +tp5219 +a(g212 +V\u000a +tp5220 +a(g212 +V +tp5221 +a(g266 +V\u005c& +p5222 +tp5223 +a(g266 +V\u005cf +p5224 +tp5225 +a(g212 +V(CW +p5226 +tp5227 +a(g266 +V\u005c* +p5228 +tp5229 +a(g212 +V(C`times +p5230 +tp5231 +a(g266 +V\u005c* +p5232 +tp5233 +a(g212 +V(C' +p5234 +tp5235 +a(g266 +V\u005cfR +p5236 +tp5237 +a(g212 +V, +p5238 +tp5239 +a(g266 +V\u005cf +p5240 +tp5241 +a(g212 +V(CW +p5242 +tp5243 +a(g266 +V\u005c* +p5244 +tp5245 +a(g212 +V(C`wait +p5246 +tp5247 +a(g266 +V\u005c* +p5248 +tp5249 +a(g212 +V(C' +p5250 +tp5251 +a(g266 +V\u005cfR +p5252 +tp5253 +a(g212 +V, +p5254 +tp5255 +a(g266 +V\u005cf +p5256 +tp5257 +a(g212 +V(CW +p5258 +tp5259 +a(g266 +V\u005c* +p5260 +tp5261 +a(g212 +V(C`waitpid +p5262 +tp5263 +a(g266 +V\u005c* +p5264 +tp5265 +a(g212 +V(C' +p5266 +tp5267 +a(g266 +V\u005cfR +p5268 +tp5269 +a(g212 +V\u000a +tp5270 +a(g212 +V. +tp5271 +a(g134 +VIP +p5272 +tp5273 +a(g212 +V +tp5274 +a(g259 +V"Keywords related to perl modules" +p5275 +tp5276 +a(g212 +V +tp5277 +a(g227 +V4 +tp5278 +a(g212 +V\u000a +tp5279 +a(g212 +V. +tp5280 +a(g134 +VIX +p5281 +tp5282 +a(g212 +V +tp5283 +a(g240 +VXref +p5284 +tp5285 +a(g212 +V +tp5286 +a(g259 +V"module" +p5287 +tp5288 +a(g212 +V\u000a +tp5289 +a(g212 +V. +tp5290 +a(g134 +VIX +p5291 +tp5292 +a(g212 +V +tp5293 +a(g240 +VItem +p5294 +tp5295 +a(g212 +V +tp5296 +a(g259 +V"Keywords related to perl modules" +p5297 +tp5298 +a(g212 +V\u000a +tp5299 +a(g212 +V +tp5300 +a(g266 +V\u005c& +p5301 +tp5302 +a(g266 +V\u005cf +p5303 +tp5304 +a(g212 +V(CW +p5305 +tp5306 +a(g266 +V\u005c* +p5307 +tp5308 +a(g212 +V(C`do +p5309 +tp5310 +a(g266 +V\u005c* +p5311 +tp5312 +a(g212 +V(C' +p5313 +tp5314 +a(g266 +V\u005cfR +p5315 +tp5316 +a(g212 +V, +p5317 +tp5318 +a(g266 +V\u005cf +p5319 +tp5320 +a(g212 +V(CW +p5321 +tp5322 +a(g266 +V\u005c* +p5323 +tp5324 +a(g212 +V(C`import +p5325 +tp5326 +a(g266 +V\u005c* +p5327 +tp5328 +a(g212 +V(C' +p5329 +tp5330 +a(g266 +V\u005cfR +p5331 +tp5332 +a(g212 +V, +p5333 +tp5334 +a(g266 +V\u005cf +p5335 +tp5336 +a(g212 +V(CW +p5337 +tp5338 +a(g266 +V\u005c* +p5339 +tp5340 +a(g212 +V(C`no +p5341 +tp5342 +a(g266 +V\u005c* +p5343 +tp5344 +a(g212 +V(C' +p5345 +tp5346 +a(g266 +V\u005cfR +p5347 +tp5348 +a(g212 +V, +p5349 +tp5350 +a(g266 +V\u005cf +p5351 +tp5352 +a(g212 +V(CW +p5353 +tp5354 +a(g266 +V\u005c* +p5355 +tp5356 +a(g212 +V(C`package +p5357 +tp5358 +a(g266 +V\u005c* +p5359 +tp5360 +a(g212 +V(C' +p5361 +tp5362 +a(g266 +V\u005cfR +p5363 +tp5364 +a(g212 +V, +p5365 +tp5366 +a(g266 +V\u005cf +p5367 +tp5368 +a(g212 +V(CW +p5369 +tp5370 +a(g266 +V\u005c* +p5371 +tp5372 +a(g212 +V(C`require +p5373 +tp5374 +a(g266 +V\u005c* +p5375 +tp5376 +a(g212 +V(C' +p5377 +tp5378 +a(g266 +V\u005cfR +p5379 +tp5380 +a(g212 +V, +p5381 +tp5382 +a(g266 +V\u005cf +p5383 +tp5384 +a(g212 +V(CW +p5385 +tp5386 +a(g266 +V\u005c* +p5387 +tp5388 +a(g212 +V(C`use +p5389 +tp5390 +a(g266 +V\u005c* +p5391 +tp5392 +a(g212 +V(C' +p5393 +tp5394 +a(g266 +V\u005cfR +p5395 +tp5396 +a(g212 +V\u000a +tp5397 +a(g212 +V. +tp5398 +a(g134 +VIP +p5399 +tp5400 +a(g212 +V +tp5401 +a(g259 +V"Keywords related to classes and object-orientedness" +p5402 +tp5403 +a(g212 +V +tp5404 +a(g227 +V4 +tp5405 +a(g212 +V\u000a +tp5406 +a(g212 +V. +tp5407 +a(g134 +VIX +p5408 +tp5409 +a(g212 +V +tp5410 +a(g240 +VXref +p5411 +tp5412 +a(g212 +V +tp5413 +a(g259 +V"object class package" +p5414 +tp5415 +a(g212 +V\u000a +tp5416 +a(g212 +V. +tp5417 +a(g134 +VIX +p5418 +tp5419 +a(g212 +V +tp5420 +a(g240 +VItem +p5421 +tp5422 +a(g212 +V +tp5423 +a(g259 +V"Keywords related to classes and object-orientedness" +p5424 +tp5425 +a(g212 +V\u000a +tp5426 +a(g212 +V +tp5427 +a(g266 +V\u005c& +p5428 +tp5429 +a(g266 +V\u005cf +p5430 +tp5431 +a(g212 +V(CW +p5432 +tp5433 +a(g266 +V\u005c* +p5434 +tp5435 +a(g212 +V(C`bless +p5436 +tp5437 +a(g266 +V\u005c* +p5438 +tp5439 +a(g212 +V(C' +p5440 +tp5441 +a(g266 +V\u005cfR +p5442 +tp5443 +a(g212 +V, +p5444 +tp5445 +a(g266 +V\u005cf +p5446 +tp5447 +a(g212 +V(CW +p5448 +tp5449 +a(g266 +V\u005c* +p5450 +tp5451 +a(g212 +V(C`dbmclose +p5452 +tp5453 +a(g266 +V\u005c* +p5454 +tp5455 +a(g212 +V(C' +p5456 +tp5457 +a(g266 +V\u005cfR +p5458 +tp5459 +a(g212 +V, +p5460 +tp5461 +a(g266 +V\u005cf +p5462 +tp5463 +a(g212 +V(CW +p5464 +tp5465 +a(g266 +V\u005c* +p5466 +tp5467 +a(g212 +V(C`dbmopen +p5468 +tp5469 +a(g266 +V\u005c* +p5470 +tp5471 +a(g212 +V(C' +p5472 +tp5473 +a(g266 +V\u005cfR +p5474 +tp5475 +a(g212 +V, +p5476 +tp5477 +a(g266 +V\u005cf +p5478 +tp5479 +a(g212 +V(CW +p5480 +tp5481 +a(g266 +V\u005c* +p5482 +tp5483 +a(g212 +V(C`package +p5484 +tp5485 +a(g266 +V\u005c* +p5486 +tp5487 +a(g212 +V(C' +p5488 +tp5489 +a(g266 +V\u005cfR +p5490 +tp5491 +a(g212 +V, +p5492 +tp5493 +a(g266 +V\u005cf +p5494 +tp5495 +a(g212 +V(CW +p5496 +tp5497 +a(g266 +V\u005c* +p5498 +tp5499 +a(g212 +V(C`ref +p5500 +tp5501 +a(g266 +V\u005c* +p5502 +tp5503 +a(g212 +V(C' +p5504 +tp5505 +a(g266 +V\u005cfR +p5506 +tp5507 +a(g212 +V, +p5508 +tp5509 +a(g266 +V\u005cf +p5510 +tp5511 +a(g212 +V(CW +p5512 +tp5513 +a(g266 +V\u005c* +p5514 +tp5515 +a(g212 +V(C`tie +p5516 +tp5517 +a(g266 +V\u005c* +p5518 +tp5519 +a(g212 +V(C' +p5520 +tp5521 +a(g266 +V\u005cfR +p5522 +tp5523 +a(g212 +V, +p5524 +tp5525 +a(g266 +V\u005cf +p5526 +tp5527 +a(g212 +V(CW +p5528 +tp5529 +a(g266 +V\u005c* +p5530 +tp5531 +a(g212 +V(C`tied +p5532 +tp5533 +a(g266 +V\u005c* +p5534 +tp5535 +a(g212 +V(C' +p5536 +tp5537 +a(g266 +V\u005cfR +p5538 +tp5539 +a(g212 +V, +tp5540 +a(g212 +V\u000a +tp5541 +a(g212 +V +tp5542 +a(g266 +V\u005c& +p5543 +tp5544 +a(g266 +V\u005cf +p5545 +tp5546 +a(g212 +V(CW +p5547 +tp5548 +a(g266 +V\u005c* +p5549 +tp5550 +a(g212 +V(C`untie +p5551 +tp5552 +a(g266 +V\u005c* +p5553 +tp5554 +a(g212 +V(C' +p5555 +tp5556 +a(g266 +V\u005cfR +p5557 +tp5558 +a(g212 +V, +p5559 +tp5560 +a(g266 +V\u005cf +p5561 +tp5562 +a(g212 +V(CW +p5563 +tp5564 +a(g266 +V\u005c* +p5565 +tp5566 +a(g212 +V(C`use +p5567 +tp5568 +a(g266 +V\u005c* +p5569 +tp5570 +a(g212 +V(C' +p5571 +tp5572 +a(g266 +V\u005cfR +p5573 +tp5574 +a(g212 +V\u000a +tp5575 +a(g212 +V. +tp5576 +a(g134 +VIP +p5577 +tp5578 +a(g212 +V +tp5579 +a(g259 +V"Low-level socket functions" +p5580 +tp5581 +a(g212 +V +tp5582 +a(g227 +V4 +tp5583 +a(g212 +V\u000a +tp5584 +a(g212 +V. +tp5585 +a(g134 +VIX +p5586 +tp5587 +a(g212 +V +tp5588 +a(g240 +VXref +p5589 +tp5590 +a(g212 +V +tp5591 +a(g259 +V"socket sock" +p5592 +tp5593 +a(g212 +V\u000a +tp5594 +a(g212 +V. +tp5595 +a(g134 +VIX +p5596 +tp5597 +a(g212 +V +tp5598 +a(g240 +VItem +p5599 +tp5600 +a(g212 +V +tp5601 +a(g259 +V"Low-level socket functions" +p5602 +tp5603 +a(g212 +V\u000a +tp5604 +a(g212 +V +tp5605 +a(g266 +V\u005c& +p5606 +tp5607 +a(g266 +V\u005cf +p5608 +tp5609 +a(g212 +V(CW +p5610 +tp5611 +a(g266 +V\u005c* +p5612 +tp5613 +a(g212 +V(C`accept +p5614 +tp5615 +a(g266 +V\u005c* +p5616 +tp5617 +a(g212 +V(C' +p5618 +tp5619 +a(g266 +V\u005cfR +p5620 +tp5621 +a(g212 +V, +p5622 +tp5623 +a(g266 +V\u005cf +p5624 +tp5625 +a(g212 +V(CW +p5626 +tp5627 +a(g266 +V\u005c* +p5628 +tp5629 +a(g212 +V(C`bind +p5630 +tp5631 +a(g266 +V\u005c* +p5632 +tp5633 +a(g212 +V(C' +p5634 +tp5635 +a(g266 +V\u005cfR +p5636 +tp5637 +a(g212 +V, +p5638 +tp5639 +a(g266 +V\u005cf +p5640 +tp5641 +a(g212 +V(CW +p5642 +tp5643 +a(g266 +V\u005c* +p5644 +tp5645 +a(g212 +V(C`connect +p5646 +tp5647 +a(g266 +V\u005c* +p5648 +tp5649 +a(g212 +V(C' +p5650 +tp5651 +a(g266 +V\u005cfR +p5652 +tp5653 +a(g212 +V, +p5654 +tp5655 +a(g266 +V\u005cf +p5656 +tp5657 +a(g212 +V(CW +p5658 +tp5659 +a(g266 +V\u005c* +p5660 +tp5661 +a(g212 +V(C`getpeername +p5662 +tp5663 +a(g266 +V\u005c* +p5664 +tp5665 +a(g212 +V(C' +p5666 +tp5667 +a(g266 +V\u005cfR +p5668 +tp5669 +a(g212 +V, +p5670 +tp5671 +a(g266 +V\u005cf +p5672 +tp5673 +a(g212 +V(CW +p5674 +tp5675 +a(g266 +V\u005c* +p5676 +tp5677 +a(g212 +V(C`getsockname +p5678 +tp5679 +a(g266 +V\u005c* +p5680 +tp5681 +a(g212 +V(C' +p5682 +tp5683 +a(g266 +V\u005cfR +p5684 +tp5685 +a(g212 +V, +tp5686 +a(g212 +V\u000a +tp5687 +a(g212 +V +tp5688 +a(g266 +V\u005c& +p5689 +tp5690 +a(g266 +V\u005cf +p5691 +tp5692 +a(g212 +V(CW +p5693 +tp5694 +a(g266 +V\u005c* +p5695 +tp5696 +a(g212 +V(C`getsockopt +p5697 +tp5698 +a(g266 +V\u005c* +p5699 +tp5700 +a(g212 +V(C' +p5701 +tp5702 +a(g266 +V\u005cfR +p5703 +tp5704 +a(g212 +V, +p5705 +tp5706 +a(g266 +V\u005cf +p5707 +tp5708 +a(g212 +V(CW +p5709 +tp5710 +a(g266 +V\u005c* +p5711 +tp5712 +a(g212 +V(C`listen +p5713 +tp5714 +a(g266 +V\u005c* +p5715 +tp5716 +a(g212 +V(C' +p5717 +tp5718 +a(g266 +V\u005cfR +p5719 +tp5720 +a(g212 +V, +p5721 +tp5722 +a(g266 +V\u005cf +p5723 +tp5724 +a(g212 +V(CW +p5725 +tp5726 +a(g266 +V\u005c* +p5727 +tp5728 +a(g212 +V(C`recv +p5729 +tp5730 +a(g266 +V\u005c* +p5731 +tp5732 +a(g212 +V(C' +p5733 +tp5734 +a(g266 +V\u005cfR +p5735 +tp5736 +a(g212 +V, +p5737 +tp5738 +a(g266 +V\u005cf +p5739 +tp5740 +a(g212 +V(CW +p5741 +tp5742 +a(g266 +V\u005c* +p5743 +tp5744 +a(g212 +V(C`send +p5745 +tp5746 +a(g266 +V\u005c* +p5747 +tp5748 +a(g212 +V(C' +p5749 +tp5750 +a(g266 +V\u005cfR +p5751 +tp5752 +a(g212 +V, +p5753 +tp5754 +a(g266 +V\u005cf +p5755 +tp5756 +a(g212 +V(CW +p5757 +tp5758 +a(g266 +V\u005c* +p5759 +tp5760 +a(g212 +V(C`setsockopt +p5761 +tp5762 +a(g266 +V\u005c* +p5763 +tp5764 +a(g212 +V(C' +p5765 +tp5766 +a(g266 +V\u005cfR +p5767 +tp5768 +a(g212 +V, +p5769 +tp5770 +a(g266 +V\u005cf +p5771 +tp5772 +a(g212 +V(CW +p5773 +tp5774 +a(g266 +V\u005c* +p5775 +tp5776 +a(g212 +V(C`shutdown +p5777 +tp5778 +a(g266 +V\u005c* +p5779 +tp5780 +a(g212 +V(C' +p5781 +tp5782 +a(g266 +V\u005cfR +p5783 +tp5784 +a(g212 +V, +tp5785 +a(g212 +V\u000a +tp5786 +a(g212 +V +tp5787 +a(g266 +V\u005c& +p5788 +tp5789 +a(g266 +V\u005cf +p5790 +tp5791 +a(g212 +V(CW +p5792 +tp5793 +a(g266 +V\u005c* +p5794 +tp5795 +a(g212 +V(C`socket +p5796 +tp5797 +a(g266 +V\u005c* +p5798 +tp5799 +a(g212 +V(C' +p5800 +tp5801 +a(g266 +V\u005cfR +p5802 +tp5803 +a(g212 +V, +p5804 +tp5805 +a(g266 +V\u005cf +p5806 +tp5807 +a(g212 +V(CW +p5808 +tp5809 +a(g266 +V\u005c* +p5810 +tp5811 +a(g212 +V(C`socketpair +p5812 +tp5813 +a(g266 +V\u005c* +p5814 +tp5815 +a(g212 +V(C' +p5816 +tp5817 +a(g266 +V\u005cfR +p5818 +tp5819 +a(g212 +V\u000a +tp5820 +a(g212 +V. +tp5821 +a(g134 +VIP +p5822 +tp5823 +a(g212 +V +tp5824 +a(g259 +V"System V interprocess communication functions" +p5825 +tp5826 +a(g212 +V +tp5827 +a(g227 +V4 +tp5828 +a(g212 +V\u000a +tp5829 +a(g212 +V. +tp5830 +a(g134 +VIX +p5831 +tp5832 +a(g212 +V +tp5833 +a(g240 +VXref +p5834 +tp5835 +a(g212 +V +tp5836 +a(g259 +V"IPC System V semaphore shared memory memory message" +p5837 +tp5838 +a(g212 +V\u000a +tp5839 +a(g212 +V. +tp5840 +a(g134 +VIX +p5841 +tp5842 +a(g212 +V +tp5843 +a(g240 +VItem +p5844 +tp5845 +a(g212 +V +tp5846 +a(g259 +V"System V interprocess communication functions" +p5847 +tp5848 +a(g212 +V\u000a +tp5849 +a(g212 +V +tp5850 +a(g266 +V\u005c& +p5851 +tp5852 +a(g266 +V\u005cf +p5853 +tp5854 +a(g212 +V(CW +p5855 +tp5856 +a(g266 +V\u005c* +p5857 +tp5858 +a(g212 +V(C`msgctl +p5859 +tp5860 +a(g266 +V\u005c* +p5861 +tp5862 +a(g212 +V(C' +p5863 +tp5864 +a(g266 +V\u005cfR +p5865 +tp5866 +a(g212 +V, +p5867 +tp5868 +a(g266 +V\u005cf +p5869 +tp5870 +a(g212 +V(CW +p5871 +tp5872 +a(g266 +V\u005c* +p5873 +tp5874 +a(g212 +V(C`msgget +p5875 +tp5876 +a(g266 +V\u005c* +p5877 +tp5878 +a(g212 +V(C' +p5879 +tp5880 +a(g266 +V\u005cfR +p5881 +tp5882 +a(g212 +V, +p5883 +tp5884 +a(g266 +V\u005cf +p5885 +tp5886 +a(g212 +V(CW +p5887 +tp5888 +a(g266 +V\u005c* +p5889 +tp5890 +a(g212 +V(C`msgrcv +p5891 +tp5892 +a(g266 +V\u005c* +p5893 +tp5894 +a(g212 +V(C' +p5895 +tp5896 +a(g266 +V\u005cfR +p5897 +tp5898 +a(g212 +V, +p5899 +tp5900 +a(g266 +V\u005cf +p5901 +tp5902 +a(g212 +V(CW +p5903 +tp5904 +a(g266 +V\u005c* +p5905 +tp5906 +a(g212 +V(C`msgsnd +p5907 +tp5908 +a(g266 +V\u005c* +p5909 +tp5910 +a(g212 +V(C' +p5911 +tp5912 +a(g266 +V\u005cfR +p5913 +tp5914 +a(g212 +V, +p5915 +tp5916 +a(g266 +V\u005cf +p5917 +tp5918 +a(g212 +V(CW +p5919 +tp5920 +a(g266 +V\u005c* +p5921 +tp5922 +a(g212 +V(C`semctl +p5923 +tp5924 +a(g266 +V\u005c* +p5925 +tp5926 +a(g212 +V(C' +p5927 +tp5928 +a(g266 +V\u005cfR +p5929 +tp5930 +a(g212 +V, +p5931 +tp5932 +a(g266 +V\u005cf +p5933 +tp5934 +a(g212 +V(CW +p5935 +tp5936 +a(g266 +V\u005c* +p5937 +tp5938 +a(g212 +V(C`semget +p5939 +tp5940 +a(g266 +V\u005c* +p5941 +tp5942 +a(g212 +V(C' +p5943 +tp5944 +a(g266 +V\u005cfR +p5945 +tp5946 +a(g212 +V, +p5947 +tp5948 +a(g266 +V\u005cf +p5949 +tp5950 +a(g212 +V(CW +p5951 +tp5952 +a(g266 +V\u005c* +p5953 +tp5954 +a(g212 +V(C`semop +p5955 +tp5956 +a(g266 +V\u005c* +p5957 +tp5958 +a(g212 +V(C' +p5959 +tp5960 +a(g266 +V\u005cfR +p5961 +tp5962 +a(g212 +V, +tp5963 +a(g212 +V\u000a +tp5964 +a(g212 +V +tp5965 +a(g266 +V\u005c& +p5966 +tp5967 +a(g266 +V\u005cf +p5968 +tp5969 +a(g212 +V(CW +p5970 +tp5971 +a(g266 +V\u005c* +p5972 +tp5973 +a(g212 +V(C`shmctl +p5974 +tp5975 +a(g266 +V\u005c* +p5976 +tp5977 +a(g212 +V(C' +p5978 +tp5979 +a(g266 +V\u005cfR +p5980 +tp5981 +a(g212 +V, +p5982 +tp5983 +a(g266 +V\u005cf +p5984 +tp5985 +a(g212 +V(CW +p5986 +tp5987 +a(g266 +V\u005c* +p5988 +tp5989 +a(g212 +V(C`shmget +p5990 +tp5991 +a(g266 +V\u005c* +p5992 +tp5993 +a(g212 +V(C' +p5994 +tp5995 +a(g266 +V\u005cfR +p5996 +tp5997 +a(g212 +V, +p5998 +tp5999 +a(g266 +V\u005cf +p6000 +tp6001 +a(g212 +V(CW +p6002 +tp6003 +a(g266 +V\u005c* +p6004 +tp6005 +a(g212 +V(C`shmread +p6006 +tp6007 +a(g266 +V\u005c* +p6008 +tp6009 +a(g212 +V(C' +p6010 +tp6011 +a(g266 +V\u005cfR +p6012 +tp6013 +a(g212 +V, +p6014 +tp6015 +a(g266 +V\u005cf +p6016 +tp6017 +a(g212 +V(CW +p6018 +tp6019 +a(g266 +V\u005c* +p6020 +tp6021 +a(g212 +V(C`shmwrite +p6022 +tp6023 +a(g266 +V\u005c* +p6024 +tp6025 +a(g212 +V(C' +p6026 +tp6027 +a(g266 +V\u005cfR +p6028 +tp6029 +a(g212 +V\u000a +tp6030 +a(g212 +V. +tp6031 +a(g134 +VIP +p6032 +tp6033 +a(g212 +V +tp6034 +a(g259 +V"Fetching user and group info" +p6035 +tp6036 +a(g212 +V +tp6037 +a(g227 +V4 +tp6038 +a(g212 +V\u000a +tp6039 +a(g212 +V. +tp6040 +a(g134 +VIX +p6041 +tp6042 +a(g212 +V +tp6043 +a(g240 +VXref +p6044 +tp6045 +a(g212 +V +tp6046 +a(g259 +V"user group password uid gid passwd etc passwd" +p6047 +tp6048 +a(g212 +V\u000a +tp6049 +a(g212 +V. +tp6050 +a(g134 +VIX +p6051 +tp6052 +a(g212 +V +tp6053 +a(g240 +VItem +p6054 +tp6055 +a(g212 +V +tp6056 +a(g259 +V"Fetching user and group info" +p6057 +tp6058 +a(g212 +V\u000a +tp6059 +a(g212 +V +tp6060 +a(g266 +V\u005c& +p6061 +tp6062 +a(g266 +V\u005cf +p6063 +tp6064 +a(g212 +V(CW +p6065 +tp6066 +a(g266 +V\u005c* +p6067 +tp6068 +a(g212 +V(C`endgrent +p6069 +tp6070 +a(g266 +V\u005c* +p6071 +tp6072 +a(g212 +V(C' +p6073 +tp6074 +a(g266 +V\u005cfR +p6075 +tp6076 +a(g212 +V, +p6077 +tp6078 +a(g266 +V\u005cf +p6079 +tp6080 +a(g212 +V(CW +p6081 +tp6082 +a(g266 +V\u005c* +p6083 +tp6084 +a(g212 +V(C`endhostent +p6085 +tp6086 +a(g266 +V\u005c* +p6087 +tp6088 +a(g212 +V(C' +p6089 +tp6090 +a(g266 +V\u005cfR +p6091 +tp6092 +a(g212 +V, +p6093 +tp6094 +a(g266 +V\u005cf +p6095 +tp6096 +a(g212 +V(CW +p6097 +tp6098 +a(g266 +V\u005c* +p6099 +tp6100 +a(g212 +V(C`endnetent +p6101 +tp6102 +a(g266 +V\u005c* +p6103 +tp6104 +a(g212 +V(C' +p6105 +tp6106 +a(g266 +V\u005cfR +p6107 +tp6108 +a(g212 +V, +p6109 +tp6110 +a(g266 +V\u005cf +p6111 +tp6112 +a(g212 +V(CW +p6113 +tp6114 +a(g266 +V\u005c* +p6115 +tp6116 +a(g212 +V(C`endpwent +p6117 +tp6118 +a(g266 +V\u005c* +p6119 +tp6120 +a(g212 +V(C' +p6121 +tp6122 +a(g266 +V\u005cfR +p6123 +tp6124 +a(g212 +V, +p6125 +tp6126 +a(g266 +V\u005cf +p6127 +tp6128 +a(g212 +V(CW +p6129 +tp6130 +a(g266 +V\u005c* +p6131 +tp6132 +a(g212 +V(C`getgrent +p6133 +tp6134 +a(g266 +V\u005c* +p6135 +tp6136 +a(g212 +V(C' +p6137 +tp6138 +a(g266 +V\u005cfR +p6139 +tp6140 +a(g212 +V, +tp6141 +a(g212 +V\u000a +tp6142 +a(g212 +V +tp6143 +a(g266 +V\u005c& +p6144 +tp6145 +a(g266 +V\u005cf +p6146 +tp6147 +a(g212 +V(CW +p6148 +tp6149 +a(g266 +V\u005c* +p6150 +tp6151 +a(g212 +V(C`getgrgid +p6152 +tp6153 +a(g266 +V\u005c* +p6154 +tp6155 +a(g212 +V(C' +p6156 +tp6157 +a(g266 +V\u005cfR +p6158 +tp6159 +a(g212 +V, +p6160 +tp6161 +a(g266 +V\u005cf +p6162 +tp6163 +a(g212 +V(CW +p6164 +tp6165 +a(g266 +V\u005c* +p6166 +tp6167 +a(g212 +V(C`getgrnam +p6168 +tp6169 +a(g266 +V\u005c* +p6170 +tp6171 +a(g212 +V(C' +p6172 +tp6173 +a(g266 +V\u005cfR +p6174 +tp6175 +a(g212 +V, +p6176 +tp6177 +a(g266 +V\u005cf +p6178 +tp6179 +a(g212 +V(CW +p6180 +tp6181 +a(g266 +V\u005c* +p6182 +tp6183 +a(g212 +V(C`getlogin +p6184 +tp6185 +a(g266 +V\u005c* +p6186 +tp6187 +a(g212 +V(C' +p6188 +tp6189 +a(g266 +V\u005cfR +p6190 +tp6191 +a(g212 +V, +p6192 +tp6193 +a(g266 +V\u005cf +p6194 +tp6195 +a(g212 +V(CW +p6196 +tp6197 +a(g266 +V\u005c* +p6198 +tp6199 +a(g212 +V(C`getpwent +p6200 +tp6201 +a(g266 +V\u005c* +p6202 +tp6203 +a(g212 +V(C' +p6204 +tp6205 +a(g266 +V\u005cfR +p6206 +tp6207 +a(g212 +V, +p6208 +tp6209 +a(g266 +V\u005cf +p6210 +tp6211 +a(g212 +V(CW +p6212 +tp6213 +a(g266 +V\u005c* +p6214 +tp6215 +a(g212 +V(C`getpwnam +p6216 +tp6217 +a(g266 +V\u005c* +p6218 +tp6219 +a(g212 +V(C' +p6220 +tp6221 +a(g266 +V\u005cfR +p6222 +tp6223 +a(g212 +V, +tp6224 +a(g212 +V\u000a +tp6225 +a(g212 +V +tp6226 +a(g266 +V\u005c& +p6227 +tp6228 +a(g266 +V\u005cf +p6229 +tp6230 +a(g212 +V(CW +p6231 +tp6232 +a(g266 +V\u005c* +p6233 +tp6234 +a(g212 +V(C`getpwuid +p6235 +tp6236 +a(g266 +V\u005c* +p6237 +tp6238 +a(g212 +V(C' +p6239 +tp6240 +a(g266 +V\u005cfR +p6241 +tp6242 +a(g212 +V, +p6243 +tp6244 +a(g266 +V\u005cf +p6245 +tp6246 +a(g212 +V(CW +p6247 +tp6248 +a(g266 +V\u005c* +p6249 +tp6250 +a(g212 +V(C`setgrent +p6251 +tp6252 +a(g266 +V\u005c* +p6253 +tp6254 +a(g212 +V(C' +p6255 +tp6256 +a(g266 +V\u005cfR +p6257 +tp6258 +a(g212 +V, +p6259 +tp6260 +a(g266 +V\u005cf +p6261 +tp6262 +a(g212 +V(CW +p6263 +tp6264 +a(g266 +V\u005c* +p6265 +tp6266 +a(g212 +V(C`setpwent +p6267 +tp6268 +a(g266 +V\u005c* +p6269 +tp6270 +a(g212 +V(C' +p6271 +tp6272 +a(g266 +V\u005cfR +p6273 +tp6274 +a(g212 +V\u000a +tp6275 +a(g212 +V. +tp6276 +a(g134 +VIP +p6277 +tp6278 +a(g212 +V +tp6279 +a(g259 +V"Fetching network info" +p6280 +tp6281 +a(g212 +V +tp6282 +a(g227 +V4 +tp6283 +a(g212 +V\u000a +tp6284 +a(g212 +V. +tp6285 +a(g134 +VIX +p6286 +tp6287 +a(g212 +V +tp6288 +a(g240 +VXref +p6289 +tp6290 +a(g212 +V +tp6291 +a(g259 +V"network protocol host hostname IP address service" +p6292 +tp6293 +a(g212 +V\u000a +tp6294 +a(g212 +V. +tp6295 +a(g134 +VIX +p6296 +tp6297 +a(g212 +V +tp6298 +a(g240 +VItem +p6299 +tp6300 +a(g212 +V +tp6301 +a(g259 +V"Fetching network info" +p6302 +tp6303 +a(g212 +V\u000a +tp6304 +a(g212 +V +tp6305 +a(g266 +V\u005c& +p6306 +tp6307 +a(g266 +V\u005cf +p6308 +tp6309 +a(g212 +V(CW +p6310 +tp6311 +a(g266 +V\u005c* +p6312 +tp6313 +a(g212 +V(C`endprotoent +p6314 +tp6315 +a(g266 +V\u005c* +p6316 +tp6317 +a(g212 +V(C' +p6318 +tp6319 +a(g266 +V\u005cfR +p6320 +tp6321 +a(g212 +V, +p6322 +tp6323 +a(g266 +V\u005cf +p6324 +tp6325 +a(g212 +V(CW +p6326 +tp6327 +a(g266 +V\u005c* +p6328 +tp6329 +a(g212 +V(C`endservent +p6330 +tp6331 +a(g266 +V\u005c* +p6332 +tp6333 +a(g212 +V(C' +p6334 +tp6335 +a(g266 +V\u005cfR +p6336 +tp6337 +a(g212 +V, +p6338 +tp6339 +a(g266 +V\u005cf +p6340 +tp6341 +a(g212 +V(CW +p6342 +tp6343 +a(g266 +V\u005c* +p6344 +tp6345 +a(g212 +V(C`gethostbyaddr +p6346 +tp6347 +a(g266 +V\u005c* +p6348 +tp6349 +a(g212 +V(C' +p6350 +tp6351 +a(g266 +V\u005cfR +p6352 +tp6353 +a(g212 +V, +p6354 +tp6355 +a(g266 +V\u005cf +p6356 +tp6357 +a(g212 +V(CW +p6358 +tp6359 +a(g266 +V\u005c* +p6360 +tp6361 +a(g212 +V(C`gethostbyname +p6362 +tp6363 +a(g266 +V\u005c* +p6364 +tp6365 +a(g212 +V(C' +p6366 +tp6367 +a(g266 +V\u005cfR +p6368 +tp6369 +a(g212 +V, +tp6370 +a(g212 +V\u000a +tp6371 +a(g212 +V +tp6372 +a(g266 +V\u005c& +p6373 +tp6374 +a(g266 +V\u005cf +p6375 +tp6376 +a(g212 +V(CW +p6377 +tp6378 +a(g266 +V\u005c* +p6379 +tp6380 +a(g212 +V(C`gethostent +p6381 +tp6382 +a(g266 +V\u005c* +p6383 +tp6384 +a(g212 +V(C' +p6385 +tp6386 +a(g266 +V\u005cfR +p6387 +tp6388 +a(g212 +V, +p6389 +tp6390 +a(g266 +V\u005cf +p6391 +tp6392 +a(g212 +V(CW +p6393 +tp6394 +a(g266 +V\u005c* +p6395 +tp6396 +a(g212 +V(C`getnetbyaddr +p6397 +tp6398 +a(g266 +V\u005c* +p6399 +tp6400 +a(g212 +V(C' +p6401 +tp6402 +a(g266 +V\u005cfR +p6403 +tp6404 +a(g212 +V, +p6405 +tp6406 +a(g266 +V\u005cf +p6407 +tp6408 +a(g212 +V(CW +p6409 +tp6410 +a(g266 +V\u005c* +p6411 +tp6412 +a(g212 +V(C`getnetbyname +p6413 +tp6414 +a(g266 +V\u005c* +p6415 +tp6416 +a(g212 +V(C' +p6417 +tp6418 +a(g266 +V\u005cfR +p6419 +tp6420 +a(g212 +V, +p6421 +tp6422 +a(g266 +V\u005cf +p6423 +tp6424 +a(g212 +V(CW +p6425 +tp6426 +a(g266 +V\u005c* +p6427 +tp6428 +a(g212 +V(C`getnetent +p6429 +tp6430 +a(g266 +V\u005c* +p6431 +tp6432 +a(g212 +V(C' +p6433 +tp6434 +a(g266 +V\u005cfR +p6435 +tp6436 +a(g212 +V, +tp6437 +a(g212 +V\u000a +tp6438 +a(g212 +V +tp6439 +a(g266 +V\u005c& +p6440 +tp6441 +a(g266 +V\u005cf +p6442 +tp6443 +a(g212 +V(CW +p6444 +tp6445 +a(g266 +V\u005c* +p6446 +tp6447 +a(g212 +V(C`getprotobyname +p6448 +tp6449 +a(g266 +V\u005c* +p6450 +tp6451 +a(g212 +V(C' +p6452 +tp6453 +a(g266 +V\u005cfR +p6454 +tp6455 +a(g212 +V, +p6456 +tp6457 +a(g266 +V\u005cf +p6458 +tp6459 +a(g212 +V(CW +p6460 +tp6461 +a(g266 +V\u005c* +p6462 +tp6463 +a(g212 +V(C`getprotobynumber +p6464 +tp6465 +a(g266 +V\u005c* +p6466 +tp6467 +a(g212 +V(C' +p6468 +tp6469 +a(g266 +V\u005cfR +p6470 +tp6471 +a(g212 +V, +p6472 +tp6473 +a(g266 +V\u005cf +p6474 +tp6475 +a(g212 +V(CW +p6476 +tp6477 +a(g266 +V\u005c* +p6478 +tp6479 +a(g212 +V(C`getprotoent +p6480 +tp6481 +a(g266 +V\u005c* +p6482 +tp6483 +a(g212 +V(C' +p6484 +tp6485 +a(g266 +V\u005cfR +p6486 +tp6487 +a(g212 +V, +tp6488 +a(g212 +V\u000a +tp6489 +a(g212 +V +tp6490 +a(g266 +V\u005c& +p6491 +tp6492 +a(g266 +V\u005cf +p6493 +tp6494 +a(g212 +V(CW +p6495 +tp6496 +a(g266 +V\u005c* +p6497 +tp6498 +a(g212 +V(C`getservbyname +p6499 +tp6500 +a(g266 +V\u005c* +p6501 +tp6502 +a(g212 +V(C' +p6503 +tp6504 +a(g266 +V\u005cfR +p6505 +tp6506 +a(g212 +V, +p6507 +tp6508 +a(g266 +V\u005cf +p6509 +tp6510 +a(g212 +V(CW +p6511 +tp6512 +a(g266 +V\u005c* +p6513 +tp6514 +a(g212 +V(C`getservbyport +p6515 +tp6516 +a(g266 +V\u005c* +p6517 +tp6518 +a(g212 +V(C' +p6519 +tp6520 +a(g266 +V\u005cfR +p6521 +tp6522 +a(g212 +V, +p6523 +tp6524 +a(g266 +V\u005cf +p6525 +tp6526 +a(g212 +V(CW +p6527 +tp6528 +a(g266 +V\u005c* +p6529 +tp6530 +a(g212 +V(C`getservent +p6531 +tp6532 +a(g266 +V\u005c* +p6533 +tp6534 +a(g212 +V(C' +p6535 +tp6536 +a(g266 +V\u005cfR +p6537 +tp6538 +a(g212 +V, +p6539 +tp6540 +a(g266 +V\u005cf +p6541 +tp6542 +a(g212 +V(CW +p6543 +tp6544 +a(g266 +V\u005c* +p6545 +tp6546 +a(g212 +V(C`sethostent +p6547 +tp6548 +a(g266 +V\u005c* +p6549 +tp6550 +a(g212 +V(C' +p6551 +tp6552 +a(g266 +V\u005cfR +p6553 +tp6554 +a(g212 +V, +tp6555 +a(g212 +V\u000a +tp6556 +a(g212 +V +tp6557 +a(g266 +V\u005c& +p6558 +tp6559 +a(g266 +V\u005cf +p6560 +tp6561 +a(g212 +V(CW +p6562 +tp6563 +a(g266 +V\u005c* +p6564 +tp6565 +a(g212 +V(C`setnetent +p6566 +tp6567 +a(g266 +V\u005c* +p6568 +tp6569 +a(g212 +V(C' +p6570 +tp6571 +a(g266 +V\u005cfR +p6572 +tp6573 +a(g212 +V, +p6574 +tp6575 +a(g266 +V\u005cf +p6576 +tp6577 +a(g212 +V(CW +p6578 +tp6579 +a(g266 +V\u005c* +p6580 +tp6581 +a(g212 +V(C`setprotoent +p6582 +tp6583 +a(g266 +V\u005c* +p6584 +tp6585 +a(g212 +V(C' +p6586 +tp6587 +a(g266 +V\u005cfR +p6588 +tp6589 +a(g212 +V, +p6590 +tp6591 +a(g266 +V\u005cf +p6592 +tp6593 +a(g212 +V(CW +p6594 +tp6595 +a(g266 +V\u005c* +p6596 +tp6597 +a(g212 +V(C`setservent +p6598 +tp6599 +a(g266 +V\u005c* +p6600 +tp6601 +a(g212 +V(C' +p6602 +tp6603 +a(g266 +V\u005cfR +p6604 +tp6605 +a(g212 +V\u000a +tp6606 +a(g212 +V. +tp6607 +a(g134 +VIP +p6608 +tp6609 +a(g212 +V +tp6610 +a(g259 +V"Time-related functions" +p6611 +tp6612 +a(g212 +V +tp6613 +a(g227 +V4 +tp6614 +a(g212 +V\u000a +tp6615 +a(g212 +V. +tp6616 +a(g134 +VIX +p6617 +tp6618 +a(g212 +V +tp6619 +a(g240 +VXref +p6620 +tp6621 +a(g212 +V +tp6622 +a(g259 +V"time date" +p6623 +tp6624 +a(g212 +V\u000a +tp6625 +a(g212 +V. +tp6626 +a(g134 +VIX +p6627 +tp6628 +a(g212 +V +tp6629 +a(g240 +VItem +p6630 +tp6631 +a(g212 +V +tp6632 +a(g259 +V"Time-related functions" +p6633 +tp6634 +a(g212 +V\u000a +tp6635 +a(g212 +V +tp6636 +a(g266 +V\u005c& +p6637 +tp6638 +a(g266 +V\u005cf +p6639 +tp6640 +a(g212 +V(CW +p6641 +tp6642 +a(g266 +V\u005c* +p6643 +tp6644 +a(g212 +V(C`gmtime +p6645 +tp6646 +a(g266 +V\u005c* +p6647 +tp6648 +a(g212 +V(C' +p6649 +tp6650 +a(g266 +V\u005cfR +p6651 +tp6652 +a(g212 +V, +p6653 +tp6654 +a(g266 +V\u005cf +p6655 +tp6656 +a(g212 +V(CW +p6657 +tp6658 +a(g266 +V\u005c* +p6659 +tp6660 +a(g212 +V(C`localtime +p6661 +tp6662 +a(g266 +V\u005c* +p6663 +tp6664 +a(g212 +V(C' +p6665 +tp6666 +a(g266 +V\u005cfR +p6667 +tp6668 +a(g212 +V, +p6669 +tp6670 +a(g266 +V\u005cf +p6671 +tp6672 +a(g212 +V(CW +p6673 +tp6674 +a(g266 +V\u005c* +p6675 +tp6676 +a(g212 +V(C`time +p6677 +tp6678 +a(g266 +V\u005c* +p6679 +tp6680 +a(g212 +V(C' +p6681 +tp6682 +a(g266 +V\u005cfR +p6683 +tp6684 +a(g212 +V, +p6685 +tp6686 +a(g266 +V\u005cf +p6687 +tp6688 +a(g212 +V(CW +p6689 +tp6690 +a(g266 +V\u005c* +p6691 +tp6692 +a(g212 +V(C`times +p6693 +tp6694 +a(g266 +V\u005c* +p6695 +tp6696 +a(g212 +V(C' +p6697 +tp6698 +a(g266 +V\u005cfR +p6699 +tp6700 +a(g212 +V\u000a +tp6701 +a(g212 +V. +tp6702 +a(g134 +VIP +p6703 +tp6704 +a(g212 +V +tp6705 +a(g259 +V"Functions new in perl5" +p6706 +tp6707 +a(g212 +V +tp6708 +a(g227 +V4 +tp6709 +a(g212 +V\u000a +tp6710 +a(g212 +V. +tp6711 +a(g134 +VIX +p6712 +tp6713 +a(g212 +V +tp6714 +a(g240 +VXref +p6715 +tp6716 +a(g212 +V +tp6717 +a(g259 +V"perl5" +p6718 +tp6719 +a(g212 +V\u000a +tp6720 +a(g212 +V. +tp6721 +a(g134 +VIX +p6722 +tp6723 +a(g212 +V +tp6724 +a(g240 +VItem +p6725 +tp6726 +a(g212 +V +tp6727 +a(g259 +V"Functions new in perl5" +p6728 +tp6729 +a(g212 +V\u000a +tp6730 +a(g212 +V +tp6731 +a(g266 +V\u005c& +p6732 +tp6733 +a(g266 +V\u005cf +p6734 +tp6735 +a(g212 +V(CW +p6736 +tp6737 +a(g266 +V\u005c* +p6738 +tp6739 +a(g212 +V(C`abs +p6740 +tp6741 +a(g266 +V\u005c* +p6742 +tp6743 +a(g212 +V(C' +p6744 +tp6745 +a(g266 +V\u005cfR +p6746 +tp6747 +a(g212 +V, +p6748 +tp6749 +a(g266 +V\u005cf +p6750 +tp6751 +a(g212 +V(CW +p6752 +tp6753 +a(g266 +V\u005c* +p6754 +tp6755 +a(g212 +V(C`bless +p6756 +tp6757 +a(g266 +V\u005c* +p6758 +tp6759 +a(g212 +V(C' +p6760 +tp6761 +a(g266 +V\u005cfR +p6762 +tp6763 +a(g212 +V, +p6764 +tp6765 +a(g266 +V\u005cf +p6766 +tp6767 +a(g212 +V(CW +p6768 +tp6769 +a(g266 +V\u005c* +p6770 +tp6771 +a(g212 +V(C`chomp +p6772 +tp6773 +a(g266 +V\u005c* +p6774 +tp6775 +a(g212 +V(C' +p6776 +tp6777 +a(g266 +V\u005cfR +p6778 +tp6779 +a(g212 +V, +p6780 +tp6781 +a(g266 +V\u005cf +p6782 +tp6783 +a(g212 +V(CW +p6784 +tp6785 +a(g266 +V\u005c* +p6786 +tp6787 +a(g212 +V(C`chr +p6788 +tp6789 +a(g266 +V\u005c* +p6790 +tp6791 +a(g212 +V(C' +p6792 +tp6793 +a(g266 +V\u005cfR +p6794 +tp6795 +a(g212 +V, +p6796 +tp6797 +a(g266 +V\u005cf +p6798 +tp6799 +a(g212 +V(CW +p6800 +tp6801 +a(g266 +V\u005c* +p6802 +tp6803 +a(g212 +V(C`exists +p6804 +tp6805 +a(g266 +V\u005c* +p6806 +tp6807 +a(g212 +V(C' +p6808 +tp6809 +a(g266 +V\u005cfR +p6810 +tp6811 +a(g212 +V, +p6812 +tp6813 +a(g266 +V\u005cf +p6814 +tp6815 +a(g212 +V(CW +p6816 +tp6817 +a(g266 +V\u005c* +p6818 +tp6819 +a(g212 +V(C`formline +p6820 +tp6821 +a(g266 +V\u005c* +p6822 +tp6823 +a(g212 +V(C' +p6824 +tp6825 +a(g266 +V\u005cfR +p6826 +tp6827 +a(g212 +V, +p6828 +tp6829 +a(g266 +V\u005cf +p6830 +tp6831 +a(g212 +V(CW +p6832 +tp6833 +a(g266 +V\u005c* +p6834 +tp6835 +a(g212 +V(C`glob +p6836 +tp6837 +a(g266 +V\u005c* +p6838 +tp6839 +a(g212 +V(C' +p6840 +tp6841 +a(g266 +V\u005cfR +p6842 +tp6843 +a(g212 +V, +tp6844 +a(g212 +V\u000a +tp6845 +a(g212 +V +tp6846 +a(g266 +V\u005c& +p6847 +tp6848 +a(g266 +V\u005cf +p6849 +tp6850 +a(g212 +V(CW +p6851 +tp6852 +a(g266 +V\u005c* +p6853 +tp6854 +a(g212 +V(C`import +p6855 +tp6856 +a(g266 +V\u005c* +p6857 +tp6858 +a(g212 +V(C' +p6859 +tp6860 +a(g266 +V\u005cfR +p6861 +tp6862 +a(g212 +V, +p6863 +tp6864 +a(g266 +V\u005cf +p6865 +tp6866 +a(g212 +V(CW +p6867 +tp6868 +a(g266 +V\u005c* +p6869 +tp6870 +a(g212 +V(C`lc +p6871 +tp6872 +a(g266 +V\u005c* +p6873 +tp6874 +a(g212 +V(C' +p6875 +tp6876 +a(g266 +V\u005cfR +p6877 +tp6878 +a(g212 +V, +p6879 +tp6880 +a(g266 +V\u005cf +p6881 +tp6882 +a(g212 +V(CW +p6883 +tp6884 +a(g266 +V\u005c* +p6885 +tp6886 +a(g212 +V(C`lcfirst +p6887 +tp6888 +a(g266 +V\u005c* +p6889 +tp6890 +a(g212 +V(C' +p6891 +tp6892 +a(g266 +V\u005cfR +p6893 +tp6894 +a(g212 +V, +p6895 +tp6896 +a(g266 +V\u005cf +p6897 +tp6898 +a(g212 +V(CW +p6899 +tp6900 +a(g266 +V\u005c* +p6901 +tp6902 +a(g212 +V(C`map +p6903 +tp6904 +a(g266 +V\u005c* +p6905 +tp6906 +a(g212 +V(C' +p6907 +tp6908 +a(g266 +V\u005cfR +p6909 +tp6910 +a(g212 +V, +p6911 +tp6912 +a(g266 +V\u005cf +p6913 +tp6914 +a(g212 +V(CW +p6915 +tp6916 +a(g266 +V\u005c* +p6917 +tp6918 +a(g212 +V(C`my +p6919 +tp6920 +a(g266 +V\u005c* +p6921 +tp6922 +a(g212 +V(C' +p6923 +tp6924 +a(g266 +V\u005cfR +p6925 +tp6926 +a(g212 +V, +p6927 +tp6928 +a(g266 +V\u005cf +p6929 +tp6930 +a(g212 +V(CW +p6931 +tp6932 +a(g266 +V\u005c* +p6933 +tp6934 +a(g212 +V(C`no +p6935 +tp6936 +a(g266 +V\u005c* +p6937 +tp6938 +a(g212 +V(C' +p6939 +tp6940 +a(g266 +V\u005cfR +p6941 +tp6942 +a(g212 +V, +p6943 +tp6944 +a(g266 +V\u005cf +p6945 +tp6946 +a(g212 +V(CW +p6947 +tp6948 +a(g266 +V\u005c* +p6949 +tp6950 +a(g212 +V(C`our +p6951 +tp6952 +a(g266 +V\u005c* +p6953 +tp6954 +a(g212 +V(C' +p6955 +tp6956 +a(g266 +V\u005cfR +p6957 +tp6958 +a(g212 +V, +p6959 +tp6960 +a(g266 +V\u005cf +p6961 +tp6962 +a(g212 +V(CW +p6963 +tp6964 +a(g266 +V\u005c* +p6965 +tp6966 +a(g212 +V(C`prototype +p6967 +tp6968 +a(g266 +V\u005c* +p6969 +tp6970 +a(g212 +V(C' +p6971 +tp6972 +a(g266 +V\u005cfR +p6973 +tp6974 +a(g212 +V, +tp6975 +a(g212 +V\u000a +tp6976 +a(g212 +V +tp6977 +a(g266 +V\u005c& +p6978 +tp6979 +a(g266 +V\u005cf +p6980 +tp6981 +a(g212 +V(CW +p6982 +tp6983 +a(g266 +V\u005c* +p6984 +tp6985 +a(g212 +V(C`qx +p6986 +tp6987 +a(g266 +V\u005c* +p6988 +tp6989 +a(g212 +V(C' +p6990 +tp6991 +a(g266 +V\u005cfR +p6992 +tp6993 +a(g212 +V, +p6994 +tp6995 +a(g266 +V\u005cf +p6996 +tp6997 +a(g212 +V(CW +p6998 +tp6999 +a(g266 +V\u005c* +p7000 +tp7001 +a(g212 +V(C`qw +p7002 +tp7003 +a(g266 +V\u005c* +p7004 +tp7005 +a(g212 +V(C' +p7006 +tp7007 +a(g266 +V\u005cfR +p7008 +tp7009 +a(g212 +V, +p7010 +tp7011 +a(g266 +V\u005cf +p7012 +tp7013 +a(g212 +V(CW +p7014 +tp7015 +a(g266 +V\u005c* +p7016 +tp7017 +a(g212 +V(C`readline +p7018 +tp7019 +a(g266 +V\u005c* +p7020 +tp7021 +a(g212 +V(C' +p7022 +tp7023 +a(g266 +V\u005cfR +p7024 +tp7025 +a(g212 +V, +p7026 +tp7027 +a(g266 +V\u005cf +p7028 +tp7029 +a(g212 +V(CW +p7030 +tp7031 +a(g266 +V\u005c* +p7032 +tp7033 +a(g212 +V(C`readpipe +p7034 +tp7035 +a(g266 +V\u005c* +p7036 +tp7037 +a(g212 +V(C' +p7038 +tp7039 +a(g266 +V\u005cfR +p7040 +tp7041 +a(g212 +V, +p7042 +tp7043 +a(g266 +V\u005cf +p7044 +tp7045 +a(g212 +V(CW +p7046 +tp7047 +a(g266 +V\u005c* +p7048 +tp7049 +a(g212 +V(C`ref +p7050 +tp7051 +a(g266 +V\u005c* +p7052 +tp7053 +a(g212 +V(C' +p7054 +tp7055 +a(g266 +V\u005cfR +p7056 +tp7057 +a(g212 +V, +p7058 +tp7059 +a(g266 +V\u005cf +p7060 +tp7061 +a(g212 +V(CW +p7062 +tp7063 +a(g266 +V\u005c* +p7064 +tp7065 +a(g212 +V(C`sub* +p7066 +tp7067 +a(g266 +V\u005c* +p7068 +tp7069 +a(g212 +V(C' +p7070 +tp7071 +a(g266 +V\u005cfR +p7072 +tp7073 +a(g212 +V, +p7074 +tp7075 +a(g266 +V\u005cf +p7076 +tp7077 +a(g212 +V(CW +p7078 +tp7079 +a(g266 +V\u005c* +p7080 +tp7081 +a(g212 +V(C`sysopen +p7082 +tp7083 +a(g266 +V\u005c* +p7084 +tp7085 +a(g212 +V(C' +p7086 +tp7087 +a(g266 +V\u005cfR +p7088 +tp7089 +a(g212 +V, +p7090 +tp7091 +a(g266 +V\u005cf +p7092 +tp7093 +a(g212 +V(CW +p7094 +tp7095 +a(g266 +V\u005c* +p7096 +tp7097 +a(g212 +V(C`tie +p7098 +tp7099 +a(g266 +V\u005c* +p7100 +tp7101 +a(g212 +V(C' +p7102 +tp7103 +a(g266 +V\u005cfR +p7104 +tp7105 +a(g212 +V, +tp7106 +a(g212 +V\u000a +tp7107 +a(g212 +V +tp7108 +a(g266 +V\u005c& +p7109 +tp7110 +a(g266 +V\u005cf +p7111 +tp7112 +a(g212 +V(CW +p7113 +tp7114 +a(g266 +V\u005c* +p7115 +tp7116 +a(g212 +V(C`tied +p7117 +tp7118 +a(g266 +V\u005c* +p7119 +tp7120 +a(g212 +V(C' +p7121 +tp7122 +a(g266 +V\u005cfR +p7123 +tp7124 +a(g212 +V, +p7125 +tp7126 +a(g266 +V\u005cf +p7127 +tp7128 +a(g212 +V(CW +p7129 +tp7130 +a(g266 +V\u005c* +p7131 +tp7132 +a(g212 +V(C`uc +p7133 +tp7134 +a(g266 +V\u005c* +p7135 +tp7136 +a(g212 +V(C' +p7137 +tp7138 +a(g266 +V\u005cfR +p7139 +tp7140 +a(g212 +V, +p7141 +tp7142 +a(g266 +V\u005cf +p7143 +tp7144 +a(g212 +V(CW +p7145 +tp7146 +a(g266 +V\u005c* +p7147 +tp7148 +a(g212 +V(C`ucfirst +p7149 +tp7150 +a(g266 +V\u005c* +p7151 +tp7152 +a(g212 +V(C' +p7153 +tp7154 +a(g266 +V\u005cfR +p7155 +tp7156 +a(g212 +V, +p7157 +tp7158 +a(g266 +V\u005cf +p7159 +tp7160 +a(g212 +V(CW +p7161 +tp7162 +a(g266 +V\u005c* +p7163 +tp7164 +a(g212 +V(C`untie +p7165 +tp7166 +a(g266 +V\u005c* +p7167 +tp7168 +a(g212 +V(C' +p7169 +tp7170 +a(g266 +V\u005cfR +p7171 +tp7172 +a(g212 +V, +p7173 +tp7174 +a(g266 +V\u005cf +p7175 +tp7176 +a(g212 +V(CW +p7177 +tp7178 +a(g266 +V\u005c* +p7179 +tp7180 +a(g212 +V(C`use +p7181 +tp7182 +a(g266 +V\u005c* +p7183 +tp7184 +a(g212 +V(C' +p7185 +tp7186 +a(g266 +V\u005cfR +p7187 +tp7188 +a(g212 +V\u000a +tp7189 +a(g212 +V. +tp7190 +a(g134 +VSp +p7191 +tp7192 +a(g212 +V\u000a +tp7193 +a(g212 +V* +p7194 +tp7195 +a(g266 +V\u005c- +p7196 +tp7197 +a(g212 +V +tp7198 +a(g266 +V\u005cf +p7199 +tp7200 +a(g212 +V(CW +p7201 +tp7202 +a(g266 +V\u005c* +p7203 +tp7204 +a(g212 +V(C`sub +p7205 +tp7206 +a(g266 +V\u005c* +p7207 +tp7208 +a(g212 +V(C' +p7209 +tp7210 +a(g266 +V\u005cfR +p7211 +tp7212 +a(g212 +V was a keyword in perl4, but in perl5 it is an +p7213 +tp7214 +a(g212 +V\u000a +tp7215 +a(g212 +Voperator, which can be used in expressions. +p7216 +tp7217 +a(g212 +V\u000a +tp7218 +a(g212 +V. +tp7219 +a(g134 +VIP +p7220 +tp7221 +a(g212 +V +tp7222 +a(g259 +V"Functions obsoleted in perl5" +p7223 +tp7224 +a(g212 +V +tp7225 +a(g227 +V4 +tp7226 +a(g212 +V\u000a +tp7227 +a(g212 +V. +tp7228 +a(g134 +VIX +p7229 +tp7230 +a(g212 +V +tp7231 +a(g240 +VItem +p7232 +tp7233 +a(g212 +V +tp7234 +a(g259 +V"Functions obsoleted in perl5" +p7235 +tp7236 +a(g212 +V\u000a +tp7237 +a(g212 +V +tp7238 +a(g266 +V\u005c& +p7239 +tp7240 +a(g266 +V\u005cf +p7241 +tp7242 +a(g212 +V(CW +p7243 +tp7244 +a(g266 +V\u005c* +p7245 +tp7246 +a(g212 +V(C`dbmclose +p7247 +tp7248 +a(g266 +V\u005c* +p7249 +tp7250 +a(g212 +V(C' +p7251 +tp7252 +a(g266 +V\u005cfR +p7253 +tp7254 +a(g212 +V, +p7255 +tp7256 +a(g266 +V\u005cf +p7257 +tp7258 +a(g212 +V(CW +p7259 +tp7260 +a(g266 +V\u005c* +p7261 +tp7262 +a(g212 +V(C`dbmopen +p7263 +tp7264 +a(g266 +V\u005c* +p7265 +tp7266 +a(g212 +V(C' +p7267 +tp7268 +a(g266 +V\u005cfR +p7269 +tp7270 +a(g212 +V\u000a +tp7271 +a(g212 +V. +tp7272 +a(g134 +VSh +p7273 +tp7274 +a(g212 +V +tp7275 +a(g259 +V"Portability" +p7276 +tp7277 +a(g212 +V\u000a +tp7278 +a(g212 +V. +tp7279 +a(g134 +VIX +p7280 +tp7281 +a(g212 +V +tp7282 +a(g240 +VXref +p7283 +tp7284 +a(g212 +V +tp7285 +a(g259 +V"portability Unix portable" +p7286 +tp7287 +a(g212 +V\u000a +tp7288 +a(g212 +V. +tp7289 +a(g134 +VIX +p7290 +tp7291 +a(g212 +V +tp7292 +a(g240 +VSubsection +p7293 +tp7294 +a(g212 +V +tp7295 +a(g259 +V"Portability" +p7296 +tp7297 +a(g212 +V\u000a +tp7298 +a(g212 +VPerl was born in Unix and can therefore access all common Unix +p7299 +tp7300 +a(g212 +V\u000a +tp7301 +a(g212 +Vsystem calls. In non-Unix environments, the functionality of some +p7302 +tp7303 +a(g212 +V\u000a +tp7304 +a(g212 +VUnix system calls may not be available, or details of the available +p7305 +tp7306 +a(g212 +V\u000a +tp7307 +a(g212 +Vfunctionality may differ slightly. The Perl functions affected +p7308 +tp7309 +a(g212 +V\u000a +tp7310 +a(g212 +Vby this are: +p7311 +tp7312 +a(g212 +V\u000a +tp7313 +a(g212 +V. +tp7314 +a(g134 +VPP +p7315 +tp7316 +a(g212 +V\u000a +tp7317 +a(g212 +V +tp7318 +a(g266 +V\u005c& +p7319 +tp7320 +a(g266 +V\u005cf +p7321 +tp7322 +a(g212 +V(CW +p7323 +tp7324 +a(g266 +V\u005c* +p7325 +tp7326 +a(g212 +V(C` +p7327 +tp7328 +a(g266 +V\u005c- +p7329 +tp7330 +a(g212 +VX +tp7331 +a(g266 +V\u005c* +p7332 +tp7333 +a(g212 +V(C' +p7334 +tp7335 +a(g266 +V\u005cfR +p7336 +tp7337 +a(g212 +V, +p7338 +tp7339 +a(g266 +V\u005cf +p7340 +tp7341 +a(g212 +V(CW +p7342 +tp7343 +a(g266 +V\u005c* +p7344 +tp7345 +a(g212 +V(C`binmode +p7346 +tp7347 +a(g266 +V\u005c* +p7348 +tp7349 +a(g212 +V(C' +p7350 +tp7351 +a(g266 +V\u005cfR +p7352 +tp7353 +a(g212 +V, +p7354 +tp7355 +a(g266 +V\u005cf +p7356 +tp7357 +a(g212 +V(CW +p7358 +tp7359 +a(g266 +V\u005c* +p7360 +tp7361 +a(g212 +V(C`chmod +p7362 +tp7363 +a(g266 +V\u005c* +p7364 +tp7365 +a(g212 +V(C' +p7366 +tp7367 +a(g266 +V\u005cfR +p7368 +tp7369 +a(g212 +V, +p7370 +tp7371 +a(g266 +V\u005cf +p7372 +tp7373 +a(g212 +V(CW +p7374 +tp7375 +a(g266 +V\u005c* +p7376 +tp7377 +a(g212 +V(C`chown +p7378 +tp7379 +a(g266 +V\u005c* +p7380 +tp7381 +a(g212 +V(C' +p7382 +tp7383 +a(g266 +V\u005cfR +p7384 +tp7385 +a(g212 +V, +p7386 +tp7387 +a(g266 +V\u005cf +p7388 +tp7389 +a(g212 +V(CW +p7390 +tp7391 +a(g266 +V\u005c* +p7392 +tp7393 +a(g212 +V(C`chroot +p7394 +tp7395 +a(g266 +V\u005c* +p7396 +tp7397 +a(g212 +V(C' +p7398 +tp7399 +a(g266 +V\u005cfR +p7400 +tp7401 +a(g212 +V, +p7402 +tp7403 +a(g266 +V\u005cf +p7404 +tp7405 +a(g212 +V(CW +p7406 +tp7407 +a(g266 +V\u005c* +p7408 +tp7409 +a(g212 +V(C`crypt +p7410 +tp7411 +a(g266 +V\u005c* +p7412 +tp7413 +a(g212 +V(C' +p7414 +tp7415 +a(g266 +V\u005cfR +p7416 +tp7417 +a(g212 +V, +tp7418 +a(g212 +V\u000a +tp7419 +a(g212 +V +tp7420 +a(g266 +V\u005c& +p7421 +tp7422 +a(g266 +V\u005cf +p7423 +tp7424 +a(g212 +V(CW +p7425 +tp7426 +a(g266 +V\u005c* +p7427 +tp7428 +a(g212 +V(C`dbmclose +p7429 +tp7430 +a(g266 +V\u005c* +p7431 +tp7432 +a(g212 +V(C' +p7433 +tp7434 +a(g266 +V\u005cfR +p7435 +tp7436 +a(g212 +V, +p7437 +tp7438 +a(g266 +V\u005cf +p7439 +tp7440 +a(g212 +V(CW +p7441 +tp7442 +a(g266 +V\u005c* +p7443 +tp7444 +a(g212 +V(C`dbmopen +p7445 +tp7446 +a(g266 +V\u005c* +p7447 +tp7448 +a(g212 +V(C' +p7449 +tp7450 +a(g266 +V\u005cfR +p7451 +tp7452 +a(g212 +V, +p7453 +tp7454 +a(g266 +V\u005cf +p7455 +tp7456 +a(g212 +V(CW +p7457 +tp7458 +a(g266 +V\u005c* +p7459 +tp7460 +a(g212 +V(C`dump +p7461 +tp7462 +a(g266 +V\u005c* +p7463 +tp7464 +a(g212 +V(C' +p7465 +tp7466 +a(g266 +V\u005cfR +p7467 +tp7468 +a(g212 +V, +p7469 +tp7470 +a(g266 +V\u005cf +p7471 +tp7472 +a(g212 +V(CW +p7473 +tp7474 +a(g266 +V\u005c* +p7475 +tp7476 +a(g212 +V(C`endgrent +p7477 +tp7478 +a(g266 +V\u005c* +p7479 +tp7480 +a(g212 +V(C' +p7481 +tp7482 +a(g266 +V\u005cfR +p7483 +tp7484 +a(g212 +V, +p7485 +tp7486 +a(g266 +V\u005cf +p7487 +tp7488 +a(g212 +V(CW +p7489 +tp7490 +a(g266 +V\u005c* +p7491 +tp7492 +a(g212 +V(C`endhostent +p7493 +tp7494 +a(g266 +V\u005c* +p7495 +tp7496 +a(g212 +V(C' +p7497 +tp7498 +a(g266 +V\u005cfR +p7499 +tp7500 +a(g212 +V, +tp7501 +a(g212 +V\u000a +tp7502 +a(g212 +V +tp7503 +a(g266 +V\u005c& +p7504 +tp7505 +a(g266 +V\u005cf +p7506 +tp7507 +a(g212 +V(CW +p7508 +tp7509 +a(g266 +V\u005c* +p7510 +tp7511 +a(g212 +V(C`endnetent +p7512 +tp7513 +a(g266 +V\u005c* +p7514 +tp7515 +a(g212 +V(C' +p7516 +tp7517 +a(g266 +V\u005cfR +p7518 +tp7519 +a(g212 +V, +p7520 +tp7521 +a(g266 +V\u005cf +p7522 +tp7523 +a(g212 +V(CW +p7524 +tp7525 +a(g266 +V\u005c* +p7526 +tp7527 +a(g212 +V(C`endprotoent +p7528 +tp7529 +a(g266 +V\u005c* +p7530 +tp7531 +a(g212 +V(C' +p7532 +tp7533 +a(g266 +V\u005cfR +p7534 +tp7535 +a(g212 +V, +p7536 +tp7537 +a(g266 +V\u005cf +p7538 +tp7539 +a(g212 +V(CW +p7540 +tp7541 +a(g266 +V\u005c* +p7542 +tp7543 +a(g212 +V(C`endpwent +p7544 +tp7545 +a(g266 +V\u005c* +p7546 +tp7547 +a(g212 +V(C' +p7548 +tp7549 +a(g266 +V\u005cfR +p7550 +tp7551 +a(g212 +V, +p7552 +tp7553 +a(g266 +V\u005cf +p7554 +tp7555 +a(g212 +V(CW +p7556 +tp7557 +a(g266 +V\u005c* +p7558 +tp7559 +a(g212 +V(C`endservent +p7560 +tp7561 +a(g266 +V\u005c* +p7562 +tp7563 +a(g212 +V(C' +p7564 +tp7565 +a(g266 +V\u005cfR +p7566 +tp7567 +a(g212 +V, +p7568 +tp7569 +a(g266 +V\u005cf +p7570 +tp7571 +a(g212 +V(CW +p7572 +tp7573 +a(g266 +V\u005c* +p7574 +tp7575 +a(g212 +V(C`exec +p7576 +tp7577 +a(g266 +V\u005c* +p7578 +tp7579 +a(g212 +V(C' +p7580 +tp7581 +a(g266 +V\u005cfR +p7582 +tp7583 +a(g212 +V, +tp7584 +a(g212 +V\u000a +tp7585 +a(g212 +V +tp7586 +a(g266 +V\u005c& +p7587 +tp7588 +a(g266 +V\u005cf +p7589 +tp7590 +a(g212 +V(CW +p7591 +tp7592 +a(g266 +V\u005c* +p7593 +tp7594 +a(g212 +V(C`fcntl +p7595 +tp7596 +a(g266 +V\u005c* +p7597 +tp7598 +a(g212 +V(C' +p7599 +tp7600 +a(g266 +V\u005cfR +p7601 +tp7602 +a(g212 +V, +p7603 +tp7604 +a(g266 +V\u005cf +p7605 +tp7606 +a(g212 +V(CW +p7607 +tp7608 +a(g266 +V\u005c* +p7609 +tp7610 +a(g212 +V(C`flock +p7611 +tp7612 +a(g266 +V\u005c* +p7613 +tp7614 +a(g212 +V(C' +p7615 +tp7616 +a(g266 +V\u005cfR +p7617 +tp7618 +a(g212 +V, +p7619 +tp7620 +a(g266 +V\u005cf +p7621 +tp7622 +a(g212 +V(CW +p7623 +tp7624 +a(g266 +V\u005c* +p7625 +tp7626 +a(g212 +V(C`fork +p7627 +tp7628 +a(g266 +V\u005c* +p7629 +tp7630 +a(g212 +V(C' +p7631 +tp7632 +a(g266 +V\u005cfR +p7633 +tp7634 +a(g212 +V, +p7635 +tp7636 +a(g266 +V\u005cf +p7637 +tp7638 +a(g212 +V(CW +p7639 +tp7640 +a(g266 +V\u005c* +p7641 +tp7642 +a(g212 +V(C`getgrent +p7643 +tp7644 +a(g266 +V\u005c* +p7645 +tp7646 +a(g212 +V(C' +p7647 +tp7648 +a(g266 +V\u005cfR +p7649 +tp7650 +a(g212 +V, +p7651 +tp7652 +a(g266 +V\u005cf +p7653 +tp7654 +a(g212 +V(CW +p7655 +tp7656 +a(g266 +V\u005c* +p7657 +tp7658 +a(g212 +V(C`getgrgid +p7659 +tp7660 +a(g266 +V\u005c* +p7661 +tp7662 +a(g212 +V(C' +p7663 +tp7664 +a(g266 +V\u005cfR +p7665 +tp7666 +a(g212 +V, +p7667 +tp7668 +a(g266 +V\u005cf +p7669 +tp7670 +a(g212 +V(CW +p7671 +tp7672 +a(g266 +V\u005c* +p7673 +tp7674 +a(g212 +V(C`gethostbyname +p7675 +tp7676 +a(g266 +V\u005c* +p7677 +tp7678 +a(g212 +V(C' +p7679 +tp7680 +a(g266 +V\u005cfR +p7681 +tp7682 +a(g212 +V, +tp7683 +a(g212 +V\u000a +tp7684 +a(g212 +V +tp7685 +a(g266 +V\u005c& +p7686 +tp7687 +a(g266 +V\u005cf +p7688 +tp7689 +a(g212 +V(CW +p7690 +tp7691 +a(g266 +V\u005c* +p7692 +tp7693 +a(g212 +V(C`gethostent +p7694 +tp7695 +a(g266 +V\u005c* +p7696 +tp7697 +a(g212 +V(C' +p7698 +tp7699 +a(g266 +V\u005cfR +p7700 +tp7701 +a(g212 +V, +p7702 +tp7703 +a(g266 +V\u005cf +p7704 +tp7705 +a(g212 +V(CW +p7706 +tp7707 +a(g266 +V\u005c* +p7708 +tp7709 +a(g212 +V(C`getlogin +p7710 +tp7711 +a(g266 +V\u005c* +p7712 +tp7713 +a(g212 +V(C' +p7714 +tp7715 +a(g266 +V\u005cfR +p7716 +tp7717 +a(g212 +V, +p7718 +tp7719 +a(g266 +V\u005cf +p7720 +tp7721 +a(g212 +V(CW +p7722 +tp7723 +a(g266 +V\u005c* +p7724 +tp7725 +a(g212 +V(C`getnetbyaddr +p7726 +tp7727 +a(g266 +V\u005c* +p7728 +tp7729 +a(g212 +V(C' +p7730 +tp7731 +a(g266 +V\u005cfR +p7732 +tp7733 +a(g212 +V, +p7734 +tp7735 +a(g266 +V\u005cf +p7736 +tp7737 +a(g212 +V(CW +p7738 +tp7739 +a(g266 +V\u005c* +p7740 +tp7741 +a(g212 +V(C`getnetbyname +p7742 +tp7743 +a(g266 +V\u005c* +p7744 +tp7745 +a(g212 +V(C' +p7746 +tp7747 +a(g266 +V\u005cfR +p7748 +tp7749 +a(g212 +V, +p7750 +tp7751 +a(g266 +V\u005cf +p7752 +tp7753 +a(g212 +V(CW +p7754 +tp7755 +a(g266 +V\u005c* +p7756 +tp7757 +a(g212 +V(C`getnetent +p7758 +tp7759 +a(g266 +V\u005c* +p7760 +tp7761 +a(g212 +V(C' +p7762 +tp7763 +a(g266 +V\u005cfR +p7764 +tp7765 +a(g212 +V, +tp7766 +a(g212 +V\u000a +tp7767 +a(g212 +V +tp7768 +a(g266 +V\u005c& +p7769 +tp7770 +a(g266 +V\u005cf +p7771 +tp7772 +a(g212 +V(CW +p7773 +tp7774 +a(g266 +V\u005c* +p7775 +tp7776 +a(g212 +V(C`getppid +p7777 +tp7778 +a(g266 +V\u005c* +p7779 +tp7780 +a(g212 +V(C' +p7781 +tp7782 +a(g266 +V\u005cfR +p7783 +tp7784 +a(g212 +V, +p7785 +tp7786 +a(g266 +V\u005cf +p7787 +tp7788 +a(g212 +V(CW +p7789 +tp7790 +a(g266 +V\u005c* +p7791 +tp7792 +a(g212 +V(C`getpgrp +p7793 +tp7794 +a(g266 +V\u005c* +p7795 +tp7796 +a(g212 +V(C' +p7797 +tp7798 +a(g266 +V\u005cfR +p7799 +tp7800 +a(g212 +V, +p7801 +tp7802 +a(g266 +V\u005cf +p7803 +tp7804 +a(g212 +V(CW +p7805 +tp7806 +a(g266 +V\u005c* +p7807 +tp7808 +a(g212 +V(C`getpriority +p7809 +tp7810 +a(g266 +V\u005c* +p7811 +tp7812 +a(g212 +V(C' +p7813 +tp7814 +a(g266 +V\u005cfR +p7815 +tp7816 +a(g212 +V, +p7817 +tp7818 +a(g266 +V\u005cf +p7819 +tp7820 +a(g212 +V(CW +p7821 +tp7822 +a(g266 +V\u005c* +p7823 +tp7824 +a(g212 +V(C`getprotobynumber +p7825 +tp7826 +a(g266 +V\u005c* +p7827 +tp7828 +a(g212 +V(C' +p7829 +tp7830 +a(g266 +V\u005cfR +p7831 +tp7832 +a(g212 +V, +tp7833 +a(g212 +V\u000a +tp7834 +a(g212 +V +tp7835 +a(g266 +V\u005c& +p7836 +tp7837 +a(g266 +V\u005cf +p7838 +tp7839 +a(g212 +V(CW +p7840 +tp7841 +a(g266 +V\u005c* +p7842 +tp7843 +a(g212 +V(C`getprotoent +p7844 +tp7845 +a(g266 +V\u005c* +p7846 +tp7847 +a(g212 +V(C' +p7848 +tp7849 +a(g266 +V\u005cfR +p7850 +tp7851 +a(g212 +V, +p7852 +tp7853 +a(g266 +V\u005cf +p7854 +tp7855 +a(g212 +V(CW +p7856 +tp7857 +a(g266 +V\u005c* +p7858 +tp7859 +a(g212 +V(C`getpwent +p7860 +tp7861 +a(g266 +V\u005c* +p7862 +tp7863 +a(g212 +V(C' +p7864 +tp7865 +a(g266 +V\u005cfR +p7866 +tp7867 +a(g212 +V, +p7868 +tp7869 +a(g266 +V\u005cf +p7870 +tp7871 +a(g212 +V(CW +p7872 +tp7873 +a(g266 +V\u005c* +p7874 +tp7875 +a(g212 +V(C`getpwnam +p7876 +tp7877 +a(g266 +V\u005c* +p7878 +tp7879 +a(g212 +V(C' +p7880 +tp7881 +a(g266 +V\u005cfR +p7882 +tp7883 +a(g212 +V, +p7884 +tp7885 +a(g266 +V\u005cf +p7886 +tp7887 +a(g212 +V(CW +p7888 +tp7889 +a(g266 +V\u005c* +p7890 +tp7891 +a(g212 +V(C`getpwuid +p7892 +tp7893 +a(g266 +V\u005c* +p7894 +tp7895 +a(g212 +V(C' +p7896 +tp7897 +a(g266 +V\u005cfR +p7898 +tp7899 +a(g212 +V, +tp7900 +a(g212 +V\u000a +tp7901 +a(g212 +V +tp7902 +a(g266 +V\u005c& +p7903 +tp7904 +a(g266 +V\u005cf +p7905 +tp7906 +a(g212 +V(CW +p7907 +tp7908 +a(g266 +V\u005c* +p7909 +tp7910 +a(g212 +V(C`getservbyport +p7911 +tp7912 +a(g266 +V\u005c* +p7913 +tp7914 +a(g212 +V(C' +p7915 +tp7916 +a(g266 +V\u005cfR +p7917 +tp7918 +a(g212 +V, +p7919 +tp7920 +a(g266 +V\u005cf +p7921 +tp7922 +a(g212 +V(CW +p7923 +tp7924 +a(g266 +V\u005c* +p7925 +tp7926 +a(g212 +V(C`getservent +p7927 +tp7928 +a(g266 +V\u005c* +p7929 +tp7930 +a(g212 +V(C' +p7931 +tp7932 +a(g266 +V\u005cfR +p7933 +tp7934 +a(g212 +V, +p7935 +tp7936 +a(g266 +V\u005cf +p7937 +tp7938 +a(g212 +V(CW +p7939 +tp7940 +a(g266 +V\u005c* +p7941 +tp7942 +a(g212 +V(C`getsockopt +p7943 +tp7944 +a(g266 +V\u005c* +p7945 +tp7946 +a(g212 +V(C' +p7947 +tp7948 +a(g266 +V\u005cfR +p7949 +tp7950 +a(g212 +V, +p7951 +tp7952 +a(g266 +V\u005cf +p7953 +tp7954 +a(g212 +V(CW +p7955 +tp7956 +a(g266 +V\u005c* +p7957 +tp7958 +a(g212 +V(C`glob +p7959 +tp7960 +a(g266 +V\u005c* +p7961 +tp7962 +a(g212 +V(C' +p7963 +tp7964 +a(g266 +V\u005cfR +p7965 +tp7966 +a(g212 +V, +p7967 +tp7968 +a(g266 +V\u005cf +p7969 +tp7970 +a(g212 +V(CW +p7971 +tp7972 +a(g266 +V\u005c* +p7973 +tp7974 +a(g212 +V(C`ioctl +p7975 +tp7976 +a(g266 +V\u005c* +p7977 +tp7978 +a(g212 +V(C' +p7979 +tp7980 +a(g266 +V\u005cfR +p7981 +tp7982 +a(g212 +V, +tp7983 +a(g212 +V\u000a +tp7984 +a(g212 +V +tp7985 +a(g266 +V\u005c& +p7986 +tp7987 +a(g266 +V\u005cf +p7988 +tp7989 +a(g212 +V(CW +p7990 +tp7991 +a(g266 +V\u005c* +p7992 +tp7993 +a(g212 +V(C`kill +p7994 +tp7995 +a(g266 +V\u005c* +p7996 +tp7997 +a(g212 +V(C' +p7998 +tp7999 +a(g266 +V\u005cfR +p8000 +tp8001 +a(g212 +V, +p8002 +tp8003 +a(g266 +V\u005cf +p8004 +tp8005 +a(g212 +V(CW +p8006 +tp8007 +a(g266 +V\u005c* +p8008 +tp8009 +a(g212 +V(C`link +p8010 +tp8011 +a(g266 +V\u005c* +p8012 +tp8013 +a(g212 +V(C' +p8014 +tp8015 +a(g266 +V\u005cfR +p8016 +tp8017 +a(g212 +V, +p8018 +tp8019 +a(g266 +V\u005cf +p8020 +tp8021 +a(g212 +V(CW +p8022 +tp8023 +a(g266 +V\u005c* +p8024 +tp8025 +a(g212 +V(C`lstat +p8026 +tp8027 +a(g266 +V\u005c* +p8028 +tp8029 +a(g212 +V(C' +p8030 +tp8031 +a(g266 +V\u005cfR +p8032 +tp8033 +a(g212 +V, +p8034 +tp8035 +a(g266 +V\u005cf +p8036 +tp8037 +a(g212 +V(CW +p8038 +tp8039 +a(g266 +V\u005c* +p8040 +tp8041 +a(g212 +V(C`msgctl +p8042 +tp8043 +a(g266 +V\u005c* +p8044 +tp8045 +a(g212 +V(C' +p8046 +tp8047 +a(g266 +V\u005cfR +p8048 +tp8049 +a(g212 +V, +p8050 +tp8051 +a(g266 +V\u005cf +p8052 +tp8053 +a(g212 +V(CW +p8054 +tp8055 +a(g266 +V\u005c* +p8056 +tp8057 +a(g212 +V(C`msgget +p8058 +tp8059 +a(g266 +V\u005c* +p8060 +tp8061 +a(g212 +V(C' +p8062 +tp8063 +a(g266 +V\u005cfR +p8064 +tp8065 +a(g212 +V, +p8066 +tp8067 +a(g266 +V\u005cf +p8068 +tp8069 +a(g212 +V(CW +p8070 +tp8071 +a(g266 +V\u005c* +p8072 +tp8073 +a(g212 +V(C`msgrcv +p8074 +tp8075 +a(g266 +V\u005c* +p8076 +tp8077 +a(g212 +V(C' +p8078 +tp8079 +a(g266 +V\u005cfR +p8080 +tp8081 +a(g212 +V, +tp8082 +a(g212 +V\u000a +tp8083 +a(g212 +V +tp8084 +a(g266 +V\u005c& +p8085 +tp8086 +a(g266 +V\u005cf +p8087 +tp8088 +a(g212 +V(CW +p8089 +tp8090 +a(g266 +V\u005c* +p8091 +tp8092 +a(g212 +V(C`msgsnd +p8093 +tp8094 +a(g266 +V\u005c* +p8095 +tp8096 +a(g212 +V(C' +p8097 +tp8098 +a(g266 +V\u005cfR +p8099 +tp8100 +a(g212 +V, +p8101 +tp8102 +a(g266 +V\u005cf +p8103 +tp8104 +a(g212 +V(CW +p8105 +tp8106 +a(g266 +V\u005c* +p8107 +tp8108 +a(g212 +V(C`open +p8109 +tp8110 +a(g266 +V\u005c* +p8111 +tp8112 +a(g212 +V(C' +p8113 +tp8114 +a(g266 +V\u005cfR +p8115 +tp8116 +a(g212 +V, +p8117 +tp8118 +a(g266 +V\u005cf +p8119 +tp8120 +a(g212 +V(CW +p8121 +tp8122 +a(g266 +V\u005c* +p8123 +tp8124 +a(g212 +V(C`pipe +p8125 +tp8126 +a(g266 +V\u005c* +p8127 +tp8128 +a(g212 +V(C' +p8129 +tp8130 +a(g266 +V\u005cfR +p8131 +tp8132 +a(g212 +V, +p8133 +tp8134 +a(g266 +V\u005cf +p8135 +tp8136 +a(g212 +V(CW +p8137 +tp8138 +a(g266 +V\u005c* +p8139 +tp8140 +a(g212 +V(C`readlink +p8141 +tp8142 +a(g266 +V\u005c* +p8143 +tp8144 +a(g212 +V(C' +p8145 +tp8146 +a(g266 +V\u005cfR +p8147 +tp8148 +a(g212 +V, +p8149 +tp8150 +a(g266 +V\u005cf +p8151 +tp8152 +a(g212 +V(CW +p8153 +tp8154 +a(g266 +V\u005c* +p8155 +tp8156 +a(g212 +V(C`rename +p8157 +tp8158 +a(g266 +V\u005c* +p8159 +tp8160 +a(g212 +V(C' +p8161 +tp8162 +a(g266 +V\u005cfR +p8163 +tp8164 +a(g212 +V, +p8165 +tp8166 +a(g266 +V\u005cf +p8167 +tp8168 +a(g212 +V(CW +p8169 +tp8170 +a(g266 +V\u005c* +p8171 +tp8172 +a(g212 +V(C`select +p8173 +tp8174 +a(g266 +V\u005c* +p8175 +tp8176 +a(g212 +V(C' +p8177 +tp8178 +a(g266 +V\u005cfR +p8179 +tp8180 +a(g212 +V, +p8181 +tp8182 +a(g266 +V\u005cf +p8183 +tp8184 +a(g212 +V(CW +p8185 +tp8186 +a(g266 +V\u005c* +p8187 +tp8188 +a(g212 +V(C`semctl +p8189 +tp8190 +a(g266 +V\u005c* +p8191 +tp8192 +a(g212 +V(C' +p8193 +tp8194 +a(g266 +V\u005cfR +p8195 +tp8196 +a(g212 +V, +tp8197 +a(g212 +V\u000a +tp8198 +a(g212 +V +tp8199 +a(g266 +V\u005c& +p8200 +tp8201 +a(g266 +V\u005cf +p8202 +tp8203 +a(g212 +V(CW +p8204 +tp8205 +a(g266 +V\u005c* +p8206 +tp8207 +a(g212 +V(C`semget +p8208 +tp8209 +a(g266 +V\u005c* +p8210 +tp8211 +a(g212 +V(C' +p8212 +tp8213 +a(g266 +V\u005cfR +p8214 +tp8215 +a(g212 +V, +p8216 +tp8217 +a(g266 +V\u005cf +p8218 +tp8219 +a(g212 +V(CW +p8220 +tp8221 +a(g266 +V\u005c* +p8222 +tp8223 +a(g212 +V(C`semop +p8224 +tp8225 +a(g266 +V\u005c* +p8226 +tp8227 +a(g212 +V(C' +p8228 +tp8229 +a(g266 +V\u005cfR +p8230 +tp8231 +a(g212 +V, +p8232 +tp8233 +a(g266 +V\u005cf +p8234 +tp8235 +a(g212 +V(CW +p8236 +tp8237 +a(g266 +V\u005c* +p8238 +tp8239 +a(g212 +V(C`setgrent +p8240 +tp8241 +a(g266 +V\u005c* +p8242 +tp8243 +a(g212 +V(C' +p8244 +tp8245 +a(g266 +V\u005cfR +p8246 +tp8247 +a(g212 +V, +p8248 +tp8249 +a(g266 +V\u005cf +p8250 +tp8251 +a(g212 +V(CW +p8252 +tp8253 +a(g266 +V\u005c* +p8254 +tp8255 +a(g212 +V(C`sethostent +p8256 +tp8257 +a(g266 +V\u005c* +p8258 +tp8259 +a(g212 +V(C' +p8260 +tp8261 +a(g266 +V\u005cfR +p8262 +tp8263 +a(g212 +V, +p8264 +tp8265 +a(g266 +V\u005cf +p8266 +tp8267 +a(g212 +V(CW +p8268 +tp8269 +a(g266 +V\u005c* +p8270 +tp8271 +a(g212 +V(C`setnetent +p8272 +tp8273 +a(g266 +V\u005c* +p8274 +tp8275 +a(g212 +V(C' +p8276 +tp8277 +a(g266 +V\u005cfR +p8278 +tp8279 +a(g212 +V, +tp8280 +a(g212 +V\u000a +tp8281 +a(g212 +V +tp8282 +a(g266 +V\u005c& +p8283 +tp8284 +a(g266 +V\u005cf +p8285 +tp8286 +a(g212 +V(CW +p8287 +tp8288 +a(g266 +V\u005c* +p8289 +tp8290 +a(g212 +V(C`setpgrp +p8291 +tp8292 +a(g266 +V\u005c* +p8293 +tp8294 +a(g212 +V(C' +p8295 +tp8296 +a(g266 +V\u005cfR +p8297 +tp8298 +a(g212 +V, +p8299 +tp8300 +a(g266 +V\u005cf +p8301 +tp8302 +a(g212 +V(CW +p8303 +tp8304 +a(g266 +V\u005c* +p8305 +tp8306 +a(g212 +V(C`setpriority +p8307 +tp8308 +a(g266 +V\u005c* +p8309 +tp8310 +a(g212 +V(C' +p8311 +tp8312 +a(g266 +V\u005cfR +p8313 +tp8314 +a(g212 +V, +p8315 +tp8316 +a(g266 +V\u005cf +p8317 +tp8318 +a(g212 +V(CW +p8319 +tp8320 +a(g266 +V\u005c* +p8321 +tp8322 +a(g212 +V(C`setprotoent +p8323 +tp8324 +a(g266 +V\u005c* +p8325 +tp8326 +a(g212 +V(C' +p8327 +tp8328 +a(g266 +V\u005cfR +p8329 +tp8330 +a(g212 +V, +p8331 +tp8332 +a(g266 +V\u005cf +p8333 +tp8334 +a(g212 +V(CW +p8335 +tp8336 +a(g266 +V\u005c* +p8337 +tp8338 +a(g212 +V(C`setpwent +p8339 +tp8340 +a(g266 +V\u005c* +p8341 +tp8342 +a(g212 +V(C' +p8343 +tp8344 +a(g266 +V\u005cfR +p8345 +tp8346 +a(g212 +V, +tp8347 +a(g212 +V\u000a +tp8348 +a(g212 +V +tp8349 +a(g266 +V\u005c& +p8350 +tp8351 +a(g266 +V\u005cf +p8352 +tp8353 +a(g212 +V(CW +p8354 +tp8355 +a(g266 +V\u005c* +p8356 +tp8357 +a(g212 +V(C`setservent +p8358 +tp8359 +a(g266 +V\u005c* +p8360 +tp8361 +a(g212 +V(C' +p8362 +tp8363 +a(g266 +V\u005cfR +p8364 +tp8365 +a(g212 +V, +p8366 +tp8367 +a(g266 +V\u005cf +p8368 +tp8369 +a(g212 +V(CW +p8370 +tp8371 +a(g266 +V\u005c* +p8372 +tp8373 +a(g212 +V(C`setsockopt +p8374 +tp8375 +a(g266 +V\u005c* +p8376 +tp8377 +a(g212 +V(C' +p8378 +tp8379 +a(g266 +V\u005cfR +p8380 +tp8381 +a(g212 +V, +p8382 +tp8383 +a(g266 +V\u005cf +p8384 +tp8385 +a(g212 +V(CW +p8386 +tp8387 +a(g266 +V\u005c* +p8388 +tp8389 +a(g212 +V(C`shmctl +p8390 +tp8391 +a(g266 +V\u005c* +p8392 +tp8393 +a(g212 +V(C' +p8394 +tp8395 +a(g266 +V\u005cfR +p8396 +tp8397 +a(g212 +V, +p8398 +tp8399 +a(g266 +V\u005cf +p8400 +tp8401 +a(g212 +V(CW +p8402 +tp8403 +a(g266 +V\u005c* +p8404 +tp8405 +a(g212 +V(C`shmget +p8406 +tp8407 +a(g266 +V\u005c* +p8408 +tp8409 +a(g212 +V(C' +p8410 +tp8411 +a(g266 +V\u005cfR +p8412 +tp8413 +a(g212 +V, +p8414 +tp8415 +a(g266 +V\u005cf +p8416 +tp8417 +a(g212 +V(CW +p8418 +tp8419 +a(g266 +V\u005c* +p8420 +tp8421 +a(g212 +V(C`shmread +p8422 +tp8423 +a(g266 +V\u005c* +p8424 +tp8425 +a(g212 +V(C' +p8426 +tp8427 +a(g266 +V\u005cfR +p8428 +tp8429 +a(g212 +V, +tp8430 +a(g212 +V\u000a +tp8431 +a(g212 +V +tp8432 +a(g266 +V\u005c& +p8433 +tp8434 +a(g266 +V\u005cf +p8435 +tp8436 +a(g212 +V(CW +p8437 +tp8438 +a(g266 +V\u005c* +p8439 +tp8440 +a(g212 +V(C`shmwrite +p8441 +tp8442 +a(g266 +V\u005c* +p8443 +tp8444 +a(g212 +V(C' +p8445 +tp8446 +a(g266 +V\u005cfR +p8447 +tp8448 +a(g212 +V, +p8449 +tp8450 +a(g266 +V\u005cf +p8451 +tp8452 +a(g212 +V(CW +p8453 +tp8454 +a(g266 +V\u005c* +p8455 +tp8456 +a(g212 +V(C`socket +p8457 +tp8458 +a(g266 +V\u005c* +p8459 +tp8460 +a(g212 +V(C' +p8461 +tp8462 +a(g266 +V\u005cfR +p8463 +tp8464 +a(g212 +V, +p8465 +tp8466 +a(g266 +V\u005cf +p8467 +tp8468 +a(g212 +V(CW +p8469 +tp8470 +a(g266 +V\u005c* +p8471 +tp8472 +a(g212 +V(C`socketpair +p8473 +tp8474 +a(g266 +V\u005c* +p8475 +tp8476 +a(g212 +V(C' +p8477 +tp8478 +a(g266 +V\u005cfR +p8479 +tp8480 +a(g212 +V, +tp8481 +a(g212 +V\u000a +tp8482 +a(g212 +V +tp8483 +a(g266 +V\u005c& +p8484 +tp8485 +a(g266 +V\u005cf +p8486 +tp8487 +a(g212 +V(CW +p8488 +tp8489 +a(g266 +V\u005c* +p8490 +tp8491 +a(g212 +V(C`stat +p8492 +tp8493 +a(g266 +V\u005c* +p8494 +tp8495 +a(g212 +V(C' +p8496 +tp8497 +a(g266 +V\u005cfR +p8498 +tp8499 +a(g212 +V, +p8500 +tp8501 +a(g266 +V\u005cf +p8502 +tp8503 +a(g212 +V(CW +p8504 +tp8505 +a(g266 +V\u005c* +p8506 +tp8507 +a(g212 +V(C`symlink +p8508 +tp8509 +a(g266 +V\u005c* +p8510 +tp8511 +a(g212 +V(C' +p8512 +tp8513 +a(g266 +V\u005cfR +p8514 +tp8515 +a(g212 +V, +p8516 +tp8517 +a(g266 +V\u005cf +p8518 +tp8519 +a(g212 +V(CW +p8520 +tp8521 +a(g266 +V\u005c* +p8522 +tp8523 +a(g212 +V(C`syscall +p8524 +tp8525 +a(g266 +V\u005c* +p8526 +tp8527 +a(g212 +V(C' +p8528 +tp8529 +a(g266 +V\u005cfR +p8530 +tp8531 +a(g212 +V, +p8532 +tp8533 +a(g266 +V\u005cf +p8534 +tp8535 +a(g212 +V(CW +p8536 +tp8537 +a(g266 +V\u005c* +p8538 +tp8539 +a(g212 +V(C`sysopen +p8540 +tp8541 +a(g266 +V\u005c* +p8542 +tp8543 +a(g212 +V(C' +p8544 +tp8545 +a(g266 +V\u005cfR +p8546 +tp8547 +a(g212 +V, +p8548 +tp8549 +a(g266 +V\u005cf +p8550 +tp8551 +a(g212 +V(CW +p8552 +tp8553 +a(g266 +V\u005c* +p8554 +tp8555 +a(g212 +V(C`system +p8556 +tp8557 +a(g266 +V\u005c* +p8558 +tp8559 +a(g212 +V(C' +p8560 +tp8561 +a(g266 +V\u005cfR +p8562 +tp8563 +a(g212 +V, +tp8564 +a(g212 +V\u000a +tp8565 +a(g212 +V +tp8566 +a(g266 +V\u005c& +p8567 +tp8568 +a(g266 +V\u005cf +p8569 +tp8570 +a(g212 +V(CW +p8571 +tp8572 +a(g266 +V\u005c* +p8573 +tp8574 +a(g212 +V(C`times +p8575 +tp8576 +a(g266 +V\u005c* +p8577 +tp8578 +a(g212 +V(C' +p8579 +tp8580 +a(g266 +V\u005cfR +p8581 +tp8582 +a(g212 +V, +p8583 +tp8584 +a(g266 +V\u005cf +p8585 +tp8586 +a(g212 +V(CW +p8587 +tp8588 +a(g266 +V\u005c* +p8589 +tp8590 +a(g212 +V(C`truncate +p8591 +tp8592 +a(g266 +V\u005c* +p8593 +tp8594 +a(g212 +V(C' +p8595 +tp8596 +a(g266 +V\u005cfR +p8597 +tp8598 +a(g212 +V, +p8599 +tp8600 +a(g266 +V\u005cf +p8601 +tp8602 +a(g212 +V(CW +p8603 +tp8604 +a(g266 +V\u005c* +p8605 +tp8606 +a(g212 +V(C`umask +p8607 +tp8608 +a(g266 +V\u005c* +p8609 +tp8610 +a(g212 +V(C' +p8611 +tp8612 +a(g266 +V\u005cfR +p8613 +tp8614 +a(g212 +V, +p8615 +tp8616 +a(g266 +V\u005cf +p8617 +tp8618 +a(g212 +V(CW +p8619 +tp8620 +a(g266 +V\u005c* +p8621 +tp8622 +a(g212 +V(C`unlink +p8623 +tp8624 +a(g266 +V\u005c* +p8625 +tp8626 +a(g212 +V(C' +p8627 +tp8628 +a(g266 +V\u005cfR +p8629 +tp8630 +a(g212 +V, +tp8631 +a(g212 +V\u000a +tp8632 +a(g212 +V +tp8633 +a(g266 +V\u005c& +p8634 +tp8635 +a(g266 +V\u005cf +p8636 +tp8637 +a(g212 +V(CW +p8638 +tp8639 +a(g266 +V\u005c* +p8640 +tp8641 +a(g212 +V(C`utime +p8642 +tp8643 +a(g266 +V\u005c* +p8644 +tp8645 +a(g212 +V(C' +p8646 +tp8647 +a(g266 +V\u005cfR +p8648 +tp8649 +a(g212 +V, +p8650 +tp8651 +a(g266 +V\u005cf +p8652 +tp8653 +a(g212 +V(CW +p8654 +tp8655 +a(g266 +V\u005c* +p8656 +tp8657 +a(g212 +V(C`wait +p8658 +tp8659 +a(g266 +V\u005c* +p8660 +tp8661 +a(g212 +V(C' +p8662 +tp8663 +a(g266 +V\u005cfR +p8664 +tp8665 +a(g212 +V, +p8666 +tp8667 +a(g266 +V\u005cf +p8668 +tp8669 +a(g212 +V(CW +p8670 +tp8671 +a(g266 +V\u005c* +p8672 +tp8673 +a(g212 +V(C`waitpid +p8674 +tp8675 +a(g266 +V\u005c* +p8676 +tp8677 +a(g212 +V(C' +p8678 +tp8679 +a(g266 +V\u005cfR +p8680 +tp8681 +a(g212 +V\u000a +tp8682 +a(g212 +V. +tp8683 +a(g134 +VPP +p8684 +tp8685 +a(g212 +V\u000a +tp8686 +a(g212 +VFor more information about the portability of these functions, see +p8687 +tp8688 +a(g212 +V\u000a +tp8689 +a(g212 +Vperlport and other available platform-specific documentation. +p8690 +tp8691 +a(g212 +V\u000a +tp8692 +a(g212 +V. +tp8693 +a(g134 +VSh +p8694 +tp8695 +a(g212 +V +tp8696 +a(g259 +V"Alphabetical Listing of Perl Functions" +p8697 +tp8698 +a(g212 +V\u000a +tp8699 +a(g212 +V. +tp8700 +a(g134 +VIX +p8701 +tp8702 +a(g212 +V +tp8703 +a(g240 +VSubsection +p8704 +tp8705 +a(g212 +V +tp8706 +a(g259 +V"Alphabetical Listing of Perl Functions" +p8707 +tp8708 +a(g212 +V\u000a +tp8709 +a(g212 +V. +tp8710 +a(g134 +VIP +p8711 +tp8712 +a(g212 +V +tp8713 +a(g259 +V"\u005c-X \u005cs-1FILEHANDLE\u005cs0" +p8714 +tp8715 +a(g212 +V +tp8716 +a(g227 +V8 +tp8717 +a(g212 +V\u000a +tp8718 +a(g212 +V. +tp8719 +a(g134 +VIX +p8720 +tp8721 +a(g212 +V +tp8722 +a(g240 +VXref +p8723 +tp8724 +a(g212 +V +tp8725 +a(g259 +V"-r -w -x -o -R -W -X -O -e -z -s -f -d -l -p -S -b -c -t -u -g -k -T -B -M -A -C" +p8726 +tp8727 +a(g212 +V\u000a +tp8728 +a(g212 +V. +tp8729 +a(g134 +VIX +p8730 +tp8731 +a(g212 +V +tp8732 +a(g240 +VItem +p8733 +tp8734 +a(g212 +V +tp8735 +a(g259 +V"-X FILEHANDLE" +p8736 +tp8737 +a(g212 +V\u000a +tp8738 +a(g212 +V. +tp8739 +a(g134 +VPD +p8740 +tp8741 +a(g212 +V +tp8742 +a(g227 +V0 +tp8743 +a(g212 +V\u000a +tp8744 +a(g212 +V. +tp8745 +a(g134 +VIP +p8746 +tp8747 +a(g212 +V +tp8748 +a(g259 +V"\u005c-X \u005cs-1EXPR\u005cs0" +p8749 +tp8750 +a(g212 +V +tp8751 +a(g227 +V8 +tp8752 +a(g212 +V\u000a +tp8753 +a(g212 +V. +tp8754 +a(g134 +VIX +p8755 +tp8756 +a(g212 +V +tp8757 +a(g240 +VItem +p8758 +tp8759 +a(g212 +V +tp8760 +a(g259 +V"-X EXPR" +p8761 +tp8762 +a(g212 +V\u000a +tp8763 +a(g212 +V. +tp8764 +a(g134 +VIP +p8765 +tp8766 +a(g212 +V +tp8767 +a(g259 +V"\u005c-X" +p8768 +tp8769 +a(g212 +V +tp8770 +a(g227 +V8 +tp8771 +a(g212 +V\u000a +tp8772 +a(g212 +V. +tp8773 +a(g134 +VIX +p8774 +tp8775 +a(g212 +V +tp8776 +a(g240 +VItem +p8777 +tp8778 +a(g212 +V +tp8779 +a(g259 +V"-X" +p8780 +tp8781 +a(g212 +V\u000a +tp8782 +a(g212 +V. +tp8783 +a(g134 +VPD +p8784 +tp8785 +a(g212 +V\u000a +tp8786 +a(g212 +VA file test, where X is one of the letters listed below. This unary +p8787 +tp8788 +a(g212 +V\u000a +tp8789 +a(g212 +Voperator takes one argument, either a filename or a filehandle, and +p8790 +tp8791 +a(g212 +V\u000a +tp8792 +a(g212 +Vtests the associated file to see if something is true about it. If the +p8793 +tp8794 +a(g212 +V\u000a +tp8795 +a(g212 +Vargument is omitted, tests +p8796 +tp8797 +a(g266 +V\u005cf +p8798 +tp8799 +a(g212 +V(CW$_ +p8800 +tp8801 +a(g266 +V\u005cfR +p8802 +tp8803 +a(g212 +V, except for +p8804 +tp8805 +a(g266 +V\u005cf +p8806 +tp8807 +a(g212 +V(CW +p8808 +tp8809 +a(g266 +V\u005c* +p8810 +tp8811 +a(g212 +V(C` +p8812 +tp8813 +a(g266 +V\u005c- +p8814 +tp8815 +a(g212 +Vt +tp8816 +a(g266 +V\u005c* +p8817 +tp8818 +a(g212 +V(C' +p8819 +tp8820 +a(g266 +V\u005cfR +p8821 +tp8822 +a(g212 +V, which tests +p8823 +tp8824 +a(g266 +V\u005cs +p8825 +tp8826 +a(g212 +V-1STDIN +p8827 +tp8828 +a(g266 +V\u005cs +p8829 +tp8830 +a(g212 +V0. +p8831 +tp8832 +a(g212 +V\u000a +tp8833 +a(g212 +VUnless otherwise documented, it returns +p8834 +tp8835 +a(g266 +V\u005cf +p8836 +tp8837 +a(g212 +V(CW1 +p8838 +tp8839 +a(g266 +V\u005cfR +p8840 +tp8841 +a(g212 +V for true and +p8842 +tp8843 +a(g266 +V\u005cf +p8844 +tp8845 +a(g212 +V(CW'' +p8846 +tp8847 +a(g266 +V\u005cfR +p8848 +tp8849 +a(g212 +V for false, or +p8850 +tp8851 +a(g212 +V\u000a +tp8852 +a(g212 +Vthe undefined value if the file doesn't exist. Despite the funny +p8853 +tp8854 +a(g212 +V\u000a +tp8855 +a(g212 +Vnames, precedence is the same as any other named unary operator, and +p8856 +tp8857 +a(g212 +V\u000a +tp8858 +a(g212 +Vthe argument may be parenthesized like any other unary operator. The +p8859 +tp8860 +a(g212 +V\u000a +tp8861 +a(g212 +Voperator may be any of: +p8862 +tp8863 +a(g212 +V\u000a +tp8864 +a(g212 +V. +tp8865 +a(g134 +VSp +p8866 +tp8867 +a(g212 +V\u000a +tp8868 +a(g212 +V. +tp8869 +a(g134 +VVb +p8870 +tp8871 +a(g212 +V +tp8872 +a(g227 +V4 +tp8873 +a(g212 +V\u000a +tp8874 +a(g212 +V +tp8875 +a(g266 +V\u005c& +p8876 +tp8877 +a(g212 +V -r File is readable by effective uid/gid. +p8878 +tp8879 +a(g212 +V\u000a +tp8880 +a(g212 +V +tp8881 +a(g266 +V\u005c& +p8882 +tp8883 +a(g212 +V -w File is writable by effective uid/gid. +p8884 +tp8885 +a(g212 +V\u000a +tp8886 +a(g212 +V +tp8887 +a(g266 +V\u005c& +p8888 +tp8889 +a(g212 +V -x File is executable by effective uid/gid. +p8890 +tp8891 +a(g212 +V\u000a +tp8892 +a(g212 +V +tp8893 +a(g266 +V\u005c& +p8894 +tp8895 +a(g212 +V -o File is owned by effective uid. +p8896 +tp8897 +a(g212 +V\u000a +tp8898 +a(g212 +V. +tp8899 +a(g134 +VVe +p8900 +tp8901 +a(g212 +V\u000a +tp8902 +a(g212 +V. +tp8903 +a(g134 +VSp +p8904 +tp8905 +a(g212 +V\u000a +tp8906 +a(g212 +V. +tp8907 +a(g134 +VVb +p8908 +tp8909 +a(g212 +V +tp8910 +a(g227 +V4 +tp8911 +a(g212 +V\u000a +tp8912 +a(g212 +V +tp8913 +a(g266 +V\u005c& +p8914 +tp8915 +a(g212 +V -R File is readable by real uid/gid. +p8916 +tp8917 +a(g212 +V\u000a +tp8918 +a(g212 +V +tp8919 +a(g266 +V\u005c& +p8920 +tp8921 +a(g212 +V -W File is writable by real uid/gid. +p8922 +tp8923 +a(g212 +V\u000a +tp8924 +a(g212 +V +tp8925 +a(g266 +V\u005c& +p8926 +tp8927 +a(g212 +V -X File is executable by real uid/gid. +p8928 +tp8929 +a(g212 +V\u000a +tp8930 +a(g212 +V +tp8931 +a(g266 +V\u005c& +p8932 +tp8933 +a(g212 +V -O File is owned by real uid. +p8934 +tp8935 +a(g212 +V\u000a +tp8936 +a(g212 +V. +tp8937 +a(g134 +VVe +p8938 +tp8939 +a(g212 +V\u000a +tp8940 +a(g212 +V. +tp8941 +a(g134 +VSp +p8942 +tp8943 +a(g212 +V\u000a +tp8944 +a(g212 +V. +tp8945 +a(g134 +VVb +p8946 +tp8947 +a(g212 +V +tp8948 +a(g227 +V3 +tp8949 +a(g212 +V\u000a +tp8950 +a(g212 +V +tp8951 +a(g266 +V\u005c& +p8952 +tp8953 +a(g212 +V -e File exists. +p8954 +tp8955 +a(g212 +V\u000a +tp8956 +a(g212 +V +tp8957 +a(g266 +V\u005c& +p8958 +tp8959 +a(g212 +V -z File has zero size (is empty). +p8960 +tp8961 +a(g212 +V\u000a +tp8962 +a(g212 +V +tp8963 +a(g266 +V\u005c& +p8964 +tp8965 +a(g212 +V -s File has nonzero size (returns size in bytes). +p8966 +tp8967 +a(g212 +V\u000a +tp8968 +a(g212 +V. +tp8969 +a(g134 +VVe +p8970 +tp8971 +a(g212 +V\u000a +tp8972 +a(g212 +V. +tp8973 +a(g134 +VSp +p8974 +tp8975 +a(g212 +V\u000a +tp8976 +a(g212 +V. +tp8977 +a(g134 +VVb +p8978 +tp8979 +a(g212 +V +tp8980 +a(g227 +V8 +tp8981 +a(g212 +V\u000a +tp8982 +a(g212 +V +tp8983 +a(g266 +V\u005c& +p8984 +tp8985 +a(g212 +V -f File is a plain file. +p8986 +tp8987 +a(g212 +V\u000a +tp8988 +a(g212 +V +tp8989 +a(g266 +V\u005c& +p8990 +tp8991 +a(g212 +V -d File is a directory. +p8992 +tp8993 +a(g212 +V\u000a +tp8994 +a(g212 +V +tp8995 +a(g266 +V\u005c& +p8996 +tp8997 +a(g212 +V -l File is a symbolic link. +p8998 +tp8999 +a(g212 +V\u000a +tp9000 +a(g212 +V +tp9001 +a(g266 +V\u005c& +p9002 +tp9003 +a(g212 +V -p File is a named pipe (FIFO), or Filehandle is a pipe. +p9004 +tp9005 +a(g212 +V\u000a +tp9006 +a(g212 +V +tp9007 +a(g266 +V\u005c& +p9008 +tp9009 +a(g212 +V -S File is a socket. +p9010 +tp9011 +a(g212 +V\u000a +tp9012 +a(g212 +V +tp9013 +a(g266 +V\u005c& +p9014 +tp9015 +a(g212 +V -b File is a block special file. +p9016 +tp9017 +a(g212 +V\u000a +tp9018 +a(g212 +V +tp9019 +a(g266 +V\u005c& +p9020 +tp9021 +a(g212 +V -c File is a character special file. +p9022 +tp9023 +a(g212 +V\u000a +tp9024 +a(g212 +V +tp9025 +a(g266 +V\u005c& +p9026 +tp9027 +a(g212 +V -t Filehandle is opened to a tty. +p9028 +tp9029 +a(g212 +V\u000a +tp9030 +a(g212 +V. +tp9031 +a(g134 +VVe +p9032 +tp9033 +a(g212 +V\u000a +tp9034 +a(g212 +V. +tp9035 +a(g134 +VSp +p9036 +tp9037 +a(g212 +V\u000a +tp9038 +a(g212 +V. +tp9039 +a(g134 +VVb +p9040 +tp9041 +a(g212 +V +tp9042 +a(g227 +V3 +tp9043 +a(g212 +V\u000a +tp9044 +a(g212 +V +tp9045 +a(g266 +V\u005c& +p9046 +tp9047 +a(g212 +V -u File has setuid bit set. +p9048 +tp9049 +a(g212 +V\u000a +tp9050 +a(g212 +V +tp9051 +a(g266 +V\u005c& +p9052 +tp9053 +a(g212 +V -g File has setgid bit set. +p9054 +tp9055 +a(g212 +V\u000a +tp9056 +a(g212 +V +tp9057 +a(g266 +V\u005c& +p9058 +tp9059 +a(g212 +V -k File has sticky bit set. +p9060 +tp9061 +a(g212 +V\u000a +tp9062 +a(g212 +V. +tp9063 +a(g134 +VVe +p9064 +tp9065 +a(g212 +V\u000a +tp9066 +a(g212 +V. +tp9067 +a(g134 +VSp +p9068 +tp9069 +a(g212 +V\u000a +tp9070 +a(g212 +V. +tp9071 +a(g134 +VVb +p9072 +tp9073 +a(g212 +V +tp9074 +a(g227 +V2 +tp9075 +a(g212 +V\u000a +tp9076 +a(g212 +V +tp9077 +a(g266 +V\u005c& +p9078 +tp9079 +a(g212 +V -T File is an ASCII text file (heuristic guess). +p9080 +tp9081 +a(g212 +V\u000a +tp9082 +a(g212 +V +tp9083 +a(g266 +V\u005c& +p9084 +tp9085 +a(g212 +V -B File is a "binary" file (opposite of -T). +p9086 +tp9087 +a(g212 +V\u000a +tp9088 +a(g212 +V. +tp9089 +a(g134 +VVe +p9090 +tp9091 +a(g212 +V\u000a +tp9092 +a(g212 +V. +tp9093 +a(g134 +VSp +p9094 +tp9095 +a(g212 +V\u000a +tp9096 +a(g212 +V. +tp9097 +a(g134 +VVb +p9098 +tp9099 +a(g212 +V +tp9100 +a(g227 +V3 +tp9101 +a(g212 +V\u000a +tp9102 +a(g212 +V +tp9103 +a(g266 +V\u005c& +p9104 +tp9105 +a(g212 +V -M Script start time minus file modification time, in days. +p9106 +tp9107 +a(g212 +V\u000a +tp9108 +a(g212 +V +tp9109 +a(g266 +V\u005c& +p9110 +tp9111 +a(g212 +V -A Same for access time. +p9112 +tp9113 +a(g212 +V\u000a +tp9114 +a(g212 +V +tp9115 +a(g266 +V\u005c& +p9116 +tp9117 +a(g212 +V -C Same for inode change time (Unix, may differ for other platforms) +p9118 +tp9119 +a(g212 +V\u000a +tp9120 +a(g212 +V. +tp9121 +a(g134 +VVe +p9122 +tp9123 +a(g212 +V\u000a +tp9124 +a(g212 +V. +tp9125 +a(g134 +VSp +p9126 +tp9127 +a(g212 +V\u000a +tp9128 +a(g212 +VExample: +p9129 +tp9130 +a(g212 +V\u000a +tp9131 +a(g212 +V. +tp9132 +a(g134 +VSp +p9133 +tp9134 +a(g212 +V\u000a +tp9135 +a(g212 +V. +tp9136 +a(g134 +VVb +p9137 +tp9138 +a(g212 +V +tp9139 +a(g227 +V5 +tp9140 +a(g212 +V\u000a +tp9141 +a(g212 +V +tp9142 +a(g266 +V\u005c& +p9143 +tp9144 +a(g212 +V while (<>) { +p9145 +tp9146 +a(g212 +V\u000a +tp9147 +a(g212 +V +tp9148 +a(g266 +V\u005c& +p9149 +tp9150 +a(g212 +V chomp; +p9151 +tp9152 +a(g212 +V\u000a +tp9153 +a(g212 +V +tp9154 +a(g266 +V\u005c& +p9155 +tp9156 +a(g212 +V next unless -f $_; # ignore specials +p9157 +tp9158 +a(g212 +V\u000a +tp9159 +a(g212 +V +tp9160 +a(g266 +V\u005c& +p9161 +tp9162 +a(g212 +V #... +p9163 +tp9164 +a(g212 +V\u000a +tp9165 +a(g212 +V +tp9166 +a(g266 +V\u005c& +p9167 +tp9168 +a(g212 +V } +p9169 +tp9170 +a(g212 +V\u000a +tp9171 +a(g212 +V. +tp9172 +a(g134 +VVe +p9173 +tp9174 +a(g212 +V\u000a +tp9175 +a(g212 +V. +tp9176 +a(g134 +VSp +p9177 +tp9178 +a(g212 +V\u000a +tp9179 +a(g212 +VThe interpretation of the file permission operators +p9180 +tp9181 +a(g266 +V\u005cf +p9182 +tp9183 +a(g212 +V(CW +p9184 +tp9185 +a(g266 +V\u005c* +p9186 +tp9187 +a(g212 +V(C` +p9188 +tp9189 +a(g266 +V\u005c- +p9190 +tp9191 +a(g212 +Vr +tp9192 +a(g266 +V\u005c* +p9193 +tp9194 +a(g212 +V(C' +p9195 +tp9196 +a(g266 +V\u005cfR +p9197 +tp9198 +a(g212 +V, +p9199 +tp9200 +a(g266 +V\u005cf +p9201 +tp9202 +a(g212 +V(CW +p9203 +tp9204 +a(g266 +V\u005c* +p9205 +tp9206 +a(g212 +V(C` +p9207 +tp9208 +a(g266 +V\u005c- +p9209 +tp9210 +a(g212 +VR +tp9211 +a(g266 +V\u005c* +p9212 +tp9213 +a(g212 +V(C' +p9214 +tp9215 +a(g266 +V\u005cfR +p9216 +tp9217 +a(g212 +V, +tp9218 +a(g212 +V\u000a +tp9219 +a(g212 +V +tp9220 +a(g266 +V\u005c& +p9221 +tp9222 +a(g266 +V\u005cf +p9223 +tp9224 +a(g212 +V(CW +p9225 +tp9226 +a(g266 +V\u005c* +p9227 +tp9228 +a(g212 +V(C` +p9229 +tp9230 +a(g266 +V\u005c- +p9231 +tp9232 +a(g212 +Vw +tp9233 +a(g266 +V\u005c* +p9234 +tp9235 +a(g212 +V(C' +p9236 +tp9237 +a(g266 +V\u005cfR +p9238 +tp9239 +a(g212 +V, +p9240 +tp9241 +a(g266 +V\u005cf +p9242 +tp9243 +a(g212 +V(CW +p9244 +tp9245 +a(g266 +V\u005c* +p9246 +tp9247 +a(g212 +V(C` +p9248 +tp9249 +a(g266 +V\u005c- +p9250 +tp9251 +a(g212 +VW +tp9252 +a(g266 +V\u005c* +p9253 +tp9254 +a(g212 +V(C' +p9255 +tp9256 +a(g266 +V\u005cfR +p9257 +tp9258 +a(g212 +V, +p9259 +tp9260 +a(g266 +V\u005cf +p9261 +tp9262 +a(g212 +V(CW +p9263 +tp9264 +a(g266 +V\u005c* +p9265 +tp9266 +a(g212 +V(C` +p9267 +tp9268 +a(g266 +V\u005c- +p9269 +tp9270 +a(g212 +Vx +tp9271 +a(g266 +V\u005c* +p9272 +tp9273 +a(g212 +V(C' +p9274 +tp9275 +a(g266 +V\u005cfR +p9276 +tp9277 +a(g212 +V, and +p9278 +tp9279 +a(g266 +V\u005cf +p9280 +tp9281 +a(g212 +V(CW +p9282 +tp9283 +a(g266 +V\u005c* +p9284 +tp9285 +a(g212 +V(C` +p9286 +tp9287 +a(g266 +V\u005c- +p9288 +tp9289 +a(g212 +VX +tp9290 +a(g266 +V\u005c* +p9291 +tp9292 +a(g212 +V(C' +p9293 +tp9294 +a(g266 +V\u005cfR +p9295 +tp9296 +a(g212 +V is by default based solely on the mode +p9297 +tp9298 +a(g212 +V\u000a +tp9299 +a(g212 +Vof the file and the uids and gids of the user. There may be other +p9300 +tp9301 +a(g212 +V\u000a +tp9302 +a(g212 +Vreasons you can't actually read, write, or execute the file. Such +p9303 +tp9304 +a(g212 +V\u000a +tp9305 +a(g212 +Vreasons may be for example network filesystem access controls, ACLs +p9306 +tp9307 +a(g212 +V\u000a +tp9308 +a(g212 +V(access control lists), read-only filesystems, and unrecognized +p9309 +tp9310 +a(g212 +V\u000a +tp9311 +a(g212 +Vexecutable formats. +p9312 +tp9313 +a(g212 +V\u000a +tp9314 +a(g212 +V. +tp9315 +a(g134 +VSp +p9316 +tp9317 +a(g212 +V\u000a +tp9318 +a(g212 +VAlso note that, for the superuser on the local filesystems, the +p9319 +tp9320 +a(g266 +V\u005cf +p9321 +tp9322 +a(g212 +V(CW +p9323 +tp9324 +a(g266 +V\u005c* +p9325 +tp9326 +a(g212 +V(C` +p9327 +tp9328 +a(g266 +V\u005c- +p9329 +tp9330 +a(g212 +Vr +tp9331 +a(g266 +V\u005c* +p9332 +tp9333 +a(g212 +V(C' +p9334 +tp9335 +a(g266 +V\u005cfR +p9336 +tp9337 +a(g212 +V, +tp9338 +a(g212 +V\u000a +tp9339 +a(g212 +V +tp9340 +a(g266 +V\u005c& +p9341 +tp9342 +a(g266 +V\u005cf +p9343 +tp9344 +a(g212 +V(CW +p9345 +tp9346 +a(g266 +V\u005c* +p9347 +tp9348 +a(g212 +V(C` +p9349 +tp9350 +a(g266 +V\u005c- +p9351 +tp9352 +a(g212 +VR +tp9353 +a(g266 +V\u005c* +p9354 +tp9355 +a(g212 +V(C' +p9356 +tp9357 +a(g266 +V\u005cfR +p9358 +tp9359 +a(g212 +V, +p9360 +tp9361 +a(g266 +V\u005cf +p9362 +tp9363 +a(g212 +V(CW +p9364 +tp9365 +a(g266 +V\u005c* +p9366 +tp9367 +a(g212 +V(C` +p9368 +tp9369 +a(g266 +V\u005c- +p9370 +tp9371 +a(g212 +Vw +tp9372 +a(g266 +V\u005c* +p9373 +tp9374 +a(g212 +V(C' +p9375 +tp9376 +a(g266 +V\u005cfR +p9377 +tp9378 +a(g212 +V, and +p9379 +tp9380 +a(g266 +V\u005cf +p9381 +tp9382 +a(g212 +V(CW +p9383 +tp9384 +a(g266 +V\u005c* +p9385 +tp9386 +a(g212 +V(C` +p9387 +tp9388 +a(g266 +V\u005c- +p9389 +tp9390 +a(g212 +VW +tp9391 +a(g266 +V\u005c* +p9392 +tp9393 +a(g212 +V(C' +p9394 +tp9395 +a(g266 +V\u005cfR +p9396 +tp9397 +a(g212 +V tests always return 1, and +p9398 +tp9399 +a(g266 +V\u005cf +p9400 +tp9401 +a(g212 +V(CW +p9402 +tp9403 +a(g266 +V\u005c* +p9404 +tp9405 +a(g212 +V(C` +p9406 +tp9407 +a(g266 +V\u005c- +p9408 +tp9409 +a(g212 +Vx +tp9410 +a(g266 +V\u005c* +p9411 +tp9412 +a(g212 +V(C' +p9413 +tp9414 +a(g266 +V\u005cfR +p9415 +tp9416 +a(g212 +V and +p9417 +tp9418 +a(g266 +V\u005cf +p9419 +tp9420 +a(g212 +V(CW +p9421 +tp9422 +a(g266 +V\u005c* +p9423 +tp9424 +a(g212 +V(C` +p9425 +tp9426 +a(g266 +V\u005c- +p9427 +tp9428 +a(g212 +VX +tp9429 +a(g266 +V\u005c* +p9430 +tp9431 +a(g212 +V(C' +p9432 +tp9433 +a(g266 +V\u005cfR +p9434 +tp9435 +a(g212 +V return 1 +p9436 +tp9437 +a(g212 +V\u000a +tp9438 +a(g212 +Vif any execute bit is set in the mode. Scripts run by the superuser +p9439 +tp9440 +a(g212 +V\u000a +tp9441 +a(g212 +Vmay thus need to do a +p9442 +tp9443 +a(g266 +V\u005cfI +p9444 +tp9445 +a(g212 +Vstat() +p9446 +tp9447 +a(g266 +V\u005cfR +p9448 +tp9449 +a(g212 +V to determine the actual mode of the file, +p9450 +tp9451 +a(g212 +V\u000a +tp9452 +a(g212 +Vor temporarily set their effective uid to something else. +p9453 +tp9454 +a(g212 +V\u000a +tp9455 +a(g212 +V. +tp9456 +a(g134 +VSp +p9457 +tp9458 +a(g212 +V\u000a +tp9459 +a(g212 +VIf you are using ACLs, there is a pragma called +p9460 +tp9461 +a(g266 +V\u005cf +p9462 +tp9463 +a(g212 +V(CW +p9464 +tp9465 +a(g266 +V\u005c* +p9466 +tp9467 +a(g212 +V(C`filetest +p9468 +tp9469 +a(g266 +V\u005c* +p9470 +tp9471 +a(g212 +V(C' +p9472 +tp9473 +a(g266 +V\u005cfR +p9474 +tp9475 +a(g212 +V that may +p9476 +tp9477 +a(g212 +V\u000a +tp9478 +a(g212 +Vproduce more accurate results than the bare +p9479 +tp9480 +a(g266 +V\u005cfI +p9481 +tp9482 +a(g212 +Vstat() +p9483 +tp9484 +a(g266 +V\u005cfR +p9485 +tp9486 +a(g212 +V mode bits. +p9487 +tp9488 +a(g212 +V\u000a +tp9489 +a(g212 +VWhen under the +p9490 +tp9491 +a(g266 +V\u005cf +p9492 +tp9493 +a(g212 +V(CW +p9494 +tp9495 +a(g266 +V\u005c* +p9496 +tp9497 +a(g212 +V(C`use filetest 'access' +p9498 +tp9499 +a(g266 +V\u005c* +p9500 +tp9501 +a(g212 +V(C' +p9502 +tp9503 +a(g266 +V\u005cfR +p9504 +tp9505 +a(g212 +V the above-mentioned filetests +p9506 +tp9507 +a(g212 +V\u000a +tp9508 +a(g212 +Vwill test whether the permission can (not) be granted using the +p9509 +tp9510 +a(g212 +V\u000a +tp9511 +a(g212 +V +tp9512 +a(g266 +V\u005c& +p9513 +tp9514 +a(g266 +V\u005cfI +p9515 +tp9516 +a(g212 +Vaccess() +p9517 +tp9518 +a(g266 +V\u005cfR +p9519 +tp9520 +a(g212 +V family of system calls. Also note that the +p9521 +tp9522 +a(g266 +V\u005cf +p9523 +tp9524 +a(g212 +V(CW +p9525 +tp9526 +a(g266 +V\u005c* +p9527 +tp9528 +a(g212 +V(C` +p9529 +tp9530 +a(g266 +V\u005c- +p9531 +tp9532 +a(g212 +Vx +tp9533 +a(g266 +V\u005c* +p9534 +tp9535 +a(g212 +V(C' +p9536 +tp9537 +a(g266 +V\u005cfR +p9538 +tp9539 +a(g212 +V and +p9540 +tp9541 +a(g266 +V\u005cf +p9542 +tp9543 +a(g212 +V(CW +p9544 +tp9545 +a(g266 +V\u005c* +p9546 +tp9547 +a(g212 +V(C` +p9548 +tp9549 +a(g266 +V\u005c- +p9550 +tp9551 +a(g212 +VX +tp9552 +a(g266 +V\u005c* +p9553 +tp9554 +a(g212 +V(C' +p9555 +tp9556 +a(g266 +V\u005cfR +p9557 +tp9558 +a(g212 +V may +p9559 +tp9560 +a(g212 +V\u000a +tp9561 +a(g212 +Vunder this pragma return true even if there are no execute permission +p9562 +tp9563 +a(g212 +V\u000a +tp9564 +a(g212 +Vbits set (nor any extra execute permission ACLs). This strangeness is +p9565 +tp9566 +a(g212 +V\u000a +tp9567 +a(g212 +Vdue to the underlying system calls' definitions. Read the +p9568 +tp9569 +a(g212 +V\u000a +tp9570 +a(g212 +Vdocumentation for the +p9571 +tp9572 +a(g266 +V\u005cf +p9573 +tp9574 +a(g212 +V(CW +p9575 +tp9576 +a(g266 +V\u005c* +p9577 +tp9578 +a(g212 +V(C`filetest +p9579 +tp9580 +a(g266 +V\u005c* +p9581 +tp9582 +a(g212 +V(C' +p9583 +tp9584 +a(g266 +V\u005cfR +p9585 +tp9586 +a(g212 +V pragma for more information. +p9587 +tp9588 +a(g212 +V\u000a +tp9589 +a(g212 +V. +tp9590 +a(g134 +VSp +p9591 +tp9592 +a(g212 +V\u000a +tp9593 +a(g212 +VNote that +p9594 +tp9595 +a(g266 +V\u005cf +p9596 +tp9597 +a(g212 +V(CW +p9598 +tp9599 +a(g266 +V\u005c* +p9600 +tp9601 +a(g212 +V(C` +p9602 +tp9603 +a(g266 +V\u005c- +p9604 +tp9605 +a(g212 +Vs/a/b/ +p9606 +tp9607 +a(g266 +V\u005c* +p9608 +tp9609 +a(g212 +V(C' +p9610 +tp9611 +a(g266 +V\u005cfR +p9612 +tp9613 +a(g212 +V does not do a negated substitution. Saying +p9614 +tp9615 +a(g212 +V\u000a +tp9616 +a(g212 +V +tp9617 +a(g266 +V\u005c& +p9618 +tp9619 +a(g266 +V\u005cf +p9620 +tp9621 +a(g212 +V(CW +p9622 +tp9623 +a(g266 +V\u005c* +p9624 +tp9625 +a(g212 +V(C` +p9626 +tp9627 +a(g266 +V\u005c- +p9628 +tp9629 +a(g212 +Vexp($foo) +p9630 +tp9631 +a(g266 +V\u005c* +p9632 +tp9633 +a(g212 +V(C' +p9634 +tp9635 +a(g266 +V\u005cfR +p9636 +tp9637 +a(g212 +V still works as expected, however +p9638 +tp9639 +a(g266 +V\u005c* +p9640 +tp9641 +a(g212 +V(--only single letters +p9642 +tp9643 +a(g212 +V\u000a +tp9644 +a(g212 +Vfollowing a minus are interpreted as file tests. +p9645 +tp9646 +a(g212 +V\u000a +tp9647 +a(g212 +V. +tp9648 +a(g134 +VSp +p9649 +tp9650 +a(g212 +V\u000a +tp9651 +a(g212 +VThe +p9652 +tp9653 +a(g266 +V\u005cf +p9654 +tp9655 +a(g212 +V(CW +p9656 +tp9657 +a(g266 +V\u005c* +p9658 +tp9659 +a(g212 +V(C` +p9660 +tp9661 +a(g266 +V\u005c- +p9662 +tp9663 +a(g212 +VT +tp9664 +a(g266 +V\u005c* +p9665 +tp9666 +a(g212 +V(C' +p9667 +tp9668 +a(g266 +V\u005cfR +p9669 +tp9670 +a(g212 +V and +p9671 +tp9672 +a(g266 +V\u005cf +p9673 +tp9674 +a(g212 +V(CW +p9675 +tp9676 +a(g266 +V\u005c* +p9677 +tp9678 +a(g212 +V(C` +p9679 +tp9680 +a(g266 +V\u005c- +p9681 +tp9682 +a(g212 +VB +tp9683 +a(g266 +V\u005c* +p9684 +tp9685 +a(g212 +V(C' +p9686 +tp9687 +a(g266 +V\u005cfR +p9688 +tp9689 +a(g212 +V switches work as follows. The first block or so of the +p9690 +tp9691 +a(g212 +V\u000a +tp9692 +a(g212 +Vfile is examined for odd characters such as strange control codes or +p9693 +tp9694 +a(g212 +V\u000a +tp9695 +a(g212 +Vcharacters with the high bit set. If too many strange characters (>30%) +p9696 +tp9697 +a(g212 +V\u000a +tp9698 +a(g212 +Vare found, it's a +p9699 +tp9700 +a(g266 +V\u005cf +p9701 +tp9702 +a(g212 +V(CW +p9703 +tp9704 +a(g266 +V\u005c* +p9705 +tp9706 +a(g212 +V(C` +p9707 +tp9708 +a(g266 +V\u005c- +p9709 +tp9710 +a(g212 +VB +tp9711 +a(g266 +V\u005c* +p9712 +tp9713 +a(g212 +V(C' +p9714 +tp9715 +a(g266 +V\u005cfR +p9716 +tp9717 +a(g212 +V file; otherwise it's a +p9718 +tp9719 +a(g266 +V\u005cf +p9720 +tp9721 +a(g212 +V(CW +p9722 +tp9723 +a(g266 +V\u005c* +p9724 +tp9725 +a(g212 +V(C` +p9726 +tp9727 +a(g266 +V\u005c- +p9728 +tp9729 +a(g212 +VT +tp9730 +a(g266 +V\u005c* +p9731 +tp9732 +a(g212 +V(C' +p9733 +tp9734 +a(g266 +V\u005cfR +p9735 +tp9736 +a(g212 +V file. Also, any file +p9737 +tp9738 +a(g212 +V\u000a +tp9739 +a(g212 +Vcontaining null in the first block is considered a binary file. If +p9740 +tp9741 +a(g266 +V\u005cf +p9742 +tp9743 +a(g212 +V(CW +p9744 +tp9745 +a(g266 +V\u005c* +p9746 +tp9747 +a(g212 +V(C` +p9748 +tp9749 +a(g266 +V\u005c- +p9750 +tp9751 +a(g212 +VT +tp9752 +a(g266 +V\u005c* +p9753 +tp9754 +a(g212 +V(C' +p9755 +tp9756 +a(g266 +V\u005cfR +p9757 +tp9758 +a(g212 +V\u000a +tp9759 +a(g212 +Vor +p9760 +tp9761 +a(g266 +V\u005cf +p9762 +tp9763 +a(g212 +V(CW +p9764 +tp9765 +a(g266 +V\u005c* +p9766 +tp9767 +a(g212 +V(C` +p9768 +tp9769 +a(g266 +V\u005c- +p9770 +tp9771 +a(g212 +VB +tp9772 +a(g266 +V\u005c* +p9773 +tp9774 +a(g212 +V(C' +p9775 +tp9776 +a(g266 +V\u005cfR +p9777 +tp9778 +a(g212 +V is used on a filehandle, the current +p9779 +tp9780 +a(g266 +V\u005cs +p9781 +tp9782 +a(g212 +V-1IO +p9783 +tp9784 +a(g266 +V\u005cs +p9785 +tp9786 +a(g212 +V0 buffer is examined +p9787 +tp9788 +a(g212 +V\u000a +tp9789 +a(g212 +Vrather than the first block. Both +p9790 +tp9791 +a(g266 +V\u005cf +p9792 +tp9793 +a(g212 +V(CW +p9794 +tp9795 +a(g266 +V\u005c* +p9796 +tp9797 +a(g212 +V(C` +p9798 +tp9799 +a(g266 +V\u005c- +p9800 +tp9801 +a(g212 +VT +tp9802 +a(g266 +V\u005c* +p9803 +tp9804 +a(g212 +V(C' +p9805 +tp9806 +a(g266 +V\u005cfR +p9807 +tp9808 +a(g212 +V and +p9809 +tp9810 +a(g266 +V\u005cf +p9811 +tp9812 +a(g212 +V(CW +p9813 +tp9814 +a(g266 +V\u005c* +p9815 +tp9816 +a(g212 +V(C` +p9817 +tp9818 +a(g266 +V\u005c- +p9819 +tp9820 +a(g212 +VB +tp9821 +a(g266 +V\u005c* +p9822 +tp9823 +a(g212 +V(C' +p9824 +tp9825 +a(g266 +V\u005cfR +p9826 +tp9827 +a(g212 +V return true on a null +p9828 +tp9829 +a(g212 +V\u000a +tp9830 +a(g212 +Vfile, or a file at +p9831 +tp9832 +a(g266 +V\u005cs +p9833 +tp9834 +a(g212 +V-1EOF +p9835 +tp9836 +a(g266 +V\u005cs +p9837 +tp9838 +a(g212 +V0 when testing a filehandle. Because you have to +p9839 +tp9840 +a(g212 +V\u000a +tp9841 +a(g212 +Vread a file to do the +p9842 +tp9843 +a(g266 +V\u005cf +p9844 +tp9845 +a(g212 +V(CW +p9846 +tp9847 +a(g266 +V\u005c* +p9848 +tp9849 +a(g212 +V(C` +p9850 +tp9851 +a(g266 +V\u005c- +p9852 +tp9853 +a(g212 +VT +tp9854 +a(g266 +V\u005c* +p9855 +tp9856 +a(g212 +V(C' +p9857 +tp9858 +a(g266 +V\u005cfR +p9859 +tp9860 +a(g212 +V test, on most occasions you want to use a +p9861 +tp9862 +a(g266 +V\u005cf +p9863 +tp9864 +a(g212 +V(CW +p9865 +tp9866 +a(g266 +V\u005c* +p9867 +tp9868 +a(g212 +V(C` +p9869 +tp9870 +a(g266 +V\u005c- +p9871 +tp9872 +a(g212 +Vf +tp9873 +a(g266 +V\u005c* +p9874 +tp9875 +a(g212 +V(C' +p9876 +tp9877 +a(g266 +V\u005cfR +p9878 +tp9879 +a(g212 +V\u000a +tp9880 +a(g212 +Vagainst the file first, as in +p9881 +tp9882 +a(g266 +V\u005cf +p9883 +tp9884 +a(g212 +V(CW +p9885 +tp9886 +a(g266 +V\u005c* +p9887 +tp9888 +a(g212 +V(C`next unless +p9889 +tp9890 +a(g266 +V\u005c- +p9891 +tp9892 +a(g212 +Vf $file && +p9893 +tp9894 +a(g266 +V\u005c- +p9895 +tp9896 +a(g212 +VT $file +p9897 +tp9898 +a(g266 +V\u005c* +p9899 +tp9900 +a(g212 +V(C' +p9901 +tp9902 +a(g266 +V\u005cfR +p9903 +tp9904 +a(g212 +V. +tp9905 +a(g212 +V\u000a +tp9906 +a(g212 +V. +tp9907 +a(g134 +VSp +p9908 +tp9909 +a(g212 +V\u000a +tp9910 +a(g212 +VIf any of the file tests (or either the +p9911 +tp9912 +a(g266 +V\u005cf +p9913 +tp9914 +a(g212 +V(CW +p9915 +tp9916 +a(g266 +V\u005c* +p9917 +tp9918 +a(g212 +V(C`stat +p9919 +tp9920 +a(g266 +V\u005c* +p9921 +tp9922 +a(g212 +V(C' +p9923 +tp9924 +a(g266 +V\u005cfR +p9925 +tp9926 +a(g212 +V or +p9927 +tp9928 +a(g266 +V\u005cf +p9929 +tp9930 +a(g212 +V(CW +p9931 +tp9932 +a(g266 +V\u005c* +p9933 +tp9934 +a(g212 +V(C`lstat +p9935 +tp9936 +a(g266 +V\u005c* +p9937 +tp9938 +a(g212 +V(C' +p9939 +tp9940 +a(g266 +V\u005cfR +p9941 +tp9942 +a(g212 +V operators) are given +p9943 +tp9944 +a(g212 +V\u000a +tp9945 +a(g212 +Vthe special filehandle consisting of a solitary underline, then the stat +p9946 +tp9947 +a(g212 +V\u000a +tp9948 +a(g212 +Vstructure of the previous file test (or stat operator) is used, saving +p9949 +tp9950 +a(g212 +V\u000a +tp9951 +a(g212 +Va system call. (This doesn't work with +p9952 +tp9953 +a(g266 +V\u005cf +p9954 +tp9955 +a(g212 +V(CW +p9956 +tp9957 +a(g266 +V\u005c* +p9958 +tp9959 +a(g212 +V(C` +p9960 +tp9961 +a(g266 +V\u005c- +p9962 +tp9963 +a(g212 +Vt +tp9964 +a(g266 +V\u005c* +p9965 +tp9966 +a(g212 +V(C' +p9967 +tp9968 +a(g266 +V\u005cfR +p9969 +tp9970 +a(g212 +V, and you need to remember +p9971 +tp9972 +a(g212 +V\u000a +tp9973 +a(g212 +Vthat +p9974 +tp9975 +a(g266 +V\u005cfI +p9976 +tp9977 +a(g212 +Vlstat() +p9978 +tp9979 +a(g266 +V\u005cfR +p9980 +tp9981 +a(g212 +V and +p9982 +tp9983 +a(g266 +V\u005cf +p9984 +tp9985 +a(g212 +V(CW +p9986 +tp9987 +a(g266 +V\u005c* +p9988 +tp9989 +a(g212 +V(C` +p9990 +tp9991 +a(g266 +V\u005c- +p9992 +tp9993 +a(g212 +Vl +tp9994 +a(g266 +V\u005c* +p9995 +tp9996 +a(g212 +V(C' +p9997 +tp9998 +a(g266 +V\u005cfR +p9999 +tp10000 +a(g212 +V will leave values in the stat structure for the +p10001 +tp10002 +a(g212 +V\u000a +tp10003 +a(g212 +Vsymbolic link, not the real file.) (Also, if the stat buffer was filled by +p10004 +tp10005 +a(g212 +V\u000a +tp10006 +a(g212 +Van +p10007 +tp10008 +a(g266 +V\u005cf +p10009 +tp10010 +a(g212 +V(CW +p10011 +tp10012 +a(g266 +V\u005c* +p10013 +tp10014 +a(g212 +V(C`lstat +p10015 +tp10016 +a(g266 +V\u005c* +p10017 +tp10018 +a(g212 +V(C' +p10019 +tp10020 +a(g266 +V\u005cfR +p10021 +tp10022 +a(g212 +V call, +p10023 +tp10024 +a(g266 +V\u005cf +p10025 +tp10026 +a(g212 +V(CW +p10027 +tp10028 +a(g266 +V\u005c* +p10029 +tp10030 +a(g212 +V(C` +p10031 +tp10032 +a(g266 +V\u005c- +p10033 +tp10034 +a(g212 +VT +tp10035 +a(g266 +V\u005c* +p10036 +tp10037 +a(g212 +V(C' +p10038 +tp10039 +a(g266 +V\u005cfR +p10040 +tp10041 +a(g212 +V and +p10042 +tp10043 +a(g266 +V\u005cf +p10044 +tp10045 +a(g212 +V(CW +p10046 +tp10047 +a(g266 +V\u005c* +p10048 +tp10049 +a(g212 +V(C` +p10050 +tp10051 +a(g266 +V\u005c- +p10052 +tp10053 +a(g212 +VB +tp10054 +a(g266 +V\u005c* +p10055 +tp10056 +a(g212 +V(C' +p10057 +tp10058 +a(g266 +V\u005cfR +p10059 +tp10060 +a(g212 +V will reset it with the results of +p10061 +tp10062 +a(g266 +V\u005cf +p10063 +tp10064 +a(g212 +V(CW +p10065 +tp10066 +a(g266 +V\u005c* +p10067 +tp10068 +a(g212 +V(C`stat _ +p10069 +tp10070 +a(g266 +V\u005c* +p10071 +tp10072 +a(g212 +V(C' +p10073 +tp10074 +a(g266 +V\u005cfR +p10075 +tp10076 +a(g212 +V). +p10077 +tp10078 +a(g212 +V\u000a +tp10079 +a(g212 +VExample: +p10080 +tp10081 +a(g212 +V\u000a +tp10082 +a(g212 +V. +tp10083 +a(g134 +VSp +p10084 +tp10085 +a(g212 +V\u000a +tp10086 +a(g212 +V. +tp10087 +a(g134 +VVb +p10088 +tp10089 +a(g212 +V +tp10090 +a(g227 +V1 +tp10091 +a(g212 +V\u000a +tp10092 +a(g212 +V +tp10093 +a(g266 +V\u005c& +p10094 +tp10095 +a(g212 +V print "Can do. +p10096 +tp10097 +a(g266 +V\u005ce +p10098 +tp10099 +a(g212 +Vn" if -r $a || -w _ || -x _; +p10100 +tp10101 +a(g212 +V\u000a +tp10102 +a(g212 +V. +tp10103 +a(g134 +VVe +p10104 +tp10105 +a(g212 +V\u000a +tp10106 +a(g212 +V. +tp10107 +a(g134 +VSp +p10108 +tp10109 +a(g212 +V\u000a +tp10110 +a(g212 +V. +tp10111 +a(g134 +VVb +p10112 +tp10113 +a(g212 +V +tp10114 +a(g227 +V9 +tp10115 +a(g212 +V\u000a +tp10116 +a(g212 +V +tp10117 +a(g266 +V\u005c& +p10118 +tp10119 +a(g212 +V stat($filename); +p10120 +tp10121 +a(g212 +V\u000a +tp10122 +a(g212 +V +tp10123 +a(g266 +V\u005c& +p10124 +tp10125 +a(g212 +V print "Readable +p10126 +tp10127 +a(g266 +V\u005ce +p10128 +tp10129 +a(g212 +Vn" if -r _; +p10130 +tp10131 +a(g212 +V\u000a +tp10132 +a(g212 +V +tp10133 +a(g266 +V\u005c& +p10134 +tp10135 +a(g212 +V print "Writable +p10136 +tp10137 +a(g266 +V\u005ce +p10138 +tp10139 +a(g212 +Vn" if -w _; +p10140 +tp10141 +a(g212 +V\u000a +tp10142 +a(g212 +V +tp10143 +a(g266 +V\u005c& +p10144 +tp10145 +a(g212 +V print "Executable +p10146 +tp10147 +a(g266 +V\u005ce +p10148 +tp10149 +a(g212 +Vn" if -x _; +p10150 +tp10151 +a(g212 +V\u000a +tp10152 +a(g212 +V +tp10153 +a(g266 +V\u005c& +p10154 +tp10155 +a(g212 +V print "Setuid +p10156 +tp10157 +a(g266 +V\u005ce +p10158 +tp10159 +a(g212 +Vn" if -u _; +p10160 +tp10161 +a(g212 +V\u000a +tp10162 +a(g212 +V +tp10163 +a(g266 +V\u005c& +p10164 +tp10165 +a(g212 +V print "Setgid +p10166 +tp10167 +a(g266 +V\u005ce +p10168 +tp10169 +a(g212 +Vn" if -g _; +p10170 +tp10171 +a(g212 +V\u000a +tp10172 +a(g212 +V +tp10173 +a(g266 +V\u005c& +p10174 +tp10175 +a(g212 +V print "Sticky +p10176 +tp10177 +a(g266 +V\u005ce +p10178 +tp10179 +a(g212 +Vn" if -k _; +p10180 +tp10181 +a(g212 +V\u000a +tp10182 +a(g212 +V +tp10183 +a(g266 +V\u005c& +p10184 +tp10185 +a(g212 +V print "Text +p10186 +tp10187 +a(g266 +V\u005ce +p10188 +tp10189 +a(g212 +Vn" if -T _; +p10190 +tp10191 +a(g212 +V\u000a +tp10192 +a(g212 +V +tp10193 +a(g266 +V\u005c& +p10194 +tp10195 +a(g212 +V print "Binary +p10196 +tp10197 +a(g266 +V\u005ce +p10198 +tp10199 +a(g212 +Vn" if -B _; +p10200 +tp10201 +a(g212 +V\u000a +tp10202 +a(g212 +V. +tp10203 +a(g134 +VVe +p10204 +tp10205 +a(g212 +V\u000a +tp10206 +a(g212 +V. +tp10207 +a(g134 +VIP +p10208 +tp10209 +a(g212 +V +tp10210 +a(g259 +V"abs \u005cs-1VALUE\u005cs0" +p10211 +tp10212 +a(g212 +V +tp10213 +a(g227 +V8 +tp10214 +a(g212 +V\u000a +tp10215 +a(g212 +V. +tp10216 +a(g134 +VIX +p10217 +tp10218 +a(g212 +V +tp10219 +a(g240 +VXref +p10220 +tp10221 +a(g212 +V +tp10222 +a(g259 +V"abs absolute" +p10223 +tp10224 +a(g212 +V\u000a +tp10225 +a(g212 +V. +tp10226 +a(g134 +VIX +p10227 +tp10228 +a(g212 +V +tp10229 +a(g240 +VItem +p10230 +tp10231 +a(g212 +V +tp10232 +a(g259 +V"abs VALUE" +p10233 +tp10234 +a(g212 +V\u000a +tp10235 +a(g212 +V. +tp10236 +a(g134 +VPD +p10237 +tp10238 +a(g212 +V +tp10239 +a(g227 +V0 +tp10240 +a(g212 +V\u000a +tp10241 +a(g212 +V. +tp10242 +a(g134 +VIP +p10243 +tp10244 +a(g212 +V +tp10245 +a(g259 +V"abs" +p10246 +tp10247 +a(g212 +V +tp10248 +a(g227 +V8 +tp10249 +a(g212 +V\u000a +tp10250 +a(g212 +V. +tp10251 +a(g134 +VIX +p10252 +tp10253 +a(g212 +V +tp10254 +a(g240 +VItem +p10255 +tp10256 +a(g212 +V +tp10257 +a(g259 +V"abs" +p10258 +tp10259 +a(g212 +V\u000a +tp10260 +a(g212 +V. +tp10261 +a(g134 +VPD +p10262 +tp10263 +a(g212 +V\u000a +tp10264 +a(g212 +VReturns the absolute value of its argument. +p10265 +tp10266 +a(g212 +V\u000a +tp10267 +a(g212 +VIf +p10268 +tp10269 +a(g266 +V\u005cs +p10270 +tp10271 +a(g212 +V-1VALUE +p10272 +tp10273 +a(g266 +V\u005cs +p10274 +tp10275 +a(g212 +V0 is omitted, uses +p10276 +tp10277 +a(g266 +V\u005cf +p10278 +tp10279 +a(g212 +V(CW$_ +p10280 +tp10281 +a(g266 +V\u005cfR +p10282 +tp10283 +a(g212 +V. +tp10284 +a(g212 +V\u000a +tp10285 +a(g212 +V. +tp10286 +a(g134 +VIP +p10287 +tp10288 +a(g212 +V +tp10289 +a(g259 +V"accept \u005cs-1NEWSOCKET\u005cs0,GENERICSOCKET" +p10290 +tp10291 +a(g212 +V +tp10292 +a(g227 +V8 +tp10293 +a(g212 +V\u000a +tp10294 +a(g212 +V. +tp10295 +a(g134 +VIX +p10296 +tp10297 +a(g212 +V +tp10298 +a(g240 +VXref +p10299 +tp10300 +a(g212 +V +tp10301 +a(g259 +V"accept" +p10302 +tp10303 +a(g212 +V\u000a +tp10304 +a(g212 +V. +tp10305 +a(g134 +VIX +p10306 +tp10307 +a(g212 +V +tp10308 +a(g240 +VItem +p10309 +tp10310 +a(g212 +V +tp10311 +a(g259 +V"accept NEWSOCKET,GENERICSOCKET" +p10312 +tp10313 +a(g212 +V\u000a +tp10314 +a(g212 +VAccepts an incoming socket connect, just as the +p10315 +tp10316 +a(g266 +V\u005cfI +p10317 +tp10318 +a(g212 +Vaccept +p10319 +tp10320 +a(g266 +V\u005cfR +p10321 +tp10322 +a(g266 +V\u005c| +p10323 +tp10324 +a(g212 +V(2) system call +p10325 +tp10326 +a(g212 +V\u000a +tp10327 +a(g212 +Vdoes. Returns the packed address if it succeeded, false otherwise. +p10328 +tp10329 +a(g212 +V\u000a +tp10330 +a(g212 +VSee the example in +p10331 +tp10332 +a(g266 +V\u005c* +p10333 +tp10334 +a(g212 +V(L"Sockets: Client/Server Communication +p10335 +tp10336 +a(g266 +V\u005c* +p10337 +tp10338 +a(g212 +V(R" in perlipc. +p10339 +tp10340 +a(g212 +V\u000a +tp10341 +a(g212 +V. +tp10342 +a(g134 +VSp +p10343 +tp10344 +a(g212 +V\u000a +tp10345 +a(g212 +VOn systems that support a close-on-exec flag on files, the flag will +p10346 +tp10347 +a(g212 +V\u000a +tp10348 +a(g212 +Vbe set for the newly opened file descriptor, as determined by the +p10349 +tp10350 +a(g212 +V\u000a +tp10351 +a(g212 +Vvalue of $^F. See +p10352 +tp10353 +a(g266 +V\u005c* +p10354 +tp10355 +a(g212 +V(L"$^F +p10356 +tp10357 +a(g266 +V\u005c* +p10358 +tp10359 +a(g212 +V(R" in perlvar. +p10360 +tp10361 +a(g212 +V\u000a +tp10362 +a(g212 +V. +tp10363 +a(g134 +VIP +p10364 +tp10365 +a(g212 +V +tp10366 +a(g259 +V"alarm \u005cs-1SECONDS\u005cs0" +p10367 +tp10368 +a(g212 +V +tp10369 +a(g227 +V8 +tp10370 +a(g212 +V\u000a +tp10371 +a(g212 +V. +tp10372 +a(g134 +VIX +p10373 +tp10374 +a(g212 +V +tp10375 +a(g240 +VXref +p10376 +tp10377 +a(g212 +V +tp10378 +a(g259 +V"alarm SIGALRM timer" +p10379 +tp10380 +a(g212 +V\u000a +tp10381 +a(g212 +V. +tp10382 +a(g134 +VIX +p10383 +tp10384 +a(g212 +V +tp10385 +a(g240 +VItem +p10386 +tp10387 +a(g212 +V +tp10388 +a(g259 +V"alarm SECONDS" +p10389 +tp10390 +a(g212 +V\u000a +tp10391 +a(g212 +V. +tp10392 +a(g134 +VPD +p10393 +tp10394 +a(g212 +V +tp10395 +a(g227 +V0 +tp10396 +a(g212 +V\u000a +tp10397 +a(g212 +V. +tp10398 +a(g134 +VIP +p10399 +tp10400 +a(g212 +V +tp10401 +a(g259 +V"alarm" +p10402 +tp10403 +a(g212 +V +tp10404 +a(g227 +V8 +tp10405 +a(g212 +V\u000a +tp10406 +a(g212 +V. +tp10407 +a(g134 +VIX +p10408 +tp10409 +a(g212 +V +tp10410 +a(g240 +VItem +p10411 +tp10412 +a(g212 +V +tp10413 +a(g259 +V"alarm" +p10414 +tp10415 +a(g212 +V\u000a +tp10416 +a(g212 +V. +tp10417 +a(g134 +VPD +p10418 +tp10419 +a(g212 +V\u000a +tp10420 +a(g212 +VArranges to have a +p10421 +tp10422 +a(g266 +V\u005cs +p10423 +tp10424 +a(g212 +V-1SIGALRM +p10425 +tp10426 +a(g266 +V\u005cs +p10427 +tp10428 +a(g212 +V0 delivered to this process after the +p10429 +tp10430 +a(g212 +V\u000a +tp10431 +a(g212 +Vspecified number of wallclock seconds has elapsed. If +p10432 +tp10433 +a(g266 +V\u005cs +p10434 +tp10435 +a(g212 +V-1SECONDS +p10436 +tp10437 +a(g266 +V\u005cs +p10438 +tp10439 +a(g212 +V0 is not +p10440 +tp10441 +a(g212 +V\u000a +tp10442 +a(g212 +Vspecified, the value stored in +p10443 +tp10444 +a(g266 +V\u005cf +p10445 +tp10446 +a(g212 +V(CW$_ +p10447 +tp10448 +a(g266 +V\u005cfR +p10449 +tp10450 +a(g212 +V is used. (On some machines, +p10451 +tp10452 +a(g212 +V\u000a +tp10453 +a(g212 +Vunfortunately, the elapsed time may be up to one second less or more +p10454 +tp10455 +a(g212 +V\u000a +tp10456 +a(g212 +Vthan you specified because of how seconds are counted, and process +p10457 +tp10458 +a(g212 +V\u000a +tp10459 +a(g212 +Vscheduling may delay the delivery of the signal even further.) +p10460 +tp10461 +a(g212 +V\u000a +tp10462 +a(g212 +V. +tp10463 +a(g134 +VSp +p10464 +tp10465 +a(g212 +V\u000a +tp10466 +a(g212 +VOnly one timer may be counting at once. Each call disables the +p10467 +tp10468 +a(g212 +V\u000a +tp10469 +a(g212 +Vprevious timer, and an argument of +p10470 +tp10471 +a(g266 +V\u005cf +p10472 +tp10473 +a(g212 +V(CW0 +p10474 +tp10475 +a(g266 +V\u005cfR +p10476 +tp10477 +a(g212 +V may be supplied to cancel the +p10478 +tp10479 +a(g212 +V\u000a +tp10480 +a(g212 +Vprevious timer without starting a new one. The returned value is the +p10481 +tp10482 +a(g212 +V\u000a +tp10483 +a(g212 +Vamount of time remaining on the previous timer. +p10484 +tp10485 +a(g212 +V\u000a +tp10486 +a(g212 +V. +tp10487 +a(g134 +VSp +p10488 +tp10489 +a(g212 +V\u000a +tp10490 +a(g212 +VFor delays of finer granularity than one second, you may use Perl's +p10491 +tp10492 +a(g212 +V\u000a +tp10493 +a(g212 +Vfour-argument version of +p10494 +tp10495 +a(g266 +V\u005cfI +p10496 +tp10497 +a(g212 +Vselect() +p10498 +tp10499 +a(g266 +V\u005cfR +p10500 +tp10501 +a(g212 +V leaving the first three arguments +p10502 +tp10503 +a(g212 +V\u000a +tp10504 +a(g212 +Vundefined, or you might be able to use the +p10505 +tp10506 +a(g266 +V\u005cf +p10507 +tp10508 +a(g212 +V(CW +p10509 +tp10510 +a(g266 +V\u005c* +p10511 +tp10512 +a(g212 +V(C`syscall +p10513 +tp10514 +a(g266 +V\u005c* +p10515 +tp10516 +a(g212 +V(C' +p10517 +tp10518 +a(g266 +V\u005cfR +p10519 +tp10520 +a(g212 +V interface to +p10521 +tp10522 +a(g212 +V\u000a +tp10523 +a(g212 +Vaccess +p10524 +tp10525 +a(g266 +V\u005cfI +p10526 +tp10527 +a(g212 +Vsetitimer +p10528 +tp10529 +a(g266 +V\u005cfR +p10530 +tp10531 +a(g266 +V\u005c| +p10532 +tp10533 +a(g212 +V(2) if your system supports it. The Time::HiRes +p10534 +tp10535 +a(g212 +V\u000a +tp10536 +a(g212 +Vmodule (from +p10537 +tp10538 +a(g266 +V\u005cs +p10539 +tp10540 +a(g212 +V-1CPAN +p10541 +tp10542 +a(g266 +V\u005cs +p10543 +tp10544 +a(g212 +V0, and starting from Perl 5.8 part of the standard +p10545 +tp10546 +a(g212 +V\u000a +tp10547 +a(g212 +Vdistribution) may also prove useful. +p10548 +tp10549 +a(g212 +V\u000a +tp10550 +a(g212 +V. +tp10551 +a(g134 +VSp +p10552 +tp10553 +a(g212 +V\u000a +tp10554 +a(g212 +VIt is usually a mistake to intermix +p10555 +tp10556 +a(g266 +V\u005cf +p10557 +tp10558 +a(g212 +V(CW +p10559 +tp10560 +a(g266 +V\u005c* +p10561 +tp10562 +a(g212 +V(C`alarm +p10563 +tp10564 +a(g266 +V\u005c* +p10565 +tp10566 +a(g212 +V(C' +p10567 +tp10568 +a(g266 +V\u005cfR +p10569 +tp10570 +a(g212 +V and +p10571 +tp10572 +a(g266 +V\u005cf +p10573 +tp10574 +a(g212 +V(CW +p10575 +tp10576 +a(g266 +V\u005c* +p10577 +tp10578 +a(g212 +V(C`sleep +p10579 +tp10580 +a(g266 +V\u005c* +p10581 +tp10582 +a(g212 +V(C' +p10583 +tp10584 +a(g266 +V\u005cfR +p10585 +tp10586 +a(g212 +V calls. +p10587 +tp10588 +a(g212 +V\u000a +tp10589 +a(g212 +V( +tp10590 +a(g266 +V\u005cf +p10591 +tp10592 +a(g212 +V(CW +p10593 +tp10594 +a(g266 +V\u005c* +p10595 +tp10596 +a(g212 +V(C`sleep +p10597 +tp10598 +a(g266 +V\u005c* +p10599 +tp10600 +a(g212 +V(C' +p10601 +tp10602 +a(g266 +V\u005cfR +p10603 +tp10604 +a(g212 +V may be internally implemented in your system with +p10605 +tp10606 +a(g266 +V\u005cf +p10607 +tp10608 +a(g212 +V(CW +p10609 +tp10610 +a(g266 +V\u005c* +p10611 +tp10612 +a(g212 +V(C`alarm +p10613 +tp10614 +a(g266 +V\u005c* +p10615 +tp10616 +a(g212 +V(C' +p10617 +tp10618 +a(g266 +V\u005cfR +p10619 +tp10620 +a(g212 +V) +tp10621 +a(g212 +V\u000a +tp10622 +a(g212 +V. +tp10623 +a(g134 +VSp +p10624 +tp10625 +a(g212 +V\u000a +tp10626 +a(g212 +VIf you want to use +p10627 +tp10628 +a(g266 +V\u005cf +p10629 +tp10630 +a(g212 +V(CW +p10631 +tp10632 +a(g266 +V\u005c* +p10633 +tp10634 +a(g212 +V(C`alarm +p10635 +tp10636 +a(g266 +V\u005c* +p10637 +tp10638 +a(g212 +V(C' +p10639 +tp10640 +a(g266 +V\u005cfR +p10641 +tp10642 +a(g212 +V to time out a system call you need to use an +p10643 +tp10644 +a(g212 +V\u000a +tp10645 +a(g212 +V +tp10646 +a(g266 +V\u005c& +p10647 +tp10648 +a(g266 +V\u005cf +p10649 +tp10650 +a(g212 +V(CW +p10651 +tp10652 +a(g266 +V\u005c* +p10653 +tp10654 +a(g212 +V(C`eval +p10655 +tp10656 +a(g266 +V\u005c* +p10657 +tp10658 +a(g212 +V(C' +p10659 +tp10660 +a(g266 +V\u005cfR +p10661 +tp10662 +a(g212 +V/ +tp10663 +a(g266 +V\u005cf +p10664 +tp10665 +a(g212 +V(CW +p10666 +tp10667 +a(g266 +V\u005c* +p10668 +tp10669 +a(g212 +V(C`die +p10670 +tp10671 +a(g266 +V\u005c* +p10672 +tp10673 +a(g212 +V(C' +p10674 +tp10675 +a(g266 +V\u005cfR +p10676 +tp10677 +a(g212 +V pair. You can't rely on the alarm causing the system call to +p10678 +tp10679 +a(g212 +V\u000a +tp10680 +a(g212 +Vfail with +p10681 +tp10682 +a(g266 +V\u005cf +p10683 +tp10684 +a(g212 +V(CW$! +p10685 +tp10686 +a(g266 +V\u005cfR +p10687 +tp10688 +a(g212 +V set to +p10689 +tp10690 +a(g266 +V\u005cf +p10691 +tp10692 +a(g212 +V(CW +p10693 +tp10694 +a(g266 +V\u005c* +p10695 +tp10696 +a(g212 +V(C`EINTR +p10697 +tp10698 +a(g266 +V\u005c* +p10699 +tp10700 +a(g212 +V(C' +p10701 +tp10702 +a(g266 +V\u005cfR +p10703 +tp10704 +a(g212 +V because Perl sets up signal handlers to +p10705 +tp10706 +a(g212 +V\u000a +tp10707 +a(g212 +Vrestart system calls on some systems. Using +p10708 +tp10709 +a(g266 +V\u005cf +p10710 +tp10711 +a(g212 +V(CW +p10712 +tp10713 +a(g266 +V\u005c* +p10714 +tp10715 +a(g212 +V(C`eval +p10716 +tp10717 +a(g266 +V\u005c* +p10718 +tp10719 +a(g212 +V(C' +p10720 +tp10721 +a(g266 +V\u005cfR +p10722 +tp10723 +a(g212 +V/ +tp10724 +a(g266 +V\u005cf +p10725 +tp10726 +a(g212 +V(CW +p10727 +tp10728 +a(g266 +V\u005c* +p10729 +tp10730 +a(g212 +V(C`die +p10731 +tp10732 +a(g266 +V\u005c* +p10733 +tp10734 +a(g212 +V(C' +p10735 +tp10736 +a(g266 +V\u005cfR +p10737 +tp10738 +a(g212 +V always works, +p10739 +tp10740 +a(g212 +V\u000a +tp10741 +a(g212 +Vmodulo the caveats given in +p10742 +tp10743 +a(g266 +V\u005c* +p10744 +tp10745 +a(g212 +V(L"Signals +p10746 +tp10747 +a(g266 +V\u005c* +p10748 +tp10749 +a(g212 +V(R" in perlipc. +p10750 +tp10751 +a(g212 +V\u000a +tp10752 +a(g212 +V. +tp10753 +a(g134 +VSp +p10754 +tp10755 +a(g212 +V\u000a +tp10756 +a(g212 +V. +tp10757 +a(g134 +VVb +p10758 +tp10759 +a(g212 +V +tp10760 +a(g227 +V13 +p10761 +tp10762 +a(g212 +V\u000a +tp10763 +a(g212 +V +tp10764 +a(g266 +V\u005c& +p10765 +tp10766 +a(g212 +V eval { +p10767 +tp10768 +a(g212 +V\u000a +tp10769 +a(g212 +V +tp10770 +a(g266 +V\u005c& +p10771 +tp10772 +a(g212 +V local $SIG{ALRM} = sub { die "alarm +p10773 +tp10774 +a(g266 +V\u005ce +p10775 +tp10776 +a(g212 +Vn" }; # NB: +p10777 +tp10778 +a(g266 +V\u005ce +p10779 +tp10780 +a(g212 +Vn required +p10781 +tp10782 +a(g212 +V\u000a +tp10783 +a(g212 +V +tp10784 +a(g266 +V\u005c& +p10785 +tp10786 +a(g212 +V alarm $timeout; +p10787 +tp10788 +a(g212 +V\u000a +tp10789 +a(g212 +V +tp10790 +a(g266 +V\u005c& +p10791 +tp10792 +a(g212 +V $nread = sysread SOCKET, $buffer, $size; +p10793 +tp10794 +a(g212 +V\u000a +tp10795 +a(g212 +V +tp10796 +a(g266 +V\u005c& +p10797 +tp10798 +a(g212 +V alarm 0; +p10799 +tp10800 +a(g212 +V\u000a +tp10801 +a(g212 +V +tp10802 +a(g266 +V\u005c& +p10803 +tp10804 +a(g212 +V }; +p10805 +tp10806 +a(g212 +V\u000a +tp10807 +a(g212 +V +tp10808 +a(g266 +V\u005c& +p10809 +tp10810 +a(g212 +V if ($@) { +p10811 +tp10812 +a(g212 +V\u000a +tp10813 +a(g212 +V +tp10814 +a(g266 +V\u005c& +p10815 +tp10816 +a(g212 +V die unless $@ eq "alarm +p10817 +tp10818 +a(g266 +V\u005ce +p10819 +tp10820 +a(g212 +Vn"; # propagate unexpected errors +p10821 +tp10822 +a(g212 +V\u000a +tp10823 +a(g212 +V +tp10824 +a(g266 +V\u005c& +p10825 +tp10826 +a(g212 +V # timed out +p10827 +tp10828 +a(g212 +V\u000a +tp10829 +a(g212 +V +tp10830 +a(g266 +V\u005c& +p10831 +tp10832 +a(g212 +V } +p10833 +tp10834 +a(g212 +V\u000a +tp10835 +a(g212 +V +tp10836 +a(g266 +V\u005c& +p10837 +tp10838 +a(g212 +V else { +p10839 +tp10840 +a(g212 +V\u000a +tp10841 +a(g212 +V +tp10842 +a(g266 +V\u005c& +p10843 +tp10844 +a(g212 +V # didn't +p10845 +tp10846 +a(g212 +V\u000a +tp10847 +a(g212 +V +tp10848 +a(g266 +V\u005c& +p10849 +tp10850 +a(g212 +V } +p10851 +tp10852 +a(g212 +V\u000a +tp10853 +a(g212 +V. +tp10854 +a(g134 +VVe +p10855 +tp10856 +a(g212 +V\u000a +tp10857 +a(g212 +V. +tp10858 +a(g134 +VSp +p10859 +tp10860 +a(g212 +V\u000a +tp10861 +a(g212 +VFor more information see perlipc. +p10862 +tp10863 +a(g212 +V\u000a +tp10864 +a(g212 +V. +tp10865 +a(g134 +VIP +p10866 +tp10867 +a(g212 +V +tp10868 +a(g259 +V"atan2 Y,X" +p10869 +tp10870 +a(g212 +V +tp10871 +a(g227 +V8 +tp10872 +a(g212 +V\u000a +tp10873 +a(g212 +V. +tp10874 +a(g134 +VIX +p10875 +tp10876 +a(g212 +V +tp10877 +a(g240 +VXref +p10878 +tp10879 +a(g212 +V +tp10880 +a(g259 +V"atan2 arctangent tan tangent" +p10881 +tp10882 +a(g212 +V\u000a +tp10883 +a(g212 +V. +tp10884 +a(g134 +VIX +p10885 +tp10886 +a(g212 +V +tp10887 +a(g240 +VItem +p10888 +tp10889 +a(g212 +V +tp10890 +a(g259 +V"atan2 Y,X" +p10891 +tp10892 +a(g212 +V\u000a +tp10893 +a(g212 +VReturns the arctangent of Y/X in the range +p10894 +tp10895 +a(g266 +V\u005c- +p10896 +tp10897 +a(g212 +VPI to +p10898 +tp10899 +a(g266 +V\u005cs +p10900 +tp10901 +a(g212 +V-1PI +p10902 +tp10903 +a(g266 +V\u005cs +p10904 +tp10905 +a(g212 +V0. +p10906 +tp10907 +a(g212 +V\u000a +tp10908 +a(g212 +V. +tp10909 +a(g134 +VSp +p10910 +tp10911 +a(g212 +V\u000a +tp10912 +a(g212 +VFor the tangent operation, you may use the +p10913 +tp10914 +a(g266 +V\u005cf +p10915 +tp10916 +a(g212 +V(CW +p10917 +tp10918 +a(g266 +V\u005c* +p10919 +tp10920 +a(g212 +V(C`Math::Trig::tan +p10921 +tp10922 +a(g266 +V\u005c* +p10923 +tp10924 +a(g212 +V(C' +p10925 +tp10926 +a(g266 +V\u005cfR +p10927 +tp10928 +a(g212 +V\u000a +tp10929 +a(g212 +Vfunction, or use the familiar relation: +p10930 +tp10931 +a(g212 +V\u000a +tp10932 +a(g212 +V. +tp10933 +a(g134 +VSp +p10934 +tp10935 +a(g212 +V\u000a +tp10936 +a(g212 +V. +tp10937 +a(g134 +VVb +p10938 +tp10939 +a(g212 +V +tp10940 +a(g227 +V1 +tp10941 +a(g212 +V\u000a +tp10942 +a(g212 +V +tp10943 +a(g266 +V\u005c& +p10944 +tp10945 +a(g212 +V sub tan { sin($_[0]) / cos($_[0]) } +p10946 +tp10947 +a(g212 +V\u000a +tp10948 +a(g212 +V. +tp10949 +a(g134 +VVe +p10950 +tp10951 +a(g212 +V\u000a +tp10952 +a(g212 +V. +tp10953 +a(g134 +VSp +p10954 +tp10955 +a(g212 +V\u000a +tp10956 +a(g212 +VNote that atan2(0, 0) is not well +p10957 +tp10958 +a(g266 +V\u005c- +p10959 +tp10960 +a(g212 +Vdefined. +p10961 +tp10962 +a(g212 +V\u000a +tp10963 +a(g212 +V. +tp10964 +a(g134 +VIP +p10965 +tp10966 +a(g212 +V +tp10967 +a(g259 +V"bind \u005cs-1SOCKET\u005cs0,NAME" +p10968 +tp10969 +a(g212 +V +tp10970 +a(g227 +V8 +tp10971 +a(g212 +V\u000a +tp10972 +a(g212 +V. +tp10973 +a(g134 +VIX +p10974 +tp10975 +a(g212 +V +tp10976 +a(g240 +VXref +p10977 +tp10978 +a(g212 +V +tp10979 +a(g259 +V"bind" +p10980 +tp10981 +a(g212 +V\u000a +tp10982 +a(g212 +V. +tp10983 +a(g134 +VIX +p10984 +tp10985 +a(g212 +V +tp10986 +a(g240 +VItem +p10987 +tp10988 +a(g212 +V +tp10989 +a(g259 +V"bind SOCKET,NAME" +p10990 +tp10991 +a(g212 +V\u000a +tp10992 +a(g212 +VBinds a network address to a socket, just as the bind system call +p10993 +tp10994 +a(g212 +V\u000a +tp10995 +a(g212 +Vdoes. Returns true if it succeeded, false otherwise. +p10996 +tp10997 +a(g266 +V\u005cs +p10998 +tp10999 +a(g212 +V-1NAME +p11000 +tp11001 +a(g266 +V\u005cs +p11002 +tp11003 +a(g212 +V0 should be a +p11004 +tp11005 +a(g212 +V\u000a +tp11006 +a(g212 +Vpacked address of the appropriate type for the socket. See the examples in +p11007 +tp11008 +a(g212 +V\u000a +tp11009 +a(g212 +V +tp11010 +a(g266 +V\u005c& +p11011 +tp11012 +a(g266 +V\u005c* +p11013 +tp11014 +a(g212 +V(L"Sockets: Client/Server Communication +p11015 +tp11016 +a(g266 +V\u005c* +p11017 +tp11018 +a(g212 +V(R" in perlipc. +p11019 +tp11020 +a(g212 +V\u000a +tp11021 +a(g212 +V. +tp11022 +a(g134 +VIP +p11023 +tp11024 +a(g212 +V +tp11025 +a(g259 +V"binmode \u005cs-1FILEHANDLE\u005cs0, \u005cs-1LAYER\u005cs0" +p11026 +tp11027 +a(g212 +V +tp11028 +a(g227 +V8 +tp11029 +a(g212 +V\u000a +tp11030 +a(g212 +V. +tp11031 +a(g134 +VIX +p11032 +tp11033 +a(g212 +V +tp11034 +a(g240 +VXref +p11035 +tp11036 +a(g212 +V +tp11037 +a(g259 +V"binmode binary text DOS Windows" +p11038 +tp11039 +a(g212 +V\u000a +tp11040 +a(g212 +V. +tp11041 +a(g134 +VIX +p11042 +tp11043 +a(g212 +V +tp11044 +a(g240 +VItem +p11045 +tp11046 +a(g212 +V +tp11047 +a(g259 +V"binmode FILEHANDLE, LAYER" +p11048 +tp11049 +a(g212 +V\u000a +tp11050 +a(g212 +V. +tp11051 +a(g134 +VPD +p11052 +tp11053 +a(g212 +V +tp11054 +a(g227 +V0 +tp11055 +a(g212 +V\u000a +tp11056 +a(g212 +V. +tp11057 +a(g134 +VIP +p11058 +tp11059 +a(g212 +V +tp11060 +a(g259 +V"binmode \u005cs-1FILEHANDLE\u005cs0" +p11061 +tp11062 +a(g212 +V +tp11063 +a(g227 +V8 +tp11064 +a(g212 +V\u000a +tp11065 +a(g212 +V. +tp11066 +a(g134 +VIX +p11067 +tp11068 +a(g212 +V +tp11069 +a(g240 +VItem +p11070 +tp11071 +a(g212 +V +tp11072 +a(g259 +V"binmode FILEHANDLE" +p11073 +tp11074 +a(g212 +V\u000a +tp11075 +a(g212 +V. +tp11076 +a(g134 +VPD +p11077 +tp11078 +a(g212 +V\u000a +tp11079 +a(g212 +VArranges for +p11080 +tp11081 +a(g266 +V\u005cs +p11082 +tp11083 +a(g212 +V-1FILEHANDLE +p11084 +tp11085 +a(g266 +V\u005cs +p11086 +tp11087 +a(g212 +V0 to be read or written in +p11088 +tp11089 +a(g266 +V\u005c* +p11090 +tp11091 +a(g212 +V(L"binary +p11092 +tp11093 +a(g266 +V\u005c* +p11094 +tp11095 +a(g212 +V(R" or +p11096 +tp11097 +a(g266 +V\u005c* +p11098 +tp11099 +a(g212 +V(L"text +p11100 +tp11101 +a(g266 +V\u005c* +p11102 +tp11103 +a(g212 +V(R" +p11104 +tp11105 +a(g212 +V\u000a +tp11106 +a(g212 +Vmode on systems where the run-time libraries distinguish between +p11107 +tp11108 +a(g212 +V\u000a +tp11109 +a(g212 +Vbinary and text files. If +p11110 +tp11111 +a(g266 +V\u005cs +p11112 +tp11113 +a(g212 +V-1FILEHANDLE +p11114 +tp11115 +a(g266 +V\u005cs +p11116 +tp11117 +a(g212 +V0 is an expression, the value is +p11118 +tp11119 +a(g212 +V\u000a +tp11120 +a(g212 +Vtaken as the name of the filehandle. Returns true on success, +p11121 +tp11122 +a(g212 +V\u000a +tp11123 +a(g212 +Votherwise it returns +p11124 +tp11125 +a(g266 +V\u005cf +p11126 +tp11127 +a(g212 +V(CW +p11128 +tp11129 +a(g266 +V\u005c* +p11130 +tp11131 +a(g212 +V(C`undef +p11132 +tp11133 +a(g266 +V\u005c* +p11134 +tp11135 +a(g212 +V(C' +p11136 +tp11137 +a(g266 +V\u005cfR +p11138 +tp11139 +a(g212 +V and sets +p11140 +tp11141 +a(g266 +V\u005cf +p11142 +tp11143 +a(g212 +V(CW$! +p11144 +tp11145 +a(g266 +V\u005cfR +p11146 +tp11147 +a(g212 +V (errno). +p11148 +tp11149 +a(g212 +V\u000a +tp11150 +a(g212 +V. +tp11151 +a(g134 +VSp +p11152 +tp11153 +a(g212 +V\u000a +tp11154 +a(g212 +VOn some systems (in general, +p11155 +tp11156 +a(g266 +V\u005cs +p11157 +tp11158 +a(g212 +V-1DOS +p11159 +tp11160 +a(g266 +V\u005cs +p11161 +tp11162 +a(g212 +V0 and Windows-based systems) +p11163 +tp11164 +a(g266 +V\u005cfI +p11165 +tp11166 +a(g212 +Vbinmode() +p11167 +tp11168 +a(g266 +V\u005cfR +p11169 +tp11170 +a(g212 +V\u000a +tp11171 +a(g212 +Vis necessary when you're not working with a text file. For the sake +p11172 +tp11173 +a(g212 +V\u000a +tp11174 +a(g212 +Vof portability it is a good idea to always use it when appropriate, +p11175 +tp11176 +a(g212 +V\u000a +tp11177 +a(g212 +Vand to never use it when it isn't appropriate. Also, people can +p11178 +tp11179 +a(g212 +V\u000a +tp11180 +a(g212 +Vset their I/O to be by default +p11181 +tp11182 +a(g266 +V\u005cs +p11183 +tp11184 +a(g212 +V-1UTF +p11185 +tp11186 +a(g266 +V\u005c- +p11187 +tp11188 +a(g212 +V8 +tp11189 +a(g266 +V\u005cs +p11190 +tp11191 +a(g212 +V0 encoded Unicode, not bytes. +p11192 +tp11193 +a(g212 +V\u000a +tp11194 +a(g212 +V. +tp11195 +a(g134 +VSp +p11196 +tp11197 +a(g212 +V\u000a +tp11198 +a(g212 +VIn other words: regardless of platform, use +p11199 +tp11200 +a(g266 +V\u005cfI +p11201 +tp11202 +a(g212 +Vbinmode() +p11203 +tp11204 +a(g266 +V\u005cfR +p11205 +tp11206 +a(g212 +V on binary data, +p11207 +tp11208 +a(g212 +V\u000a +tp11209 +a(g212 +Vlike for example images. +p11210 +tp11211 +a(g212 +V\u000a +tp11212 +a(g212 +V. +tp11213 +a(g134 +VSp +p11214 +tp11215 +a(g212 +V\u000a +tp11216 +a(g212 +VIf +p11217 +tp11218 +a(g266 +V\u005cs +p11219 +tp11220 +a(g212 +V-1LAYER +p11221 +tp11222 +a(g266 +V\u005cs +p11223 +tp11224 +a(g212 +V0 is present it is a single string, but may contain multiple +p11225 +tp11226 +a(g212 +V\u000a +tp11227 +a(g212 +Vdirectives. The directives alter the behaviour of the file handle. +p11228 +tp11229 +a(g212 +V\u000a +tp11230 +a(g212 +VWhen +p11231 +tp11232 +a(g266 +V\u005cs +p11233 +tp11234 +a(g212 +V-1LAYER +p11235 +tp11236 +a(g266 +V\u005cs +p11237 +tp11238 +a(g212 +V0 is present using binmode on text file makes sense. +p11239 +tp11240 +a(g212 +V\u000a +tp11241 +a(g212 +V. +tp11242 +a(g134 +VSp +p11243 +tp11244 +a(g212 +V\u000a +tp11245 +a(g212 +VIf +p11246 +tp11247 +a(g266 +V\u005cs +p11248 +tp11249 +a(g212 +V-1LAYER +p11250 +tp11251 +a(g266 +V\u005cs +p11252 +tp11253 +a(g212 +V0 is omitted or specified as +p11254 +tp11255 +a(g266 +V\u005cf +p11256 +tp11257 +a(g212 +V(CW +p11258 +tp11259 +a(g266 +V\u005c* +p11260 +tp11261 +a(g212 +V(C`:raw +p11262 +tp11263 +a(g266 +V\u005c* +p11264 +tp11265 +a(g212 +V(C' +p11266 +tp11267 +a(g266 +V\u005cfR +p11268 +tp11269 +a(g212 +V the filehandle is made +p11270 +tp11271 +a(g212 +V\u000a +tp11272 +a(g212 +Vsuitable for passing binary data. This includes turning off possible +p11273 +tp11274 +a(g266 +V\u005cs +p11275 +tp11276 +a(g212 +V-1CRLF +p11277 +tp11278 +a(g266 +V\u005cs +p11279 +tp11280 +a(g212 +V0 +tp11281 +a(g212 +V\u000a +tp11282 +a(g212 +Vtranslation and marking it as bytes (as opposed to Unicode characters). +p11283 +tp11284 +a(g212 +V\u000a +tp11285 +a(g212 +VNote that, despite what may be implied in +p11286 +tp11287 +a(g266 +V\u005cfI +p11288 +tp11289 +a(g266 +V\u005c* +p11290 +tp11291 +a(g212 +V(L"Programming Perl +p11292 +tp11293 +a(g266 +V\u005c* +p11294 +tp11295 +a(g212 +V(R" +p11296 +tp11297 +a(g266 +V\u005cfR +p11298 +tp11299 +a(g212 +V (the +p11300 +tp11301 +a(g212 +V\u000a +tp11302 +a(g212 +VCamel) or elsewhere, +p11303 +tp11304 +a(g266 +V\u005cf +p11305 +tp11306 +a(g212 +V(CW +p11307 +tp11308 +a(g266 +V\u005c* +p11309 +tp11310 +a(g212 +V(C`:raw +p11311 +tp11312 +a(g266 +V\u005c* +p11313 +tp11314 +a(g212 +V(C' +p11315 +tp11316 +a(g266 +V\u005cfR +p11317 +tp11318 +a(g212 +V is +p11319 +tp11320 +a(g266 +V\u005cfI +p11321 +tp11322 +a(g212 +Vnot +p11323 +tp11324 +a(g266 +V\u005cfR +p11325 +tp11326 +a(g212 +V the simply inverse of +p11327 +tp11328 +a(g266 +V\u005cf +p11329 +tp11330 +a(g212 +V(CW +p11331 +tp11332 +a(g266 +V\u005c* +p11333 +tp11334 +a(g212 +V(C`:crlf +p11335 +tp11336 +a(g266 +V\u005c* +p11337 +tp11338 +a(g212 +V(C' +p11339 +tp11340 +a(g266 +V\u005cfR +p11341 +tp11342 +a(g212 +V\u000a +tp11343 +a(g212 +V +tp11344 +a(g266 +V\u005c& +p11345 +tp11346 +a(g266 +V\u005c* +p11347 +tp11348 +a(g212 +V(-- other layers which would affect binary nature of the stream are +p11349 +tp11350 +a(g212 +V\u000a +tp11351 +a(g212 +V +tp11352 +a(g266 +V\u005c& +p11353 +tp11354 +a(g266 +V\u005cfI +p11355 +tp11356 +a(g212 +Valso +p11357 +tp11358 +a(g266 +V\u005cfR +p11359 +tp11360 +a(g212 +V disabled. See PerlIO, perlrun and the discussion about the +p11361 +tp11362 +a(g212 +V\u000a +tp11363 +a(g212 +V +tp11364 +a(g266 +V\u005c& +p11365 +tp11366 +a(g266 +V\u005cs +p11367 +tp11368 +a(g212 +V-1PERLIO +p11369 +tp11370 +a(g266 +V\u005cs +p11371 +tp11372 +a(g212 +V0 environment variable. +p11373 +tp11374 +a(g212 +V\u000a +tp11375 +a(g212 +V. +tp11376 +a(g134 +VSp +p11377 +tp11378 +a(g212 +V\u000a +tp11379 +a(g212 +VThe +p11380 +tp11381 +a(g266 +V\u005cf +p11382 +tp11383 +a(g212 +V(CW +p11384 +tp11385 +a(g266 +V\u005c* +p11386 +tp11387 +a(g212 +V(C`:bytes +p11388 +tp11389 +a(g266 +V\u005c* +p11390 +tp11391 +a(g212 +V(C' +p11392 +tp11393 +a(g266 +V\u005cfR +p11394 +tp11395 +a(g212 +V, +p11396 +tp11397 +a(g266 +V\u005cf +p11398 +tp11399 +a(g212 +V(CW +p11400 +tp11401 +a(g266 +V\u005c* +p11402 +tp11403 +a(g212 +V(C`:crlf +p11404 +tp11405 +a(g266 +V\u005c* +p11406 +tp11407 +a(g212 +V(C' +p11408 +tp11409 +a(g266 +V\u005cfR +p11410 +tp11411 +a(g212 +V, and +p11412 +tp11413 +a(g266 +V\u005cf +p11414 +tp11415 +a(g212 +V(CW +p11416 +tp11417 +a(g266 +V\u005c* +p11418 +tp11419 +a(g212 +V(C`:utf8 +p11420 +tp11421 +a(g266 +V\u005c* +p11422 +tp11423 +a(g212 +V(C' +p11424 +tp11425 +a(g266 +V\u005cfR +p11426 +tp11427 +a(g212 +V, and any other directives of the +p11428 +tp11429 +a(g212 +V\u000a +tp11430 +a(g212 +Vform +p11431 +tp11432 +a(g266 +V\u005cf +p11433 +tp11434 +a(g212 +V(CW +p11435 +tp11436 +a(g266 +V\u005c* +p11437 +tp11438 +a(g212 +V(C`:... +p11439 +tp11440 +a(g266 +V\u005c* +p11441 +tp11442 +a(g212 +V(C' +p11443 +tp11444 +a(g266 +V\u005cfR +p11445 +tp11446 +a(g212 +V, are called I/O +p11447 +tp11448 +a(g266 +V\u005cfI +p11449 +tp11450 +a(g212 +Vlayers +p11451 +tp11452 +a(g266 +V\u005cfR +p11453 +tp11454 +a(g212 +V. The +p11455 +tp11456 +a(g266 +V\u005cf +p11457 +tp11458 +a(g212 +V(CW +p11459 +tp11460 +a(g266 +V\u005c* +p11461 +tp11462 +a(g212 +V(C`open +p11463 +tp11464 +a(g266 +V\u005c* +p11465 +tp11466 +a(g212 +V(C' +p11467 +tp11468 +a(g266 +V\u005cfR +p11469 +tp11470 +a(g212 +V pragma can be used to +p11471 +tp11472 +a(g212 +V\u000a +tp11473 +a(g212 +Vestablish default I/O layers. See open. +p11474 +tp11475 +a(g212 +V\u000a +tp11476 +a(g212 +V. +tp11477 +a(g134 +VSp +p11478 +tp11479 +a(g212 +V\u000a +tp11480 +a(g212 +V +tp11481 +a(g266 +V\u005c& +p11482 +tp11483 +a(g266 +V\u005cfI +p11484 +tp11485 +a(g212 +VThe +p11486 +tp11487 +a(g266 +V\u005cs +p11488 +tp11489 +a(g212 +V-1LAYER +p11490 +tp11491 +a(g266 +V\u005cs +p11492 +tp11493 +a(g212 +V0 parameter of the +p11494 +tp11495 +a(g266 +V\u005cfI +p11496 +tp11497 +a(g212 +Vbinmode() +p11498 +tp11499 +a(g266 +V\u005cfI +p11500 +tp11501 +a(g212 +V function is described as +p11502 +tp11503 +a(g266 +V\u005c* +p11504 +tp11505 +a(g212 +V(L" +p11506 +tp11507 +a(g266 +V\u005cs +p11508 +tp11509 +a(g212 +V-1DISCIPLINE +p11510 +tp11511 +a(g266 +V\u005cs +p11512 +tp11513 +a(g212 +V0 +tp11514 +a(g266 +V\u005c* +p11515 +tp11516 +a(g212 +V(R" +p11517 +tp11518 +a(g212 +V\u000a +tp11519 +a(g212 +Vin +p11520 +tp11521 +a(g266 +V\u005c* +p11522 +tp11523 +a(g212 +V(L"Programming Perl, 3rd Edition +p11524 +tp11525 +a(g266 +V\u005c* +p11526 +tp11527 +a(g212 +V(R". However, since the publishing of this +p11528 +tp11529 +a(g212 +V\u000a +tp11530 +a(g212 +Vbook, by many known as +p11531 +tp11532 +a(g266 +V\u005c* +p11533 +tp11534 +a(g212 +V(L"Camel +p11535 +tp11536 +a(g266 +V\u005cs +p11537 +tp11538 +a(g212 +V-1III +p11539 +tp11540 +a(g266 +V\u005cs +p11541 +tp11542 +a(g212 +V0 +tp11543 +a(g266 +V\u005c* +p11544 +tp11545 +a(g212 +V(R", the consensus of the naming of this +p11546 +tp11547 +a(g212 +V\u000a +tp11548 +a(g212 +Vfunctionality has moved from +p11549 +tp11550 +a(g266 +V\u005c* +p11551 +tp11552 +a(g212 +V(L"discipline +p11553 +tp11554 +a(g266 +V\u005c* +p11555 +tp11556 +a(g212 +V(R" to +p11557 +tp11558 +a(g266 +V\u005c* +p11559 +tp11560 +a(g212 +V(L"layer +p11561 +tp11562 +a(g266 +V\u005c* +p11563 +tp11564 +a(g212 +V(R". All documentation +p11565 +tp11566 +a(g212 +V\u000a +tp11567 +a(g212 +Vof this version of Perl therefore refers to +p11568 +tp11569 +a(g266 +V\u005c* +p11570 +tp11571 +a(g212 +V(L"layers +p11572 +tp11573 +a(g266 +V\u005c* +p11574 +tp11575 +a(g212 +V(R" rather than to +p11576 +tp11577 +a(g212 +V\u000a +tp11578 +a(g212 +V +tp11579 +a(g266 +V\u005c& +p11580 +tp11581 +a(g266 +V\u005c* +p11582 +tp11583 +a(g212 +V(L"disciplines +p11584 +tp11585 +a(g266 +V\u005c* +p11586 +tp11587 +a(g212 +V(R". Now back to the regularly scheduled documentation... +p11588 +tp11589 +a(g266 +V\u005cfR +p11590 +tp11591 +a(g212 +V\u000a +tp11592 +a(g212 +V. +tp11593 +a(g134 +VSp +p11594 +tp11595 +a(g212 +V\u000a +tp11596 +a(g212 +VTo mark +p11597 +tp11598 +a(g266 +V\u005cs +p11599 +tp11600 +a(g212 +V-1FILEHANDLE +p11601 +tp11602 +a(g266 +V\u005cs +p11603 +tp11604 +a(g212 +V0 as +p11605 +tp11606 +a(g266 +V\u005cs +p11607 +tp11608 +a(g212 +V-1UTF +p11609 +tp11610 +a(g266 +V\u005c- +p11611 +tp11612 +a(g212 +V8 +tp11613 +a(g266 +V\u005cs +p11614 +tp11615 +a(g212 +V0, use +p11616 +tp11617 +a(g266 +V\u005cf +p11618 +tp11619 +a(g212 +V(CW +p11620 +tp11621 +a(g266 +V\u005c* +p11622 +tp11623 +a(g212 +V(C`:utf8 +p11624 +tp11625 +a(g266 +V\u005c* +p11626 +tp11627 +a(g212 +V(C' +p11628 +tp11629 +a(g266 +V\u005cfR +p11630 +tp11631 +a(g212 +V. +tp11632 +a(g212 +V\u000a +tp11633 +a(g212 +V. +tp11634 +a(g134 +VSp +p11635 +tp11636 +a(g212 +V\u000a +tp11637 +a(g212 +VIn general, +p11638 +tp11639 +a(g266 +V\u005cfI +p11640 +tp11641 +a(g212 +Vbinmode() +p11642 +tp11643 +a(g266 +V\u005cfR +p11644 +tp11645 +a(g212 +V should be called after +p11646 +tp11647 +a(g266 +V\u005cfI +p11648 +tp11649 +a(g212 +Vopen() +p11650 +tp11651 +a(g266 +V\u005cfR +p11652 +tp11653 +a(g212 +V but before any I/O +p11654 +tp11655 +a(g212 +V\u000a +tp11656 +a(g212 +Vis done on the filehandle. Calling +p11657 +tp11658 +a(g266 +V\u005cfI +p11659 +tp11660 +a(g212 +Vbinmode() +p11661 +tp11662 +a(g266 +V\u005cfR +p11663 +tp11664 +a(g212 +V will normally flush any +p11665 +tp11666 +a(g212 +V\u000a +tp11667 +a(g212 +Vpending buffered output data (and perhaps pending input data) on the +p11668 +tp11669 +a(g212 +V\u000a +tp11670 +a(g212 +Vhandle. An exception to this is the +p11671 +tp11672 +a(g266 +V\u005cf +p11673 +tp11674 +a(g212 +V(CW +p11675 +tp11676 +a(g266 +V\u005c* +p11677 +tp11678 +a(g212 +V(C`:encoding +p11679 +tp11680 +a(g266 +V\u005c* +p11681 +tp11682 +a(g212 +V(C' +p11683 +tp11684 +a(g266 +V\u005cfR +p11685 +tp11686 +a(g212 +V layer that +p11687 +tp11688 +a(g212 +V\u000a +tp11689 +a(g212 +Vchanges the default character encoding of the handle, see open. +p11690 +tp11691 +a(g212 +V\u000a +tp11692 +a(g212 +VThe +p11693 +tp11694 +a(g266 +V\u005cf +p11695 +tp11696 +a(g212 +V(CW +p11697 +tp11698 +a(g266 +V\u005c* +p11699 +tp11700 +a(g212 +V(C`:encoding +p11701 +tp11702 +a(g266 +V\u005c* +p11703 +tp11704 +a(g212 +V(C' +p11705 +tp11706 +a(g266 +V\u005cfR +p11707 +tp11708 +a(g212 +V layer sometimes needs to be called in +p11709 +tp11710 +a(g212 +V\u000a +tp11711 +a(g212 +Vmid +p11712 +tp11713 +a(g266 +V\u005c- +p11714 +tp11715 +a(g212 +Vstream, and it doesn't flush the stream. The +p11716 +tp11717 +a(g266 +V\u005cf +p11718 +tp11719 +a(g212 +V(CW +p11720 +tp11721 +a(g266 +V\u005c* +p11722 +tp11723 +a(g212 +V(C`:encoding +p11724 +tp11725 +a(g266 +V\u005c* +p11726 +tp11727 +a(g212 +V(C' +p11728 +tp11729 +a(g266 +V\u005cfR +p11730 +tp11731 +a(g212 +V\u000a +tp11732 +a(g212 +Valso implicitly pushes on top of itself the +p11733 +tp11734 +a(g266 +V\u005cf +p11735 +tp11736 +a(g212 +V(CW +p11737 +tp11738 +a(g266 +V\u005c* +p11739 +tp11740 +a(g212 +V(C`:utf8 +p11741 +tp11742 +a(g266 +V\u005c* +p11743 +tp11744 +a(g212 +V(C' +p11745 +tp11746 +a(g266 +V\u005cfR +p11747 +tp11748 +a(g212 +V layer because +p11749 +tp11750 +a(g212 +V\u000a +tp11751 +a(g212 +Vinternally Perl will operate on +p11752 +tp11753 +a(g266 +V\u005cs +p11754 +tp11755 +a(g212 +V-1UTF +p11756 +tp11757 +a(g266 +V\u005c- +p11758 +tp11759 +a(g212 +V8 +tp11760 +a(g266 +V\u005cs +p11761 +tp11762 +a(g212 +V0 encoded Unicode characters. +p11763 +tp11764 +a(g212 +V\u000a +tp11765 +a(g212 +V. +tp11766 +a(g134 +VSp +p11767 +tp11768 +a(g212 +V\u000a +tp11769 +a(g212 +VThe operating system, device drivers, C libraries, and Perl run-time +p11770 +tp11771 +a(g212 +V\u000a +tp11772 +a(g212 +Vsystem all work together to let the programmer treat a single +p11773 +tp11774 +a(g212 +V\u000a +tp11775 +a(g212 +Vcharacter ( +p11776 +tp11777 +a(g266 +V\u005cf +p11778 +tp11779 +a(g212 +V(CW +p11780 +tp11781 +a(g266 +V\u005c* +p11782 +tp11783 +a(g212 +V(C` +p11784 +tp11785 +a(g266 +V\u005ce +p11786 +tp11787 +a(g212 +Vn +tp11788 +a(g266 +V\u005c* +p11789 +tp11790 +a(g212 +V(C' +p11791 +tp11792 +a(g266 +V\u005cfR +p11793 +tp11794 +a(g212 +V) as the line terminator, irrespective of the external +p11795 +tp11796 +a(g212 +V\u000a +tp11797 +a(g212 +Vrepresentation. On many operating systems, the native text file +p11798 +tp11799 +a(g212 +V\u000a +tp11800 +a(g212 +Vrepresentation matches the internal representation, but on some +p11801 +tp11802 +a(g212 +V\u000a +tp11803 +a(g212 +Vplatforms the external representation of +p11804 +tp11805 +a(g266 +V\u005cf +p11806 +tp11807 +a(g212 +V(CW +p11808 +tp11809 +a(g266 +V\u005c* +p11810 +tp11811 +a(g212 +V(C` +p11812 +tp11813 +a(g266 +V\u005ce +p11814 +tp11815 +a(g212 +Vn +tp11816 +a(g266 +V\u005c* +p11817 +tp11818 +a(g212 +V(C' +p11819 +tp11820 +a(g266 +V\u005cfR +p11821 +tp11822 +a(g212 +V is made up of more than +p11823 +tp11824 +a(g212 +V\u000a +tp11825 +a(g212 +Vone character. +p11826 +tp11827 +a(g212 +V\u000a +tp11828 +a(g212 +V. +tp11829 +a(g134 +VSp +p11830 +tp11831 +a(g212 +V\u000a +tp11832 +a(g212 +VMac +p11833 +tp11834 +a(g266 +V\u005cs +p11835 +tp11836 +a(g212 +V-1OS +p11837 +tp11838 +a(g266 +V\u005cs +p11839 +tp11840 +a(g212 +V0, all variants of Unix, and Stream_LF files on +p11841 +tp11842 +a(g266 +V\u005cs +p11843 +tp11844 +a(g212 +V-1VMS +p11845 +tp11846 +a(g266 +V\u005cs +p11847 +tp11848 +a(g212 +V0 use a single +p11849 +tp11850 +a(g212 +V\u000a +tp11851 +a(g212 +Vcharacter to end each line in the external representation of text (even +p11852 +tp11853 +a(g212 +V\u000a +tp11854 +a(g212 +Vthough that single character is +p11855 +tp11856 +a(g266 +V\u005cs +p11857 +tp11858 +a(g212 +V-1CARRIAGE +p11859 +tp11860 +a(g266 +V\u005cs +p11861 +tp11862 +a(g212 +V0 +p11863 +tp11864 +a(g266 +V\u005cs +p11865 +tp11866 +a(g212 +V-1RETURN +p11867 +tp11868 +a(g266 +V\u005cs +p11869 +tp11870 +a(g212 +V0 on Mac +p11871 +tp11872 +a(g266 +V\u005cs +p11873 +tp11874 +a(g212 +V-1OS +p11875 +tp11876 +a(g266 +V\u005cs +p11877 +tp11878 +a(g212 +V0 and +p11879 +tp11880 +a(g266 +V\u005cs +p11881 +tp11882 +a(g212 +V-1LINE +p11883 +tp11884 +a(g266 +V\u005cs +p11885 +tp11886 +a(g212 +V0 +p11887 +tp11888 +a(g266 +V\u005cs +p11889 +tp11890 +a(g212 +V-1FEED +p11891 +tp11892 +a(g266 +V\u005cs +p11893 +tp11894 +a(g212 +V0 +tp11895 +a(g212 +V\u000a +tp11896 +a(g212 +Von Unix and most +p11897 +tp11898 +a(g266 +V\u005cs +p11899 +tp11900 +a(g212 +V-1VMS +p11901 +tp11902 +a(g266 +V\u005cs +p11903 +tp11904 +a(g212 +V0 files). In other systems like +p11905 +tp11906 +a(g266 +V\u005cs +p11907 +tp11908 +a(g212 +V-1OS/2 +p11909 +tp11910 +a(g266 +V\u005cs +p11911 +tp11912 +a(g212 +V0, +p11913 +tp11914 +a(g266 +V\u005cs +p11915 +tp11916 +a(g212 +V-1DOS +p11917 +tp11918 +a(g266 +V\u005cs +p11919 +tp11920 +a(g212 +V0 and the +p11921 +tp11922 +a(g212 +V\u000a +tp11923 +a(g212 +Vvarious flavors of MS-Windows your program sees a +p11924 +tp11925 +a(g266 +V\u005cf +p11926 +tp11927 +a(g212 +V(CW +p11928 +tp11929 +a(g266 +V\u005c* +p11930 +tp11931 +a(g212 +V(C` +p11932 +tp11933 +a(g266 +V\u005ce +p11934 +tp11935 +a(g212 +Vn +tp11936 +a(g266 +V\u005c* +p11937 +tp11938 +a(g212 +V(C' +p11939 +tp11940 +a(g266 +V\u005cfR +p11941 +tp11942 +a(g212 +V as a simple +p11943 +tp11944 +a(g266 +V\u005cf +p11945 +tp11946 +a(g212 +V(CW +p11947 +tp11948 +a(g266 +V\u005c* +p11949 +tp11950 +a(g212 +V(C` +p11951 +tp11952 +a(g266 +V\u005ce +p11953 +tp11954 +a(g212 +VcJ +p11955 +tp11956 +a(g266 +V\u005c* +p11957 +tp11958 +a(g212 +V(C' +p11959 +tp11960 +a(g266 +V\u005cfR +p11961 +tp11962 +a(g212 +V, +tp11963 +a(g212 +V\u000a +tp11964 +a(g212 +Vbut what's stored in text files are the two characters +p11965 +tp11966 +a(g266 +V\u005cf +p11967 +tp11968 +a(g212 +V(CW +p11969 +tp11970 +a(g266 +V\u005c* +p11971 +tp11972 +a(g212 +V(C` +p11973 +tp11974 +a(g266 +V\u005ce +p11975 +tp11976 +a(g212 +VcM +p11977 +tp11978 +a(g266 +V\u005ce +p11979 +tp11980 +a(g212 +VcJ +p11981 +tp11982 +a(g266 +V\u005c* +p11983 +tp11984 +a(g212 +V(C' +p11985 +tp11986 +a(g266 +V\u005cfR +p11987 +tp11988 +a(g212 +V. That +p11989 +tp11990 +a(g212 +V\u000a +tp11991 +a(g212 +Vmeans that, if you don't use +p11992 +tp11993 +a(g266 +V\u005cfI +p11994 +tp11995 +a(g212 +Vbinmode() +p11996 +tp11997 +a(g266 +V\u005cfR +p11998 +tp11999 +a(g212 +V on these systems, +p12000 +tp12001 +a(g266 +V\u005cf +p12002 +tp12003 +a(g212 +V(CW +p12004 +tp12005 +a(g266 +V\u005c* +p12006 +tp12007 +a(g212 +V(C` +p12008 +tp12009 +a(g266 +V\u005ce +p12010 +tp12011 +a(g212 +VcM +p12012 +tp12013 +a(g266 +V\u005ce +p12014 +tp12015 +a(g212 +VcJ +p12016 +tp12017 +a(g266 +V\u005c* +p12018 +tp12019 +a(g212 +V(C' +p12020 +tp12021 +a(g266 +V\u005cfR +p12022 +tp12023 +a(g212 +V\u000a +tp12024 +a(g212 +Vsequences on disk will be converted to +p12025 +tp12026 +a(g266 +V\u005cf +p12027 +tp12028 +a(g212 +V(CW +p12029 +tp12030 +a(g266 +V\u005c* +p12031 +tp12032 +a(g212 +V(C` +p12033 +tp12034 +a(g266 +V\u005ce +p12035 +tp12036 +a(g212 +Vn +tp12037 +a(g266 +V\u005c* +p12038 +tp12039 +a(g212 +V(C' +p12040 +tp12041 +a(g266 +V\u005cfR +p12042 +tp12043 +a(g212 +V on input, and any +p12044 +tp12045 +a(g266 +V\u005cf +p12046 +tp12047 +a(g212 +V(CW +p12048 +tp12049 +a(g266 +V\u005c* +p12050 +tp12051 +a(g212 +V(C` +p12052 +tp12053 +a(g266 +V\u005ce +p12054 +tp12055 +a(g212 +Vn +tp12056 +a(g266 +V\u005c* +p12057 +tp12058 +a(g212 +V(C' +p12059 +tp12060 +a(g266 +V\u005cfR +p12061 +tp12062 +a(g212 +V in +p12063 +tp12064 +a(g212 +V\u000a +tp12065 +a(g212 +Vyour program will be converted back to +p12066 +tp12067 +a(g266 +V\u005cf +p12068 +tp12069 +a(g212 +V(CW +p12070 +tp12071 +a(g266 +V\u005c* +p12072 +tp12073 +a(g212 +V(C` +p12074 +tp12075 +a(g266 +V\u005ce +p12076 +tp12077 +a(g212 +VcM +p12078 +tp12079 +a(g266 +V\u005ce +p12080 +tp12081 +a(g212 +VcJ +p12082 +tp12083 +a(g266 +V\u005c* +p12084 +tp12085 +a(g212 +V(C' +p12086 +tp12087 +a(g266 +V\u005cfR +p12088 +tp12089 +a(g212 +V on output. This is what +p12090 +tp12091 +a(g212 +V\u000a +tp12092 +a(g212 +Vyou want for text files, but it can be disastrous for binary files. +p12093 +tp12094 +a(g212 +V\u000a +tp12095 +a(g212 +V. +tp12096 +a(g134 +VSp +p12097 +tp12098 +a(g212 +V\u000a +tp12099 +a(g212 +VAnother consequence of using +p12100 +tp12101 +a(g266 +V\u005cfI +p12102 +tp12103 +a(g212 +Vbinmode() +p12104 +tp12105 +a(g266 +V\u005cfR +p12106 +tp12107 +a(g212 +V (on some systems) is that +p12108 +tp12109 +a(g212 +V\u000a +tp12110 +a(g212 +Vspecial end-of-file markers will be seen as part of the data stream. +p12111 +tp12112 +a(g212 +V\u000a +tp12113 +a(g212 +VFor systems from the Microsoft family this means that if your binary +p12114 +tp12115 +a(g212 +V\u000a +tp12116 +a(g212 +Vdata contains +p12117 +tp12118 +a(g266 +V\u005cf +p12119 +tp12120 +a(g212 +V(CW +p12121 +tp12122 +a(g266 +V\u005c* +p12123 +tp12124 +a(g212 +V(C` +p12125 +tp12126 +a(g266 +V\u005ce +p12127 +tp12128 +a(g212 +VcZ +p12129 +tp12130 +a(g266 +V\u005c* +p12131 +tp12132 +a(g212 +V(C' +p12133 +tp12134 +a(g266 +V\u005cfR +p12135 +tp12136 +a(g212 +V, the I/O subsystem will regard it as the end of +p12137 +tp12138 +a(g212 +V\u000a +tp12139 +a(g212 +Vthe file, unless you use +p12140 +tp12141 +a(g266 +V\u005cfI +p12142 +tp12143 +a(g212 +Vbinmode() +p12144 +tp12145 +a(g266 +V\u005cfR +p12146 +tp12147 +a(g212 +V. +tp12148 +a(g212 +V\u000a +tp12149 +a(g212 +V. +tp12150 +a(g134 +VSp +p12151 +tp12152 +a(g212 +V\u000a +tp12153 +a(g212 +V +tp12154 +a(g266 +V\u005c& +p12155 +tp12156 +a(g266 +V\u005cfI +p12157 +tp12158 +a(g212 +Vbinmode() +p12159 +tp12160 +a(g266 +V\u005cfR +p12161 +tp12162 +a(g212 +V is not only important for +p12163 +tp12164 +a(g266 +V\u005cfI +p12165 +tp12166 +a(g212 +Vreadline() +p12167 +tp12168 +a(g266 +V\u005cfR +p12169 +tp12170 +a(g212 +V and +p12171 +tp12172 +a(g266 +V\u005cfI +p12173 +tp12174 +a(g212 +Vprint() +p12175 +tp12176 +a(g266 +V\u005cfR +p12177 +tp12178 +a(g212 +V operations, +p12179 +tp12180 +a(g212 +V\u000a +tp12181 +a(g212 +Vbut also when using +p12182 +tp12183 +a(g266 +V\u005cfI +p12184 +tp12185 +a(g212 +Vread() +p12186 +tp12187 +a(g266 +V\u005cfR +p12188 +tp12189 +a(g212 +V, +p12190 +tp12191 +a(g266 +V\u005cfI +p12192 +tp12193 +a(g212 +Vseek() +p12194 +tp12195 +a(g266 +V\u005cfR +p12196 +tp12197 +a(g212 +V, +p12198 +tp12199 +a(g266 +V\u005cfI +p12200 +tp12201 +a(g212 +Vsysread() +p12202 +tp12203 +a(g266 +V\u005cfR +p12204 +tp12205 +a(g212 +V, +p12206 +tp12207 +a(g266 +V\u005cfI +p12208 +tp12209 +a(g212 +Vsyswrite() +p12210 +tp12211 +a(g266 +V\u005cfR +p12212 +tp12213 +a(g212 +V and +p12214 +tp12215 +a(g266 +V\u005cfI +p12216 +tp12217 +a(g212 +Vtell() +p12218 +tp12219 +a(g266 +V\u005cfR +p12220 +tp12221 +a(g212 +V\u000a +tp12222 +a(g212 +V(see perlport for more details). See the +p12223 +tp12224 +a(g266 +V\u005cf +p12225 +tp12226 +a(g212 +V(CW$/ +p12227 +tp12228 +a(g266 +V\u005cfR +p12229 +tp12230 +a(g212 +V and +p12231 +tp12232 +a(g266 +V\u005cf +p12233 +tp12234 +a(g212 +V(CW +p12235 +tp12236 +a(g266 +V\u005c* +p12237 +tp12238 +a(g212 +V(C`$ +p12239 +tp12240 +a(g266 +V\u005ce +p12241 +tp12242 +a(g266 +V\u005c* +p12243 +tp12244 +a(g212 +V(C' +p12245 +tp12246 +a(g266 +V\u005cfR +p12247 +tp12248 +a(g212 +V variables +p12249 +tp12250 +a(g212 +V\u000a +tp12251 +a(g212 +Vin perlvar for how to manually set your input and output +p12252 +tp12253 +a(g212 +V\u000a +tp12254 +a(g212 +Vline-termination sequences. +p12255 +tp12256 +a(g212 +V\u000a +tp12257 +a(g212 +V. +tp12258 +a(g134 +VIP +p12259 +tp12260 +a(g212 +V +tp12261 +a(g259 +V"bless \u005cs-1REF\u005cs0,CLASSNAME" +p12262 +tp12263 +a(g212 +V +tp12264 +a(g227 +V8 +tp12265 +a(g212 +V\u000a +tp12266 +a(g212 +V. +tp12267 +a(g134 +VIX +p12268 +tp12269 +a(g212 +V +tp12270 +a(g240 +VXref +p12271 +tp12272 +a(g212 +V +tp12273 +a(g259 +V"bless" +p12274 +tp12275 +a(g212 +V\u000a +tp12276 +a(g212 +V. +tp12277 +a(g134 +VIX +p12278 +tp12279 +a(g212 +V +tp12280 +a(g240 +VItem +p12281 +tp12282 +a(g212 +V +tp12283 +a(g259 +V"bless REF,CLASSNAME" +p12284 +tp12285 +a(g212 +V\u000a +tp12286 +a(g212 +V. +tp12287 +a(g134 +VPD +p12288 +tp12289 +a(g212 +V +tp12290 +a(g227 +V0 +tp12291 +a(g212 +V\u000a +tp12292 +a(g212 +V. +tp12293 +a(g134 +VIP +p12294 +tp12295 +a(g212 +V +tp12296 +a(g259 +V"bless \u005cs-1REF\u005cs0" +p12297 +tp12298 +a(g212 +V +tp12299 +a(g227 +V8 +tp12300 +a(g212 +V\u000a +tp12301 +a(g212 +V. +tp12302 +a(g134 +VIX +p12303 +tp12304 +a(g212 +V +tp12305 +a(g240 +VItem +p12306 +tp12307 +a(g212 +V +tp12308 +a(g259 +V"bless REF" +p12309 +tp12310 +a(g212 +V\u000a +tp12311 +a(g212 +V. +tp12312 +a(g134 +VPD +p12313 +tp12314 +a(g212 +V\u000a +tp12315 +a(g212 +VThis function tells the thingy referenced by +p12316 +tp12317 +a(g266 +V\u005cs +p12318 +tp12319 +a(g212 +V-1REF +p12320 +tp12321 +a(g266 +V\u005cs +p12322 +tp12323 +a(g212 +V0 that it is now an object +p12324 +tp12325 +a(g212 +V\u000a +tp12326 +a(g212 +Vin the +p12327 +tp12328 +a(g266 +V\u005cs +p12329 +tp12330 +a(g212 +V-1CLASSNAME +p12331 +tp12332 +a(g266 +V\u005cs +p12333 +tp12334 +a(g212 +V0 package. If +p12335 +tp12336 +a(g266 +V\u005cs +p12337 +tp12338 +a(g212 +V-1CLASSNAME +p12339 +tp12340 +a(g266 +V\u005cs +p12341 +tp12342 +a(g212 +V0 is omitted, the current package +p12343 +tp12344 +a(g212 +V\u000a +tp12345 +a(g212 +Vis used. Because a +p12346 +tp12347 +a(g266 +V\u005cf +p12348 +tp12349 +a(g212 +V(CW +p12350 +tp12351 +a(g266 +V\u005c* +p12352 +tp12353 +a(g212 +V(C`bless +p12354 +tp12355 +a(g266 +V\u005c* +p12356 +tp12357 +a(g212 +V(C' +p12358 +tp12359 +a(g266 +V\u005cfR +p12360 +tp12361 +a(g212 +V is often the last thing in a constructor, +p12362 +tp12363 +a(g212 +V\u000a +tp12364 +a(g212 +Vit returns the reference for convenience. Always use the two-argument +p12365 +tp12366 +a(g212 +V\u000a +tp12367 +a(g212 +Vversion if a derived class might inherit the function doing the blessing. +p12368 +tp12369 +a(g212 +V\u000a +tp12370 +a(g212 +VSee perltoot and perlobj for more about the blessing (and blessings) +p12371 +tp12372 +a(g212 +V\u000a +tp12373 +a(g212 +Vof objects. +p12374 +tp12375 +a(g212 +V\u000a +tp12376 +a(g212 +V. +tp12377 +a(g134 +VSp +p12378 +tp12379 +a(g212 +V\u000a +tp12380 +a(g212 +VConsider always blessing objects in CLASSNAMEs that are mixed case. +p12381 +tp12382 +a(g212 +V\u000a +tp12383 +a(g212 +VNamespaces with all lowercase names are considered reserved for +p12384 +tp12385 +a(g212 +V\u000a +tp12386 +a(g212 +VPerl pragmata. Builtin types have all uppercase names. To prevent +p12387 +tp12388 +a(g212 +V\u000a +tp12389 +a(g212 +Vconfusion, you may wish to avoid such package names as well. Make sure +p12390 +tp12391 +a(g212 +V\u000a +tp12392 +a(g212 +Vthat +p12393 +tp12394 +a(g266 +V\u005cs +p12395 +tp12396 +a(g212 +V-1CLASSNAME +p12397 +tp12398 +a(g266 +V\u005cs +p12399 +tp12400 +a(g212 +V0 is a true value. +p12401 +tp12402 +a(g212 +V\u000a +tp12403 +a(g212 +V. +tp12404 +a(g134 +VSp +p12405 +tp12406 +a(g212 +V\u000a +tp12407 +a(g212 +VSee +p12408 +tp12409 +a(g266 +V\u005c* +p12410 +tp12411 +a(g212 +V(L"Perl Modules +p12412 +tp12413 +a(g266 +V\u005c* +p12414 +tp12415 +a(g212 +V(R" in perlmod. +p12416 +tp12417 +a(g212 +V\u000a +tp12418 +a(g212 +V. +tp12419 +a(g134 +VIP +p12420 +tp12421 +a(g212 +V +tp12422 +a(g259 +V"caller \u005cs-1EXPR\u005cs0" +p12423 +tp12424 +a(g212 +V +tp12425 +a(g227 +V8 +tp12426 +a(g212 +V\u000a +tp12427 +a(g212 +V. +tp12428 +a(g134 +VIX +p12429 +tp12430 +a(g212 +V +tp12431 +a(g240 +VXref +p12432 +tp12433 +a(g212 +V +tp12434 +a(g259 +V"caller call stack stack stack trace" +p12435 +tp12436 +a(g212 +V\u000a +tp12437 +a(g212 +V. +tp12438 +a(g134 +VIX +p12439 +tp12440 +a(g212 +V +tp12441 +a(g240 +VItem +p12442 +tp12443 +a(g212 +V +tp12444 +a(g259 +V"caller EXPR" +p12445 +tp12446 +a(g212 +V\u000a +tp12447 +a(g212 +V. +tp12448 +a(g134 +VPD +p12449 +tp12450 +a(g212 +V +tp12451 +a(g227 +V0 +tp12452 +a(g212 +V\u000a +tp12453 +a(g212 +V. +tp12454 +a(g134 +VIP +p12455 +tp12456 +a(g212 +V +tp12457 +a(g259 +V"caller" +p12458 +tp12459 +a(g212 +V +tp12460 +a(g227 +V8 +tp12461 +a(g212 +V\u000a +tp12462 +a(g212 +V. +tp12463 +a(g134 +VIX +p12464 +tp12465 +a(g212 +V +tp12466 +a(g240 +VItem +p12467 +tp12468 +a(g212 +V +tp12469 +a(g259 +V"caller" +p12470 +tp12471 +a(g212 +V\u000a +tp12472 +a(g212 +V. +tp12473 +a(g134 +VPD +p12474 +tp12475 +a(g212 +V\u000a +tp12476 +a(g212 +VReturns the context of the current subroutine call. In scalar context, +p12477 +tp12478 +a(g212 +V\u000a +tp12479 +a(g212 +Vreturns the caller's package name if there is a caller, that is, if +p12480 +tp12481 +a(g212 +V\u000a +tp12482 +a(g212 +Vwe're in a subroutine or +p12483 +tp12484 +a(g266 +V\u005cf +p12485 +tp12486 +a(g212 +V(CW +p12487 +tp12488 +a(g266 +V\u005c* +p12489 +tp12490 +a(g212 +V(C`eval +p12491 +tp12492 +a(g266 +V\u005c* +p12493 +tp12494 +a(g212 +V(C' +p12495 +tp12496 +a(g266 +V\u005cfR +p12497 +tp12498 +a(g212 +V or +p12499 +tp12500 +a(g266 +V\u005cf +p12501 +tp12502 +a(g212 +V(CW +p12503 +tp12504 +a(g266 +V\u005c* +p12505 +tp12506 +a(g212 +V(C`require +p12507 +tp12508 +a(g266 +V\u005c* +p12509 +tp12510 +a(g212 +V(C' +p12511 +tp12512 +a(g266 +V\u005cfR +p12513 +tp12514 +a(g212 +V, and the undefined value +p12515 +tp12516 +a(g212 +V\u000a +tp12517 +a(g212 +Votherwise. In list context, returns +p12518 +tp12519 +a(g212 +V\u000a +tp12520 +a(g212 +V. +tp12521 +a(g134 +VSp +p12522 +tp12523 +a(g212 +V\u000a +tp12524 +a(g212 +V. +tp12525 +a(g134 +VVb +p12526 +tp12527 +a(g212 +V +tp12528 +a(g227 +V1 +tp12529 +a(g212 +V\u000a +tp12530 +a(g212 +V +tp12531 +a(g266 +V\u005c& +p12532 +tp12533 +a(g212 +V ($package, $filename, $line) = caller; +p12534 +tp12535 +a(g212 +V\u000a +tp12536 +a(g212 +V. +tp12537 +a(g134 +VVe +p12538 +tp12539 +a(g212 +V\u000a +tp12540 +a(g212 +V. +tp12541 +a(g134 +VSp +p12542 +tp12543 +a(g212 +V\u000a +tp12544 +a(g212 +VWith +p12545 +tp12546 +a(g266 +V\u005cs +p12547 +tp12548 +a(g212 +V-1EXPR +p12549 +tp12550 +a(g266 +V\u005cs +p12551 +tp12552 +a(g212 +V0, it returns some extra information that the debugger uses to +p12553 +tp12554 +a(g212 +V\u000a +tp12555 +a(g212 +Vprint a stack trace. The value of +p12556 +tp12557 +a(g266 +V\u005cs +p12558 +tp12559 +a(g212 +V-1EXPR +p12560 +tp12561 +a(g266 +V\u005cs +p12562 +tp12563 +a(g212 +V0 indicates how many call frames +p12564 +tp12565 +a(g212 +V\u000a +tp12566 +a(g212 +Vto go back before the current one. +p12567 +tp12568 +a(g212 +V\u000a +tp12569 +a(g212 +V. +tp12570 +a(g134 +VSp +p12571 +tp12572 +a(g212 +V\u000a +tp12573 +a(g212 +V. +tp12574 +a(g134 +VVb +p12575 +tp12576 +a(g212 +V +tp12577 +a(g227 +V2 +tp12578 +a(g212 +V\u000a +tp12579 +a(g212 +V +tp12580 +a(g266 +V\u005c& +p12581 +tp12582 +a(g212 +V ($package, $filename, $line, $subroutine, $hasargs, +p12583 +tp12584 +a(g212 +V\u000a +tp12585 +a(g212 +V +tp12586 +a(g266 +V\u005c& +p12587 +tp12588 +a(g212 +V $wantarray, $evaltext, $is_require, $hints, $bitmask) = caller($i); +p12589 +tp12590 +a(g212 +V\u000a +tp12591 +a(g212 +V. +tp12592 +a(g134 +VVe +p12593 +tp12594 +a(g212 +V\u000a +tp12595 +a(g212 +V. +tp12596 +a(g134 +VSp +p12597 +tp12598 +a(g212 +V\u000a +tp12599 +a(g212 +VHere +p12600 +tp12601 +a(g266 +V\u005cf +p12602 +tp12603 +a(g212 +V(CW$subroutine +p12604 +tp12605 +a(g266 +V\u005cfR +p12606 +tp12607 +a(g212 +V may be +p12608 +tp12609 +a(g266 +V\u005cf +p12610 +tp12611 +a(g212 +V(CW +p12612 +tp12613 +a(g266 +V\u005c* +p12614 +tp12615 +a(g212 +V(C`(eval) +p12616 +tp12617 +a(g266 +V\u005c* +p12618 +tp12619 +a(g212 +V(C' +p12620 +tp12621 +a(g266 +V\u005cfR +p12622 +tp12623 +a(g212 +V if the frame is not a subroutine +p12624 +tp12625 +a(g212 +V\u000a +tp12626 +a(g212 +Vcall, but an +p12627 +tp12628 +a(g266 +V\u005cf +p12629 +tp12630 +a(g212 +V(CW +p12631 +tp12632 +a(g266 +V\u005c* +p12633 +tp12634 +a(g212 +V(C`eval +p12635 +tp12636 +a(g266 +V\u005c* +p12637 +tp12638 +a(g212 +V(C' +p12639 +tp12640 +a(g266 +V\u005cfR +p12641 +tp12642 +a(g212 +V. In such a case additional elements +p12643 +tp12644 +a(g266 +V\u005cf +p12645 +tp12646 +a(g212 +V(CW$evaltext +p12647 +tp12648 +a(g266 +V\u005cfR +p12649 +tp12650 +a(g212 +V and +p12651 +tp12652 +a(g212 +V\u000a +tp12653 +a(g212 +V +tp12654 +a(g266 +V\u005c& +p12655 +tp12656 +a(g266 +V\u005cf +p12657 +tp12658 +a(g212 +V(CW$is_require +p12659 +tp12660 +a(g266 +V\u005cfR +p12661 +tp12662 +a(g212 +V are set: +p12663 +tp12664 +a(g266 +V\u005cf +p12665 +tp12666 +a(g212 +V(CW$is_require +p12667 +tp12668 +a(g266 +V\u005cfR +p12669 +tp12670 +a(g212 +V is true if the frame is created by a +p12671 +tp12672 +a(g212 +V\u000a +tp12673 +a(g212 +V +tp12674 +a(g266 +V\u005c& +p12675 +tp12676 +a(g266 +V\u005cf +p12677 +tp12678 +a(g212 +V(CW +p12679 +tp12680 +a(g266 +V\u005c* +p12681 +tp12682 +a(g212 +V(C`require +p12683 +tp12684 +a(g266 +V\u005c* +p12685 +tp12686 +a(g212 +V(C' +p12687 +tp12688 +a(g266 +V\u005cfR +p12689 +tp12690 +a(g212 +V or +p12691 +tp12692 +a(g266 +V\u005cf +p12693 +tp12694 +a(g212 +V(CW +p12695 +tp12696 +a(g266 +V\u005c* +p12697 +tp12698 +a(g212 +V(C`use +p12699 +tp12700 +a(g266 +V\u005c* +p12701 +tp12702 +a(g212 +V(C' +p12703 +tp12704 +a(g266 +V\u005cfR +p12705 +tp12706 +a(g212 +V statement, +p12707 +tp12708 +a(g266 +V\u005cf +p12709 +tp12710 +a(g212 +V(CW$evaltext +p12711 +tp12712 +a(g266 +V\u005cfR +p12713 +tp12714 +a(g212 +V contains the text of the +p12715 +tp12716 +a(g212 +V\u000a +tp12717 +a(g212 +V +tp12718 +a(g266 +V\u005c& +p12719 +tp12720 +a(g266 +V\u005cf +p12721 +tp12722 +a(g212 +V(CW +p12723 +tp12724 +a(g266 +V\u005c* +p12725 +tp12726 +a(g212 +V(C`eval EXPR +p12727 +tp12728 +a(g266 +V\u005c* +p12729 +tp12730 +a(g212 +V(C' +p12731 +tp12732 +a(g266 +V\u005cfR +p12733 +tp12734 +a(g212 +V statement. In particular, for an +p12735 +tp12736 +a(g266 +V\u005cf +p12737 +tp12738 +a(g212 +V(CW +p12739 +tp12740 +a(g266 +V\u005c* +p12741 +tp12742 +a(g212 +V(C`eval BLOCK +p12743 +tp12744 +a(g266 +V\u005c* +p12745 +tp12746 +a(g212 +V(C' +p12747 +tp12748 +a(g266 +V\u005cfR +p12749 +tp12750 +a(g212 +V statement, +p12751 +tp12752 +a(g212 +V\u000a +tp12753 +a(g212 +V +tp12754 +a(g266 +V\u005c& +p12755 +tp12756 +a(g266 +V\u005cf +p12757 +tp12758 +a(g212 +V(CW$filename +p12759 +tp12760 +a(g266 +V\u005cfR +p12761 +tp12762 +a(g212 +V is +p12763 +tp12764 +a(g266 +V\u005cf +p12765 +tp12766 +a(g212 +V(CW +p12767 +tp12768 +a(g266 +V\u005c* +p12769 +tp12770 +a(g212 +V(C`(eval) +p12771 +tp12772 +a(g266 +V\u005c* +p12773 +tp12774 +a(g212 +V(C' +p12775 +tp12776 +a(g266 +V\u005cfR +p12777 +tp12778 +a(g212 +V, but +p12779 +tp12780 +a(g266 +V\u005cf +p12781 +tp12782 +a(g212 +V(CW$evaltext +p12783 +tp12784 +a(g266 +V\u005cfR +p12785 +tp12786 +a(g212 +V is undefined. (Note also that +p12787 +tp12788 +a(g212 +V\u000a +tp12789 +a(g212 +Veach +p12790 +tp12791 +a(g266 +V\u005cf +p12792 +tp12793 +a(g212 +V(CW +p12794 +tp12795 +a(g266 +V\u005c* +p12796 +tp12797 +a(g212 +V(C`use +p12798 +tp12799 +a(g266 +V\u005c* +p12800 +tp12801 +a(g212 +V(C' +p12802 +tp12803 +a(g266 +V\u005cfR +p12804 +tp12805 +a(g212 +V statement creates a +p12806 +tp12807 +a(g266 +V\u005cf +p12808 +tp12809 +a(g212 +V(CW +p12810 +tp12811 +a(g266 +V\u005c* +p12812 +tp12813 +a(g212 +V(C`require +p12814 +tp12815 +a(g266 +V\u005c* +p12816 +tp12817 +a(g212 +V(C' +p12818 +tp12819 +a(g266 +V\u005cfR +p12820 +tp12821 +a(g212 +V frame inside an +p12822 +tp12823 +a(g266 +V\u005cf +p12824 +tp12825 +a(g212 +V(CW +p12826 +tp12827 +a(g266 +V\u005c* +p12828 +tp12829 +a(g212 +V(C`eval EXPR +p12830 +tp12831 +a(g266 +V\u005c* +p12832 +tp12833 +a(g212 +V(C' +p12834 +tp12835 +a(g266 +V\u005cfR +p12836 +tp12837 +a(g212 +V\u000a +tp12838 +a(g212 +Vframe.) +p12839 +tp12840 +a(g266 +V\u005cf +p12841 +tp12842 +a(g212 +V(CW$subroutine +p12843 +tp12844 +a(g266 +V\u005cfR +p12845 +tp12846 +a(g212 +V may also be +p12847 +tp12848 +a(g266 +V\u005cf +p12849 +tp12850 +a(g212 +V(CW +p12851 +tp12852 +a(g266 +V\u005c* +p12853 +tp12854 +a(g212 +V(C`(unknown) +p12855 +tp12856 +a(g266 +V\u005c* +p12857 +tp12858 +a(g212 +V(C' +p12859 +tp12860 +a(g266 +V\u005cfR +p12861 +tp12862 +a(g212 +V if this particular +p12863 +tp12864 +a(g212 +V\u000a +tp12865 +a(g212 +Vsubroutine happens to have been deleted from the symbol table. +p12866 +tp12867 +a(g212 +V\u000a +tp12868 +a(g212 +V +tp12869 +a(g266 +V\u005c& +p12870 +tp12871 +a(g266 +V\u005cf +p12872 +tp12873 +a(g212 +V(CW$hasargs +p12874 +tp12875 +a(g266 +V\u005cfR +p12876 +tp12877 +a(g212 +V is true if a new instance of +p12878 +tp12879 +a(g266 +V\u005cf +p12880 +tp12881 +a(g212 +V(CW@_ +p12882 +tp12883 +a(g266 +V\u005cfR +p12884 +tp12885 +a(g212 +V was set up for the frame. +p12886 +tp12887 +a(g212 +V\u000a +tp12888 +a(g212 +V +tp12889 +a(g266 +V\u005c& +p12890 +tp12891 +a(g266 +V\u005cf +p12892 +tp12893 +a(g212 +V(CW$hints +p12894 +tp12895 +a(g266 +V\u005cfR +p12896 +tp12897 +a(g212 +V and +p12898 +tp12899 +a(g266 +V\u005cf +p12900 +tp12901 +a(g212 +V(CW$bitmask +p12902 +tp12903 +a(g266 +V\u005cfR +p12904 +tp12905 +a(g212 +V contain pragmatic hints that the caller was +p12906 +tp12907 +a(g212 +V\u000a +tp12908 +a(g212 +Vcompiled with. The +p12909 +tp12910 +a(g266 +V\u005cf +p12911 +tp12912 +a(g212 +V(CW$hints +p12913 +tp12914 +a(g266 +V\u005cfR +p12915 +tp12916 +a(g212 +V and +p12917 +tp12918 +a(g266 +V\u005cf +p12919 +tp12920 +a(g212 +V(CW$bitmask +p12921 +tp12922 +a(g266 +V\u005cfR +p12923 +tp12924 +a(g212 +V values are subject to change +p12925 +tp12926 +a(g212 +V\u000a +tp12927 +a(g212 +Vbetween versions of Perl, and are not meant for external use. +p12928 +tp12929 +a(g212 +V\u000a +tp12930 +a(g212 +V. +tp12931 +a(g134 +VSp +p12932 +tp12933 +a(g212 +V\u000a +tp12934 +a(g212 +VFurthermore, when called from within the +p12935 +tp12936 +a(g266 +V\u005cs +p12937 +tp12938 +a(g212 +V-1DB +p12939 +tp12940 +a(g266 +V\u005cs +p12941 +tp12942 +a(g212 +V0 package, caller returns more +p12943 +tp12944 +a(g212 +V\u000a +tp12945 +a(g212 +Vdetailed information: it sets the list variable +p12946 +tp12947 +a(g266 +V\u005cf +p12948 +tp12949 +a(g212 +V(CW@DB::args +p12950 +tp12951 +a(g266 +V\u005cfR +p12952 +tp12953 +a(g212 +V to be the +p12954 +tp12955 +a(g212 +V\u000a +tp12956 +a(g212 +Varguments with which the subroutine was invoked. +p12957 +tp12958 +a(g212 +V\u000a +tp12959 +a(g212 +V. +tp12960 +a(g134 +VSp +p12961 +tp12962 +a(g212 +V\u000a +tp12963 +a(g212 +VBe aware that the optimizer might have optimized call frames away before +p12964 +tp12965 +a(g212 +V\u000a +tp12966 +a(g212 +V +tp12967 +a(g266 +V\u005c& +p12968 +tp12969 +a(g266 +V\u005cf +p12970 +tp12971 +a(g212 +V(CW +p12972 +tp12973 +a(g266 +V\u005c* +p12974 +tp12975 +a(g212 +V(C`caller +p12976 +tp12977 +a(g266 +V\u005c* +p12978 +tp12979 +a(g212 +V(C' +p12980 +tp12981 +a(g266 +V\u005cfR +p12982 +tp12983 +a(g212 +V had a chance to get the information. That means that +p12984 +tp12985 +a(g266 +V\u005cf +p12986 +tp12987 +a(g212 +V(CWcaller(N) +p12988 +tp12989 +a(g266 +V\u005cfR +p12990 +tp12991 +a(g212 +V\u000a +tp12992 +a(g212 +Vmight not return information about the call frame you expect it do, for +p12993 +tp12994 +a(g212 +V\u000a +tp12995 +a(g212 +V +tp12996 +a(g266 +V\u005c& +p12997 +tp12998 +a(g266 +V\u005cf +p12999 +tp13000 +a(g212 +V(CW +p13001 +tp13002 +a(g266 +V\u005c* +p13003 +tp13004 +a(g212 +V(C`N > 1 +p13005 +tp13006 +a(g266 +V\u005c* +p13007 +tp13008 +a(g212 +V(C' +p13009 +tp13010 +a(g266 +V\u005cfR +p13011 +tp13012 +a(g212 +V. In particular, +p13013 +tp13014 +a(g266 +V\u005cf +p13015 +tp13016 +a(g212 +V(CW@DB::args +p13017 +tp13018 +a(g266 +V\u005cfR +p13019 +tp13020 +a(g212 +V might have information from the +p13021 +tp13022 +a(g212 +V\u000a +tp13023 +a(g212 +Vprevious time +p13024 +tp13025 +a(g266 +V\u005cf +p13026 +tp13027 +a(g212 +V(CW +p13028 +tp13029 +a(g266 +V\u005c* +p13030 +tp13031 +a(g212 +V(C`caller +p13032 +tp13033 +a(g266 +V\u005c* +p13034 +tp13035 +a(g212 +V(C' +p13036 +tp13037 +a(g266 +V\u005cfR +p13038 +tp13039 +a(g212 +V was called. +p13040 +tp13041 +a(g212 +V\u000a +tp13042 +a(g212 +V. +tp13043 +a(g134 +VIP +p13044 +tp13045 +a(g212 +V +tp13046 +a(g259 +V"chdir \u005cs-1EXPR\u005cs0" +p13047 +tp13048 +a(g212 +V +tp13049 +a(g227 +V8 +tp13050 +a(g212 +V\u000a +tp13051 +a(g212 +V. +tp13052 +a(g134 +VIX +p13053 +tp13054 +a(g212 +V +tp13055 +a(g240 +VXref +p13056 +tp13057 +a(g212 +V +tp13058 +a(g259 +V"chdir cd" +p13059 +tp13060 +a(g212 +V\u000a +tp13061 +a(g212 +V. +tp13062 +a(g134 +VIX +p13063 +tp13064 +a(g212 +V +tp13065 +a(g240 +VItem +p13066 +tp13067 +a(g212 +V +tp13068 +a(g259 +V"chdir EXPR" +p13069 +tp13070 +a(g212 +V\u000a +tp13071 +a(g212 +V. +tp13072 +a(g134 +VPD +p13073 +tp13074 +a(g212 +V +tp13075 +a(g227 +V0 +tp13076 +a(g212 +V\u000a +tp13077 +a(g212 +V. +tp13078 +a(g134 +VIP +p13079 +tp13080 +a(g212 +V +tp13081 +a(g259 +V"chdir \u005cs-1FILEHANDLE\u005cs0" +p13082 +tp13083 +a(g212 +V +tp13084 +a(g227 +V8 +tp13085 +a(g212 +V\u000a +tp13086 +a(g212 +V. +tp13087 +a(g134 +VIX +p13088 +tp13089 +a(g212 +V +tp13090 +a(g240 +VItem +p13091 +tp13092 +a(g212 +V +tp13093 +a(g259 +V"chdir FILEHANDLE" +p13094 +tp13095 +a(g212 +V\u000a +tp13096 +a(g212 +V. +tp13097 +a(g134 +VIP +p13098 +tp13099 +a(g212 +V +tp13100 +a(g259 +V"chdir \u005cs-1DIRHANDLE\u005cs0" +p13101 +tp13102 +a(g212 +V +tp13103 +a(g227 +V8 +tp13104 +a(g212 +V\u000a +tp13105 +a(g212 +V. +tp13106 +a(g134 +VIX +p13107 +tp13108 +a(g212 +V +tp13109 +a(g240 +VItem +p13110 +tp13111 +a(g212 +V +tp13112 +a(g259 +V"chdir DIRHANDLE" +p13113 +tp13114 +a(g212 +V\u000a +tp13115 +a(g212 +V. +tp13116 +a(g134 +VIP +p13117 +tp13118 +a(g212 +V +tp13119 +a(g259 +V"chdir" +p13120 +tp13121 +a(g212 +V +tp13122 +a(g227 +V8 +tp13123 +a(g212 +V\u000a +tp13124 +a(g212 +V. +tp13125 +a(g134 +VIX +p13126 +tp13127 +a(g212 +V +tp13128 +a(g240 +VItem +p13129 +tp13130 +a(g212 +V +tp13131 +a(g259 +V"chdir" +p13132 +tp13133 +a(g212 +V\u000a +tp13134 +a(g212 +V. +tp13135 +a(g134 +VPD +p13136 +tp13137 +a(g212 +V\u000a +tp13138 +a(g212 +VChanges the working directory to +p13139 +tp13140 +a(g266 +V\u005cs +p13141 +tp13142 +a(g212 +V-1EXPR +p13143 +tp13144 +a(g266 +V\u005cs +p13145 +tp13146 +a(g212 +V0, if possible. If +p13147 +tp13148 +a(g266 +V\u005cs +p13149 +tp13150 +a(g212 +V-1EXPR +p13151 +tp13152 +a(g266 +V\u005cs +p13153 +tp13154 +a(g212 +V0 is omitted, +p13155 +tp13156 +a(g212 +V\u000a +tp13157 +a(g212 +Vchanges to the directory specified by +p13158 +tp13159 +a(g266 +V\u005cf +p13160 +tp13161 +a(g212 +V(CW$ENV{HOME} +p13162 +tp13163 +a(g266 +V\u005cfR +p13164 +tp13165 +a(g212 +V, if set; if not, +p13166 +tp13167 +a(g212 +V\u000a +tp13168 +a(g212 +Vchanges to the directory specified by +p13169 +tp13170 +a(g266 +V\u005cf +p13171 +tp13172 +a(g212 +V(CW$ENV{LOGDIR} +p13173 +tp13174 +a(g266 +V\u005cfR +p13175 +tp13176 +a(g212 +V. (Under +p13177 +tp13178 +a(g266 +V\u005cs +p13179 +tp13180 +a(g212 +V-1VMS +p13181 +tp13182 +a(g266 +V\u005cs +p13183 +tp13184 +a(g212 +V0, the +p13185 +tp13186 +a(g212 +V\u000a +tp13187 +a(g212 +Vvariable +p13188 +tp13189 +a(g266 +V\u005cf +p13190 +tp13191 +a(g212 +V(CW$ENV{SYS$LOGIN} +p13192 +tp13193 +a(g266 +V\u005cfR +p13194 +tp13195 +a(g212 +V is also checked, and used if it is set.) If +p13196 +tp13197 +a(g212 +V\u000a +tp13198 +a(g212 +Vneither is set, +p13199 +tp13200 +a(g266 +V\u005cf +p13201 +tp13202 +a(g212 +V(CW +p13203 +tp13204 +a(g266 +V\u005c* +p13205 +tp13206 +a(g212 +V(C`chdir +p13207 +tp13208 +a(g266 +V\u005c* +p13209 +tp13210 +a(g212 +V(C' +p13211 +tp13212 +a(g266 +V\u005cfR +p13213 +tp13214 +a(g212 +V does nothing. It returns true upon success, +p13215 +tp13216 +a(g212 +V\u000a +tp13217 +a(g212 +Vfalse otherwise. See the example under +p13218 +tp13219 +a(g266 +V\u005cf +p13220 +tp13221 +a(g212 +V(CW +p13222 +tp13223 +a(g266 +V\u005c* +p13224 +tp13225 +a(g212 +V(C`die +p13226 +tp13227 +a(g266 +V\u005c* +p13228 +tp13229 +a(g212 +V(C' +p13230 +tp13231 +a(g266 +V\u005cfR +p13232 +tp13233 +a(g212 +V. +tp13234 +a(g212 +V\u000a +tp13235 +a(g212 +V. +tp13236 +a(g134 +VSp +p13237 +tp13238 +a(g212 +V\u000a +tp13239 +a(g212 +VOn systems that support fchdir, you might pass a file handle or +p13240 +tp13241 +a(g212 +V\u000a +tp13242 +a(g212 +Vdirectory handle as argument. On systems that don't support fchdir, +p13243 +tp13244 +a(g212 +V\u000a +tp13245 +a(g212 +Vpassing handles produces a fatal error at run time. +p13246 +tp13247 +a(g212 +V\u000a +tp13248 +a(g212 +V. +tp13249 +a(g134 +VIP +p13250 +tp13251 +a(g212 +V +tp13252 +a(g259 +V"chmod \u005cs-1LIST\u005cs0" +p13253 +tp13254 +a(g212 +V +tp13255 +a(g227 +V8 +tp13256 +a(g212 +V\u000a +tp13257 +a(g212 +V. +tp13258 +a(g134 +VIX +p13259 +tp13260 +a(g212 +V +tp13261 +a(g240 +VXref +p13262 +tp13263 +a(g212 +V +tp13264 +a(g259 +V"chmod permission mode" +p13265 +tp13266 +a(g212 +V\u000a +tp13267 +a(g212 +V. +tp13268 +a(g134 +VIX +p13269 +tp13270 +a(g212 +V +tp13271 +a(g240 +VItem +p13272 +tp13273 +a(g212 +V +tp13274 +a(g259 +V"chmod LIST" +p13275 +tp13276 +a(g212 +V\u000a +tp13277 +a(g212 +VChanges the permissions of a list of files. The first element of the +p13278 +tp13279 +a(g212 +V\u000a +tp13280 +a(g212 +Vlist must be the numerical mode, which should probably be an octal +p13281 +tp13282 +a(g212 +V\u000a +tp13283 +a(g212 +Vnumber, and which definitely should +p13284 +tp13285 +a(g266 +V\u005cfI +p13286 +tp13287 +a(g212 +Vnot +p13288 +tp13289 +a(g266 +V\u005cfR +p13290 +tp13291 +a(g212 +V be a string of octal digits: +p13292 +tp13293 +a(g212 +V\u000a +tp13294 +a(g212 +V +tp13295 +a(g266 +V\u005c& +p13296 +tp13297 +a(g266 +V\u005cf +p13298 +tp13299 +a(g212 +V(CW0644 +p13300 +tp13301 +a(g266 +V\u005cfR +p13302 +tp13303 +a(g212 +V is okay, +p13304 +tp13305 +a(g266 +V\u005cf +p13306 +tp13307 +a(g212 +V(CW'0644' +p13308 +tp13309 +a(g266 +V\u005cfR +p13310 +tp13311 +a(g212 +V is not. Returns the number of files +p13312 +tp13313 +a(g212 +V\u000a +tp13314 +a(g212 +Vsuccessfully changed. See also +p13315 +tp13316 +a(g266 +V\u005c* +p13317 +tp13318 +a(g212 +V(L"oct +p13319 +tp13320 +a(g266 +V\u005c* +p13321 +tp13322 +a(g212 +V(R", if all you have is a string. +p13323 +tp13324 +a(g212 +V\u000a +tp13325 +a(g212 +V. +tp13326 +a(g134 +VSp +p13327 +tp13328 +a(g212 +V\u000a +tp13329 +a(g212 +V. +tp13330 +a(g134 +VVb +p13331 +tp13332 +a(g212 +V +tp13333 +a(g227 +V6 +tp13334 +a(g212 +V\u000a +tp13335 +a(g212 +V +tp13336 +a(g266 +V\u005c& +p13337 +tp13338 +a(g212 +V $cnt = chmod 0755, 'foo', 'bar'; +p13339 +tp13340 +a(g212 +V\u000a +tp13341 +a(g212 +V +tp13342 +a(g266 +V\u005c& +p13343 +tp13344 +a(g212 +V chmod 0755, @executables; +p13345 +tp13346 +a(g212 +V\u000a +tp13347 +a(g212 +V +tp13348 +a(g266 +V\u005c& +p13349 +tp13350 +a(g212 +V $mode = '0644'; chmod $mode, 'foo'; # !!! sets mode to +p13351 +tp13352 +a(g212 +V\u000a +tp13353 +a(g212 +V +tp13354 +a(g266 +V\u005c& +p13355 +tp13356 +a(g212 +V # --w----r-T +p13357 +tp13358 +a(g212 +V\u000a +tp13359 +a(g212 +V +tp13360 +a(g266 +V\u005c& +p13361 +tp13362 +a(g212 +V $mode = '0644'; chmod oct($mode), 'foo'; # this is better +p13363 +tp13364 +a(g212 +V\u000a +tp13365 +a(g212 +V +tp13366 +a(g266 +V\u005c& +p13367 +tp13368 +a(g212 +V $mode = 0644; chmod $mode, 'foo'; # this is best +p13369 +tp13370 +a(g212 +V\u000a +tp13371 +a(g212 +V. +tp13372 +a(g134 +VVe +p13373 +tp13374 +a(g212 +V\u000a +tp13375 +a(g212 +V. +tp13376 +a(g134 +VSp +p13377 +tp13378 +a(g212 +V\u000a +tp13379 +a(g212 +VOn systems that support fchmod, you might pass file handles among the +p13380 +tp13381 +a(g212 +V\u000a +tp13382 +a(g212 +Vfiles. On systems that don't support fchmod, passing file handles +p13383 +tp13384 +a(g212 +V\u000a +tp13385 +a(g212 +Vproduces a fatal error at run time. +p13386 +tp13387 +a(g212 +V\u000a +tp13388 +a(g212 +V. +tp13389 +a(g134 +VSp +p13390 +tp13391 +a(g212 +V\u000a +tp13392 +a(g212 +V. +tp13393 +a(g134 +VVb +p13394 +tp13395 +a(g212 +V +tp13396 +a(g227 +V3 +tp13397 +a(g212 +V\u000a +tp13398 +a(g212 +V +tp13399 +a(g266 +V\u005c& +p13400 +tp13401 +a(g212 +V open(my $fh, "<", "foo"); +p13402 +tp13403 +a(g212 +V\u000a +tp13404 +a(g212 +V +tp13405 +a(g266 +V\u005c& +p13406 +tp13407 +a(g212 +V my $perm = (stat $fh)[2] & 07777; +p13408 +tp13409 +a(g212 +V\u000a +tp13410 +a(g212 +V +tp13411 +a(g266 +V\u005c& +p13412 +tp13413 +a(g212 +V chmod($perm | 0600, $fh); +p13414 +tp13415 +a(g212 +V\u000a +tp13416 +a(g212 +V. +tp13417 +a(g134 +VVe +p13418 +tp13419 +a(g212 +V\u000a +tp13420 +a(g212 +V. +tp13421 +a(g134 +VSp +p13422 +tp13423 +a(g212 +V\u000a +tp13424 +a(g212 +VYou can also import the symbolic +p13425 +tp13426 +a(g266 +V\u005cf +p13427 +tp13428 +a(g212 +V(CW +p13429 +tp13430 +a(g266 +V\u005c* +p13431 +tp13432 +a(g212 +V(C`S_I* +p13433 +tp13434 +a(g266 +V\u005c* +p13435 +tp13436 +a(g212 +V(C' +p13437 +tp13438 +a(g266 +V\u005cfR +p13439 +tp13440 +a(g212 +V constants from the Fcntl +p13441 +tp13442 +a(g212 +V\u000a +tp13443 +a(g212 +Vmodule: +p13444 +tp13445 +a(g212 +V\u000a +tp13446 +a(g212 +V. +tp13447 +a(g134 +VSp +p13448 +tp13449 +a(g212 +V\u000a +tp13450 +a(g212 +V. +tp13451 +a(g134 +VVb +p13452 +tp13453 +a(g212 +V +tp13454 +a(g227 +V1 +tp13455 +a(g212 +V\u000a +tp13456 +a(g212 +V +tp13457 +a(g266 +V\u005c& +p13458 +tp13459 +a(g212 +V use Fcntl ':mode'; +p13460 +tp13461 +a(g212 +V\u000a +tp13462 +a(g212 +V. +tp13463 +a(g134 +VVe +p13464 +tp13465 +a(g212 +V\u000a +tp13466 +a(g212 +V. +tp13467 +a(g134 +VSp +p13468 +tp13469 +a(g212 +V\u000a +tp13470 +a(g212 +V. +tp13471 +a(g134 +VVb +p13472 +tp13473 +a(g212 +V +tp13474 +a(g227 +V2 +tp13475 +a(g212 +V\u000a +tp13476 +a(g212 +V +tp13477 +a(g266 +V\u005c& +p13478 +tp13479 +a(g212 +V chmod S_IRWXU|S_IRGRP|S_IXGRP|S_IROTH|S_IXOTH, @executables; +p13480 +tp13481 +a(g212 +V\u000a +tp13482 +a(g212 +V +tp13483 +a(g266 +V\u005c& +p13484 +tp13485 +a(g212 +V # This is identical to the chmod 0755 of the above example. +p13486 +tp13487 +a(g212 +V\u000a +tp13488 +a(g212 +V. +tp13489 +a(g134 +VVe +p13490 +tp13491 +a(g212 +V\u000a +tp13492 +a(g212 +V. +tp13493 +a(g134 +VIP +p13494 +tp13495 +a(g212 +V +tp13496 +a(g259 +V"chomp \u005cs-1VARIABLE\u005cs0" +p13497 +tp13498 +a(g212 +V +tp13499 +a(g227 +V8 +tp13500 +a(g212 +V\u000a +tp13501 +a(g212 +V. +tp13502 +a(g134 +VIX +p13503 +tp13504 +a(g212 +V +tp13505 +a(g240 +VXref +p13506 +tp13507 +a(g212 +V +tp13508 +a(g259 +V"chomp INPUT_RECORD_SEPARATOR $ newline eol" +p13509 +tp13510 +a(g212 +V\u000a +tp13511 +a(g212 +V. +tp13512 +a(g134 +VIX +p13513 +tp13514 +a(g212 +V +tp13515 +a(g240 +VItem +p13516 +tp13517 +a(g212 +V +tp13518 +a(g259 +V"chomp VARIABLE" +p13519 +tp13520 +a(g212 +V\u000a +tp13521 +a(g212 +V. +tp13522 +a(g134 +VPD +p13523 +tp13524 +a(g212 +V +tp13525 +a(g227 +V0 +tp13526 +a(g212 +V\u000a +tp13527 +a(g212 +V. +tp13528 +a(g134 +VIP +p13529 +tp13530 +a(g212 +V +tp13531 +a(g259 +V"chomp( \u005cs-1LIST\u005cs0 )" +p13532 +tp13533 +a(g212 +V +tp13534 +a(g227 +V8 +tp13535 +a(g212 +V\u000a +tp13536 +a(g212 +V. +tp13537 +a(g134 +VIX +p13538 +tp13539 +a(g212 +V +tp13540 +a(g240 +VItem +p13541 +tp13542 +a(g212 +V +tp13543 +a(g259 +V"chomp( LIST )" +p13544 +tp13545 +a(g212 +V\u000a +tp13546 +a(g212 +V. +tp13547 +a(g134 +VIP +p13548 +tp13549 +a(g212 +V +tp13550 +a(g259 +V"chomp" +p13551 +tp13552 +a(g212 +V +tp13553 +a(g227 +V8 +tp13554 +a(g212 +V\u000a +tp13555 +a(g212 +V. +tp13556 +a(g134 +VIX +p13557 +tp13558 +a(g212 +V +tp13559 +a(g240 +VItem +p13560 +tp13561 +a(g212 +V +tp13562 +a(g259 +V"chomp" +p13563 +tp13564 +a(g212 +V\u000a +tp13565 +a(g212 +V. +tp13566 +a(g134 +VPD +p13567 +tp13568 +a(g212 +V\u000a +tp13569 +a(g212 +VThis safer version of +p13570 +tp13571 +a(g266 +V\u005c* +p13572 +tp13573 +a(g212 +V(L"chop +p13574 +tp13575 +a(g266 +V\u005c* +p13576 +tp13577 +a(g212 +V(R" removes any trailing string +p13578 +tp13579 +a(g212 +V\u000a +tp13580 +a(g212 +Vthat corresponds to the current value of +p13581 +tp13582 +a(g266 +V\u005cf +p13583 +tp13584 +a(g212 +V(CW$/ +p13585 +tp13586 +a(g266 +V\u005cfR +p13587 +tp13588 +a(g212 +V (also known as +p13589 +tp13590 +a(g212 +V\u000a +tp13591 +a(g212 +V +tp13592 +a(g266 +V\u005c& +p13593 +tp13594 +a(g266 +V\u005cf +p13595 +tp13596 +a(g212 +V(CW$INPUT_RECORD_SEPARATOR +p13597 +tp13598 +a(g266 +V\u005cfR +p13599 +tp13600 +a(g212 +V in the +p13601 +tp13602 +a(g266 +V\u005cf +p13603 +tp13604 +a(g212 +V(CW +p13605 +tp13606 +a(g266 +V\u005c* +p13607 +tp13608 +a(g212 +V(C`English +p13609 +tp13610 +a(g266 +V\u005c* +p13611 +tp13612 +a(g212 +V(C' +p13613 +tp13614 +a(g266 +V\u005cfR +p13615 +tp13616 +a(g212 +V module). It returns the total +p13617 +tp13618 +a(g212 +V\u000a +tp13619 +a(g212 +Vnumber of characters removed from all its arguments. It's often used to +p13620 +tp13621 +a(g212 +V\u000a +tp13622 +a(g212 +Vremove the newline from the end of an input record when you're worried +p13623 +tp13624 +a(g212 +V\u000a +tp13625 +a(g212 +Vthat the final record may be missing its newline. When in paragraph +p13626 +tp13627 +a(g212 +V\u000a +tp13628 +a(g212 +Vmode ( +p13629 +tp13630 +a(g266 +V\u005cf +p13631 +tp13632 +a(g212 +V(CW +p13633 +tp13634 +a(g266 +V\u005c* +p13635 +tp13636 +a(g212 +V(C`$/ = "" +p13637 +tp13638 +a(g266 +V\u005c* +p13639 +tp13640 +a(g212 +V(C' +p13641 +tp13642 +a(g266 +V\u005cfR +p13643 +tp13644 +a(g212 +V), it removes all trailing newlines from the string. +p13645 +tp13646 +a(g212 +V\u000a +tp13647 +a(g212 +VWhen in slurp mode ( +p13648 +tp13649 +a(g266 +V\u005cf +p13650 +tp13651 +a(g212 +V(CW +p13652 +tp13653 +a(g266 +V\u005c* +p13654 +tp13655 +a(g212 +V(C`$/ = undef +p13656 +tp13657 +a(g266 +V\u005c* +p13658 +tp13659 +a(g212 +V(C' +p13660 +tp13661 +a(g266 +V\u005cfR +p13662 +tp13663 +a(g212 +V) or fixed-length record mode ( +p13664 +tp13665 +a(g266 +V\u005cf +p13666 +tp13667 +a(g212 +V(CW$/ +p13668 +tp13669 +a(g266 +V\u005cfR +p13670 +tp13671 +a(g212 +V is +p13672 +tp13673 +a(g212 +V\u000a +tp13674 +a(g212 +Va reference to an integer or the like, see perlvar) +p13675 +tp13676 +a(g266 +V\u005cfI +p13677 +tp13678 +a(g212 +Vchomp() +p13679 +tp13680 +a(g266 +V\u005cfR +p13681 +tp13682 +a(g212 +V won't +p13683 +tp13684 +a(g212 +V\u000a +tp13685 +a(g212 +Vremove anything. +p13686 +tp13687 +a(g212 +V\u000a +tp13688 +a(g212 +VIf +p13689 +tp13690 +a(g266 +V\u005cs +p13691 +tp13692 +a(g212 +V-1VARIABLE +p13693 +tp13694 +a(g266 +V\u005cs +p13695 +tp13696 +a(g212 +V0 is omitted, it chomps +p13697 +tp13698 +a(g266 +V\u005cf +p13699 +tp13700 +a(g212 +V(CW$_ +p13701 +tp13702 +a(g266 +V\u005cfR +p13703 +tp13704 +a(g212 +V. Example: +p13705 +tp13706 +a(g212 +V\u000a +tp13707 +a(g212 +V. +tp13708 +a(g134 +VSp +p13709 +tp13710 +a(g212 +V\u000a +tp13711 +a(g212 +V. +tp13712 +a(g134 +VVb +p13713 +tp13714 +a(g212 +V +tp13715 +a(g227 +V5 +tp13716 +a(g212 +V\u000a +tp13717 +a(g212 +V +tp13718 +a(g266 +V\u005c& +p13719 +tp13720 +a(g212 +V while (<>) { +p13721 +tp13722 +a(g212 +V\u000a +tp13723 +a(g212 +V +tp13724 +a(g266 +V\u005c& +p13725 +tp13726 +a(g212 +V chomp; # avoid +p13727 +tp13728 +a(g266 +V\u005ce +p13729 +tp13730 +a(g212 +Vn on last field +p13731 +tp13732 +a(g212 +V\u000a +tp13733 +a(g212 +V +tp13734 +a(g266 +V\u005c& +p13735 +tp13736 +a(g212 +V @array = split(/:/); +p13737 +tp13738 +a(g212 +V\u000a +tp13739 +a(g212 +V +tp13740 +a(g266 +V\u005c& +p13741 +tp13742 +a(g212 +V # ... +p13743 +tp13744 +a(g212 +V\u000a +tp13745 +a(g212 +V +tp13746 +a(g266 +V\u005c& +p13747 +tp13748 +a(g212 +V } +p13749 +tp13750 +a(g212 +V\u000a +tp13751 +a(g212 +V. +tp13752 +a(g134 +VVe +p13753 +tp13754 +a(g212 +V\u000a +tp13755 +a(g212 +V. +tp13756 +a(g134 +VSp +p13757 +tp13758 +a(g212 +V\u000a +tp13759 +a(g212 +VIf +p13760 +tp13761 +a(g266 +V\u005cs +p13762 +tp13763 +a(g212 +V-1VARIABLE +p13764 +tp13765 +a(g266 +V\u005cs +p13766 +tp13767 +a(g212 +V0 is a hash, it chomps the hash's values, but not its keys. +p13768 +tp13769 +a(g212 +V\u000a +tp13770 +a(g212 +V. +tp13771 +a(g134 +VSp +p13772 +tp13773 +a(g212 +V\u000a +tp13774 +a(g212 +V +tp13775 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/phpcomplete.vim b/tests/examplefiles/output/phpcomplete.vim new file mode 100644 index 0000000..53cd13b --- /dev/null +++ b/tests/examplefiles/output/phpcomplete.vim @@ -0,0 +1,16465 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +S'Pervasive' +p109 +g2 +(g3 +g4 +(g106 +g109 +ttRp110 +(dp111 +g17 +g18 +((ltRp112 +sg8 +g107 +sbsg28 +g2 +(g3 +g4 +(g106 +g28 +ttRp113 +(dp114 +g17 +g18 +((ltRp115 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp116 +(dp117 +g17 +g18 +((ltRp118 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g106 +g126 +ttRp127 +(dp128 +g17 +g18 +((ltRp129 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp130 +(dp131 +g17 +g18 +((ltRp132 +sg8 +g107 +sbsg17 +g18 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g106 +S'Type' +p134 +ttRp135 +(dp136 +g17 +g18 +((ltRp137 +sg8 +g107 +sbag110 +ag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g140 +sbsg8 +g9 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g17 +g18 +((ltRp169 +sg8 +g140 +sbsg17 +g18 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g17 +g18 +((ltRp182 +sg8 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g17 +g18 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g17 +g18 +((ltRp195 +sg8 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg8 +g9 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g17 +g18 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g17 +g18 +((ltRp204 +sg8 +g198 +sbatRp205 +sg201 +g202 +sg8 +g9 +sbsS'Token' +p206 +g9 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g17 +g18 +((ltRp218 +sg8 +g209 +sbsg8 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g222 +sbsg8 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g17 +g18 +((ltRp243 +sg8 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g222 +sbsS'Single' +p267 +g2 +(g3 +g4 +(g208 +g221 +g267 +ttRp268 +(dp269 +g17 +g18 +((ltRp270 +sg8 +g222 +sbsg60 +g2 +(g3 +g4 +(g208 +g221 +g60 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g222 +sbsS'Doc' +p274 +g2 +(g3 +g4 +(g208 +g221 +g274 +ttRp275 +(dp276 +g17 +g18 +((ltRp277 +sg8 +g222 +sbsg17 +g18 +((lp278 +g271 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p279 +ttRp280 +(dp281 +g17 +g18 +((ltRp282 +sg8 +g222 +sbag241 +ag264 +ag256 +ag275 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag268 +ag252 +ag248 +atRp283 +sg279 +g280 +sbsg8 +g9 +sg207 +g209 +sS'Scalar' +p284 +g2 +(g3 +g4 +(g208 +g284 +ttRp285 +(dp286 +g17 +g18 +((lp287 +g2 +(g3 +g4 +(g208 +g284 +S'Plain' +p288 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g285 +sbatRp292 +sg8 +g219 +sg288 +g289 +sbsg60 +g2 +(g3 +g4 +(g208 +g60 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g219 +sbsS'Date' +p296 +g2 +(g3 +g4 +(g208 +g296 +ttRp297 +(dp298 +g17 +g18 +((ltRp299 +sg8 +g219 +sbsg17 +g18 +((lp300 +g297 +ag222 +ag293 +ag209 +ag285 +atRp301 +sbsS'Decimal' +p302 +g2 +(g3 +g4 +(g208 +g207 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g209 +sbsS'Float' +p306 +g2 +(g3 +g4 +(g208 +g207 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g209 +sbsS'Hex' +p310 +g2 +(g3 +g4 +(g208 +g207 +g310 +ttRp311 +(dp312 +g17 +g18 +((ltRp313 +sg8 +g209 +sbsS'Integer' +p314 +g2 +(g3 +g4 +(g208 +g207 +g314 +ttRp315 +(dp316 +g17 +g18 +((lp317 +g2 +(g3 +g4 +(g208 +g207 +g314 +S'Long' +p318 +ttRp319 +(dp320 +g17 +g18 +((ltRp321 +sg8 +g315 +sbatRp322 +sg318 +g319 +sg8 +g209 +sbsS'Octal' +p323 +g2 +(g3 +g4 +(g208 +g207 +g323 +ttRp324 +(dp325 +g17 +g18 +((ltRp326 +sg8 +g209 +sbsg17 +g18 +((lp327 +g212 +ag216 +ag324 +ag303 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p328 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g209 +sbag315 +ag307 +ag311 +atRp332 +sg328 +g329 +sbsg208 +g219 +sg60 +g2 +(g3 +g4 +(g60 +ttRp333 +(dp334 +g17 +g18 +((ltRp335 +sg8 +g9 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp336 +(dp337 +g17 +g18 +((ltRp338 +sg8 +g9 +sbsS'Operator' +p339 +g2 +(g3 +g4 +(g339 +ttRp340 +(dp341 +g17 +g18 +((lp342 +g2 +(g3 +g4 +(g339 +S'Word' +p343 +ttRp344 +(dp345 +g17 +g18 +((ltRp346 +sg8 +g340 +sbatRp347 +sg343 +g344 +sg8 +g9 +sbsg17 +g18 +((lp348 +g6 +ag336 +ag140 +ag185 +ag12 +ag198 +ag107 +ag219 +ag340 +ag333 +atRp349 +sg221 +g222 +sbsS'Preproc' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g17 +g18 +((ltRp353 +sg8 +g6 +sbsg267 +g2 +(g3 +g4 +(g5 +g267 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g17 +g18 +((ltRp360 +sg8 +g6 +sbsg17 +g18 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g17 +g18 +((ltRp365 +sg8 +g6 +sbag351 +ag354 +ag358 +atRp366 +sg362 +g363 +sbV" Vim completion script +p367 +tp368 +a(g185 +V\u000a +tp369 +a(g6 +V" Language: PHP +p370 +tp371 +a(g185 +V\u000a +tp372 +a(g6 +V" Maintainer: Mikolaj Machowski ( mikmach AT wp DOT pl ) +p373 +tp374 +a(g185 +V\u000a +tp375 +a(g6 +V" Last Change: 2006 May 9 +p376 +tp377 +a(g185 +V\u000a +tp378 +a(g6 +V" +tp379 +a(g185 +V\u000a +tp380 +a(g6 +V" TODO: +p381 +tp382 +a(g185 +V\u000a +tp383 +a(g6 +V" - Class aware completion: +p384 +tp385 +a(g185 +V\u000a +tp386 +a(g6 +V" a) caching? +p387 +tp388 +a(g185 +V\u000a +tp389 +a(g6 +V" - Switching to HTML (XML?) completion (SQL) inside of phpStrings +p390 +tp391 +a(g185 +V\u000a +tp392 +a(g6 +V" - allow also for XML completion <- better do html_flavor for HTML +p393 +tp394 +a(g185 +V\u000a +tp395 +a(g6 +V" completion +p396 +tp397 +a(g185 +V\u000a +tp398 +a(g6 +V" - outside of getting parent tag may cause problems. Heh, even in +p399 +tp400 +a(g185 +V\u000a +tp401 +a(g6 +V" perfect conditions GetLastOpenTag doesn't cooperate... Inside of +p402 +tp403 +a(g185 +V\u000a +tp404 +a(g6 +V" phpStrings this can be even a bonus but outside of it is not the +p405 +tp406 +a(g185 +V\u000a +tp407 +a(g6 +V" best situation +p408 +tp409 +a(g185 +V\u000a +tp410 +a(g185 +V\u000a +tp411 +a(g107 +Vfunction +p412 +tp413 +a(g198 +V! +tp414 +a(g185 +V +tp415 +a(g185 +Vphpcomplete +p416 +tp417 +a(g185 +V# +tp418 +a(g185 +VCompletePHP +p419 +tp420 +a(g198 +V( +tp421 +a(g185 +Vfindstart +p422 +tp423 +a(g198 +V, +tp424 +a(g185 +V +tp425 +a(g185 +Vbase +p426 +tp427 +a(g198 +V) +tp428 +a(g185 +V\u000a +tp429 +a(g185 +V +tp430 +a(g107 +Vif +p431 +tp432 +a(g185 +V +tp433 +a(g185 +Va +tp434 +a(g185 +V: +tp435 +a(g185 +Vfindstart +p436 +tp437 +a(g185 +V\u000a +tp438 +a(g185 +V +p439 +tp440 +a(g185 +Vunlet +p441 +tp442 +a(g198 +V! +tp443 +a(g185 +V +tp444 +a(g107 +Vb +tp445 +a(g185 +V: +tp446 +a(g185 +Vphp_menu +p447 +tp448 +a(g185 +V\u000a +tp449 +a(g6 +V " Check if we are inside of PHP markup +p450 +tp451 +a(g185 +V\u000a +tp452 +a(g185 +V +p453 +tp454 +a(g107 +Vlet +p455 +tp456 +a(g185 +V +tp457 +a(g185 +Vpos +p458 +tp459 +a(g185 +V +tp460 +a(g198 +V= +tp461 +a(g185 +V +tp462 +a(g185 +Vgetpos +p463 +tp464 +a(g198 +V( +tp465 +a(g268 +V'.' +p466 +tp467 +a(g198 +V) +tp468 +a(g185 +V\u000a +tp469 +a(g185 +V +p470 +tp471 +a(g107 +Vlet +p472 +tp473 +a(g185 +V +tp474 +a(g185 +Vphpbegin +p475 +tp476 +a(g185 +V +tp477 +a(g198 +V= +tp478 +a(g185 +V +tp479 +a(g185 +Vsearchpairpos +p480 +tp481 +a(g198 +V( +tp482 +a(g268 +V'' +p491 +tp492 +a(g198 +V, +tp493 +a(g185 +V +tp494 +a(g268 +V'bWn' +p495 +tp496 +a(g198 +V, +tp497 +a(g185 +V\u000a +tp498 +a(g185 +V +p499 +tp500 +a(g185 +V\u005c +tp501 +a(g185 +V +tp502 +a(g268 +V'synIDattr(synID(line("."), col("."), 0), "name") =~? "string\u005c|comment"' +p503 +tp504 +a(g198 +V) +tp505 +a(g185 +V\u000a +tp506 +a(g185 +V +p507 +tp508 +a(g107 +Vlet +p509 +tp510 +a(g185 +V +tp511 +a(g185 +Vphpend +p512 +tp513 +a(g185 +V +p514 +tp515 +a(g198 +V= +tp516 +a(g185 +V +tp517 +a(g185 +Vsearchpairpos +p518 +tp519 +a(g198 +V( +tp520 +a(g268 +V'' +p529 +tp530 +a(g198 +V, +tp531 +a(g185 +V +tp532 +a(g268 +V'Wn' +p533 +tp534 +a(g198 +V, +tp535 +a(g185 +V\u000a +tp536 +a(g185 +V +p537 +tp538 +a(g185 +V\u005c +tp539 +a(g185 +V +tp540 +a(g268 +V'synIDattr(synID(line("."), col("."), 0), "name") =~? "string\u005c|comment"' +p541 +tp542 +a(g198 +V) +tp543 +a(g185 +V\u000a +tp544 +a(g185 +V\u000a +tp545 +a(g185 +V +p546 +tp547 +a(g107 +Vif +p548 +tp549 +a(g185 +V +tp550 +a(g185 +Vphpbegin +p551 +tp552 +a(g185 +V +tp553 +a(g198 +V= +tp554 +a(g198 +V= +tp555 +a(g185 +V +tp556 +a(g185 +V[ +tp557 +a(g209 +V0 +tp558 +a(g198 +V, +tp559 +a(g209 +V0 +tp560 +a(g185 +V] +tp561 +a(g185 +V +tp562 +a(g185 +V& +tp563 +a(g185 +V& +tp564 +a(g185 +V +tp565 +a(g185 +Vphpend +p566 +tp567 +a(g185 +V +tp568 +a(g198 +V= +tp569 +a(g198 +V= +tp570 +a(g185 +V +tp571 +a(g185 +V[ +tp572 +a(g209 +V0 +tp573 +a(g198 +V, +tp574 +a(g209 +V0 +tp575 +a(g185 +V] +tp576 +a(g185 +V\u000a +tp577 +a(g6 +V " We are outside of any PHP markup. Complete HTML +p578 +tp579 +a(g185 +V\u000a +tp580 +a(g185 +V +p581 +tp582 +a(g107 +Vlet +p583 +tp584 +a(g185 +V +tp585 +a(g185 +Vhtmlbegin +p586 +tp587 +a(g185 +V +tp588 +a(g198 +V= +tp589 +a(g185 +V +tp590 +a(g185 +Vhtmlcomplete +p591 +tp592 +a(g185 +V# +tp593 +a(g185 +VCompleteTags +p594 +tp595 +a(g198 +V( +tp596 +a(g209 +V1 +tp597 +a(g198 +V, +tp598 +a(g185 +V +tp599 +a(g268 +V'' +p600 +tp601 +a(g198 +V) +tp602 +a(g185 +V\u000a +tp603 +a(g185 +V +p604 +tp605 +a(g107 +Vlet +p606 +tp607 +a(g185 +V +tp608 +a(g185 +Vcursor_col +p609 +tp610 +a(g185 +V +tp611 +a(g198 +V= +tp612 +a(g185 +V +tp613 +a(g185 +Vpos +p614 +tp615 +a(g185 +V[ +tp616 +a(g209 +V2 +tp617 +a(g185 +V] +tp618 +a(g185 +V\u000a +tp619 +a(g185 +V +p620 +tp621 +a(g107 +Vlet +p622 +tp623 +a(g185 +V +tp624 +a(g185 +Vbase +p625 +tp626 +a(g185 +V +tp627 +a(g198 +V= +tp628 +a(g185 +V +tp629 +a(g185 +Vgetline +p630 +tp631 +a(g198 +V( +tp632 +a(g268 +V'.' +p633 +tp634 +a(g198 +V) +tp635 +a(g185 +V[ +tp636 +a(g185 +Vhtmlbegin +p637 +tp638 +a(g185 +V +tp639 +a(g185 +V: +tp640 +a(g185 +V +tp641 +a(g185 +Vcursor_col +p642 +tp643 +a(g185 +V] +tp644 +a(g185 +V\u000a +tp645 +a(g185 +V +p646 +tp647 +a(g107 +Vlet +p648 +tp649 +a(g185 +V +tp650 +a(g107 +Vb +tp651 +a(g185 +V: +tp652 +a(g185 +Vphp_menu +p653 +tp654 +a(g185 +V +tp655 +a(g198 +V= +tp656 +a(g185 +V +tp657 +a(g185 +Vhtmlcomplete +p658 +tp659 +a(g185 +V# +tp660 +a(g185 +VCompleteTags +p661 +tp662 +a(g198 +V( +tp663 +a(g209 +V0 +tp664 +a(g198 +V, +tp665 +a(g185 +V +tp666 +a(g185 +Vbase +p667 +tp668 +a(g198 +V) +tp669 +a(g185 +V\u000a +tp670 +a(g185 +V +p671 +tp672 +a(g107 +Vreturn +p673 +tp674 +a(g185 +V +tp675 +a(g185 +Vhtmlbegin +p676 +tp677 +a(g185 +V\u000a +tp678 +a(g185 +V +p679 +tp680 +a(g107 +Velse +p681 +tp682 +a(g185 +V\u000a +tp683 +a(g6 +V " locate the start of the word +p684 +tp685 +a(g185 +V\u000a +tp686 +a(g185 +V +p687 +tp688 +a(g107 +Vlet +p689 +tp690 +a(g185 +V +tp691 +a(g185 +Vline +p692 +tp693 +a(g185 +V +tp694 +a(g198 +V= +tp695 +a(g185 +V +tp696 +a(g185 +Vgetline +p697 +tp698 +a(g198 +V( +tp699 +a(g268 +V'.' +p700 +tp701 +a(g198 +V) +tp702 +a(g185 +V\u000a +tp703 +a(g185 +V +p704 +tp705 +a(g107 +Vlet +p706 +tp707 +a(g185 +V +tp708 +a(g107 +Vstart +p709 +tp710 +a(g185 +V +tp711 +a(g198 +V= +tp712 +a(g185 +V +tp713 +a(g107 +Vcol +p714 +tp715 +a(g198 +V( +tp716 +a(g268 +V'.' +p717 +tp718 +a(g198 +V) +tp719 +a(g185 +V +tp720 +a(g198 +V- +tp721 +a(g185 +V +tp722 +a(g209 +V1 +tp723 +a(g185 +V\u000a +tp724 +a(g185 +V +p725 +tp726 +a(g107 +Vlet +p727 +tp728 +a(g185 +V +tp729 +a(g185 +Vcurline +p730 +tp731 +a(g185 +V +tp732 +a(g198 +V= +tp733 +a(g185 +V +tp734 +a(g185 +Vline +p735 +tp736 +a(g198 +V( +tp737 +a(g268 +V'.' +p738 +tp739 +a(g198 +V) +tp740 +a(g185 +V\u000a +tp741 +a(g185 +V +p742 +tp743 +a(g107 +Vlet +p744 +tp745 +a(g185 +V +tp746 +a(g185 +Vcompl_begin +p747 +tp748 +a(g185 +V +tp749 +a(g198 +V= +tp750 +a(g185 +V +tp751 +a(g107 +Vcol +p752 +tp753 +a(g198 +V( +tp754 +a(g268 +V'.' +p755 +tp756 +a(g198 +V) +tp757 +a(g185 +V +tp758 +a(g198 +V- +tp759 +a(g185 +V +tp760 +a(g209 +V2 +tp761 +a(g185 +V\u000a +tp762 +a(g185 +V +p763 +tp764 +a(g107 +Vwhile +p765 +tp766 +a(g185 +V +tp767 +a(g107 +Vstart +p768 +tp769 +a(g185 +V +tp770 +a(g198 +V> +tp771 +a(g198 +V= +tp772 +a(g185 +V +tp773 +a(g209 +V0 +tp774 +a(g185 +V +tp775 +a(g185 +V& +tp776 +a(g185 +V& +tp777 +a(g185 +V +tp778 +a(g185 +Vline +p779 +tp780 +a(g185 +V[ +tp781 +a(g107 +Vstart +p782 +tp783 +a(g185 +V +tp784 +a(g198 +V- +tp785 +a(g185 +V +tp786 +a(g209 +V1 +tp787 +a(g185 +V] +tp788 +a(g185 +V +tp789 +a(g198 +V= +tp790 +a(g198 +V~ +tp791 +a(g185 +V +tp792 +a(g268 +V'[a-zA-Z_0-9\u005cx7f-\u005cxff$]' +p793 +tp794 +a(g185 +V\u000a +tp795 +a(g185 +V +p796 +tp797 +a(g107 +Vlet +p798 +tp799 +a(g185 +V +tp800 +a(g107 +Vstart +p801 +tp802 +a(g185 +V +tp803 +a(g198 +V- +tp804 +a(g198 +V= +tp805 +a(g185 +V +tp806 +a(g209 +V1 +tp807 +a(g185 +V\u000a +tp808 +a(g185 +V +p809 +tp810 +a(g107 +Vendwhile +p811 +tp812 +a(g185 +V\u000a +tp813 +a(g185 +V +p814 +tp815 +a(g107 +Vlet +p816 +tp817 +a(g185 +V +tp818 +a(g107 +Vb +tp819 +a(g185 +V: +tp820 +a(g185 +Vcompl_context +p821 +tp822 +a(g185 +V +tp823 +a(g198 +V= +tp824 +a(g185 +V +tp825 +a(g185 +Vgetline +p826 +tp827 +a(g198 +V( +tp828 +a(g268 +V'.' +p829 +tp830 +a(g198 +V) +tp831 +a(g185 +V[ +tp832 +a(g209 +V0 +tp833 +a(g185 +V: +tp834 +a(g185 +Vcompl_begin +p835 +tp836 +a(g185 +V] +tp837 +a(g185 +V\u000a +tp838 +a(g185 +V +p839 +tp840 +a(g107 +Vreturn +p841 +tp842 +a(g185 +V +tp843 +a(g107 +Vstart +p844 +tp845 +a(g185 +V\u000a +tp846 +a(g6 +V\u000a " We can be also inside of phpString with HTML tags. Deal with +p847 +tp848 +a(g185 +V\u000a +tp849 +a(g6 +V " it later (time, not lines). +p850 +tp851 +a(g185 +V\u000a +tp852 +a(g185 +V +p853 +tp854 +a(g107 +Vendif +p855 +tp856 +a(g185 +V\u000a +tp857 +a(g185 +V\u000a +tp858 +a(g185 +V +tp859 +a(g107 +Vendif +p860 +tp861 +a(g185 +V\u000a +tp862 +a(g6 +V " If exists b:php_menu it means completion was already constructed we +p863 +tp864 +a(g185 +V\u000a +tp865 +a(g6 +V " don't need to do anything more +p866 +tp867 +a(g185 +V\u000a +tp868 +a(g185 +V +tp869 +a(g107 +Vif +p870 +tp871 +a(g185 +V +tp872 +a(g185 +Vexists +p873 +tp874 +a(g198 +V( +tp875 +a(g241 +V"b:php_menu" +p876 +tp877 +a(g198 +V) +tp878 +a(g185 +V\u000a +tp879 +a(g185 +V +p880 +tp881 +a(g107 +Vreturn +p882 +tp883 +a(g185 +V +tp884 +a(g107 +Vb +tp885 +a(g185 +V: +tp886 +a(g185 +Vphp_menu +p887 +tp888 +a(g185 +V\u000a +tp889 +a(g185 +V +tp890 +a(g107 +Vendif +p891 +tp892 +a(g185 +V\u000a +tp893 +a(g6 +V " Initialize base return lists +p894 +tp895 +a(g185 +V\u000a +tp896 +a(g185 +V +tp897 +a(g107 +Vlet +p898 +tp899 +a(g185 +V +tp900 +a(g107 +Vres +p901 +tp902 +a(g185 +V +tp903 +a(g198 +V= +tp904 +a(g185 +V +tp905 +a(g185 +V[ +tp906 +a(g185 +V] +tp907 +a(g185 +V\u000a +tp908 +a(g185 +V +tp909 +a(g107 +Vlet +p910 +tp911 +a(g185 +V +tp912 +a(g185 +Vres2 +p913 +tp914 +a(g185 +V +tp915 +a(g198 +V= +tp916 +a(g185 +V +tp917 +a(g185 +V[ +tp918 +a(g185 +V] +tp919 +a(g185 +V\u000a +tp920 +a(g6 +V " a:base is very short - we need context +p921 +tp922 +a(g185 +V\u000a +tp923 +a(g185 +V +tp924 +a(g107 +Vif +p925 +tp926 +a(g185 +V +tp927 +a(g185 +Vexists +p928 +tp929 +a(g198 +V( +tp930 +a(g241 +V"b:compl_context" +p931 +tp932 +a(g198 +V) +tp933 +a(g185 +V\u000a +tp934 +a(g185 +V +p935 +tp936 +a(g107 +Vlet +p937 +tp938 +a(g185 +V +tp939 +a(g185 +Vcontext +p940 +tp941 +a(g185 +V +tp942 +a(g198 +V= +tp943 +a(g185 +V +tp944 +a(g107 +Vb +tp945 +a(g185 +V: +tp946 +a(g185 +Vcompl_context +p947 +tp948 +a(g185 +V\u000a +tp949 +a(g185 +V +p950 +tp951 +a(g185 +Vunlet +p952 +tp953 +a(g198 +V! +tp954 +a(g185 +V +tp955 +a(g107 +Vb +tp956 +a(g185 +V: +tp957 +a(g185 +Vcompl_context +p958 +tp959 +a(g185 +V\u000a +tp960 +a(g185 +V +tp961 +a(g107 +Vendif +p962 +tp963 +a(g185 +V\u000a +tp964 +a(g185 +V\u000a +tp965 +a(g185 +V +tp966 +a(g107 +Vif +p967 +tp968 +a(g185 +V +tp969 +a(g198 +V! +tp970 +a(g185 +Vexists +p971 +tp972 +a(g198 +V( +tp973 +a(g268 +V'g:php_builtin_functions' +p974 +tp975 +a(g198 +V) +tp976 +a(g185 +V\u000a +tp977 +a(g185 +V +p978 +tp979 +a(g107 +Vcall +p980 +tp981 +a(g185 +V +tp982 +a(g185 +Vphpcomplete +p983 +tp984 +a(g185 +V# +tp985 +a(g185 +VLoadData +p986 +tp987 +a(g198 +V( +tp988 +a(g198 +V) +tp989 +a(g185 +V\u000a +tp990 +a(g185 +V +tp991 +a(g107 +Vendif +p992 +tp993 +a(g185 +V\u000a +tp994 +a(g185 +V\u000a +tp995 +a(g185 +V +tp996 +a(g107 +Vlet +p997 +tp998 +a(g185 +V +tp999 +a(g185 +Vscontext +p1000 +tp1001 +a(g185 +V +tp1002 +a(g198 +V= +tp1003 +a(g185 +V +tp1004 +a(g185 +Vsubstitute +p1005 +tp1006 +a(g198 +V( +tp1007 +a(g185 +Vcontext +p1008 +tp1009 +a(g198 +V, +tp1010 +a(g185 +V +tp1011 +a(g268 +V'\u005c$\u005c?[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*$' +p1012 +tp1013 +a(g198 +V, +tp1014 +a(g185 +V +tp1015 +a(g268 +V'' +p1016 +tp1017 +a(g198 +V, +tp1018 +a(g185 +V +tp1019 +a(g268 +V'' +p1020 +tp1021 +a(g198 +V) +tp1022 +a(g185 +V\u000a +tp1023 +a(g185 +V\u000a +tp1024 +a(g185 +V +tp1025 +a(g107 +Vif +p1026 +tp1027 +a(g185 +V +tp1028 +a(g185 +Vscontext +p1029 +tp1030 +a(g185 +V +tp1031 +a(g198 +V= +tp1032 +a(g198 +V~ +tp1033 +a(g185 +V +tp1034 +a(g268 +V'\u005c(=\u005cs*new\u005c|extends\u005c)\u005cs\u005c+$' +p1035 +tp1036 +a(g185 +V\u000a +tp1037 +a(g6 +V " Complete class name +p1038 +tp1039 +a(g185 +V\u000a +tp1040 +a(g6 +V " Internal solution for finding classes in current file. +p1041 +tp1042 +a(g185 +V\u000a +tp1043 +a(g185 +V +p1044 +tp1045 +a(g107 +Vlet +p1046 +tp1047 +a(g185 +V +tp1048 +a(g107 +Vfile +p1049 +tp1050 +a(g185 +V +tp1051 +a(g198 +V= +tp1052 +a(g185 +V +tp1053 +a(g185 +Vgetline +p1054 +tp1055 +a(g198 +V( +tp1056 +a(g209 +V1 +tp1057 +a(g198 +V, +tp1058 +a(g185 +V +tp1059 +a(g268 +V'$' +p1060 +tp1061 +a(g198 +V) +tp1062 +a(g185 +V\u000a +tp1063 +a(g185 +V +p1064 +tp1065 +a(g107 +Vcall +p1066 +tp1067 +a(g185 +V +tp1068 +a(g185 +Vfilter +p1069 +tp1070 +a(g198 +V( +tp1071 +a(g107 +Vfile +p1072 +tp1073 +a(g198 +V, +tp1074 +a(g185 +V\u000a +tp1075 +a(g185 +V +p1076 +tp1077 +a(g185 +V\u005c +tp1078 +a(g185 +V +tp1079 +a(g268 +V'v:val =~ "class\u005c\u005cs\u005c\u005c+[a-zA-Z_\u005c\u005cx7f-\u005c\u005cxff][a-zA-Z_0-9\u005c\u005cx7f-\u005c\u005cxff]*\u005c\u005cs*("' +p1080 +tp1081 +a(g198 +V) +tp1082 +a(g185 +V\u000a +tp1083 +a(g185 +V +p1084 +tp1085 +a(g107 +Vlet +p1086 +tp1087 +a(g185 +V +tp1088 +a(g185 +Vfnames +p1089 +tp1090 +a(g185 +V +tp1091 +a(g198 +V= +tp1092 +a(g185 +V +tp1093 +a(g107 +Vjoin +p1094 +tp1095 +a(g198 +V( +tp1096 +a(g185 +Vmap +p1097 +tp1098 +a(g198 +V( +tp1099 +a(g185 +Vtagfiles +p1100 +tp1101 +a(g198 +V( +tp1102 +a(g198 +V) +tp1103 +a(g198 +V, +tp1104 +a(g185 +V +tp1105 +a(g268 +V'escape(v:val, " \u005c\u005c#%")' +p1106 +tp1107 +a(g198 +V) +tp1108 +a(g198 +V) +tp1109 +a(g185 +V\u000a +tp1110 +a(g185 +V +p1111 +tp1112 +a(g107 +Vlet +p1113 +tp1114 +a(g185 +V +tp1115 +a(g185 +Vjfile +p1116 +tp1117 +a(g185 +V +tp1118 +a(g198 +V= +tp1119 +a(g185 +V +tp1120 +a(g107 +Vjoin +p1121 +tp1122 +a(g198 +V( +tp1123 +a(g107 +Vfile +p1124 +tp1125 +a(g198 +V, +tp1126 +a(g185 +V +tp1127 +a(g268 +V' ' +p1128 +tp1129 +a(g198 +V) +tp1130 +a(g185 +V\u000a +tp1131 +a(g185 +V +p1132 +tp1133 +a(g107 +Vlet +p1134 +tp1135 +a(g185 +V +tp1136 +a(g185 +Vint_values +p1137 +tp1138 +a(g185 +V +tp1139 +a(g198 +V= +tp1140 +a(g185 +V +tp1141 +a(g185 +Vsplit +p1142 +tp1143 +a(g198 +V( +tp1144 +a(g185 +Vjfile +p1145 +tp1146 +a(g198 +V, +tp1147 +a(g185 +V +tp1148 +a(g268 +V'class\u005cs\u005c+' +p1149 +tp1150 +a(g198 +V) +tp1151 +a(g185 +V\u000a +tp1152 +a(g185 +V +p1153 +tp1154 +a(g107 +Vlet +p1155 +tp1156 +a(g185 +V +tp1157 +a(g185 +Vint_classes +p1158 +tp1159 +a(g185 +V +tp1160 +a(g198 +V= +tp1161 +a(g185 +V +tp1162 +a(g185 +V{ +tp1163 +a(g185 +V} +tp1164 +a(g185 +V\u000a +tp1165 +a(g185 +V +p1166 +tp1167 +a(g107 +Vfor +p1168 +tp1169 +a(g185 +V +tp1170 +a(g185 +Vi +tp1171 +a(g185 +V +tp1172 +a(g107 +Vin +p1173 +tp1174 +a(g185 +V +tp1175 +a(g185 +Vint_values +p1176 +tp1177 +a(g185 +V\u000a +tp1178 +a(g185 +V +p1179 +tp1180 +a(g107 +Vlet +p1181 +tp1182 +a(g185 +V +tp1183 +a(g185 +Vc_name +p1184 +tp1185 +a(g185 +V +tp1186 +a(g198 +V= +tp1187 +a(g185 +V +tp1188 +a(g185 +Vmatchstr +p1189 +tp1190 +a(g198 +V( +tp1191 +a(g185 +Vi +tp1192 +a(g198 +V, +tp1193 +a(g185 +V +tp1194 +a(g268 +V'^[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*' +p1195 +tp1196 +a(g198 +V) +tp1197 +a(g185 +V\u000a +tp1198 +a(g185 +V +p1199 +tp1200 +a(g107 +Vif +p1201 +tp1202 +a(g185 +V +tp1203 +a(g185 +Vc_name +p1204 +tp1205 +a(g185 +V +tp1206 +a(g198 +V! +tp1207 +a(g198 +V= +tp1208 +a(g185 +V +tp1209 +a(g268 +V'' +p1210 +tp1211 +a(g185 +V\u000a +tp1212 +a(g185 +V +p1213 +tp1214 +a(g107 +Vlet +p1215 +tp1216 +a(g185 +V +tp1217 +a(g185 +Vint_classes +p1218 +tp1219 +a(g185 +V[ +tp1220 +a(g185 +Vc_name +p1221 +tp1222 +a(g185 +V] +tp1223 +a(g185 +V +tp1224 +a(g198 +V= +tp1225 +a(g185 +V +tp1226 +a(g268 +V'' +p1227 +tp1228 +a(g185 +V\u000a +tp1229 +a(g185 +V +p1230 +tp1231 +a(g107 +Vendif +p1232 +tp1233 +a(g185 +V\u000a +tp1234 +a(g185 +V +p1235 +tp1236 +a(g107 +Vendfor +p1237 +tp1238 +a(g185 +V\u000a +tp1239 +a(g6 +V\u000a " Prepare list of classes from tags file +p1240 +tp1241 +a(g185 +V\u000a +tp1242 +a(g185 +V +p1243 +tp1244 +a(g107 +Vlet +p1245 +tp1246 +a(g185 +V +tp1247 +a(g185 +Vext_classes +p1248 +tp1249 +a(g185 +V +tp1250 +a(g198 +V= +tp1251 +a(g185 +V +tp1252 +a(g185 +V{ +tp1253 +a(g185 +V} +tp1254 +a(g185 +V\u000a +tp1255 +a(g185 +V +p1256 +tp1257 +a(g107 +Vlet +p1258 +tp1259 +a(g185 +V +tp1260 +a(g185 +Vfnames +p1261 +tp1262 +a(g185 +V +tp1263 +a(g198 +V= +tp1264 +a(g185 +V +tp1265 +a(g107 +Vjoin +p1266 +tp1267 +a(g198 +V( +tp1268 +a(g185 +Vmap +p1269 +tp1270 +a(g198 +V( +tp1271 +a(g185 +Vtagfiles +p1272 +tp1273 +a(g198 +V( +tp1274 +a(g198 +V) +tp1275 +a(g198 +V, +tp1276 +a(g185 +V +tp1277 +a(g268 +V'escape(v:val, " \u005c\u005c#%")' +p1278 +tp1279 +a(g198 +V) +tp1280 +a(g198 +V) +tp1281 +a(g185 +V\u000a +tp1282 +a(g185 +V +p1283 +tp1284 +a(g107 +Vif +p1285 +tp1286 +a(g185 +V +tp1287 +a(g185 +Vfnames +p1288 +tp1289 +a(g185 +V +tp1290 +a(g198 +V! +tp1291 +a(g198 +V= +tp1292 +a(g185 +V +tp1293 +a(g268 +V'' +p1294 +tp1295 +a(g185 +V\u000a +tp1296 +a(g185 +V +p1297 +tp1298 +a(g185 +Vexe +p1299 +tp1300 +a(g185 +V +tp1301 +a(g268 +V'silent! vimgrep /^' +p1302 +tp1303 +a(g185 +V. +tp1304 +a(g185 +Va +tp1305 +a(g185 +V: +tp1306 +a(g185 +Vbase +p1307 +tp1308 +a(g185 +V. +tp1309 +a(g268 +V'.*\u005ctc\u005c(\u005ct\u005c|$\u005c)/j ' +p1310 +tp1311 +a(g185 +V. +tp1312 +a(g185 +Vfnames +p1313 +tp1314 +a(g185 +V\u000a +tp1315 +a(g185 +V +p1316 +tp1317 +a(g107 +Vlet +p1318 +tp1319 +a(g185 +V +tp1320 +a(g185 +Vqflist +p1321 +tp1322 +a(g185 +V +tp1323 +a(g198 +V= +tp1324 +a(g185 +V +tp1325 +a(g185 +Vgetqflist +p1326 +tp1327 +a(g198 +V( +tp1328 +a(g198 +V) +tp1329 +a(g185 +V\u000a +tp1330 +a(g185 +V +p1331 +tp1332 +a(g107 +Vif +p1333 +tp1334 +a(g185 +V +tp1335 +a(g185 +Vlen +p1336 +tp1337 +a(g198 +V( +tp1338 +a(g185 +Vqflist +p1339 +tp1340 +a(g198 +V) +tp1341 +a(g185 +V +tp1342 +a(g198 +V> +tp1343 +a(g185 +V +tp1344 +a(g209 +V0 +tp1345 +a(g185 +V\u000a +tp1346 +a(g185 +V +p1347 +tp1348 +a(g107 +Vfor +p1349 +tp1350 +a(g185 +V +tp1351 +a(g185 +Vfield +p1352 +tp1353 +a(g185 +V +tp1354 +a(g107 +Vin +p1355 +tp1356 +a(g185 +V +tp1357 +a(g185 +Vqflist +p1358 +tp1359 +a(g185 +V\u000a +tp1360 +a(g6 +V " [:space:] thing: we don't have to be so strict when +p1361 +tp1362 +a(g185 +V\u000a +tp1363 +a(g6 +V " dealing with tags files - entries there were already +p1364 +tp1365 +a(g185 +V\u000a +tp1366 +a(g6 +V " checked by ctags. +p1367 +tp1368 +a(g185 +V\u000a +tp1369 +a(g185 +V +p1370 +tp1371 +a(g107 +Vlet +p1372 +tp1373 +a(g185 +V +tp1374 +a(g185 +Vitem +p1375 +tp1376 +a(g185 +V +tp1377 +a(g198 +V= +tp1378 +a(g185 +V +tp1379 +a(g185 +Vmatchstr +p1380 +tp1381 +a(g198 +V( +tp1382 +a(g185 +Vfield +p1383 +tp1384 +a(g185 +V[ +tp1385 +a(g268 +V'text' +p1386 +tp1387 +a(g185 +V] +tp1388 +a(g198 +V, +tp1389 +a(g185 +V +tp1390 +a(g268 +V'^[^[:space:]]\u005c+' +p1391 +tp1392 +a(g198 +V) +tp1393 +a(g185 +V\u000a +tp1394 +a(g185 +V +p1395 +tp1396 +a(g107 +Vlet +p1397 +tp1398 +a(g185 +V +tp1399 +a(g185 +Vext_classes +p1400 +tp1401 +a(g185 +V[ +tp1402 +a(g185 +Vitem +p1403 +tp1404 +a(g185 +V] +tp1405 +a(g185 +V +tp1406 +a(g198 +V= +tp1407 +a(g185 +V +tp1408 +a(g268 +V'' +p1409 +tp1410 +a(g185 +V\u000a +tp1411 +a(g185 +V +p1412 +tp1413 +a(g107 +Vendfor +p1414 +tp1415 +a(g185 +V\u000a +tp1416 +a(g185 +V +p1417 +tp1418 +a(g107 +Vendif +p1419 +tp1420 +a(g185 +V\u000a +tp1421 +a(g185 +V +p1422 +tp1423 +a(g107 +Vendif +p1424 +tp1425 +a(g185 +V\u000a +tp1426 +a(g6 +V\u000a " Prepare list of built in classes from g:php_builtin_functions +p1427 +tp1428 +a(g185 +V\u000a +tp1429 +a(g185 +V +p1430 +tp1431 +a(g107 +Vif +p1432 +tp1433 +a(g185 +V +tp1434 +a(g198 +V! +tp1435 +a(g185 +Vexists +p1436 +tp1437 +a(g198 +V( +tp1438 +a(g241 +V"g:php_omni_bi_classes" +p1439 +tp1440 +a(g198 +V) +tp1441 +a(g185 +V\u000a +tp1442 +a(g185 +V +p1443 +tp1444 +a(g107 +Vlet +p1445 +tp1446 +a(g185 +V +tp1447 +a(g185 +Vg +tp1448 +a(g185 +V: +tp1449 +a(g185 +Vphp_omni_bi_classes +p1450 +tp1451 +a(g185 +V +tp1452 +a(g198 +V= +tp1453 +a(g185 +V +tp1454 +a(g185 +V{ +tp1455 +a(g185 +V} +tp1456 +a(g185 +V\u000a +tp1457 +a(g185 +V +p1458 +tp1459 +a(g107 +Vfor +p1460 +tp1461 +a(g185 +V +tp1462 +a(g185 +Vi +tp1463 +a(g185 +V +tp1464 +a(g107 +Vin +p1465 +tp1466 +a(g185 +V +tp1467 +a(g185 +Vkeys +p1468 +tp1469 +a(g198 +V( +tp1470 +a(g185 +Vg +tp1471 +a(g185 +V: +tp1472 +a(g185 +Vphp_builtin_object_functions +p1473 +tp1474 +a(g198 +V) +tp1475 +a(g185 +V\u000a +tp1476 +a(g185 +V +p1477 +tp1478 +a(g107 +Vlet +p1479 +tp1480 +a(g185 +V +tp1481 +a(g185 +Vg +tp1482 +a(g185 +V: +tp1483 +a(g185 +Vphp_omni_bi_classes +p1484 +tp1485 +a(g185 +V[ +tp1486 +a(g185 +Vsubstitute +p1487 +tp1488 +a(g198 +V( +tp1489 +a(g185 +Vi +tp1490 +a(g198 +V, +tp1491 +a(g185 +V +tp1492 +a(g268 +V'::.*$' +p1493 +tp1494 +a(g198 +V, +tp1495 +a(g185 +V +tp1496 +a(g268 +V'' +p1497 +tp1498 +a(g198 +V, +tp1499 +a(g185 +V +tp1500 +a(g268 +V'' +p1501 +tp1502 +a(g198 +V) +tp1503 +a(g185 +V] +tp1504 +a(g185 +V +tp1505 +a(g198 +V= +tp1506 +a(g185 +V +tp1507 +a(g268 +V'' +p1508 +tp1509 +a(g185 +V\u000a +tp1510 +a(g185 +V +p1511 +tp1512 +a(g107 +Vendfor +p1513 +tp1514 +a(g185 +V\u000a +tp1515 +a(g185 +V +p1516 +tp1517 +a(g107 +Vendif +p1518 +tp1519 +a(g185 +V\u000a +tp1520 +a(g185 +V\u000a +tp1521 +a(g185 +V +p1522 +tp1523 +a(g107 +Vlet +p1524 +tp1525 +a(g185 +V +tp1526 +a(g185 +Vclasses +p1527 +tp1528 +a(g185 +V +tp1529 +a(g198 +V= +tp1530 +a(g185 +V +tp1531 +a(g107 +Vsort +p1532 +tp1533 +a(g198 +V( +tp1534 +a(g185 +Vkeys +p1535 +tp1536 +a(g198 +V( +tp1537 +a(g185 +Vint_classes +p1538 +tp1539 +a(g198 +V) +tp1540 +a(g198 +V) +tp1541 +a(g185 +V\u000a +tp1542 +a(g185 +V +p1543 +tp1544 +a(g107 +Vlet +p1545 +tp1546 +a(g185 +V +tp1547 +a(g185 +Vclasses +p1548 +tp1549 +a(g185 +V +tp1550 +a(g198 +V+ +tp1551 +a(g198 +V= +tp1552 +a(g185 +V +tp1553 +a(g107 +Vsort +p1554 +tp1555 +a(g198 +V( +tp1556 +a(g185 +Vkeys +p1557 +tp1558 +a(g198 +V( +tp1559 +a(g185 +Vext_classes +p1560 +tp1561 +a(g198 +V) +tp1562 +a(g198 +V) +tp1563 +a(g185 +V\u000a +tp1564 +a(g185 +V +p1565 +tp1566 +a(g107 +Vlet +p1567 +tp1568 +a(g185 +V +tp1569 +a(g185 +Vclasses +p1570 +tp1571 +a(g185 +V +tp1572 +a(g198 +V+ +tp1573 +a(g198 +V= +tp1574 +a(g185 +V +tp1575 +a(g107 +Vsort +p1576 +tp1577 +a(g198 +V( +tp1578 +a(g185 +Vkeys +p1579 +tp1580 +a(g198 +V( +tp1581 +a(g185 +Vg +tp1582 +a(g185 +V: +tp1583 +a(g185 +Vphp_omni_bi_classes +p1584 +tp1585 +a(g198 +V) +tp1586 +a(g198 +V) +tp1587 +a(g185 +V\u000a +tp1588 +a(g185 +V\u000a +tp1589 +a(g185 +V +p1590 +tp1591 +a(g107 +Vfor +p1592 +tp1593 +a(g185 +V +tp1594 +a(g107 +Vm +tp1595 +a(g185 +V +tp1596 +a(g107 +Vin +p1597 +tp1598 +a(g185 +V +tp1599 +a(g185 +Vclasses +p1600 +tp1601 +a(g185 +V\u000a +tp1602 +a(g185 +V +p1603 +tp1604 +a(g107 +Vif +p1605 +tp1606 +a(g185 +V +tp1607 +a(g107 +Vm +tp1608 +a(g185 +V +tp1609 +a(g198 +V= +tp1610 +a(g198 +V~ +tp1611 +a(g185 +V +tp1612 +a(g268 +V'^' +p1613 +tp1614 +a(g185 +V. +tp1615 +a(g185 +Va +tp1616 +a(g185 +V: +tp1617 +a(g185 +Vbase +p1618 +tp1619 +a(g185 +V\u000a +tp1620 +a(g185 +V +p1621 +tp1622 +a(g107 +Vcall +p1623 +tp1624 +a(g185 +V +tp1625 +a(g185 +Vadd +p1626 +tp1627 +a(g198 +V( +tp1628 +a(g107 +Vres +p1629 +tp1630 +a(g198 +V, +tp1631 +a(g185 +V +tp1632 +a(g107 +Vm +tp1633 +a(g198 +V) +tp1634 +a(g185 +V\u000a +tp1635 +a(g185 +V +p1636 +tp1637 +a(g107 +Vendif +p1638 +tp1639 +a(g185 +V\u000a +tp1640 +a(g185 +V +p1641 +tp1642 +a(g107 +Vendfor +p1643 +tp1644 +a(g185 +V\u000a +tp1645 +a(g185 +V\u000a +tp1646 +a(g185 +V +p1647 +tp1648 +a(g107 +Vlet +p1649 +tp1650 +a(g185 +V +tp1651 +a(g185 +Vfinal_menu +p1652 +tp1653 +a(g185 +V +tp1654 +a(g198 +V= +tp1655 +a(g185 +V +tp1656 +a(g185 +V[ +tp1657 +a(g185 +V] +tp1658 +a(g185 +V\u000a +tp1659 +a(g185 +V +p1660 +tp1661 +a(g107 +Vfor +p1662 +tp1663 +a(g185 +V +tp1664 +a(g185 +Vi +tp1665 +a(g185 +V +tp1666 +a(g107 +Vin +p1667 +tp1668 +a(g185 +V +tp1669 +a(g107 +Vres +p1670 +tp1671 +a(g185 +V\u000a +tp1672 +a(g185 +V +p1673 +tp1674 +a(g107 +Vlet +p1675 +tp1676 +a(g185 +V +tp1677 +a(g185 +Vfinal_menu +p1678 +tp1679 +a(g185 +V +tp1680 +a(g198 +V+ +tp1681 +a(g198 +V= +tp1682 +a(g185 +V +tp1683 +a(g185 +V[ +tp1684 +a(g185 +V{ +tp1685 +a(g268 +V'word' +p1686 +tp1687 +a(g185 +V: +tp1688 +a(g185 +Vi +tp1689 +a(g198 +V, +tp1690 +a(g185 +V +tp1691 +a(g268 +V'kind' +p1692 +tp1693 +a(g185 +V: +tp1694 +a(g268 +V'c' +p1695 +tp1696 +a(g185 +V} +tp1697 +a(g185 +V] +tp1698 +a(g185 +V\u000a +tp1699 +a(g185 +V +p1700 +tp1701 +a(g107 +Vendfor +p1702 +tp1703 +a(g185 +V\u000a +tp1704 +a(g185 +V\u000a +tp1705 +a(g185 +V +p1706 +tp1707 +a(g107 +Vreturn +p1708 +tp1709 +a(g185 +V +tp1710 +a(g185 +Vfinal_menu +p1711 +tp1712 +a(g185 +V\u000a +tp1713 +a(g185 +V\u000a +tp1714 +a(g185 +V +tp1715 +a(g107 +Velseif +p1716 +tp1717 +a(g185 +V +tp1718 +a(g185 +Vscontext +p1719 +tp1720 +a(g185 +V +tp1721 +a(g198 +V= +tp1722 +a(g198 +V~ +tp1723 +a(g185 +V +tp1724 +a(g268 +V'\u005c(->\u005c|::\u005c)$' +p1725 +tp1726 +a(g185 +V\u000a +tp1727 +a(g6 +V " Complete user functions and variables +p1728 +tp1729 +a(g185 +V\u000a +tp1730 +a(g6 +V " Internal solution for current file. +p1731 +tp1732 +a(g185 +V\u000a +tp1733 +a(g6 +V " That seems as unnecessary repeating of functions but there are +p1734 +tp1735 +a(g185 +V\u000a +tp1736 +a(g6 +V " few not so subtle differences as not appending of $ and addition +p1737 +tp1738 +a(g185 +V\u000a +tp1739 +a(g6 +V " of 'kind' tag (not necessary in regular completion) +p1740 +tp1741 +a(g185 +V\u000a +tp1742 +a(g185 +V\u000a +tp1743 +a(g185 +V +p1744 +tp1745 +a(g107 +Vif +p1746 +tp1747 +a(g185 +V +tp1748 +a(g185 +Vscontext +p1749 +tp1750 +a(g185 +V +tp1751 +a(g198 +V= +tp1752 +a(g198 +V~ +tp1753 +a(g185 +V +tp1754 +a(g268 +V'->$' +p1755 +tp1756 +a(g185 +V +tp1757 +a(g185 +V& +tp1758 +a(g185 +V& +tp1759 +a(g185 +V +tp1760 +a(g185 +Vscontext +p1761 +tp1762 +a(g185 +V +tp1763 +a(g198 +V! +tp1764 +a(g198 +V~ +tp1765 +a(g185 +V +tp1766 +a(g268 +V'\u005c$this->$' +p1767 +tp1768 +a(g185 +V\u000a +tp1769 +a(g6 +V\u000a " Get name of the class +p1770 +tp1771 +a(g185 +V\u000a +tp1772 +a(g185 +V +p1773 +tp1774 +a(g107 +Vlet +p1775 +tp1776 +a(g185 +V +tp1777 +a(g185 +Vclassname +p1778 +tp1779 +a(g185 +V +tp1780 +a(g198 +V= +tp1781 +a(g185 +V +tp1782 +a(g185 +Vphpcomplete +p1783 +tp1784 +a(g185 +V# +tp1785 +a(g185 +VGetClassName +p1786 +tp1787 +a(g198 +V( +tp1788 +a(g185 +Vscontext +p1789 +tp1790 +a(g198 +V) +tp1791 +a(g185 +V\u000a +tp1792 +a(g6 +V\u000a " Get location of class definition, we have to iterate through all +p1793 +tp1794 +a(g185 +V\u000a +tp1795 +a(g6 +V " tags files separately because we need relative path from current +p1796 +tp1797 +a(g185 +V\u000a +tp1798 +a(g6 +V " file to the exact file (tags file can be in different dir) +p1799 +tp1800 +a(g185 +V\u000a +tp1801 +a(g185 +V +p1802 +tp1803 +a(g107 +Vif +p1804 +tp1805 +a(g185 +V +tp1806 +a(g185 +Vclassname +p1807 +tp1808 +a(g185 +V +tp1809 +a(g198 +V! +tp1810 +a(g198 +V= +tp1811 +a(g185 +V +tp1812 +a(g268 +V'' +p1813 +tp1814 +a(g185 +V\u000a +tp1815 +a(g185 +V +p1816 +tp1817 +a(g107 +Vlet +p1818 +tp1819 +a(g185 +V +tp1820 +a(g185 +Vclasslocation +p1821 +tp1822 +a(g185 +V +tp1823 +a(g198 +V= +tp1824 +a(g185 +V +tp1825 +a(g185 +Vphpcomplete +p1826 +tp1827 +a(g185 +V# +tp1828 +a(g185 +VGetClassLocation +p1829 +tp1830 +a(g198 +V( +tp1831 +a(g185 +Vclassname +p1832 +tp1833 +a(g198 +V) +tp1834 +a(g185 +V\u000a +tp1835 +a(g185 +V +p1836 +tp1837 +a(g107 +Velse +p1838 +tp1839 +a(g185 +V\u000a +tp1840 +a(g185 +V +p1841 +tp1842 +a(g107 +Vlet +p1843 +tp1844 +a(g185 +V +tp1845 +a(g185 +Vclasslocation +p1846 +tp1847 +a(g185 +V +tp1848 +a(g198 +V= +tp1849 +a(g185 +V +tp1850 +a(g268 +V'' +p1851 +tp1852 +a(g185 +V\u000a +tp1853 +a(g185 +V +p1854 +tp1855 +a(g107 +Vendif +p1856 +tp1857 +a(g185 +V\u000a +tp1858 +a(g185 +V\u000a +tp1859 +a(g185 +V +p1860 +tp1861 +a(g107 +Vif +p1862 +tp1863 +a(g185 +V +tp1864 +a(g185 +Vclasslocation +p1865 +tp1866 +a(g185 +V +tp1867 +a(g198 +V= +tp1868 +a(g198 +V= +tp1869 +a(g185 +V +tp1870 +a(g268 +V'VIMPHP_BUILTINOBJECT' +p1871 +tp1872 +a(g185 +V\u000a +tp1873 +a(g185 +V\u000a +tp1874 +a(g185 +V +p1875 +tp1876 +a(g107 +Vfor +p1877 +tp1878 +a(g185 +V +tp1879 +a(g185 +Vobject +p1880 +tp1881 +a(g185 +V +tp1882 +a(g107 +Vin +p1883 +tp1884 +a(g185 +V +tp1885 +a(g185 +Vkeys +p1886 +tp1887 +a(g198 +V( +tp1888 +a(g185 +Vg +tp1889 +a(g185 +V: +tp1890 +a(g185 +Vphp_builtin_object_functions +p1891 +tp1892 +a(g198 +V) +tp1893 +a(g185 +V\u000a +tp1894 +a(g185 +V +p1895 +tp1896 +a(g107 +Vif +p1897 +tp1898 +a(g185 +V +tp1899 +a(g185 +Vobject +p1900 +tp1901 +a(g185 +V +tp1902 +a(g198 +V= +tp1903 +a(g198 +V~ +tp1904 +a(g185 +V +tp1905 +a(g268 +V'^' +p1906 +tp1907 +a(g185 +V. +tp1908 +a(g185 +Vclassname +p1909 +tp1910 +a(g185 +V\u000a +tp1911 +a(g185 +V +p1912 +tp1913 +a(g107 +Vlet +p1914 +tp1915 +a(g185 +V +tp1916 +a(g107 +Vres +p1917 +tp1918 +a(g185 +V +tp1919 +a(g198 +V+ +tp1920 +a(g198 +V= +tp1921 +a(g185 +V +tp1922 +a(g185 +V[ +tp1923 +a(g185 +V{ +tp1924 +a(g268 +V'word' +p1925 +tp1926 +a(g185 +V: +tp1927 +a(g185 +Vsubstitute +p1928 +tp1929 +a(g198 +V( +tp1930 +a(g185 +Vobject +p1931 +tp1932 +a(g198 +V, +tp1933 +a(g185 +V +tp1934 +a(g268 +V'.*::' +p1935 +tp1936 +a(g198 +V, +tp1937 +a(g185 +V +tp1938 +a(g268 +V'' +p1939 +tp1940 +a(g198 +V, +tp1941 +a(g185 +V +tp1942 +a(g268 +V'' +p1943 +tp1944 +a(g198 +V) +tp1945 +a(g198 +V, +tp1946 +a(g185 +V\u000a +tp1947 +a(g185 +V +p1948 +tp1949 +a(g185 +V\u005c +tp1950 +a(g185 +V +p1951 +tp1952 +a(g268 +V'info' +p1953 +tp1954 +a(g185 +V: +tp1955 +a(g185 +V +tp1956 +a(g185 +Vg +tp1957 +a(g185 +V: +tp1958 +a(g185 +Vphp_builtin_object_functions +p1959 +tp1960 +a(g185 +V[ +tp1961 +a(g185 +Vobject +p1962 +tp1963 +a(g185 +V] +tp1964 +a(g185 +V} +tp1965 +a(g185 +V] +tp1966 +a(g185 +V\u000a +tp1967 +a(g185 +V +p1968 +tp1969 +a(g107 +Vendif +p1970 +tp1971 +a(g185 +V\u000a +tp1972 +a(g185 +V +p1973 +tp1974 +a(g107 +Vendfor +p1975 +tp1976 +a(g185 +V\u000a +tp1977 +a(g185 +V\u000a +tp1978 +a(g185 +V +p1979 +tp1980 +a(g107 +Vreturn +p1981 +tp1982 +a(g185 +V +tp1983 +a(g107 +Vres +p1984 +tp1985 +a(g185 +V\u000a +tp1986 +a(g185 +V\u000a +tp1987 +a(g185 +V +p1988 +tp1989 +a(g107 +Vendif +p1990 +tp1991 +a(g185 +V\u000a +tp1992 +a(g185 +V\u000a +tp1993 +a(g185 +V +p1994 +tp1995 +a(g107 +Vif +p1996 +tp1997 +a(g185 +V +tp1998 +a(g185 +Vfilereadable +p1999 +tp2000 +a(g198 +V( +tp2001 +a(g185 +Vclasslocation +p2002 +tp2003 +a(g198 +V) +tp2004 +a(g185 +V\u000a +tp2005 +a(g185 +V +p2006 +tp2007 +a(g107 +Vlet +p2008 +tp2009 +a(g185 +V +tp2010 +a(g185 +Vclassfile +p2011 +tp2012 +a(g185 +V +tp2013 +a(g198 +V= +tp2014 +a(g185 +V +tp2015 +a(g185 +Vreadfile +p2016 +tp2017 +a(g198 +V( +tp2018 +a(g185 +Vclasslocation +p2019 +tp2020 +a(g198 +V) +tp2021 +a(g185 +V\u000a +tp2022 +a(g185 +V +p2023 +tp2024 +a(g107 +Vlet +p2025 +tp2026 +a(g185 +V +tp2027 +a(g185 +Vclasscontent +p2028 +tp2029 +a(g185 +V +tp2030 +a(g198 +V= +tp2031 +a(g185 +V +tp2032 +a(g268 +V'' +p2033 +tp2034 +a(g185 +V\u000a +tp2035 +a(g185 +V +p2036 +tp2037 +a(g107 +Vlet +p2038 +tp2039 +a(g185 +V +tp2040 +a(g185 +Vclasscontent +p2041 +tp2042 +a(g185 +V +tp2043 +a(g185 +V. +tp2044 +a(g198 +V= +tp2045 +a(g185 +V +tp2046 +a(g6 +V"\u005cn".phpcomplete#GetClassContents(classfile, classname) +p2047 +tp2048 +a(g185 +V\u000a +tp2049 +a(g185 +V +p2050 +tp2051 +a(g107 +Vlet +p2052 +tp2053 +a(g185 +V +tp2054 +a(g185 +Vsccontent +p2055 +tp2056 +a(g185 +V +tp2057 +a(g198 +V= +tp2058 +a(g185 +V +tp2059 +a(g185 +Vsplit +p2060 +tp2061 +a(g198 +V( +tp2062 +a(g185 +Vclasscontent +p2063 +tp2064 +a(g198 +V, +tp2065 +a(g185 +V +tp2066 +a(g6 +V"\u005cn") +p2067 +tp2068 +a(g185 +V\u000a +tp2069 +a(g6 +V\u000a " YES, YES, YES! - we have whole content including extends! +p2070 +tp2071 +a(g185 +V\u000a +tp2072 +a(g6 +V " Now we need to get two elements: public functions and public +p2073 +tp2074 +a(g185 +V\u000a +tp2075 +a(g6 +V " vars +p2076 +tp2077 +a(g185 +V\u000a +tp2078 +a(g6 +V " NO, NO, NO! - third separate filtering looking for content +p2079 +tp2080 +a(g185 +V\u000a +tp2081 +a(g6 +V " :(, but all of them have differences. To squeeze them into +p2082 +tp2083 +a(g185 +V\u000a +tp2084 +a(g6 +V " one implementation would require many additional arguments +p2085 +tp2086 +a(g185 +V\u000a +tp2087 +a(g6 +V " and ifs. No good solution +p2088 +tp2089 +a(g185 +V\u000a +tp2090 +a(g6 +V " Functions declared with public keyword or without any +p2091 +tp2092 +a(g185 +V\u000a +tp2093 +a(g6 +V " keyword are public +p2094 +tp2095 +a(g185 +V\u000a +tp2096 +a(g185 +V +p2097 +tp2098 +a(g107 +Vlet +p2099 +tp2100 +a(g185 +V +tp2101 +a(g185 +Vfunctions +p2102 +tp2103 +a(g185 +V +tp2104 +a(g198 +V= +tp2105 +a(g185 +V +tp2106 +a(g185 +Vfilter +p2107 +tp2108 +a(g198 +V( +tp2109 +a(g185 +Vdeepcopy +p2110 +tp2111 +a(g198 +V( +tp2112 +a(g185 +Vsccontent +p2113 +tp2114 +a(g198 +V) +tp2115 +a(g198 +V, +tp2116 +a(g185 +V\u000a +tp2117 +a(g185 +V +p2118 +tp2119 +a(g185 +V\u005c +tp2120 +a(g185 +V +tp2121 +a(g268 +V'v:val =~ "^\u005c\u005cs*\u005c\u005c(static\u005c\u005cs\u005c\u005c+\u005c\u005c|public\u005c\u005cs\u005c\u005c+\u005c\u005c)*function"' +p2122 +tp2123 +a(g198 +V) +tp2124 +a(g185 +V\u000a +tp2125 +a(g185 +V +p2126 +tp2127 +a(g107 +Vlet +p2128 +tp2129 +a(g185 +V +tp2130 +a(g185 +Vjfuncs +p2131 +tp2132 +a(g185 +V +tp2133 +a(g198 +V= +tp2134 +a(g185 +V +tp2135 +a(g107 +Vjoin +p2136 +tp2137 +a(g198 +V( +tp2138 +a(g185 +Vfunctions +p2139 +tp2140 +a(g198 +V, +tp2141 +a(g185 +V +tp2142 +a(g268 +V' ' +p2143 +tp2144 +a(g198 +V) +tp2145 +a(g185 +V\u000a +tp2146 +a(g185 +V +p2147 +tp2148 +a(g107 +Vlet +p2149 +tp2150 +a(g185 +V +tp2151 +a(g185 +Vsfuncs +p2152 +tp2153 +a(g185 +V +tp2154 +a(g198 +V= +tp2155 +a(g185 +V +tp2156 +a(g185 +Vsplit +p2157 +tp2158 +a(g198 +V( +tp2159 +a(g185 +Vjfuncs +p2160 +tp2161 +a(g198 +V, +tp2162 +a(g185 +V +tp2163 +a(g268 +V'function\u005cs\u005c+' +p2164 +tp2165 +a(g198 +V) +tp2166 +a(g185 +V\u000a +tp2167 +a(g185 +V +p2168 +tp2169 +a(g107 +Vlet +p2170 +tp2171 +a(g185 +V +tp2172 +a(g185 +Vc_functions +p2173 +tp2174 +a(g185 +V +tp2175 +a(g198 +V= +tp2176 +a(g185 +V +tp2177 +a(g185 +V{ +tp2178 +a(g185 +V} +tp2179 +a(g185 +V\u000a +tp2180 +a(g185 +V +p2181 +tp2182 +a(g107 +Vfor +p2183 +tp2184 +a(g185 +V +tp2185 +a(g185 +Vi +tp2186 +a(g185 +V +tp2187 +a(g107 +Vin +p2188 +tp2189 +a(g185 +V +tp2190 +a(g185 +Vsfuncs +p2191 +tp2192 +a(g185 +V\u000a +tp2193 +a(g185 +V +p2194 +tp2195 +a(g107 +Vlet +p2196 +tp2197 +a(g185 +V +tp2198 +a(g185 +Vf_name +p2199 +tp2200 +a(g185 +V +tp2201 +a(g198 +V= +tp2202 +a(g185 +V +tp2203 +a(g185 +Vmatchstr +p2204 +tp2205 +a(g198 +V( +tp2206 +a(g185 +Vi +tp2207 +a(g198 +V, +tp2208 +a(g185 +V\u000a +tp2209 +a(g185 +V +p2210 +tp2211 +a(g185 +V\u005c +tp2212 +a(g185 +V +tp2213 +a(g268 +V'^&\u005c?\u005czs[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cze' +p2214 +tp2215 +a(g198 +V) +tp2216 +a(g185 +V\u000a +tp2217 +a(g185 +V +p2218 +tp2219 +a(g107 +Vlet +p2220 +tp2221 +a(g185 +V +tp2222 +a(g185 +Vf_args +p2223 +tp2224 +a(g185 +V +tp2225 +a(g198 +V= +tp2226 +a(g185 +V +tp2227 +a(g185 +Vmatchstr +p2228 +tp2229 +a(g198 +V( +tp2230 +a(g185 +Vi +tp2231 +a(g198 +V, +tp2232 +a(g185 +V\u000a +tp2233 +a(g185 +V +p2234 +tp2235 +a(g185 +V\u005c +tp2236 +a(g185 +V +tp2237 +a(g268 +V'^&\u005c?[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cs*(\u005czs.\u005c{-}\u005cze)\u005c_s*{' +p2238 +tp2239 +a(g198 +V) +tp2240 +a(g185 +V\u000a +tp2241 +a(g185 +V +p2242 +tp2243 +a(g107 +Vif +p2244 +tp2245 +a(g185 +V +tp2246 +a(g185 +Vf_name +p2247 +tp2248 +a(g185 +V +tp2249 +a(g198 +V! +tp2250 +a(g198 +V= +tp2251 +a(g185 +V +tp2252 +a(g268 +V'' +p2253 +tp2254 +a(g185 +V\u000a +tp2255 +a(g185 +V +p2256 +tp2257 +a(g107 +Vlet +p2258 +tp2259 +a(g185 +V +tp2260 +a(g185 +Vc_functions +p2261 +tp2262 +a(g185 +V[ +tp2263 +a(g185 +Vf_name +p2264 +tp2265 +a(g185 +V. +tp2266 +a(g268 +V'(' +p2267 +tp2268 +a(g185 +V] +tp2269 +a(g185 +V +tp2270 +a(g198 +V= +tp2271 +a(g185 +V +tp2272 +a(g185 +Vf_args +p2273 +tp2274 +a(g185 +V\u000a +tp2275 +a(g185 +V +p2276 +tp2277 +a(g107 +Vendif +p2278 +tp2279 +a(g185 +V\u000a +tp2280 +a(g185 +V +p2281 +tp2282 +a(g107 +Vendfor +p2283 +tp2284 +a(g185 +V\u000a +tp2285 +a(g6 +V " Variables declared with var or with public keyword are +p2286 +tp2287 +a(g185 +V\u000a +tp2288 +a(g6 +V " public +p2289 +tp2290 +a(g185 +V\u000a +tp2291 +a(g185 +V +p2292 +tp2293 +a(g107 +Vlet +p2294 +tp2295 +a(g185 +V +tp2296 +a(g185 +Vvariables +p2297 +tp2298 +a(g185 +V +tp2299 +a(g198 +V= +tp2300 +a(g185 +V +tp2301 +a(g185 +Vfilter +p2302 +tp2303 +a(g198 +V( +tp2304 +a(g185 +Vdeepcopy +p2305 +tp2306 +a(g198 +V( +tp2307 +a(g185 +Vsccontent +p2308 +tp2309 +a(g198 +V) +tp2310 +a(g198 +V, +tp2311 +a(g185 +V\u000a +tp2312 +a(g185 +V +p2313 +tp2314 +a(g185 +V\u005c +tp2315 +a(g185 +V +tp2316 +a(g268 +V'v:val =~ "^\u005c\u005cs*\u005c\u005c(public\u005c\u005c|var\u005c\u005c)\u005c\u005cs\u005c\u005c+\u005c\u005c$"' +p2317 +tp2318 +a(g198 +V) +tp2319 +a(g185 +V\u000a +tp2320 +a(g185 +V +p2321 +tp2322 +a(g107 +Vlet +p2323 +tp2324 +a(g185 +V +tp2325 +a(g185 +Vjvars +p2326 +tp2327 +a(g185 +V +tp2328 +a(g198 +V= +tp2329 +a(g185 +V +tp2330 +a(g107 +Vjoin +p2331 +tp2332 +a(g198 +V( +tp2333 +a(g185 +Vvariables +p2334 +tp2335 +a(g198 +V, +tp2336 +a(g185 +V +tp2337 +a(g268 +V' ' +p2338 +tp2339 +a(g198 +V) +tp2340 +a(g185 +V\u000a +tp2341 +a(g185 +V +p2342 +tp2343 +a(g107 +Vlet +p2344 +tp2345 +a(g185 +V +tp2346 +a(g185 +Vsvars +p2347 +tp2348 +a(g185 +V +tp2349 +a(g198 +V= +tp2350 +a(g185 +V +tp2351 +a(g185 +Vsplit +p2352 +tp2353 +a(g198 +V( +tp2354 +a(g185 +Vjvars +p2355 +tp2356 +a(g198 +V, +tp2357 +a(g185 +V +tp2358 +a(g268 +V'\u005c$' +p2359 +tp2360 +a(g198 +V) +tp2361 +a(g185 +V\u000a +tp2362 +a(g185 +V +p2363 +tp2364 +a(g107 +Vlet +p2365 +tp2366 +a(g185 +V +tp2367 +a(g185 +Vc_variables +p2368 +tp2369 +a(g185 +V +tp2370 +a(g198 +V= +tp2371 +a(g185 +V +tp2372 +a(g185 +V{ +tp2373 +a(g185 +V} +tp2374 +a(g185 +V\u000a +tp2375 +a(g185 +V +p2376 +tp2377 +a(g107 +Vfor +p2378 +tp2379 +a(g185 +V +tp2380 +a(g185 +Vi +tp2381 +a(g185 +V +tp2382 +a(g107 +Vin +p2383 +tp2384 +a(g185 +V +tp2385 +a(g185 +Vsvars +p2386 +tp2387 +a(g185 +V\u000a +tp2388 +a(g185 +V +p2389 +tp2390 +a(g107 +Vlet +p2391 +tp2392 +a(g185 +V +tp2393 +a(g185 +Vc_var +p2394 +tp2395 +a(g185 +V +tp2396 +a(g198 +V= +tp2397 +a(g185 +V +tp2398 +a(g185 +Vmatchstr +p2399 +tp2400 +a(g198 +V( +tp2401 +a(g185 +Vi +tp2402 +a(g198 +V, +tp2403 +a(g185 +V\u000a +tp2404 +a(g185 +V +p2405 +tp2406 +a(g185 +V\u005c +tp2407 +a(g185 +V +tp2408 +a(g268 +V'^\u005czs[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cze' +p2409 +tp2410 +a(g198 +V) +tp2411 +a(g185 +V\u000a +tp2412 +a(g185 +V +p2413 +tp2414 +a(g107 +Vif +p2415 +tp2416 +a(g185 +V +tp2417 +a(g185 +Vc_var +p2418 +tp2419 +a(g185 +V +tp2420 +a(g198 +V! +tp2421 +a(g198 +V= +tp2422 +a(g185 +V +tp2423 +a(g268 +V'' +p2424 +tp2425 +a(g185 +V\u000a +tp2426 +a(g185 +V +p2427 +tp2428 +a(g107 +Vlet +p2429 +tp2430 +a(g185 +V +tp2431 +a(g185 +Vc_variables +p2432 +tp2433 +a(g185 +V[ +tp2434 +a(g185 +Vc_var +p2435 +tp2436 +a(g185 +V] +tp2437 +a(g185 +V +tp2438 +a(g198 +V= +tp2439 +a(g185 +V +tp2440 +a(g268 +V'' +p2441 +tp2442 +a(g185 +V\u000a +tp2443 +a(g185 +V +p2444 +tp2445 +a(g107 +Vendif +p2446 +tp2447 +a(g185 +V\u000a +tp2448 +a(g185 +V +p2449 +tp2450 +a(g107 +Vendfor +p2451 +tp2452 +a(g185 +V\u000a +tp2453 +a(g185 +V\u000a +tp2454 +a(g185 +V +p2455 +tp2456 +a(g107 +Vlet +p2457 +tp2458 +a(g185 +V +tp2459 +a(g185 +Vall_values +p2460 +tp2461 +a(g185 +V +tp2462 +a(g198 +V= +tp2463 +a(g185 +V +tp2464 +a(g185 +V{ +tp2465 +a(g185 +V} +tp2466 +a(g185 +V\u000a +tp2467 +a(g185 +V +p2468 +tp2469 +a(g107 +Vcall +p2470 +tp2471 +a(g185 +V +tp2472 +a(g185 +Vextend +p2473 +tp2474 +a(g198 +V( +tp2475 +a(g185 +Vall_values +p2476 +tp2477 +a(g198 +V, +tp2478 +a(g185 +V +tp2479 +a(g185 +Vc_functions +p2480 +tp2481 +a(g198 +V) +tp2482 +a(g185 +V\u000a +tp2483 +a(g185 +V +p2484 +tp2485 +a(g107 +Vcall +p2486 +tp2487 +a(g185 +V +tp2488 +a(g185 +Vextend +p2489 +tp2490 +a(g198 +V( +tp2491 +a(g185 +Vall_values +p2492 +tp2493 +a(g198 +V, +tp2494 +a(g185 +V +tp2495 +a(g185 +Vc_variables +p2496 +tp2497 +a(g198 +V) +tp2498 +a(g185 +V\u000a +tp2499 +a(g185 +V\u000a +tp2500 +a(g185 +V +p2501 +tp2502 +a(g107 +Vfor +p2503 +tp2504 +a(g185 +V +tp2505 +a(g107 +Vm +tp2506 +a(g185 +V +tp2507 +a(g107 +Vin +p2508 +tp2509 +a(g185 +V +tp2510 +a(g107 +Vsort +p2511 +tp2512 +a(g198 +V( +tp2513 +a(g185 +Vkeys +p2514 +tp2515 +a(g198 +V( +tp2516 +a(g185 +Vall_values +p2517 +tp2518 +a(g198 +V) +tp2519 +a(g198 +V) +tp2520 +a(g185 +V\u000a +tp2521 +a(g185 +V +p2522 +tp2523 +a(g107 +Vif +p2524 +tp2525 +a(g185 +V +tp2526 +a(g107 +Vm +tp2527 +a(g185 +V +tp2528 +a(g198 +V= +tp2529 +a(g198 +V~ +tp2530 +a(g185 +V +tp2531 +a(g268 +V'^' +p2532 +tp2533 +a(g185 +V. +tp2534 +a(g185 +Va +tp2535 +a(g185 +V: +tp2536 +a(g185 +Vbase +p2537 +tp2538 +a(g185 +V +tp2539 +a(g185 +V& +tp2540 +a(g185 +V& +tp2541 +a(g185 +V +tp2542 +a(g107 +Vm +tp2543 +a(g185 +V +tp2544 +a(g198 +V! +tp2545 +a(g198 +V~ +tp2546 +a(g185 +V +tp2547 +a(g268 +V'::' +p2548 +tp2549 +a(g185 +V\u000a +tp2550 +a(g185 +V +p2551 +tp2552 +a(g107 +Vcall +p2553 +tp2554 +a(g185 +V +tp2555 +a(g185 +Vadd +p2556 +tp2557 +a(g198 +V( +tp2558 +a(g107 +Vres +p2559 +tp2560 +a(g198 +V, +tp2561 +a(g185 +V +tp2562 +a(g107 +Vm +tp2563 +a(g198 +V) +tp2564 +a(g185 +V\u000a +tp2565 +a(g185 +V +p2566 +tp2567 +a(g107 +Velseif +p2568 +tp2569 +a(g185 +V +tp2570 +a(g107 +Vm +tp2571 +a(g185 +V +tp2572 +a(g198 +V= +tp2573 +a(g198 +V~ +tp2574 +a(g185 +V +tp2575 +a(g268 +V'::' +p2576 +tp2577 +a(g185 +V. +tp2578 +a(g185 +Va +tp2579 +a(g185 +V: +tp2580 +a(g185 +Vbase +p2581 +tp2582 +a(g185 +V\u000a +tp2583 +a(g185 +V +p2584 +tp2585 +a(g107 +Vcall +p2586 +tp2587 +a(g185 +V +tp2588 +a(g185 +Vadd +p2589 +tp2590 +a(g198 +V( +tp2591 +a(g185 +Vres2 +p2592 +tp2593 +a(g198 +V, +tp2594 +a(g185 +V +tp2595 +a(g107 +Vm +tp2596 +a(g198 +V) +tp2597 +a(g185 +V\u000a +tp2598 +a(g185 +V +p2599 +tp2600 +a(g107 +Vendif +p2601 +tp2602 +a(g185 +V\u000a +tp2603 +a(g185 +V +p2604 +tp2605 +a(g107 +Vendfor +p2606 +tp2607 +a(g185 +V\u000a +tp2608 +a(g185 +V\u000a +tp2609 +a(g185 +V +p2610 +tp2611 +a(g107 +Vlet +p2612 +tp2613 +a(g185 +V +tp2614 +a(g185 +Vstart_list +p2615 +tp2616 +a(g185 +V +tp2617 +a(g198 +V= +tp2618 +a(g185 +V +tp2619 +a(g107 +Vres +p2620 +tp2621 +a(g185 +V +tp2622 +a(g198 +V+ +tp2623 +a(g185 +V +tp2624 +a(g185 +Vres2 +p2625 +tp2626 +a(g185 +V\u000a +tp2627 +a(g185 +V\u000a +tp2628 +a(g185 +V +p2629 +tp2630 +a(g107 +Vlet +p2631 +tp2632 +a(g185 +V +tp2633 +a(g185 +Vfinal_list +p2634 +tp2635 +a(g185 +V +tp2636 +a(g198 +V= +tp2637 +a(g185 +V +tp2638 +a(g185 +V[ +tp2639 +a(g185 +V] +tp2640 +a(g185 +V\u000a +tp2641 +a(g185 +V +p2642 +tp2643 +a(g107 +Vfor +p2644 +tp2645 +a(g185 +V +tp2646 +a(g185 +Vi +tp2647 +a(g185 +V +tp2648 +a(g107 +Vin +p2649 +tp2650 +a(g185 +V +tp2651 +a(g185 +Vstart_list +p2652 +tp2653 +a(g185 +V\u000a +tp2654 +a(g185 +V +p2655 +tp2656 +a(g107 +Vif +p2657 +tp2658 +a(g185 +V +tp2659 +a(g185 +Vhas_key +p2660 +tp2661 +a(g198 +V( +tp2662 +a(g185 +Vc_variables +p2663 +tp2664 +a(g198 +V, +tp2665 +a(g185 +V +tp2666 +a(g185 +Vi +tp2667 +a(g198 +V) +tp2668 +a(g185 +V\u000a +tp2669 +a(g185 +V +p2670 +tp2671 +a(g107 +Vlet +p2672 +tp2673 +a(g185 +V +tp2674 +a(g185 +Vclass +p2675 +tp2676 +a(g185 +V +tp2677 +a(g198 +V= +tp2678 +a(g185 +V +tp2679 +a(g268 +V' ' +p2680 +tp2681 +a(g185 +V\u000a +tp2682 +a(g185 +V +p2683 +tp2684 +a(g107 +Vif +p2685 +tp2686 +a(g185 +V +tp2687 +a(g185 +Vall_values +p2688 +tp2689 +a(g185 +V[ +tp2690 +a(g185 +Vi +tp2691 +a(g185 +V] +tp2692 +a(g185 +V +tp2693 +a(g198 +V! +tp2694 +a(g198 +V= +tp2695 +a(g185 +V +tp2696 +a(g268 +V'' +p2697 +tp2698 +a(g185 +V\u000a +tp2699 +a(g185 +V +p2700 +tp2701 +a(g107 +Vlet +p2702 +tp2703 +a(g185 +V +tp2704 +a(g185 +Vclass +p2705 +tp2706 +a(g185 +V +tp2707 +a(g198 +V= +tp2708 +a(g185 +V +tp2709 +a(g185 +Vi +tp2710 +a(g185 +V. +tp2711 +a(g268 +V' class ' +p2712 +tp2713 +a(g185 +V\u000a +tp2714 +a(g185 +V +p2715 +tp2716 +a(g107 +Vendif +p2717 +tp2718 +a(g185 +V\u000a +tp2719 +a(g185 +V +p2720 +tp2721 +a(g107 +Vlet +p2722 +tp2723 +a(g185 +V +tp2724 +a(g185 +Vfinal_list +p2725 +tp2726 +a(g185 +V +tp2727 +a(g198 +V+ +tp2728 +a(g198 +V= +tp2729 +a(g185 +V\u000a +tp2730 +a(g185 +V +p2731 +tp2732 +a(g185 +V\u005c +tp2733 +a(g185 +V +tp2734 +a(g185 +V[ +tp2735 +a(g185 +V{ +tp2736 +a(g268 +V'word' +p2737 +tp2738 +a(g185 +V: +tp2739 +a(g185 +Vi +tp2740 +a(g198 +V, +tp2741 +a(g185 +V\u000a +tp2742 +a(g185 +V +p2743 +tp2744 +a(g185 +V\u005c +tp2745 +a(g185 +V +p2746 +tp2747 +a(g268 +V'info' +p2748 +tp2749 +a(g185 +V: +tp2750 +a(g185 +Vclass +p2751 +tp2752 +a(g185 +V. +tp2753 +a(g185 +Vall_values +p2754 +tp2755 +a(g185 +V[ +tp2756 +a(g185 +Vi +tp2757 +a(g185 +V] +tp2758 +a(g198 +V, +tp2759 +a(g185 +V\u000a +tp2760 +a(g185 +V +p2761 +tp2762 +a(g185 +V\u005c +tp2763 +a(g185 +V +p2764 +tp2765 +a(g268 +V'kind' +p2766 +tp2767 +a(g185 +V: +tp2768 +a(g268 +V'v' +p2769 +tp2770 +a(g185 +V} +tp2771 +a(g185 +V] +tp2772 +a(g185 +V\u000a +tp2773 +a(g185 +V +p2774 +tp2775 +a(g107 +Velse +p2776 +tp2777 +a(g185 +V\u000a +tp2778 +a(g185 +V +p2779 +tp2780 +a(g107 +Vlet +p2781 +tp2782 +a(g185 +V +tp2783 +a(g185 +Vfinal_list +p2784 +tp2785 +a(g185 +V +tp2786 +a(g198 +V+ +tp2787 +a(g198 +V= +tp2788 +a(g185 +V\u000a +tp2789 +a(g185 +V +p2790 +tp2791 +a(g185 +V\u005c +tp2792 +a(g185 +V +tp2793 +a(g185 +V[ +tp2794 +a(g185 +V{ +tp2795 +a(g268 +V'word' +p2796 +tp2797 +a(g185 +V: +tp2798 +a(g185 +Vsubstitute +p2799 +tp2800 +a(g198 +V( +tp2801 +a(g185 +Vi +tp2802 +a(g198 +V, +tp2803 +a(g185 +V +tp2804 +a(g268 +V'.*::' +p2805 +tp2806 +a(g198 +V, +tp2807 +a(g185 +V +tp2808 +a(g268 +V'' +p2809 +tp2810 +a(g198 +V, +tp2811 +a(g185 +V +tp2812 +a(g268 +V'' +p2813 +tp2814 +a(g198 +V) +tp2815 +a(g198 +V, +tp2816 +a(g185 +V\u000a +tp2817 +a(g185 +V +p2818 +tp2819 +a(g185 +V\u005c +tp2820 +a(g185 +V +p2821 +tp2822 +a(g268 +V'info' +p2823 +tp2824 +a(g185 +V: +tp2825 +a(g185 +Vi +tp2826 +a(g185 +V. +tp2827 +a(g185 +Vall_values +p2828 +tp2829 +a(g185 +V[ +tp2830 +a(g185 +Vi +tp2831 +a(g185 +V] +tp2832 +a(g185 +V. +tp2833 +a(g268 +V')' +p2834 +tp2835 +a(g198 +V, +tp2836 +a(g185 +V\u000a +tp2837 +a(g185 +V +p2838 +tp2839 +a(g185 +V\u005c +tp2840 +a(g185 +V +p2841 +tp2842 +a(g268 +V'kind' +p2843 +tp2844 +a(g185 +V: +tp2845 +a(g268 +V'f' +p2846 +tp2847 +a(g185 +V} +tp2848 +a(g185 +V] +tp2849 +a(g185 +V\u000a +tp2850 +a(g185 +V +p2851 +tp2852 +a(g107 +Vendif +p2853 +tp2854 +a(g185 +V\u000a +tp2855 +a(g185 +V +p2856 +tp2857 +a(g107 +Vendfor +p2858 +tp2859 +a(g185 +V\u000a +tp2860 +a(g185 +V\u000a +tp2861 +a(g185 +V +p2862 +tp2863 +a(g107 +Vreturn +p2864 +tp2865 +a(g185 +V +tp2866 +a(g185 +Vfinal_list +p2867 +tp2868 +a(g185 +V\u000a +tp2869 +a(g185 +V\u000a +tp2870 +a(g185 +V +p2871 +tp2872 +a(g107 +Vendif +p2873 +tp2874 +a(g185 +V\u000a +tp2875 +a(g185 +V\u000a +tp2876 +a(g185 +V +p2877 +tp2878 +a(g107 +Vendif +p2879 +tp2880 +a(g185 +V\u000a +tp2881 +a(g185 +V\u000a +tp2882 +a(g185 +V +p2883 +tp2884 +a(g107 +Vif +p2885 +tp2886 +a(g185 +V +tp2887 +a(g185 +Va +tp2888 +a(g185 +V: +tp2889 +a(g185 +Vbase +p2890 +tp2891 +a(g185 +V +tp2892 +a(g198 +V= +tp2893 +a(g198 +V~ +tp2894 +a(g185 +V +tp2895 +a(g268 +V'^\u005c$' +p2896 +tp2897 +a(g185 +V\u000a +tp2898 +a(g185 +V +p2899 +tp2900 +a(g107 +Vlet +p2901 +tp2902 +a(g185 +V +tp2903 +a(g185 +Vadddollar +p2904 +tp2905 +a(g185 +V +tp2906 +a(g198 +V= +tp2907 +a(g185 +V +tp2908 +a(g268 +V'$' +p2909 +tp2910 +a(g185 +V\u000a +tp2911 +a(g185 +V +p2912 +tp2913 +a(g107 +Velse +p2914 +tp2915 +a(g185 +V\u000a +tp2916 +a(g185 +V +p2917 +tp2918 +a(g107 +Vlet +p2919 +tp2920 +a(g185 +V +tp2921 +a(g185 +Vadddollar +p2922 +tp2923 +a(g185 +V +tp2924 +a(g198 +V= +tp2925 +a(g185 +V +tp2926 +a(g268 +V'' +p2927 +tp2928 +a(g185 +V\u000a +tp2929 +a(g185 +V +p2930 +tp2931 +a(g107 +Vendif +p2932 +tp2933 +a(g185 +V\u000a +tp2934 +a(g185 +V +p2935 +tp2936 +a(g107 +Vlet +p2937 +tp2938 +a(g185 +V +tp2939 +a(g107 +Vfile +p2940 +tp2941 +a(g185 +V +tp2942 +a(g198 +V= +tp2943 +a(g185 +V +tp2944 +a(g185 +Vgetline +p2945 +tp2946 +a(g198 +V( +tp2947 +a(g209 +V1 +tp2948 +a(g198 +V, +tp2949 +a(g185 +V +tp2950 +a(g268 +V'$' +p2951 +tp2952 +a(g198 +V) +tp2953 +a(g185 +V\u000a +tp2954 +a(g185 +V +p2955 +tp2956 +a(g107 +Vlet +p2957 +tp2958 +a(g185 +V +tp2959 +a(g185 +Vjfile +p2960 +tp2961 +a(g185 +V +tp2962 +a(g198 +V= +tp2963 +a(g185 +V +tp2964 +a(g107 +Vjoin +p2965 +tp2966 +a(g198 +V( +tp2967 +a(g107 +Vfile +p2968 +tp2969 +a(g198 +V, +tp2970 +a(g185 +V +tp2971 +a(g268 +V' ' +p2972 +tp2973 +a(g198 +V) +tp2974 +a(g185 +V\u000a +tp2975 +a(g185 +V +p2976 +tp2977 +a(g107 +Vlet +p2978 +tp2979 +a(g185 +V +tp2980 +a(g185 +Vsfile +p2981 +tp2982 +a(g185 +V +tp2983 +a(g198 +V= +tp2984 +a(g185 +V +tp2985 +a(g185 +Vsplit +p2986 +tp2987 +a(g198 +V( +tp2988 +a(g185 +Vjfile +p2989 +tp2990 +a(g198 +V, +tp2991 +a(g185 +V +tp2992 +a(g268 +V'\u005c$' +p2993 +tp2994 +a(g198 +V) +tp2995 +a(g185 +V\u000a +tp2996 +a(g185 +V +p2997 +tp2998 +a(g107 +Vlet +p2999 +tp3000 +a(g185 +V +tp3001 +a(g185 +Vint_vars +p3002 +tp3003 +a(g185 +V +tp3004 +a(g198 +V= +tp3005 +a(g185 +V +tp3006 +a(g185 +V{ +tp3007 +a(g185 +V} +tp3008 +a(g185 +V\u000a +tp3009 +a(g185 +V +p3010 +tp3011 +a(g107 +Vfor +p3012 +tp3013 +a(g185 +V +tp3014 +a(g185 +Vi +tp3015 +a(g185 +V +tp3016 +a(g107 +Vin +p3017 +tp3018 +a(g185 +V +tp3019 +a(g185 +Vsfile +p3020 +tp3021 +a(g185 +V\u000a +tp3022 +a(g185 +V +p3023 +tp3024 +a(g107 +Vif +p3025 +tp3026 +a(g185 +V +tp3027 +a(g185 +Vi +tp3028 +a(g185 +V +tp3029 +a(g198 +V= +tp3030 +a(g198 +V~ +tp3031 +a(g185 +V +tp3032 +a(g268 +V'^\u005c$[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cs*=\u005cs*new' +p3033 +tp3034 +a(g185 +V\u000a +tp3035 +a(g185 +V +p3036 +tp3037 +a(g107 +Vlet +p3038 +tp3039 +a(g185 +V +tp3040 +a(g185 +Vval +p3041 +tp3042 +a(g185 +V +tp3043 +a(g198 +V= +tp3044 +a(g185 +V +tp3045 +a(g185 +Vmatchstr +p3046 +tp3047 +a(g198 +V( +tp3048 +a(g185 +Vi +tp3049 +a(g198 +V, +tp3050 +a(g185 +V +tp3051 +a(g268 +V'^[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*' +p3052 +tp3053 +a(g198 +V) +tp3054 +a(g185 +V. +tp3055 +a(g268 +V'->' +p3056 +tp3057 +a(g185 +V\u000a +tp3058 +a(g185 +V +p3059 +tp3060 +a(g107 +Velse +p3061 +tp3062 +a(g185 +V\u000a +tp3063 +a(g185 +V +p3064 +tp3065 +a(g107 +Vlet +p3066 +tp3067 +a(g185 +V +tp3068 +a(g185 +Vval +p3069 +tp3070 +a(g185 +V +tp3071 +a(g198 +V= +tp3072 +a(g185 +V +tp3073 +a(g185 +Vmatchstr +p3074 +tp3075 +a(g198 +V( +tp3076 +a(g185 +Vi +tp3077 +a(g198 +V, +tp3078 +a(g185 +V +tp3079 +a(g268 +V'^[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*' +p3080 +tp3081 +a(g198 +V) +tp3082 +a(g185 +V\u000a +tp3083 +a(g185 +V +p3084 +tp3085 +a(g107 +Vendif +p3086 +tp3087 +a(g185 +V\u000a +tp3088 +a(g185 +V +p3089 +tp3090 +a(g107 +Vif +p3091 +tp3092 +a(g185 +V +tp3093 +a(g185 +Vval +p3094 +tp3095 +a(g185 +V +tp3096 +a(g198 +V! +tp3097 +a(g198 +V~ +tp3098 +a(g185 +V +tp3099 +a(g268 +V'' +p3100 +tp3101 +a(g185 +V\u000a +tp3102 +a(g185 +V +p3103 +tp3104 +a(g107 +Vlet +p3105 +tp3106 +a(g185 +V +tp3107 +a(g185 +Vint_vars +p3108 +tp3109 +a(g185 +V[ +tp3110 +a(g185 +Vadddollar +p3111 +tp3112 +a(g185 +V. +tp3113 +a(g185 +Vval +p3114 +tp3115 +a(g185 +V] +tp3116 +a(g185 +V +tp3117 +a(g198 +V= +tp3118 +a(g185 +V +tp3119 +a(g268 +V'' +p3120 +tp3121 +a(g185 +V\u000a +tp3122 +a(g185 +V +p3123 +tp3124 +a(g107 +Vendif +p3125 +tp3126 +a(g185 +V\u000a +tp3127 +a(g185 +V +p3128 +tp3129 +a(g107 +Vendfor +p3130 +tp3131 +a(g185 +V\u000a +tp3132 +a(g6 +V\u000a " ctags has good support for PHP, use tags file for external +p3133 +tp3134 +a(g185 +V\u000a +tp3135 +a(g6 +V " variables +p3136 +tp3137 +a(g185 +V\u000a +tp3138 +a(g185 +V +p3139 +tp3140 +a(g107 +Vlet +p3141 +tp3142 +a(g185 +V +tp3143 +a(g185 +Vfnames +p3144 +tp3145 +a(g185 +V +tp3146 +a(g198 +V= +tp3147 +a(g185 +V +tp3148 +a(g107 +Vjoin +p3149 +tp3150 +a(g198 +V( +tp3151 +a(g185 +Vmap +p3152 +tp3153 +a(g198 +V( +tp3154 +a(g185 +Vtagfiles +p3155 +tp3156 +a(g198 +V( +tp3157 +a(g198 +V) +tp3158 +a(g198 +V, +tp3159 +a(g185 +V +tp3160 +a(g268 +V'escape(v:val, " \u005c\u005c#%")' +p3161 +tp3162 +a(g198 +V) +tp3163 +a(g198 +V) +tp3164 +a(g185 +V\u000a +tp3165 +a(g185 +V +p3166 +tp3167 +a(g107 +Vlet +p3168 +tp3169 +a(g185 +V +tp3170 +a(g185 +Vext_vars +p3171 +tp3172 +a(g185 +V +tp3173 +a(g198 +V= +tp3174 +a(g185 +V +tp3175 +a(g185 +V{ +tp3176 +a(g185 +V} +tp3177 +a(g185 +V\u000a +tp3178 +a(g185 +V +p3179 +tp3180 +a(g107 +Vif +p3181 +tp3182 +a(g185 +V +tp3183 +a(g185 +Vfnames +p3184 +tp3185 +a(g185 +V +tp3186 +a(g198 +V! +tp3187 +a(g198 +V= +tp3188 +a(g185 +V +tp3189 +a(g268 +V'' +p3190 +tp3191 +a(g185 +V\u000a +tp3192 +a(g185 +V +p3193 +tp3194 +a(g107 +Vlet +p3195 +tp3196 +a(g185 +V +tp3197 +a(g185 +Vsbase +p3198 +tp3199 +a(g185 +V +tp3200 +a(g198 +V= +tp3201 +a(g185 +V +tp3202 +a(g185 +Vsubstitute +p3203 +tp3204 +a(g198 +V( +tp3205 +a(g185 +Va +tp3206 +a(g185 +V: +tp3207 +a(g185 +Vbase +p3208 +tp3209 +a(g198 +V, +tp3210 +a(g185 +V +tp3211 +a(g268 +V'^\u005c$' +p3212 +tp3213 +a(g198 +V, +tp3214 +a(g185 +V +tp3215 +a(g268 +V'' +p3216 +tp3217 +a(g198 +V, +tp3218 +a(g185 +V +tp3219 +a(g268 +V'' +p3220 +tp3221 +a(g198 +V) +tp3222 +a(g185 +V\u000a +tp3223 +a(g185 +V +p3224 +tp3225 +a(g185 +Vexe +p3226 +tp3227 +a(g185 +V +tp3228 +a(g268 +V'silent! vimgrep /^' +p3229 +tp3230 +a(g185 +V. +tp3231 +a(g185 +Vsbase +p3232 +tp3233 +a(g185 +V. +tp3234 +a(g268 +V'.*\u005ctv\u005c(\u005ct\u005c|$\u005c)/j ' +p3235 +tp3236 +a(g185 +V. +tp3237 +a(g185 +Vfnames +p3238 +tp3239 +a(g185 +V\u000a +tp3240 +a(g185 +V +p3241 +tp3242 +a(g107 +Vlet +p3243 +tp3244 +a(g185 +V +tp3245 +a(g185 +Vqflist +p3246 +tp3247 +a(g185 +V +tp3248 +a(g198 +V= +tp3249 +a(g185 +V +tp3250 +a(g185 +Vgetqflist +p3251 +tp3252 +a(g198 +V( +tp3253 +a(g198 +V) +tp3254 +a(g185 +V\u000a +tp3255 +a(g185 +V +p3256 +tp3257 +a(g107 +Vif +p3258 +tp3259 +a(g185 +V +tp3260 +a(g185 +Vlen +p3261 +tp3262 +a(g198 +V( +tp3263 +a(g185 +Vqflist +p3264 +tp3265 +a(g198 +V) +tp3266 +a(g185 +V +tp3267 +a(g198 +V> +tp3268 +a(g185 +V +tp3269 +a(g209 +V0 +tp3270 +a(g185 +V\u000a +tp3271 +a(g185 +V +p3272 +tp3273 +a(g107 +Vfor +p3274 +tp3275 +a(g185 +V +tp3276 +a(g185 +Vfield +p3277 +tp3278 +a(g185 +V +tp3279 +a(g107 +Vin +p3280 +tp3281 +a(g185 +V +tp3282 +a(g185 +Vqflist +p3283 +tp3284 +a(g185 +V\u000a +tp3285 +a(g185 +V +p3286 +tp3287 +a(g107 +Vlet +p3288 +tp3289 +a(g185 +V +tp3290 +a(g185 +Vitem +p3291 +tp3292 +a(g185 +V +tp3293 +a(g198 +V= +tp3294 +a(g185 +V +tp3295 +a(g185 +Vmatchstr +p3296 +tp3297 +a(g198 +V( +tp3298 +a(g185 +Vfield +p3299 +tp3300 +a(g185 +V[ +tp3301 +a(g268 +V'text' +p3302 +tp3303 +a(g185 +V] +tp3304 +a(g198 +V, +tp3305 +a(g185 +V +tp3306 +a(g268 +V'^[^[:space:]]\u005c+' +p3307 +tp3308 +a(g198 +V) +tp3309 +a(g185 +V\u000a +tp3310 +a(g6 +V " Add -> if it is possible object declaration +p3311 +tp3312 +a(g185 +V\u000a +tp3313 +a(g185 +V +p3314 +tp3315 +a(g107 +Vlet +p3316 +tp3317 +a(g185 +V +tp3318 +a(g185 +Vclassname +p3319 +tp3320 +a(g185 +V +tp3321 +a(g198 +V= +tp3322 +a(g185 +V +tp3323 +a(g268 +V'' +p3324 +tp3325 +a(g185 +V\u000a +tp3326 +a(g185 +V +p3327 +tp3328 +a(g107 +Vif +p3329 +tp3330 +a(g185 +V +tp3331 +a(g185 +Vfield +p3332 +tp3333 +a(g185 +V[ +tp3334 +a(g268 +V'text' +p3335 +tp3336 +a(g185 +V] +tp3337 +a(g185 +V +tp3338 +a(g198 +V= +tp3339 +a(g198 +V~ +tp3340 +a(g185 +V +tp3341 +a(g185 +Vitem +p3342 +tp3343 +a(g185 +V. +tp3344 +a(g268 +V'\u005cs*=\u005cs*new\u005cs\u005c+' +p3345 +tp3346 +a(g185 +V\u000a +tp3347 +a(g185 +V +p3348 +tp3349 +a(g107 +Vlet +p3350 +tp3351 +a(g185 +V +tp3352 +a(g185 +Vitem +p3353 +tp3354 +a(g185 +V +tp3355 +a(g198 +V= +tp3356 +a(g185 +V +tp3357 +a(g185 +Vitem +p3358 +tp3359 +a(g185 +V. +tp3360 +a(g268 +V'->' +p3361 +tp3362 +a(g185 +V\u000a +tp3363 +a(g185 +V +p3364 +tp3365 +a(g107 +Vlet +p3366 +tp3367 +a(g185 +V +tp3368 +a(g185 +Vclassname +p3369 +tp3370 +a(g185 +V +tp3371 +a(g198 +V= +tp3372 +a(g185 +V +tp3373 +a(g185 +Vmatchstr +p3374 +tp3375 +a(g198 +V( +tp3376 +a(g185 +Vfield +p3377 +tp3378 +a(g185 +V[ +tp3379 +a(g268 +V'text' +p3380 +tp3381 +a(g185 +V] +tp3382 +a(g198 +V, +tp3383 +a(g185 +V\u000a +tp3384 +a(g185 +V +p3385 +tp3386 +a(g185 +V\u005c +tp3387 +a(g185 +V +tp3388 +a(g268 +V'=\u005cs*new\u005cs\u005c+\u005czs[a-zA-Z_0-9\u005cx7f-\u005cxff]\u005c+\u005cze' +p3389 +tp3390 +a(g198 +V) +tp3391 +a(g185 +V\u000a +tp3392 +a(g185 +V +p3393 +tp3394 +a(g107 +Vendif +p3395 +tp3396 +a(g185 +V\u000a +tp3397 +a(g185 +V +p3398 +tp3399 +a(g107 +Vlet +p3400 +tp3401 +a(g185 +V +tp3402 +a(g185 +Vext_vars +p3403 +tp3404 +a(g185 +V[ +tp3405 +a(g185 +Vadddollar +p3406 +tp3407 +a(g185 +V. +tp3408 +a(g185 +Vitem +p3409 +tp3410 +a(g185 +V] +tp3411 +a(g185 +V +tp3412 +a(g198 +V= +tp3413 +a(g185 +V +tp3414 +a(g185 +Vclassname +p3415 +tp3416 +a(g185 +V\u000a +tp3417 +a(g185 +V +p3418 +tp3419 +a(g107 +Vendfor +p3420 +tp3421 +a(g185 +V\u000a +tp3422 +a(g185 +V +p3423 +tp3424 +a(g107 +Vendif +p3425 +tp3426 +a(g185 +V\u000a +tp3427 +a(g185 +V +p3428 +tp3429 +a(g107 +Vendif +p3430 +tp3431 +a(g185 +V\u000a +tp3432 +a(g6 +V\u000a " Now we have all variables in int_vars dictionary +p3433 +tp3434 +a(g185 +V\u000a +tp3435 +a(g185 +V +p3436 +tp3437 +a(g107 +Vcall +p3438 +tp3439 +a(g185 +V +tp3440 +a(g185 +Vextend +p3441 +tp3442 +a(g198 +V( +tp3443 +a(g185 +Vint_vars +p3444 +tp3445 +a(g198 +V, +tp3446 +a(g185 +V +tp3447 +a(g185 +Vext_vars +p3448 +tp3449 +a(g198 +V) +tp3450 +a(g185 +V\u000a +tp3451 +a(g6 +V\u000a " Internal solution for finding functions in current file. +p3452 +tp3453 +a(g185 +V\u000a +tp3454 +a(g185 +V +p3455 +tp3456 +a(g107 +Vlet +p3457 +tp3458 +a(g185 +V +tp3459 +a(g107 +Vfile +p3460 +tp3461 +a(g185 +V +tp3462 +a(g198 +V= +tp3463 +a(g185 +V +tp3464 +a(g185 +Vgetline +p3465 +tp3466 +a(g198 +V( +tp3467 +a(g209 +V1 +tp3468 +a(g198 +V, +tp3469 +a(g185 +V +tp3470 +a(g268 +V'$' +p3471 +tp3472 +a(g198 +V) +tp3473 +a(g185 +V\u000a +tp3474 +a(g185 +V +p3475 +tp3476 +a(g107 +Vcall +p3477 +tp3478 +a(g185 +V +tp3479 +a(g185 +Vfilter +p3480 +tp3481 +a(g198 +V( +tp3482 +a(g107 +Vfile +p3483 +tp3484 +a(g198 +V, +tp3485 +a(g185 +V\u000a +tp3486 +a(g185 +V +p3487 +tp3488 +a(g185 +V\u005c +tp3489 +a(g185 +V +tp3490 +a(g268 +V'v:val =~ "function\u005c\u005cs\u005c\u005c+&\u005c\u005c?[a-zA-Z_\u005c\u005cx7f-\u005c\u005cxff][a-zA-Z_0-9\u005c\u005cx7f-\u005c\u005cxff]*\u005c\u005cs*("' +p3491 +tp3492 +a(g198 +V) +tp3493 +a(g185 +V\u000a +tp3494 +a(g185 +V +p3495 +tp3496 +a(g107 +Vlet +p3497 +tp3498 +a(g185 +V +tp3499 +a(g185 +Vfnames +p3500 +tp3501 +a(g185 +V +tp3502 +a(g198 +V= +tp3503 +a(g185 +V +tp3504 +a(g107 +Vjoin +p3505 +tp3506 +a(g198 +V( +tp3507 +a(g185 +Vmap +p3508 +tp3509 +a(g198 +V( +tp3510 +a(g185 +Vtagfiles +p3511 +tp3512 +a(g198 +V( +tp3513 +a(g198 +V) +tp3514 +a(g198 +V, +tp3515 +a(g185 +V +tp3516 +a(g268 +V'escape(v:val, " \u005c\u005c#%")' +p3517 +tp3518 +a(g198 +V) +tp3519 +a(g198 +V) +tp3520 +a(g185 +V\u000a +tp3521 +a(g185 +V +p3522 +tp3523 +a(g107 +Vlet +p3524 +tp3525 +a(g185 +V +tp3526 +a(g185 +Vjfile +p3527 +tp3528 +a(g185 +V +tp3529 +a(g198 +V= +tp3530 +a(g185 +V +tp3531 +a(g107 +Vjoin +p3532 +tp3533 +a(g198 +V( +tp3534 +a(g107 +Vfile +p3535 +tp3536 +a(g198 +V, +tp3537 +a(g185 +V +tp3538 +a(g268 +V' ' +p3539 +tp3540 +a(g198 +V) +tp3541 +a(g185 +V\u000a +tp3542 +a(g185 +V +p3543 +tp3544 +a(g107 +Vlet +p3545 +tp3546 +a(g185 +V +tp3547 +a(g185 +Vint_values +p3548 +tp3549 +a(g185 +V +tp3550 +a(g198 +V= +tp3551 +a(g185 +V +tp3552 +a(g185 +Vsplit +p3553 +tp3554 +a(g198 +V( +tp3555 +a(g185 +Vjfile +p3556 +tp3557 +a(g198 +V, +tp3558 +a(g185 +V +tp3559 +a(g268 +V'function\u005cs\u005c+' +p3560 +tp3561 +a(g198 +V) +tp3562 +a(g185 +V\u000a +tp3563 +a(g185 +V +p3564 +tp3565 +a(g107 +Vlet +p3566 +tp3567 +a(g185 +V +tp3568 +a(g185 +Vint_functions +p3569 +tp3570 +a(g185 +V +tp3571 +a(g198 +V= +tp3572 +a(g185 +V +tp3573 +a(g185 +V{ +tp3574 +a(g185 +V} +tp3575 +a(g185 +V\u000a +tp3576 +a(g185 +V +p3577 +tp3578 +a(g107 +Vfor +p3579 +tp3580 +a(g185 +V +tp3581 +a(g185 +Vi +tp3582 +a(g185 +V +tp3583 +a(g107 +Vin +p3584 +tp3585 +a(g185 +V +tp3586 +a(g185 +Vint_values +p3587 +tp3588 +a(g185 +V\u000a +tp3589 +a(g185 +V +p3590 +tp3591 +a(g107 +Vlet +p3592 +tp3593 +a(g185 +V +tp3594 +a(g185 +Vf_name +p3595 +tp3596 +a(g185 +V +tp3597 +a(g198 +V= +tp3598 +a(g185 +V +tp3599 +a(g185 +Vmatchstr +p3600 +tp3601 +a(g198 +V( +tp3602 +a(g185 +Vi +tp3603 +a(g198 +V, +tp3604 +a(g185 +V\u000a +tp3605 +a(g185 +V +p3606 +tp3607 +a(g185 +V\u005c +tp3608 +a(g185 +V +tp3609 +a(g268 +V'^&\u005c?\u005czs[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cze' +p3610 +tp3611 +a(g198 +V) +tp3612 +a(g185 +V\u000a +tp3613 +a(g185 +V +p3614 +tp3615 +a(g107 +Vlet +p3616 +tp3617 +a(g185 +V +tp3618 +a(g185 +Vf_args +p3619 +tp3620 +a(g185 +V +tp3621 +a(g198 +V= +tp3622 +a(g185 +V +tp3623 +a(g185 +Vmatchstr +p3624 +tp3625 +a(g198 +V( +tp3626 +a(g185 +Vi +tp3627 +a(g198 +V, +tp3628 +a(g185 +V\u000a +tp3629 +a(g185 +V +p3630 +tp3631 +a(g185 +V\u005c +tp3632 +a(g185 +V +tp3633 +a(g268 +V'^&\u005c?[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cs*(\u005czs.\u005c{-}\u005cze)\u005c_s*{' +p3634 +tp3635 +a(g198 +V) +tp3636 +a(g185 +V\u000a +tp3637 +a(g185 +V +p3638 +tp3639 +a(g107 +Vlet +p3640 +tp3641 +a(g185 +V +tp3642 +a(g185 +Vint_functions +p3643 +tp3644 +a(g185 +V[ +tp3645 +a(g185 +Vf_name +p3646 +tp3647 +a(g185 +V. +tp3648 +a(g268 +V'(' +p3649 +tp3650 +a(g185 +V] +tp3651 +a(g185 +V +tp3652 +a(g198 +V= +tp3653 +a(g185 +V +tp3654 +a(g185 +Vf_args +p3655 +tp3656 +a(g185 +V. +tp3657 +a(g268 +V')' +p3658 +tp3659 +a(g185 +V\u000a +tp3660 +a(g185 +V +p3661 +tp3662 +a(g107 +Vendfor +p3663 +tp3664 +a(g185 +V\u000a +tp3665 +a(g6 +V\u000a " Prepare list of functions from tags file +p3666 +tp3667 +a(g185 +V\u000a +tp3668 +a(g185 +V +p3669 +tp3670 +a(g107 +Vlet +p3671 +tp3672 +a(g185 +V +tp3673 +a(g185 +Vext_functions +p3674 +tp3675 +a(g185 +V +tp3676 +a(g198 +V= +tp3677 +a(g185 +V +tp3678 +a(g185 +V{ +tp3679 +a(g185 +V} +tp3680 +a(g185 +V\u000a +tp3681 +a(g185 +V +p3682 +tp3683 +a(g107 +Vif +p3684 +tp3685 +a(g185 +V +tp3686 +a(g185 +Vfnames +p3687 +tp3688 +a(g185 +V +tp3689 +a(g198 +V! +tp3690 +a(g198 +V= +tp3691 +a(g185 +V +tp3692 +a(g268 +V'' +p3693 +tp3694 +a(g185 +V\u000a +tp3695 +a(g185 +V +p3696 +tp3697 +a(g185 +Vexe +p3698 +tp3699 +a(g185 +V +tp3700 +a(g268 +V'silent! vimgrep /^' +p3701 +tp3702 +a(g185 +V. +tp3703 +a(g185 +Va +tp3704 +a(g185 +V: +tp3705 +a(g185 +Vbase +p3706 +tp3707 +a(g185 +V. +tp3708 +a(g268 +V'.*\u005ctf\u005c(\u005ct\u005c|$\u005c)/j ' +p3709 +tp3710 +a(g185 +V. +tp3711 +a(g185 +Vfnames +p3712 +tp3713 +a(g185 +V\u000a +tp3714 +a(g185 +V +p3715 +tp3716 +a(g107 +Vlet +p3717 +tp3718 +a(g185 +V +tp3719 +a(g185 +Vqflist +p3720 +tp3721 +a(g185 +V +tp3722 +a(g198 +V= +tp3723 +a(g185 +V +tp3724 +a(g185 +Vgetqflist +p3725 +tp3726 +a(g198 +V( +tp3727 +a(g198 +V) +tp3728 +a(g185 +V\u000a +tp3729 +a(g185 +V +p3730 +tp3731 +a(g107 +Vif +p3732 +tp3733 +a(g185 +V +tp3734 +a(g185 +Vlen +p3735 +tp3736 +a(g198 +V( +tp3737 +a(g185 +Vqflist +p3738 +tp3739 +a(g198 +V) +tp3740 +a(g185 +V +tp3741 +a(g198 +V> +tp3742 +a(g185 +V +tp3743 +a(g209 +V0 +tp3744 +a(g185 +V\u000a +tp3745 +a(g185 +V +p3746 +tp3747 +a(g107 +Vfor +p3748 +tp3749 +a(g185 +V +tp3750 +a(g185 +Vfield +p3751 +tp3752 +a(g185 +V +tp3753 +a(g107 +Vin +p3754 +tp3755 +a(g185 +V +tp3756 +a(g185 +Vqflist +p3757 +tp3758 +a(g185 +V\u000a +tp3759 +a(g6 +V " File name +p3760 +tp3761 +a(g185 +V\u000a +tp3762 +a(g185 +V +p3763 +tp3764 +a(g107 +Vlet +p3765 +tp3766 +a(g185 +V +tp3767 +a(g185 +Vitem +p3768 +tp3769 +a(g185 +V +tp3770 +a(g198 +V= +tp3771 +a(g185 +V +tp3772 +a(g185 +Vmatchstr +p3773 +tp3774 +a(g198 +V( +tp3775 +a(g185 +Vfield +p3776 +tp3777 +a(g185 +V[ +tp3778 +a(g268 +V'text' +p3779 +tp3780 +a(g185 +V] +tp3781 +a(g198 +V, +tp3782 +a(g185 +V +tp3783 +a(g268 +V'^[^[:space:]]\u005c+' +p3784 +tp3785 +a(g198 +V) +tp3786 +a(g185 +V\u000a +tp3787 +a(g185 +V +p3788 +tp3789 +a(g107 +Vlet +p3790 +tp3791 +a(g185 +V +tp3792 +a(g185 +Vfname +p3793 +tp3794 +a(g185 +V +tp3795 +a(g198 +V= +tp3796 +a(g185 +V +tp3797 +a(g185 +Vmatchstr +p3798 +tp3799 +a(g198 +V( +tp3800 +a(g185 +Vfield +p3801 +tp3802 +a(g185 +V[ +tp3803 +a(g268 +V'text' +p3804 +tp3805 +a(g185 +V] +tp3806 +a(g198 +V, +tp3807 +a(g185 +V +tp3808 +a(g268 +V'\u005ct\u005czs\u005cf\u005c+\u005cze' +p3809 +tp3810 +a(g198 +V) +tp3811 +a(g185 +V\u000a +tp3812 +a(g185 +V +p3813 +tp3814 +a(g107 +Vlet +p3815 +tp3816 +a(g185 +V +tp3817 +a(g185 +Vprototype +p3818 +tp3819 +a(g185 +V +tp3820 +a(g198 +V= +tp3821 +a(g185 +V +tp3822 +a(g185 +Vmatchstr +p3823 +tp3824 +a(g198 +V( +tp3825 +a(g185 +Vfield +p3826 +tp3827 +a(g185 +V[ +tp3828 +a(g268 +V'text' +p3829 +tp3830 +a(g185 +V] +tp3831 +a(g198 +V, +tp3832 +a(g185 +V\u000a +tp3833 +a(g185 +V +p3834 +tp3835 +a(g185 +V\u005c +tp3836 +a(g185 +V +tp3837 +a(g268 +V'function\u005cs\u005c+&\u005c?[^[:space:]]\u005c+\u005cs*(\u005cs*\u005czs.\u005c{-}\u005cze\u005cs*)\u005cs*{\u005c?' +p3838 +tp3839 +a(g198 +V) +tp3840 +a(g185 +V\u000a +tp3841 +a(g185 +V +p3842 +tp3843 +a(g107 +Vlet +p3844 +tp3845 +a(g185 +V +tp3846 +a(g185 +Vext_functions +p3847 +tp3848 +a(g185 +V[ +tp3849 +a(g185 +Vitem +p3850 +tp3851 +a(g185 +V. +tp3852 +a(g268 +V'(' +p3853 +tp3854 +a(g185 +V] +tp3855 +a(g185 +V +tp3856 +a(g198 +V= +tp3857 +a(g185 +V +tp3858 +a(g185 +Vprototype +p3859 +tp3860 +a(g185 +V. +tp3861 +a(g268 +V') - ' +p3862 +tp3863 +a(g185 +V. +tp3864 +a(g185 +Vfname +p3865 +tp3866 +a(g185 +V\u000a +tp3867 +a(g185 +V +p3868 +tp3869 +a(g107 +Vendfor +p3870 +tp3871 +a(g185 +V\u000a +tp3872 +a(g185 +V +p3873 +tp3874 +a(g107 +Vendif +p3875 +tp3876 +a(g185 +V\u000a +tp3877 +a(g185 +V +p3878 +tp3879 +a(g107 +Vendif +p3880 +tp3881 +a(g185 +V\u000a +tp3882 +a(g185 +V\u000a +tp3883 +a(g185 +V +p3884 +tp3885 +a(g107 +Vlet +p3886 +tp3887 +a(g185 +V +tp3888 +a(g185 +Vall_values +p3889 +tp3890 +a(g185 +V +tp3891 +a(g198 +V= +tp3892 +a(g185 +V +tp3893 +a(g185 +V{ +tp3894 +a(g185 +V} +tp3895 +a(g185 +V\u000a +tp3896 +a(g185 +V +p3897 +tp3898 +a(g107 +Vcall +p3899 +tp3900 +a(g185 +V +tp3901 +a(g185 +Vextend +p3902 +tp3903 +a(g198 +V( +tp3904 +a(g185 +Vall_values +p3905 +tp3906 +a(g198 +V, +tp3907 +a(g185 +V +tp3908 +a(g185 +Vint_functions +p3909 +tp3910 +a(g198 +V) +tp3911 +a(g185 +V\u000a +tp3912 +a(g185 +V +p3913 +tp3914 +a(g107 +Vcall +p3915 +tp3916 +a(g185 +V +tp3917 +a(g185 +Vextend +p3918 +tp3919 +a(g198 +V( +tp3920 +a(g185 +Vall_values +p3921 +tp3922 +a(g198 +V, +tp3923 +a(g185 +V +tp3924 +a(g185 +Vext_functions +p3925 +tp3926 +a(g198 +V) +tp3927 +a(g185 +V\u000a +tp3928 +a(g185 +V +p3929 +tp3930 +a(g107 +Vcall +p3931 +tp3932 +a(g185 +V +tp3933 +a(g185 +Vextend +p3934 +tp3935 +a(g198 +V( +tp3936 +a(g185 +Vall_values +p3937 +tp3938 +a(g198 +V, +tp3939 +a(g185 +V +tp3940 +a(g185 +Vint_vars +p3941 +tp3942 +a(g198 +V) +tp3943 +a(g185 +V +tp3944 +a(g6 +V" external variables are already in +p3945 +tp3946 +a(g185 +V\u000a +tp3947 +a(g185 +V +p3948 +tp3949 +a(g107 +Vcall +p3950 +tp3951 +a(g185 +V +tp3952 +a(g185 +Vextend +p3953 +tp3954 +a(g198 +V( +tp3955 +a(g185 +Vall_values +p3956 +tp3957 +a(g198 +V, +tp3958 +a(g185 +V +tp3959 +a(g185 +Vg +tp3960 +a(g185 +V: +tp3961 +a(g185 +Vphp_builtin_object_functions +p3962 +tp3963 +a(g198 +V) +tp3964 +a(g185 +V\u000a +tp3965 +a(g185 +V\u000a +tp3966 +a(g185 +V +p3967 +tp3968 +a(g107 +Vfor +p3969 +tp3970 +a(g185 +V +tp3971 +a(g107 +Vm +tp3972 +a(g185 +V +tp3973 +a(g107 +Vin +p3974 +tp3975 +a(g185 +V +tp3976 +a(g107 +Vsort +p3977 +tp3978 +a(g198 +V( +tp3979 +a(g185 +Vkeys +p3980 +tp3981 +a(g198 +V( +tp3982 +a(g185 +Vall_values +p3983 +tp3984 +a(g198 +V) +tp3985 +a(g198 +V) +tp3986 +a(g185 +V\u000a +tp3987 +a(g185 +V +p3988 +tp3989 +a(g107 +Vif +p3990 +tp3991 +a(g185 +V +tp3992 +a(g107 +Vm +tp3993 +a(g185 +V +tp3994 +a(g198 +V= +tp3995 +a(g198 +V~ +tp3996 +a(g185 +V +tp3997 +a(g268 +V'\u005c(^\u005c|::\u005c)' +p3998 +tp3999 +a(g185 +V. +tp4000 +a(g185 +Va +tp4001 +a(g185 +V: +tp4002 +a(g185 +Vbase +p4003 +tp4004 +a(g185 +V\u000a +tp4005 +a(g185 +V +p4006 +tp4007 +a(g107 +Vcall +p4008 +tp4009 +a(g185 +V +tp4010 +a(g185 +Vadd +p4011 +tp4012 +a(g198 +V( +tp4013 +a(g107 +Vres +p4014 +tp4015 +a(g198 +V, +tp4016 +a(g185 +V +tp4017 +a(g107 +Vm +tp4018 +a(g198 +V) +tp4019 +a(g185 +V\u000a +tp4020 +a(g185 +V +p4021 +tp4022 +a(g107 +Vendif +p4023 +tp4024 +a(g185 +V\u000a +tp4025 +a(g185 +V +p4026 +tp4027 +a(g107 +Vendfor +p4028 +tp4029 +a(g185 +V\u000a +tp4030 +a(g185 +V\u000a +tp4031 +a(g185 +V +p4032 +tp4033 +a(g107 +Vlet +p4034 +tp4035 +a(g185 +V +tp4036 +a(g185 +Vstart_list +p4037 +tp4038 +a(g185 +V +tp4039 +a(g198 +V= +tp4040 +a(g185 +V +tp4041 +a(g107 +Vres +p4042 +tp4043 +a(g185 +V\u000a +tp4044 +a(g185 +V\u000a +tp4045 +a(g185 +V +p4046 +tp4047 +a(g107 +Vlet +p4048 +tp4049 +a(g185 +V +tp4050 +a(g185 +Vfinal_list +p4051 +tp4052 +a(g185 +V +tp4053 +a(g198 +V= +tp4054 +a(g185 +V +tp4055 +a(g185 +V[ +tp4056 +a(g185 +V] +tp4057 +a(g185 +V\u000a +tp4058 +a(g185 +V +p4059 +tp4060 +a(g107 +Vfor +p4061 +tp4062 +a(g185 +V +tp4063 +a(g185 +Vi +tp4064 +a(g185 +V +tp4065 +a(g107 +Vin +p4066 +tp4067 +a(g185 +V +tp4068 +a(g185 +Vstart_list +p4069 +tp4070 +a(g185 +V\u000a +tp4071 +a(g185 +V +p4072 +tp4073 +a(g107 +Vif +p4074 +tp4075 +a(g185 +V +tp4076 +a(g185 +Vhas_key +p4077 +tp4078 +a(g198 +V( +tp4079 +a(g185 +Vint_vars +p4080 +tp4081 +a(g198 +V, +tp4082 +a(g185 +V +tp4083 +a(g185 +Vi +tp4084 +a(g198 +V) +tp4085 +a(g185 +V\u000a +tp4086 +a(g185 +V +p4087 +tp4088 +a(g107 +Vlet +p4089 +tp4090 +a(g185 +V +tp4091 +a(g185 +Vclass +p4092 +tp4093 +a(g185 +V +tp4094 +a(g198 +V= +tp4095 +a(g185 +V +tp4096 +a(g268 +V' ' +p4097 +tp4098 +a(g185 +V\u000a +tp4099 +a(g185 +V +p4100 +tp4101 +a(g107 +Vif +p4102 +tp4103 +a(g185 +V +tp4104 +a(g185 +Vall_values +p4105 +tp4106 +a(g185 +V[ +tp4107 +a(g185 +Vi +tp4108 +a(g185 +V] +tp4109 +a(g185 +V +tp4110 +a(g198 +V! +tp4111 +a(g198 +V= +tp4112 +a(g185 +V +tp4113 +a(g268 +V'' +p4114 +tp4115 +a(g185 +V\u000a +tp4116 +a(g185 +V +p4117 +tp4118 +a(g107 +Vlet +p4119 +tp4120 +a(g185 +V +tp4121 +a(g185 +Vclass +p4122 +tp4123 +a(g185 +V +tp4124 +a(g198 +V= +tp4125 +a(g185 +V +tp4126 +a(g185 +Vi +tp4127 +a(g185 +V. +tp4128 +a(g268 +V' class ' +p4129 +tp4130 +a(g185 +V\u000a +tp4131 +a(g185 +V +p4132 +tp4133 +a(g107 +Vendif +p4134 +tp4135 +a(g185 +V\u000a +tp4136 +a(g185 +V +p4137 +tp4138 +a(g107 +Vlet +p4139 +tp4140 +a(g185 +V +tp4141 +a(g185 +Vfinal_list +p4142 +tp4143 +a(g185 +V +tp4144 +a(g198 +V+ +tp4145 +a(g198 +V= +tp4146 +a(g185 +V +tp4147 +a(g185 +V[ +tp4148 +a(g185 +V{ +tp4149 +a(g268 +V'word' +p4150 +tp4151 +a(g185 +V: +tp4152 +a(g185 +Vi +tp4153 +a(g198 +V, +tp4154 +a(g185 +V +tp4155 +a(g268 +V'info' +p4156 +tp4157 +a(g185 +V: +tp4158 +a(g185 +Vclass +p4159 +tp4160 +a(g185 +V. +tp4161 +a(g185 +Vall_values +p4162 +tp4163 +a(g185 +V[ +tp4164 +a(g185 +Vi +tp4165 +a(g185 +V] +tp4166 +a(g198 +V, +tp4167 +a(g185 +V +tp4168 +a(g268 +V'kind' +p4169 +tp4170 +a(g185 +V: +tp4171 +a(g268 +V'v' +p4172 +tp4173 +a(g185 +V} +tp4174 +a(g185 +V] +tp4175 +a(g185 +V\u000a +tp4176 +a(g185 +V +p4177 +tp4178 +a(g107 +Velse +p4179 +tp4180 +a(g185 +V\u000a +tp4181 +a(g185 +V +p4182 +tp4183 +a(g107 +Vlet +p4184 +tp4185 +a(g185 +V +tp4186 +a(g185 +Vfinal_list +p4187 +tp4188 +a(g185 +V +tp4189 +a(g198 +V+ +tp4190 +a(g198 +V= +tp4191 +a(g185 +V\u000a +tp4192 +a(g185 +V +p4193 +tp4194 +a(g185 +V\u005c +tp4195 +a(g185 +V +tp4196 +a(g185 +V[ +tp4197 +a(g185 +V{ +tp4198 +a(g268 +V'word' +p4199 +tp4200 +a(g185 +V: +tp4201 +a(g185 +Vsubstitute +p4202 +tp4203 +a(g198 +V( +tp4204 +a(g185 +Vi +tp4205 +a(g198 +V, +tp4206 +a(g185 +V +tp4207 +a(g268 +V'.*::' +p4208 +tp4209 +a(g198 +V, +tp4210 +a(g185 +V +tp4211 +a(g268 +V'' +p4212 +tp4213 +a(g198 +V, +tp4214 +a(g185 +V +tp4215 +a(g268 +V'' +p4216 +tp4217 +a(g198 +V) +tp4218 +a(g198 +V, +tp4219 +a(g185 +V\u000a +tp4220 +a(g185 +V +p4221 +tp4222 +a(g185 +V\u005c +tp4223 +a(g185 +V +p4224 +tp4225 +a(g268 +V'info' +p4226 +tp4227 +a(g185 +V: +tp4228 +a(g185 +Vi +tp4229 +a(g185 +V. +tp4230 +a(g185 +Vall_values +p4231 +tp4232 +a(g185 +V[ +tp4233 +a(g185 +Vi +tp4234 +a(g185 +V] +tp4235 +a(g198 +V, +tp4236 +a(g185 +V\u000a +tp4237 +a(g185 +V +p4238 +tp4239 +a(g185 +V\u005c +tp4240 +a(g185 +V +p4241 +tp4242 +a(g268 +V'kind' +p4243 +tp4244 +a(g185 +V: +tp4245 +a(g268 +V'f' +p4246 +tp4247 +a(g185 +V} +tp4248 +a(g185 +V] +tp4249 +a(g185 +V\u000a +tp4250 +a(g185 +V +p4251 +tp4252 +a(g107 +Vendif +p4253 +tp4254 +a(g185 +V\u000a +tp4255 +a(g185 +V +p4256 +tp4257 +a(g107 +Vendfor +p4258 +tp4259 +a(g185 +V\u000a +tp4260 +a(g185 +V\u000a +tp4261 +a(g185 +V +p4262 +tp4263 +a(g107 +Vreturn +p4264 +tp4265 +a(g185 +V +tp4266 +a(g185 +Vfinal_list +p4267 +tp4268 +a(g185 +V\u000a +tp4269 +a(g185 +V +tp4270 +a(g107 +Vendif +p4271 +tp4272 +a(g185 +V\u000a +tp4273 +a(g185 +V\u000a +tp4274 +a(g185 +V +tp4275 +a(g107 +Vif +p4276 +tp4277 +a(g185 +V +tp4278 +a(g185 +Va +tp4279 +a(g185 +V: +tp4280 +a(g185 +Vbase +p4281 +tp4282 +a(g185 +V +tp4283 +a(g198 +V= +tp4284 +a(g198 +V~ +tp4285 +a(g185 +V +tp4286 +a(g268 +V'^\u005c$' +p4287 +tp4288 +a(g185 +V\u000a +tp4289 +a(g6 +V " Complete variables +p4290 +tp4291 +a(g185 +V\u000a +tp4292 +a(g6 +V " Built-in variables {{{ +p4293 +tp4294 +a(g185 +V\u000a +tp4295 +a(g185 +V +p4296 +tp4297 +a(g107 +Vlet +p4298 +tp4299 +a(g185 +V +tp4300 +a(g185 +Vg +tp4301 +a(g185 +V: +tp4302 +a(g185 +Vphp_builtin_vars +p4303 +tp4304 +a(g185 +V +tp4305 +a(g198 +V= +tp4306 +a(g185 +V +tp4307 +a(g185 +V{ +tp4308 +a(g268 +V'$GLOBALS' +p4309 +tp4310 +a(g185 +V: +tp4311 +a(g268 +V'' +p4312 +tp4313 +a(g198 +V, +tp4314 +a(g185 +V\u000a +tp4315 +a(g185 +V +p4316 +tp4317 +a(g185 +V\u005c +tp4318 +a(g185 +V +tp4319 +a(g268 +V'$_SERVER' +p4320 +tp4321 +a(g185 +V: +tp4322 +a(g268 +V'' +p4323 +tp4324 +a(g198 +V, +tp4325 +a(g185 +V\u000a +tp4326 +a(g185 +V +p4327 +tp4328 +a(g185 +V\u005c +tp4329 +a(g185 +V +tp4330 +a(g268 +V'$_GET' +p4331 +tp4332 +a(g185 +V: +tp4333 +a(g268 +V'' +p4334 +tp4335 +a(g198 +V, +tp4336 +a(g185 +V\u000a +tp4337 +a(g185 +V +p4338 +tp4339 +a(g185 +V\u005c +tp4340 +a(g185 +V +tp4341 +a(g268 +V'$_POST' +p4342 +tp4343 +a(g185 +V: +tp4344 +a(g268 +V'' +p4345 +tp4346 +a(g198 +V, +tp4347 +a(g185 +V\u000a +tp4348 +a(g185 +V +p4349 +tp4350 +a(g185 +V\u005c +tp4351 +a(g185 +V +tp4352 +a(g268 +V'$_COOKIE' +p4353 +tp4354 +a(g185 +V: +tp4355 +a(g268 +V'' +p4356 +tp4357 +a(g198 +V, +tp4358 +a(g185 +V\u000a +tp4359 +a(g185 +V +p4360 +tp4361 +a(g185 +V\u005c +tp4362 +a(g185 +V +tp4363 +a(g268 +V'$_FILES' +p4364 +tp4365 +a(g185 +V: +tp4366 +a(g268 +V'' +p4367 +tp4368 +a(g198 +V, +tp4369 +a(g185 +V\u000a +tp4370 +a(g185 +V +p4371 +tp4372 +a(g185 +V\u005c +tp4373 +a(g185 +V +tp4374 +a(g268 +V'$_ENV' +p4375 +tp4376 +a(g185 +V: +tp4377 +a(g268 +V'' +p4378 +tp4379 +a(g198 +V, +tp4380 +a(g185 +V\u000a +tp4381 +a(g185 +V +p4382 +tp4383 +a(g185 +V\u005c +tp4384 +a(g185 +V +tp4385 +a(g268 +V'$_REQUEST' +p4386 +tp4387 +a(g185 +V: +tp4388 +a(g268 +V'' +p4389 +tp4390 +a(g198 +V, +tp4391 +a(g185 +V\u000a +tp4392 +a(g185 +V +p4393 +tp4394 +a(g185 +V\u005c +tp4395 +a(g185 +V +tp4396 +a(g268 +V'$_SESSION' +p4397 +tp4398 +a(g185 +V: +tp4399 +a(g268 +V'' +p4400 +tp4401 +a(g198 +V, +tp4402 +a(g185 +V\u000a +tp4403 +a(g185 +V +p4404 +tp4405 +a(g185 +V\u005c +tp4406 +a(g185 +V +tp4407 +a(g268 +V'$HTTP_SERVER_VARS' +p4408 +tp4409 +a(g185 +V: +tp4410 +a(g268 +V'' +p4411 +tp4412 +a(g198 +V, +tp4413 +a(g185 +V\u000a +tp4414 +a(g185 +V +p4415 +tp4416 +a(g185 +V\u005c +tp4417 +a(g185 +V +tp4418 +a(g268 +V'$HTTP_ENV_VARS' +p4419 +tp4420 +a(g185 +V: +tp4421 +a(g268 +V'' +p4422 +tp4423 +a(g198 +V, +tp4424 +a(g185 +V\u000a +tp4425 +a(g185 +V +p4426 +tp4427 +a(g185 +V\u005c +tp4428 +a(g185 +V +tp4429 +a(g268 +V'$HTTP_COOKIE_VARS' +p4430 +tp4431 +a(g185 +V: +tp4432 +a(g268 +V'' +p4433 +tp4434 +a(g198 +V, +tp4435 +a(g185 +V\u000a +tp4436 +a(g185 +V +p4437 +tp4438 +a(g185 +V\u005c +tp4439 +a(g185 +V +tp4440 +a(g268 +V'$HTTP_GET_VARS' +p4441 +tp4442 +a(g185 +V: +tp4443 +a(g268 +V'' +p4444 +tp4445 +a(g198 +V, +tp4446 +a(g185 +V\u000a +tp4447 +a(g185 +V +p4448 +tp4449 +a(g185 +V\u005c +tp4450 +a(g185 +V +tp4451 +a(g268 +V'$HTTP_POST_VARS' +p4452 +tp4453 +a(g185 +V: +tp4454 +a(g268 +V'' +p4455 +tp4456 +a(g198 +V, +tp4457 +a(g185 +V\u000a +tp4458 +a(g185 +V +p4459 +tp4460 +a(g185 +V\u005c +tp4461 +a(g185 +V +tp4462 +a(g268 +V'$HTTP_POST_FILES' +p4463 +tp4464 +a(g185 +V: +tp4465 +a(g268 +V'' +p4466 +tp4467 +a(g198 +V, +tp4468 +a(g185 +V\u000a +tp4469 +a(g185 +V +p4470 +tp4471 +a(g185 +V\u005c +tp4472 +a(g185 +V +tp4473 +a(g268 +V'$HTTP_SESSION_VARS' +p4474 +tp4475 +a(g185 +V: +tp4476 +a(g268 +V'' +p4477 +tp4478 +a(g198 +V, +tp4479 +a(g185 +V\u000a +tp4480 +a(g185 +V +p4481 +tp4482 +a(g185 +V\u005c +tp4483 +a(g185 +V +tp4484 +a(g268 +V'$php_errormsg' +p4485 +tp4486 +a(g185 +V: +tp4487 +a(g268 +V'' +p4488 +tp4489 +a(g198 +V, +tp4490 +a(g185 +V\u000a +tp4491 +a(g185 +V +p4492 +tp4493 +a(g185 +V\u005c +tp4494 +a(g185 +V +tp4495 +a(g268 +V'$this' +p4496 +tp4497 +a(g185 +V: +tp4498 +a(g268 +V'' +p4499 +tp4500 +a(g185 +V\u000a +tp4501 +a(g185 +V +p4502 +tp4503 +a(g185 +V\u005c +tp4504 +a(g185 +V +tp4505 +a(g185 +V} +tp4506 +a(g185 +V\u000a +tp4507 +a(g6 +V " }}} +p4508 +tp4509 +a(g185 +V\u000a +tp4510 +a(g6 +V\u000a " Internal solution for current file. +p4511 +tp4512 +a(g185 +V\u000a +tp4513 +a(g185 +V +p4514 +tp4515 +a(g107 +Vlet +p4516 +tp4517 +a(g185 +V +tp4518 +a(g107 +Vfile +p4519 +tp4520 +a(g185 +V +tp4521 +a(g198 +V= +tp4522 +a(g185 +V +tp4523 +a(g185 +Vgetline +p4524 +tp4525 +a(g198 +V( +tp4526 +a(g209 +V1 +tp4527 +a(g198 +V, +tp4528 +a(g185 +V +tp4529 +a(g268 +V'$' +p4530 +tp4531 +a(g198 +V) +tp4532 +a(g185 +V\u000a +tp4533 +a(g185 +V +p4534 +tp4535 +a(g107 +Vlet +p4536 +tp4537 +a(g185 +V +tp4538 +a(g185 +Vjfile +p4539 +tp4540 +a(g185 +V +tp4541 +a(g198 +V= +tp4542 +a(g185 +V +tp4543 +a(g107 +Vjoin +p4544 +tp4545 +a(g198 +V( +tp4546 +a(g107 +Vfile +p4547 +tp4548 +a(g198 +V, +tp4549 +a(g185 +V +tp4550 +a(g268 +V' ' +p4551 +tp4552 +a(g198 +V) +tp4553 +a(g185 +V\u000a +tp4554 +a(g185 +V +p4555 +tp4556 +a(g107 +Vlet +p4557 +tp4558 +a(g185 +V +tp4559 +a(g185 +Vint_vals +p4560 +tp4561 +a(g185 +V +tp4562 +a(g198 +V= +tp4563 +a(g185 +V +tp4564 +a(g185 +Vsplit +p4565 +tp4566 +a(g198 +V( +tp4567 +a(g185 +Vjfile +p4568 +tp4569 +a(g198 +V, +tp4570 +a(g185 +V +tp4571 +a(g268 +V'\u005cze\u005c$' +p4572 +tp4573 +a(g198 +V) +tp4574 +a(g185 +V\u000a +tp4575 +a(g185 +V +p4576 +tp4577 +a(g107 +Vlet +p4578 +tp4579 +a(g185 +V +tp4580 +a(g185 +Vint_vars +p4581 +tp4582 +a(g185 +V +tp4583 +a(g198 +V= +tp4584 +a(g185 +V +tp4585 +a(g185 +V{ +tp4586 +a(g185 +V} +tp4587 +a(g185 +V\u000a +tp4588 +a(g185 +V +p4589 +tp4590 +a(g107 +Vfor +p4591 +tp4592 +a(g185 +V +tp4593 +a(g185 +Vi +tp4594 +a(g185 +V +tp4595 +a(g107 +Vin +p4596 +tp4597 +a(g185 +V +tp4598 +a(g185 +Vint_vals +p4599 +tp4600 +a(g185 +V\u000a +tp4601 +a(g185 +V +p4602 +tp4603 +a(g107 +Vif +p4604 +tp4605 +a(g185 +V +tp4606 +a(g185 +Vi +tp4607 +a(g185 +V +tp4608 +a(g198 +V= +tp4609 +a(g198 +V~ +tp4610 +a(g185 +V +tp4611 +a(g268 +V'^\u005c$[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cs*=\u005cs*new' +p4612 +tp4613 +a(g185 +V\u000a +tp4614 +a(g185 +V +p4615 +tp4616 +a(g107 +Vlet +p4617 +tp4618 +a(g185 +V +tp4619 +a(g185 +Vval +p4620 +tp4621 +a(g185 +V +tp4622 +a(g198 +V= +tp4623 +a(g185 +V +tp4624 +a(g185 +Vmatchstr +p4625 +tp4626 +a(g198 +V( +tp4627 +a(g185 +Vi +tp4628 +a(g198 +V, +tp4629 +a(g185 +V\u000a +tp4630 +a(g185 +V +p4631 +tp4632 +a(g185 +V\u005c +tp4633 +a(g185 +V +tp4634 +a(g268 +V'^\u005c$[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*' +p4635 +tp4636 +a(g198 +V) +tp4637 +a(g185 +V. +tp4638 +a(g268 +V'->' +p4639 +tp4640 +a(g185 +V\u000a +tp4641 +a(g185 +V +p4642 +tp4643 +a(g107 +Velse +p4644 +tp4645 +a(g185 +V\u000a +tp4646 +a(g185 +V +p4647 +tp4648 +a(g107 +Vlet +p4649 +tp4650 +a(g185 +V +tp4651 +a(g185 +Vval +p4652 +tp4653 +a(g185 +V +tp4654 +a(g198 +V= +tp4655 +a(g185 +V +tp4656 +a(g185 +Vmatchstr +p4657 +tp4658 +a(g198 +V( +tp4659 +a(g185 +Vi +tp4660 +a(g198 +V, +tp4661 +a(g185 +V\u000a +tp4662 +a(g185 +V +p4663 +tp4664 +a(g185 +V\u005c +tp4665 +a(g185 +V +tp4666 +a(g268 +V'^\u005c$[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*' +p4667 +tp4668 +a(g198 +V) +tp4669 +a(g185 +V\u000a +tp4670 +a(g185 +V +p4671 +tp4672 +a(g107 +Vendif +p4673 +tp4674 +a(g185 +V\u000a +tp4675 +a(g185 +V +p4676 +tp4677 +a(g107 +Vif +p4678 +tp4679 +a(g185 +V +tp4680 +a(g185 +Vval +p4681 +tp4682 +a(g185 +V +tp4683 +a(g198 +V! +tp4684 +a(g198 +V= +tp4685 +a(g185 +V +tp4686 +a(g268 +V'' +p4687 +tp4688 +a(g185 +V\u000a +tp4689 +a(g185 +V +p4690 +tp4691 +a(g107 +Vlet +p4692 +tp4693 +a(g185 +V +tp4694 +a(g185 +Vint_vars +p4695 +tp4696 +a(g185 +V[ +tp4697 +a(g185 +Vval +p4698 +tp4699 +a(g185 +V] +tp4700 +a(g185 +V +tp4701 +a(g198 +V= +tp4702 +a(g185 +V +tp4703 +a(g268 +V'' +p4704 +tp4705 +a(g185 +V\u000a +tp4706 +a(g185 +V +p4707 +tp4708 +a(g107 +Vendif +p4709 +tp4710 +a(g185 +V\u000a +tp4711 +a(g185 +V +p4712 +tp4713 +a(g107 +Vendfor +p4714 +tp4715 +a(g185 +V\u000a +tp4716 +a(g185 +V\u000a +tp4717 +a(g185 +V +p4718 +tp4719 +a(g107 +Vcall +p4720 +tp4721 +a(g185 +V +tp4722 +a(g185 +Vextend +p4723 +tp4724 +a(g198 +V( +tp4725 +a(g185 +Vint_vars +p4726 +tp4727 +a(g198 +V, +tp4728 +a(g185 +Vg +tp4729 +a(g185 +V: +tp4730 +a(g185 +Vphp_builtin_vars +p4731 +tp4732 +a(g198 +V) +tp4733 +a(g185 +V\u000a +tp4734 +a(g6 +V\u000a " ctags has support for PHP, use tags file for external variables +p4735 +tp4736 +a(g185 +V\u000a +tp4737 +a(g185 +V +p4738 +tp4739 +a(g107 +Vlet +p4740 +tp4741 +a(g185 +V +tp4742 +a(g185 +Vfnames +p4743 +tp4744 +a(g185 +V +tp4745 +a(g198 +V= +tp4746 +a(g185 +V +tp4747 +a(g107 +Vjoin +p4748 +tp4749 +a(g198 +V( +tp4750 +a(g185 +Vmap +p4751 +tp4752 +a(g198 +V( +tp4753 +a(g185 +Vtagfiles +p4754 +tp4755 +a(g198 +V( +tp4756 +a(g198 +V) +tp4757 +a(g198 +V, +tp4758 +a(g185 +V +tp4759 +a(g268 +V'escape(v:val, " \u005c\u005c#%")' +p4760 +tp4761 +a(g198 +V) +tp4762 +a(g198 +V) +tp4763 +a(g185 +V\u000a +tp4764 +a(g185 +V +p4765 +tp4766 +a(g107 +Vlet +p4767 +tp4768 +a(g185 +V +tp4769 +a(g185 +Vext_vars +p4770 +tp4771 +a(g185 +V +tp4772 +a(g198 +V= +tp4773 +a(g185 +V +tp4774 +a(g185 +V{ +tp4775 +a(g185 +V} +tp4776 +a(g185 +V\u000a +tp4777 +a(g185 +V +p4778 +tp4779 +a(g107 +Vif +p4780 +tp4781 +a(g185 +V +tp4782 +a(g185 +Vfnames +p4783 +tp4784 +a(g185 +V +tp4785 +a(g198 +V! +tp4786 +a(g198 +V= +tp4787 +a(g185 +V +tp4788 +a(g268 +V'' +p4789 +tp4790 +a(g185 +V\u000a +tp4791 +a(g185 +V +p4792 +tp4793 +a(g107 +Vlet +p4794 +tp4795 +a(g185 +V +tp4796 +a(g185 +Vsbase +p4797 +tp4798 +a(g185 +V +tp4799 +a(g198 +V= +tp4800 +a(g185 +V +tp4801 +a(g185 +Vsubstitute +p4802 +tp4803 +a(g198 +V( +tp4804 +a(g185 +Va +tp4805 +a(g185 +V: +tp4806 +a(g185 +Vbase +p4807 +tp4808 +a(g198 +V, +tp4809 +a(g185 +V +tp4810 +a(g268 +V'^\u005c$' +p4811 +tp4812 +a(g198 +V, +tp4813 +a(g185 +V +tp4814 +a(g268 +V'' +p4815 +tp4816 +a(g198 +V, +tp4817 +a(g185 +V +tp4818 +a(g268 +V'' +p4819 +tp4820 +a(g198 +V) +tp4821 +a(g185 +V\u000a +tp4822 +a(g185 +V +p4823 +tp4824 +a(g185 +Vexe +p4825 +tp4826 +a(g185 +V +tp4827 +a(g268 +V'silent! vimgrep /^' +p4828 +tp4829 +a(g185 +V. +tp4830 +a(g185 +Vsbase +p4831 +tp4832 +a(g185 +V. +tp4833 +a(g268 +V'.*\u005ctv\u005c(\u005ct\u005c|$\u005c)/j ' +p4834 +tp4835 +a(g185 +V. +tp4836 +a(g185 +Vfnames +p4837 +tp4838 +a(g185 +V\u000a +tp4839 +a(g185 +V +p4840 +tp4841 +a(g107 +Vlet +p4842 +tp4843 +a(g185 +V +tp4844 +a(g185 +Vqflist +p4845 +tp4846 +a(g185 +V +tp4847 +a(g198 +V= +tp4848 +a(g185 +V +tp4849 +a(g185 +Vgetqflist +p4850 +tp4851 +a(g198 +V( +tp4852 +a(g198 +V) +tp4853 +a(g185 +V\u000a +tp4854 +a(g185 +V +p4855 +tp4856 +a(g107 +Vif +p4857 +tp4858 +a(g185 +V +tp4859 +a(g185 +Vlen +p4860 +tp4861 +a(g198 +V( +tp4862 +a(g185 +Vqflist +p4863 +tp4864 +a(g198 +V) +tp4865 +a(g185 +V +tp4866 +a(g198 +V> +tp4867 +a(g185 +V +tp4868 +a(g209 +V0 +tp4869 +a(g185 +V\u000a +tp4870 +a(g185 +V +p4871 +tp4872 +a(g107 +Vfor +p4873 +tp4874 +a(g185 +V +tp4875 +a(g185 +Vfield +p4876 +tp4877 +a(g185 +V +tp4878 +a(g107 +Vin +p4879 +tp4880 +a(g185 +V +tp4881 +a(g185 +Vqflist +p4882 +tp4883 +a(g185 +V\u000a +tp4884 +a(g185 +V +p4885 +tp4886 +a(g107 +Vlet +p4887 +tp4888 +a(g185 +V +tp4889 +a(g185 +Vitem +p4890 +tp4891 +a(g185 +V +tp4892 +a(g198 +V= +tp4893 +a(g185 +V +tp4894 +a(g268 +V'$' +p4895 +tp4896 +a(g185 +V. +tp4897 +a(g185 +Vmatchstr +p4898 +tp4899 +a(g198 +V( +tp4900 +a(g185 +Vfield +p4901 +tp4902 +a(g185 +V[ +tp4903 +a(g268 +V'text' +p4904 +tp4905 +a(g185 +V] +tp4906 +a(g198 +V, +tp4907 +a(g185 +V +tp4908 +a(g268 +V'^[^[:space:]]\u005c+' +p4909 +tp4910 +a(g198 +V) +tp4911 +a(g185 +V\u000a +tp4912 +a(g185 +V +p4913 +tp4914 +a(g107 +Vlet +p4915 +tp4916 +a(g185 +V +tp4917 +a(g185 +Vm_menu +p4918 +tp4919 +a(g185 +V +tp4920 +a(g198 +V= +tp4921 +a(g185 +V +tp4922 +a(g268 +V'' +p4923 +tp4924 +a(g185 +V\u000a +tp4925 +a(g6 +V " Add -> if it is possible object declaration +p4926 +tp4927 +a(g185 +V\u000a +tp4928 +a(g185 +V +p4929 +tp4930 +a(g107 +Vif +p4931 +tp4932 +a(g185 +V +tp4933 +a(g185 +Vfield +p4934 +tp4935 +a(g185 +V[ +tp4936 +a(g268 +V'text' +p4937 +tp4938 +a(g185 +V] +tp4939 +a(g185 +V +tp4940 +a(g198 +V= +tp4941 +a(g198 +V~ +tp4942 +a(g185 +V +tp4943 +a(g185 +Vitem +p4944 +tp4945 +a(g185 +V. +tp4946 +a(g268 +V'\u005cs*=\u005cs*new\u005cs\u005c+' +p4947 +tp4948 +a(g185 +V\u000a +tp4949 +a(g185 +V +p4950 +tp4951 +a(g107 +Vlet +p4952 +tp4953 +a(g185 +V +tp4954 +a(g185 +Vitem +p4955 +tp4956 +a(g185 +V +tp4957 +a(g198 +V= +tp4958 +a(g185 +V +tp4959 +a(g185 +Vitem +p4960 +tp4961 +a(g185 +V. +tp4962 +a(g268 +V'->' +p4963 +tp4964 +a(g185 +V\u000a +tp4965 +a(g185 +V +p4966 +tp4967 +a(g107 +Vlet +p4968 +tp4969 +a(g185 +V +tp4970 +a(g185 +Vm_menu +p4971 +tp4972 +a(g185 +V +tp4973 +a(g198 +V= +tp4974 +a(g185 +V +tp4975 +a(g185 +Vmatchstr +p4976 +tp4977 +a(g198 +V( +tp4978 +a(g185 +Vfield +p4979 +tp4980 +a(g185 +V[ +tp4981 +a(g268 +V'text' +p4982 +tp4983 +a(g185 +V] +tp4984 +a(g198 +V, +tp4985 +a(g185 +V\u000a +tp4986 +a(g185 +V +p4987 +tp4988 +a(g185 +V\u005c +tp4989 +a(g185 +V +tp4990 +a(g268 +V'=\u005cs*new\u005cs\u005c+\u005czs[a-zA-Z_0-9\u005cx7f-\u005cxff]\u005c+\u005cze' +p4991 +tp4992 +a(g198 +V) +tp4993 +a(g185 +V\u000a +tp4994 +a(g185 +V +p4995 +tp4996 +a(g107 +Vendif +p4997 +tp4998 +a(g185 +V\u000a +tp4999 +a(g185 +V +p5000 +tp5001 +a(g107 +Vlet +p5002 +tp5003 +a(g185 +V +tp5004 +a(g185 +Vext_vars +p5005 +tp5006 +a(g185 +V[ +tp5007 +a(g185 +Vitem +p5008 +tp5009 +a(g185 +V] +tp5010 +a(g185 +V +tp5011 +a(g198 +V= +tp5012 +a(g185 +V +tp5013 +a(g185 +Vm_menu +p5014 +tp5015 +a(g185 +V\u000a +tp5016 +a(g185 +V +p5017 +tp5018 +a(g107 +Vendfor +p5019 +tp5020 +a(g185 +V\u000a +tp5021 +a(g185 +V +p5022 +tp5023 +a(g107 +Vendif +p5024 +tp5025 +a(g185 +V\u000a +tp5026 +a(g185 +V +p5027 +tp5028 +a(g107 +Vendif +p5029 +tp5030 +a(g185 +V\u000a +tp5031 +a(g185 +V\u000a +tp5032 +a(g185 +V +p5033 +tp5034 +a(g107 +Vcall +p5035 +tp5036 +a(g185 +V +tp5037 +a(g185 +Vextend +p5038 +tp5039 +a(g198 +V( +tp5040 +a(g185 +Vint_vars +p5041 +tp5042 +a(g198 +V, +tp5043 +a(g185 +V +tp5044 +a(g185 +Vext_vars +p5045 +tp5046 +a(g198 +V) +tp5047 +a(g185 +V\u000a +tp5048 +a(g185 +V +p5049 +tp5050 +a(g107 +Vlet +p5051 +tp5052 +a(g185 +V +tp5053 +a(g185 +Vg +tp5054 +a(g185 +V: +tp5055 +a(g185 +Va0 +p5056 +tp5057 +a(g185 +V +tp5058 +a(g198 +V= +tp5059 +a(g185 +V +tp5060 +a(g185 +Vkeys +p5061 +tp5062 +a(g198 +V( +tp5063 +a(g185 +Vint_vars +p5064 +tp5065 +a(g198 +V) +tp5066 +a(g185 +V\u000a +tp5067 +a(g185 +V\u000a +tp5068 +a(g185 +V +p5069 +tp5070 +a(g107 +Vfor +p5071 +tp5072 +a(g185 +V +tp5073 +a(g107 +Vm +tp5074 +a(g185 +V +tp5075 +a(g107 +Vin +p5076 +tp5077 +a(g185 +V +tp5078 +a(g107 +Vsort +p5079 +tp5080 +a(g198 +V( +tp5081 +a(g185 +Vkeys +p5082 +tp5083 +a(g198 +V( +tp5084 +a(g185 +Vint_vars +p5085 +tp5086 +a(g198 +V) +tp5087 +a(g198 +V) +tp5088 +a(g185 +V\u000a +tp5089 +a(g185 +V +p5090 +tp5091 +a(g107 +Vif +p5092 +tp5093 +a(g185 +V +tp5094 +a(g107 +Vm +tp5095 +a(g185 +V +tp5096 +a(g198 +V= +tp5097 +a(g198 +V~ +tp5098 +a(g185 +V +tp5099 +a(g268 +V'^\u005c' +p5100 +tp5101 +a(g185 +V. +tp5102 +a(g185 +Va +tp5103 +a(g185 +V: +tp5104 +a(g185 +Vbase +p5105 +tp5106 +a(g185 +V\u000a +tp5107 +a(g185 +V +p5108 +tp5109 +a(g107 +Vcall +p5110 +tp5111 +a(g185 +V +tp5112 +a(g185 +Vadd +p5113 +tp5114 +a(g198 +V( +tp5115 +a(g107 +Vres +p5116 +tp5117 +a(g198 +V, +tp5118 +a(g185 +V +tp5119 +a(g107 +Vm +tp5120 +a(g198 +V) +tp5121 +a(g185 +V\u000a +tp5122 +a(g185 +V +p5123 +tp5124 +a(g107 +Vendif +p5125 +tp5126 +a(g185 +V\u000a +tp5127 +a(g185 +V +p5128 +tp5129 +a(g107 +Vendfor +p5130 +tp5131 +a(g185 +V\u000a +tp5132 +a(g185 +V\u000a +tp5133 +a(g185 +V +p5134 +tp5135 +a(g107 +Vlet +p5136 +tp5137 +a(g185 +V +tp5138 +a(g185 +Vint_list +p5139 +tp5140 +a(g185 +V +tp5141 +a(g198 +V= +tp5142 +a(g185 +V +tp5143 +a(g107 +Vres +p5144 +tp5145 +a(g185 +V\u000a +tp5146 +a(g185 +V\u000a +tp5147 +a(g185 +V +p5148 +tp5149 +a(g107 +Vlet +p5150 +tp5151 +a(g185 +V +tp5152 +a(g185 +Vint_dict +p5153 +tp5154 +a(g185 +V +tp5155 +a(g198 +V= +tp5156 +a(g185 +V +tp5157 +a(g185 +V[ +tp5158 +a(g185 +V] +tp5159 +a(g185 +V\u000a +tp5160 +a(g185 +V +p5161 +tp5162 +a(g107 +Vfor +p5163 +tp5164 +a(g185 +V +tp5165 +a(g185 +Vi +tp5166 +a(g185 +V +tp5167 +a(g107 +Vin +p5168 +tp5169 +a(g185 +V +tp5170 +a(g185 +Vint_list +p5171 +tp5172 +a(g185 +V\u000a +tp5173 +a(g185 +V +p5174 +tp5175 +a(g107 +Vif +p5176 +tp5177 +a(g185 +V +tp5178 +a(g185 +Vint_vars +p5179 +tp5180 +a(g185 +V[ +tp5181 +a(g185 +Vi +tp5182 +a(g185 +V] +tp5183 +a(g185 +V +tp5184 +a(g198 +V! +tp5185 +a(g198 +V= +tp5186 +a(g185 +V +tp5187 +a(g268 +V'' +p5188 +tp5189 +a(g185 +V\u000a +tp5190 +a(g185 +V +p5191 +tp5192 +a(g107 +Vlet +p5193 +tp5194 +a(g185 +V +tp5195 +a(g185 +Vclass +p5196 +tp5197 +a(g185 +V +tp5198 +a(g198 +V= +tp5199 +a(g185 +V +tp5200 +a(g268 +V' ' +p5201 +tp5202 +a(g185 +V\u000a +tp5203 +a(g185 +V +p5204 +tp5205 +a(g107 +Vif +p5206 +tp5207 +a(g185 +V +tp5208 +a(g185 +Vint_vars +p5209 +tp5210 +a(g185 +V[ +tp5211 +a(g185 +Vi +tp5212 +a(g185 +V] +tp5213 +a(g185 +V +tp5214 +a(g198 +V! +tp5215 +a(g198 +V= +tp5216 +a(g185 +V +tp5217 +a(g268 +V'' +p5218 +tp5219 +a(g185 +V\u000a +tp5220 +a(g185 +V +p5221 +tp5222 +a(g107 +Vlet +p5223 +tp5224 +a(g185 +V +tp5225 +a(g185 +Vclass +p5226 +tp5227 +a(g185 +V +tp5228 +a(g198 +V= +tp5229 +a(g185 +V +tp5230 +a(g185 +Vi +tp5231 +a(g185 +V. +tp5232 +a(g268 +V' class ' +p5233 +tp5234 +a(g185 +V\u000a +tp5235 +a(g185 +V +p5236 +tp5237 +a(g107 +Vendif +p5238 +tp5239 +a(g185 +V\u000a +tp5240 +a(g185 +V +p5241 +tp5242 +a(g107 +Vlet +p5243 +tp5244 +a(g185 +V +tp5245 +a(g185 +Vint_dict +p5246 +tp5247 +a(g185 +V +tp5248 +a(g198 +V+ +tp5249 +a(g198 +V= +tp5250 +a(g185 +V +tp5251 +a(g185 +V[ +tp5252 +a(g185 +V{ +tp5253 +a(g268 +V'word' +p5254 +tp5255 +a(g185 +V: +tp5256 +a(g185 +Vi +tp5257 +a(g198 +V, +tp5258 +a(g185 +V +tp5259 +a(g268 +V'info' +p5260 +tp5261 +a(g185 +V: +tp5262 +a(g185 +Vclass +p5263 +tp5264 +a(g185 +V. +tp5265 +a(g185 +Vint_vars +p5266 +tp5267 +a(g185 +V[ +tp5268 +a(g185 +Vi +tp5269 +a(g185 +V] +tp5270 +a(g198 +V, +tp5271 +a(g185 +V +tp5272 +a(g268 +V'kind' +p5273 +tp5274 +a(g185 +V: +tp5275 +a(g268 +V'v' +p5276 +tp5277 +a(g185 +V} +tp5278 +a(g185 +V] +tp5279 +a(g185 +V\u000a +tp5280 +a(g185 +V +p5281 +tp5282 +a(g107 +Velse +p5283 +tp5284 +a(g185 +V\u000a +tp5285 +a(g185 +V +p5286 +tp5287 +a(g107 +Vlet +p5288 +tp5289 +a(g185 +V +tp5290 +a(g185 +Vint_dict +p5291 +tp5292 +a(g185 +V +tp5293 +a(g198 +V+ +tp5294 +a(g198 +V= +tp5295 +a(g185 +V +tp5296 +a(g185 +V[ +tp5297 +a(g185 +V{ +tp5298 +a(g268 +V'word' +p5299 +tp5300 +a(g185 +V: +tp5301 +a(g185 +Vi +tp5302 +a(g198 +V, +tp5303 +a(g185 +V +tp5304 +a(g268 +V'kind' +p5305 +tp5306 +a(g185 +V: +tp5307 +a(g268 +V'v' +p5308 +tp5309 +a(g185 +V} +tp5310 +a(g185 +V] +tp5311 +a(g185 +V\u000a +tp5312 +a(g185 +V +p5313 +tp5314 +a(g107 +Vendif +p5315 +tp5316 +a(g185 +V\u000a +tp5317 +a(g185 +V +p5318 +tp5319 +a(g107 +Vendfor +p5320 +tp5321 +a(g185 +V\u000a +tp5322 +a(g185 +V\u000a +tp5323 +a(g185 +V +p5324 +tp5325 +a(g107 +Vreturn +p5326 +tp5327 +a(g185 +V +tp5328 +a(g185 +Vint_dict +p5329 +tp5330 +a(g185 +V\u000a +tp5331 +a(g185 +V\u000a +tp5332 +a(g185 +V +tp5333 +a(g107 +Velse +p5334 +tp5335 +a(g185 +V\u000a +tp5336 +a(g6 +V " Complete everything else - +p5337 +tp5338 +a(g185 +V\u000a +tp5339 +a(g6 +V " + functions, DONE +p5340 +tp5341 +a(g185 +V\u000a +tp5342 +a(g6 +V " + keywords of language DONE +p5343 +tp5344 +a(g185 +V\u000a +tp5345 +a(g6 +V " + defines (constant definitions), DONE +p5346 +tp5347 +a(g185 +V\u000a +tp5348 +a(g6 +V " + extend keywords for predefined constants, DONE +p5349 +tp5350 +a(g185 +V\u000a +tp5351 +a(g6 +V " + classes (after new), DONE +p5352 +tp5353 +a(g185 +V\u000a +tp5354 +a(g6 +V " + limit choice after -> and :: to funcs and vars DONE +p5355 +tp5356 +a(g185 +V\u000a +tp5357 +a(g6 +V\u000a " Internal solution for finding functions in current file. +p5358 +tp5359 +a(g185 +V\u000a +tp5360 +a(g185 +V +p5361 +tp5362 +a(g107 +Vlet +p5363 +tp5364 +a(g185 +V +tp5365 +a(g107 +Vfile +p5366 +tp5367 +a(g185 +V +tp5368 +a(g198 +V= +tp5369 +a(g185 +V +tp5370 +a(g185 +Vgetline +p5371 +tp5372 +a(g198 +V( +tp5373 +a(g209 +V1 +tp5374 +a(g198 +V, +tp5375 +a(g185 +V +tp5376 +a(g268 +V'$' +p5377 +tp5378 +a(g198 +V) +tp5379 +a(g185 +V\u000a +tp5380 +a(g185 +V +p5381 +tp5382 +a(g107 +Vcall +p5383 +tp5384 +a(g185 +V +tp5385 +a(g185 +Vfilter +p5386 +tp5387 +a(g198 +V( +tp5388 +a(g107 +Vfile +p5389 +tp5390 +a(g198 +V, +tp5391 +a(g185 +V\u000a +tp5392 +a(g185 +V +p5393 +tp5394 +a(g185 +V\u005c +tp5395 +a(g185 +V +tp5396 +a(g268 +V'v:val =~ "function\u005c\u005cs\u005c\u005c+&\u005c\u005c?[a-zA-Z_\u005c\u005cx7f-\u005c\u005cxff][a-zA-Z_0-9\u005c\u005cx7f-\u005c\u005cxff]*\u005c\u005cs*("' +p5397 +tp5398 +a(g198 +V) +tp5399 +a(g185 +V\u000a +tp5400 +a(g185 +V +p5401 +tp5402 +a(g107 +Vlet +p5403 +tp5404 +a(g185 +V +tp5405 +a(g185 +Vfnames +p5406 +tp5407 +a(g185 +V +tp5408 +a(g198 +V= +tp5409 +a(g185 +V +tp5410 +a(g107 +Vjoin +p5411 +tp5412 +a(g198 +V( +tp5413 +a(g185 +Vmap +p5414 +tp5415 +a(g198 +V( +tp5416 +a(g185 +Vtagfiles +p5417 +tp5418 +a(g198 +V( +tp5419 +a(g198 +V) +tp5420 +a(g198 +V, +tp5421 +a(g185 +V +tp5422 +a(g268 +V'escape(v:val, " \u005c\u005c#%")' +p5423 +tp5424 +a(g198 +V) +tp5425 +a(g198 +V) +tp5426 +a(g185 +V\u000a +tp5427 +a(g185 +V +p5428 +tp5429 +a(g107 +Vlet +p5430 +tp5431 +a(g185 +V +tp5432 +a(g185 +Vjfile +p5433 +tp5434 +a(g185 +V +tp5435 +a(g198 +V= +tp5436 +a(g185 +V +tp5437 +a(g107 +Vjoin +p5438 +tp5439 +a(g198 +V( +tp5440 +a(g107 +Vfile +p5441 +tp5442 +a(g198 +V, +tp5443 +a(g185 +V +tp5444 +a(g268 +V' ' +p5445 +tp5446 +a(g198 +V) +tp5447 +a(g185 +V\u000a +tp5448 +a(g185 +V +p5449 +tp5450 +a(g107 +Vlet +p5451 +tp5452 +a(g185 +V +tp5453 +a(g185 +Vint_values +p5454 +tp5455 +a(g185 +V +tp5456 +a(g198 +V= +tp5457 +a(g185 +V +tp5458 +a(g185 +Vsplit +p5459 +tp5460 +a(g198 +V( +tp5461 +a(g185 +Vjfile +p5462 +tp5463 +a(g198 +V, +tp5464 +a(g185 +V +tp5465 +a(g268 +V'function\u005cs\u005c+' +p5466 +tp5467 +a(g198 +V) +tp5468 +a(g185 +V\u000a +tp5469 +a(g185 +V +p5470 +tp5471 +a(g107 +Vlet +p5472 +tp5473 +a(g185 +V +tp5474 +a(g185 +Vint_functions +p5475 +tp5476 +a(g185 +V +tp5477 +a(g198 +V= +tp5478 +a(g185 +V +tp5479 +a(g185 +V{ +tp5480 +a(g185 +V} +tp5481 +a(g185 +V\u000a +tp5482 +a(g185 +V +p5483 +tp5484 +a(g107 +Vfor +p5485 +tp5486 +a(g185 +V +tp5487 +a(g185 +Vi +tp5488 +a(g185 +V +tp5489 +a(g107 +Vin +p5490 +tp5491 +a(g185 +V +tp5492 +a(g185 +Vint_values +p5493 +tp5494 +a(g185 +V\u000a +tp5495 +a(g185 +V +p5496 +tp5497 +a(g107 +Vlet +p5498 +tp5499 +a(g185 +V +tp5500 +a(g185 +Vf_name +p5501 +tp5502 +a(g185 +V +tp5503 +a(g198 +V= +tp5504 +a(g185 +V +tp5505 +a(g185 +Vmatchstr +p5506 +tp5507 +a(g198 +V( +tp5508 +a(g185 +Vi +tp5509 +a(g198 +V, +tp5510 +a(g185 +V\u000a +tp5511 +a(g185 +V +p5512 +tp5513 +a(g185 +V\u005c +tp5514 +a(g185 +V +tp5515 +a(g268 +V'^&\u005c?\u005czs[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cze' +p5516 +tp5517 +a(g198 +V) +tp5518 +a(g185 +V\u000a +tp5519 +a(g185 +V +p5520 +tp5521 +a(g107 +Vlet +p5522 +tp5523 +a(g185 +V +tp5524 +a(g185 +Vf_args +p5525 +tp5526 +a(g185 +V +tp5527 +a(g198 +V= +tp5528 +a(g185 +V +tp5529 +a(g185 +Vmatchstr +p5530 +tp5531 +a(g198 +V( +tp5532 +a(g185 +Vi +tp5533 +a(g198 +V, +tp5534 +a(g185 +V\u000a +tp5535 +a(g185 +V +p5536 +tp5537 +a(g185 +V\u005c +tp5538 +a(g185 +V +tp5539 +a(g268 +V'^&\u005c?[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cs*(\u005cs*\u005czs.\u005c{-}\u005cze\u005cs*)\u005c_s*{' +p5540 +tp5541 +a(g198 +V) +tp5542 +a(g185 +V\u000a +tp5543 +a(g185 +V +p5544 +tp5545 +a(g107 +Vlet +p5546 +tp5547 +a(g185 +V +tp5548 +a(g185 +Vint_functions +p5549 +tp5550 +a(g185 +V[ +tp5551 +a(g185 +Vf_name +p5552 +tp5553 +a(g185 +V. +tp5554 +a(g268 +V'(' +p5555 +tp5556 +a(g185 +V] +tp5557 +a(g185 +V +tp5558 +a(g198 +V= +tp5559 +a(g185 +V +tp5560 +a(g185 +Vf_args +p5561 +tp5562 +a(g185 +V. +tp5563 +a(g268 +V')' +p5564 +tp5565 +a(g185 +V\u000a +tp5566 +a(g185 +V +p5567 +tp5568 +a(g107 +Vendfor +p5569 +tp5570 +a(g185 +V\u000a +tp5571 +a(g6 +V\u000a " Prepare list of functions from tags file +p5572 +tp5573 +a(g185 +V\u000a +tp5574 +a(g185 +V +p5575 +tp5576 +a(g107 +Vlet +p5577 +tp5578 +a(g185 +V +tp5579 +a(g185 +Vext_functions +p5580 +tp5581 +a(g185 +V +tp5582 +a(g198 +V= +tp5583 +a(g185 +V +tp5584 +a(g185 +V{ +tp5585 +a(g185 +V} +tp5586 +a(g185 +V\u000a +tp5587 +a(g185 +V +p5588 +tp5589 +a(g107 +Vif +p5590 +tp5591 +a(g185 +V +tp5592 +a(g185 +Vfnames +p5593 +tp5594 +a(g185 +V +tp5595 +a(g198 +V! +tp5596 +a(g198 +V= +tp5597 +a(g185 +V +tp5598 +a(g268 +V'' +p5599 +tp5600 +a(g185 +V\u000a +tp5601 +a(g185 +V +p5602 +tp5603 +a(g185 +Vexe +p5604 +tp5605 +a(g185 +V +tp5606 +a(g268 +V'silent! vimgrep /^' +p5607 +tp5608 +a(g185 +V. +tp5609 +a(g185 +Va +tp5610 +a(g185 +V: +tp5611 +a(g185 +Vbase +p5612 +tp5613 +a(g185 +V. +tp5614 +a(g268 +V'.*\u005ctf\u005c(\u005ct\u005c|$\u005c)/j ' +p5615 +tp5616 +a(g185 +V. +tp5617 +a(g185 +Vfnames +p5618 +tp5619 +a(g185 +V\u000a +tp5620 +a(g185 +V +p5621 +tp5622 +a(g107 +Vlet +p5623 +tp5624 +a(g185 +V +tp5625 +a(g185 +Vqflist +p5626 +tp5627 +a(g185 +V +tp5628 +a(g198 +V= +tp5629 +a(g185 +V +tp5630 +a(g185 +Vgetqflist +p5631 +tp5632 +a(g198 +V( +tp5633 +a(g198 +V) +tp5634 +a(g185 +V\u000a +tp5635 +a(g185 +V +p5636 +tp5637 +a(g107 +Vif +p5638 +tp5639 +a(g185 +V +tp5640 +a(g185 +Vlen +p5641 +tp5642 +a(g198 +V( +tp5643 +a(g185 +Vqflist +p5644 +tp5645 +a(g198 +V) +tp5646 +a(g185 +V +tp5647 +a(g198 +V> +tp5648 +a(g185 +V +tp5649 +a(g209 +V0 +tp5650 +a(g185 +V\u000a +tp5651 +a(g185 +V +p5652 +tp5653 +a(g107 +Vfor +p5654 +tp5655 +a(g185 +V +tp5656 +a(g185 +Vfield +p5657 +tp5658 +a(g185 +V +tp5659 +a(g107 +Vin +p5660 +tp5661 +a(g185 +V +tp5662 +a(g185 +Vqflist +p5663 +tp5664 +a(g185 +V\u000a +tp5665 +a(g6 +V " File name +p5666 +tp5667 +a(g185 +V\u000a +tp5668 +a(g185 +V +p5669 +tp5670 +a(g107 +Vlet +p5671 +tp5672 +a(g185 +V +tp5673 +a(g185 +Vitem +p5674 +tp5675 +a(g185 +V +tp5676 +a(g198 +V= +tp5677 +a(g185 +V +tp5678 +a(g185 +Vmatchstr +p5679 +tp5680 +a(g198 +V( +tp5681 +a(g185 +Vfield +p5682 +tp5683 +a(g185 +V[ +tp5684 +a(g268 +V'text' +p5685 +tp5686 +a(g185 +V] +tp5687 +a(g198 +V, +tp5688 +a(g185 +V +tp5689 +a(g268 +V'^[^[:space:]]\u005c+' +p5690 +tp5691 +a(g198 +V) +tp5692 +a(g185 +V\u000a +tp5693 +a(g185 +V +p5694 +tp5695 +a(g107 +Vlet +p5696 +tp5697 +a(g185 +V +tp5698 +a(g185 +Vfname +p5699 +tp5700 +a(g185 +V +tp5701 +a(g198 +V= +tp5702 +a(g185 +V +tp5703 +a(g185 +Vmatchstr +p5704 +tp5705 +a(g198 +V( +tp5706 +a(g185 +Vfield +p5707 +tp5708 +a(g185 +V[ +tp5709 +a(g268 +V'text' +p5710 +tp5711 +a(g185 +V] +tp5712 +a(g198 +V, +tp5713 +a(g185 +V +tp5714 +a(g268 +V'\u005ct\u005czs\u005cf\u005c+\u005cze' +p5715 +tp5716 +a(g198 +V) +tp5717 +a(g185 +V\u000a +tp5718 +a(g185 +V +p5719 +tp5720 +a(g107 +Vlet +p5721 +tp5722 +a(g185 +V +tp5723 +a(g185 +Vprototype +p5724 +tp5725 +a(g185 +V +tp5726 +a(g198 +V= +tp5727 +a(g185 +V +tp5728 +a(g185 +Vmatchstr +p5729 +tp5730 +a(g198 +V( +tp5731 +a(g185 +Vfield +p5732 +tp5733 +a(g185 +V[ +tp5734 +a(g268 +V'text' +p5735 +tp5736 +a(g185 +V] +tp5737 +a(g198 +V, +tp5738 +a(g185 +V\u000a +tp5739 +a(g185 +V +p5740 +tp5741 +a(g185 +V\u005c +tp5742 +a(g185 +V +tp5743 +a(g268 +V'function\u005cs\u005c+&\u005c?[^[:space:]]\u005c+\u005cs*(\u005cs*\u005czs.\u005c{-}\u005cze\u005cs*)\u005cs*{\u005c?' +p5744 +tp5745 +a(g198 +V) +tp5746 +a(g185 +V\u000a +tp5747 +a(g185 +V +p5748 +tp5749 +a(g107 +Vlet +p5750 +tp5751 +a(g185 +V +tp5752 +a(g185 +Vext_functions +p5753 +tp5754 +a(g185 +V[ +tp5755 +a(g185 +Vitem +p5756 +tp5757 +a(g185 +V. +tp5758 +a(g268 +V'(' +p5759 +tp5760 +a(g185 +V] +tp5761 +a(g185 +V +tp5762 +a(g198 +V= +tp5763 +a(g185 +V +tp5764 +a(g185 +Vprototype +p5765 +tp5766 +a(g185 +V. +tp5767 +a(g268 +V') - ' +p5768 +tp5769 +a(g185 +V. +tp5770 +a(g185 +Vfname +p5771 +tp5772 +a(g185 +V\u000a +tp5773 +a(g185 +V +p5774 +tp5775 +a(g107 +Vendfor +p5776 +tp5777 +a(g185 +V\u000a +tp5778 +a(g185 +V +p5779 +tp5780 +a(g107 +Vendif +p5781 +tp5782 +a(g185 +V\u000a +tp5783 +a(g185 +V +p5784 +tp5785 +a(g107 +Vendif +p5786 +tp5787 +a(g185 +V\u000a +tp5788 +a(g6 +V\u000a " All functions +p5789 +tp5790 +a(g185 +V\u000a +tp5791 +a(g185 +V +p5792 +tp5793 +a(g107 +Vcall +p5794 +tp5795 +a(g185 +V +tp5796 +a(g185 +Vextend +p5797 +tp5798 +a(g198 +V( +tp5799 +a(g185 +Vint_functions +p5800 +tp5801 +a(g198 +V, +tp5802 +a(g185 +V +tp5803 +a(g185 +Vext_functions +p5804 +tp5805 +a(g198 +V) +tp5806 +a(g185 +V\u000a +tp5807 +a(g185 +V +p5808 +tp5809 +a(g107 +Vcall +p5810 +tp5811 +a(g185 +V +tp5812 +a(g185 +Vextend +p5813 +tp5814 +a(g198 +V( +tp5815 +a(g185 +Vint_functions +p5816 +tp5817 +a(g198 +V, +tp5818 +a(g185 +V +tp5819 +a(g185 +Vg +tp5820 +a(g185 +V: +tp5821 +a(g185 +Vphp_builtin_functions +p5822 +tp5823 +a(g198 +V) +tp5824 +a(g185 +V\u000a +tp5825 +a(g6 +V\u000a " Internal solution for finding constants in current file +p5826 +tp5827 +a(g185 +V\u000a +tp5828 +a(g185 +V +p5829 +tp5830 +a(g107 +Vlet +p5831 +tp5832 +a(g185 +V +tp5833 +a(g107 +Vfile +p5834 +tp5835 +a(g185 +V +tp5836 +a(g198 +V= +tp5837 +a(g185 +V +tp5838 +a(g185 +Vgetline +p5839 +tp5840 +a(g198 +V( +tp5841 +a(g209 +V1 +tp5842 +a(g198 +V, +tp5843 +a(g185 +V +tp5844 +a(g268 +V'$' +p5845 +tp5846 +a(g198 +V) +tp5847 +a(g185 +V\u000a +tp5848 +a(g185 +V +p5849 +tp5850 +a(g107 +Vcall +p5851 +tp5852 +a(g185 +V +tp5853 +a(g185 +Vfilter +p5854 +tp5855 +a(g198 +V( +tp5856 +a(g107 +Vfile +p5857 +tp5858 +a(g198 +V, +tp5859 +a(g185 +V +tp5860 +a(g268 +V'v:val =~ "define\u005c\u005cs*("' +p5861 +tp5862 +a(g198 +V) +tp5863 +a(g185 +V\u000a +tp5864 +a(g185 +V +p5865 +tp5866 +a(g107 +Vlet +p5867 +tp5868 +a(g185 +V +tp5869 +a(g185 +Vjfile +p5870 +tp5871 +a(g185 +V +tp5872 +a(g198 +V= +tp5873 +a(g185 +V +tp5874 +a(g107 +Vjoin +p5875 +tp5876 +a(g198 +V( +tp5877 +a(g107 +Vfile +p5878 +tp5879 +a(g198 +V, +tp5880 +a(g185 +V +tp5881 +a(g268 +V' ' +p5882 +tp5883 +a(g198 +V) +tp5884 +a(g185 +V\u000a +tp5885 +a(g185 +V +p5886 +tp5887 +a(g107 +Vlet +p5888 +tp5889 +a(g185 +V +tp5890 +a(g185 +Vint_values +p5891 +tp5892 +a(g185 +V +tp5893 +a(g198 +V= +tp5894 +a(g185 +V +tp5895 +a(g185 +Vsplit +p5896 +tp5897 +a(g198 +V( +tp5898 +a(g185 +Vjfile +p5899 +tp5900 +a(g198 +V, +tp5901 +a(g185 +V +tp5902 +a(g268 +V'define\u005cs*(\u005cs*' +p5903 +tp5904 +a(g198 +V) +tp5905 +a(g185 +V\u000a +tp5906 +a(g185 +V +p5907 +tp5908 +a(g107 +Vlet +p5909 +tp5910 +a(g185 +V +tp5911 +a(g185 +Vint_constants +p5912 +tp5913 +a(g185 +V +tp5914 +a(g198 +V= +tp5915 +a(g185 +V +tp5916 +a(g185 +V{ +tp5917 +a(g185 +V} +tp5918 +a(g185 +V\u000a +tp5919 +a(g185 +V +p5920 +tp5921 +a(g107 +Vfor +p5922 +tp5923 +a(g185 +V +tp5924 +a(g185 +Vi +tp5925 +a(g185 +V +tp5926 +a(g107 +Vin +p5927 +tp5928 +a(g185 +V +tp5929 +a(g185 +Vint_values +p5930 +tp5931 +a(g185 +V\u000a +tp5932 +a(g185 +V +p5933 +tp5934 +a(g107 +Vlet +p5935 +tp5936 +a(g185 +V +tp5937 +a(g185 +Vc_name +p5938 +tp5939 +a(g185 +V +tp5940 +a(g198 +V= +tp5941 +a(g185 +V +tp5942 +a(g185 +Vmatchstr +p5943 +tp5944 +a(g198 +V( +tp5945 +a(g185 +Vi +tp5946 +a(g198 +V, +tp5947 +a(g185 +V +tp5948 +a(g268 +V'\u005c(["' +p5949 +tp5950 +a(g268 +V']\u005c)\u005czs[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005cze\u005c1' +p5951 +tp5952 +a(g198 +V) +tp5953 +a(g185 +V\u000a +tp5954 +a(g6 +V " let c_value = matchstr(i, +p5955 +tp5956 +a(g185 +V\u000a +tp5957 +a(g6 +V " \u005c '\u005c(["'']\u005c)[a-zA-Z_\u005cx7f-\u005cxff][a-zA-Z_0-9\u005cx7f-\u005cxff]*\u005c1\u005cs*,\u005cs*\u005czs.\u005c{-}\u005cze\u005cs*)') +p5958 +tp5959 +a(g185 +V\u000a +tp5960 +a(g185 +V +p5961 +tp5962 +a(g107 +Vif +p5963 +tp5964 +a(g185 +V +tp5965 +a(g185 +Vc_name +p5966 +tp5967 +a(g185 +V +tp5968 +a(g198 +V! +tp5969 +a(g198 +V= +tp5970 +a(g185 +V +tp5971 +a(g268 +V'' +p5972 +tp5973 +a(g185 +V\u000a +tp5974 +a(g185 +V +p5975 +tp5976 +a(g107 +Vlet +p5977 +tp5978 +a(g185 +V +tp5979 +a(g185 +Vint_constants +p5980 +tp5981 +a(g185 +V[ +tp5982 +a(g185 +Vc_name +p5983 +tp5984 +a(g185 +V] +tp5985 +a(g185 +V +tp5986 +a(g198 +V= +tp5987 +a(g185 +V +tp5988 +a(g268 +V'' +p5989 +tp5990 +a(g185 +V +tp5991 +a(g6 +V" c_value +p5992 +tp5993 +a(g185 +V\u000a +tp5994 +a(g185 +V +p5995 +tp5996 +a(g107 +Vendif +p5997 +tp5998 +a(g185 +V\u000a +tp5999 +a(g185 +V +p6000 +tp6001 +a(g107 +Vendfor +p6002 +tp6003 +a(g185 +V\u000a +tp6004 +a(g6 +V\u000a " Prepare list of constants from tags file +p6005 +tp6006 +a(g185 +V\u000a +tp6007 +a(g185 +V +p6008 +tp6009 +a(g107 +Vlet +p6010 +tp6011 +a(g185 +V +tp6012 +a(g185 +Vfnames +p6013 +tp6014 +a(g185 +V +tp6015 +a(g198 +V= +tp6016 +a(g185 +V +tp6017 +a(g107 +Vjoin +p6018 +tp6019 +a(g198 +V( +tp6020 +a(g185 +Vmap +p6021 +tp6022 +a(g198 +V( +tp6023 +a(g185 +Vtagfiles +p6024 +tp6025 +a(g198 +V( +tp6026 +a(g198 +V) +tp6027 +a(g198 +V, +tp6028 +a(g185 +V +tp6029 +a(g268 +V'escape(v:val, " \u005c\u005c#%")' +p6030 +tp6031 +a(g198 +V) +tp6032 +a(g198 +V) +tp6033 +a(g185 +V\u000a +tp6034 +a(g185 +V +p6035 +tp6036 +a(g107 +Vlet +p6037 +tp6038 +a(g185 +V +tp6039 +a(g185 +Vext_constants +p6040 +tp6041 +a(g185 +V +tp6042 +a(g198 +V= +tp6043 +a(g185 +V +tp6044 +a(g185 +V{ +tp6045 +a(g185 +V} +tp6046 +a(g185 +V\u000a +tp6047 +a(g185 +V +p6048 +tp6049 +a(g107 +Vif +p6050 +tp6051 +a(g185 +V +tp6052 +a(g185 +Vfnames +p6053 +tp6054 +a(g185 +V +tp6055 +a(g198 +V! +tp6056 +a(g198 +V= +tp6057 +a(g185 +V +tp6058 +a(g268 +V'' +p6059 +tp6060 +a(g185 +V\u000a +tp6061 +a(g185 +V +p6062 +tp6063 +a(g185 +Vexe +p6064 +tp6065 +a(g185 +V +tp6066 +a(g268 +V'silent! vimgrep /^' +p6067 +tp6068 +a(g185 +V. +tp6069 +a(g185 +Va +tp6070 +a(g185 +V: +tp6071 +a(g185 +Vbase +p6072 +tp6073 +a(g185 +V. +tp6074 +a(g268 +V'.*\u005ctd\u005c(\u005ct\u005c|$\u005c)/j ' +p6075 +tp6076 +a(g185 +V. +tp6077 +a(g185 +Vfnames +p6078 +tp6079 +a(g185 +V\u000a +tp6080 +a(g185 +V +p6081 +tp6082 +a(g107 +Vlet +p6083 +tp6084 +a(g185 +V +tp6085 +a(g185 +Vqflist +p6086 +tp6087 +a(g185 +V +tp6088 +a(g198 +V= +tp6089 +a(g185 +V +tp6090 +a(g185 +Vgetqflist +p6091 +tp6092 +a(g198 +V( +tp6093 +a(g198 +V) +tp6094 +a(g185 +V\u000a +tp6095 +a(g185 +V +p6096 +tp6097 +a(g107 +Vif +p6098 +tp6099 +a(g185 +V +tp6100 +a(g185 +Vlen +p6101 +tp6102 +a(g198 +V( +tp6103 +a(g185 +Vqflist +p6104 +tp6105 +a(g198 +V) +tp6106 +a(g185 +V +tp6107 +a(g198 +V> +tp6108 +a(g185 +V +tp6109 +a(g209 +V0 +tp6110 +a(g185 +V\u000a +tp6111 +a(g185 +V +p6112 +tp6113 +a(g107 +Vfor +p6114 +tp6115 +a(g185 +V +tp6116 +a(g185 +Vfield +p6117 +tp6118 +a(g185 +V +tp6119 +a(g107 +Vin +p6120 +tp6121 +a(g185 +V +tp6122 +a(g185 +Vqflist +p6123 +tp6124 +a(g185 +V\u000a +tp6125 +a(g185 +V +p6126 +tp6127 +a(g107 +Vlet +p6128 +tp6129 +a(g185 +V +tp6130 +a(g185 +Vitem +p6131 +tp6132 +a(g185 +V +tp6133 +a(g198 +V= +tp6134 +a(g185 +V +tp6135 +a(g185 +Vmatchstr +p6136 +tp6137 +a(g198 +V( +tp6138 +a(g185 +Vfield +p6139 +tp6140 +a(g185 +V[ +tp6141 +a(g268 +V'text' +p6142 +tp6143 +a(g185 +V] +tp6144 +a(g198 +V, +tp6145 +a(g185 +V +tp6146 +a(g268 +V'^[^[:space:]]\u005c+' +p6147 +tp6148 +a(g198 +V) +tp6149 +a(g185 +V\u000a +tp6150 +a(g185 +V +p6151 +tp6152 +a(g107 +Vlet +p6153 +tp6154 +a(g185 +V +tp6155 +a(g185 +Vext_constants +p6156 +tp6157 +a(g185 +V[ +tp6158 +a(g185 +Vitem +p6159 +tp6160 +a(g185 +V] +tp6161 +a(g185 +V +tp6162 +a(g198 +V= +tp6163 +a(g185 +V +tp6164 +a(g268 +V'' +p6165 +tp6166 +a(g185 +V\u000a +tp6167 +a(g185 +V +p6168 +tp6169 +a(g107 +Vendfor +p6170 +tp6171 +a(g185 +V\u000a +tp6172 +a(g185 +V +p6173 +tp6174 +a(g107 +Vendif +p6175 +tp6176 +a(g185 +V\u000a +tp6177 +a(g185 +V +p6178 +tp6179 +a(g107 +Vendif +p6180 +tp6181 +a(g185 +V\u000a +tp6182 +a(g6 +V\u000a " All constants +p6183 +tp6184 +a(g185 +V\u000a +tp6185 +a(g185 +V +p6186 +tp6187 +a(g107 +Vcall +p6188 +tp6189 +a(g185 +V +tp6190 +a(g185 +Vextend +p6191 +tp6192 +a(g198 +V( +tp6193 +a(g185 +Vint_constants +p6194 +tp6195 +a(g198 +V, +tp6196 +a(g185 +V +tp6197 +a(g185 +Vext_constants +p6198 +tp6199 +a(g198 +V) +tp6200 +a(g185 +V\u000a +tp6201 +a(g6 +V " Treat keywords as constants +p6202 +tp6203 +a(g185 +V\u000a +tp6204 +a(g185 +V\u000a +tp6205 +a(g185 +V +p6206 +tp6207 +a(g107 +Vlet +p6208 +tp6209 +a(g185 +V +tp6210 +a(g185 +Vall_values +p6211 +tp6212 +a(g185 +V +tp6213 +a(g198 +V= +tp6214 +a(g185 +V +tp6215 +a(g185 +V{ +tp6216 +a(g185 +V} +tp6217 +a(g185 +V\u000a +tp6218 +a(g6 +V\u000a " One big dictionary of functions +p6219 +tp6220 +a(g185 +V\u000a +tp6221 +a(g185 +V +p6222 +tp6223 +a(g107 +Vcall +p6224 +tp6225 +a(g185 +V +tp6226 +a(g185 +Vextend +p6227 +tp6228 +a(g198 +V( +tp6229 +a(g185 +Vall_values +p6230 +tp6231 +a(g198 +V, +tp6232 +a(g185 +V +tp6233 +a(g185 +Vint_functions +p6234 +tp6235 +a(g198 +V) +tp6236 +a(g185 +V\u000a +tp6237 +a(g6 +V\u000a " Add constants +p6238 +tp6239 +a(g185 +V\u000a +tp6240 +a(g185 +V +p6241 +tp6242 +a(g107 +Vcall +p6243 +tp6244 +a(g185 +V +tp6245 +a(g185 +Vextend +p6246 +tp6247 +a(g198 +V( +tp6248 +a(g185 +Vall_values +p6249 +tp6250 +a(g198 +V, +tp6251 +a(g185 +V +tp6252 +a(g185 +Vint_constants +p6253 +tp6254 +a(g198 +V) +tp6255 +a(g185 +V\u000a +tp6256 +a(g6 +V " Add keywords +p6257 +tp6258 +a(g185 +V\u000a +tp6259 +a(g185 +V +p6260 +tp6261 +a(g107 +Vcall +p6262 +tp6263 +a(g185 +V +tp6264 +a(g185 +Vextend +p6265 +tp6266 +a(g198 +V( +tp6267 +a(g185 +Vall_values +p6268 +tp6269 +a(g198 +V, +tp6270 +a(g185 +V +tp6271 +a(g185 +Vg +tp6272 +a(g185 +V: +tp6273 +a(g185 +Vphp_keywords +p6274 +tp6275 +a(g198 +V) +tp6276 +a(g185 +V\u000a +tp6277 +a(g185 +V\u000a +tp6278 +a(g185 +V +p6279 +tp6280 +a(g107 +Vfor +p6281 +tp6282 +a(g185 +V +tp6283 +a(g107 +Vm +tp6284 +a(g185 +V +tp6285 +a(g107 +Vin +p6286 +tp6287 +a(g185 +V +tp6288 +a(g107 +Vsort +p6289 +tp6290 +a(g198 +V( +tp6291 +a(g185 +Vkeys +p6292 +tp6293 +a(g198 +V( +tp6294 +a(g185 +Vall_values +p6295 +tp6296 +a(g198 +V) +tp6297 +a(g198 +V) +tp6298 +a(g185 +V\u000a +tp6299 +a(g185 +V +p6300 +tp6301 +a(g107 +Vif +p6302 +tp6303 +a(g185 +V +tp6304 +a(g107 +Vm +tp6305 +a(g185 +V +tp6306 +a(g198 +V= +tp6307 +a(g198 +V~ +tp6308 +a(g185 +V +tp6309 +a(g268 +V'^' +p6310 +tp6311 +a(g185 +V. +tp6312 +a(g185 +Va +tp6313 +a(g185 +V: +tp6314 +a(g185 +Vbase +p6315 +tp6316 +a(g185 +V\u000a +tp6317 +a(g185 +V +p6318 +tp6319 +a(g107 +Vcall +p6320 +tp6321 +a(g185 +V +tp6322 +a(g185 +Vadd +p6323 +tp6324 +a(g198 +V( +tp6325 +a(g107 +Vres +p6326 +tp6327 +a(g198 +V, +tp6328 +a(g185 +V +tp6329 +a(g107 +Vm +tp6330 +a(g198 +V) +tp6331 +a(g185 +V\u000a +tp6332 +a(g185 +V +p6333 +tp6334 +a(g107 +Vendif +p6335 +tp6336 +a(g185 +V\u000a +tp6337 +a(g185 +V +p6338 +tp6339 +a(g107 +Vendfor +p6340 +tp6341 +a(g185 +V\u000a +tp6342 +a(g185 +V\u000a +tp6343 +a(g185 +V +p6344 +tp6345 +a(g107 +Vlet +p6346 +tp6347 +a(g185 +V +tp6348 +a(g185 +Vint_list +p6349 +tp6350 +a(g185 +V +tp6351 +a(g198 +V= +tp6352 +a(g185 +V +tp6353 +a(g107 +Vres +p6354 +tp6355 +a(g185 +V\u000a +tp6356 +a(g185 +V\u000a +tp6357 +a(g185 +V +p6358 +tp6359 +a(g107 +Vlet +p6360 +tp6361 +a(g185 +V +tp6362 +a(g185 +Vfinal_list +p6363 +tp6364 +a(g185 +V +tp6365 +a(g198 +V= +tp6366 +a(g185 +V +tp6367 +a(g185 +V[ +tp6368 +a(g185 +V] +tp6369 +a(g185 +V\u000a +tp6370 +a(g185 +V +p6371 +tp6372 +a(g107 +Vfor +p6373 +tp6374 +a(g185 +V +tp6375 +a(g185 +Vi +tp6376 +a(g185 +V +tp6377 +a(g107 +Vin +p6378 +tp6379 +a(g185 +V +tp6380 +a(g185 +Vint_list +p6381 +tp6382 +a(g185 +V\u000a +tp6383 +a(g185 +V +p6384 +tp6385 +a(g107 +Vif +p6386 +tp6387 +a(g185 +V +tp6388 +a(g185 +Vhas_key +p6389 +tp6390 +a(g198 +V( +tp6391 +a(g185 +Vint_functions +p6392 +tp6393 +a(g198 +V, +tp6394 +a(g185 +V +tp6395 +a(g185 +Vi +tp6396 +a(g198 +V) +tp6397 +a(g185 +V\u000a +tp6398 +a(g185 +V +p6399 +tp6400 +a(g107 +Vlet +p6401 +tp6402 +a(g185 +V +tp6403 +a(g185 +Vfinal_list +p6404 +tp6405 +a(g185 +V +tp6406 +a(g198 +V+ +tp6407 +a(g198 +V= +tp6408 +a(g185 +V\u000a +tp6409 +a(g185 +V +p6410 +tp6411 +a(g185 +V\u005c +tp6412 +a(g185 +V +tp6413 +a(g185 +V[ +tp6414 +a(g185 +V{ +tp6415 +a(g268 +V'word' +p6416 +tp6417 +a(g185 +V: +tp6418 +a(g185 +Vi +tp6419 +a(g198 +V, +tp6420 +a(g185 +V\u000a +tp6421 +a(g185 +V +p6422 +tp6423 +a(g185 +V\u005c +tp6424 +a(g185 +V +p6425 +tp6426 +a(g268 +V'info' +p6427 +tp6428 +a(g185 +V: +tp6429 +a(g185 +Vi +tp6430 +a(g185 +V. +tp6431 +a(g185 +Vint_functions +p6432 +tp6433 +a(g185 +V[ +tp6434 +a(g185 +Vi +tp6435 +a(g185 +V] +tp6436 +a(g198 +V, +tp6437 +a(g185 +V\u000a +tp6438 +a(g185 +V +p6439 +tp6440 +a(g185 +V\u005c +tp6441 +a(g185 +V +p6442 +tp6443 +a(g268 +V'kind' +p6444 +tp6445 +a(g185 +V: +tp6446 +a(g268 +V'f' +p6447 +tp6448 +a(g185 +V} +tp6449 +a(g185 +V] +tp6450 +a(g185 +V\u000a +tp6451 +a(g185 +V +p6452 +tp6453 +a(g107 +Velseif +p6454 +tp6455 +a(g185 +V +tp6456 +a(g185 +Vhas_key +p6457 +tp6458 +a(g198 +V( +tp6459 +a(g185 +Vint_constants +p6460 +tp6461 +a(g198 +V, +tp6462 +a(g185 +V +tp6463 +a(g185 +Vi +tp6464 +a(g198 +V) +tp6465 +a(g185 +V\u000a +tp6466 +a(g185 +V +p6467 +tp6468 +a(g107 +Vlet +p6469 +tp6470 +a(g185 +V +tp6471 +a(g185 +Vfinal_list +p6472 +tp6473 +a(g185 +V +tp6474 +a(g198 +V+ +tp6475 +a(g198 +V= +tp6476 +a(g185 +V +tp6477 +a(g185 +V[ +tp6478 +a(g185 +V{ +tp6479 +a(g268 +V'word' +p6480 +tp6481 +a(g185 +V: +tp6482 +a(g185 +Vi +tp6483 +a(g198 +V, +tp6484 +a(g185 +V +tp6485 +a(g268 +V'kind' +p6486 +tp6487 +a(g185 +V: +tp6488 +a(g268 +V'd' +p6489 +tp6490 +a(g185 +V} +tp6491 +a(g185 +V] +tp6492 +a(g185 +V\u000a +tp6493 +a(g185 +V +p6494 +tp6495 +a(g107 +Velse +p6496 +tp6497 +a(g185 +V\u000a +tp6498 +a(g185 +V +p6499 +tp6500 +a(g107 +Vlet +p6501 +tp6502 +a(g185 +V +tp6503 +a(g185 +Vfinal_list +p6504 +tp6505 +a(g185 +V +tp6506 +a(g198 +V+ +tp6507 +a(g198 +V= +tp6508 +a(g185 +V +tp6509 +a(g185 +V[ +tp6510 +a(g185 +V{ +tp6511 +a(g268 +V'word' +p6512 +tp6513 +a(g185 +V: +tp6514 +a(g185 +Vi +tp6515 +a(g185 +V} +tp6516 +a(g185 +V] +tp6517 +a(g185 +V\u000a +tp6518 +a(g185 +V +p6519 +tp6520 +a(g107 +Vendif +p6521 +tp6522 +a(g185 +V\u000a +tp6523 +a(g185 +V +p6524 +tp6525 +a(g107 +Vendfor +p6526 +tp6527 +a(g185 +V\u000a +tp6528 +a(g185 +V\u000a +tp6529 +a(g185 +V +p6530 +tp6531 +a(g107 +Vreturn +p6532 +tp6533 +a(g185 +V +tp6534 +a(g185 +Vfinal_list +p6535 +tp6536 +a(g185 +V\u000a +tp6537 +a(g185 +V\u000a +tp6538 +a(g185 +V +tp6539 +a(g107 +Vendif +p6540 +tp6541 +a(g185 +V\u000a +tp6542 +a(g185 +V\u000a +tp6543 +a(g107 +Vendfunction +p6544 +tp6545 +a(g185 +V\u000a +tp6546 +a(g6 +V" vim:set foldmethod=marker: +p6547 +tp6548 +a(g185 +V\u000a +tp6549 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pleac.in.rb b/tests/examplefiles/output/pleac.in.rb new file mode 100644 index 0000000..09e4b02 --- /dev/null +++ b/tests/examplefiles/output/pleac.in.rb @@ -0,0 +1,30549 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV# -*- ruby -*- +p367 +tp368 +a(g189 +V\u000a\u000a +p369 +tp370 +a(g7 +V# Local variables: +p371 +tp372 +a(g189 +V\u000a +tp373 +a(g7 +V# indent-tabs-mode: nil +p374 +tp375 +a(g189 +V\u000a +tp376 +a(g7 +V# ruby-indent-level: 4 +p377 +tp378 +a(g189 +V\u000a +tp379 +a(g7 +V# End: +p380 +tp381 +a(g189 +V\u000a\u000a +p382 +tp383 +a(g7 +V# @@PLEAC@@_NAME +p384 +tp385 +a(g189 +V\u000a +tp386 +a(g7 +V# @@SKIP@@ Ruby +p387 +tp388 +a(g189 +V\u000a\u000a +p389 +tp390 +a(g7 +V# @@PLEAC@@_WEB +p391 +tp392 +a(g189 +V\u000a +tp393 +a(g7 +V# @@SKIP@@ http://www.ruby-lang.org +p394 +tp395 +a(g189 +V\u000a\u000a\u000a +p396 +tp397 +a(g7 +V# @@PLEAC@@_1.0 +p398 +tp399 +a(g189 +V\u000a +tp400 +a(g18 +Vstring +p401 +tp402 +a(g189 +V +tp403 +a(g343 +V= +tp404 +a(g189 +V +tp405 +a(g271 +V'\u005cn' +p406 +tp407 +a(g189 +V +p408 +tp409 +a(g7 +V# two characters, \u005c and an n +p410 +tp411 +a(g189 +V\u000a +tp412 +a(g18 +Vstring +p413 +tp414 +a(g189 +V +tp415 +a(g343 +V= +tp416 +a(g189 +V +tp417 +a(g271 +V'Jon \u005c'Maddog\u005c' Orwant' +p418 +tp419 +a(g189 +V +p420 +tp421 +a(g7 +V# literal single quotes +p422 +tp423 +a(g189 +V\u000a\u000a +p424 +tp425 +a(g18 +Vstring +p426 +tp427 +a(g189 +V +tp428 +a(g343 +V= +tp429 +a(g189 +V +tp430 +a(g245 +V" +tp431 +a(g252 +V\u005cn +p432 +tp433 +a(g245 +V" +tp434 +a(g189 +V +p435 +tp436 +a(g7 +V# a "newline" character +p437 +tp438 +a(g189 +V\u000a +tp439 +a(g18 +Vstring +p440 +tp441 +a(g189 +V +tp442 +a(g343 +V= +tp443 +a(g189 +V +tp444 +a(g245 +V" +tp445 +a(g245 +VJon +p446 +tp447 +a(g252 +V\u005c" +p448 +tp449 +a(g245 +VMaddog +p450 +tp451 +a(g252 +V\u005c" +p452 +tp453 +a(g245 +V Orwant +p454 +tp455 +a(g245 +V" +tp456 +a(g189 +V +p457 +tp458 +a(g7 +V# literal double quotes +p459 +tp460 +a(g189 +V\u000a\u000a +p461 +tp462 +a(g18 +Vstring +p463 +tp464 +a(g189 +V +tp465 +a(g343 +V= +tp466 +a(g189 +V +tp467 +a(g274 +V%q/Jon 'Maddog' Orwant/ +p468 +tp469 +a(g189 +V +p470 +tp471 +a(g7 +V# literal single quotes +p472 +tp473 +a(g189 +V\u000a\u000a +p474 +tp475 +a(g18 +Vstring +p476 +tp477 +a(g189 +V +tp478 +a(g343 +V= +tp479 +a(g189 +V +tp480 +a(g274 +V%q[ +p481 +tp482 +a(g274 +VJon 'Maddog' Orwant +p483 +tp484 +a(g274 +V] +tp485 +a(g189 +V +p486 +tp487 +a(g7 +V# literal single quotes +p488 +tp489 +a(g189 +V\u000a +tp490 +a(g18 +Vstring +p491 +tp492 +a(g189 +V +tp493 +a(g343 +V= +tp494 +a(g189 +V +tp495 +a(g274 +V%q{ +p496 +tp497 +a(g274 +VJon 'Maddog' Orwant +p498 +tp499 +a(g274 +V} +tp500 +a(g189 +V +p501 +tp502 +a(g7 +V# literal single quotes +p503 +tp504 +a(g189 +V\u000a +tp505 +a(g18 +Vstring +p506 +tp507 +a(g189 +V +tp508 +a(g343 +V= +tp509 +a(g189 +V +tp510 +a(g274 +V%q( +p511 +tp512 +a(g274 +VJon 'Maddog' Orwant +p513 +tp514 +a(g274 +V) +tp515 +a(g189 +V +p516 +tp517 +a(g7 +V# literal single quotes +p518 +tp519 +a(g189 +V\u000a +tp520 +a(g18 +Vstring +p521 +tp522 +a(g189 +V +tp523 +a(g343 +V= +tp524 +a(g189 +V +tp525 +a(g274 +V%q< +p526 +tp527 +a(g274 +VJon 'Maddog' Orwant +p528 +tp529 +a(g274 +V> +tp530 +a(g189 +V +p531 +tp532 +a(g7 +V# literal single quotes +p533 +tp534 +a(g189 +V\u000a\u000a +p535 +tp536 +a(g18 +Va +tp537 +a(g189 +V +tp538 +a(g343 +V= +tp539 +a(g189 +V +tp540 +a(g343 +V<< +p541 +tp542 +a(g241 +V" +tp543 +a(g33 +VEOF +p544 +tp545 +a(g241 +V" +tp546 +a(g189 +V\u000a +tp547 +a(g241 +VThis is a multiline here document\u000a +p548 +tp549 +a(g241 +Vterminated by EOF on a line by itself\u000a +p550 +tp551 +a(g33 +VEOF\u000a +p552 +tp553 +a(g189 +V\u000a\u000a +p554 +tp555 +a(g7 +V# @@PLEAC@@_1.1 +p556 +tp557 +a(g189 +V\u000a +tp558 +a(g18 +Vvalue +p559 +tp560 +a(g189 +V +tp561 +a(g343 +V= +tp562 +a(g189 +V +tp563 +a(g18 +Vstring +p564 +tp565 +a(g343 +V[ +tp566 +a(g18 +Voffset +p567 +tp568 +a(g202 +V, +tp569 +a(g18 +Vcount +p570 +tp571 +a(g343 +V] +tp572 +a(g189 +V\u000a +tp573 +a(g18 +Vvalue +p574 +tp575 +a(g189 +V +tp576 +a(g343 +V= +tp577 +a(g189 +V +tp578 +a(g18 +Vstring +p579 +tp580 +a(g343 +V[ +tp581 +a(g18 +Voffset +p582 +tp583 +a(g343 +V. +tp584 +a(g18 +V. +tp585 +a(g343 +V- +tp586 +a(g318 +V1 +tp587 +a(g343 +V] +tp588 +a(g189 +V\u000a\u000a +p589 +tp590 +a(g18 +Vstring +p591 +tp592 +a(g343 +V[ +tp593 +a(g18 +Voffset +p594 +tp595 +a(g202 +V, +tp596 +a(g18 +Vcount +p597 +tp598 +a(g343 +V] +tp599 +a(g189 +V +tp600 +a(g343 +V= +tp601 +a(g189 +V +tp602 +a(g18 +Vnewstring +p603 +tp604 +a(g189 +V\u000a +tp605 +a(g18 +Vstring +p606 +tp607 +a(g343 +V[ +tp608 +a(g18 +Voffset +p609 +tp610 +a(g343 +V. +tp611 +a(g18 +V. +tp612 +a(g343 +V- +tp613 +a(g318 +V1 +tp614 +a(g343 +V] +tp615 +a(g189 +V +p616 +tp617 +a(g343 +V= +tp618 +a(g189 +V +tp619 +a(g18 +Vnewtail +p620 +tp621 +a(g189 +V\u000a\u000a +p622 +tp623 +a(g7 +V# in Ruby we can also specify intervals by their two offsets +p624 +tp625 +a(g189 +V\u000a +tp626 +a(g18 +Vvalue +p627 +tp628 +a(g189 +V +tp629 +a(g343 +V= +tp630 +a(g189 +V +tp631 +a(g18 +Vstring +p632 +tp633 +a(g343 +V[ +tp634 +a(g18 +Voffset +p635 +tp636 +a(g343 +V. +tp637 +a(g18 +V. +tp638 +a(g18 +Voffs2 +p639 +tp640 +a(g343 +V] +tp641 +a(g189 +V\u000a +tp642 +a(g18 +Vstring +p643 +tp644 +a(g343 +V[ +tp645 +a(g18 +Voffset +p646 +tp647 +a(g343 +V. +tp648 +a(g18 +V. +tp649 +a(g18 +Voffs2 +p650 +tp651 +a(g343 +V] +tp652 +a(g189 +V +tp653 +a(g343 +V= +tp654 +a(g189 +V +tp655 +a(g18 +Vnewstring +p656 +tp657 +a(g189 +V\u000a\u000a +p658 +tp659 +a(g18 +Vleading +p660 +tp661 +a(g202 +V, +tp662 +a(g189 +V +tp663 +a(g18 +Vs1 +p664 +tp665 +a(g202 +V, +tp666 +a(g189 +V +tp667 +a(g18 +Vs2 +p668 +tp669 +a(g202 +V, +tp670 +a(g189 +V +tp671 +a(g18 +Vtrailing +p672 +tp673 +a(g189 +V +tp674 +a(g343 +V= +tp675 +a(g189 +V +tp676 +a(g18 +Vdata +p677 +tp678 +a(g343 +V. +tp679 +a(g18 +Vunpack +p680 +tp681 +a(g202 +V( +tp682 +a(g245 +V" +tp683 +a(g245 +VA5 x3 A8 A8 A* +p684 +tp685 +a(g245 +V" +tp686 +a(g202 +V) +tp687 +a(g189 +V\u000a\u000a +p688 +tp689 +a(g18 +Vfivers +p690 +tp691 +a(g189 +V +tp692 +a(g343 +V= +tp693 +a(g189 +V +tp694 +a(g18 +Vstring +p695 +tp696 +a(g343 +V. +tp697 +a(g18 +Vunpack +p698 +tp699 +a(g202 +V( +tp700 +a(g245 +V" +tp701 +a(g245 +VA5 +p702 +tp703 +a(g245 +V" +tp704 +a(g189 +V +tp705 +a(g343 +V* +tp706 +a(g189 +V +tp707 +a(g202 +V( +tp708 +a(g18 +Vstring +p709 +tp710 +a(g343 +V. +tp711 +a(g18 +Vlength +p712 +tp713 +a(g343 +V/ +tp714 +a(g318 +V5 +tp715 +a(g202 +V) +tp716 +a(g202 +V) +tp717 +a(g189 +V\u000a\u000a +p718 +tp719 +a(g18 +Vchars +p720 +tp721 +a(g189 +V +tp722 +a(g343 +V= +tp723 +a(g189 +V +tp724 +a(g18 +Vstring +p725 +tp726 +a(g343 +V. +tp727 +a(g18 +Vunpack +p728 +tp729 +a(g202 +V( +tp730 +a(g245 +V" +tp731 +a(g245 +VA1 +p732 +tp733 +a(g245 +V" +tp734 +a(g189 +V +tp735 +a(g343 +V* +tp736 +a(g189 +V +tp737 +a(g18 +Vstring +p738 +tp739 +a(g343 +V. +tp740 +a(g18 +Vlength +p741 +tp742 +a(g202 +V) +tp743 +a(g189 +V\u000a\u000a +p744 +tp745 +a(g18 +Vstring +p746 +tp747 +a(g189 +V +tp748 +a(g343 +V= +tp749 +a(g189 +V +tp750 +a(g245 +V" +tp751 +a(g245 +VThis is what you have +p752 +tp753 +a(g245 +V" +tp754 +a(g189 +V\u000a +tp755 +a(g7 +V# +012345678901234567890 Indexing forwards (left to right) +p756 +tp757 +a(g189 +V\u000a +tp758 +a(g7 +V# 109876543210987654321- Indexing backwards (right to left) +p759 +tp760 +a(g189 +V\u000a +tp761 +a(g7 +V# note that 0 means 10 or 20, etc. above +p762 +tp763 +a(g189 +V\u000a\u000a +p764 +tp765 +a(g18 +Vfirst +p766 +tp767 +a(g189 +V +p768 +tp769 +a(g343 +V= +tp770 +a(g189 +V +tp771 +a(g18 +Vstring +p772 +tp773 +a(g343 +V[ +tp774 +a(g318 +V0 +tp775 +a(g202 +V, +tp776 +a(g189 +V +tp777 +a(g318 +V1 +tp778 +a(g343 +V] +tp779 +a(g189 +V +p780 +tp781 +a(g7 +V# "T" +p782 +tp783 +a(g189 +V\u000a +tp784 +a(g18 +Vstart +p785 +tp786 +a(g189 +V +p787 +tp788 +a(g343 +V= +tp789 +a(g189 +V +tp790 +a(g18 +Vstring +p791 +tp792 +a(g343 +V[ +tp793 +a(g318 +V5 +tp794 +a(g202 +V, +tp795 +a(g189 +V +tp796 +a(g318 +V2 +tp797 +a(g343 +V] +tp798 +a(g189 +V +p799 +tp800 +a(g7 +V# "is" +p801 +tp802 +a(g189 +V\u000a +tp803 +a(g18 +Vrest +p804 +tp805 +a(g189 +V +p806 +tp807 +a(g343 +V= +tp808 +a(g189 +V +tp809 +a(g18 +Vstring +p810 +tp811 +a(g343 +V[ +tp812 +a(g318 +V13 +p813 +tp814 +a(g343 +V. +tp815 +a(g18 +V. +tp816 +a(g343 +V- +tp817 +a(g318 +V1 +tp818 +a(g343 +V] +tp819 +a(g189 +V +p820 +tp821 +a(g7 +V# "you have" +p822 +tp823 +a(g189 +V\u000a +tp824 +a(g18 +Vlast +p825 +tp826 +a(g189 +V +p827 +tp828 +a(g343 +V= +tp829 +a(g189 +V +tp830 +a(g18 +Vstring +p831 +tp832 +a(g343 +V[ +tp833 +a(g343 +V- +tp834 +a(g318 +V1 +tp835 +a(g202 +V, +tp836 +a(g189 +V +tp837 +a(g318 +V1 +tp838 +a(g343 +V] +tp839 +a(g189 +V +p840 +tp841 +a(g7 +V# "e" +p842 +tp843 +a(g189 +V\u000a +tp844 +a(g18 +Vend_ +p845 +tp846 +a(g189 +V +p847 +tp848 +a(g343 +V= +tp849 +a(g189 +V +tp850 +a(g18 +Vstring +p851 +tp852 +a(g343 +V[ +tp853 +a(g343 +V- +tp854 +a(g318 +V4 +tp855 +a(g343 +V. +tp856 +a(g18 +V. +tp857 +a(g343 +V- +tp858 +a(g318 +V1 +tp859 +a(g343 +V] +tp860 +a(g189 +V +p861 +tp862 +a(g7 +V# "have" +p863 +tp864 +a(g189 +V\u000a +tp865 +a(g18 +Vpiece +p866 +tp867 +a(g189 +V +p868 +tp869 +a(g343 +V= +tp870 +a(g189 +V +tp871 +a(g18 +Vstring +p872 +tp873 +a(g343 +V[ +tp874 +a(g343 +V- +tp875 +a(g318 +V8 +tp876 +a(g202 +V, +tp877 +a(g189 +V +tp878 +a(g318 +V3 +tp879 +a(g343 +V] +tp880 +a(g189 +V +p881 +tp882 +a(g7 +V# "you" +p883 +tp884 +a(g189 +V\u000a\u000a +p885 +tp886 +a(g18 +Vstring +p887 +tp888 +a(g343 +V[ +tp889 +a(g318 +V5 +tp890 +a(g202 +V, +tp891 +a(g189 +V +tp892 +a(g318 +V2 +tp893 +a(g343 +V] +tp894 +a(g189 +V +tp895 +a(g343 +V= +tp896 +a(g189 +V +tp897 +a(g245 +V" +tp898 +a(g245 +Vwasn't +p899 +tp900 +a(g245 +V" +tp901 +a(g189 +V +p902 +tp903 +a(g7 +V# change "is" to "wasn't" +p904 +tp905 +a(g189 +V\u000a +tp906 +a(g18 +Vstring +p907 +tp908 +a(g343 +V[ +tp909 +a(g343 +V- +tp910 +a(g318 +V12 +p911 +tp912 +a(g343 +V. +tp913 +a(g18 +V. +tp914 +a(g343 +V- +tp915 +a(g318 +V1 +tp916 +a(g343 +V] +tp917 +a(g189 +V +tp918 +a(g343 +V= +tp919 +a(g189 +V +tp920 +a(g245 +V" +tp921 +a(g245 +Vondrous +p922 +tp923 +a(g245 +V" +tp924 +a(g189 +V +tp925 +a(g7 +V# "This wasn't wondrous" +p926 +tp927 +a(g189 +V\u000a +tp928 +a(g18 +Vstring +p929 +tp930 +a(g343 +V[ +tp931 +a(g318 +V0 +tp932 +a(g202 +V, +tp933 +a(g189 +V +tp934 +a(g318 +V1 +tp935 +a(g343 +V] +tp936 +a(g189 +V +tp937 +a(g343 +V= +tp938 +a(g189 +V +tp939 +a(g245 +V" +tp940 +a(g245 +V" +tp941 +a(g189 +V +p942 +tp943 +a(g7 +V# delete first character +p944 +tp945 +a(g189 +V\u000a +tp946 +a(g18 +Vstring +p947 +tp948 +a(g343 +V[ +tp949 +a(g343 +V- +tp950 +a(g318 +V10 +p951 +tp952 +a(g343 +V. +tp953 +a(g18 +V. +tp954 +a(g343 +V- +tp955 +a(g318 +V1 +tp956 +a(g343 +V] +tp957 +a(g189 +V +p958 +tp959 +a(g343 +V= +tp960 +a(g189 +V +tp961 +a(g245 +V" +tp962 +a(g245 +V" +tp963 +a(g189 +V +p964 +tp965 +a(g7 +V# delete last 10 characters +p966 +tp967 +a(g189 +V\u000a\u000a +p968 +tp969 +a(g111 +Vif +p970 +tp971 +a(g189 +V +tp972 +a(g18 +Vstring +p973 +tp974 +a(g343 +V[ +tp975 +a(g343 +V- +tp976 +a(g318 +V10 +p977 +tp978 +a(g343 +V. +tp979 +a(g18 +V. +tp980 +a(g343 +V- +tp981 +a(g318 +V1 +tp982 +a(g343 +V] +tp983 +a(g189 +V +tp984 +a(g343 +V=~ +p985 +tp986 +a(g189 +V +tp987 +a(g229 +V/ +tp988 +a(g229 +Vpattern +p989 +tp990 +a(g229 +V/ +tp991 +a(g189 +V\u000a +p992 +tp993 +a(g57 +Vputs +p994 +tp995 +a(g189 +V +tp996 +a(g245 +V" +tp997 +a(g245 +VPattern matches in last 10 characters +p998 +tp999 +a(g245 +V" +tp1000 +a(g189 +V\u000a +tp1001 +a(g111 +Vend +p1002 +tp1003 +a(g189 +V\u000a\u000a +p1004 +tp1005 +a(g18 +Vstring +p1006 +tp1007 +a(g343 +V[ +tp1008 +a(g318 +V0 +tp1009 +a(g202 +V, +tp1010 +a(g189 +V +tp1011 +a(g318 +V5 +tp1012 +a(g343 +V] +tp1013 +a(g343 +V. +tp1014 +a(g18 +Vgsub! +p1015 +tp1016 +a(g202 +V( +tp1017 +a(g229 +V/ +tp1018 +a(g229 +Vis +p1019 +tp1020 +a(g229 +V/ +tp1021 +a(g202 +V, +tp1022 +a(g189 +V +tp1023 +a(g271 +V'at' +p1024 +tp1025 +a(g202 +V) +tp1026 +a(g189 +V\u000a\u000a +p1027 +tp1028 +a(g18 +Va +tp1029 +a(g189 +V +tp1030 +a(g343 +V= +tp1031 +a(g189 +V +tp1032 +a(g245 +V" +tp1033 +a(g245 +Vmake a hat +p1034 +tp1035 +a(g245 +V" +tp1036 +a(g189 +V\u000a +tp1037 +a(g18 +Va +tp1038 +a(g343 +V[ +tp1039 +a(g318 +V0 +tp1040 +a(g202 +V, +tp1041 +a(g189 +V +tp1042 +a(g318 +V1 +tp1043 +a(g343 +V] +tp1044 +a(g202 +V, +tp1045 +a(g189 +V +tp1046 +a(g18 +Va +tp1047 +a(g343 +V[ +tp1048 +a(g343 +V- +tp1049 +a(g318 +V1 +tp1050 +a(g202 +V, +tp1051 +a(g189 +V +tp1052 +a(g318 +V1 +tp1053 +a(g343 +V] +tp1054 +a(g189 +V +tp1055 +a(g343 +V= +tp1056 +a(g189 +V +tp1057 +a(g18 +Va +tp1058 +a(g343 +V[ +tp1059 +a(g343 +V- +tp1060 +a(g318 +V1 +tp1061 +a(g202 +V, +tp1062 +a(g189 +V +tp1063 +a(g318 +V1 +tp1064 +a(g343 +V] +tp1065 +a(g202 +V, +tp1066 +a(g189 +V +tp1067 +a(g18 +Va +tp1068 +a(g343 +V[ +tp1069 +a(g318 +V0 +tp1070 +a(g202 +V, +tp1071 +a(g189 +V +tp1072 +a(g318 +V1 +tp1073 +a(g343 +V] +tp1074 +a(g189 +V\u000a\u000a +p1075 +tp1076 +a(g18 +Va +tp1077 +a(g189 +V +tp1078 +a(g343 +V= +tp1079 +a(g189 +V +tp1080 +a(g245 +V" +tp1081 +a(g245 +VTo be or not to be +p1082 +tp1083 +a(g245 +V" +tp1084 +a(g189 +V\u000a +tp1085 +a(g18 +Vb +tp1086 +a(g189 +V +tp1087 +a(g343 +V= +tp1088 +a(g189 +V +tp1089 +a(g18 +Va +tp1090 +a(g343 +V. +tp1091 +a(g18 +Vunpack +p1092 +tp1093 +a(g202 +V( +tp1094 +a(g245 +V" +tp1095 +a(g245 +Vx6 A6 +p1096 +tp1097 +a(g245 +V" +tp1098 +a(g202 +V) +tp1099 +a(g189 +V\u000a\u000a +p1100 +tp1101 +a(g18 +Vb +tp1102 +a(g202 +V, +tp1103 +a(g189 +V +tp1104 +a(g18 +Vc +tp1105 +a(g189 +V +tp1106 +a(g343 +V= +tp1107 +a(g189 +V +tp1108 +a(g18 +Va +tp1109 +a(g343 +V. +tp1110 +a(g18 +Vunpack +p1111 +tp1112 +a(g202 +V( +tp1113 +a(g245 +V" +tp1114 +a(g245 +Vx6 A2 X5 A2 +p1115 +tp1116 +a(g245 +V" +tp1117 +a(g202 +V) +tp1118 +a(g189 +V\u000a +tp1119 +a(g57 +Vputs +p1120 +tp1121 +a(g189 +V +tp1122 +a(g245 +V" +tp1123 +a(g233 +V#{ +p1124 +tp1125 +a(g18 +Vb +tp1126 +a(g233 +V} +tp1127 +a(g252 +V\u005cn +p1128 +tp1129 +a(g233 +V#{ +p1130 +tp1131 +a(g18 +Vc +tp1132 +a(g233 +V} +tp1133 +a(g252 +V\u005cn +p1134 +tp1135 +a(g245 +V" +tp1136 +a(g189 +V\u000a\u000a +p1137 +tp1138 +a(g111 +Vdef +p1139 +tp1140 +a(g189 +V +tp1141 +a(g21 +Vcut2fmt +p1142 +tp1143 +a(g202 +V( +tp1144 +a(g343 +V* +tp1145 +a(g18 +Vargs +p1146 +tp1147 +a(g202 +V) +tp1148 +a(g189 +V\u000a +p1149 +tp1150 +a(g18 +Vtemplate +p1151 +tp1152 +a(g189 +V +tp1153 +a(g343 +V= +tp1154 +a(g189 +V +tp1155 +a(g271 +V'' +p1156 +tp1157 +a(g189 +V\u000a +p1158 +tp1159 +a(g18 +Vlastpos +p1160 +tp1161 +a(g189 +V +p1162 +tp1163 +a(g343 +V= +tp1164 +a(g189 +V +tp1165 +a(g318 +V1 +tp1166 +a(g189 +V\u000a +p1167 +tp1168 +a(g111 +Vfor +p1169 +tp1170 +a(g189 +V +tp1171 +a(g18 +Vplace +p1172 +tp1173 +a(g189 +V +tp1174 +a(g111 +Vin +p1175 +tp1176 +a(g189 +V +tp1177 +a(g18 +Vargs +p1178 +tp1179 +a(g189 +V\u000a +p1180 +tp1181 +a(g18 +Vtemplate +p1182 +tp1183 +a(g189 +V +tp1184 +a(g343 +V+= +p1185 +tp1186 +a(g189 +V +tp1187 +a(g245 +V" +tp1188 +a(g245 +VA +tp1189 +a(g245 +V" +tp1190 +a(g189 +V +tp1191 +a(g343 +V+ +tp1192 +a(g189 +V +tp1193 +a(g202 +V( +tp1194 +a(g18 +Vplace +p1195 +tp1196 +a(g189 +V +tp1197 +a(g343 +V- +tp1198 +a(g189 +V +tp1199 +a(g18 +Vlastpos +p1200 +tp1201 +a(g202 +V) +tp1202 +a(g343 +V. +tp1203 +a(g18 +Vto_s +p1204 +tp1205 +a(g189 +V +tp1206 +a(g343 +V+ +tp1207 +a(g189 +V +tp1208 +a(g245 +V" +tp1209 +a(g245 +V +tp1210 +a(g245 +V" +tp1211 +a(g189 +V\u000a +p1212 +tp1213 +a(g18 +Vlastpos +p1214 +tp1215 +a(g189 +V +p1216 +tp1217 +a(g343 +V= +tp1218 +a(g189 +V +tp1219 +a(g18 +Vplace +p1220 +tp1221 +a(g189 +V\u000a +p1222 +tp1223 +a(g111 +Vend +p1224 +tp1225 +a(g189 +V\u000a +p1226 +tp1227 +a(g18 +Vtemplate +p1228 +tp1229 +a(g189 +V +tp1230 +a(g343 +V+= +p1231 +tp1232 +a(g189 +V +tp1233 +a(g245 +V" +tp1234 +a(g245 +VA* +p1235 +tp1236 +a(g245 +V" +tp1237 +a(g189 +V\u000a +p1238 +tp1239 +a(g111 +Vreturn +p1240 +tp1241 +a(g189 +V +tp1242 +a(g18 +Vtemplate +p1243 +tp1244 +a(g189 +V\u000a +tp1245 +a(g111 +Vend +p1246 +tp1247 +a(g189 +V\u000a\u000a +p1248 +tp1249 +a(g18 +Vfmt +p1250 +tp1251 +a(g189 +V +tp1252 +a(g343 +V= +tp1253 +a(g189 +V +tp1254 +a(g18 +Vcut2fmt +p1255 +tp1256 +a(g202 +V( +tp1257 +a(g318 +V8 +tp1258 +a(g202 +V, +tp1259 +a(g189 +V +tp1260 +a(g318 +V14 +p1261 +tp1262 +a(g202 +V, +tp1263 +a(g189 +V +tp1264 +a(g318 +V20 +p1265 +tp1266 +a(g202 +V, +tp1267 +a(g189 +V +tp1268 +a(g318 +V26 +p1269 +tp1270 +a(g202 +V, +tp1271 +a(g189 +V +tp1272 +a(g318 +V30 +p1273 +tp1274 +a(g202 +V) +tp1275 +a(g189 +V\u000a\u000a\u000a +p1276 +tp1277 +a(g7 +V# @@PLEAC@@_1.2 +p1278 +tp1279 +a(g189 +V\u000a +tp1280 +a(g7 +V# careful! "b is true" doesn't mean "b != 0" (0 is true in Ruby) +p1281 +tp1282 +a(g189 +V\u000a +tp1283 +a(g7 +V# thus no problem of "defined" later since only nil is false +p1284 +tp1285 +a(g189 +V\u000a +tp1286 +a(g7 +V# the following sets to `c' if `b' is nil or false +p1287 +tp1288 +a(g189 +V\u000a +tp1289 +a(g18 +Va +tp1290 +a(g189 +V +tp1291 +a(g343 +V= +tp1292 +a(g189 +V +tp1293 +a(g18 +Vb +tp1294 +a(g189 +V +tp1295 +a(g343 +V|| +p1296 +tp1297 +a(g189 +V +tp1298 +a(g18 +Vc +tp1299 +a(g189 +V\u000a\u000a +p1300 +tp1301 +a(g7 +V# if you need Perl's behaviour (setting to `c' if `b' is 0) the most +p1302 +tp1303 +a(g189 +V\u000a +tp1304 +a(g7 +V# effective way is to use Numeric#nonzero? (thanks to Dave Thomas!) +p1305 +tp1306 +a(g189 +V\u000a +tp1307 +a(g18 +Va +tp1308 +a(g189 +V +tp1309 +a(g343 +V= +tp1310 +a(g189 +V +tp1311 +a(g18 +Vb +tp1312 +a(g343 +V. +tp1313 +a(g18 +Vnonzero? +p1314 +tp1315 +a(g189 +V +tp1316 +a(g343 +V|| +p1317 +tp1318 +a(g189 +V +tp1319 +a(g18 +Vc +tp1320 +a(g189 +V\u000a\u000a +p1321 +tp1322 +a(g7 +V# you will still want to use defined? in order to test +p1323 +tp1324 +a(g189 +V\u000a +tp1325 +a(g7 +V# for scope existence of a given object +p1326 +tp1327 +a(g189 +V\u000a +tp1328 +a(g18 +Va +tp1329 +a(g189 +V +tp1330 +a(g343 +V= +tp1331 +a(g189 +V +tp1332 +a(g18 +Vdefined? +p1333 +tp1334 +a(g202 +V( +tp1335 +a(g18 +Vb +tp1336 +a(g202 +V) +tp1337 +a(g189 +V +tp1338 +a(g202 +V? +tp1339 +a(g189 +V +tp1340 +a(g18 +Vb +tp1341 +a(g189 +V +tp1342 +a(g202 +V: +tp1343 +a(g189 +V +tp1344 +a(g18 +Vc +tp1345 +a(g189 +V\u000a\u000a +p1346 +tp1347 +a(g18 +Vdir +p1348 +tp1349 +a(g189 +V +tp1350 +a(g343 +V= +tp1351 +a(g189 +V +tp1352 +a(g33 +VARGV +p1353 +tp1354 +a(g343 +V. +tp1355 +a(g18 +Vshift +p1356 +tp1357 +a(g189 +V +tp1358 +a(g343 +V|| +p1359 +tp1360 +a(g189 +V +tp1361 +a(g245 +V" +tp1362 +a(g245 +V/tmp +p1363 +tp1364 +a(g245 +V" +tp1365 +a(g189 +V\u000a\u000a\u000a +p1366 +tp1367 +a(g7 +V# @@PLEAC@@_1.3 +p1368 +tp1369 +a(g189 +V\u000a +tp1370 +a(g18 +Vv1 +p1371 +tp1372 +a(g202 +V, +tp1373 +a(g189 +V +tp1374 +a(g18 +Vv2 +p1375 +tp1376 +a(g189 +V +tp1377 +a(g343 +V= +tp1378 +a(g189 +V +tp1379 +a(g18 +Vv2 +p1380 +tp1381 +a(g202 +V, +tp1382 +a(g189 +V +tp1383 +a(g18 +Vv1 +p1384 +tp1385 +a(g189 +V\u000a\u000a +p1386 +tp1387 +a(g18 +Valpha +p1388 +tp1389 +a(g202 +V, +tp1390 +a(g189 +V +tp1391 +a(g18 +Vbeta +p1392 +tp1393 +a(g202 +V, +tp1394 +a(g189 +V +tp1395 +a(g18 +Vproduction +p1396 +tp1397 +a(g189 +V +tp1398 +a(g343 +V= +tp1399 +a(g189 +V +tp1400 +a(g274 +V%w( +p1401 +tp1402 +a(g274 +VJanuary March August +p1403 +tp1404 +a(g274 +V) +tp1405 +a(g189 +V\u000a +tp1406 +a(g18 +Valpha +p1407 +tp1408 +a(g202 +V, +tp1409 +a(g189 +V +tp1410 +a(g18 +Vbeta +p1411 +tp1412 +a(g202 +V, +tp1413 +a(g189 +V +tp1414 +a(g18 +Vproduction +p1415 +tp1416 +a(g189 +V +tp1417 +a(g343 +V= +tp1418 +a(g189 +V +tp1419 +a(g18 +Vbeta +p1420 +tp1421 +a(g202 +V, +tp1422 +a(g189 +V +tp1423 +a(g18 +Vproduction +p1424 +tp1425 +a(g202 +V, +tp1426 +a(g189 +V +tp1427 +a(g18 +Valpha +p1428 +tp1429 +a(g189 +V\u000a\u000a\u000a +p1430 +tp1431 +a(g7 +V# @@PLEAC@@_1.4 +p1432 +tp1433 +a(g189 +V\u000a +tp1434 +a(g18 +Vnum +p1435 +tp1436 +a(g189 +V +tp1437 +a(g343 +V= +tp1438 +a(g189 +V +tp1439 +a(g18 +Vchar +p1440 +tp1441 +a(g343 +V[ +tp1442 +a(g318 +V0 +tp1443 +a(g343 +V] +tp1444 +a(g189 +V\u000a +tp1445 +a(g18 +Vchar +p1446 +tp1447 +a(g189 +V +tp1448 +a(g343 +V= +tp1449 +a(g189 +V +tp1450 +a(g18 +Vnum +p1451 +tp1452 +a(g343 +V. +tp1453 +a(g18 +Vchr +p1454 +tp1455 +a(g189 +V\u000a\u000a +p1456 +tp1457 +a(g7 +V# Ruby also supports having a char from character constant +p1458 +tp1459 +a(g189 +V\u000a +tp1460 +a(g18 +Vnum +p1461 +tp1462 +a(g189 +V +tp1463 +a(g343 +V= +tp1464 +a(g189 +V +tp1465 +a(g268 +V?r +p1466 +tp1467 +a(g189 +V\u000a\u000a +p1468 +tp1469 +a(g18 +Vchar +p1470 +tp1471 +a(g189 +V +tp1472 +a(g343 +V= +tp1473 +a(g189 +V +tp1474 +a(g57 +Vsprintf +p1475 +tp1476 +a(g202 +V( +tp1477 +a(g245 +V" +tp1478 +a(g245 +V%c +p1479 +tp1480 +a(g245 +V" +tp1481 +a(g202 +V, +tp1482 +a(g189 +V +tp1483 +a(g18 +Vnum +p1484 +tp1485 +a(g202 +V) +tp1486 +a(g189 +V\u000a +tp1487 +a(g57 +Vprintf +p1488 +tp1489 +a(g202 +V( +tp1490 +a(g245 +V" +tp1491 +a(g245 +VNumber %d is character %c +p1492 +tp1493 +a(g252 +V\u005cn +p1494 +tp1495 +a(g245 +V" +tp1496 +a(g202 +V, +tp1497 +a(g189 +V +tp1498 +a(g18 +Vnum +p1499 +tp1500 +a(g202 +V, +tp1501 +a(g189 +V +tp1502 +a(g18 +Vnum +p1503 +tp1504 +a(g202 +V) +tp1505 +a(g189 +V\u000a\u000a +p1506 +tp1507 +a(g18 +Vascii +p1508 +tp1509 +a(g189 +V +tp1510 +a(g343 +V= +tp1511 +a(g189 +V +tp1512 +a(g18 +Vstring +p1513 +tp1514 +a(g343 +V. +tp1515 +a(g18 +Vunpack +p1516 +tp1517 +a(g202 +V( +tp1518 +a(g245 +V" +tp1519 +a(g245 +VC* +p1520 +tp1521 +a(g245 +V" +tp1522 +a(g202 +V) +tp1523 +a(g189 +V\u000a +tp1524 +a(g18 +Vstring +p1525 +tp1526 +a(g189 +V +tp1527 +a(g343 +V= +tp1528 +a(g189 +V +tp1529 +a(g18 +Vascii +p1530 +tp1531 +a(g343 +V. +tp1532 +a(g18 +Vpack +p1533 +tp1534 +a(g202 +V( +tp1535 +a(g245 +V" +tp1536 +a(g245 +VC* +p1537 +tp1538 +a(g245 +V" +tp1539 +a(g202 +V) +tp1540 +a(g189 +V\u000a\u000a +p1541 +tp1542 +a(g18 +Vhal +p1543 +tp1544 +a(g189 +V +tp1545 +a(g343 +V= +tp1546 +a(g189 +V +tp1547 +a(g245 +V" +tp1548 +a(g245 +VHAL +p1549 +tp1550 +a(g245 +V" +tp1551 +a(g189 +V\u000a +tp1552 +a(g18 +Vascii +p1553 +tp1554 +a(g189 +V +tp1555 +a(g343 +V= +tp1556 +a(g189 +V +tp1557 +a(g18 +Vhal +p1558 +tp1559 +a(g343 +V. +tp1560 +a(g18 +Vunpack +p1561 +tp1562 +a(g202 +V( +tp1563 +a(g245 +V" +tp1564 +a(g245 +VC* +p1565 +tp1566 +a(g245 +V" +tp1567 +a(g202 +V) +tp1568 +a(g189 +V\u000a +tp1569 +a(g7 +V# We can't use Array#each since we can't mutate a Fixnum +p1570 +tp1571 +a(g189 +V\u000a +tp1572 +a(g18 +Vascii +p1573 +tp1574 +a(g343 +V. +tp1575 +a(g18 +Vcollect! +p1576 +tp1577 +a(g189 +V +tp1578 +a(g202 +V{ +tp1579 +a(g189 +V +tp1580 +a(g343 +V| +tp1581 +a(g18 +Vi +tp1582 +a(g343 +V| +tp1583 +a(g189 +V\u000a +p1584 +tp1585 +a(g18 +Vi +tp1586 +a(g189 +V +tp1587 +a(g343 +V+ +tp1588 +a(g189 +V +tp1589 +a(g318 +V1 +tp1590 +a(g189 +V +p1591 +tp1592 +a(g7 +V# add one to each ASCII value +p1593 +tp1594 +a(g189 +V\u000a +tp1595 +a(g202 +V} +tp1596 +a(g189 +V \u000a +p1597 +tp1598 +a(g18 +Vibm +p1599 +tp1600 +a(g189 +V +tp1601 +a(g343 +V= +tp1602 +a(g189 +V +tp1603 +a(g18 +Vascii +p1604 +tp1605 +a(g343 +V. +tp1606 +a(g18 +Vpack +p1607 +tp1608 +a(g202 +V( +tp1609 +a(g245 +V" +tp1610 +a(g245 +VC* +p1611 +tp1612 +a(g245 +V" +tp1613 +a(g202 +V) +tp1614 +a(g189 +V\u000a +tp1615 +a(g57 +Vputs +p1616 +tp1617 +a(g189 +V +tp1618 +a(g18 +Vibm +p1619 +tp1620 +a(g189 +V\u000a\u000a\u000a +p1621 +tp1622 +a(g7 +V# @@PLEAC@@_1.5 +p1623 +tp1624 +a(g189 +V\u000a +tp1625 +a(g18 +Varray +p1626 +tp1627 +a(g189 +V +tp1628 +a(g343 +V= +tp1629 +a(g189 +V +tp1630 +a(g18 +Vstring +p1631 +tp1632 +a(g343 +V. +tp1633 +a(g18 +Vsplit +p1634 +tp1635 +a(g202 +V( +tp1636 +a(g271 +V'' +p1637 +tp1638 +a(g202 +V) +tp1639 +a(g189 +V\u000a\u000a +p1640 +tp1641 +a(g18 +Varray +p1642 +tp1643 +a(g189 +V +tp1644 +a(g343 +V= +tp1645 +a(g189 +V +tp1646 +a(g18 +Vstring +p1647 +tp1648 +a(g343 +V. +tp1649 +a(g18 +Vunpack +p1650 +tp1651 +a(g202 +V( +tp1652 +a(g245 +V" +tp1653 +a(g245 +VC* +p1654 +tp1655 +a(g245 +V" +tp1656 +a(g202 +V) +tp1657 +a(g189 +V\u000a\u000a +p1658 +tp1659 +a(g18 +Vstring +p1660 +tp1661 +a(g343 +V. +tp1662 +a(g18 +Vscan +p1663 +tp1664 +a(g202 +V( +tp1665 +a(g229 +V/ +tp1666 +a(g229 +V. +tp1667 +a(g229 +V/ +tp1668 +a(g202 +V) +tp1669 +a(g189 +V +tp1670 +a(g202 +V{ +tp1671 +a(g189 +V +tp1672 +a(g343 +V| +tp1673 +a(g18 +Vb +tp1674 +a(g343 +V| +tp1675 +a(g189 +V\u000a +p1676 +tp1677 +a(g7 +V# do something with b +p1678 +tp1679 +a(g189 +V\u000a +tp1680 +a(g202 +V} +tp1681 +a(g189 +V\u000a\u000a +p1682 +tp1683 +a(g18 +Vstring +p1684 +tp1685 +a(g189 +V +tp1686 +a(g343 +V= +tp1687 +a(g189 +V +tp1688 +a(g245 +V" +tp1689 +a(g245 +Van apple a day +p1690 +tp1691 +a(g245 +V" +tp1692 +a(g189 +V\u000a +tp1693 +a(g57 +Vprint +p1694 +tp1695 +a(g189 +V +tp1696 +a(g245 +V" +tp1697 +a(g245 +Vunique chars are: +p1698 +tp1699 +a(g245 +V" +tp1700 +a(g202 +V, +tp1701 +a(g189 +V +tp1702 +a(g18 +Vstring +p1703 +tp1704 +a(g343 +V. +tp1705 +a(g18 +Vsplit +p1706 +tp1707 +a(g202 +V( +tp1708 +a(g271 +V'' +p1709 +tp1710 +a(g202 +V) +tp1711 +a(g343 +V. +tp1712 +a(g18 +Vuniq +p1713 +tp1714 +a(g343 +V. +tp1715 +a(g18 +Vsort +p1716 +tp1717 +a(g202 +V, +tp1718 +a(g189 +V +tp1719 +a(g245 +V" +tp1720 +a(g252 +V\u005cn +p1721 +tp1722 +a(g245 +V" +tp1723 +a(g189 +V\u000a\u000a +p1724 +tp1725 +a(g18 +Vsum +p1726 +tp1727 +a(g189 +V +tp1728 +a(g343 +V= +tp1729 +a(g189 +V +tp1730 +a(g318 +V0 +tp1731 +a(g189 +V\u000a +tp1732 +a(g111 +Vfor +p1733 +tp1734 +a(g189 +V +tp1735 +a(g18 +Vascval +p1736 +tp1737 +a(g189 +V +tp1738 +a(g111 +Vin +p1739 +tp1740 +a(g189 +V +tp1741 +a(g18 +Vstring +p1742 +tp1743 +a(g343 +V. +tp1744 +a(g18 +Vunpack +p1745 +tp1746 +a(g202 +V( +tp1747 +a(g245 +V" +tp1748 +a(g245 +VC* +p1749 +tp1750 +a(g245 +V" +tp1751 +a(g202 +V) +tp1752 +a(g189 +V +tp1753 +a(g7 +V# or use Array#each for a pure OO style :) +p1754 +tp1755 +a(g189 +V\u000a +p1756 +tp1757 +a(g18 +Vsum +p1758 +tp1759 +a(g189 +V +tp1760 +a(g343 +V+= +p1761 +tp1762 +a(g189 +V +tp1763 +a(g18 +Vascval +p1764 +tp1765 +a(g189 +V\u000a +tp1766 +a(g111 +Vend +p1767 +tp1768 +a(g189 +V\u000a +tp1769 +a(g57 +Vputs +p1770 +tp1771 +a(g189 +V +tp1772 +a(g245 +V" +tp1773 +a(g245 +Vsum is +p1774 +tp1775 +a(g233 +V#{ +p1776 +tp1777 +a(g18 +Vsum +p1778 +tp1779 +a(g189 +V +tp1780 +a(g343 +V& +tp1781 +a(g189 +V +tp1782 +a(g314 +V0xffffffff +p1783 +tp1784 +a(g233 +V} +tp1785 +a(g245 +V" +tp1786 +a(g189 +V +tp1787 +a(g7 +V# since Ruby will go Bignum if necessary +p1788 +tp1789 +a(g189 +V\u000a\u000a +p1790 +tp1791 +a(g7 +V# @@INCLUDE@@ include/ruby/slowcat.rb +p1792 +tp1793 +a(g189 +V\u000a\u000a\u000a +p1794 +tp1795 +a(g7 +V# @@PLEAC@@_1.6 +p1796 +tp1797 +a(g189 +V\u000a +tp1798 +a(g18 +Vrevbytes +p1799 +tp1800 +a(g189 +V +tp1801 +a(g343 +V= +tp1802 +a(g189 +V +tp1803 +a(g18 +Vstring +p1804 +tp1805 +a(g343 +V. +tp1806 +a(g18 +Vreverse +p1807 +tp1808 +a(g189 +V\u000a\u000a +p1809 +tp1810 +a(g18 +Vrevwords +p1811 +tp1812 +a(g189 +V +tp1813 +a(g343 +V= +tp1814 +a(g189 +V +tp1815 +a(g18 +Vstring +p1816 +tp1817 +a(g343 +V. +tp1818 +a(g18 +Vsplit +p1819 +tp1820 +a(g202 +V( +tp1821 +a(g245 +V" +tp1822 +a(g245 +V +tp1823 +a(g245 +V" +tp1824 +a(g202 +V) +tp1825 +a(g343 +V. +tp1826 +a(g18 +Vreverse +p1827 +tp1828 +a(g343 +V. +tp1829 +a(g18 +Vjoin +p1830 +tp1831 +a(g202 +V( +tp1832 +a(g245 +V" +tp1833 +a(g245 +V +tp1834 +a(g245 +V" +tp1835 +a(g202 +V) +tp1836 +a(g189 +V\u000a\u000a +p1837 +tp1838 +a(g18 +Vrevwords +p1839 +tp1840 +a(g189 +V +tp1841 +a(g343 +V= +tp1842 +a(g189 +V +tp1843 +a(g18 +Vstring +p1844 +tp1845 +a(g343 +V. +tp1846 +a(g18 +Vsplit +p1847 +tp1848 +a(g202 +V( +tp1849 +a(g229 +V/ +tp1850 +a(g229 +V( +tp1851 +a(g229 +V\u005c +tp1852 +a(g229 +Vs+) +p1853 +tp1854 +a(g229 +V/ +tp1855 +a(g202 +V) +tp1856 +a(g343 +V. +tp1857 +a(g18 +Vreverse +p1858 +tp1859 +a(g343 +V. +tp1860 +a(g18 +Vjoin +p1861 +tp1862 +a(g189 +V\u000a\u000a +p1863 +tp1864 +a(g7 +V# using the fact that IO is Enumerable, you can directly "select" it +p1865 +tp1866 +a(g189 +V\u000a +tp1867 +a(g18 +Vlong_palindromes +p1868 +tp1869 +a(g189 +V +tp1870 +a(g343 +V= +tp1871 +a(g189 +V +tp1872 +a(g33 +VFile +p1873 +tp1874 +a(g343 +V. +tp1875 +a(g18 +Vopen +p1876 +tp1877 +a(g202 +V( +tp1878 +a(g245 +V" +tp1879 +a(g245 +V/usr/share/dict/words +p1880 +tp1881 +a(g245 +V" +tp1882 +a(g202 +V) +tp1883 +a(g343 +V. +tp1884 +a(g189 +V\u000a +p1885 +tp1886 +a(g57 +Vselect +p1887 +tp1888 +a(g189 +V +tp1889 +a(g202 +V{ +tp1890 +a(g189 +V +tp1891 +a(g343 +V| +tp1892 +a(g18 +Vw +tp1893 +a(g343 +V| +tp1894 +a(g189 +V +tp1895 +a(g18 +Vw +tp1896 +a(g343 +V. +tp1897 +a(g18 +Vchomp! +p1898 +tp1899 +a(g202 +V; +tp1900 +a(g189 +V +tp1901 +a(g18 +Vw +tp1902 +a(g343 +V. +tp1903 +a(g18 +Vreverse +p1904 +tp1905 +a(g189 +V +tp1906 +a(g343 +V== +p1907 +tp1908 +a(g189 +V +tp1909 +a(g18 +Vw +tp1910 +a(g189 +V +tp1911 +a(g343 +V&& +p1912 +tp1913 +a(g189 +V +tp1914 +a(g18 +Vw +tp1915 +a(g343 +V. +tp1916 +a(g18 +Vlength +p1917 +tp1918 +a(g189 +V +tp1919 +a(g343 +V> +tp1920 +a(g189 +V +tp1921 +a(g318 +V5 +tp1922 +a(g189 +V +tp1923 +a(g202 +V} +tp1924 +a(g189 +V\u000a\u000a\u000a +p1925 +tp1926 +a(g7 +V# @@PLEAC@@_1.7 +p1927 +tp1928 +a(g189 +V\u000a +tp1929 +a(g111 +Vwhile +p1930 +tp1931 +a(g189 +V +tp1932 +a(g18 +Vstring +p1933 +tp1934 +a(g343 +V. +tp1935 +a(g18 +Vsub! +p1936 +tp1937 +a(g202 +V( +tp1938 +a(g245 +V" +tp1939 +a(g252 +V\u005ct +p1940 +tp1941 +a(g245 +V+ +tp1942 +a(g245 +V" +tp1943 +a(g202 +V) +tp1944 +a(g189 +V +tp1945 +a(g202 +V{ +tp1946 +a(g189 +V +tp1947 +a(g271 +V' ' +p1948 +tp1949 +a(g189 +V +tp1950 +a(g343 +V* +tp1951 +a(g189 +V +tp1952 +a(g202 +V( +tp1953 +a(g76 +V$& +p1954 +tp1955 +a(g343 +V. +tp1956 +a(g18 +Vlength +p1957 +tp1958 +a(g189 +V +tp1959 +a(g343 +V* +tp1960 +a(g189 +V +tp1961 +a(g318 +V8 +tp1962 +a(g189 +V +tp1963 +a(g343 +V- +tp1964 +a(g189 +V +tp1965 +a(g76 +V$` +p1966 +tp1967 +a(g343 +V. +tp1968 +a(g18 +Vlength +p1969 +tp1970 +a(g189 +V +tp1971 +a(g343 +V% +tp1972 +a(g189 +V +tp1973 +a(g318 +V8 +tp1974 +a(g202 +V) +tp1975 +a(g189 +V +tp1976 +a(g202 +V} +tp1977 +a(g189 +V\u000a +tp1978 +a(g111 +Vend +p1979 +tp1980 +a(g189 +V\u000a\u000a\u000a +p1981 +tp1982 +a(g7 +V# @@PLEAC@@_1.8 +p1983 +tp1984 +a(g189 +V\u000a +tp1985 +a(g271 +V'You owe #{debt} to me' +p1986 +tp1987 +a(g343 +V. +tp1988 +a(g18 +Vgsub +p1989 +tp1990 +a(g202 +V( +tp1991 +a(g229 +V/ +tp1992 +a(g229 +V\u005c +tp1993 +a(g233 +V#{ +p1994 +tp1995 +a(g202 +V( +tp1996 +a(g202 +V\u005c +tp1997 +a(g18 +Vw +tp1998 +a(g343 +V+ +tp1999 +a(g202 +V) +tp2000 +a(g233 +V} +tp2001 +a(g229 +V/ +tp2002 +a(g202 +V) +tp2003 +a(g189 +V +tp2004 +a(g202 +V{ +tp2005 +a(g189 +V +tp2006 +a(g57 +Veval +p2007 +tp2008 +a(g202 +V( +tp2009 +a(g76 +V$1 +p2010 +tp2011 +a(g202 +V) +tp2012 +a(g189 +V +tp2013 +a(g202 +V} +tp2014 +a(g189 +V\u000a\u000a +p2015 +tp2016 +a(g18 +Vrows +p2017 +tp2018 +a(g202 +V, +tp2019 +a(g189 +V +tp2020 +a(g18 +Vcols +p2021 +tp2022 +a(g189 +V +tp2023 +a(g343 +V= +tp2024 +a(g189 +V +tp2025 +a(g318 +V24 +p2026 +tp2027 +a(g202 +V, +tp2028 +a(g189 +V +tp2029 +a(g318 +V80 +p2030 +tp2031 +a(g189 +V\u000a +tp2032 +a(g18 +Vtext +p2033 +tp2034 +a(g189 +V +tp2035 +a(g343 +V= +tp2036 +a(g189 +V +tp2037 +a(g274 +V%q( +p2038 +tp2039 +a(g274 +VI am +p2040 +tp2041 +a(g274 +V# +tp2042 +a(g274 +V{rows} high and +p2043 +tp2044 +a(g274 +V# +tp2045 +a(g274 +V{cols} long +p2046 +tp2047 +a(g274 +V) +tp2048 +a(g189 +V\u000a +tp2049 +a(g18 +Vtext +p2050 +tp2051 +a(g343 +V. +tp2052 +a(g18 +Vgsub! +p2053 +tp2054 +a(g202 +V( +tp2055 +a(g229 +V/ +tp2056 +a(g229 +V\u005c +tp2057 +a(g233 +V#{ +p2058 +tp2059 +a(g202 +V( +tp2060 +a(g202 +V\u005c +tp2061 +a(g18 +Vw +tp2062 +a(g343 +V+ +tp2063 +a(g202 +V) +tp2064 +a(g233 +V} +tp2065 +a(g229 +V/ +tp2066 +a(g202 +V) +tp2067 +a(g189 +V +tp2068 +a(g202 +V{ +tp2069 +a(g189 +V +tp2070 +a(g57 +Veval +p2071 +tp2072 +a(g202 +V( +tp2073 +a(g245 +V" +tp2074 +a(g233 +V#{ +p2075 +tp2076 +a(g76 +V$1 +p2077 +tp2078 +a(g233 +V} +tp2079 +a(g245 +V" +tp2080 +a(g202 +V) +tp2081 +a(g189 +V +tp2082 +a(g202 +V} +tp2083 +a(g189 +V\u000a +tp2084 +a(g57 +Vputs +p2085 +tp2086 +a(g189 +V +tp2087 +a(g18 +Vtext +p2088 +tp2089 +a(g189 +V\u000a\u000a +p2090 +tp2091 +a(g271 +V'I am 17 years old' +p2092 +tp2093 +a(g343 +V. +tp2094 +a(g18 +Vgsub +p2095 +tp2096 +a(g202 +V( +tp2097 +a(g229 +V/ +tp2098 +a(g229 +V\u005c +tp2099 +a(g229 +Vd+ +p2100 +tp2101 +a(g229 +V/ +tp2102 +a(g202 +V) +tp2103 +a(g189 +V +tp2104 +a(g202 +V{ +tp2105 +a(g189 +V +tp2106 +a(g318 +V2 +tp2107 +a(g189 +V +tp2108 +a(g343 +V* +tp2109 +a(g189 +V +tp2110 +a(g76 +V$& +p2111 +tp2112 +a(g343 +V. +tp2113 +a(g18 +Vto_i +p2114 +tp2115 +a(g189 +V +tp2116 +a(g202 +V} +tp2117 +a(g189 +V\u000a\u000a\u000a +p2118 +tp2119 +a(g7 +V# @@PLEAC@@_1.9 +p2120 +tp2121 +a(g189 +V\u000a +tp2122 +a(g18 +Ve +tp2123 +a(g189 +V +tp2124 +a(g343 +V= +tp2125 +a(g189 +V +tp2126 +a(g245 +V" +tp2127 +a(g245 +Vbo peep +p2128 +tp2129 +a(g245 +V" +tp2130 +a(g343 +V. +tp2131 +a(g18 +Vupcase +p2132 +tp2133 +a(g189 +V\u000a +tp2134 +a(g18 +Ve +tp2135 +a(g343 +V. +tp2136 +a(g18 +Vdowncase! +p2137 +tp2138 +a(g189 +V\u000a +tp2139 +a(g18 +Ve +tp2140 +a(g343 +V. +tp2141 +a(g18 +Vcapitalize! +p2142 +tp2143 +a(g189 +V\u000a\u000a +p2144 +tp2145 +a(g245 +V" +tp2146 +a(g245 +VthIS is a loNG liNE +p2147 +tp2148 +a(g245 +V" +tp2149 +a(g343 +V. +tp2150 +a(g18 +Vgsub! +p2151 +tp2152 +a(g202 +V( +tp2153 +a(g229 +V/ +tp2154 +a(g229 +V\u005c +tp2155 +a(g229 +Vw+ +p2156 +tp2157 +a(g229 +V/ +tp2158 +a(g202 +V) +tp2159 +a(g189 +V +tp2160 +a(g202 +V{ +tp2161 +a(g189 +V +tp2162 +a(g76 +V$& +p2163 +tp2164 +a(g343 +V. +tp2165 +a(g18 +Vcapitalize +p2166 +tp2167 +a(g189 +V +tp2168 +a(g202 +V} +tp2169 +a(g189 +V\u000a\u000a\u000a +p2170 +tp2171 +a(g7 +V# @@PLEAC@@_1.10 +p2172 +tp2173 +a(g189 +V\u000a +tp2174 +a(g245 +V" +tp2175 +a(g245 +VI have +p2176 +tp2177 +a(g233 +V#{ +p2178 +tp2179 +a(g18 +Vn +tp2180 +a(g343 +V+ +tp2181 +a(g318 +V1 +tp2182 +a(g233 +V} +tp2183 +a(g245 +V guanacos. +p2184 +tp2185 +a(g245 +V" +tp2186 +a(g189 +V\u000a +tp2187 +a(g57 +Vprint +p2188 +tp2189 +a(g189 +V +tp2190 +a(g245 +V" +tp2191 +a(g245 +VI have +p2192 +tp2193 +a(g245 +V" +tp2194 +a(g202 +V, +tp2195 +a(g189 +V +tp2196 +a(g18 +Vn +tp2197 +a(g343 +V+ +tp2198 +a(g318 +V1 +tp2199 +a(g202 +V, +tp2200 +a(g189 +V +tp2201 +a(g245 +V" +tp2202 +a(g245 +V guanacos. +p2203 +tp2204 +a(g245 +V" +tp2205 +a(g189 +V\u000a\u000a\u000a +p2206 +tp2207 +a(g7 +V# @@PLEAC@@_1.11 +p2208 +tp2209 +a(g189 +V\u000a +tp2210 +a(g18 +Vvar +p2211 +tp2212 +a(g189 +V +tp2213 +a(g343 +V= +tp2214 +a(g189 +V +tp2215 +a(g343 +V<< +p2216 +tp2217 +a(g241 +V' +tp2218 +a(g33 +VEOF +p2219 +tp2220 +a(g241 +V' +tp2221 +a(g343 +V. +tp2222 +a(g18 +Vgsub +p2223 +tp2224 +a(g202 +V( +tp2225 +a(g229 +V/ +tp2226 +a(g229 +V^ +tp2227 +a(g229 +V\u005c +tp2228 +a(g229 +Vs+ +p2229 +tp2230 +a(g229 +V/ +tp2231 +a(g202 +V, +tp2232 +a(g189 +V +tp2233 +a(g271 +V'' +p2234 +tp2235 +a(g202 +V) +tp2236 +a(g189 +V\u000a +tp2237 +a(g241 +V your text\u000a +p2238 +tp2239 +a(g241 +V goes here\u000a +p2240 +tp2241 +a(g33 +VEOF\u000a +p2242 +tp2243 +a(g189 +V\u000a\u000a +p2244 +tp2245 +a(g7 +V# @@PLEAC@@_1.12 +p2246 +tp2247 +a(g189 +V\u000a +tp2248 +a(g18 +Vstring +p2249 +tp2250 +a(g189 +V +tp2251 +a(g343 +V= +tp2252 +a(g189 +V +tp2253 +a(g245 +V" +tp2254 +a(g245 +VFolding and splicing is the work of an editor, +p2255 +tp2256 +a(g252 +V\u005cn +p2257 +tp2258 +a(g245 +V" +tp2259 +a(g343 +V+ +tp2260 +a(g189 +V\u000a +p2261 +tp2262 +a(g245 +V" +tp2263 +a(g245 +Vnot a mere collection of silicon +p2264 +tp2265 +a(g252 +V\u005cn +p2266 +tp2267 +a(g245 +V" +tp2268 +a(g343 +V+ +tp2269 +a(g189 +V \u000a +p2270 +tp2271 +a(g245 +V" +tp2272 +a(g245 +Vand +p2273 +tp2274 +a(g252 +V\u005cn +p2275 +tp2276 +a(g245 +V" +tp2277 +a(g343 +V+ +tp2278 +a(g189 +V\u000a +p2279 +tp2280 +a(g245 +V" +tp2281 +a(g245 +Vmobile electrons! +p2282 +tp2283 +a(g245 +V" +tp2284 +a(g189 +V\u000a\u000a +p2285 +tp2286 +a(g111 +Vdef +p2287 +tp2288 +a(g189 +V +tp2289 +a(g21 +Vwrap +p2290 +tp2291 +a(g202 +V( +tp2292 +a(g18 +Vstr +p2293 +tp2294 +a(g202 +V, +tp2295 +a(g189 +V +tp2296 +a(g18 +Vmax_size +p2297 +tp2298 +a(g202 +V) +tp2299 +a(g189 +V\u000a +p2300 +tp2301 +a(g18 +Vall +p2302 +tp2303 +a(g189 +V +tp2304 +a(g343 +V= +tp2305 +a(g189 +V +tp2306 +a(g343 +V[ +tp2307 +a(g343 +V] +tp2308 +a(g189 +V\u000a +p2309 +tp2310 +a(g18 +Vline +p2311 +tp2312 +a(g189 +V +tp2313 +a(g343 +V= +tp2314 +a(g189 +V +tp2315 +a(g271 +V'' +p2316 +tp2317 +a(g189 +V\u000a +p2318 +tp2319 +a(g111 +Vfor +p2320 +tp2321 +a(g189 +V +tp2322 +a(g18 +Vl +tp2323 +a(g189 +V +tp2324 +a(g111 +Vin +p2325 +tp2326 +a(g189 +V +tp2327 +a(g18 +Vstr +p2328 +tp2329 +a(g343 +V. +tp2330 +a(g18 +Vsplit +p2331 +tp2332 +a(g189 +V\u000a +p2333 +tp2334 +a(g111 +Vif +p2335 +tp2336 +a(g189 +V +tp2337 +a(g202 +V( +tp2338 +a(g18 +Vline +p2339 +tp2340 +a(g343 +V+ +tp2341 +a(g18 +Vl +tp2342 +a(g202 +V) +tp2343 +a(g343 +V. +tp2344 +a(g18 +Vlength +p2345 +tp2346 +a(g189 +V +tp2347 +a(g343 +V> +tp2348 +a(g343 +V= +tp2349 +a(g189 +V +tp2350 +a(g18 +Vmax_size +p2351 +tp2352 +a(g189 +V\u000a +p2353 +tp2354 +a(g18 +Vall +p2355 +tp2356 +a(g343 +V. +tp2357 +a(g18 +Vpush +p2358 +tp2359 +a(g202 +V( +tp2360 +a(g18 +Vline +p2361 +tp2362 +a(g202 +V) +tp2363 +a(g189 +V\u000a +p2364 +tp2365 +a(g18 +Vline +p2366 +tp2367 +a(g189 +V +tp2368 +a(g343 +V= +tp2369 +a(g189 +V +tp2370 +a(g271 +V'' +p2371 +tp2372 +a(g189 +V\u000a +p2373 +tp2374 +a(g111 +Vend +p2375 +tp2376 +a(g189 +V\u000a +p2377 +tp2378 +a(g18 +Vline +p2379 +tp2380 +a(g189 +V +tp2381 +a(g343 +V+= +p2382 +tp2383 +a(g189 +V +tp2384 +a(g18 +Vline +p2385 +tp2386 +a(g189 +V +tp2387 +a(g343 +V== +p2388 +tp2389 +a(g189 +V +tp2390 +a(g271 +V'' +p2391 +tp2392 +a(g189 +V +tp2393 +a(g202 +V? +tp2394 +a(g189 +V +tp2395 +a(g18 +Vl +tp2396 +a(g189 +V +tp2397 +a(g202 +V: +tp2398 +a(g189 +V +tp2399 +a(g271 +V' ' +p2400 +tp2401 +a(g189 +V +tp2402 +a(g343 +V+ +tp2403 +a(g189 +V +tp2404 +a(g18 +Vl +tp2405 +a(g189 +V\u000a +p2406 +tp2407 +a(g111 +Vend +p2408 +tp2409 +a(g189 +V\u000a +p2410 +tp2411 +a(g18 +Vall +p2412 +tp2413 +a(g343 +V. +tp2414 +a(g18 +Vpush +p2415 +tp2416 +a(g202 +V( +tp2417 +a(g18 +Vline +p2418 +tp2419 +a(g202 +V) +tp2420 +a(g343 +V. +tp2421 +a(g18 +Vjoin +p2422 +tp2423 +a(g202 +V( +tp2424 +a(g245 +V" +tp2425 +a(g252 +V\u005cn +p2426 +tp2427 +a(g245 +V" +tp2428 +a(g202 +V) +tp2429 +a(g189 +V\u000a +tp2430 +a(g111 +Vend +p2431 +tp2432 +a(g189 +V\u000a\u000a +p2433 +tp2434 +a(g57 +Vprint +p2435 +tp2436 +a(g189 +V +tp2437 +a(g18 +Vwrap +p2438 +tp2439 +a(g202 +V( +tp2440 +a(g18 +Vstring +p2441 +tp2442 +a(g202 +V, +tp2443 +a(g189 +V +tp2444 +a(g318 +V20 +p2445 +tp2446 +a(g202 +V) +tp2447 +a(g189 +V\u000a +tp2448 +a(g7 +V#=> Folding and +p2449 +tp2450 +a(g189 +V\u000a +tp2451 +a(g7 +V#=> splicing is the +p2452 +tp2453 +a(g189 +V\u000a +tp2454 +a(g7 +V#=> work of an editor, +p2455 +tp2456 +a(g189 +V\u000a +tp2457 +a(g7 +V#=> not a mere +p2458 +tp2459 +a(g189 +V\u000a +tp2460 +a(g7 +V#=> collection of +p2461 +tp2462 +a(g189 +V\u000a +tp2463 +a(g7 +V#=> silicon and mobile +p2464 +tp2465 +a(g189 +V\u000a +tp2466 +a(g7 +V#=> electrons! +p2467 +tp2468 +a(g189 +V\u000a\u000a\u000a +p2469 +tp2470 +a(g7 +V# @@PLEAC@@_1.13 +p2471 +tp2472 +a(g189 +V\u000a +tp2473 +a(g18 +Vstring +p2474 +tp2475 +a(g189 +V +tp2476 +a(g343 +V= +tp2477 +a(g189 +V +tp2478 +a(g274 +V%q( +p2479 +tp2480 +a(g274 +VMom said, "Don't do that." +p2481 +tp2482 +a(g274 +V) +tp2483 +a(g189 +V\u000a +tp2484 +a(g18 +Vstring +p2485 +tp2486 +a(g343 +V. +tp2487 +a(g18 +Vgsub +p2488 +tp2489 +a(g202 +V( +tp2490 +a(g229 +V/ +tp2491 +a(g229 +V['"] +p2492 +tp2493 +a(g229 +V/ +tp2494 +a(g202 +V) +tp2495 +a(g189 +V +tp2496 +a(g202 +V{ +tp2497 +a(g189 +V +tp2498 +a(g271 +V'\u005c\u005c' +p2499 +tp2500 +a(g343 +V+ +tp2501 +a(g76 +V$& +p2502 +tp2503 +a(g189 +V +tp2504 +a(g202 +V} +tp2505 +a(g189 +V\u000a +tp2506 +a(g18 +Vstring +p2507 +tp2508 +a(g343 +V. +tp2509 +a(g18 +Vgsub +p2510 +tp2511 +a(g202 +V( +tp2512 +a(g229 +V/ +tp2513 +a(g229 +V['"] +p2514 +tp2515 +a(g229 +V/ +tp2516 +a(g202 +V, +tp2517 +a(g189 +V +tp2518 +a(g271 +V'\u005c&\u005c&' +p2519 +tp2520 +a(g202 +V) +tp2521 +a(g189 +V\u000a +tp2522 +a(g18 +Vstring +p2523 +tp2524 +a(g343 +V. +tp2525 +a(g18 +Vgsub +p2526 +tp2527 +a(g202 +V( +tp2528 +a(g229 +V/ +tp2529 +a(g229 +V[^A-Z] +p2530 +tp2531 +a(g229 +V/ +tp2532 +a(g202 +V) +tp2533 +a(g189 +V +tp2534 +a(g202 +V{ +tp2535 +a(g189 +V +tp2536 +a(g271 +V'\u005c\u005c' +p2537 +tp2538 +a(g343 +V+ +tp2539 +a(g76 +V$& +p2540 +tp2541 +a(g189 +V +tp2542 +a(g202 +V} +tp2543 +a(g189 +V\u000a +tp2544 +a(g245 +V" +tp2545 +a(g245 +Vis a test! +p2546 +tp2547 +a(g245 +V" +tp2548 +a(g343 +V. +tp2549 +a(g18 +Vgsub +p2550 +tp2551 +a(g202 +V( +tp2552 +a(g229 +V/ +tp2553 +a(g229 +V\u005c +tp2554 +a(g229 +VW +tp2555 +a(g229 +V/ +tp2556 +a(g202 +V) +tp2557 +a(g189 +V +tp2558 +a(g202 +V{ +tp2559 +a(g189 +V +tp2560 +a(g271 +V'\u005c\u005c' +p2561 +tp2562 +a(g343 +V+ +tp2563 +a(g76 +V$& +p2564 +tp2565 +a(g189 +V +tp2566 +a(g202 +V} +tp2567 +a(g189 +V +p2568 +tp2569 +a(g7 +V# no function like quotemeta? +p2570 +tp2571 +a(g189 +V\u000a\u000a\u000a +p2572 +tp2573 +a(g7 +V# @@PLEAC@@_1.14 +p2574 +tp2575 +a(g189 +V\u000a +tp2576 +a(g18 +Vstring +p2577 +tp2578 +a(g343 +V. +tp2579 +a(g18 +Vstrip! +p2580 +tp2581 +a(g189 +V\u000a\u000a\u000a +p2582 +tp2583 +a(g7 +V# @@PLEAC@@_1.15 +p2584 +tp2585 +a(g189 +V\u000a +tp2586 +a(g111 +Vdef +p2587 +tp2588 +a(g189 +V +tp2589 +a(g21 +Vparse_csv +p2590 +tp2591 +a(g202 +V( +tp2592 +a(g18 +Vtext +p2593 +tp2594 +a(g202 +V) +tp2595 +a(g189 +V\u000a +p2596 +tp2597 +a(g123 +Vnew +p2598 +tp2599 +a(g189 +V +tp2600 +a(g343 +V= +tp2601 +a(g189 +V +tp2602 +a(g18 +Vtext +p2603 +tp2604 +a(g343 +V. +tp2605 +a(g18 +Vscan +p2606 +tp2607 +a(g202 +V( +tp2608 +a(g229 +V/ +tp2609 +a(g229 +V"([^ +p2610 +tp2611 +a(g229 +V\u005c +tp2612 +a(g229 +V" +tp2613 +a(g229 +V\u005c\u005c +p2614 +tp2615 +a(g229 +V]*(?: +p2616 +tp2617 +a(g229 +V\u005c\u005c +p2618 +tp2619 +a(g229 +V.[^ +p2620 +tp2621 +a(g229 +V\u005c +tp2622 +a(g229 +V" +tp2623 +a(g229 +V\u005c\u005c +p2624 +tp2625 +a(g229 +V]*)*)",?|([^,]+),?|, +p2626 +tp2627 +a(g229 +V/ +tp2628 +a(g202 +V) +tp2629 +a(g189 +V\u000a +p2630 +tp2631 +a(g123 +Vnew +p2632 +tp2633 +a(g189 +V +tp2634 +a(g343 +V<< +p2635 +tp2636 +a(g189 +V +tp2637 +a(g123 +Vnil +p2638 +tp2639 +a(g189 +V +tp2640 +a(g111 +Vif +p2641 +tp2642 +a(g189 +V +tp2643 +a(g18 +Vtext +p2644 +tp2645 +a(g343 +V[ +tp2646 +a(g343 +V- +tp2647 +a(g318 +V1 +tp2648 +a(g343 +V] +tp2649 +a(g189 +V +tp2650 +a(g343 +V== +p2651 +tp2652 +a(g189 +V +tp2653 +a(g268 +V?, +p2654 +tp2655 +a(g189 +V\u000a +p2656 +tp2657 +a(g123 +Vnew +p2658 +tp2659 +a(g343 +V. +tp2660 +a(g18 +Vflatten +p2661 +tp2662 +a(g343 +V. +tp2663 +a(g18 +Vcompact +p2664 +tp2665 +a(g189 +V\u000a +tp2666 +a(g111 +Vend +p2667 +tp2668 +a(g189 +V \u000a\u000a +p2669 +tp2670 +a(g18 +Vline +p2671 +tp2672 +a(g189 +V +tp2673 +a(g343 +V= +tp2674 +a(g189 +V +tp2675 +a(g274 +V%q< +p2676 +tp2677 +a(g274 +VXYZZY,"","O'Reilly, Inc","Wall, Larry","a +p2678 +tp2679 +a(g274 +V\u005c +tp2680 +a(g274 +V"glug +p2681 +tp2682 +a(g274 +V\u005c +tp2683 +a(g274 +V" bit,",5,"Error, Core Dumped" +p2684 +tp2685 +a(g274 +V> +tp2686 +a(g189 +V\u000a +tp2687 +a(g18 +Vfields +p2688 +tp2689 +a(g189 +V +tp2690 +a(g343 +V= +tp2691 +a(g189 +V +tp2692 +a(g18 +Vparse_csv +p2693 +tp2694 +a(g202 +V( +tp2695 +a(g18 +Vline +p2696 +tp2697 +a(g202 +V) +tp2698 +a(g189 +V\u000a +tp2699 +a(g18 +Vfields +p2700 +tp2701 +a(g343 +V. +tp2702 +a(g18 +Veach_with_index +p2703 +tp2704 +a(g189 +V +tp2705 +a(g202 +V{ +tp2706 +a(g189 +V +tp2707 +a(g343 +V| +tp2708 +a(g18 +Vv +tp2709 +a(g202 +V, +tp2710 +a(g18 +Vi +tp2711 +a(g343 +V| +tp2712 +a(g189 +V\u000a +p2713 +tp2714 +a(g57 +Vprint +p2715 +tp2716 +a(g189 +V +tp2717 +a(g245 +V" +tp2718 +a(g233 +V#{ +p2719 +tp2720 +a(g18 +Vi +tp2721 +a(g233 +V} +tp2722 +a(g245 +V : +p2723 +tp2724 +a(g233 +V#{ +p2725 +tp2726 +a(g18 +Vv +tp2727 +a(g233 +V} +tp2728 +a(g252 +V\u005cn +p2729 +tp2730 +a(g245 +V" +tp2731 +a(g202 +V; +tp2732 +a(g189 +V\u000a +tp2733 +a(g202 +V} +tp2734 +a(g189 +V\u000a\u000a\u000a +p2735 +tp2736 +a(g7 +V# @@PLEAC@@_1.16 +p2737 +tp2738 +a(g189 +V\u000a +tp2739 +a(g7 +V# Use the soundex.rb Library from Michael Neumann. +p2740 +tp2741 +a(g189 +V\u000a +tp2742 +a(g7 +V# http://www.s-direktnet.de/homepages/neumann/rb_prgs/Soundex.rb +p2743 +tp2744 +a(g189 +V\u000a +tp2745 +a(g57 +Vrequire +p2746 +tp2747 +a(g189 +V +tp2748 +a(g271 +V'Soundex' +p2749 +tp2750 +a(g189 +V\u000a\u000a +p2751 +tp2752 +a(g18 +Vcode +p2753 +tp2754 +a(g189 +V +tp2755 +a(g343 +V= +tp2756 +a(g189 +V +tp2757 +a(g33 +VText +p2758 +tp2759 +a(g343 +V:: +p2760 +tp2761 +a(g33 +VSoundex +p2762 +tp2763 +a(g343 +V. +tp2764 +a(g18 +Vsoundex +p2765 +tp2766 +a(g202 +V( +tp2767 +a(g18 +Vstring +p2768 +tp2769 +a(g202 +V) +tp2770 +a(g189 +V\u000a +tp2771 +a(g18 +Vcodes +p2772 +tp2773 +a(g189 +V +tp2774 +a(g343 +V= +tp2775 +a(g189 +V +tp2776 +a(g33 +VText +p2777 +tp2778 +a(g343 +V:: +p2779 +tp2780 +a(g33 +VSoundex +p2781 +tp2782 +a(g343 +V. +tp2783 +a(g18 +Vsoundex +p2784 +tp2785 +a(g202 +V( +tp2786 +a(g18 +Varray +p2787 +tp2788 +a(g202 +V) +tp2789 +a(g189 +V\u000a\u000a +p2790 +tp2791 +a(g7 +V# substitution function for getpwent(): +p2792 +tp2793 +a(g189 +V\u000a +tp2794 +a(g7 +V# returns an array of user entries, +p2795 +tp2796 +a(g189 +V\u000a +tp2797 +a(g7 +V# each entry contains the username and the full name +p2798 +tp2799 +a(g189 +V\u000a +tp2800 +a(g111 +Vdef +p2801 +tp2802 +a(g189 +V +tp2803 +a(g21 +Vlogin_names +p2804 +tp2805 +a(g189 +V\u000a +p2806 +tp2807 +a(g18 +Vresult +p2808 +tp2809 +a(g189 +V +tp2810 +a(g343 +V= +tp2811 +a(g189 +V +tp2812 +a(g343 +V[ +tp2813 +a(g343 +V] +tp2814 +a(g189 +V\u000a +p2815 +tp2816 +a(g33 +VFile +p2817 +tp2818 +a(g343 +V. +tp2819 +a(g18 +Vopen +p2820 +tp2821 +a(g202 +V( +tp2822 +a(g245 +V" +tp2823 +a(g245 +V/etc/passwd +p2824 +tp2825 +a(g245 +V" +tp2826 +a(g202 +V) +tp2827 +a(g189 +V +tp2828 +a(g202 +V{ +tp2829 +a(g189 +V +tp2830 +a(g343 +V| +tp2831 +a(g18 +Vfile +p2832 +tp2833 +a(g343 +V| +tp2834 +a(g189 +V\u000a +p2835 +tp2836 +a(g18 +Vfile +p2837 +tp2838 +a(g343 +V. +tp2839 +a(g18 +Veach_line +p2840 +tp2841 +a(g189 +V +tp2842 +a(g202 +V{ +tp2843 +a(g189 +V +tp2844 +a(g343 +V| +tp2845 +a(g18 +Vline +p2846 +tp2847 +a(g343 +V| +tp2848 +a(g189 +V\u000a +p2849 +tp2850 +a(g111 +Vnext +p2851 +tp2852 +a(g189 +V +tp2853 +a(g111 +Vif +p2854 +tp2855 +a(g189 +V +tp2856 +a(g18 +Vline +p2857 +tp2858 +a(g343 +V. +tp2859 +a(g18 +Vmatch +p2860 +tp2861 +a(g202 +V( +tp2862 +a(g229 +V/ +tp2863 +a(g229 +V^ +tp2864 +a(g229 +V# +tp2865 +a(g229 +V/ +tp2866 +a(g202 +V) +tp2867 +a(g189 +V\u000a +p2868 +tp2869 +a(g18 +Vcols +p2870 +tp2871 +a(g189 +V +tp2872 +a(g343 +V= +tp2873 +a(g189 +V +tp2874 +a(g18 +Vline +p2875 +tp2876 +a(g343 +V. +tp2877 +a(g18 +Vsplit +p2878 +tp2879 +a(g202 +V( +tp2880 +a(g245 +V" +tp2881 +a(g245 +V: +tp2882 +a(g245 +V" +tp2883 +a(g202 +V) +tp2884 +a(g189 +V\u000a +p2885 +tp2886 +a(g18 +Vresult +p2887 +tp2888 +a(g343 +V. +tp2889 +a(g18 +Vpush +p2890 +tp2891 +a(g202 +V( +tp2892 +a(g343 +V[ +tp2893 +a(g18 +Vcols +p2894 +tp2895 +a(g343 +V[ +tp2896 +a(g318 +V0 +tp2897 +a(g343 +V] +tp2898 +a(g202 +V, +tp2899 +a(g189 +V +tp2900 +a(g18 +Vcols +p2901 +tp2902 +a(g343 +V[ +tp2903 +a(g318 +V4 +tp2904 +a(g343 +V] +tp2905 +a(g343 +V] +tp2906 +a(g202 +V) +tp2907 +a(g189 +V\u000a +p2908 +tp2909 +a(g202 +V} +tp2910 +a(g189 +V\u000a +p2911 +tp2912 +a(g202 +V} +tp2913 +a(g189 +V\u000a +p2914 +tp2915 +a(g18 +Vresult +p2916 +tp2917 +a(g189 +V\u000a +tp2918 +a(g111 +Vend +p2919 +tp2920 +a(g189 +V\u000a\u000a +p2921 +tp2922 +a(g57 +Vputs +p2923 +tp2924 +a(g189 +V +tp2925 +a(g245 +V" +tp2926 +a(g245 +VLookup user: +p2927 +tp2928 +a(g245 +V" +tp2929 +a(g189 +V\u000a +tp2930 +a(g18 +Vuser +p2931 +tp2932 +a(g189 +V +tp2933 +a(g343 +V= +tp2934 +a(g189 +V +tp2935 +a(g33 +VSTDIN +p2936 +tp2937 +a(g343 +V. +tp2938 +a(g18 +Vgets +p2939 +tp2940 +a(g189 +V\u000a +tp2941 +a(g18 +Vuser +p2942 +tp2943 +a(g343 +V. +tp2944 +a(g18 +Vchomp! +p2945 +tp2946 +a(g189 +V\u000a +tp2947 +a(g57 +Vexit +p2948 +tp2949 +a(g189 +V +tp2950 +a(g111 +Vunless +p2951 +tp2952 +a(g189 +V +tp2953 +a(g18 +Vuser +p2954 +tp2955 +a(g189 +V\u000a +tp2956 +a(g18 +Vname_code +p2957 +tp2958 +a(g189 +V +tp2959 +a(g343 +V= +tp2960 +a(g189 +V +tp2961 +a(g33 +VText +p2962 +tp2963 +a(g343 +V:: +p2964 +tp2965 +a(g33 +VSoundex +p2966 +tp2967 +a(g343 +V. +tp2968 +a(g18 +Vsoundex +p2969 +tp2970 +a(g202 +V( +tp2971 +a(g18 +Vuser +p2972 +tp2973 +a(g202 +V) +tp2974 +a(g189 +V\u000a\u000a +p2975 +tp2976 +a(g18 +Vsplitter +p2977 +tp2978 +a(g189 +V +tp2979 +a(g343 +V= +tp2980 +a(g189 +V +tp2981 +a(g33 +VRegexp +p2982 +tp2983 +a(g343 +V. +tp2984 +a(g18 +Vnew +p2985 +tp2986 +a(g202 +V( +tp2987 +a(g271 +V'(\u005cw+)[^,]*\u005cb(\u005cw+)' +p2988 +tp2989 +a(g202 +V) +tp2990 +a(g189 +V\u000a +tp2991 +a(g111 +Vfor +p2992 +tp2993 +a(g189 +V +tp2994 +a(g18 +Vusername +p2995 +tp2996 +a(g202 +V, +tp2997 +a(g189 +V +tp2998 +a(g18 +Vfullname +p2999 +tp3000 +a(g189 +V +tp3001 +a(g111 +Vin +p3002 +tp3003 +a(g189 +V +tp3004 +a(g18 +Vlogin_names +p3005 +tp3006 +a(g189 +V +tp3007 +a(g111 +Vdo +p3008 +tp3009 +a(g189 +V\u000a +p3010 +tp3011 +a(g18 +Vfirstname +p3012 +tp3013 +a(g202 +V, +tp3014 +a(g189 +V +tp3015 +a(g18 +Vlastname +p3016 +tp3017 +a(g189 +V +tp3018 +a(g343 +V= +tp3019 +a(g189 +V +tp3020 +a(g18 +Vsplitter +p3021 +tp3022 +a(g343 +V. +tp3023 +a(g18 +Vmatch +p3024 +tp3025 +a(g202 +V( +tp3026 +a(g18 +Vfullname +p3027 +tp3028 +a(g202 +V) +tp3029 +a(g343 +V[ +tp3030 +a(g318 +V1 +tp3031 +a(g202 +V, +tp3032 +a(g318 +V2 +tp3033 +a(g343 +V] +tp3034 +a(g189 +V\u000a +p3035 +tp3036 +a(g111 +Vif +p3037 +tp3038 +a(g189 +V +tp3039 +a(g18 +Vname_code +p3040 +tp3041 +a(g189 +V +tp3042 +a(g343 +V== +p3043 +tp3044 +a(g189 +V +tp3045 +a(g33 +VText +p3046 +tp3047 +a(g343 +V:: +p3048 +tp3049 +a(g33 +VSoundex +p3050 +tp3051 +a(g343 +V. +tp3052 +a(g18 +Vsoundex +p3053 +tp3054 +a(g202 +V( +tp3055 +a(g18 +Vusername +p3056 +tp3057 +a(g202 +V) +tp3058 +a(g189 +V\u000a +p3059 +tp3060 +a(g343 +V|| +p3061 +tp3062 +a(g189 +V +tp3063 +a(g18 +Vname_code +p3064 +tp3065 +a(g189 +V +tp3066 +a(g343 +V== +p3067 +tp3068 +a(g189 +V +tp3069 +a(g33 +VText +p3070 +tp3071 +a(g343 +V:: +p3072 +tp3073 +a(g33 +VSoundex +p3074 +tp3075 +a(g343 +V. +tp3076 +a(g18 +Vsoundex +p3077 +tp3078 +a(g202 +V( +tp3079 +a(g18 +Vfirstname +p3080 +tp3081 +a(g202 +V) +tp3082 +a(g189 +V\u000a +p3083 +tp3084 +a(g343 +V|| +p3085 +tp3086 +a(g189 +V +tp3087 +a(g18 +Vname_code +p3088 +tp3089 +a(g189 +V +tp3090 +a(g343 +V== +p3091 +tp3092 +a(g189 +V +tp3093 +a(g33 +VText +p3094 +tp3095 +a(g343 +V:: +p3096 +tp3097 +a(g33 +VSoundex +p3098 +tp3099 +a(g343 +V. +tp3100 +a(g18 +Vsoundex +p3101 +tp3102 +a(g202 +V( +tp3103 +a(g18 +Vlastname +p3104 +tp3105 +a(g202 +V) +tp3106 +a(g189 +V\u000a +p3107 +tp3108 +a(g111 +Vthen +p3109 +tp3110 +a(g189 +V\u000a +p3111 +tp3112 +a(g57 +Vputs +p3113 +tp3114 +a(g189 +V +tp3115 +a(g245 +V" +tp3116 +a(g233 +V#{ +p3117 +tp3118 +a(g18 +Vusername +p3119 +tp3120 +a(g233 +V} +tp3121 +a(g245 +V: +p3122 +tp3123 +a(g233 +V#{ +p3124 +tp3125 +a(g18 +Vfirstname +p3126 +tp3127 +a(g233 +V} +tp3128 +a(g245 +V +tp3129 +a(g233 +V#{ +p3130 +tp3131 +a(g18 +Vlastname +p3132 +tp3133 +a(g233 +V} +tp3134 +a(g245 +V" +tp3135 +a(g189 +V\u000a +p3136 +tp3137 +a(g111 +Vend +p3138 +tp3139 +a(g189 +V\u000a +tp3140 +a(g111 +Vend +p3141 +tp3142 +a(g189 +V\u000a\u000a\u000a +p3143 +tp3144 +a(g7 +V# @@PLEAC@@_1.17 +p3145 +tp3146 +a(g189 +V\u000a +tp3147 +a(g7 +V# @@INCLUDE@@ include/ruby/fixstyle.rb +p3148 +tp3149 +a(g189 +V\u000a\u000a\u000a +p3150 +tp3151 +a(g7 +V# @@PLEAC@@_1.18 +p3152 +tp3153 +a(g189 +V\u000a +tp3154 +a(g7 +V# @@INCLUDE@@ include/ruby/psgrep.rb +p3155 +tp3156 +a(g189 +V\u000a\u000a\u000a +p3157 +tp3158 +a(g7 +V# @@PLEAC@@_2.1 +p3159 +tp3160 +a(g189 +V\u000a +tp3161 +a(g7 +V# Matz tells that you can use Integer() for strict checked conversion. +p3162 +tp3163 +a(g189 +V\u000a +tp3164 +a(g57 +VInteger +p3165 +tp3166 +a(g202 +V( +tp3167 +a(g245 +V" +tp3168 +a(g245 +Vabc +p3169 +tp3170 +a(g245 +V" +tp3171 +a(g202 +V) +tp3172 +a(g189 +V\u000a +tp3173 +a(g7 +V#=> `Integer': invalid value for Integer: "abc" (ArgumentError) +p3174 +tp3175 +a(g189 +V\u000a +tp3176 +a(g57 +VInteger +p3177 +tp3178 +a(g202 +V( +tp3179 +a(g245 +V" +tp3180 +a(g245 +V567 +p3181 +tp3182 +a(g245 +V" +tp3183 +a(g202 +V) +tp3184 +a(g189 +V\u000a +tp3185 +a(g7 +V#=> 567 +p3186 +tp3187 +a(g189 +V\u000a\u000a +p3188 +tp3189 +a(g7 +V# You may use Float() for floating point stuff +p3190 +tp3191 +a(g189 +V\u000a +tp3192 +a(g57 +VInteger +p3193 +tp3194 +a(g202 +V( +tp3195 +a(g245 +V" +tp3196 +a(g245 +V56.7 +p3197 +tp3198 +a(g245 +V" +tp3199 +a(g202 +V) +tp3200 +a(g189 +V\u000a +tp3201 +a(g7 +V#=> `Integer': invalid value for Integer: "56.7" (ArgumentError) +p3202 +tp3203 +a(g189 +V\u000a +tp3204 +a(g57 +VFloat +p3205 +tp3206 +a(g202 +V( +tp3207 +a(g245 +V" +tp3208 +a(g245 +V56.7 +p3209 +tp3210 +a(g245 +V" +tp3211 +a(g202 +V) +tp3212 +a(g189 +V\u000a +tp3213 +a(g7 +V#=> 56.7 +p3214 +tp3215 +a(g189 +V\u000a\u000a +p3216 +tp3217 +a(g7 +V# You may also use a regexp for that +p3218 +tp3219 +a(g189 +V\u000a +tp3220 +a(g111 +Vif +p3221 +tp3222 +a(g189 +V +tp3223 +a(g18 +Vstring +p3224 +tp3225 +a(g189 +V +tp3226 +a(g343 +V=~ +p3227 +tp3228 +a(g189 +V +tp3229 +a(g229 +V/ +tp3230 +a(g229 +V^[+-]? +p3231 +tp3232 +a(g229 +V\u005c +tp3233 +a(g229 +Vd+$ +p3234 +tp3235 +a(g229 +V/ +tp3236 +a(g189 +V\u000a +p3237 +tp3238 +a(g57 +Vp +tp3239 +a(g189 +V +tp3240 +a(g271 +V'is an integer' +p3241 +tp3242 +a(g189 +V\u000a +tp3243 +a(g111 +Velse +p3244 +tp3245 +a(g189 +V\u000a +p3246 +tp3247 +a(g57 +Vp +tp3248 +a(g189 +V +tp3249 +a(g271 +V'is not' +p3250 +tp3251 +a(g189 +V\u000a +tp3252 +a(g111 +Vend +p3253 +tp3254 +a(g189 +V\u000a\u000a +p3255 +tp3256 +a(g111 +Vif +p3257 +tp3258 +a(g189 +V +tp3259 +a(g18 +Vstring +p3260 +tp3261 +a(g189 +V +tp3262 +a(g343 +V=~ +p3263 +tp3264 +a(g189 +V +tp3265 +a(g229 +V/ +tp3266 +a(g229 +V^-?(?: +p3267 +tp3268 +a(g229 +V\u005c +tp3269 +a(g229 +Vd+(?: +p3270 +tp3271 +a(g229 +V\u005c +tp3272 +a(g229 +V. +tp3273 +a(g229 +V\u005c +tp3274 +a(g229 +Vd*)?| +p3275 +tp3276 +a(g229 +V\u005c +tp3277 +a(g229 +V. +tp3278 +a(g229 +V\u005c +tp3279 +a(g229 +Vd+)$ +p3280 +tp3281 +a(g229 +V/ +tp3282 +a(g189 +V\u000a +p3283 +tp3284 +a(g57 +Vp +tp3285 +a(g189 +V +tp3286 +a(g271 +V'is a decimal number' +p3287 +tp3288 +a(g189 +V\u000a +tp3289 +a(g111 +Velse +p3290 +tp3291 +a(g189 +V\u000a +p3292 +tp3293 +a(g57 +Vp +tp3294 +a(g189 +V +tp3295 +a(g271 +V'is not' +p3296 +tp3297 +a(g189 +V\u000a +tp3298 +a(g111 +Vend +p3299 +tp3300 +a(g189 +V\u000a\u000a\u000a +p3301 +tp3302 +a(g7 +V# @@PLEAC@@_2.2 +p3303 +tp3304 +a(g189 +V\u000a +tp3305 +a(g7 +V# equal(num1, num2, accuracy) : returns true if num1 and num2 are +p3306 +tp3307 +a(g189 +V\u000a +tp3308 +a(g7 +V# equal to accuracy number of decimal places +p3309 +tp3310 +a(g189 +V\u000a +tp3311 +a(g111 +Vdef +p3312 +tp3313 +a(g189 +V +tp3314 +a(g21 +Vequal +p3315 +tp3316 +a(g202 +V( +tp3317 +a(g18 +Vi +tp3318 +a(g202 +V, +tp3319 +a(g189 +V +tp3320 +a(g18 +Vj +tp3321 +a(g202 +V, +tp3322 +a(g189 +V +tp3323 +a(g18 +Va +tp3324 +a(g202 +V) +tp3325 +a(g189 +V\u000a +p3326 +tp3327 +a(g57 +Vsprintf +p3328 +tp3329 +a(g202 +V( +tp3330 +a(g245 +V" +tp3331 +a(g245 +V%. +p3332 +tp3333 +a(g233 +V#{ +p3334 +tp3335 +a(g18 +Va +tp3336 +a(g233 +V} +tp3337 +a(g245 +Vg +tp3338 +a(g245 +V" +tp3339 +a(g202 +V, +tp3340 +a(g189 +V +tp3341 +a(g18 +Vi +tp3342 +a(g202 +V) +tp3343 +a(g189 +V +tp3344 +a(g343 +V== +p3345 +tp3346 +a(g189 +V +tp3347 +a(g57 +Vsprintf +p3348 +tp3349 +a(g202 +V( +tp3350 +a(g245 +V" +tp3351 +a(g245 +V%. +p3352 +tp3353 +a(g233 +V#{ +p3354 +tp3355 +a(g18 +Va +tp3356 +a(g233 +V} +tp3357 +a(g245 +Vg +tp3358 +a(g245 +V" +tp3359 +a(g202 +V, +tp3360 +a(g189 +V +tp3361 +a(g18 +Vj +tp3362 +a(g202 +V) +tp3363 +a(g189 +V\u000a +tp3364 +a(g111 +Vend +p3365 +tp3366 +a(g189 +V\u000a\u000a +p3367 +tp3368 +a(g18 +Vwage +p3369 +tp3370 +a(g189 +V +tp3371 +a(g343 +V= +tp3372 +a(g189 +V +tp3373 +a(g318 +V536 +p3374 +tp3375 +a(g189 +V +p3376 +tp3377 +a(g7 +V# $5.36/hour +p3378 +tp3379 +a(g189 +V\u000a +tp3380 +a(g18 +Vweek +p3381 +tp3382 +a(g189 +V +tp3383 +a(g343 +V= +tp3384 +a(g189 +V +tp3385 +a(g318 +V40 +p3386 +tp3387 +a(g189 +V +tp3388 +a(g343 +V* +tp3389 +a(g189 +V +tp3390 +a(g18 +Vwage +p3391 +tp3392 +a(g189 +V +p3393 +tp3394 +a(g7 +V# $214.40 +p3395 +tp3396 +a(g189 +V\u000a +tp3397 +a(g57 +Vprintf +p3398 +tp3399 +a(g202 +V( +tp3400 +a(g245 +V" +tp3401 +a(g245 +VOne week's wage is: +p3402 +tp3403 +a(g245 +V\u005c +tp3404 +a(g245 +V$%.2f +p3405 +tp3406 +a(g252 +V\u005cn +p3407 +tp3408 +a(g245 +V" +tp3409 +a(g202 +V, +tp3410 +a(g189 +V +tp3411 +a(g18 +Vweek +p3412 +tp3413 +a(g343 +V/ +tp3414 +a(g318 +V100 +p3415 +tp3416 +a(g343 +V. +tp3417 +a(g318 +V0 +tp3418 +a(g202 +V) +tp3419 +a(g189 +V\u000a\u000a\u000a +p3420 +tp3421 +a(g7 +V# @@PLEAC@@_2.3 +p3422 +tp3423 +a(g189 +V\u000a +tp3424 +a(g18 +Vnum +p3425 +tp3426 +a(g343 +V. +tp3427 +a(g18 +Vround +p3428 +tp3429 +a(g189 +V +p3430 +tp3431 +a(g7 +V# rounds to integer +p3432 +tp3433 +a(g189 +V\u000a\u000a +p3434 +tp3435 +a(g18 +Va +tp3436 +a(g189 +V +tp3437 +a(g343 +V= +tp3438 +a(g189 +V +tp3439 +a(g318 +V0 +tp3440 +a(g343 +V. +tp3441 +a(g318 +V255 +p3442 +tp3443 +a(g189 +V\u000a +tp3444 +a(g18 +Vb +tp3445 +a(g189 +V +tp3446 +a(g343 +V= +tp3447 +a(g189 +V +tp3448 +a(g57 +Vsprintf +p3449 +tp3450 +a(g202 +V( +tp3451 +a(g245 +V" +tp3452 +a(g245 +V%.2f +p3453 +tp3454 +a(g245 +V" +tp3455 +a(g202 +V, +tp3456 +a(g189 +V +tp3457 +a(g18 +Va +tp3458 +a(g202 +V) +tp3459 +a(g189 +V\u000a +tp3460 +a(g57 +Vprint +p3461 +tp3462 +a(g189 +V +p3463 +tp3464 +a(g245 +V" +tp3465 +a(g245 +VUnrounded: +p3466 +tp3467 +a(g233 +V#{ +p3468 +tp3469 +a(g18 +Va +tp3470 +a(g233 +V} +tp3471 +a(g252 +V\u005cn +p3472 +tp3473 +a(g245 +VRounded: +p3474 +tp3475 +a(g233 +V#{ +p3476 +tp3477 +a(g18 +Vb +tp3478 +a(g233 +V} +tp3479 +a(g252 +V\u005cn +p3480 +tp3481 +a(g245 +V" +tp3482 +a(g189 +V\u000a +tp3483 +a(g57 +Vprintf +p3484 +tp3485 +a(g189 +V +tp3486 +a(g245 +V" +tp3487 +a(g245 +VUnrounded: +p3488 +tp3489 +a(g233 +V#{ +p3490 +tp3491 +a(g18 +Va +tp3492 +a(g233 +V} +tp3493 +a(g252 +V\u005cn +p3494 +tp3495 +a(g245 +VRounded: %.2f +p3496 +tp3497 +a(g252 +V\u005cn +p3498 +tp3499 +a(g245 +V" +tp3500 +a(g202 +V, +tp3501 +a(g189 +V +tp3502 +a(g18 +Va +tp3503 +a(g189 +V\u000a\u000a +p3504 +tp3505 +a(g57 +Vprint +p3506 +tp3507 +a(g189 +V +tp3508 +a(g245 +V" +tp3509 +a(g245 +Vnumber +p3510 +tp3511 +a(g252 +V\u005ct +p3512 +tp3513 +a(g245 +Vint +p3514 +tp3515 +a(g252 +V\u005ct +p3516 +tp3517 +a(g245 +Vfloor +p3518 +tp3519 +a(g252 +V\u005ct +p3520 +tp3521 +a(g245 +Vceil +p3522 +tp3523 +a(g252 +V\u005cn +p3524 +tp3525 +a(g245 +V" +tp3526 +a(g189 +V\u000a +tp3527 +a(g18 +Va +tp3528 +a(g189 +V +tp3529 +a(g343 +V= +tp3530 +a(g189 +V +tp3531 +a(g343 +V[ +tp3532 +a(g189 +V +tp3533 +a(g318 +V3 +tp3534 +a(g343 +V. +tp3535 +a(g318 +V3 +tp3536 +a(g189 +V +tp3537 +a(g202 +V, +tp3538 +a(g189 +V +tp3539 +a(g318 +V3 +tp3540 +a(g343 +V. +tp3541 +a(g318 +V5 +tp3542 +a(g189 +V +tp3543 +a(g202 +V, +tp3544 +a(g189 +V +tp3545 +a(g318 +V3 +tp3546 +a(g343 +V. +tp3547 +a(g318 +V7 +tp3548 +a(g202 +V, +tp3549 +a(g189 +V +tp3550 +a(g343 +V- +tp3551 +a(g318 +V3 +tp3552 +a(g343 +V. +tp3553 +a(g318 +V3 +tp3554 +a(g189 +V +tp3555 +a(g343 +V] +tp3556 +a(g189 +V\u000a +tp3557 +a(g111 +Vfor +p3558 +tp3559 +a(g189 +V +tp3560 +a(g18 +Vn +tp3561 +a(g189 +V +tp3562 +a(g111 +Vin +p3563 +tp3564 +a(g189 +V +tp3565 +a(g18 +Va +tp3566 +a(g189 +V\u000a +p3567 +tp3568 +a(g57 +Vprintf +p3569 +tp3570 +a(g202 +V( +tp3571 +a(g245 +V" +tp3572 +a(g245 +V% .1f +p3573 +tp3574 +a(g252 +V\u005ct +p3575 +tp3576 +a(g245 +V% .1f +p3577 +tp3578 +a(g252 +V\u005ct +p3579 +tp3580 +a(g245 +V% .1f +p3581 +tp3582 +a(g252 +V\u005ct +p3583 +tp3584 +a(g245 +V% .1f +p3585 +tp3586 +a(g252 +V\u005cn +p3587 +tp3588 +a(g245 +V" +tp3589 +a(g202 +V, +tp3590 +a(g189 +V +p3591 +tp3592 +a(g7 +V# at least I don't fake my output :) +p3593 +tp3594 +a(g189 +V\u000a +p3595 +tp3596 +a(g18 +Vn +tp3597 +a(g202 +V, +tp3598 +a(g189 +V +tp3599 +a(g18 +Vn +tp3600 +a(g343 +V. +tp3601 +a(g18 +Vto_i +p3602 +tp3603 +a(g202 +V, +tp3604 +a(g189 +V +tp3605 +a(g18 +Vn +tp3606 +a(g343 +V. +tp3607 +a(g18 +Vfloor +p3608 +tp3609 +a(g202 +V, +tp3610 +a(g189 +V +tp3611 +a(g18 +Vn +tp3612 +a(g343 +V. +tp3613 +a(g18 +Vceil +p3614 +tp3615 +a(g202 +V) +tp3616 +a(g189 +V\u000a +tp3617 +a(g111 +Vend +p3618 +tp3619 +a(g189 +V\u000a\u000a\u000a +p3620 +tp3621 +a(g7 +V# @@PLEAC@@_2.4 +p3622 +tp3623 +a(g189 +V\u000a +tp3624 +a(g111 +Vdef +p3625 +tp3626 +a(g189 +V +tp3627 +a(g21 +Vdec2bin +p3628 +tp3629 +a(g202 +V( +tp3630 +a(g18 +Vn +tp3631 +a(g202 +V) +tp3632 +a(g189 +V\u000a +p3633 +tp3634 +a(g343 +V[ +tp3635 +a(g18 +Vn +tp3636 +a(g343 +V] +tp3637 +a(g343 +V. +tp3638 +a(g18 +Vpack +p3639 +tp3640 +a(g202 +V( +tp3641 +a(g245 +V" +tp3642 +a(g245 +VN +tp3643 +a(g245 +V" +tp3644 +a(g202 +V) +tp3645 +a(g343 +V. +tp3646 +a(g18 +Vunpack +p3647 +tp3648 +a(g202 +V( +tp3649 +a(g245 +V" +tp3650 +a(g245 +VB32 +p3651 +tp3652 +a(g245 +V" +tp3653 +a(g202 +V) +tp3654 +a(g343 +V[ +tp3655 +a(g318 +V0 +tp3656 +a(g343 +V] +tp3657 +a(g343 +V. +tp3658 +a(g18 +Vsub +p3659 +tp3660 +a(g202 +V( +tp3661 +a(g229 +V/ +tp3662 +a(g229 +V^0+(?= +p3663 +tp3664 +a(g229 +V\u005c +tp3665 +a(g229 +Vd) +p3666 +tp3667 +a(g229 +V/ +tp3668 +a(g202 +V, +tp3669 +a(g189 +V +tp3670 +a(g271 +V'' +p3671 +tp3672 +a(g202 +V) +tp3673 +a(g189 +V\u000a +tp3674 +a(g111 +Vend +p3675 +tp3676 +a(g189 +V\u000a\u000a +p3677 +tp3678 +a(g111 +Vdef +p3679 +tp3680 +a(g189 +V +tp3681 +a(g21 +Vbin2dec +p3682 +tp3683 +a(g202 +V( +tp3684 +a(g18 +Vn +tp3685 +a(g202 +V) +tp3686 +a(g189 +V\u000a +p3687 +tp3688 +a(g343 +V[ +tp3689 +a(g202 +V( +tp3690 +a(g245 +V" +tp3691 +a(g245 +V0 +tp3692 +a(g245 +V" +tp3693 +a(g343 +V* +tp3694 +a(g318 +V32 +p3695 +tp3696 +a(g343 +V+ +tp3697 +a(g18 +Vn +tp3698 +a(g343 +V. +tp3699 +a(g18 +Vto_s +p3700 +tp3701 +a(g202 +V) +tp3702 +a(g343 +V[ +tp3703 +a(g343 +V- +tp3704 +a(g318 +V32 +p3705 +tp3706 +a(g343 +V. +tp3707 +a(g18 +V. +tp3708 +a(g343 +V- +tp3709 +a(g318 +V1 +tp3710 +a(g343 +V] +tp3711 +a(g343 +V] +tp3712 +a(g343 +V. +tp3713 +a(g18 +Vpack +p3714 +tp3715 +a(g202 +V( +tp3716 +a(g245 +V" +tp3717 +a(g245 +VB32 +p3718 +tp3719 +a(g245 +V" +tp3720 +a(g202 +V) +tp3721 +a(g343 +V. +tp3722 +a(g18 +Vunpack +p3723 +tp3724 +a(g202 +V( +tp3725 +a(g245 +V" +tp3726 +a(g245 +VN +tp3727 +a(g245 +V" +tp3728 +a(g202 +V) +tp3729 +a(g343 +V[ +tp3730 +a(g318 +V0 +tp3731 +a(g343 +V] +tp3732 +a(g189 +V\u000a +tp3733 +a(g111 +Vend +p3734 +tp3735 +a(g189 +V\u000a\u000a\u000a +p3736 +tp3737 +a(g7 +V# @@PLEAC@@_2.5 +p3738 +tp3739 +a(g189 +V\u000a +tp3740 +a(g111 +Vfor +p3741 +tp3742 +a(g189 +V +tp3743 +a(g18 +Vi +tp3744 +a(g189 +V +tp3745 +a(g111 +Vin +p3746 +tp3747 +a(g189 +V +tp3748 +a(g18 +Vx +tp3749 +a(g189 +V +tp3750 +a(g343 +V. +tp3751 +a(g18 +V. +tp3752 +a(g189 +V +tp3753 +a(g18 +Vy +tp3754 +a(g189 +V\u000a +p3755 +tp3756 +a(g7 +V# i is set to every integer from x to y, inclusive +p3757 +tp3758 +a(g189 +V\u000a +tp3759 +a(g111 +Vend +p3760 +tp3761 +a(g189 +V\u000a\u000a +p3762 +tp3763 +a(g18 +Vx +tp3764 +a(g343 +V. +tp3765 +a(g18 +Vstep +p3766 +tp3767 +a(g202 +V( +tp3768 +a(g18 +Vy +tp3769 +a(g202 +V, +tp3770 +a(g318 +V7 +tp3771 +a(g202 +V) +tp3772 +a(g189 +V +tp3773 +a(g202 +V{ +tp3774 +a(g189 +V +tp3775 +a(g343 +V| +tp3776 +a(g18 +Vi +tp3777 +a(g343 +V| +tp3778 +a(g189 +V\u000a +p3779 +tp3780 +a(g7 +V# i is set to every integer from x to y, stepsize = 7 +p3781 +tp3782 +a(g189 +V\u000a +tp3783 +a(g202 +V} +tp3784 +a(g189 +V\u000a\u000a +p3785 +tp3786 +a(g57 +Vprint +p3787 +tp3788 +a(g189 +V +tp3789 +a(g245 +V" +tp3790 +a(g245 +VInfancy is: +p3791 +tp3792 +a(g245 +V" +tp3793 +a(g189 +V\u000a +tp3794 +a(g202 +V( +tp3795 +a(g318 +V0 +tp3796 +a(g343 +V. +tp3797 +a(g18 +V. +tp3798 +a(g318 +V2 +tp3799 +a(g202 +V) +tp3800 +a(g343 +V. +tp3801 +a(g18 +Veach +p3802 +tp3803 +a(g189 +V +tp3804 +a(g202 +V{ +tp3805 +a(g189 +V +tp3806 +a(g343 +V| +tp3807 +a(g18 +Vi +tp3808 +a(g343 +V| +tp3809 +a(g189 +V\u000a +p3810 +tp3811 +a(g57 +Vprint +p3812 +tp3813 +a(g189 +V +tp3814 +a(g18 +Vi +tp3815 +a(g202 +V, +tp3816 +a(g189 +V +tp3817 +a(g245 +V" +tp3818 +a(g245 +V +tp3819 +a(g245 +V" +tp3820 +a(g189 +V\u000a +tp3821 +a(g202 +V} +tp3822 +a(g189 +V\u000a +tp3823 +a(g57 +Vprint +p3824 +tp3825 +a(g189 +V +tp3826 +a(g245 +V" +tp3827 +a(g252 +V\u005cn +p3828 +tp3829 +a(g245 +V" +tp3830 +a(g189 +V\u000a\u000a\u000a +p3831 +tp3832 +a(g7 +V# @@PLEAC@@_2.6 +p3833 +tp3834 +a(g189 +V\u000a +tp3835 +a(g7 +V# We can add conversion methods to the Integer class, +p3836 +tp3837 +a(g189 +V\u000a +tp3838 +a(g7 +V# this makes a roman number just a representation for normal numbers. +p3839 +tp3840 +a(g189 +V\u000a +tp3841 +a(g111 +Vclass +p3842 +tp3843 +a(g189 +V +tp3844 +a(g106 +VInteger +p3845 +tp3846 +a(g189 +V\u000a \u000a +p3847 +tp3848 +a(g89 +V@@romanlist +p3849 +tp3850 +a(g189 +V +tp3851 +a(g343 +V= +tp3852 +a(g189 +V +tp3853 +a(g343 +V[ +tp3854 +a(g343 +V[ +tp3855 +a(g245 +V" +tp3856 +a(g245 +VM +tp3857 +a(g245 +V" +tp3858 +a(g202 +V, +tp3859 +a(g189 +V +tp3860 +a(g318 +V1000 +p3861 +tp3862 +a(g343 +V] +tp3863 +a(g202 +V, +tp3864 +a(g189 +V\u000a +p3865 +tp3866 +a(g343 +V[ +tp3867 +a(g245 +V" +tp3868 +a(g245 +VCM +p3869 +tp3870 +a(g245 +V" +tp3871 +a(g202 +V, +tp3872 +a(g189 +V +tp3873 +a(g318 +V900 +p3874 +tp3875 +a(g343 +V] +tp3876 +a(g202 +V, +tp3877 +a(g189 +V\u000a +p3878 +tp3879 +a(g343 +V[ +tp3880 +a(g245 +V" +tp3881 +a(g245 +VD +tp3882 +a(g245 +V" +tp3883 +a(g202 +V, +tp3884 +a(g189 +V +p3885 +tp3886 +a(g318 +V500 +p3887 +tp3888 +a(g343 +V] +tp3889 +a(g202 +V, +tp3890 +a(g189 +V\u000a +p3891 +tp3892 +a(g343 +V[ +tp3893 +a(g245 +V" +tp3894 +a(g245 +VCD +p3895 +tp3896 +a(g245 +V" +tp3897 +a(g202 +V, +tp3898 +a(g189 +V +tp3899 +a(g318 +V400 +p3900 +tp3901 +a(g343 +V] +tp3902 +a(g202 +V, +tp3903 +a(g189 +V\u000a +p3904 +tp3905 +a(g343 +V[ +tp3906 +a(g245 +V" +tp3907 +a(g245 +VC +tp3908 +a(g245 +V" +tp3909 +a(g202 +V, +tp3910 +a(g189 +V +p3911 +tp3912 +a(g318 +V100 +p3913 +tp3914 +a(g343 +V] +tp3915 +a(g202 +V, +tp3916 +a(g189 +V\u000a +p3917 +tp3918 +a(g343 +V[ +tp3919 +a(g245 +V" +tp3920 +a(g245 +VXC +p3921 +tp3922 +a(g245 +V" +tp3923 +a(g202 +V, +tp3924 +a(g189 +V +p3925 +tp3926 +a(g318 +V90 +p3927 +tp3928 +a(g343 +V] +tp3929 +a(g202 +V, +tp3930 +a(g189 +V\u000a +p3931 +tp3932 +a(g343 +V[ +tp3933 +a(g245 +V" +tp3934 +a(g245 +VL +tp3935 +a(g245 +V" +tp3936 +a(g202 +V, +tp3937 +a(g189 +V +p3938 +tp3939 +a(g318 +V50 +p3940 +tp3941 +a(g343 +V] +tp3942 +a(g202 +V, +tp3943 +a(g189 +V\u000a +p3944 +tp3945 +a(g343 +V[ +tp3946 +a(g245 +V" +tp3947 +a(g245 +VXL +p3948 +tp3949 +a(g245 +V" +tp3950 +a(g202 +V, +tp3951 +a(g189 +V +p3952 +tp3953 +a(g318 +V40 +p3954 +tp3955 +a(g343 +V] +tp3956 +a(g202 +V, +tp3957 +a(g189 +V\u000a +p3958 +tp3959 +a(g343 +V[ +tp3960 +a(g245 +V" +tp3961 +a(g245 +VX +tp3962 +a(g245 +V" +tp3963 +a(g202 +V, +tp3964 +a(g189 +V +p3965 +tp3966 +a(g318 +V10 +p3967 +tp3968 +a(g343 +V] +tp3969 +a(g202 +V, +tp3970 +a(g189 +V\u000a +p3971 +tp3972 +a(g343 +V[ +tp3973 +a(g245 +V" +tp3974 +a(g245 +VIX +p3975 +tp3976 +a(g245 +V" +tp3977 +a(g202 +V, +tp3978 +a(g189 +V +p3979 +tp3980 +a(g318 +V9 +tp3981 +a(g343 +V] +tp3982 +a(g202 +V, +tp3983 +a(g189 +V\u000a +p3984 +tp3985 +a(g343 +V[ +tp3986 +a(g245 +V" +tp3987 +a(g245 +VV +tp3988 +a(g245 +V" +tp3989 +a(g202 +V, +tp3990 +a(g189 +V +p3991 +tp3992 +a(g318 +V5 +tp3993 +a(g343 +V] +tp3994 +a(g202 +V, +tp3995 +a(g189 +V\u000a +p3996 +tp3997 +a(g343 +V[ +tp3998 +a(g245 +V" +tp3999 +a(g245 +VIV +p4000 +tp4001 +a(g245 +V" +tp4002 +a(g202 +V, +tp4003 +a(g189 +V +p4004 +tp4005 +a(g318 +V4 +tp4006 +a(g343 +V] +tp4007 +a(g202 +V, +tp4008 +a(g189 +V\u000a +p4009 +tp4010 +a(g343 +V[ +tp4011 +a(g245 +V" +tp4012 +a(g245 +VI +tp4013 +a(g245 +V" +tp4014 +a(g202 +V, +tp4015 +a(g189 +V +p4016 +tp4017 +a(g318 +V1 +tp4018 +a(g343 +V] +tp4019 +a(g343 +V] +tp4020 +a(g189 +V\u000a \u000a +p4021 +tp4022 +a(g111 +Vdef +p4023 +tp4024 +a(g189 +V +tp4025 +a(g21 +Vto_roman +p4026 +tp4027 +a(g189 +V\u000a +p4028 +tp4029 +a(g18 +Vremains +p4030 +tp4031 +a(g189 +V +tp4032 +a(g343 +V= +tp4033 +a(g189 +V +tp4034 +a(g57 +Vself +p4035 +tp4036 +a(g189 +V\u000a +p4037 +tp4038 +a(g18 +Vroman +p4039 +tp4040 +a(g189 +V +tp4041 +a(g343 +V= +tp4042 +a(g189 +V +tp4043 +a(g245 +V" +tp4044 +a(g245 +V" +tp4045 +a(g189 +V\u000a +p4046 +tp4047 +a(g111 +Vfor +p4048 +tp4049 +a(g189 +V +tp4050 +a(g18 +Vsym +p4051 +tp4052 +a(g202 +V, +tp4053 +a(g189 +V +tp4054 +a(g18 +Vnum +p4055 +tp4056 +a(g189 +V +tp4057 +a(g111 +Vin +p4058 +tp4059 +a(g189 +V +tp4060 +a(g89 +V@@romanlist +p4061 +tp4062 +a(g189 +V\u000a +p4063 +tp4064 +a(g111 +Vwhile +p4065 +tp4066 +a(g189 +V +tp4067 +a(g18 +Vremains +p4068 +tp4069 +a(g189 +V +tp4070 +a(g343 +V> +tp4071 +a(g343 +V= +tp4072 +a(g189 +V +tp4073 +a(g18 +Vnum +p4074 +tp4075 +a(g189 +V\u000a +p4076 +tp4077 +a(g18 +Vremains +p4078 +tp4079 +a(g189 +V +tp4080 +a(g343 +V-= +p4081 +tp4082 +a(g189 +V +tp4083 +a(g18 +Vnum +p4084 +tp4085 +a(g189 +V\u000a +p4086 +tp4087 +a(g18 +Vroman +p4088 +tp4089 +a(g189 +V +tp4090 +a(g343 +V<< +p4091 +tp4092 +a(g189 +V +tp4093 +a(g18 +Vsym +p4094 +tp4095 +a(g189 +V\u000a +p4096 +tp4097 +a(g111 +Vend +p4098 +tp4099 +a(g189 +V\u000a +p4100 +tp4101 +a(g111 +Vend +p4102 +tp4103 +a(g189 +V\u000a +p4104 +tp4105 +a(g18 +Vroman +p4106 +tp4107 +a(g189 +V\u000a +p4108 +tp4109 +a(g111 +Vend +p4110 +tp4111 +a(g189 +V\u000a \u000a +p4112 +tp4113 +a(g111 +Vdef +p4114 +tp4115 +a(g189 +V +tp4116 +a(g106 +VInteger +p4117 +tp4118 +a(g343 +V. +tp4119 +a(g21 +Vfrom_roman +p4120 +tp4121 +a(g202 +V( +tp4122 +a(g18 +Vroman +p4123 +tp4124 +a(g202 +V) +tp4125 +a(g189 +V\u000a +p4126 +tp4127 +a(g18 +Vustr +p4128 +tp4129 +a(g189 +V +tp4130 +a(g343 +V= +tp4131 +a(g189 +V +tp4132 +a(g18 +Vroman +p4133 +tp4134 +a(g343 +V. +tp4135 +a(g18 +Vupcase +p4136 +tp4137 +a(g189 +V\u000a +p4138 +tp4139 +a(g18 +Vsum +p4140 +tp4141 +a(g189 +V +tp4142 +a(g343 +V= +tp4143 +a(g189 +V +tp4144 +a(g318 +V0 +tp4145 +a(g189 +V\u000a +p4146 +tp4147 +a(g111 +Vfor +p4148 +tp4149 +a(g189 +V +tp4150 +a(g18 +Ventry +p4151 +tp4152 +a(g189 +V +tp4153 +a(g111 +Vin +p4154 +tp4155 +a(g189 +V +tp4156 +a(g89 +V@@romanlist +p4157 +tp4158 +a(g189 +V\u000a +p4159 +tp4160 +a(g18 +Vsym +p4161 +tp4162 +a(g202 +V, +tp4163 +a(g189 +V +tp4164 +a(g18 +Vnum +p4165 +tp4166 +a(g189 +V +tp4167 +a(g343 +V= +tp4168 +a(g189 +V +tp4169 +a(g18 +Ventry +p4170 +tp4171 +a(g343 +V[ +tp4172 +a(g318 +V0 +tp4173 +a(g343 +V] +tp4174 +a(g202 +V, +tp4175 +a(g189 +V +tp4176 +a(g18 +Ventry +p4177 +tp4178 +a(g343 +V[ +tp4179 +a(g318 +V1 +tp4180 +a(g343 +V] +tp4181 +a(g189 +V\u000a +p4182 +tp4183 +a(g111 +Vwhile +p4184 +tp4185 +a(g189 +V +tp4186 +a(g18 +Vsym +p4187 +tp4188 +a(g189 +V +tp4189 +a(g343 +V== +p4190 +tp4191 +a(g189 +V +tp4192 +a(g18 +Vustr +p4193 +tp4194 +a(g343 +V[ +tp4195 +a(g318 +V0 +tp4196 +a(g202 +V, +tp4197 +a(g189 +V +tp4198 +a(g18 +Vsym +p4199 +tp4200 +a(g343 +V. +tp4201 +a(g18 +Vlength +p4202 +tp4203 +a(g343 +V] +tp4204 +a(g189 +V\u000a +p4205 +tp4206 +a(g18 +Vsum +p4207 +tp4208 +a(g189 +V +tp4209 +a(g343 +V+= +p4210 +tp4211 +a(g189 +V +tp4212 +a(g18 +Vnum +p4213 +tp4214 +a(g189 +V\u000a +p4215 +tp4216 +a(g18 +Vustr +p4217 +tp4218 +a(g343 +V. +tp4219 +a(g18 +Vslice! +p4220 +tp4221 +a(g202 +V( +tp4222 +a(g318 +V0 +tp4223 +a(g202 +V, +tp4224 +a(g189 +V +tp4225 +a(g18 +Vsym +p4226 +tp4227 +a(g343 +V. +tp4228 +a(g18 +Vlength +p4229 +tp4230 +a(g202 +V) +tp4231 +a(g189 +V\u000a +p4232 +tp4233 +a(g111 +Vend +p4234 +tp4235 +a(g189 +V\u000a +p4236 +tp4237 +a(g111 +Vend +p4238 +tp4239 +a(g189 +V\u000a +p4240 +tp4241 +a(g18 +Vsum +p4242 +tp4243 +a(g189 +V\u000a +p4244 +tp4245 +a(g111 +Vend +p4246 +tp4247 +a(g189 +V\u000a \u000a +p4248 +tp4249 +a(g111 +Vend +p4250 +tp4251 +a(g189 +V\u000a\u000a\u000a +p4252 +tp4253 +a(g18 +Vroman_fifteen +p4254 +tp4255 +a(g189 +V +tp4256 +a(g343 +V= +tp4257 +a(g189 +V +tp4258 +a(g318 +V15 +p4259 +tp4260 +a(g343 +V. +tp4261 +a(g18 +Vto_roman +p4262 +tp4263 +a(g189 +V\u000a +tp4264 +a(g57 +Vputs +p4265 +tp4266 +a(g189 +V +tp4267 +a(g245 +V" +tp4268 +a(g245 +VRoman for fifteen is +p4269 +tp4270 +a(g233 +V#{ +p4271 +tp4272 +a(g18 +Vroman_fifteen +p4273 +tp4274 +a(g233 +V} +tp4275 +a(g245 +V" +tp4276 +a(g189 +V\u000a +tp4277 +a(g18 +Vi +tp4278 +a(g189 +V +tp4279 +a(g343 +V= +tp4280 +a(g189 +V +tp4281 +a(g57 +VInteger +p4282 +tp4283 +a(g343 +V. +tp4284 +a(g18 +Vfrom_roman +p4285 +tp4286 +a(g202 +V( +tp4287 +a(g18 +Vroman_fifteen +p4288 +tp4289 +a(g202 +V) +tp4290 +a(g189 +V\u000a +tp4291 +a(g57 +Vputs +p4292 +tp4293 +a(g189 +V +tp4294 +a(g245 +V" +tp4295 +a(g245 +VConverted back, +p4296 +tp4297 +a(g233 +V#{ +p4298 +tp4299 +a(g18 +Vroman_fifteen +p4300 +tp4301 +a(g233 +V} +tp4302 +a(g245 +V is +p4303 +tp4304 +a(g233 +V#{ +p4305 +tp4306 +a(g18 +Vi +tp4307 +a(g233 +V} +tp4308 +a(g245 +V" +tp4309 +a(g189 +V\u000a\u000a +p4310 +tp4311 +a(g7 +V# check +p4312 +tp4313 +a(g189 +V\u000a +tp4314 +a(g111 +Vfor +p4315 +tp4316 +a(g189 +V +tp4317 +a(g18 +Vi +tp4318 +a(g189 +V +tp4319 +a(g111 +Vin +p4320 +tp4321 +a(g189 +V +tp4322 +a(g202 +V( +tp4323 +a(g318 +V1 +tp4324 +a(g343 +V. +tp4325 +a(g18 +V. +tp4326 +a(g318 +V3900 +p4327 +tp4328 +a(g202 +V) +tp4329 +a(g189 +V\u000a +p4330 +tp4331 +a(g18 +Vr +tp4332 +a(g189 +V +tp4333 +a(g343 +V= +tp4334 +a(g189 +V +tp4335 +a(g18 +Vi +tp4336 +a(g343 +V. +tp4337 +a(g18 +Vto_roman +p4338 +tp4339 +a(g189 +V\u000a +p4340 +tp4341 +a(g18 +Vj +tp4342 +a(g189 +V +tp4343 +a(g343 +V= +tp4344 +a(g189 +V +tp4345 +a(g57 +VInteger +p4346 +tp4347 +a(g343 +V. +tp4348 +a(g18 +Vfrom_roman +p4349 +tp4350 +a(g202 +V( +tp4351 +a(g18 +Vr +tp4352 +a(g202 +V) +tp4353 +a(g189 +V\u000a +p4354 +tp4355 +a(g111 +Vif +p4356 +tp4357 +a(g189 +V +tp4358 +a(g18 +Vi +tp4359 +a(g189 +V +tp4360 +a(g343 +V!= +p4361 +tp4362 +a(g189 +V +tp4363 +a(g18 +Vj +tp4364 +a(g189 +V\u000a +p4365 +tp4366 +a(g57 +Vputs +p4367 +tp4368 +a(g189 +V +tp4369 +a(g245 +V" +tp4370 +a(g245 +Verror: +p4371 +tp4372 +a(g233 +V#{ +p4373 +tp4374 +a(g18 +Vi +tp4375 +a(g233 +V} +tp4376 +a(g245 +V : +p4377 +tp4378 +a(g233 +V#{ +p4379 +tp4380 +a(g18 +Vr +tp4381 +a(g233 +V} +tp4382 +a(g245 +V - +p4383 +tp4384 +a(g233 +V#{ +p4385 +tp4386 +a(g18 +Vj +tp4387 +a(g233 +V} +tp4388 +a(g245 +V" +tp4389 +a(g189 +V\u000a +p4390 +tp4391 +a(g111 +Vend +p4392 +tp4393 +a(g189 +V\u000a +tp4394 +a(g111 +Vend +p4395 +tp4396 +a(g189 +V\u000a\u000a\u000a +p4397 +tp4398 +a(g7 +V# @@PLEAC@@_2.7 +p4399 +tp4400 +a(g189 +V\u000a +tp4401 +a(g18 +Vrandom +p4402 +tp4403 +a(g189 +V +tp4404 +a(g343 +V= +tp4405 +a(g189 +V +tp4406 +a(g57 +Vrand +p4407 +tp4408 +a(g202 +V( +tp4409 +a(g18 +Vy +tp4410 +a(g343 +V- +tp4411 +a(g18 +Vx +tp4412 +a(g343 +V+ +tp4413 +a(g318 +V1 +tp4414 +a(g202 +V) +tp4415 +a(g343 +V+ +tp4416 +a(g18 +Vx +tp4417 +a(g189 +V\u000a\u000a +p4418 +tp4419 +a(g18 +Vchars +p4420 +tp4421 +a(g189 +V +tp4422 +a(g343 +V= +tp4423 +a(g189 +V +tp4424 +a(g343 +V[ +tp4425 +a(g245 +V" +tp4426 +a(g245 +VA +tp4427 +a(g245 +V" +tp4428 +a(g343 +V. +tp4429 +a(g18 +V. +tp4430 +a(g245 +V" +tp4431 +a(g245 +VZ +tp4432 +a(g245 +V" +tp4433 +a(g202 +V, +tp4434 +a(g245 +V" +tp4435 +a(g245 +Va +tp4436 +a(g245 +V" +tp4437 +a(g343 +V. +tp4438 +a(g18 +V. +tp4439 +a(g245 +V" +tp4440 +a(g245 +Vz +tp4441 +a(g245 +V" +tp4442 +a(g202 +V, +tp4443 +a(g245 +V" +tp4444 +a(g245 +V0 +tp4445 +a(g245 +V" +tp4446 +a(g343 +V. +tp4447 +a(g18 +V. +tp4448 +a(g245 +V" +tp4449 +a(g245 +V9 +tp4450 +a(g245 +V" +tp4451 +a(g343 +V] +tp4452 +a(g343 +V. +tp4453 +a(g18 +Vcollect +p4454 +tp4455 +a(g189 +V +tp4456 +a(g202 +V{ +tp4457 +a(g189 +V +tp4458 +a(g343 +V| +tp4459 +a(g18 +Vr +tp4460 +a(g343 +V| +tp4461 +a(g189 +V +tp4462 +a(g18 +Vr +tp4463 +a(g343 +V. +tp4464 +a(g18 +Vto_a +p4465 +tp4466 +a(g189 +V +tp4467 +a(g202 +V} +tp4468 +a(g343 +V. +tp4469 +a(g18 +Vjoin +p4470 +tp4471 +a(g189 +V +tp4472 +a(g343 +V+ +tp4473 +a(g189 +V +tp4474 +a(g274 +V%q( +p4475 +tp4476 +a(g274 +V!@$%^&* +p4477 +tp4478 +a(g274 +V) +tp4479 +a(g189 +V\u000a +tp4480 +a(g18 +Vpassword +p4481 +tp4482 +a(g189 +V +tp4483 +a(g343 +V= +tp4484 +a(g189 +V +tp4485 +a(g202 +V( +tp4486 +a(g318 +V1 +tp4487 +a(g343 +V. +tp4488 +a(g18 +V. +tp4489 +a(g318 +V8 +tp4490 +a(g202 +V) +tp4491 +a(g343 +V. +tp4492 +a(g18 +Vcollect +p4493 +tp4494 +a(g189 +V +tp4495 +a(g202 +V{ +tp4496 +a(g189 +V +tp4497 +a(g18 +Vchars +p4498 +tp4499 +a(g343 +V[ +tp4500 +a(g57 +Vrand +p4501 +tp4502 +a(g202 +V( +tp4503 +a(g18 +Vchars +p4504 +tp4505 +a(g343 +V. +tp4506 +a(g18 +Vsize +p4507 +tp4508 +a(g202 +V) +tp4509 +a(g343 +V] +tp4510 +a(g189 +V +tp4511 +a(g202 +V} +tp4512 +a(g343 +V. +tp4513 +a(g18 +Vpack +p4514 +tp4515 +a(g202 +V( +tp4516 +a(g245 +V" +tp4517 +a(g245 +VC* +p4518 +tp4519 +a(g245 +V" +tp4520 +a(g202 +V) +tp4521 +a(g189 +V\u000a\u000a\u000a +p4522 +tp4523 +a(g7 +V# @@PLEAC@@_2.8 +p4524 +tp4525 +a(g189 +V\u000a +tp4526 +a(g57 +Vsrand +p4527 +tp4528 +a(g189 +V +p4529 +tp4530 +a(g7 +V# uses a combination of the time, the process id, and a sequence number +p4531 +tp4532 +a(g189 +V\u000a +tp4533 +a(g57 +Vsrand +p4534 +tp4535 +a(g202 +V( +tp4536 +a(g18 +Vval +p4537 +tp4538 +a(g202 +V) +tp4539 +a(g189 +V +p4540 +tp4541 +a(g7 +V# for repeatable behaviour +p4542 +tp4543 +a(g189 +V\u000a\u000a\u000a +p4544 +tp4545 +a(g7 +V# @@PLEAC@@_2.9 +p4546 +tp4547 +a(g189 +V\u000a +tp4548 +a(g7 +V# from the randomr lib: +p4549 +tp4550 +a(g189 +V\u000a +tp4551 +a(g7 +V# http://raa.ruby-lang.org/project/randomr/ +p4552 +tp4553 +a(g189 +V\u000a +tp4554 +a(g343 +V- +tp4555 +a(g343 +V- +tp4556 +a(g343 +V- +tp4557 +a(g343 +V- +tp4558 +a(g343 +V> +tp4559 +a(g189 +V +tp4560 +a(g18 +Vhttp +p4561 +tp4562 +a(g248 +V:/ +p4563 +tp4564 +a(g343 +V/ +tp4565 +a(g18 +Vraa +p4566 +tp4567 +a(g343 +V. +tp4568 +a(g18 +Vruby +p4569 +tp4570 +a(g343 +V- +tp4571 +a(g18 +Vlang +p4572 +tp4573 +a(g343 +V. +tp4574 +a(g18 +Vorg +p4575 +tp4576 +a(g343 +V/ +tp4577 +a(g18 +Vproject +p4578 +tp4579 +a(g343 +V/ +tp4580 +a(g18 +Vrandomr +p4581 +tp4582 +a(g343 +V/ +tp4583 +a(g189 +V\u000a\u000a +p4584 +tp4585 +a(g57 +Vrequire +p4586 +tp4587 +a(g189 +V +tp4588 +a(g271 +V'random/mersenne_twister' +p4589 +tp4590 +a(g189 +V\u000a +tp4591 +a(g18 +Vmers +p4592 +tp4593 +a(g189 +V +tp4594 +a(g343 +V= +tp4595 +a(g189 +V +tp4596 +a(g33 +VRandom +p4597 +tp4598 +a(g343 +V:: +p4599 +tp4600 +a(g33 +VMersenneTwister +p4601 +tp4602 +a(g343 +V. +tp4603 +a(g18 +Vnew +p4604 +tp4605 +a(g189 +V +tp4606 +a(g318 +V123456789 +p4607 +tp4608 +a(g189 +V\u000a +tp4609 +a(g57 +Vputs +p4610 +tp4611 +a(g189 +V +tp4612 +a(g18 +Vmers +p4613 +tp4614 +a(g343 +V. +tp4615 +a(g18 +Vrand +p4616 +tp4617 +a(g202 +V( +tp4618 +a(g318 +V0 +tp4619 +a(g202 +V) +tp4620 +a(g189 +V +p4621 +tp4622 +a(g7 +V# 0.550321932544541 +p4623 +tp4624 +a(g189 +V\u000a +tp4625 +a(g57 +Vputs +p4626 +tp4627 +a(g189 +V +tp4628 +a(g18 +Vmers +p4629 +tp4630 +a(g343 +V. +tp4631 +a(g18 +Vrand +p4632 +tp4633 +a(g202 +V( +tp4634 +a(g318 +V10 +p4635 +tp4636 +a(g202 +V) +tp4637 +a(g189 +V +p4638 +tp4639 +a(g7 +V# 2 +p4640 +tp4641 +a(g189 +V\u000a\u000a +p4642 +tp4643 +a(g7 +V# using online sources of random data via the realrand package: +p4644 +tp4645 +a(g189 +V\u000a +tp4646 +a(g7 +V# http://raa.ruby-lang.org/project/realrand/ +p4647 +tp4648 +a(g189 +V\u000a +tp4649 +a(g7 +V# **Note** +p4650 +tp4651 +a(g189 +V\u000a +tp4652 +a(g7 +V# The following online services are used in this package: +p4653 +tp4654 +a(g189 +V\u000a +tp4655 +a(g7 +V# http://www.random.org - source: atmospheric noise +p4656 +tp4657 +a(g189 +V\u000a +tp4658 +a(g7 +V# http://www.fourmilab.ch/hotbits - source: radioactive decay timings +p4659 +tp4660 +a(g189 +V\u000a +tp4661 +a(g7 +V# http://random.hd.org - source: entropy from local and network noise +p4662 +tp4663 +a(g189 +V\u000a +tp4664 +a(g7 +V# Please visit the sites and respect the rules of each service. +p4665 +tp4666 +a(g189 +V\u000a\u000a +p4667 +tp4668 +a(g57 +Vrequire +p4669 +tp4670 +a(g189 +V +tp4671 +a(g271 +V'random/online' +p4672 +tp4673 +a(g189 +V\u000a\u000a +p4674 +tp4675 +a(g18 +Vgenerator1 +p4676 +tp4677 +a(g189 +V +tp4678 +a(g343 +V= +tp4679 +a(g189 +V +tp4680 +a(g33 +VRandom +p4681 +tp4682 +a(g343 +V:: +p4683 +tp4684 +a(g33 +VRandomOrg +p4685 +tp4686 +a(g343 +V. +tp4687 +a(g18 +Vnew +p4688 +tp4689 +a(g189 +V\u000a +tp4690 +a(g57 +Vputs +p4691 +tp4692 +a(g189 +V +tp4693 +a(g18 +Vgenerator1 +p4694 +tp4695 +a(g343 +V. +tp4696 +a(g18 +Vrandbyte +p4697 +tp4698 +a(g202 +V( +tp4699 +a(g318 +V5 +tp4700 +a(g202 +V) +tp4701 +a(g343 +V. +tp4702 +a(g18 +Vjoin +p4703 +tp4704 +a(g202 +V( +tp4705 +a(g245 +V" +tp4706 +a(g245 +V, +tp4707 +a(g245 +V" +tp4708 +a(g202 +V) +tp4709 +a(g189 +V\u000a +tp4710 +a(g57 +Vputs +p4711 +tp4712 +a(g189 +V +tp4713 +a(g18 +Vgenerator1 +p4714 +tp4715 +a(g343 +V. +tp4716 +a(g18 +Vrandnum +p4717 +tp4718 +a(g202 +V( +tp4719 +a(g318 +V10 +p4720 +tp4721 +a(g202 +V, +tp4722 +a(g189 +V +tp4723 +a(g318 +V1 +tp4724 +a(g202 +V, +tp4725 +a(g189 +V +tp4726 +a(g318 +V6 +tp4727 +a(g202 +V) +tp4728 +a(g343 +V. +tp4729 +a(g18 +Vjoin +p4730 +tp4731 +a(g202 +V( +tp4732 +a(g245 +V" +tp4733 +a(g245 +V, +tp4734 +a(g245 +V" +tp4735 +a(g202 +V) +tp4736 +a(g189 +V +p4737 +tp4738 +a(g7 +V# Roll dice 10 times. +p4739 +tp4740 +a(g189 +V\u000a\u000a +p4741 +tp4742 +a(g18 +Vgenerator2 +p4743 +tp4744 +a(g189 +V +tp4745 +a(g343 +V= +tp4746 +a(g189 +V +tp4747 +a(g33 +VRandom +p4748 +tp4749 +a(g343 +V:: +p4750 +tp4751 +a(g33 +VFourmiLab +p4752 +tp4753 +a(g343 +V. +tp4754 +a(g18 +Vnew +p4755 +tp4756 +a(g189 +V\u000a +tp4757 +a(g57 +Vputs +p4758 +tp4759 +a(g189 +V +tp4760 +a(g18 +Vgenerator2 +p4761 +tp4762 +a(g343 +V. +tp4763 +a(g18 +Vrandbyte +p4764 +tp4765 +a(g202 +V( +tp4766 +a(g318 +V5 +tp4767 +a(g202 +V) +tp4768 +a(g343 +V. +tp4769 +a(g18 +Vjoin +p4770 +tp4771 +a(g202 +V( +tp4772 +a(g245 +V" +tp4773 +a(g245 +V, +tp4774 +a(g245 +V" +tp4775 +a(g202 +V) +tp4776 +a(g189 +V\u000a +tp4777 +a(g7 +V# randnum is not supported. +p4778 +tp4779 +a(g189 +V\u000a\u000a +p4780 +tp4781 +a(g18 +Vgenerator3 +p4782 +tp4783 +a(g189 +V +tp4784 +a(g343 +V= +tp4785 +a(g189 +V +tp4786 +a(g33 +VRandom +p4787 +tp4788 +a(g343 +V:: +p4789 +tp4790 +a(g33 +VEntropyPool +p4791 +tp4792 +a(g343 +V. +tp4793 +a(g18 +Vnew +p4794 +tp4795 +a(g189 +V\u000a +tp4796 +a(g57 +Vputs +p4797 +tp4798 +a(g189 +V +tp4799 +a(g18 +Vgenerator3 +p4800 +tp4801 +a(g343 +V. +tp4802 +a(g18 +Vrandbyte +p4803 +tp4804 +a(g202 +V( +tp4805 +a(g318 +V5 +tp4806 +a(g202 +V) +tp4807 +a(g343 +V. +tp4808 +a(g18 +Vjoin +p4809 +tp4810 +a(g202 +V( +tp4811 +a(g245 +V" +tp4812 +a(g245 +V, +tp4813 +a(g245 +V" +tp4814 +a(g202 +V) +tp4815 +a(g189 +V\u000a +tp4816 +a(g7 +V# randnum is not supported. +p4817 +tp4818 +a(g189 +V\u000a\u000a\u000a +p4819 +tp4820 +a(g7 +V# @@PLEAC@@_2.10 +p4821 +tp4822 +a(g189 +V\u000a +tp4823 +a(g111 +Vdef +p4824 +tp4825 +a(g189 +V +tp4826 +a(g21 +Vgaussian_rand +p4827 +tp4828 +a(g189 +V\u000a +p4829 +tp4830 +a(g111 +Vbegin +p4831 +tp4832 +a(g189 +V\u000a +p4833 +tp4834 +a(g18 +Vu1 +p4835 +tp4836 +a(g189 +V +tp4837 +a(g343 +V= +tp4838 +a(g189 +V +tp4839 +a(g318 +V2 +tp4840 +a(g189 +V +tp4841 +a(g343 +V* +tp4842 +a(g189 +V +tp4843 +a(g57 +Vrand +p4844 +tp4845 +a(g202 +V( +tp4846 +a(g202 +V) +tp4847 +a(g189 +V +tp4848 +a(g343 +V- +tp4849 +a(g189 +V +tp4850 +a(g318 +V1 +tp4851 +a(g189 +V\u000a +p4852 +tp4853 +a(g18 +Vu2 +p4854 +tp4855 +a(g189 +V +tp4856 +a(g343 +V= +tp4857 +a(g189 +V +tp4858 +a(g318 +V2 +tp4859 +a(g189 +V +tp4860 +a(g343 +V* +tp4861 +a(g189 +V +tp4862 +a(g57 +Vrand +p4863 +tp4864 +a(g202 +V( +tp4865 +a(g202 +V) +tp4866 +a(g189 +V +tp4867 +a(g343 +V- +tp4868 +a(g189 +V +tp4869 +a(g318 +V1 +tp4870 +a(g189 +V\u000a +p4871 +tp4872 +a(g18 +Vw +tp4873 +a(g189 +V +tp4874 +a(g343 +V= +tp4875 +a(g189 +V +tp4876 +a(g18 +Vu1 +p4877 +tp4878 +a(g343 +V* +tp4879 +a(g18 +Vu1 +p4880 +tp4881 +a(g189 +V +tp4882 +a(g343 +V+ +tp4883 +a(g189 +V +tp4884 +a(g18 +Vu2 +p4885 +tp4886 +a(g343 +V* +tp4887 +a(g18 +Vu2 +p4888 +tp4889 +a(g189 +V\u000a +p4890 +tp4891 +a(g111 +Vend +p4892 +tp4893 +a(g189 +V +tp4894 +a(g111 +Vwhile +p4895 +tp4896 +a(g189 +V +tp4897 +a(g202 +V( +tp4898 +a(g18 +Vw +tp4899 +a(g189 +V +tp4900 +a(g343 +V> +tp4901 +a(g343 +V= +tp4902 +a(g189 +V +tp4903 +a(g318 +V1 +tp4904 +a(g202 +V) +tp4905 +a(g189 +V\u000a +p4906 +tp4907 +a(g18 +Vw +tp4908 +a(g189 +V +tp4909 +a(g343 +V= +tp4910 +a(g189 +V +tp4911 +a(g33 +VMath +p4912 +tp4913 +a(g343 +V. +tp4914 +a(g18 +Vsqrt +p4915 +tp4916 +a(g202 +V( +tp4917 +a(g202 +V( +tp4918 +a(g343 +V- +tp4919 +a(g318 +V2 +tp4920 +a(g343 +V* +tp4921 +a(g33 +VMath +p4922 +tp4923 +a(g343 +V. +tp4924 +a(g18 +Vlog +p4925 +tp4926 +a(g202 +V( +tp4927 +a(g18 +Vw +tp4928 +a(g202 +V) +tp4929 +a(g202 +V) +tp4930 +a(g343 +V/ +tp4931 +a(g18 +Vw +tp4932 +a(g202 +V) +tp4933 +a(g189 +V\u000a +p4934 +tp4935 +a(g343 +V[ +tp4936 +a(g189 +V +tp4937 +a(g18 +Vu2 +p4938 +tp4939 +a(g343 +V* +tp4940 +a(g18 +Vw +tp4941 +a(g202 +V, +tp4942 +a(g189 +V +tp4943 +a(g18 +Vu1 +p4944 +tp4945 +a(g343 +V* +tp4946 +a(g18 +Vw +tp4947 +a(g189 +V +tp4948 +a(g343 +V] +tp4949 +a(g189 +V\u000a +tp4950 +a(g111 +Vend +p4951 +tp4952 +a(g189 +V\u000a\u000a +p4953 +tp4954 +a(g18 +Vmean +p4955 +tp4956 +a(g189 +V +tp4957 +a(g343 +V= +tp4958 +a(g189 +V +tp4959 +a(g318 +V25 +p4960 +tp4961 +a(g189 +V\u000a +tp4962 +a(g18 +Vsdev +p4963 +tp4964 +a(g189 +V +tp4965 +a(g343 +V= +tp4966 +a(g189 +V +tp4967 +a(g318 +V2 +tp4968 +a(g189 +V\u000a +tp4969 +a(g18 +Vsalary +p4970 +tp4971 +a(g189 +V +tp4972 +a(g343 +V= +tp4973 +a(g189 +V +tp4974 +a(g18 +Vgaussian_rand +p4975 +tp4976 +a(g343 +V[ +tp4977 +a(g318 +V0 +tp4978 +a(g343 +V] +tp4979 +a(g189 +V +tp4980 +a(g343 +V* +tp4981 +a(g189 +V +tp4982 +a(g18 +Vsdev +p4983 +tp4984 +a(g189 +V +tp4985 +a(g343 +V+ +tp4986 +a(g189 +V +tp4987 +a(g18 +Vmean +p4988 +tp4989 +a(g189 +V\u000a +tp4990 +a(g57 +Vprintf +p4991 +tp4992 +a(g202 +V( +tp4993 +a(g245 +V" +tp4994 +a(g245 +VYou have been hired at +p4995 +tp4996 +a(g245 +V\u005c +tp4997 +a(g245 +V$%.2f +p4998 +tp4999 +a(g252 +V\u005cn +p5000 +tp5001 +a(g245 +V" +tp5002 +a(g202 +V, +tp5003 +a(g189 +V +tp5004 +a(g18 +Vsalary +p5005 +tp5006 +a(g202 +V) +tp5007 +a(g189 +V\u000a\u000a\u000a +p5008 +tp5009 +a(g7 +V# @@PLEAC@@_2.11 +p5010 +tp5011 +a(g189 +V\u000a +tp5012 +a(g111 +Vdef +p5013 +tp5014 +a(g189 +V +tp5015 +a(g21 +Vdeg2rad +p5016 +tp5017 +a(g202 +V( +tp5018 +a(g18 +Vd +tp5019 +a(g202 +V) +tp5020 +a(g189 +V\u000a +p5021 +tp5022 +a(g202 +V( +tp5023 +a(g18 +Vd +tp5024 +a(g343 +V/ +tp5025 +a(g318 +V180 +p5026 +tp5027 +a(g343 +V. +tp5028 +a(g318 +V0 +tp5029 +a(g202 +V) +tp5030 +a(g343 +V* +tp5031 +a(g33 +VMath +p5032 +tp5033 +a(g343 +V:: +p5034 +tp5035 +a(g33 +VPI +p5036 +tp5037 +a(g189 +V\u000a +tp5038 +a(g111 +Vend +p5039 +tp5040 +a(g189 +V\u000a\u000a +p5041 +tp5042 +a(g111 +Vdef +p5043 +tp5044 +a(g189 +V +tp5045 +a(g21 +Vrad2deg +p5046 +tp5047 +a(g202 +V( +tp5048 +a(g18 +Vr +tp5049 +a(g202 +V) +tp5050 +a(g189 +V\u000a +p5051 +tp5052 +a(g202 +V( +tp5053 +a(g18 +Vr +tp5054 +a(g343 +V/ +tp5055 +a(g33 +VMath +p5056 +tp5057 +a(g343 +V:: +p5058 +tp5059 +a(g33 +VPI +p5060 +tp5061 +a(g202 +V) +tp5062 +a(g343 +V* +tp5063 +a(g318 +V180 +p5064 +tp5065 +a(g189 +V\u000a +tp5066 +a(g111 +Vend +p5067 +tp5068 +a(g189 +V\u000a\u000a\u000a +p5069 +tp5070 +a(g7 +V# @@PLEAC@@_2.12 +p5071 +tp5072 +a(g189 +V\u000a +tp5073 +a(g18 +Vsin_val +p5074 +tp5075 +a(g189 +V +tp5076 +a(g343 +V= +tp5077 +a(g189 +V +tp5078 +a(g33 +VMath +p5079 +tp5080 +a(g343 +V. +tp5081 +a(g18 +Vsin +p5082 +tp5083 +a(g202 +V( +tp5084 +a(g18 +Vangle +p5085 +tp5086 +a(g202 +V) +tp5087 +a(g189 +V\u000a +tp5088 +a(g18 +Vcos_val +p5089 +tp5090 +a(g189 +V +tp5091 +a(g343 +V= +tp5092 +a(g189 +V +tp5093 +a(g33 +VMath +p5094 +tp5095 +a(g343 +V. +tp5096 +a(g18 +Vcos +p5097 +tp5098 +a(g202 +V( +tp5099 +a(g18 +Vangle +p5100 +tp5101 +a(g202 +V) +tp5102 +a(g189 +V\u000a +tp5103 +a(g18 +Vtan_val +p5104 +tp5105 +a(g189 +V +tp5106 +a(g343 +V= +tp5107 +a(g189 +V +tp5108 +a(g33 +VMath +p5109 +tp5110 +a(g343 +V. +tp5111 +a(g18 +Vtan +p5112 +tp5113 +a(g202 +V( +tp5114 +a(g18 +Vangle +p5115 +tp5116 +a(g202 +V) +tp5117 +a(g189 +V\u000a\u000a +p5118 +tp5119 +a(g7 +V# AFAIK Ruby's Math module doesn't provide acos/asin +p5120 +tp5121 +a(g189 +V\u000a +tp5122 +a(g7 +V# While we're at it, let's also define missing hyperbolic functions +p5123 +tp5124 +a(g189 +V\u000a +tp5125 +a(g111 +Vmodule +p5126 +tp5127 +a(g189 +V +tp5128 +a(g99 +VMath +p5129 +tp5130 +a(g189 +V\u000a +p5131 +tp5132 +a(g111 +Vdef +p5133 +tp5134 +a(g189 +V +tp5135 +a(g106 +VMath +p5136 +tp5137 +a(g343 +V. +tp5138 +a(g21 +Vasin +p5139 +tp5140 +a(g202 +V( +tp5141 +a(g18 +Vx +tp5142 +a(g202 +V) +tp5143 +a(g189 +V\u000a +p5144 +tp5145 +a(g18 +Vatan2 +p5146 +tp5147 +a(g202 +V( +tp5148 +a(g18 +Vx +tp5149 +a(g202 +V, +tp5150 +a(g189 +V +tp5151 +a(g18 +Vsqrt +p5152 +tp5153 +a(g202 +V( +tp5154 +a(g318 +V1 +tp5155 +a(g189 +V +tp5156 +a(g343 +V- +tp5157 +a(g189 +V +tp5158 +a(g18 +Vx +tp5159 +a(g343 +V** +p5160 +tp5161 +a(g318 +V2 +tp5162 +a(g202 +V) +tp5163 +a(g202 +V) +tp5164 +a(g189 +V\u000a +p5165 +tp5166 +a(g111 +Vend +p5167 +tp5168 +a(g189 +V\u000a +p5169 +tp5170 +a(g111 +Vdef +p5171 +tp5172 +a(g189 +V +tp5173 +a(g106 +VMath +p5174 +tp5175 +a(g343 +V. +tp5176 +a(g21 +Vacos +p5177 +tp5178 +a(g202 +V( +tp5179 +a(g18 +Vx +tp5180 +a(g202 +V) +tp5181 +a(g189 +V\u000a +p5182 +tp5183 +a(g18 +Vatan2 +p5184 +tp5185 +a(g202 +V( +tp5186 +a(g18 +Vsqrt +p5187 +tp5188 +a(g202 +V( +tp5189 +a(g318 +V1 +tp5190 +a(g189 +V +tp5191 +a(g343 +V- +tp5192 +a(g189 +V +tp5193 +a(g18 +Vx +tp5194 +a(g343 +V** +p5195 +tp5196 +a(g318 +V2 +tp5197 +a(g202 +V) +tp5198 +a(g202 +V, +tp5199 +a(g189 +V +tp5200 +a(g18 +Vx +tp5201 +a(g202 +V) +tp5202 +a(g189 +V\u000a +p5203 +tp5204 +a(g111 +Vend +p5205 +tp5206 +a(g189 +V\u000a +p5207 +tp5208 +a(g111 +Vdef +p5209 +tp5210 +a(g189 +V +tp5211 +a(g106 +VMath +p5212 +tp5213 +a(g343 +V. +tp5214 +a(g21 +Vatan +p5215 +tp5216 +a(g202 +V( +tp5217 +a(g18 +Vx +tp5218 +a(g202 +V) +tp5219 +a(g189 +V\u000a +p5220 +tp5221 +a(g18 +Vatan2 +p5222 +tp5223 +a(g202 +V( +tp5224 +a(g18 +Vx +tp5225 +a(g202 +V, +tp5226 +a(g189 +V +tp5227 +a(g318 +V1 +tp5228 +a(g202 +V) +tp5229 +a(g189 +V\u000a +p5230 +tp5231 +a(g111 +Vend +p5232 +tp5233 +a(g189 +V\u000a +p5234 +tp5235 +a(g111 +Vdef +p5236 +tp5237 +a(g189 +V +tp5238 +a(g106 +VMath +p5239 +tp5240 +a(g343 +V. +tp5241 +a(g21 +Vsinh +p5242 +tp5243 +a(g202 +V( +tp5244 +a(g18 +Vx +tp5245 +a(g202 +V) +tp5246 +a(g189 +V\u000a +p5247 +tp5248 +a(g202 +V( +tp5249 +a(g18 +Vexp +p5250 +tp5251 +a(g202 +V( +tp5252 +a(g18 +Vx +tp5253 +a(g202 +V) +tp5254 +a(g189 +V +tp5255 +a(g343 +V- +tp5256 +a(g189 +V +tp5257 +a(g18 +Vexp +p5258 +tp5259 +a(g202 +V( +tp5260 +a(g343 +V- +tp5261 +a(g18 +Vx +tp5262 +a(g202 +V) +tp5263 +a(g202 +V) +tp5264 +a(g189 +V +tp5265 +a(g343 +V/ +tp5266 +a(g189 +V +tp5267 +a(g318 +V2 +tp5268 +a(g189 +V\u000a +p5269 +tp5270 +a(g111 +Vend +p5271 +tp5272 +a(g189 +V\u000a +p5273 +tp5274 +a(g111 +Vdef +p5275 +tp5276 +a(g189 +V +tp5277 +a(g106 +VMath +p5278 +tp5279 +a(g343 +V. +tp5280 +a(g21 +Vcosh +p5281 +tp5282 +a(g202 +V( +tp5283 +a(g18 +Vx +tp5284 +a(g202 +V) +tp5285 +a(g189 +V\u000a +p5286 +tp5287 +a(g202 +V( +tp5288 +a(g18 +Vexp +p5289 +tp5290 +a(g202 +V( +tp5291 +a(g18 +Vx +tp5292 +a(g202 +V) +tp5293 +a(g189 +V +tp5294 +a(g343 +V+ +tp5295 +a(g189 +V +tp5296 +a(g18 +Vexp +p5297 +tp5298 +a(g202 +V( +tp5299 +a(g343 +V- +tp5300 +a(g18 +Vx +tp5301 +a(g202 +V) +tp5302 +a(g202 +V) +tp5303 +a(g189 +V +tp5304 +a(g343 +V/ +tp5305 +a(g189 +V +tp5306 +a(g318 +V2 +tp5307 +a(g189 +V\u000a +p5308 +tp5309 +a(g111 +Vend +p5310 +tp5311 +a(g189 +V\u000a +p5312 +tp5313 +a(g111 +Vdef +p5314 +tp5315 +a(g189 +V +tp5316 +a(g106 +VMath +p5317 +tp5318 +a(g343 +V. +tp5319 +a(g21 +Vtanh +p5320 +tp5321 +a(g202 +V( +tp5322 +a(g18 +Vx +tp5323 +a(g202 +V) +tp5324 +a(g189 +V\u000a +p5325 +tp5326 +a(g18 +Vsinh +p5327 +tp5328 +a(g202 +V( +tp5329 +a(g18 +Vx +tp5330 +a(g202 +V) +tp5331 +a(g189 +V +tp5332 +a(g343 +V/ +tp5333 +a(g189 +V +tp5334 +a(g18 +Vcosh +p5335 +tp5336 +a(g202 +V( +tp5337 +a(g18 +Vx +tp5338 +a(g202 +V) +tp5339 +a(g189 +V\u000a +p5340 +tp5341 +a(g111 +Vend +p5342 +tp5343 +a(g189 +V\u000a +tp5344 +a(g111 +Vend +p5345 +tp5346 +a(g189 +V\u000a\u000a +p5347 +tp5348 +a(g7 +V# The support for Complex numbers is not built-in +p5349 +tp5350 +a(g189 +V\u000a +tp5351 +a(g18 +Vy +tp5352 +a(g189 +V +tp5353 +a(g343 +V= +tp5354 +a(g189 +V +tp5355 +a(g33 +VMath +p5356 +tp5357 +a(g343 +V. +tp5358 +a(g18 +Vacos +p5359 +tp5360 +a(g202 +V( +tp5361 +a(g318 +V3 +tp5362 +a(g343 +V. +tp5363 +a(g318 +V7 +tp5364 +a(g202 +V) +tp5365 +a(g189 +V\u000a +tp5366 +a(g7 +V#=> in `sqrt': square root for negative number (ArgumentError) +p5367 +tp5368 +a(g189 +V\u000a\u000a +p5369 +tp5370 +a(g7 +V# There is an implementation of Complex numbers in 'complex.rb' in current +p5371 +tp5372 +a(g189 +V\u000a +tp5373 +a(g7 +V# Ruby distro, but it doesn't support atan2 with complex args, so it doesn't +p5374 +tp5375 +a(g189 +V\u000a +tp5376 +a(g7 +V# solve this problem. +p5377 +tp5378 +a(g189 +V\u000a\u000a\u000a +p5379 +tp5380 +a(g7 +V# @@PLEAC@@_2.13 +p5381 +tp5382 +a(g189 +V\u000a +tp5383 +a(g18 +Vlog_e +p5384 +tp5385 +a(g189 +V +tp5386 +a(g343 +V= +tp5387 +a(g189 +V +tp5388 +a(g33 +VMath +p5389 +tp5390 +a(g343 +V. +tp5391 +a(g18 +Vlog +p5392 +tp5393 +a(g202 +V( +tp5394 +a(g18 +Vval +p5395 +tp5396 +a(g202 +V) +tp5397 +a(g189 +V\u000a +tp5398 +a(g18 +Vlog_10 +p5399 +tp5400 +a(g189 +V +tp5401 +a(g343 +V= +tp5402 +a(g189 +V +tp5403 +a(g33 +VMath +p5404 +tp5405 +a(g343 +V. +tp5406 +a(g18 +Vlog10 +p5407 +tp5408 +a(g202 +V( +tp5409 +a(g18 +Vval +p5410 +tp5411 +a(g202 +V) +tp5412 +a(g189 +V\u000a\u000a +p5413 +tp5414 +a(g111 +Vdef +p5415 +tp5416 +a(g189 +V +tp5417 +a(g21 +Vlog_base +p5418 +tp5419 +a(g202 +V( +tp5420 +a(g18 +Vbase +p5421 +tp5422 +a(g202 +V, +tp5423 +a(g189 +V +tp5424 +a(g18 +Vval +p5425 +tp5426 +a(g202 +V) +tp5427 +a(g189 +V\u000a +p5428 +tp5429 +a(g33 +VMath +p5430 +tp5431 +a(g343 +V. +tp5432 +a(g18 +Vlog +p5433 +tp5434 +a(g202 +V( +tp5435 +a(g18 +Vval +p5436 +tp5437 +a(g202 +V) +tp5438 +a(g343 +V/ +tp5439 +a(g33 +VMath +p5440 +tp5441 +a(g343 +V. +tp5442 +a(g18 +Vlog +p5443 +tp5444 +a(g202 +V( +tp5445 +a(g18 +Vbase +p5446 +tp5447 +a(g202 +V) +tp5448 +a(g189 +V\u000a +tp5449 +a(g111 +Vend +p5450 +tp5451 +a(g189 +V\u000a\u000a +p5452 +tp5453 +a(g18 +Vanswer +p5454 +tp5455 +a(g189 +V +tp5456 +a(g343 +V= +tp5457 +a(g189 +V +tp5458 +a(g18 +Vlog_base +p5459 +tp5460 +a(g202 +V( +tp5461 +a(g318 +V10 +p5462 +tp5463 +a(g202 +V, +tp5464 +a(g189 +V +tp5465 +a(g318 +V10_000 +p5466 +tp5467 +a(g202 +V) +tp5468 +a(g189 +V\u000a +tp5469 +a(g57 +Vputs +p5470 +tp5471 +a(g189 +V +tp5472 +a(g245 +V" +tp5473 +a(g245 +Vlog10(10,000) = +p5474 +tp5475 +a(g233 +V#{ +p5476 +tp5477 +a(g18 +Vanswer +p5478 +tp5479 +a(g233 +V} +tp5480 +a(g245 +V" +tp5481 +a(g189 +V\u000a\u000a\u000a +p5482 +tp5483 +a(g7 +V# @@PLEAC@@_2.14 +p5484 +tp5485 +a(g189 +V\u000a +tp5486 +a(g57 +Vrequire +p5487 +tp5488 +a(g189 +V +tp5489 +a(g271 +V'matrix.rb' +p5490 +tp5491 +a(g189 +V\u000a\u000a +p5492 +tp5493 +a(g18 +Va +tp5494 +a(g189 +V +tp5495 +a(g343 +V= +tp5496 +a(g189 +V +tp5497 +a(g33 +VMatrix +p5498 +tp5499 +a(g343 +V[ +tp5500 +a(g343 +V[ +tp5501 +a(g318 +V3 +tp5502 +a(g202 +V, +tp5503 +a(g189 +V +tp5504 +a(g318 +V2 +tp5505 +a(g202 +V, +tp5506 +a(g189 +V +tp5507 +a(g318 +V3 +tp5508 +a(g343 +V] +tp5509 +a(g202 +V, +tp5510 +a(g189 +V +tp5511 +a(g343 +V[ +tp5512 +a(g318 +V5 +tp5513 +a(g202 +V, +tp5514 +a(g189 +V +tp5515 +a(g318 +V9 +tp5516 +a(g202 +V, +tp5517 +a(g189 +V +tp5518 +a(g318 +V8 +tp5519 +a(g343 +V] +tp5520 +a(g343 +V] +tp5521 +a(g189 +V\u000a +tp5522 +a(g18 +Vb +tp5523 +a(g189 +V +tp5524 +a(g343 +V= +tp5525 +a(g189 +V +tp5526 +a(g33 +VMatrix +p5527 +tp5528 +a(g343 +V[ +tp5529 +a(g343 +V[ +tp5530 +a(g318 +V4 +tp5531 +a(g202 +V, +tp5532 +a(g189 +V +tp5533 +a(g318 +V7 +tp5534 +a(g343 +V] +tp5535 +a(g202 +V, +tp5536 +a(g189 +V +tp5537 +a(g343 +V[ +tp5538 +a(g318 +V9 +tp5539 +a(g202 +V, +tp5540 +a(g189 +V +tp5541 +a(g318 +V3 +tp5542 +a(g343 +V] +tp5543 +a(g202 +V, +tp5544 +a(g189 +V +tp5545 +a(g343 +V[ +tp5546 +a(g318 +V8 +tp5547 +a(g202 +V, +tp5548 +a(g189 +V +tp5549 +a(g318 +V1 +tp5550 +a(g343 +V] +tp5551 +a(g343 +V] +tp5552 +a(g189 +V\u000a +tp5553 +a(g18 +Vc +tp5554 +a(g189 +V +tp5555 +a(g343 +V= +tp5556 +a(g189 +V +tp5557 +a(g18 +Va +tp5558 +a(g189 +V +tp5559 +a(g343 +V* +tp5560 +a(g189 +V +tp5561 +a(g18 +Vb +tp5562 +a(g189 +V\u000a\u000a +p5563 +tp5564 +a(g18 +Va +tp5565 +a(g343 +V. +tp5566 +a(g18 +Vrow_size +p5567 +tp5568 +a(g189 +V\u000a +tp5569 +a(g18 +Va +tp5570 +a(g343 +V. +tp5571 +a(g18 +Vcolumn_size +p5572 +tp5573 +a(g189 +V\u000a\u000a +p5574 +tp5575 +a(g18 +Vc +tp5576 +a(g343 +V. +tp5577 +a(g18 +Vdet +p5578 +tp5579 +a(g189 +V\u000a +tp5580 +a(g18 +Va +tp5581 +a(g343 +V. +tp5582 +a(g18 +Vtranspose +p5583 +tp5584 +a(g189 +V\u000a\u000a\u000a +p5585 +tp5586 +a(g7 +V# @@PLEAC@@_2.15 +p5587 +tp5588 +a(g189 +V\u000a +tp5589 +a(g57 +Vrequire +p5590 +tp5591 +a(g189 +V +tp5592 +a(g271 +V'complex.rb' +p5593 +tp5594 +a(g189 +V\u000a +tp5595 +a(g57 +Vrequire +p5596 +tp5597 +a(g189 +V +tp5598 +a(g271 +V'rational.rb' +p5599 +tp5600 +a(g189 +V\u000a\u000a +p5601 +tp5602 +a(g18 +Va +tp5603 +a(g189 +V +tp5604 +a(g343 +V= +tp5605 +a(g189 +V +tp5606 +a(g33 +VComplex +p5607 +tp5608 +a(g202 +V( +tp5609 +a(g318 +V3 +tp5610 +a(g202 +V, +tp5611 +a(g189 +V +tp5612 +a(g318 +V5 +tp5613 +a(g202 +V) +tp5614 +a(g189 +V +p5615 +tp5616 +a(g7 +V# 3 + 5i +p5617 +tp5618 +a(g189 +V\u000a +tp5619 +a(g18 +Vb +tp5620 +a(g189 +V +tp5621 +a(g343 +V= +tp5622 +a(g189 +V +tp5623 +a(g33 +VComplex +p5624 +tp5625 +a(g202 +V( +tp5626 +a(g318 +V2 +tp5627 +a(g202 +V, +tp5628 +a(g189 +V +tp5629 +a(g343 +V- +tp5630 +a(g318 +V2 +tp5631 +a(g202 +V) +tp5632 +a(g189 +V +p5633 +tp5634 +a(g7 +V# 2 - 2i +p5635 +tp5636 +a(g189 +V\u000a +tp5637 +a(g57 +Vputs +p5638 +tp5639 +a(g189 +V +tp5640 +a(g245 +V" +tp5641 +a(g245 +Vc = +p5642 +tp5643 +a(g233 +V#{ +p5644 +tp5645 +a(g18 +Va +tp5646 +a(g343 +V* +tp5647 +a(g18 +Vb +tp5648 +a(g233 +V} +tp5649 +a(g245 +V" +tp5650 +a(g189 +V\u000a\u000a +p5651 +tp5652 +a(g18 +Vc +tp5653 +a(g189 +V +tp5654 +a(g343 +V= +tp5655 +a(g189 +V +tp5656 +a(g18 +Va +tp5657 +a(g189 +V +tp5658 +a(g343 +V* +tp5659 +a(g189 +V +tp5660 +a(g18 +Vb +tp5661 +a(g189 +V\u000a +tp5662 +a(g18 +Vd +tp5663 +a(g189 +V +tp5664 +a(g343 +V= +tp5665 +a(g189 +V +tp5666 +a(g318 +V3 +tp5667 +a(g189 +V +tp5668 +a(g343 +V+ +tp5669 +a(g189 +V +tp5670 +a(g318 +V4 +tp5671 +a(g343 +V* +tp5672 +a(g33 +VComplex +p5673 +tp5674 +a(g343 +V:: +p5675 +tp5676 +a(g18 +VI +tp5677 +a(g189 +V\u000a\u000a +p5678 +tp5679 +a(g57 +Vprintf +p5680 +tp5681 +a(g189 +V +tp5682 +a(g245 +V" +tp5683 +a(g245 +Vsqrt( +p5684 +tp5685 +a(g233 +V#{ +p5686 +tp5687 +a(g18 +Vd +tp5688 +a(g233 +V} +tp5689 +a(g245 +V) = %s +p5690 +tp5691 +a(g252 +V\u005cn +p5692 +tp5693 +a(g245 +V" +tp5694 +a(g202 +V, +tp5695 +a(g189 +V +tp5696 +a(g33 +VMath +p5697 +tp5698 +a(g343 +V. +tp5699 +a(g18 +Vsqrt +p5700 +tp5701 +a(g202 +V( +tp5702 +a(g18 +Vd +tp5703 +a(g202 +V) +tp5704 +a(g189 +V\u000a\u000a\u000a +p5705 +tp5706 +a(g7 +V# @@PLEAC@@_2.16 +p5707 +tp5708 +a(g189 +V\u000a +tp5709 +a(g18 +Vnumber +p5710 +tp5711 +a(g189 +V +tp5712 +a(g343 +V= +tp5713 +a(g189 +V +tp5714 +a(g18 +Vhexadecimal +p5715 +tp5716 +a(g343 +V. +tp5717 +a(g18 +Vhex +p5718 +tp5719 +a(g189 +V\u000a +tp5720 +a(g18 +Vnumber +p5721 +tp5722 +a(g189 +V +tp5723 +a(g343 +V= +tp5724 +a(g189 +V +tp5725 +a(g18 +Voctal +p5726 +tp5727 +a(g343 +V. +tp5728 +a(g18 +Voct +p5729 +tp5730 +a(g189 +V\u000a\u000a +p5731 +tp5732 +a(g57 +Vprint +p5733 +tp5734 +a(g189 +V +tp5735 +a(g245 +V" +tp5736 +a(g245 +VGimme a number in decimal, octal, or hex: +p5737 +tp5738 +a(g245 +V" +tp5739 +a(g189 +V\u000a +tp5740 +a(g18 +Vnum +p5741 +tp5742 +a(g189 +V +tp5743 +a(g343 +V= +tp5744 +a(g189 +V +tp5745 +a(g57 +Vgets +p5746 +tp5747 +a(g343 +V. +tp5748 +a(g18 +Vchomp +p5749 +tp5750 +a(g189 +V\u000a +tp5751 +a(g57 +Vexit +p5752 +tp5753 +a(g189 +V +tp5754 +a(g111 +Vunless +p5755 +tp5756 +a(g189 +V +tp5757 +a(g18 +Vdefined? +p5758 +tp5759 +a(g202 +V( +tp5760 +a(g18 +Vnum +p5761 +tp5762 +a(g202 +V) +tp5763 +a(g189 +V\u000a +tp5764 +a(g18 +Vnum +p5765 +tp5766 +a(g189 +V +tp5767 +a(g343 +V= +tp5768 +a(g189 +V +tp5769 +a(g18 +Vnum +p5770 +tp5771 +a(g343 +V. +tp5772 +a(g18 +Voct +p5773 +tp5774 +a(g189 +V +tp5775 +a(g111 +Vif +p5776 +tp5777 +a(g189 +V +tp5778 +a(g18 +Vnum +p5779 +tp5780 +a(g189 +V +tp5781 +a(g343 +V=~ +p5782 +tp5783 +a(g189 +V +tp5784 +a(g229 +V/ +tp5785 +a(g229 +V^0 +p5786 +tp5787 +a(g229 +V/ +tp5788 +a(g189 +V +p5789 +tp5790 +a(g7 +V# does both oct and hex +p5791 +tp5792 +a(g189 +V\u000a +tp5793 +a(g57 +Vprintf +p5794 +tp5795 +a(g189 +V +tp5796 +a(g245 +V" +tp5797 +a(g245 +V%d %x %o +p5798 +tp5799 +a(g252 +V\u005cn +p5800 +tp5801 +a(g245 +V" +tp5802 +a(g202 +V, +tp5803 +a(g189 +V +tp5804 +a(g18 +Vnum +p5805 +tp5806 +a(g202 +V, +tp5807 +a(g189 +V +tp5808 +a(g18 +Vnum +p5809 +tp5810 +a(g202 +V, +tp5811 +a(g189 +V +tp5812 +a(g18 +Vnum +p5813 +tp5814 +a(g189 +V\u000a\u000a +p5815 +tp5816 +a(g57 +Vprint +p5817 +tp5818 +a(g189 +V +tp5819 +a(g245 +V" +tp5820 +a(g245 +VEnter file permission in octal: +p5821 +tp5822 +a(g245 +V" +tp5823 +a(g189 +V\u000a +tp5824 +a(g18 +Vpermissions +p5825 +tp5826 +a(g189 +V +tp5827 +a(g343 +V= +tp5828 +a(g189 +V +tp5829 +a(g57 +Vgets +p5830 +tp5831 +a(g343 +V. +tp5832 +a(g18 +Vchomp +p5833 +tp5834 +a(g189 +V\u000a +tp5835 +a(g111 +Vraise +p5836 +tp5837 +a(g189 +V +tp5838 +a(g245 +V" +tp5839 +a(g245 +VExiting ... +p5840 +tp5841 +a(g252 +V\u005cn +p5842 +tp5843 +a(g245 +V" +tp5844 +a(g189 +V +tp5845 +a(g111 +Vunless +p5846 +tp5847 +a(g189 +V +tp5848 +a(g18 +Vdefined? +p5849 +tp5850 +a(g202 +V( +tp5851 +a(g18 +Vpermissions +p5852 +tp5853 +a(g202 +V) +tp5854 +a(g189 +V\u000a +tp5855 +a(g57 +Vputs +p5856 +tp5857 +a(g189 +V +tp5858 +a(g245 +V" +tp5859 +a(g245 +VThe decimal value is +p5860 +tp5861 +a(g233 +V#{ +p5862 +tp5863 +a(g18 +Vpermissions +p5864 +tp5865 +a(g343 +V. +tp5866 +a(g18 +Voct +p5867 +tp5868 +a(g233 +V} +tp5869 +a(g245 +V" +tp5870 +a(g189 +V\u000a\u000a\u000a +p5871 +tp5872 +a(g7 +V# @@PLEAC@@_2.17 +p5873 +tp5874 +a(g189 +V\u000a +tp5875 +a(g111 +Vdef +p5876 +tp5877 +a(g189 +V +tp5878 +a(g21 +Vcommify +p5879 +tp5880 +a(g202 +V( +tp5881 +a(g18 +Vn +tp5882 +a(g202 +V) +tp5883 +a(g189 +V\u000a +p5884 +tp5885 +a(g18 +Vn +tp5886 +a(g343 +V. +tp5887 +a(g18 +Vto_s +p5888 +tp5889 +a(g189 +V +tp5890 +a(g343 +V=~ +p5891 +tp5892 +a(g189 +V +tp5893 +a(g229 +V/ +tp5894 +a(g229 +V([^ +p5895 +tp5896 +a(g229 +V\u005c +tp5897 +a(g229 +V.]*)( +p5898 +tp5899 +a(g229 +V\u005c +tp5900 +a(g229 +V..*)? +p5901 +tp5902 +a(g229 +V/ +tp5903 +a(g189 +V\u000a +p5904 +tp5905 +a(g18 +Vint +p5906 +tp5907 +a(g202 +V, +tp5908 +a(g189 +V +tp5909 +a(g18 +Vdec +p5910 +tp5911 +a(g189 +V +tp5912 +a(g343 +V= +tp5913 +a(g189 +V +tp5914 +a(g76 +V$1 +p5915 +tp5916 +a(g343 +V. +tp5917 +a(g18 +Vreverse +p5918 +tp5919 +a(g202 +V, +tp5920 +a(g189 +V +tp5921 +a(g76 +V$2 +p5922 +tp5923 +a(g189 +V +tp5924 +a(g202 +V? +tp5925 +a(g189 +V +tp5926 +a(g76 +V$2 +p5927 +tp5928 +a(g189 +V +tp5929 +a(g202 +V: +tp5930 +a(g189 +V +tp5931 +a(g245 +V" +tp5932 +a(g245 +V" +tp5933 +a(g189 +V\u000a +p5934 +tp5935 +a(g111 +Vwhile +p5936 +tp5937 +a(g189 +V +tp5938 +a(g18 +Vint +p5939 +tp5940 +a(g343 +V. +tp5941 +a(g18 +Vgsub! +p5942 +tp5943 +a(g202 +V( +tp5944 +a(g229 +V/ +tp5945 +a(g229 +V(,| +p5946 +tp5947 +a(g229 +V\u005c +tp5948 +a(g229 +V.|^)( +p5949 +tp5950 +a(g229 +V\u005c +tp5951 +a(g229 +Vd{3})( +p5952 +tp5953 +a(g229 +V\u005c +tp5954 +a(g229 +Vd) +p5955 +tp5956 +a(g229 +V/ +tp5957 +a(g202 +V, +tp5958 +a(g189 +V +tp5959 +a(g271 +V'\u005c1\u005c2,\u005c3' +p5960 +tp5961 +a(g202 +V) +tp5962 +a(g189 +V\u000a +p5963 +tp5964 +a(g111 +Vend +p5965 +tp5966 +a(g189 +V\u000a +p5967 +tp5968 +a(g18 +Vint +p5969 +tp5970 +a(g343 +V. +tp5971 +a(g18 +Vreverse +p5972 +tp5973 +a(g189 +V +tp5974 +a(g343 +V+ +tp5975 +a(g189 +V +tp5976 +a(g18 +Vdec +p5977 +tp5978 +a(g189 +V\u000a +tp5979 +a(g111 +Vend +p5980 +tp5981 +a(g189 +V\u000a\u000a\u000a +p5982 +tp5983 +a(g7 +V# @@PLEAC@@_2.18 +p5984 +tp5985 +a(g189 +V\u000a +tp5986 +a(g57 +Vprintf +p5987 +tp5988 +a(g189 +V +tp5989 +a(g245 +V" +tp5990 +a(g245 +VIt took %d hour%s +p5991 +tp5992 +a(g252 +V\u005cn +p5993 +tp5994 +a(g245 +V" +tp5995 +a(g202 +V, +tp5996 +a(g189 +V +tp5997 +a(g18 +Vtime +p5998 +tp5999 +a(g202 +V, +tp6000 +a(g189 +V +tp6001 +a(g18 +Vtime +p6002 +tp6003 +a(g189 +V +tp6004 +a(g343 +V== +p6005 +tp6006 +a(g189 +V +tp6007 +a(g318 +V1 +tp6008 +a(g189 +V +tp6009 +a(g343 +V? +tp6010 +a(g189 +V +tp6011 +a(g245 +V" +tp6012 +a(g245 +V" +tp6013 +a(g189 +V +tp6014 +a(g202 +V: +tp6015 +a(g189 +V +tp6016 +a(g245 +V" +tp6017 +a(g245 +Vs +tp6018 +a(g245 +V" +tp6019 +a(g189 +V\u000a\u000a +p6020 +tp6021 +a(g7 +V# dunno if an equivalent to Lingua::EN::Inflect exists... +p6022 +tp6023 +a(g189 +V\u000a\u000a\u000a +p6024 +tp6025 +a(g7 +V# @@PLEAC@@_2.19 +p6026 +tp6027 +a(g189 +V\u000a +tp6028 +a(g7 +V#----------------------------- +p6029 +tp6030 +a(g189 +V\u000a +tp6031 +a(g7 +V#!/usr/bin/ruby +p6032 +tp6033 +a(g189 +V\u000a +tp6034 +a(g7 +V# bigfact - calculating prime factors +p6035 +tp6036 +a(g189 +V\u000a +tp6037 +a(g111 +Vdef +p6038 +tp6039 +a(g189 +V +tp6040 +a(g21 +Vfactorize +p6041 +tp6042 +a(g202 +V( +tp6043 +a(g18 +Vorig +p6044 +tp6045 +a(g202 +V) +tp6046 +a(g189 +V\u000a +p6047 +tp6048 +a(g18 +Vfactors +p6049 +tp6050 +a(g189 +V +tp6051 +a(g343 +V= +tp6052 +a(g189 +V +tp6053 +a(g202 +V{ +tp6054 +a(g202 +V} +tp6055 +a(g189 +V\u000a +p6056 +tp6057 +a(g18 +Vfactors +p6058 +tp6059 +a(g343 +V. +tp6060 +a(g18 +Vdefault +p6061 +tp6062 +a(g189 +V +tp6063 +a(g343 +V= +tp6064 +a(g189 +V +tp6065 +a(g318 +V0 +tp6066 +a(g189 +V +p6067 +tp6068 +a(g7 +V# return 0 instead nil if key not found in hash +p6069 +tp6070 +a(g189 +V\u000a +p6071 +tp6072 +a(g18 +Vn +tp6073 +a(g189 +V +tp6074 +a(g343 +V= +tp6075 +a(g189 +V +tp6076 +a(g18 +Vorig +p6077 +tp6078 +a(g189 +V\u000a +p6079 +tp6080 +a(g18 +Vi +tp6081 +a(g189 +V +tp6082 +a(g343 +V= +tp6083 +a(g189 +V +tp6084 +a(g318 +V2 +tp6085 +a(g189 +V\u000a +p6086 +tp6087 +a(g18 +Vsqi +p6088 +tp6089 +a(g189 +V +tp6090 +a(g343 +V= +tp6091 +a(g189 +V +tp6092 +a(g318 +V4 +tp6093 +a(g189 +V +p6094 +tp6095 +a(g7 +V# square of i +p6096 +tp6097 +a(g189 +V\u000a +p6098 +tp6099 +a(g111 +Vwhile +p6100 +tp6101 +a(g189 +V +tp6102 +a(g18 +Vsqi +p6103 +tp6104 +a(g189 +V +tp6105 +a(g343 +V< +tp6106 +a(g343 +V= +tp6107 +a(g189 +V +tp6108 +a(g18 +Vn +tp6109 +a(g189 +V +tp6110 +a(g111 +Vdo +p6111 +tp6112 +a(g189 +V\u000a +p6113 +tp6114 +a(g111 +Vwhile +p6115 +tp6116 +a(g189 +V +tp6117 +a(g18 +Vn +tp6118 +a(g343 +V. +tp6119 +a(g18 +Vmodulo +p6120 +tp6121 +a(g202 +V( +tp6122 +a(g18 +Vi +tp6123 +a(g202 +V) +tp6124 +a(g189 +V +tp6125 +a(g343 +V== +p6126 +tp6127 +a(g189 +V +tp6128 +a(g318 +V0 +tp6129 +a(g189 +V +tp6130 +a(g111 +Vdo +p6131 +tp6132 +a(g189 +V\u000a +p6133 +tp6134 +a(g18 +Vn +tp6135 +a(g189 +V +tp6136 +a(g343 +V/= +p6137 +tp6138 +a(g189 +V +tp6139 +a(g18 +Vi +tp6140 +a(g189 +V\u000a +p6141 +tp6142 +a(g18 +Vfactors +p6143 +tp6144 +a(g343 +V[ +tp6145 +a(g18 +Vi +tp6146 +a(g343 +V] +tp6147 +a(g189 +V +tp6148 +a(g343 +V+= +p6149 +tp6150 +a(g189 +V +tp6151 +a(g318 +V1 +tp6152 +a(g189 +V\u000a +p6153 +tp6154 +a(g7 +V# puts "Found factor #{i}" +p6155 +tp6156 +a(g189 +V\u000a +p6157 +tp6158 +a(g111 +Vend +p6159 +tp6160 +a(g189 +V\u000a +p6161 +tp6162 +a(g7 +V# we take advantage of the fact that (i +1)**2 = i**2 + 2*i +1 +p6163 +tp6164 +a(g189 +V\u000a +p6165 +tp6166 +a(g18 +Vsqi +p6167 +tp6168 +a(g189 +V +tp6169 +a(g343 +V+= +p6170 +tp6171 +a(g189 +V +tp6172 +a(g318 +V2 +tp6173 +a(g189 +V +tp6174 +a(g343 +V* +tp6175 +a(g189 +V +tp6176 +a(g18 +Vi +tp6177 +a(g189 +V +tp6178 +a(g343 +V+ +tp6179 +a(g189 +V +tp6180 +a(g318 +V1 +tp6181 +a(g189 +V\u000a +p6182 +tp6183 +a(g18 +Vi +tp6184 +a(g189 +V +tp6185 +a(g343 +V+= +p6186 +tp6187 +a(g189 +V +tp6188 +a(g318 +V1 +tp6189 +a(g189 +V\u000a +p6190 +tp6191 +a(g111 +Vend +p6192 +tp6193 +a(g189 +V\u000a \u000a +p6194 +tp6195 +a(g111 +Vif +p6196 +tp6197 +a(g189 +V +tp6198 +a(g202 +V( +tp6199 +a(g18 +Vn +tp6200 +a(g189 +V +tp6201 +a(g343 +V!= +p6202 +tp6203 +a(g189 +V +tp6204 +a(g318 +V1 +tp6205 +a(g202 +V) +tp6206 +a(g189 +V +tp6207 +a(g343 +V&& +p6208 +tp6209 +a(g189 +V +tp6210 +a(g202 +V( +tp6211 +a(g18 +Vn +tp6212 +a(g189 +V +tp6213 +a(g343 +V!= +p6214 +tp6215 +a(g189 +V +tp6216 +a(g18 +Vorig +p6217 +tp6218 +a(g202 +V) +tp6219 +a(g189 +V\u000a +p6220 +tp6221 +a(g18 +Vfactors +p6222 +tp6223 +a(g343 +V[ +tp6224 +a(g18 +Vn +tp6225 +a(g343 +V] +tp6226 +a(g189 +V +tp6227 +a(g343 +V+= +p6228 +tp6229 +a(g189 +V +tp6230 +a(g318 +V1 +tp6231 +a(g189 +V\u000a +p6232 +tp6233 +a(g111 +Vend +p6234 +tp6235 +a(g189 +V\u000a +p6236 +tp6237 +a(g18 +Vfactors +p6238 +tp6239 +a(g189 +V\u000a +tp6240 +a(g111 +Vend +p6241 +tp6242 +a(g189 +V\u000a\u000a +p6243 +tp6244 +a(g111 +Vdef +p6245 +tp6246 +a(g189 +V +tp6247 +a(g21 +Vprintfactorhash +p6248 +tp6249 +a(g202 +V( +tp6250 +a(g18 +Vorig +p6251 +tp6252 +a(g202 +V, +tp6253 +a(g189 +V +tp6254 +a(g18 +Vfactorcount +p6255 +tp6256 +a(g202 +V) +tp6257 +a(g189 +V\u000a +p6258 +tp6259 +a(g57 +Vprint +p6260 +tp6261 +a(g189 +V +tp6262 +a(g57 +Vformat +p6263 +tp6264 +a(g202 +V( +tp6265 +a(g245 +V" +tp6266 +a(g245 +V%-10d +p6267 +tp6268 +a(g245 +V" +tp6269 +a(g202 +V, +tp6270 +a(g189 +V +tp6271 +a(g18 +Vorig +p6272 +tp6273 +a(g202 +V) +tp6274 +a(g189 +V\u000a +p6275 +tp6276 +a(g111 +Vif +p6277 +tp6278 +a(g189 +V +tp6279 +a(g18 +Vfactorcount +p6280 +tp6281 +a(g343 +V. +tp6282 +a(g18 +Vlength +p6283 +tp6284 +a(g189 +V +tp6285 +a(g343 +V== +p6286 +tp6287 +a(g189 +V +tp6288 +a(g318 +V0 +tp6289 +a(g189 +V\u000a +p6290 +tp6291 +a(g57 +Vprint +p6292 +tp6293 +a(g189 +V +tp6294 +a(g245 +V" +tp6295 +a(g245 +VPRIME +p6296 +tp6297 +a(g245 +V" +tp6298 +a(g189 +V\u000a +p6299 +tp6300 +a(g111 +Velse +p6301 +tp6302 +a(g189 +V\u000a +p6303 +tp6304 +a(g7 +V# sorts after number, because the hash keys are numbers +p6305 +tp6306 +a(g189 +V\u000a +p6307 +tp6308 +a(g18 +Vfactorcount +p6309 +tp6310 +a(g343 +V. +tp6311 +a(g18 +Vsort +p6312 +tp6313 +a(g343 +V. +tp6314 +a(g18 +Veach +p6315 +tp6316 +a(g189 +V +tp6317 +a(g202 +V{ +tp6318 +a(g189 +V +tp6319 +a(g343 +V| +tp6320 +a(g18 +Vfactor +p6321 +tp6322 +a(g202 +V, +tp6323 +a(g18 +Vexponent +p6324 +tp6325 +a(g343 +V| +tp6326 +a(g189 +V\u000a +p6327 +tp6328 +a(g57 +Vprint +p6329 +tp6330 +a(g189 +V +tp6331 +a(g18 +Vfactor +p6332 +tp6333 +a(g189 +V\u000a +p6334 +tp6335 +a(g111 +Vif +p6336 +tp6337 +a(g189 +V +tp6338 +a(g18 +Vexponent +p6339 +tp6340 +a(g189 +V +tp6341 +a(g343 +V> +tp6342 +a(g189 +V +tp6343 +a(g318 +V1 +tp6344 +a(g189 +V\u000a +p6345 +tp6346 +a(g57 +Vprint +p6347 +tp6348 +a(g189 +V +tp6349 +a(g245 +V" +tp6350 +a(g245 +V** +p6351 +tp6352 +a(g245 +V" +tp6353 +a(g202 +V, +tp6354 +a(g189 +V +tp6355 +a(g18 +Vexponent +p6356 +tp6357 +a(g189 +V\u000a +p6358 +tp6359 +a(g111 +Vend +p6360 +tp6361 +a(g189 +V\u000a +p6362 +tp6363 +a(g57 +Vprint +p6364 +tp6365 +a(g189 +V +tp6366 +a(g245 +V" +tp6367 +a(g245 +V +tp6368 +a(g245 +V" +tp6369 +a(g189 +V\u000a +p6370 +tp6371 +a(g202 +V} +tp6372 +a(g189 +V\u000a +p6373 +tp6374 +a(g111 +Vend +p6375 +tp6376 +a(g189 +V\u000a +p6377 +tp6378 +a(g57 +Vputs +p6379 +tp6380 +a(g189 +V\u000a +tp6381 +a(g111 +Vend +p6382 +tp6383 +a(g189 +V\u000a\u000a +p6384 +tp6385 +a(g111 +Vfor +p6386 +tp6387 +a(g189 +V +tp6388 +a(g18 +Varg +p6389 +tp6390 +a(g189 +V +tp6391 +a(g111 +Vin +p6392 +tp6393 +a(g189 +V +tp6394 +a(g33 +VARGV +p6395 +tp6396 +a(g189 +V\u000a +p6397 +tp6398 +a(g18 +Vn +tp6399 +a(g189 +V +tp6400 +a(g343 +V= +tp6401 +a(g189 +V +tp6402 +a(g18 +Varg +p6403 +tp6404 +a(g343 +V. +tp6405 +a(g18 +Vto_i +p6406 +tp6407 +a(g189 +V\u000a +p6408 +tp6409 +a(g18 +Vmfactors +p6410 +tp6411 +a(g189 +V +tp6412 +a(g343 +V= +tp6413 +a(g189 +V +tp6414 +a(g18 +Vfactorize +p6415 +tp6416 +a(g202 +V( +tp6417 +a(g18 +Vn +tp6418 +a(g202 +V) +tp6419 +a(g189 +V\u000a +p6420 +tp6421 +a(g18 +Vprintfactorhash +p6422 +tp6423 +a(g202 +V( +tp6424 +a(g18 +Vn +tp6425 +a(g202 +V, +tp6426 +a(g189 +V +tp6427 +a(g18 +Vmfactors +p6428 +tp6429 +a(g202 +V) +tp6430 +a(g189 +V\u000a +tp6431 +a(g111 +Vend +p6432 +tp6433 +a(g189 +V\u000a +tp6434 +a(g7 +V#----------------------------- +p6435 +tp6436 +a(g189 +V\u000a\u000a\u000a +p6437 +tp6438 +a(g7 +V# @@PLEAC@@_3.0 +p6439 +tp6440 +a(g189 +V\u000a +tp6441 +a(g57 +Vputs +p6442 +tp6443 +a(g189 +V +tp6444 +a(g33 +VTime +p6445 +tp6446 +a(g343 +V. +tp6447 +a(g18 +Vnow +p6448 +tp6449 +a(g189 +V\u000a\u000a +p6450 +tp6451 +a(g57 +Vprint +p6452 +tp6453 +a(g189 +V +tp6454 +a(g245 +V" +tp6455 +a(g245 +VToday is day +p6456 +tp6457 +a(g245 +V" +tp6458 +a(g202 +V, +tp6459 +a(g189 +V +tp6460 +a(g33 +VTime +p6461 +tp6462 +a(g343 +V. +tp6463 +a(g18 +Vnow +p6464 +tp6465 +a(g343 +V. +tp6466 +a(g18 +Vyday +p6467 +tp6468 +a(g202 +V, +tp6469 +a(g189 +V +tp6470 +a(g245 +V" +tp6471 +a(g245 +V of the current year. +p6472 +tp6473 +a(g252 +V\u005cn +p6474 +tp6475 +a(g245 +V" +tp6476 +a(g189 +V\u000a +tp6477 +a(g57 +Vprint +p6478 +tp6479 +a(g189 +V +tp6480 +a(g245 +V" +tp6481 +a(g245 +VToday is day +p6482 +tp6483 +a(g245 +V" +tp6484 +a(g202 +V, +tp6485 +a(g189 +V +tp6486 +a(g33 +VTime +p6487 +tp6488 +a(g343 +V. +tp6489 +a(g18 +Vnow +p6490 +tp6491 +a(g343 +V. +tp6492 +a(g18 +Vday +p6493 +tp6494 +a(g202 +V, +tp6495 +a(g189 +V +tp6496 +a(g245 +V" +tp6497 +a(g245 +V of the current month. +p6498 +tp6499 +a(g252 +V\u005cn +p6500 +tp6501 +a(g245 +V" +tp6502 +a(g189 +V\u000a\u000a\u000a +p6503 +tp6504 +a(g7 +V# @@PLEAC@@_3.1 +p6505 +tp6506 +a(g189 +V\u000a +tp6507 +a(g18 +Vday +p6508 +tp6509 +a(g202 +V, +tp6510 +a(g189 +V +tp6511 +a(g18 +Vmonth +p6512 +tp6513 +a(g202 +V, +tp6514 +a(g189 +V +tp6515 +a(g18 +Vyear +p6516 +tp6517 +a(g189 +V +tp6518 +a(g343 +V= +tp6519 +a(g189 +V +tp6520 +a(g33 +VTime +p6521 +tp6522 +a(g343 +V. +tp6523 +a(g18 +Vnow +p6524 +tp6525 +a(g343 +V. +tp6526 +a(g18 +Vday +p6527 +tp6528 +a(g202 +V, +tp6529 +a(g189 +V +tp6530 +a(g33 +VTime +p6531 +tp6532 +a(g343 +V. +tp6533 +a(g18 +Vnow +p6534 +tp6535 +a(g343 +V. +tp6536 +a(g18 +Vmonth +p6537 +tp6538 +a(g202 +V, +tp6539 +a(g189 +V +tp6540 +a(g33 +VTime +p6541 +tp6542 +a(g343 +V. +tp6543 +a(g18 +Vnow +p6544 +tp6545 +a(g343 +V. +tp6546 +a(g18 +Vyear +p6547 +tp6548 +a(g189 +V\u000a +tp6549 +a(g7 +V# or +p6550 +tp6551 +a(g189 +V\u000a +tp6552 +a(g18 +Vday +p6553 +tp6554 +a(g202 +V, +tp6555 +a(g189 +V +tp6556 +a(g18 +Vmonth +p6557 +tp6558 +a(g202 +V, +tp6559 +a(g189 +V +tp6560 +a(g18 +Vyear +p6561 +tp6562 +a(g189 +V +tp6563 +a(g343 +V= +tp6564 +a(g189 +V +tp6565 +a(g33 +VTime +p6566 +tp6567 +a(g343 +V. +tp6568 +a(g18 +Vnow +p6569 +tp6570 +a(g343 +V. +tp6571 +a(g18 +Vto_a +p6572 +tp6573 +a(g343 +V[ +tp6574 +a(g318 +V3 +tp6575 +a(g343 +V. +tp6576 +a(g18 +V. +tp6577 +a(g318 +V5 +tp6578 +a(g343 +V] +tp6579 +a(g189 +V\u000a\u000a +p6580 +tp6581 +a(g18 +Vtl +p6582 +tp6583 +a(g189 +V +tp6584 +a(g343 +V= +tp6585 +a(g189 +V +tp6586 +a(g33 +VTime +p6587 +tp6588 +a(g343 +V. +tp6589 +a(g18 +Vnow +p6590 +tp6591 +a(g343 +V. +tp6592 +a(g18 +Vlocaltime +p6593 +tp6594 +a(g189 +V\u000a +tp6595 +a(g57 +Vprintf +p6596 +tp6597 +a(g202 +V( +tp6598 +a(g245 +V" +tp6599 +a(g245 +VThe current date is %04d %02d %02d +p6600 +tp6601 +a(g252 +V\u005cn +p6602 +tp6603 +a(g245 +V" +tp6604 +a(g202 +V, +tp6605 +a(g189 +V +tp6606 +a(g18 +Vtl +p6607 +tp6608 +a(g343 +V. +tp6609 +a(g18 +Vyear +p6610 +tp6611 +a(g202 +V, +tp6612 +a(g189 +V +tp6613 +a(g18 +Vtl +p6614 +tp6615 +a(g343 +V. +tp6616 +a(g18 +Vmonth +p6617 +tp6618 +a(g202 +V, +tp6619 +a(g189 +V +tp6620 +a(g18 +Vtl +p6621 +tp6622 +a(g343 +V. +tp6623 +a(g18 +Vday +p6624 +tp6625 +a(g202 +V) +tp6626 +a(g189 +V\u000a\u000a +p6627 +tp6628 +a(g33 +VTime +p6629 +tp6630 +a(g343 +V. +tp6631 +a(g18 +Vnow +p6632 +tp6633 +a(g343 +V. +tp6634 +a(g18 +Vlocaltime +p6635 +tp6636 +a(g343 +V. +tp6637 +a(g18 +Vstrftime +p6638 +tp6639 +a(g202 +V( +tp6640 +a(g245 +V" +tp6641 +a(g245 +V%Y-%m-%d +p6642 +tp6643 +a(g245 +V" +tp6644 +a(g202 +V) +tp6645 +a(g189 +V\u000a\u000a\u000a +p6646 +tp6647 +a(g7 +V# @@PLEAC@@_3.2 +p6648 +tp6649 +a(g189 +V\u000a +tp6650 +a(g33 +VTime +p6651 +tp6652 +a(g343 +V. +tp6653 +a(g18 +Vlocal +p6654 +tp6655 +a(g202 +V( +tp6656 +a(g18 +Vyear +p6657 +tp6658 +a(g202 +V, +tp6659 +a(g189 +V +tp6660 +a(g18 +Vmonth +p6661 +tp6662 +a(g202 +V, +tp6663 +a(g189 +V +tp6664 +a(g18 +Vday +p6665 +tp6666 +a(g202 +V, +tp6667 +a(g189 +V +tp6668 +a(g18 +Vhour +p6669 +tp6670 +a(g202 +V, +tp6671 +a(g189 +V +tp6672 +a(g18 +Vminute +p6673 +tp6674 +a(g202 +V, +tp6675 +a(g189 +V +tp6676 +a(g18 +Vsecond +p6677 +tp6678 +a(g202 +V) +tp6679 +a(g343 +V. +tp6680 +a(g18 +Vtv_sec +p6681 +tp6682 +a(g189 +V\u000a +tp6683 +a(g33 +VTime +p6684 +tp6685 +a(g343 +V. +tp6686 +a(g18 +Vgm +p6687 +tp6688 +a(g202 +V( +tp6689 +a(g18 +Vyear +p6690 +tp6691 +a(g202 +V, +tp6692 +a(g189 +V +tp6693 +a(g18 +Vmonth +p6694 +tp6695 +a(g202 +V, +tp6696 +a(g189 +V +tp6697 +a(g18 +Vday +p6698 +tp6699 +a(g202 +V, +tp6700 +a(g189 +V +tp6701 +a(g18 +Vhour +p6702 +tp6703 +a(g202 +V, +tp6704 +a(g189 +V +tp6705 +a(g18 +Vminute +p6706 +tp6707 +a(g202 +V, +tp6708 +a(g189 +V +tp6709 +a(g18 +Vsecond +p6710 +tp6711 +a(g202 +V) +tp6712 +a(g343 +V. +tp6713 +a(g18 +Vtv_sec +p6714 +tp6715 +a(g189 +V\u000a\u000a\u000a +p6716 +tp6717 +a(g7 +V# @@PLEAC@@_3.3 +p6718 +tp6719 +a(g189 +V\u000a +tp6720 +a(g18 +Vsec +p6721 +tp6722 +a(g202 +V, +tp6723 +a(g189 +V +tp6724 +a(g18 +Vmin +p6725 +tp6726 +a(g202 +V, +tp6727 +a(g189 +V +tp6728 +a(g18 +Vhour +p6729 +tp6730 +a(g202 +V, +tp6731 +a(g189 +V +tp6732 +a(g18 +Vday +p6733 +tp6734 +a(g202 +V, +tp6735 +a(g189 +V +tp6736 +a(g18 +Vmonth +p6737 +tp6738 +a(g202 +V, +tp6739 +a(g189 +V +tp6740 +a(g18 +Vyear +p6741 +tp6742 +a(g202 +V, +tp6743 +a(g189 +V +tp6744 +a(g18 +Vwday +p6745 +tp6746 +a(g202 +V, +tp6747 +a(g189 +V +tp6748 +a(g18 +Vyday +p6749 +tp6750 +a(g202 +V, +tp6751 +a(g189 +V +tp6752 +a(g18 +Visdst +p6753 +tp6754 +a(g202 +V, +tp6755 +a(g189 +V +tp6756 +a(g18 +Vzone +p6757 +tp6758 +a(g189 +V +tp6759 +a(g343 +V= +tp6760 +a(g189 +V +tp6761 +a(g33 +VTime +p6762 +tp6763 +a(g343 +V. +tp6764 +a(g18 +Vat +p6765 +tp6766 +a(g202 +V( +tp6767 +a(g18 +Vepoch_secs +p6768 +tp6769 +a(g202 +V) +tp6770 +a(g343 +V. +tp6771 +a(g18 +Vto_a +p6772 +tp6773 +a(g189 +V\u000a\u000a\u000a +p6774 +tp6775 +a(g7 +V# @@PLEAC@@_3.4 +p6776 +tp6777 +a(g189 +V\u000a +tp6778 +a(g18 +Vwhen_ +p6779 +tp6780 +a(g189 +V +tp6781 +a(g343 +V= +tp6782 +a(g189 +V +tp6783 +a(g18 +Vnow +p6784 +tp6785 +a(g189 +V +tp6786 +a(g343 +V+ +tp6787 +a(g189 +V +tp6788 +a(g18 +Vdifference +p6789 +tp6790 +a(g189 +V +p6791 +tp6792 +a(g7 +V# now -> Time ; difference -> Numeric (delta in seconds) +p6793 +tp6794 +a(g189 +V\u000a +tp6795 +a(g18 +Vthen_ +p6796 +tp6797 +a(g189 +V +tp6798 +a(g343 +V= +tp6799 +a(g189 +V +tp6800 +a(g18 +Vnow +p6801 +tp6802 +a(g189 +V +tp6803 +a(g343 +V- +tp6804 +a(g189 +V +tp6805 +a(g18 +Vdifference +p6806 +tp6807 +a(g189 +V\u000a\u000a\u000a +p6808 +tp6809 +a(g7 +V# @@PLEAC@@_3.5 +p6810 +tp6811 +a(g189 +V\u000a +tp6812 +a(g18 +Vbree +p6813 +tp6814 +a(g189 +V +tp6815 +a(g343 +V= +tp6816 +a(g189 +V +tp6817 +a(g318 +V361535725 +p6818 +tp6819 +a(g189 +V\u000a +tp6820 +a(g18 +Vnat +p6821 +tp6822 +a(g189 +V +p6823 +tp6824 +a(g343 +V= +tp6825 +a(g189 +V +p6826 +tp6827 +a(g318 +V96201950 +p6828 +tp6829 +a(g189 +V\u000a\u000a +p6830 +tp6831 +a(g18 +Vdifference +p6832 +tp6833 +a(g189 +V +tp6834 +a(g343 +V= +tp6835 +a(g189 +V +tp6836 +a(g18 +Vbree +p6837 +tp6838 +a(g189 +V +tp6839 +a(g343 +V- +tp6840 +a(g189 +V +tp6841 +a(g18 +Vnat +p6842 +tp6843 +a(g189 +V\u000a +tp6844 +a(g57 +Vputs +p6845 +tp6846 +a(g189 +V +tp6847 +a(g245 +V" +tp6848 +a(g245 +VThere were +p6849 +tp6850 +a(g233 +V#{ +p6851 +tp6852 +a(g18 +Vdifference +p6853 +tp6854 +a(g233 +V} +tp6855 +a(g245 +V seconds between Nat and Bree +p6856 +tp6857 +a(g245 +V" +tp6858 +a(g189 +V\u000a\u000a +p6859 +tp6860 +a(g18 +Vseconds +p6861 +tp6862 +a(g189 +V +p6863 +tp6864 +a(g343 +V= +tp6865 +a(g189 +V +p6866 +tp6867 +a(g18 +Vdifference +p6868 +tp6869 +a(g189 +V +tp6870 +a(g343 +V% +tp6871 +a(g189 +V +tp6872 +a(g318 +V60 +p6873 +tp6874 +a(g189 +V\u000a +tp6875 +a(g18 +Vdifference +p6876 +tp6877 +a(g189 +V +tp6878 +a(g343 +V= +tp6879 +a(g189 +V +tp6880 +a(g202 +V( +tp6881 +a(g18 +Vdifference +p6882 +tp6883 +a(g189 +V +tp6884 +a(g343 +V- +tp6885 +a(g189 +V +tp6886 +a(g18 +Vseconds +p6887 +tp6888 +a(g202 +V) +tp6889 +a(g189 +V +tp6890 +a(g343 +V/ +tp6891 +a(g189 +V +tp6892 +a(g318 +V60 +p6893 +tp6894 +a(g189 +V\u000a +tp6895 +a(g18 +Vminutes +p6896 +tp6897 +a(g189 +V +p6898 +tp6899 +a(g343 +V= +tp6900 +a(g189 +V +p6901 +tp6902 +a(g18 +Vdifference +p6903 +tp6904 +a(g189 +V +tp6905 +a(g343 +V% +tp6906 +a(g189 +V +tp6907 +a(g318 +V60 +p6908 +tp6909 +a(g189 +V\u000a +tp6910 +a(g18 +Vdifference +p6911 +tp6912 +a(g189 +V +tp6913 +a(g343 +V= +tp6914 +a(g189 +V +tp6915 +a(g202 +V( +tp6916 +a(g18 +Vdifference +p6917 +tp6918 +a(g189 +V +tp6919 +a(g343 +V- +tp6920 +a(g189 +V +tp6921 +a(g18 +Vminutes +p6922 +tp6923 +a(g202 +V) +tp6924 +a(g189 +V +tp6925 +a(g343 +V/ +tp6926 +a(g189 +V +tp6927 +a(g318 +V60 +p6928 +tp6929 +a(g189 +V\u000a +tp6930 +a(g18 +Vhours +p6931 +tp6932 +a(g189 +V +p6933 +tp6934 +a(g343 +V= +tp6935 +a(g189 +V +p6936 +tp6937 +a(g18 +Vdifference +p6938 +tp6939 +a(g189 +V +tp6940 +a(g343 +V% +tp6941 +a(g189 +V +tp6942 +a(g318 +V24 +p6943 +tp6944 +a(g189 +V\u000a +tp6945 +a(g18 +Vdifference +p6946 +tp6947 +a(g189 +V +tp6948 +a(g343 +V= +tp6949 +a(g189 +V +tp6950 +a(g202 +V( +tp6951 +a(g18 +Vdifference +p6952 +tp6953 +a(g189 +V +tp6954 +a(g343 +V- +tp6955 +a(g189 +V +tp6956 +a(g18 +Vhours +p6957 +tp6958 +a(g202 +V) +tp6959 +a(g189 +V +p6960 +tp6961 +a(g343 +V/ +tp6962 +a(g189 +V +tp6963 +a(g318 +V24 +p6964 +tp6965 +a(g189 +V\u000a +tp6966 +a(g18 +Vdays +p6967 +tp6968 +a(g189 +V +p6969 +tp6970 +a(g343 +V= +tp6971 +a(g189 +V +p6972 +tp6973 +a(g18 +Vdifference +p6974 +tp6975 +a(g189 +V +tp6976 +a(g343 +V% +tp6977 +a(g189 +V +tp6978 +a(g318 +V7 +tp6979 +a(g189 +V\u000a +tp6980 +a(g18 +Vweeks +p6981 +tp6982 +a(g189 +V +p6983 +tp6984 +a(g343 +V= +tp6985 +a(g189 +V +tp6986 +a(g202 +V( +tp6987 +a(g18 +Vdifference +p6988 +tp6989 +a(g189 +V +tp6990 +a(g343 +V- +tp6991 +a(g189 +V +tp6992 +a(g18 +Vdays +p6993 +tp6994 +a(g202 +V) +tp6995 +a(g189 +V +p6996 +tp6997 +a(g343 +V/ +tp6998 +a(g189 +V +p6999 +tp7000 +a(g318 +V7 +tp7001 +a(g189 +V\u000a\u000a +p7002 +tp7003 +a(g57 +Vputs +p7004 +tp7005 +a(g189 +V +tp7006 +a(g245 +V" +tp7007 +a(g245 +V( +tp7008 +a(g233 +V#{ +p7009 +tp7010 +a(g18 +Vweeks +p7011 +tp7012 +a(g233 +V} +tp7013 +a(g245 +V weeks, +p7014 +tp7015 +a(g233 +V#{ +p7016 +tp7017 +a(g18 +Vdays +p7018 +tp7019 +a(g233 +V} +tp7020 +a(g245 +V days, +p7021 +tp7022 +a(g233 +V#{ +p7023 +tp7024 +a(g18 +Vhours +p7025 +tp7026 +a(g233 +V} +tp7027 +a(g245 +V: +tp7028 +a(g233 +V#{ +p7029 +tp7030 +a(g18 +Vminutes +p7031 +tp7032 +a(g233 +V} +tp7033 +a(g245 +V: +tp7034 +a(g233 +V#{ +p7035 +tp7036 +a(g18 +Vseconds +p7037 +tp7038 +a(g233 +V} +tp7039 +a(g245 +V) +tp7040 +a(g245 +V" +tp7041 +a(g189 +V\u000a\u000a\u000a +p7042 +tp7043 +a(g7 +V# @@PLEAC@@_3.6 +p7044 +tp7045 +a(g189 +V\u000a +tp7046 +a(g18 +Vmonthday +p7047 +tp7048 +a(g202 +V, +tp7049 +a(g189 +V +tp7050 +a(g18 +Vweekday +p7051 +tp7052 +a(g202 +V, +tp7053 +a(g189 +V +tp7054 +a(g18 +Vyearday +p7055 +tp7056 +a(g189 +V +tp7057 +a(g343 +V= +tp7058 +a(g189 +V +tp7059 +a(g18 +Vdate +p7060 +tp7061 +a(g343 +V. +tp7062 +a(g18 +Vmday +p7063 +tp7064 +a(g202 +V, +tp7065 +a(g189 +V +tp7066 +a(g18 +Vdate +p7067 +tp7068 +a(g343 +V. +tp7069 +a(g18 +Vwday +p7070 +tp7071 +a(g202 +V, +tp7072 +a(g189 +V +tp7073 +a(g18 +Vdate +p7074 +tp7075 +a(g343 +V. +tp7076 +a(g18 +Vyday +p7077 +tp7078 +a(g189 +V\u000a\u000a +p7079 +tp7080 +a(g7 +V# AFAIK the week number is not just a division since week boundaries are on sundays +p7081 +tp7082 +a(g189 +V\u000a +tp7083 +a(g18 +Vweeknum +p7084 +tp7085 +a(g189 +V +tp7086 +a(g343 +V= +tp7087 +a(g189 +V +tp7088 +a(g18 +Vd +tp7089 +a(g343 +V. +tp7090 +a(g18 +Vstrftime +p7091 +tp7092 +a(g202 +V( +tp7093 +a(g245 +V" +tp7094 +a(g245 +V%U +p7095 +tp7096 +a(g245 +V" +tp7097 +a(g202 +V) +tp7098 +a(g343 +V. +tp7099 +a(g18 +Vto_i +p7100 +tp7101 +a(g189 +V +tp7102 +a(g343 +V+ +tp7103 +a(g189 +V +tp7104 +a(g318 +V1 +tp7105 +a(g189 +V\u000a\u000a +p7106 +tp7107 +a(g18 +Vyear +p7108 +tp7109 +a(g189 +V +p7110 +tp7111 +a(g343 +V= +tp7112 +a(g189 +V +tp7113 +a(g318 +V1981 +p7114 +tp7115 +a(g189 +V\u000a +tp7116 +a(g18 +Vmonth +p7117 +tp7118 +a(g189 +V +tp7119 +a(g343 +V= +tp7120 +a(g189 +V +tp7121 +a(g245 +V" +tp7122 +a(g245 +Vjun +p7123 +tp7124 +a(g245 +V" +tp7125 +a(g189 +V +p7126 +tp7127 +a(g7 +V# or `6' if you want to emulate a broken language +p7128 +tp7129 +a(g189 +V\u000a +tp7130 +a(g18 +Vday +p7131 +tp7132 +a(g189 +V +p7133 +tp7134 +a(g343 +V= +tp7135 +a(g189 +V +tp7136 +a(g318 +V16 +p7137 +tp7138 +a(g189 +V\u000a +tp7139 +a(g18 +Vt +tp7140 +a(g189 +V +tp7141 +a(g343 +V= +tp7142 +a(g189 +V +tp7143 +a(g33 +VTime +p7144 +tp7145 +a(g343 +V. +tp7146 +a(g18 +Vmktime +p7147 +tp7148 +a(g202 +V( +tp7149 +a(g18 +Vyear +p7150 +tp7151 +a(g202 +V, +tp7152 +a(g189 +V +tp7153 +a(g18 +Vmonth +p7154 +tp7155 +a(g202 +V, +tp7156 +a(g189 +V +tp7157 +a(g18 +Vday +p7158 +tp7159 +a(g202 +V) +tp7160 +a(g189 +V\u000a +tp7161 +a(g57 +Vprint +p7162 +tp7163 +a(g189 +V +tp7164 +a(g245 +V" +tp7165 +a(g233 +V#{ +p7166 +tp7167 +a(g18 +Vmonth +p7168 +tp7169 +a(g233 +V} +tp7170 +a(g245 +V/ +tp7171 +a(g233 +V#{ +p7172 +tp7173 +a(g18 +Vday +p7174 +tp7175 +a(g233 +V} +tp7176 +a(g245 +V/ +tp7177 +a(g233 +V#{ +p7178 +tp7179 +a(g18 +Vyear +p7180 +tp7181 +a(g233 +V} +tp7182 +a(g245 +V was a +p7183 +tp7184 +a(g245 +V" +tp7185 +a(g202 +V, +tp7186 +a(g189 +V +tp7187 +a(g18 +Vt +tp7188 +a(g343 +V. +tp7189 +a(g18 +Vstrftime +p7190 +tp7191 +a(g202 +V( +tp7192 +a(g245 +V" +tp7193 +a(g245 +V%A +p7194 +tp7195 +a(g245 +V" +tp7196 +a(g202 +V) +tp7197 +a(g202 +V, +tp7198 +a(g189 +V +tp7199 +a(g245 +V" +tp7200 +a(g252 +V\u005cn +p7201 +tp7202 +a(g245 +V" +tp7203 +a(g189 +V\u000a\u000a\u000a +p7204 +tp7205 +a(g7 +V# @@PLEAC@@_3.7 +p7206 +tp7207 +a(g189 +V\u000a +tp7208 +a(g18 +Vyyyy +p7209 +tp7210 +a(g202 +V, +tp7211 +a(g189 +V +tp7212 +a(g18 +Vmm +p7213 +tp7214 +a(g202 +V, +tp7215 +a(g189 +V +tp7216 +a(g18 +Vdd +p7217 +tp7218 +a(g189 +V +tp7219 +a(g343 +V= +tp7220 +a(g189 +V +tp7221 +a(g76 +V$1 +p7222 +tp7223 +a(g202 +V, +tp7224 +a(g189 +V +tp7225 +a(g76 +V$2 +p7226 +tp7227 +a(g202 +V, +tp7228 +a(g189 +V +tp7229 +a(g76 +V$3 +p7230 +tp7231 +a(g189 +V +tp7232 +a(g111 +Vif +p7233 +tp7234 +a(g189 +V +tp7235 +a(g245 +V" +tp7236 +a(g245 +V1998-06-25 +p7237 +tp7238 +a(g245 +V" +tp7239 +a(g189 +V +tp7240 +a(g343 +V=~ +p7241 +tp7242 +a(g189 +V +tp7243 +a(g229 +V/ +tp7244 +a(g229 +V( +tp7245 +a(g229 +V\u005c +tp7246 +a(g229 +Vd+)-( +p7247 +tp7248 +a(g229 +V\u005c +tp7249 +a(g229 +Vd+)-( +p7250 +tp7251 +a(g229 +V\u005c +tp7252 +a(g229 +Vd+) +p7253 +tp7254 +a(g229 +V/ +tp7255 +a(g189 +V\u000a\u000a +p7256 +tp7257 +a(g18 +Vepoch_seconds +p7258 +tp7259 +a(g189 +V +tp7260 +a(g343 +V= +tp7261 +a(g189 +V +tp7262 +a(g33 +VTime +p7263 +tp7264 +a(g343 +V. +tp7265 +a(g18 +Vmktime +p7266 +tp7267 +a(g202 +V( +tp7268 +a(g18 +Vyyyy +p7269 +tp7270 +a(g202 +V, +tp7271 +a(g189 +V +tp7272 +a(g18 +Vmm +p7273 +tp7274 +a(g202 +V, +tp7275 +a(g189 +V +tp7276 +a(g18 +Vdd +p7277 +tp7278 +a(g202 +V) +tp7279 +a(g343 +V. +tp7280 +a(g18 +Vtv_sec +p7281 +tp7282 +a(g189 +V\u000a\u000a +p7283 +tp7284 +a(g7 +V# dunno an equivalent to Date::Manip#ParseDate +p7285 +tp7286 +a(g189 +V\u000a\u000a\u000a +p7287 +tp7288 +a(g7 +V# @@PLEAC@@_3.8 +p7289 +tp7290 +a(g189 +V\u000a +tp7291 +a(g18 +Vstring +p7292 +tp7293 +a(g189 +V +tp7294 +a(g343 +V= +tp7295 +a(g189 +V +tp7296 +a(g33 +VTime +p7297 +tp7298 +a(g343 +V. +tp7299 +a(g18 +Vat +p7300 +tp7301 +a(g202 +V( +tp7302 +a(g18 +Vepoch_secs +p7303 +tp7304 +a(g202 +V) +tp7305 +a(g189 +V\u000a +tp7306 +a(g33 +VTime +p7307 +tp7308 +a(g343 +V. +tp7309 +a(g18 +Vat +p7310 +tp7311 +a(g202 +V( +tp7312 +a(g318 +V1234567890 +p7313 +tp7314 +a(g202 +V) +tp7315 +a(g343 +V. +tp7316 +a(g18 +Vgmtime +p7317 +tp7318 +a(g189 +V +p7319 +tp7320 +a(g7 +V# gives: Fri Feb 13 23:31:30 UTC 2009 +p7321 +tp7322 +a(g189 +V\u000a\u000a +p7323 +tp7324 +a(g18 +Vtime +p7325 +tp7326 +a(g189 +V +tp7327 +a(g343 +V= +tp7328 +a(g189 +V +tp7329 +a(g33 +VTime +p7330 +tp7331 +a(g343 +V. +tp7332 +a(g18 +Vmktime +p7333 +tp7334 +a(g202 +V( +tp7335 +a(g318 +V1973 +p7336 +tp7337 +a(g202 +V, +tp7338 +a(g189 +V +tp7339 +a(g245 +V" +tp7340 +a(g245 +Vjan +p7341 +tp7342 +a(g245 +V" +tp7343 +a(g202 +V, +tp7344 +a(g189 +V +tp7345 +a(g318 +V18 +p7346 +tp7347 +a(g202 +V, +tp7348 +a(g189 +V +tp7349 +a(g318 +V3 +tp7350 +a(g202 +V, +tp7351 +a(g189 +V +tp7352 +a(g318 +V45 +p7353 +tp7354 +a(g202 +V, +tp7355 +a(g189 +V +tp7356 +a(g318 +V50 +p7357 +tp7358 +a(g202 +V) +tp7359 +a(g189 +V\u000a +tp7360 +a(g57 +Vprint +p7361 +tp7362 +a(g189 +V +tp7363 +a(g245 +V" +tp7364 +a(g245 +VIn localtime it gives: +p7365 +tp7366 +a(g245 +V" +tp7367 +a(g202 +V, +tp7368 +a(g189 +V +tp7369 +a(g18 +Vtime +p7370 +tp7371 +a(g343 +V. +tp7372 +a(g18 +Vlocaltime +p7373 +tp7374 +a(g202 +V, +tp7375 +a(g189 +V +tp7376 +a(g245 +V" +tp7377 +a(g252 +V\u005cn +p7378 +tp7379 +a(g245 +V" +tp7380 +a(g189 +V\u000a\u000a\u000a +p7381 +tp7382 +a(g7 +V# @@PLEAC@@_3.9 +p7383 +tp7384 +a(g189 +V\u000a +tp7385 +a(g7 +V# Ruby provides micro-seconds in Time object +p7386 +tp7387 +a(g189 +V\u000a +tp7388 +a(g33 +VTime +p7389 +tp7390 +a(g343 +V. +tp7391 +a(g18 +Vnow +p7392 +tp7393 +a(g343 +V. +tp7394 +a(g18 +Vusec +p7395 +tp7396 +a(g189 +V\u000a\u000a +p7397 +tp7398 +a(g7 +V# Ruby gives the seconds in floating format when substracting two Time objects +p7399 +tp7400 +a(g189 +V\u000a +tp7401 +a(g18 +Vbefore +p7402 +tp7403 +a(g189 +V +tp7404 +a(g343 +V= +tp7405 +a(g189 +V +tp7406 +a(g33 +VTime +p7407 +tp7408 +a(g343 +V. +tp7409 +a(g18 +Vnow +p7410 +tp7411 +a(g189 +V\u000a +tp7412 +a(g18 +Vline +p7413 +tp7414 +a(g189 +V +tp7415 +a(g343 +V= +tp7416 +a(g189 +V +tp7417 +a(g57 +Vgets +p7418 +tp7419 +a(g189 +V\u000a +tp7420 +a(g18 +Velapsed +p7421 +tp7422 +a(g189 +V +tp7423 +a(g343 +V= +tp7424 +a(g189 +V +tp7425 +a(g33 +VTime +p7426 +tp7427 +a(g343 +V. +tp7428 +a(g18 +Vnow +p7429 +tp7430 +a(g189 +V +tp7431 +a(g343 +V- +tp7432 +a(g189 +V +tp7433 +a(g18 +Vbefore +p7434 +tp7435 +a(g189 +V\u000a +tp7436 +a(g57 +Vputs +p7437 +tp7438 +a(g189 +V +tp7439 +a(g245 +V" +tp7440 +a(g245 +VYou took +p7441 +tp7442 +a(g233 +V#{ +p7443 +tp7444 +a(g18 +Velapsed +p7445 +tp7446 +a(g233 +V} +tp7447 +a(g245 +V seconds. +p7448 +tp7449 +a(g245 +V" +tp7450 +a(g189 +V\u000a\u000a +p7451 +tp7452 +a(g7 +V# On my Celeron-400 with Linux-2.2.19-14mdk, average for three execs are: +p7453 +tp7454 +a(g189 +V\u000a +tp7455 +a(g7 +V# This Ruby version: average 0.00321 sec +p7456 +tp7457 +a(g189 +V\u000a +tp7458 +a(g7 +V# Cookbook's Perl version: average 0.00981 sec +p7459 +tp7460 +a(g189 +V\u000a +tp7461 +a(g18 +Vsize +p7462 +tp7463 +a(g189 +V +tp7464 +a(g343 +V= +tp7465 +a(g189 +V +tp7466 +a(g318 +V500 +p7467 +tp7468 +a(g189 +V\u000a +tp7469 +a(g18 +Vnumber_of_times +p7470 +tp7471 +a(g189 +V +tp7472 +a(g343 +V= +tp7473 +a(g189 +V +tp7474 +a(g318 +V100 +p7475 +tp7476 +a(g189 +V\u000a +tp7477 +a(g18 +Vtotal_time +p7478 +tp7479 +a(g189 +V +tp7480 +a(g343 +V= +tp7481 +a(g189 +V +tp7482 +a(g318 +V0 +tp7483 +a(g189 +V\u000a +tp7484 +a(g18 +Vnumber_of_times +p7485 +tp7486 +a(g343 +V. +tp7487 +a(g18 +Vtimes +p7488 +tp7489 +a(g189 +V +tp7490 +a(g202 +V{ +tp7491 +a(g189 +V\u000a +p7492 +tp7493 +a(g7 +V# populate array +p7494 +tp7495 +a(g189 +V\u000a +p7496 +tp7497 +a(g18 +Varray +p7498 +tp7499 +a(g189 +V +tp7500 +a(g343 +V= +tp7501 +a(g189 +V +tp7502 +a(g343 +V[ +tp7503 +a(g343 +V] +tp7504 +a(g189 +V\u000a +p7505 +tp7506 +a(g18 +Vsize +p7507 +tp7508 +a(g343 +V. +tp7509 +a(g18 +Vtimes +p7510 +tp7511 +a(g189 +V +tp7512 +a(g202 +V{ +tp7513 +a(g189 +V +tp7514 +a(g18 +Varray +p7515 +tp7516 +a(g189 +V +tp7517 +a(g343 +V<< +p7518 +tp7519 +a(g189 +V +tp7520 +a(g57 +Vrand +p7521 +tp7522 +a(g189 +V +tp7523 +a(g202 +V} +tp7524 +a(g189 +V\u000a +p7525 +tp7526 +a(g7 +V# sort it +p7527 +tp7528 +a(g189 +V\u000a +p7529 +tp7530 +a(g18 +Vbegin_ +p7531 +tp7532 +a(g189 +V +tp7533 +a(g343 +V= +tp7534 +a(g189 +V +tp7535 +a(g33 +VTime +p7536 +tp7537 +a(g343 +V. +tp7538 +a(g18 +Vnow +p7539 +tp7540 +a(g189 +V\u000a +p7541 +tp7542 +a(g18 +Varray +p7543 +tp7544 +a(g343 +V. +tp7545 +a(g18 +Vsort! +p7546 +tp7547 +a(g189 +V\u000a +p7548 +tp7549 +a(g18 +Vtime +p7550 +tp7551 +a(g189 +V +tp7552 +a(g343 +V= +tp7553 +a(g189 +V +tp7554 +a(g33 +VTime +p7555 +tp7556 +a(g343 +V. +tp7557 +a(g18 +Vnow +p7558 +tp7559 +a(g189 +V +tp7560 +a(g343 +V- +tp7561 +a(g189 +V +tp7562 +a(g18 +Vbegin_ +p7563 +tp7564 +a(g189 +V\u000a +p7565 +tp7566 +a(g18 +Vtotal_time +p7567 +tp7568 +a(g189 +V +tp7569 +a(g343 +V+= +p7570 +tp7571 +a(g189 +V +tp7572 +a(g18 +Vtime +p7573 +tp7574 +a(g189 +V\u000a +tp7575 +a(g202 +V} +tp7576 +a(g189 +V\u000a +tp7577 +a(g57 +Vprintf +p7578 +tp7579 +a(g189 +V +tp7580 +a(g245 +V" +tp7581 +a(g245 +VOn average, sorting %d random numbers takes %.5f seconds +p7582 +tp7583 +a(g252 +V\u005cn +p7584 +tp7585 +a(g245 +V" +tp7586 +a(g202 +V, +tp7587 +a(g189 +V\u000a +p7588 +tp7589 +a(g18 +Vsize +p7590 +tp7591 +a(g202 +V, +tp7592 +a(g189 +V +tp7593 +a(g202 +V( +tp7594 +a(g18 +Vtotal_time +p7595 +tp7596 +a(g343 +V/ +tp7597 +a(g57 +VFloat +p7598 +tp7599 +a(g202 +V( +tp7600 +a(g18 +Vnumber_of_times +p7601 +tp7602 +a(g202 +V) +tp7603 +a(g202 +V) +tp7604 +a(g189 +V\u000a\u000a\u000a +p7605 +tp7606 +a(g7 +V# @@PLEAC@@_3.10 +p7607 +tp7608 +a(g189 +V\u000a +tp7609 +a(g57 +Vsleep +p7610 +tp7611 +a(g202 +V( +tp7612 +a(g318 +V0 +tp7613 +a(g343 +V. +tp7614 +a(g332 +V005 +p7615 +tp7616 +a(g202 +V) +tp7617 +a(g189 +V +p7618 +tp7619 +a(g7 +V# Ruby is definitely not as broken as Perl :) +p7620 +tp7621 +a(g189 +V\u000a +tp7622 +a(g7 +V# (may be interrupted by sending the process a SIGALRM) +p7623 +tp7624 +a(g189 +V\u000a\u000a\u000a +p7625 +tp7626 +a(g7 +V# @@PLEAC@@_3.11 +p7627 +tp7628 +a(g189 +V\u000a +tp7629 +a(g7 +V#!/usr/bin/ruby -w +p7630 +tp7631 +a(g189 +V\u000a +tp7632 +a(g7 +V# hopdelta - feed mail header, produce lines +p7633 +tp7634 +a(g189 +V\u000a +tp7635 +a(g7 +V# showing delay at each hop. +p7636 +tp7637 +a(g189 +V\u000a +tp7638 +a(g57 +Vrequire +p7639 +tp7640 +a(g189 +V +tp7641 +a(g271 +V'time' +p7642 +tp7643 +a(g189 +V\u000a +tp7644 +a(g111 +Vclass +p7645 +tp7646 +a(g189 +V +tp7647 +a(g106 +VMailHopDelta +p7648 +tp7649 +a(g189 +V\u000a\u000a +p7650 +tp7651 +a(g111 +Vdef +p7652 +tp7653 +a(g189 +V +tp7654 +a(g21 +Vinitialize +p7655 +tp7656 +a(g202 +V( +tp7657 +a(g18 +Vmail +p7658 +tp7659 +a(g202 +V) +tp7660 +a(g189 +V\u000a +p7661 +tp7662 +a(g80 +V@head +p7663 +tp7664 +a(g189 +V +tp7665 +a(g343 +V= +tp7666 +a(g189 +V +tp7667 +a(g18 +Vmail +p7668 +tp7669 +a(g343 +V. +tp7670 +a(g18 +Vgsub +p7671 +tp7672 +a(g202 +V( +tp7673 +a(g229 +V/ +tp7674 +a(g229 +V\u005c +tp7675 +a(g229 +Vn +tp7676 +a(g229 +V\u005c +tp7677 +a(g229 +Vs+ +p7678 +tp7679 +a(g229 +V/ +tp7680 +a(g202 +V, +tp7681 +a(g271 +V' ' +p7682 +tp7683 +a(g202 +V) +tp7684 +a(g189 +V\u000a +p7685 +tp7686 +a(g80 +V@topline +p7687 +tp7688 +a(g189 +V +tp7689 +a(g343 +V= +tp7690 +a(g189 +V +tp7691 +a(g274 +V%w-Sender Recipient Time Delta- +p7692 +tp7693 +a(g189 +V\u000a +p7694 +tp7695 +a(g80 +V@start_from +p7696 +tp7697 +a(g189 +V +tp7698 +a(g343 +V= +tp7699 +a(g189 +V +tp7700 +a(g18 +Vmail +p7701 +tp7702 +a(g343 +V. +tp7703 +a(g18 +Vmatch +p7704 +tp7705 +a(g202 +V( +tp7706 +a(g229 +V/ +tp7707 +a(g229 +V^From.* +p7708 +tp7709 +a(g229 +V\u005c +tp7710 +a(g229 +V@([^ +p7711 +tp7712 +a(g229 +V\u005c +tp7713 +a(g229 +Vs>]*) +p7714 +tp7715 +a(g229 +V/ +tp7716 +a(g202 +V) +tp7717 +a(g343 +V[ +tp7718 +a(g318 +V1 +tp7719 +a(g343 +V] +tp7720 +a(g189 +V\u000a +p7721 +tp7722 +a(g80 +V@date +p7723 +tp7724 +a(g189 +V +tp7725 +a(g343 +V= +tp7726 +a(g189 +V +tp7727 +a(g33 +VTime +p7728 +tp7729 +a(g343 +V. +tp7730 +a(g18 +Vparse +p7731 +tp7732 +a(g202 +V( +tp7733 +a(g18 +Vmail +p7734 +tp7735 +a(g343 +V. +tp7736 +a(g18 +Vmatch +p7737 +tp7738 +a(g202 +V( +tp7739 +a(g229 +V/ +tp7740 +a(g229 +V^Date: +p7741 +tp7742 +a(g229 +V\u005c +tp7743 +a(g229 +Vs+(.*) +p7744 +tp7745 +a(g229 +V/ +tp7746 +a(g202 +V) +tp7747 +a(g343 +V[ +tp7748 +a(g318 +V1 +tp7749 +a(g343 +V] +tp7750 +a(g202 +V) +tp7751 +a(g189 +V\u000a +p7752 +tp7753 +a(g111 +Vend +p7754 +tp7755 +a(g189 +V\u000a\u000a +p7756 +tp7757 +a(g111 +Vdef +p7758 +tp7759 +a(g189 +V +tp7760 +a(g21 +Vout +p7761 +tp7762 +a(g202 +V( +tp7763 +a(g18 +Vline +p7764 +tp7765 +a(g202 +V) +tp7766 +a(g189 +V\u000a +p7767 +tp7768 +a(g245 +V" +tp7769 +a(g245 +V%-20.20s %-20.20s %-20.20s %s +p7770 +tp7771 +a(g245 +V" +tp7772 +a(g189 +V +tp7773 +a(g343 +V% +tp7774 +a(g189 +V +tp7775 +a(g18 +Vline +p7776 +tp7777 +a(g189 +V\u000a +p7778 +tp7779 +a(g111 +Vend +p7780 +tp7781 +a(g189 +V\u000a\u000a +p7782 +tp7783 +a(g111 +Vdef +p7784 +tp7785 +a(g189 +V +tp7786 +a(g21 +Vhop_date +p7787 +tp7788 +a(g202 +V( +tp7789 +a(g18 +Vday +p7790 +tp7791 +a(g202 +V) +tp7792 +a(g189 +V\u000a +p7793 +tp7794 +a(g18 +Vday +p7795 +tp7796 +a(g343 +V. +tp7797 +a(g18 +Vstrftime +p7798 +tp7799 +a(g202 +V( +tp7800 +a(g245 +V" +tp7801 +a(g245 +V%I:%M:%S %Y/%m/%d +p7802 +tp7803 +a(g245 +V" +tp7804 +a(g202 +V) +tp7805 +a(g189 +V\u000a +p7806 +tp7807 +a(g111 +Vend +p7808 +tp7809 +a(g189 +V\u000a\u000a +p7810 +tp7811 +a(g111 +Vdef +p7812 +tp7813 +a(g189 +V +tp7814 +a(g21 +Vputs_hops +p7815 +tp7816 +a(g189 +V\u000a +p7817 +tp7818 +a(g57 +Vputs +p7819 +tp7820 +a(g189 +V +tp7821 +a(g18 +Vout +p7822 +tp7823 +a(g202 +V( +tp7824 +a(g80 +V@topline +p7825 +tp7826 +a(g202 +V) +tp7827 +a(g189 +V \u000a +p7828 +tp7829 +a(g57 +Vputs +p7830 +tp7831 +a(g189 +V +tp7832 +a(g18 +Vout +p7833 +tp7834 +a(g202 +V( +tp7835 +a(g343 +V[ +tp7836 +a(g271 +V'Start' +p7837 +tp7838 +a(g202 +V, +tp7839 +a(g189 +V +tp7840 +a(g80 +V@start_from +p7841 +tp7842 +a(g202 +V, +tp7843 +a(g189 +V +tp7844 +a(g18 +Vhop_date +p7845 +tp7846 +a(g202 +V( +tp7847 +a(g80 +V@date +p7848 +tp7849 +a(g202 +V) +tp7850 +a(g202 +V, +tp7851 +a(g271 +V'' +p7852 +tp7853 +a(g343 +V] +tp7854 +a(g202 +V) +tp7855 +a(g189 +V\u000a +p7856 +tp7857 +a(g80 +V@head +p7858 +tp7859 +a(g343 +V. +tp7860 +a(g18 +Vsplit +p7861 +tp7862 +a(g202 +V( +tp7863 +a(g229 +V/ +tp7864 +a(g229 +V\u005c +tp7865 +a(g229 +Vn +tp7866 +a(g229 +V/ +tp7867 +a(g202 +V) +tp7868 +a(g343 +V. +tp7869 +a(g18 +Vreverse +p7870 +tp7871 +a(g343 +V. +tp7872 +a(g18 +Vgrep +p7873 +tp7874 +a(g202 +V( +tp7875 +a(g229 +V/ +tp7876 +a(g229 +V^Received: +p7877 +tp7878 +a(g229 +V/ +tp7879 +a(g202 +V) +tp7880 +a(g343 +V. +tp7881 +a(g18 +Veach +p7882 +tp7883 +a(g189 +V +tp7884 +a(g111 +Vdo +p7885 +tp7886 +a(g189 +V +tp7887 +a(g343 +V| +tp7888 +a(g18 +Vhop +p7889 +tp7890 +a(g343 +V| +tp7891 +a(g189 +V\u000a +p7892 +tp7893 +a(g18 +Vhop +p7894 +tp7895 +a(g343 +V. +tp7896 +a(g18 +Vgsub! +p7897 +tp7898 +a(g202 +V( +tp7899 +a(g229 +V/ +tp7900 +a(g229 +V\u005c +tp7901 +a(g229 +Vbon (.*?) (id.*) +p7902 +tp7903 +a(g229 +V/ +tp7904 +a(g202 +V, +tp7905 +a(g271 +V'; \u005c1' +p7906 +tp7907 +a(g202 +V) +tp7908 +a(g189 +V\u000a +p7909 +tp7910 +a(g18 +Vwhence +p7911 +tp7912 +a(g189 +V +tp7913 +a(g343 +V= +tp7914 +a(g189 +V +tp7915 +a(g18 +Vhop +p7916 +tp7917 +a(g343 +V. +tp7918 +a(g18 +Vmatch +p7919 +tp7920 +a(g202 +V( +tp7921 +a(g229 +V/ +tp7922 +a(g229 +V; +tp7923 +a(g229 +V\u005c +tp7924 +a(g229 +Vs+(.*)$ +p7925 +tp7926 +a(g229 +V/ +tp7927 +a(g202 +V) +tp7928 +a(g343 +V[ +tp7929 +a(g318 +V1 +tp7930 +a(g343 +V] +tp7931 +a(g189 +V\u000a +p7932 +tp7933 +a(g111 +Vunless +p7934 +tp7935 +a(g189 +V +tp7936 +a(g18 +Vwhence +p7937 +tp7938 +a(g189 +V\u000a +p7939 +tp7940 +a(g57 +Vwarn +p7941 +tp7942 +a(g189 +V +tp7943 +a(g245 +V" +tp7944 +a(g245 +VBad received line: +p7945 +tp7946 +a(g233 +V#{ +p7947 +tp7948 +a(g18 +Vhop +p7949 +tp7950 +a(g233 +V} +tp7951 +a(g245 +V" +tp7952 +a(g189 +V\u000a +p7953 +tp7954 +a(g111 +Vnext +p7955 +tp7956 +a(g189 +V\u000a +p7957 +tp7958 +a(g111 +Vend +p7959 +tp7960 +a(g189 +V\u000a +p7961 +tp7962 +a(g18 +Vfrom +p7963 +tp7964 +a(g189 +V +tp7965 +a(g343 +V= +tp7966 +a(g189 +V +tp7967 +a(g76 +V$+ +p7968 +tp7969 +a(g189 +V +tp7970 +a(g111 +Vif +p7971 +tp7972 +a(g189 +V +tp7973 +a(g18 +Vhop +p7974 +tp7975 +a(g189 +V +tp7976 +a(g343 +V=~ +p7977 +tp7978 +a(g189 +V +tp7979 +a(g229 +V/ +tp7980 +a(g229 +Vfrom +p7981 +tp7982 +a(g229 +V\u005c +tp7983 +a(g229 +Vs+( +p7984 +tp7985 +a(g229 +V\u005c +tp7986 +a(g229 +VS+)| +p7987 +tp7988 +a(g229 +V\u005c +tp7989 +a(g229 +V((.*?) +p7990 +tp7991 +a(g229 +V\u005c +tp7992 +a(g229 +V) +tp7993 +a(g229 +V/ +tp7994 +a(g189 +V\u000a +p7995 +tp7996 +a(g18 +Vby +p7997 +tp7998 +a(g189 +V +p7999 +tp8000 +a(g343 +V= +tp8001 +a(g189 +V +tp8002 +a(g76 +V$1 +p8003 +tp8004 +a(g189 +V +tp8005 +a(g111 +Vif +p8006 +tp8007 +a(g189 +V +tp8008 +a(g18 +Vhop +p8009 +tp8010 +a(g189 +V +tp8011 +a(g343 +V=~ +p8012 +tp8013 +a(g189 +V +tp8014 +a(g229 +V/ +tp8015 +a(g229 +Vby +p8016 +tp8017 +a(g229 +V\u005c +tp8018 +a(g229 +Vs+( +p8019 +tp8020 +a(g229 +V\u005c +tp8021 +a(g229 +VS+ +p8022 +tp8023 +a(g229 +V\u005c +tp8024 +a(g229 +V. +tp8025 +a(g229 +V\u005c +tp8026 +a(g229 +VS+) +p8027 +tp8028 +a(g229 +V/ +tp8029 +a(g189 +V\u000a +p8030 +tp8031 +a(g111 +Vnext +p8032 +tp8033 +a(g189 +V +tp8034 +a(g111 +Vunless +p8035 +tp8036 +a(g189 +V +tp8037 +a(g18 +Vnow +p8038 +tp8039 +a(g189 +V +tp8040 +a(g343 +V= +tp8041 +a(g189 +V +tp8042 +a(g33 +VTime +p8043 +tp8044 +a(g343 +V. +tp8045 +a(g18 +Vparse +p8046 +tp8047 +a(g202 +V( +tp8048 +a(g18 +Vwhence +p8049 +tp8050 +a(g202 +V) +tp8051 +a(g343 +V. +tp8052 +a(g18 +Vlocaltime +p8053 +tp8054 +a(g189 +V\u000a +p8055 +tp8056 +a(g18 +Vdelta +p8057 +tp8058 +a(g189 +V +tp8059 +a(g343 +V= +tp8060 +a(g189 +V +tp8061 +a(g18 +Vnow +p8062 +tp8063 +a(g189 +V +tp8064 +a(g343 +V- +tp8065 +a(g189 +V +tp8066 +a(g80 +V@date +p8067 +tp8068 +a(g189 +V\u000a +p8069 +tp8070 +a(g57 +Vputs +p8071 +tp8072 +a(g189 +V +tp8073 +a(g18 +Vout +p8074 +tp8075 +a(g202 +V( +tp8076 +a(g343 +V[ +tp8077 +a(g18 +Vfrom +p8078 +tp8079 +a(g202 +V, +tp8080 +a(g189 +V +tp8081 +a(g18 +Vby +p8082 +tp8083 +a(g202 +V, +tp8084 +a(g189 +V +tp8085 +a(g18 +Vhop_date +p8086 +tp8087 +a(g202 +V( +tp8088 +a(g18 +Vnow +p8089 +tp8090 +a(g202 +V) +tp8091 +a(g202 +V, +tp8092 +a(g189 +V +tp8093 +a(g18 +Vhop_time +p8094 +tp8095 +a(g202 +V( +tp8096 +a(g18 +Vdelta +p8097 +tp8098 +a(g202 +V) +tp8099 +a(g343 +V] +tp8100 +a(g202 +V) +tp8101 +a(g189 +V\u000a +p8102 +tp8103 +a(g80 +V@date +p8104 +tp8105 +a(g189 +V +tp8106 +a(g343 +V= +tp8107 +a(g189 +V +tp8108 +a(g18 +Vnow +p8109 +tp8110 +a(g189 +V\u000a +p8111 +tp8112 +a(g111 +Vend +p8113 +tp8114 +a(g189 +V\u000a +p8115 +tp8116 +a(g111 +Vend +p8117 +tp8118 +a(g189 +V\u000a\u000a +p8119 +tp8120 +a(g111 +Vdef +p8121 +tp8122 +a(g189 +V +tp8123 +a(g21 +Vhop_time +p8124 +tp8125 +a(g202 +V( +tp8126 +a(g18 +Vsecs +p8127 +tp8128 +a(g202 +V) +tp8129 +a(g189 +V\u000a +p8130 +tp8131 +a(g18 +Vsign +p8132 +tp8133 +a(g189 +V +tp8134 +a(g343 +V= +tp8135 +a(g189 +V +tp8136 +a(g18 +Vsecs +p8137 +tp8138 +a(g189 +V +tp8139 +a(g343 +V< +tp8140 +a(g189 +V +tp8141 +a(g318 +V0 +tp8142 +a(g189 +V +tp8143 +a(g343 +V? +tp8144 +a(g189 +V +tp8145 +a(g343 +V- +tp8146 +a(g318 +V1 +tp8147 +a(g189 +V +tp8148 +a(g202 +V: +tp8149 +a(g189 +V +tp8150 +a(g318 +V1 +tp8151 +a(g189 +V\u000a +p8152 +tp8153 +a(g18 +Vdays +p8154 +tp8155 +a(g202 +V, +tp8156 +a(g189 +V +tp8157 +a(g18 +Vsecs +p8158 +tp8159 +a(g189 +V +tp8160 +a(g343 +V= +tp8161 +a(g189 +V +tp8162 +a(g18 +Vsecs +p8163 +tp8164 +a(g343 +V. +tp8165 +a(g18 +Vabs +p8166 +tp8167 +a(g343 +V. +tp8168 +a(g18 +Vdivmod +p8169 +tp8170 +a(g202 +V( +tp8171 +a(g318 +V60 +p8172 +tp8173 +a(g189 +V +tp8174 +a(g343 +V* +tp8175 +a(g189 +V +tp8176 +a(g318 +V60 +p8177 +tp8178 +a(g189 +V +tp8179 +a(g343 +V* +tp8180 +a(g189 +V +tp8181 +a(g318 +V24 +p8182 +tp8183 +a(g202 +V) +tp8184 +a(g189 +V\u000a +p8185 +tp8186 +a(g18 +Vhours +p8187 +tp8188 +a(g202 +V, +tp8189 +a(g18 +Vsecs +p8190 +tp8191 +a(g189 +V +tp8192 +a(g343 +V= +tp8193 +a(g189 +V +tp8194 +a(g18 +Vsecs +p8195 +tp8196 +a(g343 +V. +tp8197 +a(g18 +Vabs +p8198 +tp8199 +a(g343 +V. +tp8200 +a(g18 +Vdivmod +p8201 +tp8202 +a(g202 +V( +tp8203 +a(g318 +V60 +p8204 +tp8205 +a(g189 +V +tp8206 +a(g343 +V* +tp8207 +a(g189 +V +tp8208 +a(g318 +V60 +p8209 +tp8210 +a(g202 +V) +tp8211 +a(g189 +V\u000a +p8212 +tp8213 +a(g18 +Vmins +p8214 +tp8215 +a(g202 +V, +tp8216 +a(g189 +V +tp8217 +a(g18 +Vsecs +p8218 +tp8219 +a(g189 +V +tp8220 +a(g343 +V= +tp8221 +a(g189 +V +tp8222 +a(g18 +Vsecs +p8223 +tp8224 +a(g343 +V. +tp8225 +a(g18 +Vabs +p8226 +tp8227 +a(g343 +V. +tp8228 +a(g18 +Vdivmod +p8229 +tp8230 +a(g202 +V( +tp8231 +a(g318 +V60 +p8232 +tp8233 +a(g202 +V) +tp8234 +a(g189 +V\u000a +p8235 +tp8236 +a(g18 +Vrtn +p8237 +tp8238 +a(g189 +V +tp8239 +a(g343 +V= +tp8240 +a(g189 +V +p8241 +tp8242 +a(g245 +V" +tp8243 +a(g245 +V%3ds +p8244 +tp8245 +a(g245 +V" +tp8246 +a(g189 +V +tp8247 +a(g343 +V% +tp8248 +a(g189 +V +tp8249 +a(g343 +V[ +tp8250 +a(g18 +Vsecs +p8251 +tp8252 +a(g189 +V +p8253 +tp8254 +a(g343 +V* +tp8255 +a(g189 +V +tp8256 +a(g18 +Vsign +p8257 +tp8258 +a(g343 +V] +tp8259 +a(g189 +V\u000a +p8260 +tp8261 +a(g18 +Vrtn +p8262 +tp8263 +a(g189 +V +tp8264 +a(g343 +V<< +p8265 +tp8266 +a(g189 +V +tp8267 +a(g245 +V" +tp8268 +a(g245 +V%3dm +p8269 +tp8270 +a(g245 +V" +tp8271 +a(g189 +V +tp8272 +a(g343 +V% +tp8273 +a(g189 +V +tp8274 +a(g343 +V[ +tp8275 +a(g18 +Vmins +p8276 +tp8277 +a(g189 +V +p8278 +tp8279 +a(g343 +V* +tp8280 +a(g189 +V +tp8281 +a(g18 +Vsign +p8282 +tp8283 +a(g343 +V] +tp8284 +a(g189 +V +tp8285 +a(g111 +Vif +p8286 +tp8287 +a(g189 +V +tp8288 +a(g18 +Vmins +p8289 +tp8290 +a(g189 +V +p8291 +tp8292 +a(g343 +V!= +p8293 +tp8294 +a(g189 +V +tp8295 +a(g318 +V0 +tp8296 +a(g189 +V\u000a +p8297 +tp8298 +a(g18 +Vrtn +p8299 +tp8300 +a(g189 +V +tp8301 +a(g343 +V<< +p8302 +tp8303 +a(g189 +V +tp8304 +a(g245 +V" +tp8305 +a(g245 +V%3dh +p8306 +tp8307 +a(g245 +V" +tp8308 +a(g189 +V +tp8309 +a(g343 +V% +tp8310 +a(g189 +V +tp8311 +a(g343 +V[ +tp8312 +a(g18 +Vhours +p8313 +tp8314 +a(g189 +V +tp8315 +a(g343 +V* +tp8316 +a(g189 +V +tp8317 +a(g18 +Vsign +p8318 +tp8319 +a(g343 +V] +tp8320 +a(g189 +V +tp8321 +a(g111 +Vif +p8322 +tp8323 +a(g189 +V +tp8324 +a(g18 +Vhours +p8325 +tp8326 +a(g189 +V +tp8327 +a(g343 +V!= +p8328 +tp8329 +a(g189 +V +tp8330 +a(g318 +V0 +tp8331 +a(g189 +V\u000a +p8332 +tp8333 +a(g18 +Vrtn +p8334 +tp8335 +a(g189 +V +tp8336 +a(g343 +V<< +p8337 +tp8338 +a(g189 +V +tp8339 +a(g245 +V" +tp8340 +a(g245 +V%3dd +p8341 +tp8342 +a(g245 +V" +tp8343 +a(g189 +V +tp8344 +a(g343 +V% +tp8345 +a(g189 +V +tp8346 +a(g343 +V[ +tp8347 +a(g18 +Vdays +p8348 +tp8349 +a(g189 +V +p8350 +tp8351 +a(g343 +V* +tp8352 +a(g189 +V +tp8353 +a(g18 +Vsign +p8354 +tp8355 +a(g343 +V] +tp8356 +a(g189 +V +tp8357 +a(g111 +Vif +p8358 +tp8359 +a(g189 +V +tp8360 +a(g18 +Vdays +p8361 +tp8362 +a(g189 +V +p8363 +tp8364 +a(g343 +V!= +p8365 +tp8366 +a(g189 +V +tp8367 +a(g318 +V0 +tp8368 +a(g189 +V \u000a +p8369 +tp8370 +a(g18 +Vrtn +p8371 +tp8372 +a(g189 +V\u000a +p8373 +tp8374 +a(g111 +Vend +p8375 +tp8376 +a(g189 +V\u000a +tp8377 +a(g111 +Vend +p8378 +tp8379 +a(g189 +V\u000a\u000a +p8380 +tp8381 +a(g76 +V$/ +p8382 +tp8383 +a(g189 +V +tp8384 +a(g343 +V= +tp8385 +a(g189 +V +tp8386 +a(g245 +V" +tp8387 +a(g245 +V" +tp8388 +a(g189 +V\u000a +tp8389 +a(g18 +Vmail +p8390 +tp8391 +a(g189 +V +tp8392 +a(g343 +V= +tp8393 +a(g189 +V +tp8394 +a(g33 +VMailHopDelta +p8395 +tp8396 +a(g343 +V. +tp8397 +a(g18 +Vnew +p8398 +tp8399 +a(g202 +V( +tp8400 +a(g33 +VARGF +p8401 +tp8402 +a(g343 +V. +tp8403 +a(g18 +Vgets +p8404 +tp8405 +a(g202 +V) +tp8406 +a(g343 +V. +tp8407 +a(g18 +Vputs_hops +p8408 +tp8409 +a(g189 +V\u000a\u000a\u000a +p8410 +tp8411 +a(g7 +V# @@PLEAC@@_4.0 +p8412 +tp8413 +a(g189 +V\u000a +tp8414 +a(g18 +Vsingle_level +p8415 +tp8416 +a(g189 +V +tp8417 +a(g343 +V= +tp8418 +a(g189 +V +tp8419 +a(g343 +V[ +tp8420 +a(g189 +V +tp8421 +a(g245 +V" +tp8422 +a(g245 +Vthis +p8423 +tp8424 +a(g245 +V" +tp8425 +a(g202 +V, +tp8426 +a(g189 +V +tp8427 +a(g245 +V" +tp8428 +a(g245 +Vthat +p8429 +tp8430 +a(g245 +V" +tp8431 +a(g202 +V, +tp8432 +a(g189 +V +tp8433 +a(g245 +V" +tp8434 +a(g245 +Vthe +p8435 +tp8436 +a(g245 +V" +tp8437 +a(g202 +V, +tp8438 +a(g189 +V +tp8439 +a(g245 +V" +tp8440 +a(g245 +Vother +p8441 +tp8442 +a(g245 +V" +tp8443 +a(g189 +V +tp8444 +a(g343 +V] +tp8445 +a(g189 +V\u000a\u000a +p8446 +tp8447 +a(g7 +V# Ruby directly supports nested arrays +p8448 +tp8449 +a(g189 +V\u000a +tp8450 +a(g18 +Vdouble_level +p8451 +tp8452 +a(g189 +V +tp8453 +a(g343 +V= +tp8454 +a(g189 +V +tp8455 +a(g343 +V[ +tp8456 +a(g189 +V +tp8457 +a(g245 +V" +tp8458 +a(g245 +Vthis +p8459 +tp8460 +a(g245 +V" +tp8461 +a(g202 +V, +tp8462 +a(g189 +V +tp8463 +a(g245 +V" +tp8464 +a(g245 +Vthat +p8465 +tp8466 +a(g245 +V" +tp8467 +a(g202 +V, +tp8468 +a(g189 +V +tp8469 +a(g343 +V[ +tp8470 +a(g189 +V +tp8471 +a(g245 +V" +tp8472 +a(g245 +Vthe +p8473 +tp8474 +a(g245 +V" +tp8475 +a(g202 +V, +tp8476 +a(g189 +V +tp8477 +a(g245 +V" +tp8478 +a(g245 +Vother +p8479 +tp8480 +a(g245 +V" +tp8481 +a(g189 +V +tp8482 +a(g343 +V] +tp8483 +a(g189 +V +tp8484 +a(g343 +V] +tp8485 +a(g189 +V\u000a +tp8486 +a(g18 +Vstill_single_level +p8487 +tp8488 +a(g189 +V +tp8489 +a(g343 +V= +tp8490 +a(g189 +V +tp8491 +a(g343 +V[ +tp8492 +a(g189 +V +tp8493 +a(g245 +V" +tp8494 +a(g245 +Vthis +p8495 +tp8496 +a(g245 +V" +tp8497 +a(g202 +V, +tp8498 +a(g189 +V +tp8499 +a(g245 +V" +tp8500 +a(g245 +Vthat +p8501 +tp8502 +a(g245 +V" +tp8503 +a(g202 +V, +tp8504 +a(g189 +V +tp8505 +a(g343 +V[ +tp8506 +a(g189 +V +tp8507 +a(g245 +V" +tp8508 +a(g245 +Vthe +p8509 +tp8510 +a(g245 +V" +tp8511 +a(g202 +V, +tp8512 +a(g189 +V +tp8513 +a(g245 +V" +tp8514 +a(g245 +Vother +p8515 +tp8516 +a(g245 +V" +tp8517 +a(g189 +V +tp8518 +a(g343 +V] +tp8519 +a(g189 +V +tp8520 +a(g343 +V] +tp8521 +a(g343 +V. +tp8522 +a(g18 +Vflatten +p8523 +tp8524 +a(g189 +V\u000a\u000a\u000a +p8525 +tp8526 +a(g7 +V# @@PLEAC@@_4.1 +p8527 +tp8528 +a(g189 +V\u000a +tp8529 +a(g18 +Va +tp8530 +a(g189 +V +tp8531 +a(g343 +V= +tp8532 +a(g189 +V +tp8533 +a(g343 +V[ +tp8534 +a(g189 +V +tp8535 +a(g245 +V" +tp8536 +a(g245 +Vquick +p8537 +tp8538 +a(g245 +V" +tp8539 +a(g202 +V, +tp8540 +a(g189 +V +tp8541 +a(g245 +V" +tp8542 +a(g245 +Vbrown +p8543 +tp8544 +a(g245 +V" +tp8545 +a(g202 +V, +tp8546 +a(g189 +V +tp8547 +a(g245 +V" +tp8548 +a(g245 +Vfox +p8549 +tp8550 +a(g245 +V" +tp8551 +a(g189 +V +tp8552 +a(g343 +V] +tp8553 +a(g189 +V\u000a +tp8554 +a(g18 +Va +tp8555 +a(g189 +V +tp8556 +a(g343 +V= +tp8557 +a(g189 +V +tp8558 +a(g274 +V%w( +p8559 +tp8560 +a(g274 +VWhy are you teasing me? +p8561 +tp8562 +a(g274 +V) +tp8563 +a(g189 +V\u000a\u000a +p8564 +tp8565 +a(g18 +Vlines +p8566 +tp8567 +a(g189 +V +tp8568 +a(g343 +V= +tp8569 +a(g189 +V +tp8570 +a(g343 +V<< +p8571 +tp8572 +a(g241 +V" +tp8573 +a(g33 +VEND_OF_HERE_DOC +p8574 +tp8575 +a(g241 +V" +tp8576 +a(g343 +V. +tp8577 +a(g18 +Vgsub +p8578 +tp8579 +a(g202 +V( +tp8580 +a(g229 +V/ +tp8581 +a(g229 +V^ +tp8582 +a(g229 +V\u005c +tp8583 +a(g229 +Vs*(.+) +p8584 +tp8585 +a(g229 +V/ +tp8586 +a(g202 +V, +tp8587 +a(g189 +V +tp8588 +a(g271 +V'\u005c1' +p8589 +tp8590 +a(g202 +V) +tp8591 +a(g189 +V\u000a +tp8592 +a(g241 +V The boy stood on the burning deck,\u000a +p8593 +tp8594 +a(g241 +V It was as hot as glass.\u000a +p8595 +tp8596 +a(g33 +VEND_OF_HERE_DOC\u000a +p8597 +tp8598 +a(g189 +V\u000a +tp8599 +a(g18 +Vbigarray +p8600 +tp8601 +a(g189 +V +tp8602 +a(g343 +V= +tp8603 +a(g189 +V +tp8604 +a(g33 +VIO +p8605 +tp8606 +a(g343 +V. +tp8607 +a(g18 +Vreadlines +p8608 +tp8609 +a(g202 +V( +tp8610 +a(g245 +V" +tp8611 +a(g245 +Vmydatafile +p8612 +tp8613 +a(g245 +V" +tp8614 +a(g202 +V) +tp8615 +a(g343 +V. +tp8616 +a(g18 +Vcollect +p8617 +tp8618 +a(g189 +V +tp8619 +a(g202 +V{ +tp8620 +a(g189 +V +tp8621 +a(g343 +V| +tp8622 +a(g18 +Vl +tp8623 +a(g343 +V| +tp8624 +a(g189 +V +tp8625 +a(g18 +Vl +tp8626 +a(g343 +V. +tp8627 +a(g18 +Vchomp +p8628 +tp8629 +a(g189 +V +tp8630 +a(g202 +V} +tp8631 +a(g189 +V\u000a\u000a +p8632 +tp8633 +a(g57 +Vname +p8634 +tp8635 +a(g189 +V +tp8636 +a(g343 +V= +tp8637 +a(g189 +V +tp8638 +a(g245 +V" +tp8639 +a(g245 +VGandalf +p8640 +tp8641 +a(g245 +V" +tp8642 +a(g189 +V\u000a +tp8643 +a(g18 +Vbanner +p8644 +tp8645 +a(g189 +V +tp8646 +a(g343 +V= +tp8647 +a(g189 +V +tp8648 +a(g274 +V%Q( +p8649 +tp8650 +a(g274 +VSpeak, +p8651 +tp8652 +a(g233 +V#{ +p8653 +tp8654 +a(g57 +Vname +p8655 +tp8656 +a(g233 +V} +tp8657 +a(g274 +V, and welcome! +p8658 +tp8659 +a(g274 +V) +tp8660 +a(g189 +V\u000a\u000a +p8661 +tp8662 +a(g18 +Vhost_info +p8663 +tp8664 +a(g189 +V +p8665 +tp8666 +a(g343 +V= +tp8667 +a(g189 +V +tp8668 +a(g264 +V` +tp8669 +a(g264 +Vhost +p8670 +tp8671 +a(g233 +V#{ +p8672 +tp8673 +a(g18 +Vhis_host +p8674 +tp8675 +a(g233 +V} +tp8676 +a(g264 +V` +tp8677 +a(g189 +V\u000a\u000a +p8678 +tp8679 +a(g274 +V%x( +p8680 +tp8681 +a(g274 +Vps +p8682 +tp8683 +a(g233 +V#{ +p8684 +tp8685 +a(g76 +V$$ +p8686 +tp8687 +a(g233 +V} +tp8688 +a(g274 +V) +tp8689 +a(g189 +V\u000a\u000a +p8690 +tp8691 +a(g18 +Vbanner +p8692 +tp8693 +a(g189 +V +tp8694 +a(g343 +V= +tp8695 +a(g189 +V +tp8696 +a(g271 +V'Costs only $4.95' +p8697 +tp8698 +a(g343 +V. +tp8699 +a(g18 +Vsplit +p8700 +tp8701 +a(g202 +V( +tp8702 +a(g271 +V' ' +p8703 +tp8704 +a(g202 +V) +tp8705 +a(g189 +V\u000a\u000a +p8706 +tp8707 +a(g18 +Vrax +p8708 +tp8709 +a(g189 +V +tp8710 +a(g343 +V= +tp8711 +a(g189 +V +tp8712 +a(g274 +V%w! ( ) < > { } [ ] ! +p8713 +tp8714 +a(g189 +V\u000a\u000a\u000a +p8715 +tp8716 +a(g7 +V# @@PLEAC@@_4.2 +p8717 +tp8718 +a(g189 +V\u000a +tp8719 +a(g111 +Vdef +p8720 +tp8721 +a(g189 +V +tp8722 +a(g21 +Vcommify_series +p8723 +tp8724 +a(g202 +V( +tp8725 +a(g18 +Varr +p8726 +tp8727 +a(g202 +V) +tp8728 +a(g189 +V\u000a +p8729 +tp8730 +a(g111 +Vreturn +p8731 +tp8732 +a(g189 +V +tp8733 +a(g271 +V'' +p8734 +tp8735 +a(g189 +V +tp8736 +a(g111 +Vif +p8737 +tp8738 +a(g189 +V +tp8739 +a(g347 +Vnot +p8740 +tp8741 +a(g189 +V +tp8742 +a(g18 +Varr +p8743 +tp8744 +a(g189 +V\u000a +p8745 +tp8746 +a(g111 +Vcase +p8747 +tp8748 +a(g189 +V +tp8749 +a(g18 +Varr +p8750 +tp8751 +a(g343 +V. +tp8752 +a(g18 +Vsize +p8753 +tp8754 +a(g189 +V\u000a +p8755 +tp8756 +a(g111 +Vwhen +p8757 +tp8758 +a(g189 +V +tp8759 +a(g318 +V0 +tp8760 +a(g189 +V +tp8761 +a(g111 +Vthen +p8762 +tp8763 +a(g189 +V +tp8764 +a(g271 +V'' +p8765 +tp8766 +a(g189 +V\u000a +p8767 +tp8768 +a(g111 +Vwhen +p8769 +tp8770 +a(g189 +V +tp8771 +a(g318 +V1 +tp8772 +a(g189 +V +tp8773 +a(g111 +Vthen +p8774 +tp8775 +a(g189 +V +tp8776 +a(g18 +Varr +p8777 +tp8778 +a(g343 +V[ +tp8779 +a(g318 +V0 +tp8780 +a(g343 +V] +tp8781 +a(g189 +V\u000a +p8782 +tp8783 +a(g111 +Vwhen +p8784 +tp8785 +a(g189 +V +tp8786 +a(g318 +V2 +tp8787 +a(g189 +V +tp8788 +a(g111 +Vthen +p8789 +tp8790 +a(g189 +V +tp8791 +a(g18 +Varr +p8792 +tp8793 +a(g343 +V. +tp8794 +a(g18 +Vjoin +p8795 +tp8796 +a(g202 +V( +tp8797 +a(g271 +V' and ' +p8798 +tp8799 +a(g202 +V) +tp8800 +a(g189 +V\u000a +p8801 +tp8802 +a(g111 +Velse +p8803 +tp8804 +a(g189 +V +tp8805 +a(g18 +Varr +p8806 +tp8807 +a(g343 +V[ +tp8808 +a(g318 +V0 +tp8809 +a(g343 +V. +tp8810 +a(g18 +V. +tp8811 +a(g343 +V- +tp8812 +a(g318 +V2 +tp8813 +a(g343 +V] +tp8814 +a(g343 +V. +tp8815 +a(g18 +Vjoin +p8816 +tp8817 +a(g202 +V( +tp8818 +a(g271 +V', ' +p8819 +tp8820 +a(g202 +V) +tp8821 +a(g189 +V +tp8822 +a(g343 +V+ +tp8823 +a(g189 +V +tp8824 +a(g271 +V', and ' +p8825 +tp8826 +a(g189 +V +tp8827 +a(g343 +V+ +tp8828 +a(g189 +V +tp8829 +a(g18 +Varr +p8830 +tp8831 +a(g343 +V[ +tp8832 +a(g343 +V- +tp8833 +a(g318 +V1 +tp8834 +a(g343 +V] +tp8835 +a(g189 +V\u000a +p8836 +tp8837 +a(g111 +Vend +p8838 +tp8839 +a(g189 +V\u000a +tp8840 +a(g111 +Vend +p8841 +tp8842 +a(g189 +V\u000a\u000a +p8843 +tp8844 +a(g18 +Varray +p8845 +tp8846 +a(g189 +V +tp8847 +a(g343 +V= +tp8848 +a(g189 +V +tp8849 +a(g343 +V[ +tp8850 +a(g189 +V +tp8851 +a(g245 +V" +tp8852 +a(g245 +Vred +p8853 +tp8854 +a(g245 +V" +tp8855 +a(g202 +V, +tp8856 +a(g189 +V +tp8857 +a(g245 +V" +tp8858 +a(g245 +Vyellow +p8859 +tp8860 +a(g245 +V" +tp8861 +a(g202 +V, +tp8862 +a(g189 +V +tp8863 +a(g245 +V" +tp8864 +a(g245 +Vgreen +p8865 +tp8866 +a(g245 +V" +tp8867 +a(g189 +V +tp8868 +a(g343 +V] +tp8869 +a(g189 +V\u000a\u000a +p8870 +tp8871 +a(g57 +Vprint +p8872 +tp8873 +a(g189 +V +tp8874 +a(g245 +V" +tp8875 +a(g245 +VI have +p8876 +tp8877 +a(g245 +V" +tp8878 +a(g202 +V, +tp8879 +a(g189 +V +tp8880 +a(g18 +Varray +p8881 +tp8882 +a(g202 +V, +tp8883 +a(g189 +V +tp8884 +a(g245 +V" +tp8885 +a(g245 +V marbles +p8886 +tp8887 +a(g252 +V\u005cn +p8888 +tp8889 +a(g245 +V" +tp8890 +a(g189 +V\u000a +tp8891 +a(g7 +V# -> I have redyellowgreen marbles +p8892 +tp8893 +a(g189 +V\u000a\u000a +p8894 +tp8895 +a(g7 +V# But unlike Perl: +p8896 +tp8897 +a(g189 +V\u000a +tp8898 +a(g57 +Vprint +p8899 +tp8900 +a(g189 +V +tp8901 +a(g245 +V" +tp8902 +a(g245 +VI have +p8903 +tp8904 +a(g233 +V#{ +p8905 +tp8906 +a(g18 +Varray +p8907 +tp8908 +a(g233 +V} +tp8909 +a(g245 +V marbles +p8910 +tp8911 +a(g252 +V\u005cn +p8912 +tp8913 +a(g245 +V" +tp8914 +a(g189 +V\u000a +tp8915 +a(g7 +V# -> I have redyellowgreen marbles +p8916 +tp8917 +a(g189 +V\u000a +tp8918 +a(g7 +V# So, needs: +p8919 +tp8920 +a(g189 +V\u000a +tp8921 +a(g57 +Vprint +p8922 +tp8923 +a(g189 +V +tp8924 +a(g245 +V" +tp8925 +a(g245 +VI have +p8926 +tp8927 +a(g233 +V#{ +p8928 +tp8929 +a(g18 +Varray +p8930 +tp8931 +a(g343 +V. +tp8932 +a(g18 +Vjoin +p8933 +tp8934 +a(g202 +V( +tp8935 +a(g271 +V' ' +p8936 +tp8937 +a(g202 +V) +tp8938 +a(g233 +V} +tp8939 +a(g245 +V marbles +p8940 +tp8941 +a(g252 +V\u005cn +p8942 +tp8943 +a(g245 +V" +tp8944 +a(g189 +V\u000a +tp8945 +a(g7 +V# -> I have red yellow green marbles +p8946 +tp8947 +a(g189 +V\u000a\u000a +p8948 +tp8949 +a(g7 +V#!/usr/bin/ruby +p8950 +tp8951 +a(g189 +V\u000a +tp8952 +a(g7 +V# communify_series - show proper comma insertion in list output +p8953 +tp8954 +a(g189 +V\u000a\u000a +p8955 +tp8956 +a(g111 +Vdef +p8957 +tp8958 +a(g189 +V +tp8959 +a(g21 +Vcommify_series +p8960 +tp8961 +a(g202 +V( +tp8962 +a(g18 +Varr +p8963 +tp8964 +a(g202 +V) +tp8965 +a(g189 +V\u000a +p8966 +tp8967 +a(g111 +Vreturn +p8968 +tp8969 +a(g189 +V +tp8970 +a(g271 +V'' +p8971 +tp8972 +a(g189 +V +tp8973 +a(g111 +Vif +p8974 +tp8975 +a(g189 +V +tp8976 +a(g347 +Vnot +p8977 +tp8978 +a(g189 +V +tp8979 +a(g18 +Varr +p8980 +tp8981 +a(g189 +V\u000a +p8982 +tp8983 +a(g18 +Vsepchar +p8984 +tp8985 +a(g189 +V +tp8986 +a(g343 +V= +tp8987 +a(g189 +V +tp8988 +a(g18 +Varr +p8989 +tp8990 +a(g343 +V. +tp8991 +a(g18 +Vfind +p8992 +tp8993 +a(g189 +V +tp8994 +a(g202 +V{ +tp8995 +a(g189 +V +tp8996 +a(g343 +V| +tp8997 +a(g57 +Vp +tp8998 +a(g343 +V| +tp8999 +a(g189 +V +tp9000 +a(g57 +Vp +tp9001 +a(g189 +V +tp9002 +a(g343 +V=~ +p9003 +tp9004 +a(g189 +V +tp9005 +a(g229 +V/ +tp9006 +a(g229 +V, +tp9007 +a(g229 +V/ +tp9008 +a(g189 +V +tp9009 +a(g202 +V} +tp9010 +a(g189 +V +tp9011 +a(g202 +V? +tp9012 +a(g189 +V +tp9013 +a(g271 +V'; ' +p9014 +tp9015 +a(g189 +V +tp9016 +a(g202 +V: +tp9017 +a(g189 +V +tp9018 +a(g271 +V', ' +p9019 +tp9020 +a(g189 +V\u000a +p9021 +tp9022 +a(g111 +Vcase +p9023 +tp9024 +a(g189 +V +tp9025 +a(g18 +Varr +p9026 +tp9027 +a(g343 +V. +tp9028 +a(g18 +Vsize +p9029 +tp9030 +a(g189 +V\u000a +p9031 +tp9032 +a(g111 +Vwhen +p9033 +tp9034 +a(g189 +V +tp9035 +a(g318 +V0 +tp9036 +a(g189 +V +tp9037 +a(g111 +Vthen +p9038 +tp9039 +a(g189 +V +tp9040 +a(g271 +V'' +p9041 +tp9042 +a(g189 +V\u000a +p9043 +tp9044 +a(g111 +Vwhen +p9045 +tp9046 +a(g189 +V +tp9047 +a(g318 +V1 +tp9048 +a(g189 +V +tp9049 +a(g111 +Vthen +p9050 +tp9051 +a(g189 +V +tp9052 +a(g18 +Varr +p9053 +tp9054 +a(g343 +V[ +tp9055 +a(g318 +V0 +tp9056 +a(g343 +V] +tp9057 +a(g189 +V\u000a +p9058 +tp9059 +a(g111 +Vwhen +p9060 +tp9061 +a(g189 +V +tp9062 +a(g318 +V2 +tp9063 +a(g189 +V +tp9064 +a(g111 +Vthen +p9065 +tp9066 +a(g189 +V +tp9067 +a(g18 +Varr +p9068 +tp9069 +a(g343 +V. +tp9070 +a(g18 +Vjoin +p9071 +tp9072 +a(g202 +V( +tp9073 +a(g271 +V' and ' +p9074 +tp9075 +a(g202 +V) +tp9076 +a(g189 +V\u000a +p9077 +tp9078 +a(g111 +Velse +p9079 +tp9080 +a(g189 +V +tp9081 +a(g18 +Varr +p9082 +tp9083 +a(g343 +V[ +tp9084 +a(g318 +V0 +tp9085 +a(g343 +V. +tp9086 +a(g18 +V. +tp9087 +a(g343 +V- +tp9088 +a(g318 +V2 +tp9089 +a(g343 +V] +tp9090 +a(g343 +V. +tp9091 +a(g18 +Vjoin +p9092 +tp9093 +a(g202 +V( +tp9094 +a(g18 +Vsepchar +p9095 +tp9096 +a(g202 +V) +tp9097 +a(g189 +V +tp9098 +a(g343 +V+ +tp9099 +a(g189 +V +tp9100 +a(g18 +Vsepchar +p9101 +tp9102 +a(g189 +V +tp9103 +a(g343 +V+ +tp9104 +a(g189 +V +tp9105 +a(g271 +V'and ' +p9106 +tp9107 +a(g189 +V +tp9108 +a(g343 +V+ +tp9109 +a(g189 +V +tp9110 +a(g18 +Varr +p9111 +tp9112 +a(g343 +V[ +tp9113 +a(g343 +V- +tp9114 +a(g318 +V1 +tp9115 +a(g343 +V] +tp9116 +a(g189 +V\u000a +p9117 +tp9118 +a(g111 +Vend +p9119 +tp9120 +a(g189 +V\u000a +tp9121 +a(g111 +Vend +p9122 +tp9123 +a(g189 +V\u000a\u000a +p9124 +tp9125 +a(g18 +Vlists +p9126 +tp9127 +a(g189 +V +tp9128 +a(g343 +V= +tp9129 +a(g189 +V +tp9130 +a(g343 +V[ +tp9131 +a(g189 +V\u000a +p9132 +tp9133 +a(g343 +V[ +tp9134 +a(g189 +V +tp9135 +a(g271 +V'just one thing' +p9136 +tp9137 +a(g189 +V +tp9138 +a(g343 +V] +tp9139 +a(g202 +V, +tp9140 +a(g189 +V\u000a +p9141 +tp9142 +a(g274 +V%w( +p9143 +tp9144 +a(g274 +VMutt Jeff +p9145 +tp9146 +a(g274 +V) +tp9147 +a(g202 +V, +tp9148 +a(g189 +V\u000a +p9149 +tp9150 +a(g274 +V%w( +p9151 +tp9152 +a(g274 +VPeter Paul Mary +p9153 +tp9154 +a(g274 +V) +tp9155 +a(g202 +V, +tp9156 +a(g189 +V\u000a +p9157 +tp9158 +a(g343 +V[ +tp9159 +a(g189 +V +tp9160 +a(g271 +V'To our parents' +p9161 +tp9162 +a(g202 +V, +tp9163 +a(g189 +V +tp9164 +a(g271 +V'Mother Theresa' +p9165 +tp9166 +a(g202 +V, +tp9167 +a(g189 +V +tp9168 +a(g271 +V'God' +p9169 +tp9170 +a(g189 +V +tp9171 +a(g343 +V] +tp9172 +a(g202 +V, +tp9173 +a(g189 +V\u000a +p9174 +tp9175 +a(g343 +V[ +tp9176 +a(g189 +V +tp9177 +a(g271 +V'pastrami' +p9178 +tp9179 +a(g202 +V, +tp9180 +a(g189 +V +tp9181 +a(g271 +V'ham and cheese' +p9182 +tp9183 +a(g202 +V, +tp9184 +a(g189 +V +tp9185 +a(g271 +V'peanut butter and jelly' +p9186 +tp9187 +a(g202 +V, +tp9188 +a(g189 +V +tp9189 +a(g271 +V'tuna' +p9190 +tp9191 +a(g189 +V +tp9192 +a(g343 +V] +tp9193 +a(g202 +V, +tp9194 +a(g189 +V\u000a +p9195 +tp9196 +a(g343 +V[ +tp9197 +a(g189 +V +tp9198 +a(g271 +V'recycle tired, old phrases' +p9199 +tp9200 +a(g202 +V, +tp9201 +a(g189 +V +tp9202 +a(g271 +V'ponder big, happy thoughts' +p9203 +tp9204 +a(g189 +V +tp9205 +a(g343 +V] +tp9206 +a(g202 +V, +tp9207 +a(g189 +V\u000a +p9208 +tp9209 +a(g343 +V[ +tp9210 +a(g189 +V +tp9211 +a(g271 +V'recycle tired, old phrases' +p9212 +tp9213 +a(g202 +V, +tp9214 +a(g189 +V\u000a +p9215 +tp9216 +a(g271 +V'ponder big, happy thoughts' +p9217 +tp9218 +a(g202 +V, +tp9219 +a(g189 +V\u000a +p9220 +tp9221 +a(g271 +V'sleep and dream peacefully' +p9222 +tp9223 +a(g189 +V +tp9224 +a(g343 +V] +tp9225 +a(g202 +V, +tp9226 +a(g189 +V\u000a +tp9227 +a(g343 +V] +tp9228 +a(g189 +V\u000a\u000a +p9229 +tp9230 +a(g111 +Vfor +p9231 +tp9232 +a(g189 +V +tp9233 +a(g18 +Vlist +p9234 +tp9235 +a(g189 +V +tp9236 +a(g111 +Vin +p9237 +tp9238 +a(g189 +V +tp9239 +a(g18 +Vlists +p9240 +tp9241 +a(g189 +V +tp9242 +a(g111 +Vdo +p9243 +tp9244 +a(g189 +V\u000a +p9245 +tp9246 +a(g57 +Vputs +p9247 +tp9248 +a(g189 +V +tp9249 +a(g245 +V" +tp9250 +a(g245 +VThe list is: +p9251 +tp9252 +a(g233 +V#{ +p9253 +tp9254 +a(g18 +Vcommify_series +p9255 +tp9256 +a(g202 +V( +tp9257 +a(g18 +Vlist +p9258 +tp9259 +a(g202 +V) +tp9260 +a(g233 +V} +tp9261 +a(g245 +V. +tp9262 +a(g245 +V" +tp9263 +a(g189 +V\u000a +tp9264 +a(g111 +Vend +p9265 +tp9266 +a(g189 +V\u000a\u000a\u000a +p9267 +tp9268 +a(g7 +V# @@PLEAC@@_4.3 +p9269 +tp9270 +a(g189 +V\u000a +tp9271 +a(g7 +V# (note: AFAIK Ruby doesn't allow gory change of Array length) +p9272 +tp9273 +a(g189 +V\u000a +tp9274 +a(g7 +V# grow the array by assigning nil to past the end of array +p9275 +tp9276 +a(g189 +V\u000a +tp9277 +a(g18 +Vary +p9278 +tp9279 +a(g343 +V[ +tp9280 +a(g18 +Vnew_size +p9281 +tp9282 +a(g343 +V- +tp9283 +a(g318 +V1 +tp9284 +a(g343 +V] +tp9285 +a(g189 +V +tp9286 +a(g343 +V= +tp9287 +a(g189 +V +tp9288 +a(g123 +Vnil +p9289 +tp9290 +a(g189 +V\u000a +tp9291 +a(g7 +V# shrink the array by slicing it down +p9292 +tp9293 +a(g189 +V\u000a +tp9294 +a(g18 +Vary +p9295 +tp9296 +a(g343 +V. +tp9297 +a(g18 +Vslice! +p9298 +tp9299 +a(g202 +V( +tp9300 +a(g18 +Vnew_size +p9301 +tp9302 +a(g343 +V. +tp9303 +a(g18 +V. +tp9304 +a(g343 +V- +tp9305 +a(g318 +V1 +tp9306 +a(g202 +V) +tp9307 +a(g189 +V\u000a +tp9308 +a(g7 +V# init the array with given size +p9309 +tp9310 +a(g189 +V\u000a +tp9311 +a(g57 +VArray +p9312 +tp9313 +a(g343 +V. +tp9314 +a(g18 +Vnew +p9315 +tp9316 +a(g202 +V( +tp9317 +a(g18 +Vnumber_of_elems +p9318 +tp9319 +a(g202 +V) +tp9320 +a(g189 +V\u000a +tp9321 +a(g7 +V# assign to an element past the original end enlarges the array +p9322 +tp9323 +a(g189 +V\u000a +tp9324 +a(g18 +Vary +p9325 +tp9326 +a(g343 +V[ +tp9327 +a(g18 +Vindex_new_last_elem +p9328 +tp9329 +a(g343 +V] +tp9330 +a(g189 +V +tp9331 +a(g343 +V= +tp9332 +a(g189 +V +tp9333 +a(g18 +Vvalue +p9334 +tp9335 +a(g189 +V\u000a\u000a +p9336 +tp9337 +a(g111 +Vdef +p9338 +tp9339 +a(g189 +V +tp9340 +a(g21 +Vwhat_about_that_array +p9341 +tp9342 +a(g202 +V( +tp9343 +a(g18 +Va +tp9344 +a(g202 +V) +tp9345 +a(g189 +V\u000a +p9346 +tp9347 +a(g57 +Vprint +p9348 +tp9349 +a(g189 +V +tp9350 +a(g245 +V" +tp9351 +a(g245 +VThe array now has +p9352 +tp9353 +a(g245 +V" +tp9354 +a(g202 +V, +tp9355 +a(g189 +V +tp9356 +a(g18 +Va +tp9357 +a(g343 +V. +tp9358 +a(g18 +Vsize +p9359 +tp9360 +a(g202 +V, +tp9361 +a(g189 +V +tp9362 +a(g245 +V" +tp9363 +a(g245 +V elements. +p9364 +tp9365 +a(g252 +V\u005cn +p9366 +tp9367 +a(g245 +V" +tp9368 +a(g189 +V\u000a +p9369 +tp9370 +a(g7 +V# Index of last element is not really interesting in Ruby +p9371 +tp9372 +a(g189 +V\u000a +p9373 +tp9374 +a(g57 +Vprint +p9375 +tp9376 +a(g189 +V +tp9377 +a(g245 +V" +tp9378 +a(g245 +VElement +p9379 +tp9380 +a(g245 +V# +tp9381 +a(g245 +V3 is ` +p9382 +tp9383 +a(g233 +V#{ +p9384 +tp9385 +a(g18 +Va +tp9386 +a(g343 +V[ +tp9387 +a(g318 +V3 +tp9388 +a(g343 +V] +tp9389 +a(g233 +V} +tp9390 +a(g245 +V'. +p9391 +tp9392 +a(g252 +V\u005cn +p9393 +tp9394 +a(g245 +V" +tp9395 +a(g189 +V\u000a +tp9396 +a(g111 +Vend +p9397 +tp9398 +a(g189 +V\u000a +tp9399 +a(g18 +Vpeople +p9400 +tp9401 +a(g189 +V +tp9402 +a(g343 +V= +tp9403 +a(g189 +V +tp9404 +a(g274 +V%w( +p9405 +tp9406 +a(g274 +VCrosby Stills Nash Young +p9407 +tp9408 +a(g274 +V) +tp9409 +a(g189 +V\u000a +tp9410 +a(g18 +Vwhat_about_that_array +p9411 +tp9412 +a(g202 +V( +tp9413 +a(g18 +Vpeople +p9414 +tp9415 +a(g202 +V) +tp9416 +a(g189 +V\u000a\u000a\u000a +p9417 +tp9418 +a(g7 +V# @@PLEAC@@_4.4 +p9419 +tp9420 +a(g189 +V\u000a +tp9421 +a(g7 +V# OO style +p9422 +tp9423 +a(g189 +V\u000a +tp9424 +a(g18 +Vbad_users +p9425 +tp9426 +a(g343 +V. +tp9427 +a(g18 +Veach +p9428 +tp9429 +a(g189 +V +tp9430 +a(g202 +V{ +tp9431 +a(g189 +V +tp9432 +a(g343 +V| +tp9433 +a(g18 +Vuser +p9434 +tp9435 +a(g343 +V| +tp9436 +a(g189 +V\u000a +p9437 +tp9438 +a(g18 +Vcomplain +p9439 +tp9440 +a(g202 +V( +tp9441 +a(g18 +Vuser +p9442 +tp9443 +a(g202 +V) +tp9444 +a(g189 +V\u000a +tp9445 +a(g202 +V} +tp9446 +a(g189 +V\u000a +tp9447 +a(g7 +V# or, functional style +p9448 +tp9449 +a(g189 +V\u000a +tp9450 +a(g111 +Vfor +p9451 +tp9452 +a(g189 +V +tp9453 +a(g18 +Vuser +p9454 +tp9455 +a(g189 +V +tp9456 +a(g111 +Vin +p9457 +tp9458 +a(g189 +V +tp9459 +a(g18 +Vbad_users +p9460 +tp9461 +a(g189 +V\u000a +p9462 +tp9463 +a(g18 +Vcomplain +p9464 +tp9465 +a(g202 +V( +tp9466 +a(g18 +Vuser +p9467 +tp9468 +a(g202 +V) +tp9469 +a(g189 +V\u000a +tp9470 +a(g111 +Vend +p9471 +tp9472 +a(g189 +V\u000a\u000a +p9473 +tp9474 +a(g111 +Vfor +p9475 +tp9476 +a(g189 +V +tp9477 +a(g18 +Vvar +p9478 +tp9479 +a(g189 +V +tp9480 +a(g111 +Vin +p9481 +tp9482 +a(g189 +V +tp9483 +a(g33 +VENV +p9484 +tp9485 +a(g343 +V. +tp9486 +a(g18 +Vkeys +p9487 +tp9488 +a(g343 +V. +tp9489 +a(g18 +Vsort +p9490 +tp9491 +a(g189 +V\u000a +p9492 +tp9493 +a(g57 +Vputs +p9494 +tp9495 +a(g189 +V +tp9496 +a(g245 +V" +tp9497 +a(g233 +V#{ +p9498 +tp9499 +a(g18 +Vvar +p9500 +tp9501 +a(g233 +V} +tp9502 +a(g245 +V= +tp9503 +a(g233 +V#{ +p9504 +tp9505 +a(g33 +VENV +p9506 +tp9507 +a(g343 +V[ +tp9508 +a(g18 +Vvar +p9509 +tp9510 +a(g343 +V] +tp9511 +a(g233 +V} +tp9512 +a(g245 +V" +tp9513 +a(g189 +V\u000a +tp9514 +a(g111 +Vend +p9515 +tp9516 +a(g189 +V\u000a\u000a +p9517 +tp9518 +a(g111 +Vfor +p9519 +tp9520 +a(g189 +V +tp9521 +a(g18 +Vuser +p9522 +tp9523 +a(g189 +V +tp9524 +a(g111 +Vin +p9525 +tp9526 +a(g189 +V +tp9527 +a(g18 +Vall_users +p9528 +tp9529 +a(g189 +V\u000a +p9530 +tp9531 +a(g18 +Vdisk_space +p9532 +tp9533 +a(g189 +V +tp9534 +a(g343 +V= +tp9535 +a(g189 +V +tp9536 +a(g18 +Vget_usage +p9537 +tp9538 +a(g202 +V( +tp9539 +a(g18 +Vuser +p9540 +tp9541 +a(g202 +V) +tp9542 +a(g189 +V\u000a +p9543 +tp9544 +a(g111 +Vif +p9545 +tp9546 +a(g189 +V +tp9547 +a(g202 +V( +tp9548 +a(g18 +Vdisk_space +p9549 +tp9550 +a(g189 +V +tp9551 +a(g343 +V> +tp9552 +a(g189 +V +tp9553 +a(g33 +VMAX_QUOTA +p9554 +tp9555 +a(g202 +V) +tp9556 +a(g189 +V\u000a +p9557 +tp9558 +a(g18 +Vcomplain +p9559 +tp9560 +a(g202 +V( +tp9561 +a(g18 +Vuser +p9562 +tp9563 +a(g202 +V) +tp9564 +a(g189 +V\u000a +p9565 +tp9566 +a(g111 +Vend +p9567 +tp9568 +a(g189 +V\u000a +tp9569 +a(g111 +Vend +p9570 +tp9571 +a(g189 +V\u000a\u000a +p9572 +tp9573 +a(g111 +Vfor +p9574 +tp9575 +a(g189 +V +tp9576 +a(g18 +Vl +tp9577 +a(g189 +V +tp9578 +a(g111 +Vin +p9579 +tp9580 +a(g189 +V +tp9581 +a(g33 +VIO +p9582 +tp9583 +a(g343 +V. +tp9584 +a(g18 +Vpopen +p9585 +tp9586 +a(g202 +V( +tp9587 +a(g245 +V" +tp9588 +a(g245 +Vwho +p9589 +tp9590 +a(g245 +V" +tp9591 +a(g202 +V) +tp9592 +a(g343 +V. +tp9593 +a(g18 +Vreadlines +p9594 +tp9595 +a(g189 +V\u000a +p9596 +tp9597 +a(g57 +Vprint +p9598 +tp9599 +a(g189 +V +tp9600 +a(g18 +Vl +tp9601 +a(g189 +V +tp9602 +a(g111 +Vif +p9603 +tp9604 +a(g189 +V +tp9605 +a(g18 +Vl +tp9606 +a(g189 +V +tp9607 +a(g343 +V=~ +p9608 +tp9609 +a(g189 +V +tp9610 +a(g229 +V/ +tp9611 +a(g229 +V^gc +p9612 +tp9613 +a(g229 +V/ +tp9614 +a(g189 +V \u000a +p9615 +tp9616 +a(g111 +Vend +p9617 +tp9618 +a(g189 +V\u000a\u000a +p9619 +tp9620 +a(g7 +V# we can mimic the obfuscated Perl way +p9621 +tp9622 +a(g189 +V\u000a +tp9623 +a(g111 +Vwhile +p9624 +tp9625 +a(g189 +V +tp9626 +a(g18 +Vfh +p9627 +tp9628 +a(g343 +V. +tp9629 +a(g18 +Vgets +p9630 +tp9631 +a(g189 +V +p9632 +tp9633 +a(g7 +V# $_ is set to the line just read +p9634 +tp9635 +a(g189 +V\u000a +p9636 +tp9637 +a(g57 +Vchomp +p9638 +tp9639 +a(g189 +V +p9640 +tp9641 +a(g7 +V# $_ has a trailing \u005cn removed, if it had one +p9642 +tp9643 +a(g189 +V\u000a +p9644 +tp9645 +a(g57 +Vsplit +p9646 +tp9647 +a(g343 +V. +tp9648 +a(g18 +Veach +p9649 +tp9650 +a(g189 +V +tp9651 +a(g202 +V{ +tp9652 +a(g189 +V +tp9653 +a(g343 +V| +tp9654 +a(g18 +Vw +tp9655 +a(g343 +V| +tp9656 +a(g189 +V +p9657 +tp9658 +a(g7 +V# $_ is split on whitespace +p9659 +tp9660 +a(g189 +V\u000a +p9661 +tp9662 +a(g7 +V# but $_ is not set to each chunk as in Perl +p9663 +tp9664 +a(g189 +V\u000a +p9665 +tp9666 +a(g57 +Vprint +p9667 +tp9668 +a(g189 +V +tp9669 +a(g18 +Vw +tp9670 +a(g343 +V. +tp9671 +a(g18 +Vreverse +p9672 +tp9673 +a(g189 +V\u000a +p9674 +tp9675 +a(g202 +V} +tp9676 +a(g189 +V\u000a +tp9677 +a(g111 +Vend +p9678 +tp9679 +a(g189 +V\u000a +tp9680 +a(g7 +V# ...or use a cleaner way +p9681 +tp9682 +a(g189 +V\u000a +tp9683 +a(g111 +Vfor +p9684 +tp9685 +a(g189 +V +tp9686 +a(g18 +Vl +tp9687 +a(g189 +V +tp9688 +a(g111 +Vin +p9689 +tp9690 +a(g189 +V +tp9691 +a(g18 +Vfh +p9692 +tp9693 +a(g343 +V. +tp9694 +a(g18 +Vreadlines +p9695 +tp9696 +a(g189 +V\u000a +p9697 +tp9698 +a(g18 +Vl +tp9699 +a(g343 +V. +tp9700 +a(g18 +Vchomp +p9701 +tp9702 +a(g343 +V. +tp9703 +a(g18 +Vsplit +p9704 +tp9705 +a(g343 +V. +tp9706 +a(g18 +Veach +p9707 +tp9708 +a(g189 +V +tp9709 +a(g202 +V{ +tp9710 +a(g189 +V +tp9711 +a(g343 +V| +tp9712 +a(g18 +Vw +tp9713 +a(g343 +V| +tp9714 +a(g189 +V +tp9715 +a(g57 +Vprint +p9716 +tp9717 +a(g189 +V +tp9718 +a(g18 +Vw +tp9719 +a(g343 +V. +tp9720 +a(g18 +Vreverse +p9721 +tp9722 +a(g189 +V +tp9723 +a(g202 +V} +tp9724 +a(g189 +V\u000a +tp9725 +a(g111 +Vend +p9726 +tp9727 +a(g189 +V\u000a\u000a +p9728 +tp9729 +a(g7 +V# same drawback as in problem 1.4, we can't mutate a Numeric... +p9730 +tp9731 +a(g189 +V\u000a +tp9732 +a(g18 +Varray +p9733 +tp9734 +a(g343 +V. +tp9735 +a(g18 +Vcollect! +p9736 +tp9737 +a(g189 +V +tp9738 +a(g202 +V{ +tp9739 +a(g189 +V +tp9740 +a(g343 +V| +tp9741 +a(g18 +Vv +tp9742 +a(g343 +V| +tp9743 +a(g189 +V +tp9744 +a(g18 +Vv +tp9745 +a(g189 +V +tp9746 +a(g343 +V- +tp9747 +a(g189 +V +tp9748 +a(g318 +V1 +tp9749 +a(g189 +V +tp9750 +a(g202 +V} +tp9751 +a(g189 +V\u000a\u000a +p9752 +tp9753 +a(g18 +Va +tp9754 +a(g189 +V +tp9755 +a(g343 +V= +tp9756 +a(g189 +V +tp9757 +a(g343 +V[ +tp9758 +a(g189 +V +tp9759 +a(g343 +V. +tp9760 +a(g318 +V5 +tp9761 +a(g202 +V, +tp9762 +a(g189 +V +tp9763 +a(g318 +V3 +tp9764 +a(g189 +V +tp9765 +a(g343 +V] +tp9766 +a(g202 +V; +tp9767 +a(g189 +V +tp9768 +a(g18 +Vb +tp9769 +a(g189 +V +tp9770 +a(g343 +V= +tp9771 +a(g189 +V +tp9772 +a(g343 +V[ +tp9773 +a(g189 +V +tp9774 +a(g318 +V0 +tp9775 +a(g202 +V, +tp9776 +a(g189 +V +tp9777 +a(g318 +V1 +tp9778 +a(g189 +V +tp9779 +a(g343 +V] +tp9780 +a(g189 +V\u000a +tp9781 +a(g111 +Vfor +p9782 +tp9783 +a(g189 +V +tp9784 +a(g18 +Vary +p9785 +tp9786 +a(g189 +V +tp9787 +a(g111 +Vin +p9788 +tp9789 +a(g189 +V +tp9790 +a(g343 +V[ +tp9791 +a(g189 +V +tp9792 +a(g18 +Va +tp9793 +a(g202 +V, +tp9794 +a(g189 +V +tp9795 +a(g18 +Vb +tp9796 +a(g189 +V +tp9797 +a(g343 +V] +tp9798 +a(g189 +V\u000a +p9799 +tp9800 +a(g18 +Vary +p9801 +tp9802 +a(g343 +V. +tp9803 +a(g18 +Vcollect! +p9804 +tp9805 +a(g189 +V +tp9806 +a(g202 +V{ +tp9807 +a(g189 +V +tp9808 +a(g343 +V| +tp9809 +a(g18 +Vv +tp9810 +a(g343 +V| +tp9811 +a(g189 +V +tp9812 +a(g18 +Vv +tp9813 +a(g189 +V +tp9814 +a(g343 +V* +tp9815 +a(g189 +V +tp9816 +a(g318 +V7 +tp9817 +a(g189 +V +tp9818 +a(g202 +V} +tp9819 +a(g189 +V\u000a +tp9820 +a(g111 +Vend +p9821 +tp9822 +a(g189 +V\u000a +tp9823 +a(g57 +Vputs +p9824 +tp9825 +a(g189 +V +tp9826 +a(g245 +V" +tp9827 +a(g233 +V#{ +p9828 +tp9829 +a(g18 +Va +tp9830 +a(g343 +V. +tp9831 +a(g18 +Vjoin +p9832 +tp9833 +a(g202 +V( +tp9834 +a(g271 +V' ' +p9835 +tp9836 +a(g202 +V) +tp9837 +a(g233 +V} +tp9838 +a(g245 +V +tp9839 +a(g233 +V#{ +p9840 +tp9841 +a(g18 +Vb +tp9842 +a(g343 +V. +tp9843 +a(g18 +Vjoin +p9844 +tp9845 +a(g202 +V( +tp9846 +a(g271 +V' ' +p9847 +tp9848 +a(g202 +V) +tp9849 +a(g233 +V} +tp9850 +a(g245 +V" +tp9851 +a(g189 +V\u000a\u000a +p9852 +tp9853 +a(g7 +V# we can mutate Strings, cool; we need a trick for the scalar +p9854 +tp9855 +a(g189 +V\u000a +tp9856 +a(g111 +Vfor +p9857 +tp9858 +a(g189 +V +tp9859 +a(g18 +Vary +p9860 +tp9861 +a(g189 +V +tp9862 +a(g111 +Vin +p9863 +tp9864 +a(g189 +V +tp9865 +a(g343 +V[ +tp9866 +a(g189 +V +tp9867 +a(g343 +V[ +tp9868 +a(g189 +V +tp9869 +a(g18 +Vscalar +p9870 +tp9871 +a(g189 +V +tp9872 +a(g343 +V] +tp9873 +a(g202 +V, +tp9874 +a(g189 +V +tp9875 +a(g18 +Varray +p9876 +tp9877 +a(g202 +V, +tp9878 +a(g189 +V +tp9879 +a(g57 +Vhash +p9880 +tp9881 +a(g343 +V. +tp9882 +a(g18 +Vvalues +p9883 +tp9884 +a(g189 +V +tp9885 +a(g343 +V] +tp9886 +a(g189 +V\u000a +p9887 +tp9888 +a(g18 +Vary +p9889 +tp9890 +a(g343 +V. +tp9891 +a(g18 +Veach +p9892 +tp9893 +a(g189 +V +tp9894 +a(g202 +V{ +tp9895 +a(g189 +V +tp9896 +a(g343 +V| +tp9897 +a(g18 +Vv +tp9898 +a(g343 +V| +tp9899 +a(g189 +V +tp9900 +a(g18 +Vv +tp9901 +a(g343 +V. +tp9902 +a(g18 +Vstrip! +p9903 +tp9904 +a(g189 +V +tp9905 +a(g202 +V} +tp9906 +a(g189 +V +p9907 +tp9908 +a(g7 +V# String#strip rules :) +p9909 +tp9910 +a(g189 +V\u000a +tp9911 +a(g111 +Vend +p9912 +tp9913 +a(g189 +V\u000a\u000a\u000a +p9914 +tp9915 +a(g7 +V# @@PLEAC@@_4.5 +p9916 +tp9917 +a(g189 +V\u000a +tp9918 +a(g7 +V# not relevant in Ruby since we have always references +p9919 +tp9920 +a(g189 +V\u000a +tp9921 +a(g111 +Vfor +p9922 +tp9923 +a(g189 +V +tp9924 +a(g18 +Vitem +p9925 +tp9926 +a(g189 +V +tp9927 +a(g111 +Vin +p9928 +tp9929 +a(g189 +V +tp9930 +a(g18 +Varray +p9931 +tp9932 +a(g189 +V\u000a +p9933 +tp9934 +a(g7 +V# do somethingh with item +p9935 +tp9936 +a(g189 +V\u000a +tp9937 +a(g111 +Vend +p9938 +tp9939 +a(g189 +V\u000a\u000a\u000a +p9940 +tp9941 +a(g7 +V# @@PLEAC@@_4.6 +p9942 +tp9943 +a(g189 +V\u000a +tp9944 +a(g18 +Vunique +p9945 +tp9946 +a(g189 +V +tp9947 +a(g343 +V= +tp9948 +a(g189 +V +tp9949 +a(g18 +Vlist +p9950 +tp9951 +a(g343 +V. +tp9952 +a(g18 +Vuniq +p9953 +tp9954 +a(g189 +V\u000a\u000a +p9955 +tp9956 +a(g7 +V# generate a list of users logged in, removing duplicates +p9957 +tp9958 +a(g189 +V\u000a +tp9959 +a(g18 +Vusers +p9960 +tp9961 +a(g189 +V +tp9962 +a(g343 +V= +tp9963 +a(g189 +V +tp9964 +a(g264 +V` +tp9965 +a(g264 +Vwho +p9966 +tp9967 +a(g264 +V` +tp9968 +a(g343 +V. +tp9969 +a(g18 +Vcollect +p9970 +tp9971 +a(g189 +V +tp9972 +a(g202 +V{ +tp9973 +a(g189 +V +tp9974 +a(g343 +V| +tp9975 +a(g18 +Vl +tp9976 +a(g343 +V| +tp9977 +a(g189 +V +tp9978 +a(g18 +Vl +tp9979 +a(g189 +V +tp9980 +a(g343 +V=~ +p9981 +tp9982 +a(g189 +V +tp9983 +a(g229 +V/ +tp9984 +a(g229 +V( +tp9985 +a(g229 +V\u005c +tp9986 +a(g229 +Vw+) +p9987 +tp9988 +a(g229 +V/ +tp9989 +a(g202 +V; +tp9990 +a(g189 +V +tp9991 +a(g76 +V$1 +p9992 +tp9993 +a(g189 +V +tp9994 +a(g202 +V} +tp9995 +a(g343 +V. +tp9996 +a(g18 +Vsort +p9997 +tp9998 +a(g343 +V. +tp9999 +a(g18 +Vuniq +p10000 +tp10001 +a(g189 +V\u000a +tp10002 +a(g57 +Vputs +p10003 +tp10004 +a(g202 +V( +tp10005 +a(g245 +V" +tp10006 +a(g245 +Vusers logged in: +p10007 +tp10008 +a(g233 +V#{ +p10009 +tp10010 +a(g18 +Vcommify_series +p10011 +tp10012 +a(g202 +V( +tp10013 +a(g18 +Vusers +p10014 +tp10015 +a(g202 +V) +tp10016 +a(g233 +V} +tp10017 +a(g245 +V" +tp10018 +a(g202 +V) +tp10019 +a(g189 +V +p10020 +tp10021 +a(g7 +V# see 4.2 for commify_series +p10022 +tp10023 +a(g189 +V\u000a\u000a\u000a +p10024 +tp10025 +a(g7 +V# @@PLEAC@@_4.7 +p10026 +tp10027 +a(g189 +V\u000a +tp10028 +a(g18 +Va +tp10029 +a(g189 +V +tp10030 +a(g343 +V- +tp10031 +a(g189 +V +tp10032 +a(g18 +Vb +tp10033 +a(g189 +V\u000a +tp10034 +a(g7 +V# [ 1, 1, 2, 2, 3, 3, 3, 4, 5 ] - [ 1, 2, 4 ] -> [3, 5] +p10035 +tp10036 +a(g189 +V\u000a\u000a\u000a +p10037 +tp10038 +a(g7 +V# @@PLEAC@@_4.8 +p10039 +tp10040 +a(g189 +V\u000a +tp10041 +a(g18 +Vunion +p10042 +tp10043 +a(g189 +V +tp10044 +a(g343 +V= +tp10045 +a(g189 +V +tp10046 +a(g18 +Va +tp10047 +a(g189 +V +tp10048 +a(g343 +V| +tp10049 +a(g189 +V +tp10050 +a(g18 +Vb +tp10051 +a(g189 +V\u000a +tp10052 +a(g18 +Vintersection +p10053 +tp10054 +a(g189 +V +tp10055 +a(g343 +V= +tp10056 +a(g189 +V +tp10057 +a(g18 +Va +tp10058 +a(g189 +V +tp10059 +a(g343 +V& +tp10060 +a(g189 +V +tp10061 +a(g18 +Vb +tp10062 +a(g189 +V\u000a +tp10063 +a(g18 +Vdifference +p10064 +tp10065 +a(g189 +V +tp10066 +a(g343 +V= +tp10067 +a(g189 +V +tp10068 +a(g18 +Va +tp10069 +a(g189 +V +tp10070 +a(g343 +V- +tp10071 +a(g189 +V +tp10072 +a(g18 +Vb +tp10073 +a(g189 +V\u000a\u000a\u000a +p10074 +tp10075 +a(g7 +V# @@PLEAC@@_4.9 +p10076 +tp10077 +a(g189 +V\u000a +tp10078 +a(g18 +Varray1 +p10079 +tp10080 +a(g343 +V. +tp10081 +a(g18 +Vconcat +p10082 +tp10083 +a(g202 +V( +tp10084 +a(g18 +Varray2 +p10085 +tp10086 +a(g202 +V) +tp10087 +a(g189 +V\u000a +tp10088 +a(g7 +V# if you will assign to another object, better use: +p10089 +tp10090 +a(g189 +V\u000a +tp10091 +a(g18 +Vnew_ary +p10092 +tp10093 +a(g189 +V +tp10094 +a(g343 +V= +tp10095 +a(g189 +V +tp10096 +a(g18 +Varray1 +p10097 +tp10098 +a(g189 +V +tp10099 +a(g343 +V+ +tp10100 +a(g189 +V +tp10101 +a(g18 +Varray2 +p10102 +tp10103 +a(g189 +V\u000a\u000a +p10104 +tp10105 +a(g18 +Vmembers +p10106 +tp10107 +a(g189 +V +tp10108 +a(g343 +V= +tp10109 +a(g189 +V +tp10110 +a(g343 +V[ +tp10111 +a(g189 +V +tp10112 +a(g245 +V" +tp10113 +a(g245 +VTime +p10114 +tp10115 +a(g245 +V" +tp10116 +a(g202 +V, +tp10117 +a(g189 +V +tp10118 +a(g245 +V" +tp10119 +a(g245 +VFlies +p10120 +tp10121 +a(g245 +V" +tp10122 +a(g189 +V +tp10123 +a(g343 +V] +tp10124 +a(g189 +V\u000a +tp10125 +a(g18 +Vinitiates +p10126 +tp10127 +a(g189 +V +tp10128 +a(g343 +V= +tp10129 +a(g189 +V +p10130 +tp10131 +a(g343 +V[ +tp10132 +a(g189 +V +tp10133 +a(g245 +V" +tp10134 +a(g245 +VAn +p10135 +tp10136 +a(g245 +V" +tp10137 +a(g202 +V, +tp10138 +a(g189 +V +tp10139 +a(g245 +V" +tp10140 +a(g245 +VArrow +p10141 +tp10142 +a(g245 +V" +tp10143 +a(g189 +V +tp10144 +a(g343 +V] +tp10145 +a(g189 +V\u000a +tp10146 +a(g18 +Vmembers +p10147 +tp10148 +a(g189 +V +tp10149 +a(g343 +V+= +p10150 +tp10151 +a(g189 +V +tp10152 +a(g18 +Vinitiates +p10153 +tp10154 +a(g189 +V\u000a\u000a +p10155 +tp10156 +a(g18 +Vmembers +p10157 +tp10158 +a(g189 +V +tp10159 +a(g343 +V= +tp10160 +a(g189 +V +tp10161 +a(g343 +V[ +tp10162 +a(g189 +V +tp10163 +a(g245 +V" +tp10164 +a(g245 +VTime +p10165 +tp10166 +a(g245 +V" +tp10167 +a(g202 +V, +tp10168 +a(g189 +V +tp10169 +a(g245 +V" +tp10170 +a(g245 +VFlies +p10171 +tp10172 +a(g245 +V" +tp10173 +a(g189 +V +tp10174 +a(g343 +V] +tp10175 +a(g189 +V\u000a +tp10176 +a(g18 +Vinitiates +p10177 +tp10178 +a(g189 +V +tp10179 +a(g343 +V= +tp10180 +a(g189 +V +tp10181 +a(g343 +V[ +tp10182 +a(g189 +V +tp10183 +a(g245 +V" +tp10184 +a(g245 +VAn +p10185 +tp10186 +a(g245 +V" +tp10187 +a(g202 +V, +tp10188 +a(g189 +V +tp10189 +a(g245 +V" +tp10190 +a(g245 +VArrow +p10191 +tp10192 +a(g245 +V" +tp10193 +a(g189 +V +tp10194 +a(g343 +V] +tp10195 +a(g189 +V\u000a +tp10196 +a(g18 +Vmembers +p10197 +tp10198 +a(g343 +V[ +tp10199 +a(g318 +V2 +tp10200 +a(g202 +V, +tp10201 +a(g318 +V0 +tp10202 +a(g343 +V] +tp10203 +a(g189 +V +tp10204 +a(g343 +V= +tp10205 +a(g189 +V +tp10206 +a(g343 +V[ +tp10207 +a(g189 +V +tp10208 +a(g245 +V" +tp10209 +a(g245 +VLike +p10210 +tp10211 +a(g245 +V" +tp10212 +a(g202 +V, +tp10213 +a(g189 +V +tp10214 +a(g18 +Vinitiates +p10215 +tp10216 +a(g189 +V +tp10217 +a(g343 +V] +tp10218 +a(g343 +V. +tp10219 +a(g18 +Vflatten +p10220 +tp10221 +a(g189 +V\u000a\u000a +p10222 +tp10223 +a(g18 +Vmembers +p10224 +tp10225 +a(g343 +V[ +tp10226 +a(g318 +V0 +tp10227 +a(g343 +V] +tp10228 +a(g189 +V +tp10229 +a(g343 +V= +tp10230 +a(g189 +V +tp10231 +a(g245 +V" +tp10232 +a(g245 +VFruit +p10233 +tp10234 +a(g245 +V" +tp10235 +a(g189 +V\u000a +tp10236 +a(g18 +Vmembers +p10237 +tp10238 +a(g343 +V[ +tp10239 +a(g318 +V3 +tp10240 +a(g202 +V, +tp10241 +a(g318 +V2 +tp10242 +a(g343 +V] +tp10243 +a(g189 +V +tp10244 +a(g343 +V= +tp10245 +a(g189 +V +tp10246 +a(g245 +V" +tp10247 +a(g245 +VA +tp10248 +a(g245 +V" +tp10249 +a(g202 +V, +tp10250 +a(g189 +V +tp10251 +a(g245 +V" +tp10252 +a(g245 +VBanana +p10253 +tp10254 +a(g245 +V" +tp10255 +a(g189 +V\u000a\u000a\u000a +p10256 +tp10257 +a(g7 +V# @@PLEAC@@_4.10 +p10258 +tp10259 +a(g189 +V\u000a +tp10260 +a(g18 +Vreversed +p10261 +tp10262 +a(g189 +V +tp10263 +a(g343 +V= +tp10264 +a(g189 +V +tp10265 +a(g18 +Vary +p10266 +tp10267 +a(g343 +V. +tp10268 +a(g18 +Vreverse +p10269 +tp10270 +a(g189 +V\u000a\u000a +p10271 +tp10272 +a(g18 +Vary +p10273 +tp10274 +a(g343 +V. +tp10275 +a(g18 +Vreverse_each +p10276 +tp10277 +a(g189 +V +tp10278 +a(g202 +V{ +tp10279 +a(g189 +V +tp10280 +a(g343 +V| +tp10281 +a(g18 +Ve +tp10282 +a(g343 +V| +tp10283 +a(g189 +V\u000a +p10284 +tp10285 +a(g7 +V# do something with e +p10286 +tp10287 +a(g189 +V\u000a +tp10288 +a(g202 +V} +tp10289 +a(g189 +V\u000a\u000a +p10290 +tp10291 +a(g18 +Vdescending +p10292 +tp10293 +a(g189 +V +tp10294 +a(g343 +V= +tp10295 +a(g189 +V +tp10296 +a(g18 +Vary +p10297 +tp10298 +a(g343 +V. +tp10299 +a(g18 +Vsort +p10300 +tp10301 +a(g343 +V. +tp10302 +a(g18 +Vreverse +p10303 +tp10304 +a(g189 +V\u000a +tp10305 +a(g18 +Vdescending +p10306 +tp10307 +a(g189 +V +tp10308 +a(g343 +V= +tp10309 +a(g189 +V +tp10310 +a(g18 +Vary +p10311 +tp10312 +a(g343 +V. +tp10313 +a(g18 +Vsort +p10314 +tp10315 +a(g189 +V +tp10316 +a(g202 +V{ +tp10317 +a(g189 +V +tp10318 +a(g343 +V| +tp10319 +a(g18 +Va +tp10320 +a(g202 +V, +tp10321 +a(g18 +Vb +tp10322 +a(g343 +V| +tp10323 +a(g189 +V +tp10324 +a(g18 +Vb +tp10325 +a(g189 +V +tp10326 +a(g343 +V< +tp10327 +a(g343 +V= +tp10328 +a(g343 +V> +tp10329 +a(g189 +V +tp10330 +a(g18 +Va +tp10331 +a(g189 +V +tp10332 +a(g202 +V} +tp10333 +a(g189 +V\u000a\u000a\u000a +p10334 +tp10335 +a(g7 +V# @@PLEAC@@_4.11 +p10336 +tp10337 +a(g189 +V\u000a +tp10338 +a(g7 +V# remove n elements from front of ary (shift n) +p10339 +tp10340 +a(g189 +V\u000a +tp10341 +a(g18 +Vfront +p10342 +tp10343 +a(g189 +V +tp10344 +a(g343 +V= +tp10345 +a(g189 +V +tp10346 +a(g18 +Vary +p10347 +tp10348 +a(g343 +V. +tp10349 +a(g18 +Vslice! +p10350 +tp10351 +a(g202 +V( +tp10352 +a(g318 +V0 +tp10353 +a(g202 +V, +tp10354 +a(g189 +V +tp10355 +a(g18 +Vn +tp10356 +a(g202 +V) +tp10357 +a(g189 +V\u000a\u000a +p10358 +tp10359 +a(g7 +V# remove n elements from the end of ary (pop n) +p10360 +tp10361 +a(g189 +V\u000a +tp10362 +a(g18 +Vend_ +p10363 +tp10364 +a(g189 +V +tp10365 +a(g343 +V= +tp10366 +a(g189 +V +tp10367 +a(g18 +Vary +p10368 +tp10369 +a(g343 +V. +tp10370 +a(g18 +Vslice! +p10371 +tp10372 +a(g202 +V( +tp10373 +a(g343 +V- +tp10374 +a(g18 +Vn +tp10375 +a(g189 +V +tp10376 +a(g343 +V. +tp10377 +a(g18 +V. +tp10378 +a(g189 +V +tp10379 +a(g343 +V- +tp10380 +a(g318 +V1 +tp10381 +a(g202 +V) +tp10382 +a(g189 +V\u000a\u000a +p10383 +tp10384 +a(g7 +V# let's extend the Array class, to make that useful +p10385 +tp10386 +a(g189 +V\u000a +tp10387 +a(g111 +Vclass +p10388 +tp10389 +a(g189 +V +tp10390 +a(g106 +VArray +p10391 +tp10392 +a(g189 +V\u000a +p10393 +tp10394 +a(g111 +Vdef +p10395 +tp10396 +a(g189 +V +tp10397 +a(g21 +Vshift2 +p10398 +tp10399 +a(g202 +V( +tp10400 +a(g202 +V) +tp10401 +a(g189 +V\u000a +p10402 +tp10403 +a(g18 +Vslice! +p10404 +tp10405 +a(g202 +V( +tp10406 +a(g318 +V0 +tp10407 +a(g189 +V +tp10408 +a(g343 +V. +tp10409 +a(g18 +V. +tp10410 +a(g189 +V +tp10411 +a(g318 +V1 +tp10412 +a(g202 +V) +tp10413 +a(g189 +V +p10414 +tp10415 +a(g7 +V# more symetric with pop2... +p10416 +tp10417 +a(g189 +V\u000a +p10418 +tp10419 +a(g111 +Vend +p10420 +tp10421 +a(g189 +V\u000a +p10422 +tp10423 +a(g111 +Vdef +p10424 +tp10425 +a(g189 +V +tp10426 +a(g21 +Vpop2 +p10427 +tp10428 +a(g202 +V( +tp10429 +a(g202 +V) +tp10430 +a(g189 +V\u000a +p10431 +tp10432 +a(g18 +Vslice! +p10433 +tp10434 +a(g202 +V( +tp10435 +a(g343 +V- +tp10436 +a(g318 +V2 +tp10437 +a(g189 +V +tp10438 +a(g343 +V. +tp10439 +a(g18 +V. +tp10440 +a(g189 +V +tp10441 +a(g343 +V- +tp10442 +a(g318 +V1 +tp10443 +a(g202 +V) +tp10444 +a(g189 +V\u000a +p10445 +tp10446 +a(g111 +Vend +p10447 +tp10448 +a(g189 +V\u000a +tp10449 +a(g111 +Vend +p10450 +tp10451 +a(g189 +V\u000a\u000a +p10452 +tp10453 +a(g18 +Vfriends +p10454 +tp10455 +a(g189 +V +tp10456 +a(g343 +V= +tp10457 +a(g189 +V +tp10458 +a(g274 +V%w( +p10459 +tp10460 +a(g274 +VPeter Paul Mary Jim Tim +p10461 +tp10462 +a(g274 +V) +tp10463 +a(g189 +V\u000a +tp10464 +a(g18 +Vthis +p10465 +tp10466 +a(g202 +V, +tp10467 +a(g189 +V +tp10468 +a(g18 +Vthat +p10469 +tp10470 +a(g189 +V +tp10471 +a(g343 +V= +tp10472 +a(g189 +V +tp10473 +a(g18 +Vfriends +p10474 +tp10475 +a(g343 +V. +tp10476 +a(g18 +Vshift2 +p10477 +tp10478 +a(g189 +V\u000a\u000a +p10479 +tp10480 +a(g18 +Vbeverages +p10481 +tp10482 +a(g189 +V +tp10483 +a(g343 +V= +tp10484 +a(g189 +V +tp10485 +a(g274 +V%w( +p10486 +tp10487 +a(g274 +VDew Jolt Cola Sprite Fresca +p10488 +tp10489 +a(g274 +V) +tp10490 +a(g189 +V\u000a +tp10491 +a(g18 +Vpair +p10492 +tp10493 +a(g189 +V +tp10494 +a(g343 +V= +tp10495 +a(g189 +V +tp10496 +a(g18 +Vbeverages +p10497 +tp10498 +a(g343 +V. +tp10499 +a(g18 +Vpop2 +p10500 +tp10501 +a(g189 +V\u000a\u000a\u000a +p10502 +tp10503 +a(g7 +V# @@PLEAC@@_4.12 +p10504 +tp10505 +a(g189 +V\u000a +tp10506 +a(g7 +V# use Enumerable#detect (or the synonym Enumerable#find) +p10507 +tp10508 +a(g189 +V\u000a +tp10509 +a(g18 +Vhighest_eng +p10510 +tp10511 +a(g189 +V +tp10512 +a(g343 +V= +tp10513 +a(g189 +V +tp10514 +a(g18 +Vemployees +p10515 +tp10516 +a(g343 +V. +tp10517 +a(g18 +Vdetect +p10518 +tp10519 +a(g189 +V +tp10520 +a(g202 +V{ +tp10521 +a(g189 +V +tp10522 +a(g343 +V| +tp10523 +a(g18 +Vemp +p10524 +tp10525 +a(g343 +V| +tp10526 +a(g189 +V +tp10527 +a(g18 +Vemp +p10528 +tp10529 +a(g343 +V. +tp10530 +a(g18 +Vcategory +p10531 +tp10532 +a(g189 +V +tp10533 +a(g343 +V== +p10534 +tp10535 +a(g189 +V +tp10536 +a(g271 +V'engineer' +p10537 +tp10538 +a(g189 +V +tp10539 +a(g202 +V} +tp10540 +a(g189 +V\u000a\u000a\u000a +p10541 +tp10542 +a(g7 +V# @@PLEAC@@_4.13 +p10543 +tp10544 +a(g189 +V\u000a +tp10545 +a(g7 +V# use Enumerable#select (or the synonym Enumerable#find_all) +p10546 +tp10547 +a(g189 +V\u000a +tp10548 +a(g18 +Vbigs +p10549 +tp10550 +a(g189 +V +tp10551 +a(g343 +V= +tp10552 +a(g189 +V +tp10553 +a(g18 +Vnums +p10554 +tp10555 +a(g343 +V. +tp10556 +a(g18 +Vselect +p10557 +tp10558 +a(g189 +V +tp10559 +a(g202 +V{ +tp10560 +a(g189 +V +tp10561 +a(g343 +V| +tp10562 +a(g18 +Vi +tp10563 +a(g343 +V| +tp10564 +a(g189 +V +tp10565 +a(g18 +Vi +tp10566 +a(g189 +V +tp10567 +a(g343 +V> +tp10568 +a(g189 +V +tp10569 +a(g318 +V1_000_000 +p10570 +tp10571 +a(g189 +V +tp10572 +a(g202 +V} +tp10573 +a(g189 +V\u000a +tp10574 +a(g18 +Vpigs +p10575 +tp10576 +a(g189 +V +tp10577 +a(g343 +V= +tp10578 +a(g189 +V +tp10579 +a(g18 +Vusers +p10580 +tp10581 +a(g343 +V. +tp10582 +a(g18 +Vkeys +p10583 +tp10584 +a(g343 +V. +tp10585 +a(g18 +Vselect +p10586 +tp10587 +a(g189 +V +tp10588 +a(g202 +V{ +tp10589 +a(g189 +V +tp10590 +a(g343 +V| +tp10591 +a(g18 +Vk +tp10592 +a(g343 +V| +tp10593 +a(g189 +V +tp10594 +a(g18 +Vusers +p10595 +tp10596 +a(g343 +V[ +tp10597 +a(g18 +Vk +tp10598 +a(g343 +V] +tp10599 +a(g189 +V +tp10600 +a(g343 +V> +tp10601 +a(g189 +V +tp10602 +a(g318 +V1 +tp10603 +a(g18 +Ve7 +p10604 +tp10605 +a(g189 +V +tp10606 +a(g202 +V} +tp10607 +a(g189 +V\u000a\u000a +p10608 +tp10609 +a(g18 +Vmatching +p10610 +tp10611 +a(g189 +V +tp10612 +a(g343 +V= +tp10613 +a(g189 +V +tp10614 +a(g264 +V` +tp10615 +a(g264 +Vwho +p10616 +tp10617 +a(g264 +V` +tp10618 +a(g343 +V. +tp10619 +a(g18 +Vselect +p10620 +tp10621 +a(g189 +V +tp10622 +a(g202 +V{ +tp10623 +a(g189 +V +tp10624 +a(g343 +V| +tp10625 +a(g18 +Vu +tp10626 +a(g343 +V| +tp10627 +a(g189 +V +tp10628 +a(g18 +Vu +tp10629 +a(g189 +V +tp10630 +a(g343 +V=~ +p10631 +tp10632 +a(g189 +V +tp10633 +a(g229 +V/ +tp10634 +a(g229 +V^gnat +p10635 +tp10636 +a(g229 +V/ +tp10637 +a(g189 +V +tp10638 +a(g202 +V} +tp10639 +a(g189 +V\u000a\u000a +p10640 +tp10641 +a(g18 +Vengineers +p10642 +tp10643 +a(g189 +V +tp10644 +a(g343 +V= +tp10645 +a(g189 +V +tp10646 +a(g18 +Vemployees +p10647 +tp10648 +a(g343 +V. +tp10649 +a(g18 +Vselect +p10650 +tp10651 +a(g189 +V +tp10652 +a(g202 +V{ +tp10653 +a(g189 +V +tp10654 +a(g343 +V| +tp10655 +a(g18 +Ve +tp10656 +a(g343 +V| +tp10657 +a(g189 +V +tp10658 +a(g18 +Ve +tp10659 +a(g343 +V. +tp10660 +a(g18 +Vposition +p10661 +tp10662 +a(g189 +V +tp10663 +a(g343 +V== +p10664 +tp10665 +a(g189 +V +tp10666 +a(g271 +V'Engineer' +p10667 +tp10668 +a(g189 +V +tp10669 +a(g202 +V} +tp10670 +a(g189 +V\u000a\u000a +p10671 +tp10672 +a(g18 +Vsecondary_assistance +p10673 +tp10674 +a(g189 +V +tp10675 +a(g343 +V= +tp10676 +a(g189 +V +tp10677 +a(g18 +Vapplicants +p10678 +tp10679 +a(g343 +V. +tp10680 +a(g18 +Vselect +p10681 +tp10682 +a(g189 +V +tp10683 +a(g202 +V{ +tp10684 +a(g189 +V +tp10685 +a(g343 +V| +tp10686 +a(g18 +Va +tp10687 +a(g343 +V| +tp10688 +a(g189 +V\u000a +p10689 +tp10690 +a(g18 +Va +tp10691 +a(g343 +V. +tp10692 +a(g18 +Vincome +p10693 +tp10694 +a(g189 +V +tp10695 +a(g343 +V> +tp10696 +a(g343 +V= +tp10697 +a(g189 +V +tp10698 +a(g318 +V26_000 +p10699 +tp10700 +a(g189 +V +tp10701 +a(g343 +V&& +p10702 +tp10703 +a(g189 +V +tp10704 +a(g18 +Va +tp10705 +a(g343 +V. +tp10706 +a(g18 +Vincome +p10707 +tp10708 +a(g189 +V +tp10709 +a(g343 +V< +tp10710 +a(g189 +V +tp10711 +a(g318 +V30_000 +p10712 +tp10713 +a(g189 +V\u000a +tp10714 +a(g202 +V} +tp10715 +a(g189 +V\u000a\u000a\u000a +p10716 +tp10717 +a(g7 +V# @@PLEAC@@_4.14 +p10718 +tp10719 +a(g189 +V\u000a +tp10720 +a(g7 +V# normally you would have an array of Numeric (Float or +p10721 +tp10722 +a(g189 +V\u000a +tp10723 +a(g7 +V# Fixnum or Bignum), so you would use: +p10724 +tp10725 +a(g189 +V\u000a +tp10726 +a(g18 +Vsorted +p10727 +tp10728 +a(g189 +V +tp10729 +a(g343 +V= +tp10730 +a(g189 +V +tp10731 +a(g18 +Vunsorted +p10732 +tp10733 +a(g343 +V. +tp10734 +a(g18 +Vsort +p10735 +tp10736 +a(g189 +V\u000a +tp10737 +a(g7 +V# if you have strings representing Integers or Floats +p10738 +tp10739 +a(g189 +V\u000a +tp10740 +a(g7 +V# you may specify another sort method: +p10741 +tp10742 +a(g189 +V\u000a +tp10743 +a(g18 +Vsorted +p10744 +tp10745 +a(g189 +V +tp10746 +a(g343 +V= +tp10747 +a(g189 +V +tp10748 +a(g18 +Vunsorted +p10749 +tp10750 +a(g343 +V. +tp10751 +a(g18 +Vsort +p10752 +tp10753 +a(g189 +V +tp10754 +a(g202 +V{ +tp10755 +a(g189 +V +tp10756 +a(g343 +V| +tp10757 +a(g18 +Va +tp10758 +a(g202 +V, +tp10759 +a(g18 +Vb +tp10760 +a(g343 +V| +tp10761 +a(g189 +V +tp10762 +a(g18 +Va +tp10763 +a(g343 +V. +tp10764 +a(g18 +Vto_f +p10765 +tp10766 +a(g189 +V +tp10767 +a(g343 +V< +tp10768 +a(g343 +V= +tp10769 +a(g343 +V> +tp10770 +a(g189 +V +tp10771 +a(g18 +Vb +tp10772 +a(g343 +V. +tp10773 +a(g18 +Vto_f +p10774 +tp10775 +a(g189 +V +tp10776 +a(g202 +V} +tp10777 +a(g189 +V\u000a\u000a +p10778 +tp10779 +a(g7 +V# let's use the list of my own PID's +p10780 +tp10781 +a(g189 +V\u000a +tp10782 +a(g264 +V` +tp10783 +a(g264 +Vps ux +p10784 +tp10785 +a(g264 +V` +tp10786 +a(g343 +V. +tp10787 +a(g18 +Vsplit +p10788 +tp10789 +a(g202 +V( +tp10790 +a(g245 +V" +tp10791 +a(g252 +V\u005cn +p10792 +tp10793 +a(g245 +V" +tp10794 +a(g202 +V) +tp10795 +a(g343 +V[ +tp10796 +a(g318 +V1 +tp10797 +a(g343 +V. +tp10798 +a(g18 +V. +tp10799 +a(g343 +V- +tp10800 +a(g318 +V1 +tp10801 +a(g343 +V] +tp10802 +a(g343 +V. +tp10803 +a(g189 +V\u000a +p10804 +tp10805 +a(g57 +Vselect +p10806 +tp10807 +a(g189 +V +tp10808 +a(g202 +V{ +tp10809 +a(g189 +V +tp10810 +a(g343 +V| +tp10811 +a(g18 +Vi +tp10812 +a(g343 +V| +tp10813 +a(g189 +V +tp10814 +a(g18 +Vi +tp10815 +a(g189 +V +tp10816 +a(g343 +V=~ +p10817 +tp10818 +a(g189 +V +tp10819 +a(g229 +V/ +tp10820 +a(g229 +V^ +tp10821 +a(g233 +V#{ +p10822 +tp10823 +a(g33 +VENV +p10824 +tp10825 +a(g343 +V[ +tp10826 +a(g271 +V'USER' +p10827 +tp10828 +a(g343 +V] +tp10829 +a(g233 +V} +tp10830 +a(g229 +V/ +tp10831 +a(g189 +V +tp10832 +a(g202 +V} +tp10833 +a(g343 +V. +tp10834 +a(g189 +V\u000a +p10835 +tp10836 +a(g18 +Vcollect +p10837 +tp10838 +a(g189 +V +tp10839 +a(g202 +V{ +tp10840 +a(g189 +V +tp10841 +a(g343 +V| +tp10842 +a(g18 +Vi +tp10843 +a(g343 +V| +tp10844 +a(g189 +V +tp10845 +a(g18 +Vi +tp10846 +a(g343 +V. +tp10847 +a(g18 +Vsplit +p10848 +tp10849 +a(g343 +V[ +tp10850 +a(g318 +V1 +tp10851 +a(g343 +V] +tp10852 +a(g189 +V +tp10853 +a(g202 +V} +tp10854 +a(g343 +V. +tp10855 +a(g189 +V\u000a +p10856 +tp10857 +a(g18 +Vsort +p10858 +tp10859 +a(g189 +V +tp10860 +a(g202 +V{ +tp10861 +a(g189 +V +tp10862 +a(g343 +V| +tp10863 +a(g18 +Va +tp10864 +a(g202 +V, +tp10865 +a(g18 +Vb +tp10866 +a(g343 +V| +tp10867 +a(g189 +V +tp10868 +a(g18 +Va +tp10869 +a(g343 +V. +tp10870 +a(g18 +Vto_i +p10871 +tp10872 +a(g189 +V +tp10873 +a(g343 +V< +tp10874 +a(g343 +V= +tp10875 +a(g343 +V> +tp10876 +a(g189 +V +tp10877 +a(g18 +Vb +tp10878 +a(g343 +V. +tp10879 +a(g18 +Vto_i +p10880 +tp10881 +a(g189 +V +tp10882 +a(g202 +V} +tp10883 +a(g343 +V. +tp10884 +a(g18 +Veach +p10885 +tp10886 +a(g189 +V +tp10887 +a(g202 +V{ +tp10888 +a(g189 +V +tp10889 +a(g343 +V| +tp10890 +a(g18 +Vi +tp10891 +a(g343 +V| +tp10892 +a(g189 +V +tp10893 +a(g57 +Vputs +p10894 +tp10895 +a(g189 +V +tp10896 +a(g18 +Vi +tp10897 +a(g189 +V +tp10898 +a(g202 +V} +tp10899 +a(g189 +V\u000a +tp10900 +a(g57 +Vputs +p10901 +tp10902 +a(g189 +V +tp10903 +a(g245 +V" +tp10904 +a(g245 +VSelect a process ID to kill: +p10905 +tp10906 +a(g245 +V" +tp10907 +a(g189 +V\u000a +tp10908 +a(g18 +Vpid +p10909 +tp10910 +a(g189 +V +tp10911 +a(g343 +V= +tp10912 +a(g189 +V +tp10913 +a(g57 +Vgets +p10914 +tp10915 +a(g343 +V. +tp10916 +a(g18 +Vchomp +p10917 +tp10918 +a(g189 +V\u000a +tp10919 +a(g111 +Vraise +p10920 +tp10921 +a(g189 +V +tp10922 +a(g245 +V" +tp10923 +a(g245 +VExiting ... +p10924 +tp10925 +a(g252 +V\u005cn +p10926 +tp10927 +a(g245 +V" +tp10928 +a(g189 +V +tp10929 +a(g111 +Vunless +p10930 +tp10931 +a(g189 +V +tp10932 +a(g18 +Vpid +p10933 +tp10934 +a(g189 +V +tp10935 +a(g343 +V&& +p10936 +tp10937 +a(g189 +V +tp10938 +a(g18 +Vpid +p10939 +tp10940 +a(g189 +V +tp10941 +a(g343 +V=~ +p10942 +tp10943 +a(g189 +V +tp10944 +a(g229 +V/ +tp10945 +a(g229 +V^ +tp10946 +a(g229 +V\u005c +tp10947 +a(g229 +Vd+$ +p10948 +tp10949 +a(g229 +V/ +tp10950 +a(g189 +V\u000a +tp10951 +a(g33 +VProcess +p10952 +tp10953 +a(g343 +V. +tp10954 +a(g18 +Vkill +p10955 +tp10956 +a(g202 +V( +tp10957 +a(g271 +V'TERM' +p10958 +tp10959 +a(g202 +V, +tp10960 +a(g189 +V +tp10961 +a(g18 +Vpid +p10962 +tp10963 +a(g343 +V. +tp10964 +a(g18 +Vto_i +p10965 +tp10966 +a(g202 +V) +tp10967 +a(g189 +V\u000a +tp10968 +a(g57 +Vsleep +p10969 +tp10970 +a(g189 +V +tp10971 +a(g318 +V2 +tp10972 +a(g189 +V\u000a +tp10973 +a(g33 +VProcess +p10974 +tp10975 +a(g343 +V. +tp10976 +a(g18 +Vkill +p10977 +tp10978 +a(g202 +V( +tp10979 +a(g271 +V'KILL' +p10980 +tp10981 +a(g202 +V, +tp10982 +a(g189 +V +tp10983 +a(g18 +Vpid +p10984 +tp10985 +a(g343 +V. +tp10986 +a(g18 +Vto_i +p10987 +tp10988 +a(g202 +V) +tp10989 +a(g189 +V\u000a\u000a +p10990 +tp10991 +a(g18 +Vdescending +p10992 +tp10993 +a(g189 +V +tp10994 +a(g343 +V= +tp10995 +a(g189 +V +tp10996 +a(g18 +Vunsorted +p10997 +tp10998 +a(g343 +V. +tp10999 +a(g18 +Vsort +p11000 +tp11001 +a(g189 +V +tp11002 +a(g202 +V{ +tp11003 +a(g189 +V +tp11004 +a(g343 +V| +tp11005 +a(g18 +Va +tp11006 +a(g202 +V, +tp11007 +a(g18 +Vb +tp11008 +a(g343 +V| +tp11009 +a(g189 +V +tp11010 +a(g18 +Vb +tp11011 +a(g343 +V. +tp11012 +a(g18 +Vto_f +p11013 +tp11014 +a(g189 +V +tp11015 +a(g343 +V< +tp11016 +a(g343 +V= +tp11017 +a(g343 +V> +tp11018 +a(g189 +V +tp11019 +a(g18 +Va +tp11020 +a(g343 +V. +tp11021 +a(g18 +Vto_f +p11022 +tp11023 +a(g189 +V +tp11024 +a(g202 +V} +tp11025 +a(g189 +V\u000a\u000a\u000a +p11026 +tp11027 +a(g7 +V# @@PLEAC@@_4.15 +p11028 +tp11029 +a(g189 +V\u000a +tp11030 +a(g18 +Vordered +p11031 +tp11032 +a(g189 +V +tp11033 +a(g343 +V= +tp11034 +a(g189 +V +tp11035 +a(g18 +Vunordered +p11036 +tp11037 +a(g343 +V. +tp11038 +a(g18 +Vsort +p11039 +tp11040 +a(g189 +V +tp11041 +a(g202 +V{ +tp11042 +a(g189 +V +tp11043 +a(g343 +V| +tp11044 +a(g18 +Va +tp11045 +a(g202 +V, +tp11046 +a(g18 +Vb +tp11047 +a(g343 +V| +tp11048 +a(g189 +V +tp11049 +a(g18 +Vcompare +p11050 +tp11051 +a(g202 +V( +tp11052 +a(g18 +Va +tp11053 +a(g202 +V, +tp11054 +a(g18 +Vb +tp11055 +a(g202 +V) +tp11056 +a(g189 +V +tp11057 +a(g202 +V} +tp11058 +a(g189 +V\u000a\u000a +p11059 +tp11060 +a(g18 +Vprecomputed +p11061 +tp11062 +a(g189 +V +tp11063 +a(g343 +V= +tp11064 +a(g189 +V +tp11065 +a(g18 +Vunordered +p11066 +tp11067 +a(g343 +V. +tp11068 +a(g18 +Vcollect +p11069 +tp11070 +a(g189 +V +tp11071 +a(g202 +V{ +tp11072 +a(g189 +V +tp11073 +a(g343 +V| +tp11074 +a(g18 +Ve +tp11075 +a(g343 +V| +tp11076 +a(g189 +V +tp11077 +a(g343 +V[ +tp11078 +a(g18 +Vcompute +p11079 +tp11080 +a(g202 +V, +tp11081 +a(g189 +V +tp11082 +a(g18 +Ve +tp11083 +a(g343 +V] +tp11084 +a(g189 +V +tp11085 +a(g202 +V} +tp11086 +a(g189 +V\u000a +tp11087 +a(g18 +Vordered_precomputed +p11088 +tp11089 +a(g189 +V +tp11090 +a(g343 +V= +tp11091 +a(g189 +V +tp11092 +a(g18 +Vprecomputed +p11093 +tp11094 +a(g343 +V. +tp11095 +a(g18 +Vsort +p11096 +tp11097 +a(g189 +V +tp11098 +a(g202 +V{ +tp11099 +a(g189 +V +tp11100 +a(g343 +V| +tp11101 +a(g18 +Va +tp11102 +a(g202 +V, +tp11103 +a(g18 +Vb +tp11104 +a(g343 +V| +tp11105 +a(g189 +V +tp11106 +a(g18 +Va +tp11107 +a(g343 +V[ +tp11108 +a(g318 +V0 +tp11109 +a(g343 +V] +tp11110 +a(g189 +V +tp11111 +a(g343 +V< +tp11112 +a(g343 +V= +tp11113 +a(g343 +V> +tp11114 +a(g189 +V +tp11115 +a(g18 +Vb +tp11116 +a(g343 +V[ +tp11117 +a(g318 +V0 +tp11118 +a(g343 +V] +tp11119 +a(g189 +V +tp11120 +a(g202 +V} +tp11121 +a(g189 +V\u000a +tp11122 +a(g18 +Vordered +p11123 +tp11124 +a(g189 +V +tp11125 +a(g343 +V= +tp11126 +a(g189 +V +tp11127 +a(g18 +Vordered_precomputed +p11128 +tp11129 +a(g343 +V. +tp11130 +a(g18 +Vcollect +p11131 +tp11132 +a(g189 +V +tp11133 +a(g202 +V{ +tp11134 +a(g189 +V +tp11135 +a(g343 +V| +tp11136 +a(g18 +Ve +tp11137 +a(g343 +V| +tp11138 +a(g189 +V +tp11139 +a(g18 +Ve +tp11140 +a(g343 +V[ +tp11141 +a(g318 +V1 +tp11142 +a(g343 +V] +tp11143 +a(g189 +V +tp11144 +a(g202 +V} +tp11145 +a(g189 +V\u000a\u000a +p11146 +tp11147 +a(g18 +Vordered +p11148 +tp11149 +a(g189 +V +tp11150 +a(g343 +V= +tp11151 +a(g189 +V +tp11152 +a(g18 +Vunordered +p11153 +tp11154 +a(g343 +V. +tp11155 +a(g18 +Vcollect +p11156 +tp11157 +a(g189 +V +tp11158 +a(g202 +V{ +tp11159 +a(g189 +V +tp11160 +a(g343 +V| +tp11161 +a(g18 +Ve +tp11162 +a(g343 +V| +tp11163 +a(g189 +V +tp11164 +a(g343 +V[ +tp11165 +a(g18 +Vcompute +p11166 +tp11167 +a(g202 +V, +tp11168 +a(g189 +V +tp11169 +a(g18 +Ve +tp11170 +a(g343 +V] +tp11171 +a(g189 +V +tp11172 +a(g202 +V} +tp11173 +a(g343 +V. +tp11174 +a(g189 +V\u000a +p11175 +tp11176 +a(g18 +Vsort +p11177 +tp11178 +a(g189 +V +tp11179 +a(g202 +V{ +tp11180 +a(g189 +V +tp11181 +a(g343 +V| +tp11182 +a(g18 +Va +tp11183 +a(g202 +V, +tp11184 +a(g18 +Vb +tp11185 +a(g343 +V| +tp11186 +a(g189 +V +tp11187 +a(g18 +Va +tp11188 +a(g343 +V[ +tp11189 +a(g318 +V0 +tp11190 +a(g343 +V] +tp11191 +a(g189 +V +tp11192 +a(g343 +V< +tp11193 +a(g343 +V= +tp11194 +a(g343 +V> +tp11195 +a(g189 +V +tp11196 +a(g18 +Vb +tp11197 +a(g343 +V[ +tp11198 +a(g318 +V0 +tp11199 +a(g343 +V] +tp11200 +a(g189 +V +tp11201 +a(g202 +V} +tp11202 +a(g343 +V. +tp11203 +a(g189 +V\u000a +p11204 +tp11205 +a(g18 +Vcollect +p11206 +tp11207 +a(g189 +V +tp11208 +a(g202 +V{ +tp11209 +a(g189 +V +tp11210 +a(g343 +V| +tp11211 +a(g18 +Ve +tp11212 +a(g343 +V| +tp11213 +a(g189 +V +tp11214 +a(g18 +Ve +tp11215 +a(g343 +V[ +tp11216 +a(g318 +V1 +tp11217 +a(g343 +V] +tp11218 +a(g189 +V +tp11219 +a(g202 +V} +tp11220 +a(g189 +V\u000a\u000a +p11221 +tp11222 +a(g111 +Vfor +p11223 +tp11224 +a(g189 +V +tp11225 +a(g18 +Vemployee +p11226 +tp11227 +a(g189 +V +tp11228 +a(g111 +Vin +p11229 +tp11230 +a(g189 +V +tp11231 +a(g18 +Vemployees +p11232 +tp11233 +a(g343 +V. +tp11234 +a(g18 +Vsort +p11235 +tp11236 +a(g189 +V +tp11237 +a(g202 +V{ +tp11238 +a(g189 +V +tp11239 +a(g343 +V| +tp11240 +a(g18 +Va +tp11241 +a(g202 +V, +tp11242 +a(g18 +Vb +tp11243 +a(g343 +V| +tp11244 +a(g189 +V +tp11245 +a(g18 +Va +tp11246 +a(g343 +V. +tp11247 +a(g18 +Vname +p11248 +tp11249 +a(g189 +V +tp11250 +a(g343 +V< +tp11251 +a(g343 +V= +tp11252 +a(g343 +V> +tp11253 +a(g189 +V +tp11254 +a(g18 +Vb +tp11255 +a(g343 +V. +tp11256 +a(g18 +Vname +p11257 +tp11258 +a(g189 +V +tp11259 +a(g202 +V} +tp11260 +a(g189 +V\u000a +p11261 +tp11262 +a(g57 +Vprint +p11263 +tp11264 +a(g189 +V +tp11265 +a(g18 +Vemployee +p11266 +tp11267 +a(g343 +V. +tp11268 +a(g18 +Vname +p11269 +tp11270 +a(g202 +V, +tp11271 +a(g189 +V +tp11272 +a(g245 +V" +tp11273 +a(g245 +V earns +p11274 +tp11275 +a(g245 +V\u005c +tp11276 +a(g245 +V$ +p11277 +tp11278 +a(g245 +V" +tp11279 +a(g202 +V, +tp11280 +a(g189 +V +tp11281 +a(g18 +Vemployee +p11282 +tp11283 +a(g343 +V. +tp11284 +a(g18 +Vsalary +p11285 +tp11286 +a(g202 +V, +tp11287 +a(g189 +V +tp11288 +a(g245 +V" +tp11289 +a(g252 +V\u005cn +p11290 +tp11291 +a(g245 +V" +tp11292 +a(g189 +V\u000a +tp11293 +a(g111 +Vend +p11294 +tp11295 +a(g189 +V\u000a\u000a +p11296 +tp11297 +a(g7 +V# Beware! `0' is true in Ruby. +p11298 +tp11299 +a(g189 +V\u000a +tp11300 +a(g7 +V# For chaining comparisons, you may use Numeric#nonzero?, which +p11301 +tp11302 +a(g189 +V\u000a +tp11303 +a(g7 +V# returns num if num is not zero, nil otherwise +p11304 +tp11305 +a(g189 +V\u000a +tp11306 +a(g18 +Vsorted +p11307 +tp11308 +a(g189 +V +tp11309 +a(g343 +V= +tp11310 +a(g189 +V +tp11311 +a(g18 +Vemployees +p11312 +tp11313 +a(g343 +V. +tp11314 +a(g18 +Vsort +p11315 +tp11316 +a(g189 +V +tp11317 +a(g202 +V{ +tp11318 +a(g189 +V +tp11319 +a(g343 +V| +tp11320 +a(g18 +Va +tp11321 +a(g202 +V, +tp11322 +a(g18 +Vb +tp11323 +a(g343 +V| +tp11324 +a(g189 +V +tp11325 +a(g202 +V( +tp11326 +a(g18 +Va +tp11327 +a(g343 +V. +tp11328 +a(g18 +Vname +p11329 +tp11330 +a(g189 +V +tp11331 +a(g343 +V< +tp11332 +a(g343 +V= +tp11333 +a(g343 +V> +tp11334 +a(g189 +V +tp11335 +a(g18 +Vb +tp11336 +a(g343 +V. +tp11337 +a(g18 +Vname +p11338 +tp11339 +a(g202 +V) +tp11340 +a(g343 +V. +tp11341 +a(g18 +Vnonzero? +p11342 +tp11343 +a(g189 +V +tp11344 +a(g343 +V|| +p11345 +tp11346 +a(g189 +V +tp11347 +a(g18 +Vb +tp11348 +a(g343 +V. +tp11349 +a(g18 +Vage +p11350 +tp11351 +a(g189 +V +tp11352 +a(g343 +V< +tp11353 +a(g343 +V= +tp11354 +a(g343 +V> +tp11355 +a(g189 +V +tp11356 +a(g18 +Va +tp11357 +a(g343 +V. +tp11358 +a(g18 +Vage +p11359 +tp11360 +a(g189 +V +tp11361 +a(g202 +V} +tp11362 +a(g189 +V\u000a\u000a +p11363 +tp11364 +a(g18 +Vusers +p11365 +tp11366 +a(g189 +V +tp11367 +a(g343 +V= +tp11368 +a(g189 +V +tp11369 +a(g343 +V[ +tp11370 +a(g343 +V] +tp11371 +a(g189 +V\u000a +tp11372 +a(g7 +V# getpwent is not wrapped in Ruby... let's fallback +p11373 +tp11374 +a(g189 +V\u000a +tp11375 +a(g33 +VIO +p11376 +tp11377 +a(g343 +V. +tp11378 +a(g18 +Vreadlines +p11379 +tp11380 +a(g202 +V( +tp11381 +a(g271 +V'/etc/passwd' +p11382 +tp11383 +a(g202 +V) +tp11384 +a(g343 +V. +tp11385 +a(g18 +Veach +p11386 +tp11387 +a(g189 +V +tp11388 +a(g202 +V{ +tp11389 +a(g189 +V +tp11390 +a(g343 +V| +tp11391 +a(g18 +Vu +tp11392 +a(g343 +V| +tp11393 +a(g189 +V +tp11394 +a(g18 +Vusers +p11395 +tp11396 +a(g189 +V +tp11397 +a(g343 +V<< +p11398 +tp11399 +a(g189 +V +tp11400 +a(g18 +Vu +tp11401 +a(g343 +V. +tp11402 +a(g18 +Vsplit +p11403 +tp11404 +a(g202 +V( +tp11405 +a(g271 +V':' +p11406 +tp11407 +a(g202 +V) +tp11408 +a(g189 +V +tp11409 +a(g202 +V} +tp11410 +a(g189 +V\u000a +tp11411 +a(g18 +Vusers +p11412 +tp11413 +a(g343 +V. +tp11414 +a(g18 +Vsort! +p11415 +tp11416 +a(g189 +V +tp11417 +a(g202 +V{ +tp11418 +a(g189 +V +tp11419 +a(g343 +V| +tp11420 +a(g18 +Va +tp11421 +a(g202 +V, +tp11422 +a(g18 +Vb +tp11423 +a(g343 +V| +tp11424 +a(g189 +V +tp11425 +a(g18 +Va +tp11426 +a(g343 +V[ +tp11427 +a(g318 +V0 +tp11428 +a(g343 +V] +tp11429 +a(g189 +V +tp11430 +a(g343 +V< +tp11431 +a(g343 +V= +tp11432 +a(g343 +V> +tp11433 +a(g189 +V +tp11434 +a(g18 +Vb +tp11435 +a(g343 +V[ +tp11436 +a(g318 +V0 +tp11437 +a(g343 +V] +tp11438 +a(g189 +V +tp11439 +a(g202 +V} +tp11440 +a(g189 +V\u000a +tp11441 +a(g111 +Vfor +p11442 +tp11443 +a(g189 +V +tp11444 +a(g18 +Vuser +p11445 +tp11446 +a(g189 +V +tp11447 +a(g111 +Vin +p11448 +tp11449 +a(g189 +V +tp11450 +a(g18 +Vusers +p11451 +tp11452 +a(g189 +V\u000a +p11453 +tp11454 +a(g57 +Vputs +p11455 +tp11456 +a(g189 +V +tp11457 +a(g18 +Vuser +p11458 +tp11459 +a(g343 +V[ +tp11460 +a(g318 +V0 +tp11461 +a(g343 +V] +tp11462 +a(g189 +V\u000a +tp11463 +a(g111 +Vend +p11464 +tp11465 +a(g189 +V\u000a\u000a +p11466 +tp11467 +a(g18 +Vsorted +p11468 +tp11469 +a(g189 +V +tp11470 +a(g343 +V= +tp11471 +a(g189 +V +tp11472 +a(g18 +Vnames +p11473 +tp11474 +a(g343 +V. +tp11475 +a(g18 +Vsort +p11476 +tp11477 +a(g189 +V +tp11478 +a(g202 +V{ +tp11479 +a(g189 +V +tp11480 +a(g343 +V| +tp11481 +a(g18 +Va +tp11482 +a(g202 +V, +tp11483 +a(g18 +Vb +tp11484 +a(g343 +V| +tp11485 +a(g189 +V +tp11486 +a(g18 +Va +tp11487 +a(g343 +V[ +tp11488 +a(g318 +V1 +tp11489 +a(g202 +V, +tp11490 +a(g189 +V +tp11491 +a(g318 +V1 +tp11492 +a(g343 +V] +tp11493 +a(g189 +V +tp11494 +a(g343 +V< +tp11495 +a(g343 +V= +tp11496 +a(g343 +V> +tp11497 +a(g189 +V +tp11498 +a(g18 +Vb +tp11499 +a(g343 +V[ +tp11500 +a(g318 +V1 +tp11501 +a(g202 +V, +tp11502 +a(g189 +V +tp11503 +a(g318 +V1 +tp11504 +a(g343 +V] +tp11505 +a(g189 +V +tp11506 +a(g202 +V} +tp11507 +a(g189 +V\u000a +tp11508 +a(g18 +Vsorted +p11509 +tp11510 +a(g189 +V +tp11511 +a(g343 +V= +tp11512 +a(g189 +V +tp11513 +a(g18 +Vstrings +p11514 +tp11515 +a(g343 +V. +tp11516 +a(g18 +Vsort +p11517 +tp11518 +a(g189 +V +tp11519 +a(g202 +V{ +tp11520 +a(g189 +V +tp11521 +a(g343 +V| +tp11522 +a(g18 +Va +tp11523 +a(g202 +V, +tp11524 +a(g18 +Vb +tp11525 +a(g343 +V| +tp11526 +a(g189 +V +tp11527 +a(g18 +Va +tp11528 +a(g343 +V. +tp11529 +a(g18 +Vlength +p11530 +tp11531 +a(g189 +V +tp11532 +a(g343 +V< +tp11533 +a(g343 +V= +tp11534 +a(g343 +V> +tp11535 +a(g189 +V +tp11536 +a(g18 +Vb +tp11537 +a(g343 +V. +tp11538 +a(g18 +Vlength +p11539 +tp11540 +a(g189 +V +tp11541 +a(g202 +V} +tp11542 +a(g189 +V\u000a\u000a +p11543 +tp11544 +a(g7 +V# let's show only the compact version +p11545 +tp11546 +a(g189 +V\u000a +tp11547 +a(g18 +Vordered +p11548 +tp11549 +a(g189 +V +tp11550 +a(g343 +V= +tp11551 +a(g189 +V +tp11552 +a(g18 +Vstrings +p11553 +tp11554 +a(g343 +V. +tp11555 +a(g18 +Vcollect +p11556 +tp11557 +a(g189 +V +tp11558 +a(g202 +V{ +tp11559 +a(g189 +V +tp11560 +a(g343 +V| +tp11561 +a(g18 +Ve +tp11562 +a(g343 +V| +tp11563 +a(g189 +V +tp11564 +a(g343 +V[ +tp11565 +a(g18 +Ve +tp11566 +a(g343 +V. +tp11567 +a(g18 +Vlength +p11568 +tp11569 +a(g202 +V, +tp11570 +a(g189 +V +tp11571 +a(g18 +Ve +tp11572 +a(g343 +V] +tp11573 +a(g189 +V +tp11574 +a(g202 +V} +tp11575 +a(g343 +V. +tp11576 +a(g189 +V\u000a +p11577 +tp11578 +a(g18 +Vsort +p11579 +tp11580 +a(g189 +V +tp11581 +a(g202 +V{ +tp11582 +a(g189 +V +tp11583 +a(g343 +V| +tp11584 +a(g18 +Va +tp11585 +a(g202 +V, +tp11586 +a(g18 +Vb +tp11587 +a(g343 +V| +tp11588 +a(g189 +V +tp11589 +a(g18 +Va +tp11590 +a(g343 +V[ +tp11591 +a(g318 +V0 +tp11592 +a(g343 +V] +tp11593 +a(g189 +V +tp11594 +a(g343 +V< +tp11595 +a(g343 +V= +tp11596 +a(g343 +V> +tp11597 +a(g189 +V +tp11598 +a(g18 +Vb +tp11599 +a(g343 +V[ +tp11600 +a(g318 +V0 +tp11601 +a(g343 +V] +tp11602 +a(g189 +V +tp11603 +a(g202 +V} +tp11604 +a(g343 +V. +tp11605 +a(g189 +V\u000a +p11606 +tp11607 +a(g18 +Vcollect +p11608 +tp11609 +a(g189 +V +tp11610 +a(g202 +V{ +tp11611 +a(g189 +V +tp11612 +a(g343 +V| +tp11613 +a(g18 +Ve +tp11614 +a(g343 +V| +tp11615 +a(g189 +V +tp11616 +a(g18 +Ve +tp11617 +a(g343 +V[ +tp11618 +a(g318 +V1 +tp11619 +a(g343 +V] +tp11620 +a(g189 +V +tp11621 +a(g202 +V} +tp11622 +a(g189 +V\u000a\u000a +p11623 +tp11624 +a(g18 +Vordered +p11625 +tp11626 +a(g189 +V +tp11627 +a(g343 +V= +tp11628 +a(g189 +V +tp11629 +a(g18 +Vstrings +p11630 +tp11631 +a(g343 +V. +tp11632 +a(g18 +Vcollect +p11633 +tp11634 +a(g189 +V +tp11635 +a(g202 +V{ +tp11636 +a(g189 +V +tp11637 +a(g343 +V| +tp11638 +a(g18 +Ve +tp11639 +a(g343 +V| +tp11640 +a(g189 +V +tp11641 +a(g343 +V[ +tp11642 +a(g343 +V/ +tp11643 +a(g202 +V\u005c +tp11644 +a(g18 +Vd +tp11645 +a(g343 +V+ +tp11646 +a(g343 +V/ +tp11647 +a(g343 +V. +tp11648 +a(g18 +Vmatch +p11649 +tp11650 +a(g202 +V( +tp11651 +a(g18 +Ve +tp11652 +a(g202 +V) +tp11653 +a(g343 +V[ +tp11654 +a(g318 +V0 +tp11655 +a(g343 +V] +tp11656 +a(g343 +V. +tp11657 +a(g18 +Vto_i +p11658 +tp11659 +a(g202 +V, +tp11660 +a(g189 +V +tp11661 +a(g18 +Ve +tp11662 +a(g343 +V] +tp11663 +a(g189 +V +tp11664 +a(g202 +V} +tp11665 +a(g343 +V. +tp11666 +a(g189 +V\u000a +p11667 +tp11668 +a(g18 +Vsort +p11669 +tp11670 +a(g189 +V +tp11671 +a(g202 +V{ +tp11672 +a(g189 +V +tp11673 +a(g343 +V| +tp11674 +a(g18 +Va +tp11675 +a(g202 +V, +tp11676 +a(g18 +Vb +tp11677 +a(g343 +V| +tp11678 +a(g189 +V +tp11679 +a(g18 +Va +tp11680 +a(g343 +V[ +tp11681 +a(g318 +V0 +tp11682 +a(g343 +V] +tp11683 +a(g189 +V +tp11684 +a(g343 +V< +tp11685 +a(g343 +V= +tp11686 +a(g343 +V> +tp11687 +a(g189 +V +tp11688 +a(g18 +Vb +tp11689 +a(g343 +V[ +tp11690 +a(g318 +V0 +tp11691 +a(g343 +V] +tp11692 +a(g189 +V +tp11693 +a(g202 +V} +tp11694 +a(g343 +V. +tp11695 +a(g189 +V\u000a +p11696 +tp11697 +a(g18 +Vcollect +p11698 +tp11699 +a(g189 +V +tp11700 +a(g202 +V{ +tp11701 +a(g189 +V +tp11702 +a(g343 +V| +tp11703 +a(g18 +Ve +tp11704 +a(g343 +V| +tp11705 +a(g189 +V +tp11706 +a(g18 +Ve +tp11707 +a(g343 +V[ +tp11708 +a(g318 +V1 +tp11709 +a(g343 +V] +tp11710 +a(g189 +V +tp11711 +a(g202 +V} +tp11712 +a(g189 +V\u000a\u000a +p11713 +tp11714 +a(g57 +Vprint +p11715 +tp11716 +a(g189 +V +tp11717 +a(g264 +V` +tp11718 +a(g264 +Vcat /etc/passwd +p11719 +tp11720 +a(g264 +V` +tp11721 +a(g343 +V. +tp11722 +a(g18 +Vcollect +p11723 +tp11724 +a(g189 +V +tp11725 +a(g202 +V{ +tp11726 +a(g189 +V +tp11727 +a(g343 +V| +tp11728 +a(g18 +Ve +tp11729 +a(g343 +V| +tp11730 +a(g189 +V +tp11731 +a(g343 +V[ +tp11732 +a(g18 +Ve +tp11733 +a(g202 +V, +tp11734 +a(g189 +V +tp11735 +a(g18 +Ve +tp11736 +a(g343 +V. +tp11737 +a(g18 +Vsplit +p11738 +tp11739 +a(g202 +V( +tp11740 +a(g271 +V':' +p11741 +tp11742 +a(g202 +V) +tp11743 +a(g343 +V. +tp11744 +a(g18 +Vindexes +p11745 +tp11746 +a(g202 +V( +tp11747 +a(g318 +V3 +tp11748 +a(g202 +V, +tp11749 +a(g318 +V2 +tp11750 +a(g202 +V, +tp11751 +a(g318 +V0 +tp11752 +a(g202 +V) +tp11753 +a(g343 +V] +tp11754 +a(g343 +V. +tp11755 +a(g18 +Vflatten +p11756 +tp11757 +a(g189 +V +tp11758 +a(g202 +V} +tp11759 +a(g343 +V. +tp11760 +a(g189 +V\u000a +p11761 +tp11762 +a(g18 +Vsort +p11763 +tp11764 +a(g189 +V +tp11765 +a(g202 +V{ +tp11766 +a(g189 +V +tp11767 +a(g343 +V| +tp11768 +a(g18 +Va +tp11769 +a(g202 +V, +tp11770 +a(g18 +Vb +tp11771 +a(g343 +V| +tp11772 +a(g189 +V +tp11773 +a(g202 +V( +tp11774 +a(g18 +Va +tp11775 +a(g343 +V[ +tp11776 +a(g318 +V1 +tp11777 +a(g343 +V] +tp11778 +a(g189 +V +tp11779 +a(g343 +V< +tp11780 +a(g343 +V= +tp11781 +a(g343 +V> +tp11782 +a(g189 +V +tp11783 +a(g18 +Vb +tp11784 +a(g343 +V[ +tp11785 +a(g318 +V1 +tp11786 +a(g343 +V] +tp11787 +a(g202 +V) +tp11788 +a(g343 +V. +tp11789 +a(g18 +Vnonzero? +p11790 +tp11791 +a(g189 +V +tp11792 +a(g343 +V|| +p11793 +tp11794 +a(g189 +V +tp11795 +a(g202 +V( +tp11796 +a(g18 +Va +tp11797 +a(g343 +V[ +tp11798 +a(g318 +V2 +tp11799 +a(g343 +V] +tp11800 +a(g189 +V +tp11801 +a(g343 +V< +tp11802 +a(g343 +V= +tp11803 +a(g343 +V> +tp11804 +a(g189 +V +tp11805 +a(g18 +Vb +tp11806 +a(g343 +V[ +tp11807 +a(g318 +V2 +tp11808 +a(g343 +V] +tp11809 +a(g202 +V) +tp11810 +a(g343 +V. +tp11811 +a(g18 +Vnonzero? +p11812 +tp11813 +a(g189 +V +tp11814 +a(g343 +V|| +p11815 +tp11816 +a(g189 +V +tp11817 +a(g18 +Va +tp11818 +a(g343 +V[ +tp11819 +a(g318 +V3 +tp11820 +a(g343 +V] +tp11821 +a(g189 +V +tp11822 +a(g343 +V< +tp11823 +a(g343 +V= +tp11824 +a(g343 +V> +tp11825 +a(g189 +V +tp11826 +a(g18 +Vb +tp11827 +a(g343 +V[ +tp11828 +a(g318 +V3 +tp11829 +a(g343 +V] +tp11830 +a(g189 +V +tp11831 +a(g202 +V} +tp11832 +a(g343 +V. +tp11833 +a(g189 +V\u000a +p11834 +tp11835 +a(g18 +Vcollect +p11836 +tp11837 +a(g189 +V +tp11838 +a(g202 +V{ +tp11839 +a(g189 +V +tp11840 +a(g343 +V| +tp11841 +a(g18 +Ve +tp11842 +a(g343 +V| +tp11843 +a(g189 +V +tp11844 +a(g18 +Ve +tp11845 +a(g343 +V[ +tp11846 +a(g318 +V0 +tp11847 +a(g343 +V] +tp11848 +a(g189 +V +tp11849 +a(g202 +V} +tp11850 +a(g189 +V\u000a\u000a\u000a +p11851 +tp11852 +a(g7 +V# @@PLEAC@@_4.16 +p11853 +tp11854 +a(g189 +V\u000a +tp11855 +a(g18 +Vcircular +p11856 +tp11857 +a(g343 +V. +tp11858 +a(g18 +Vunshift +p11859 +tp11860 +a(g202 +V( +tp11861 +a(g18 +Vcircular +p11862 +tp11863 +a(g343 +V. +tp11864 +a(g18 +Vpop +p11865 +tp11866 +a(g202 +V) +tp11867 +a(g189 +V +p11868 +tp11869 +a(g7 +V# the last shall be first +p11870 +tp11871 +a(g189 +V\u000a +tp11872 +a(g18 +Vcircular +p11873 +tp11874 +a(g343 +V. +tp11875 +a(g18 +Vpush +p11876 +tp11877 +a(g202 +V( +tp11878 +a(g18 +Vcircular +p11879 +tp11880 +a(g343 +V. +tp11881 +a(g18 +Vshift +p11882 +tp11883 +a(g202 +V) +tp11884 +a(g189 +V +p11885 +tp11886 +a(g7 +V# and vice versa +p11887 +tp11888 +a(g189 +V\u000a\u000a +p11889 +tp11890 +a(g111 +Vdef +p11891 +tp11892 +a(g189 +V +tp11893 +a(g21 +Vgrab_and_rotate +p11894 +tp11895 +a(g202 +V( +tp11896 +a(g18 +Vl +tp11897 +a(g202 +V) +tp11898 +a(g189 +V\u000a +p11899 +tp11900 +a(g18 +Vl +tp11901 +a(g343 +V. +tp11902 +a(g18 +Vpush +p11903 +tp11904 +a(g202 +V( +tp11905 +a(g18 +Vret +p11906 +tp11907 +a(g189 +V +tp11908 +a(g343 +V= +tp11909 +a(g189 +V +tp11910 +a(g18 +Vl +tp11911 +a(g343 +V. +tp11912 +a(g18 +Vshift +p11913 +tp11914 +a(g202 +V) +tp11915 +a(g189 +V\u000a +p11916 +tp11917 +a(g18 +Vret +p11918 +tp11919 +a(g189 +V\u000a +tp11920 +a(g111 +Vend +p11921 +tp11922 +a(g189 +V\u000a\u000a +p11923 +tp11924 +a(g18 +Vprocesses +p11925 +tp11926 +a(g189 +V +tp11927 +a(g343 +V= +tp11928 +a(g189 +V +tp11929 +a(g343 +V[ +tp11930 +a(g318 +V1 +tp11931 +a(g202 +V, +tp11932 +a(g189 +V +tp11933 +a(g318 +V2 +tp11934 +a(g202 +V, +tp11935 +a(g189 +V +tp11936 +a(g318 +V3 +tp11937 +a(g202 +V, +tp11938 +a(g189 +V +tp11939 +a(g318 +V4 +tp11940 +a(g202 +V, +tp11941 +a(g189 +V +tp11942 +a(g318 +V5 +tp11943 +a(g343 +V] +tp11944 +a(g189 +V\u000a +tp11945 +a(g111 +Vwhile +p11946 +tp11947 +a(g189 +V +tp11948 +a(g202 +V( +tp11949 +a(g318 +V1 +tp11950 +a(g202 +V) +tp11951 +a(g189 +V\u000a +p11952 +tp11953 +a(g18 +Vprocess +p11954 +tp11955 +a(g189 +V +tp11956 +a(g343 +V= +tp11957 +a(g189 +V +tp11958 +a(g18 +Vgrab_and_rotate +p11959 +tp11960 +a(g202 +V( +tp11961 +a(g18 +Vprocesses +p11962 +tp11963 +a(g202 +V) +tp11964 +a(g189 +V\u000a +p11965 +tp11966 +a(g57 +Vputs +p11967 +tp11968 +a(g189 +V +tp11969 +a(g245 +V" +tp11970 +a(g245 +VHandling process +p11971 +tp11972 +a(g233 +V#{ +p11973 +tp11974 +a(g18 +Vprocess +p11975 +tp11976 +a(g233 +V} +tp11977 +a(g245 +V" +tp11978 +a(g189 +V\u000a +p11979 +tp11980 +a(g57 +Vsleep +p11981 +tp11982 +a(g189 +V +tp11983 +a(g318 +V1 +tp11984 +a(g189 +V\u000a +tp11985 +a(g111 +Vend +p11986 +tp11987 +a(g189 +V\u000a\u000a\u000a +p11988 +tp11989 +a(g7 +V# @@PLEAC@@_4.17 +p11990 +tp11991 +a(g189 +V\u000a +tp11992 +a(g111 +Vdef +p11993 +tp11994 +a(g189 +V +tp11995 +a(g21 +Vfisher_yates_shuffle +p11996 +tp11997 +a(g202 +V( +tp11998 +a(g18 +Va +tp11999 +a(g202 +V) +tp12000 +a(g189 +V\u000a +p12001 +tp12002 +a(g202 +V( +tp12003 +a(g18 +Va +tp12004 +a(g343 +V. +tp12005 +a(g18 +Vsize +p12006 +tp12007 +a(g343 +V- +tp12008 +a(g318 +V1 +tp12009 +a(g202 +V) +tp12010 +a(g343 +V. +tp12011 +a(g18 +Vdownto +p12012 +tp12013 +a(g202 +V( +tp12014 +a(g318 +V1 +tp12015 +a(g202 +V) +tp12016 +a(g189 +V +tp12017 +a(g202 +V{ +tp12018 +a(g189 +V +tp12019 +a(g343 +V| +tp12020 +a(g18 +Vi +tp12021 +a(g343 +V| +tp12022 +a(g189 +V\u000a +p12023 +tp12024 +a(g18 +Vj +tp12025 +a(g189 +V +tp12026 +a(g343 +V= +tp12027 +a(g189 +V +tp12028 +a(g57 +Vrand +p12029 +tp12030 +a(g202 +V( +tp12031 +a(g18 +Vi +tp12032 +a(g343 +V+ +tp12033 +a(g318 +V1 +tp12034 +a(g202 +V) +tp12035 +a(g189 +V\u000a +p12036 +tp12037 +a(g18 +Va +tp12038 +a(g343 +V[ +tp12039 +a(g18 +Vi +tp12040 +a(g343 +V] +tp12041 +a(g202 +V, +tp12042 +a(g189 +V +tp12043 +a(g18 +Va +tp12044 +a(g343 +V[ +tp12045 +a(g18 +Vj +tp12046 +a(g343 +V] +tp12047 +a(g189 +V +tp12048 +a(g343 +V= +tp12049 +a(g189 +V +tp12050 +a(g18 +Va +tp12051 +a(g343 +V[ +tp12052 +a(g18 +Vj +tp12053 +a(g343 +V] +tp12054 +a(g202 +V, +tp12055 +a(g189 +V +tp12056 +a(g18 +Va +tp12057 +a(g343 +V[ +tp12058 +a(g18 +Vi +tp12059 +a(g343 +V] +tp12060 +a(g189 +V +tp12061 +a(g111 +Vif +p12062 +tp12063 +a(g189 +V +tp12064 +a(g18 +Vi +tp12065 +a(g189 +V +tp12066 +a(g343 +V!= +p12067 +tp12068 +a(g189 +V +tp12069 +a(g18 +Vj +tp12070 +a(g189 +V\u000a +p12071 +tp12072 +a(g202 +V} +tp12073 +a(g189 +V\u000a +tp12074 +a(g111 +Vend +p12075 +tp12076 +a(g189 +V\u000a\u000a +p12077 +tp12078 +a(g111 +Vdef +p12079 +tp12080 +a(g189 +V +tp12081 +a(g21 +Vnaive_shuffle +p12082 +tp12083 +a(g202 +V( +tp12084 +a(g18 +Va +tp12085 +a(g202 +V) +tp12086 +a(g189 +V\u000a +p12087 +tp12088 +a(g111 +Vfor +p12089 +tp12090 +a(g189 +V +tp12091 +a(g18 +Vi +tp12092 +a(g189 +V +tp12093 +a(g111 +Vin +p12094 +tp12095 +a(g189 +V +tp12096 +a(g318 +V0 +tp12097 +a(g343 +V. +tp12098 +a(g18 +V. +tp12099 +a(g343 +V. +tp12100 +a(g18 +Va +tp12101 +a(g343 +V. +tp12102 +a(g18 +Vsize +p12103 +tp12104 +a(g189 +V\u000a +p12105 +tp12106 +a(g18 +Vj +tp12107 +a(g189 +V +tp12108 +a(g343 +V= +tp12109 +a(g189 +V +tp12110 +a(g57 +Vrand +p12111 +tp12112 +a(g202 +V( +tp12113 +a(g18 +Va +tp12114 +a(g343 +V. +tp12115 +a(g18 +Vsize +p12116 +tp12117 +a(g202 +V) +tp12118 +a(g189 +V\u000a +p12119 +tp12120 +a(g18 +Va +tp12121 +a(g343 +V[ +tp12122 +a(g18 +Vi +tp12123 +a(g343 +V] +tp12124 +a(g202 +V, +tp12125 +a(g189 +V +tp12126 +a(g18 +Va +tp12127 +a(g343 +V[ +tp12128 +a(g18 +Vj +tp12129 +a(g343 +V] +tp12130 +a(g189 +V +tp12131 +a(g343 +V= +tp12132 +a(g189 +V +tp12133 +a(g18 +Va +tp12134 +a(g343 +V[ +tp12135 +a(g18 +Vj +tp12136 +a(g343 +V] +tp12137 +a(g202 +V, +tp12138 +a(g189 +V +tp12139 +a(g18 +Va +tp12140 +a(g343 +V[ +tp12141 +a(g18 +Vi +tp12142 +a(g343 +V] +tp12143 +a(g189 +V\u000a +p12144 +tp12145 +a(g111 +Vend +p12146 +tp12147 +a(g189 +V\u000a +tp12148 +a(g111 +Vend +p12149 +tp12150 +a(g189 +V\u000a +tp12151 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pppoe.applescript b/tests/examplefiles/output/pppoe.applescript new file mode 100644 index 0000000..719164d --- /dev/null +++ b/tests/examplefiles/output/pppoe.applescript @@ -0,0 +1,1606 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Constant' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp15 +(dp16 +S'Comment' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +g14 +g15 +sS'Preproc' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g11 +g12 +((ltRp23 +sg14 +g18 +sbsS'Single' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g18 +sbsS'Multiline' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g18 +sbsg11 +g12 +((lp32 +g2 +(g3 +g4 +(g17 +S'Special' +p33 +ttRp34 +(dp35 +g11 +g12 +((ltRp36 +sg14 +g18 +sbag21 +ag25 +ag29 +atRp37 +sg33 +g34 +sbsS'Name' +p38 +g2 +(g3 +g4 +(g38 +ttRp39 +(dp40 +S'Function' +p41 +g2 +(g3 +g4 +(g38 +g41 +ttRp42 +(dp43 +g11 +g12 +((ltRp44 +sg14 +g39 +sbsS'Exception' +p45 +g2 +(g3 +g4 +(g38 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g39 +sbsS'Tag' +p49 +g2 +(g3 +g4 +(g38 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g39 +sbsg8 +g2 +(g3 +g4 +(g38 +g8 +ttRp53 +(dp54 +g11 +g12 +((ltRp55 +sg14 +g39 +sbsg14 +g15 +sS'Pseudo' +p56 +g2 +(g3 +g4 +(g38 +g56 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g39 +sbsS'Attribute' +p60 +g2 +(g3 +g4 +(g38 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g39 +sbsS'Label' +p64 +g2 +(g3 +g4 +(g38 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g39 +sbsS'Blubb' +p68 +g2 +(g3 +g4 +(g38 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g39 +sbsS'Entity' +p72 +g2 +(g3 +g4 +(g38 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g39 +sbsS'Builtin' +p76 +g2 +(g3 +g4 +(g38 +g76 +ttRp77 +(dp78 +g11 +g12 +((lp79 +g2 +(g3 +g4 +(g38 +g76 +g56 +ttRp80 +(dp81 +g11 +g12 +((ltRp82 +sg14 +g77 +sbatRp83 +sg56 +g80 +sg14 +g39 +sbsS'Other' +p84 +g2 +(g3 +g4 +(g38 +g84 +ttRp85 +(dp86 +g11 +g12 +((ltRp87 +sg14 +g39 +sbsS'Identifier' +p88 +g2 +(g3 +g4 +(g38 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g39 +sbsS'Variable' +p92 +g2 +(g3 +g4 +(g38 +g92 +ttRp93 +(dp94 +g14 +g39 +sS'Global' +p95 +g2 +(g3 +g4 +(g38 +g92 +g95 +ttRp96 +(dp97 +g11 +g12 +((ltRp98 +sg14 +g93 +sbsS'Instance' +p99 +g2 +(g3 +g4 +(g38 +g92 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g93 +sbsS'Anonymous' +p103 +g2 +(g3 +g4 +(g38 +g92 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g93 +sbsg11 +g12 +((lp107 +g104 +ag100 +ag96 +ag2 +(g3 +g4 +(g38 +g92 +S'Class' +p108 +ttRp109 +(dp110 +g11 +g12 +((ltRp111 +sg14 +g93 +sbatRp112 +sg108 +g109 +sbsg11 +g12 +((lp113 +g2 +(g3 +g4 +(g38 +S'Decorator' +p114 +ttRp115 +(dp116 +g11 +g12 +((ltRp117 +sg14 +g39 +sbag61 +ag53 +ag57 +ag2 +(g3 +g4 +(g38 +S'Namespace' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g39 +sbag89 +ag77 +ag93 +ag85 +ag69 +ag73 +ag42 +ag2 +(g3 +g4 +(g38 +S'Property' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g39 +sbag65 +ag50 +ag46 +ag2 +(g3 +g4 +(g38 +g108 +ttRp126 +(dp127 +g11 +g12 +((ltRp128 +sg14 +g39 +sbatRp129 +sg122 +g123 +sg108 +g126 +sg114 +g115 +sg118 +g119 +sbsg5 +g6 +sS'Generic' +p130 +g2 +(g3 +g4 +(g130 +ttRp131 +(dp132 +S'Prompt' +p133 +g2 +(g3 +g4 +(g130 +g133 +ttRp134 +(dp135 +g11 +g12 +((ltRp136 +sg14 +g131 +sbsg14 +g15 +sS'Deleted' +p137 +g2 +(g3 +g4 +(g130 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g131 +sbsS'Traceback' +p141 +g2 +(g3 +g4 +(g130 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g131 +sbsS'Emph' +p145 +g2 +(g3 +g4 +(g130 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g131 +sbsS'Output' +p149 +g2 +(g3 +g4 +(g130 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g131 +sbsS'Subheading' +p153 +g2 +(g3 +g4 +(g130 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g131 +sbsS'Error' +p157 +g2 +(g3 +g4 +(g130 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g131 +sbsg11 +g12 +((lp161 +g150 +ag146 +ag158 +ag154 +ag142 +ag138 +ag2 +(g3 +g4 +(g130 +S'Heading' +p162 +ttRp163 +(dp164 +g11 +g12 +((ltRp165 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Inserted' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Strong' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g131 +sbag134 +atRp174 +sg170 +g171 +sg166 +g167 +sg162 +g163 +sbsS'Text' +p175 +g2 +(g3 +g4 +(g175 +ttRp176 +(dp177 +g11 +g12 +((lp178 +g2 +(g3 +g4 +(g175 +S'Symbol' +p179 +ttRp180 +(dp181 +g11 +g12 +((ltRp182 +sg14 +g176 +sbag2 +(g3 +g4 +(g175 +S'Whitespace' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g176 +sbatRp187 +sg179 +g180 +sg183 +g184 +sg14 +g15 +sbsS'Punctuation' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g11 +g12 +((lp191 +g2 +(g3 +g4 +(g188 +S'Indicator' +p192 +ttRp193 +(dp194 +g11 +g12 +((ltRp195 +sg14 +g189 +sbatRp196 +sg192 +g193 +sg14 +g15 +sbsS'Token' +p197 +g15 +sS'Number' +p198 +g2 +(g3 +g4 +(S'Literal' +p199 +g198 +ttRp200 +(dp201 +S'Bin' +p202 +g2 +(g3 +g4 +(g199 +g198 +g202 +ttRp203 +(dp204 +g11 +g12 +((ltRp205 +sg14 +g200 +sbsS'Binary' +p206 +g2 +(g3 +g4 +(g199 +g198 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g200 +sbsg14 +g2 +(g3 +g4 +(g199 +ttRp210 +(dp211 +S'String' +p212 +g2 +(g3 +g4 +(g199 +g212 +ttRp213 +(dp214 +S'Regex' +p215 +g2 +(g3 +g4 +(g199 +g212 +g215 +ttRp216 +(dp217 +g11 +g12 +((ltRp218 +sg14 +g213 +sbsS'Interpol' +p219 +g2 +(g3 +g4 +(g199 +g212 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g213 +sbsS'Regexp' +p223 +g2 +(g3 +g4 +(g199 +g212 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g213 +sbsg14 +g210 +sS'Heredoc' +p227 +g2 +(g3 +g4 +(g199 +g212 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g213 +sbsS'Double' +p231 +g2 +(g3 +g4 +(g199 +g212 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g213 +sbsg179 +g2 +(g3 +g4 +(g199 +g212 +g179 +ttRp235 +(dp236 +g11 +g12 +((ltRp237 +sg14 +g213 +sbsS'Escape' +p238 +g2 +(g3 +g4 +(g199 +g212 +g238 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g213 +sbsS'Character' +p242 +g2 +(g3 +g4 +(g199 +g212 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g213 +sbsS'Interp' +p246 +g2 +(g3 +g4 +(g199 +g212 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g213 +sbsS'Backtick' +p250 +g2 +(g3 +g4 +(g199 +g212 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g213 +sbsS'Char' +p254 +g2 +(g3 +g4 +(g199 +g212 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g213 +sbsg24 +g2 +(g3 +g4 +(g199 +g212 +g24 +ttRp258 +(dp259 +g11 +g12 +((ltRp260 +sg14 +g213 +sbsg84 +g2 +(g3 +g4 +(g199 +g212 +g84 +ttRp261 +(dp262 +g11 +g12 +((ltRp263 +sg14 +g213 +sbsS'Doc' +p264 +g2 +(g3 +g4 +(g199 +g212 +g264 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g213 +sbsg11 +g12 +((lp268 +g261 +ag2 +(g3 +g4 +(g199 +g212 +S'Atom' +p269 +ttRp270 +(dp271 +g11 +g12 +((ltRp272 +sg14 +g213 +sbag232 +ag255 +ag247 +ag265 +ag228 +ag251 +ag220 +ag235 +ag224 +ag216 +ag258 +ag243 +ag239 +atRp273 +sg269 +g270 +sbsg14 +g15 +sg198 +g200 +sS'Scalar' +p274 +g2 +(g3 +g4 +(g199 +g274 +ttRp275 +(dp276 +g11 +g12 +((lp277 +g2 +(g3 +g4 +(g199 +g274 +S'Plain' +p278 +ttRp279 +(dp280 +g11 +g12 +((ltRp281 +sg14 +g275 +sbatRp282 +sg14 +g210 +sg278 +g279 +sbsg84 +g2 +(g3 +g4 +(g199 +g84 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g210 +sbsS'Date' +p286 +g2 +(g3 +g4 +(g199 +g286 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g210 +sbsg11 +g12 +((lp290 +g287 +ag213 +ag283 +ag200 +ag275 +atRp291 +sbsS'Decimal' +p292 +g2 +(g3 +g4 +(g199 +g198 +g292 +ttRp293 +(dp294 +g11 +g12 +((ltRp295 +sg14 +g200 +sbsS'Float' +p296 +g2 +(g3 +g4 +(g199 +g198 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g200 +sbsS'Hex' +p300 +g2 +(g3 +g4 +(g199 +g198 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g200 +sbsS'Integer' +p304 +g2 +(g3 +g4 +(g199 +g198 +g304 +ttRp305 +(dp306 +g11 +g12 +((lp307 +g2 +(g3 +g4 +(g199 +g198 +g304 +S'Long' +p308 +ttRp309 +(dp310 +g11 +g12 +((ltRp311 +sg14 +g305 +sbatRp312 +sg308 +g309 +sg14 +g200 +sbsS'Octal' +p313 +g2 +(g3 +g4 +(g199 +g198 +g313 +ttRp314 +(dp315 +g11 +g12 +((ltRp316 +sg14 +g200 +sbsg11 +g12 +((lp317 +g203 +ag207 +ag314 +ag293 +ag2 +(g3 +g4 +(g199 +g198 +S'Oct' +p318 +ttRp319 +(dp320 +g11 +g12 +((ltRp321 +sg14 +g200 +sbag305 +ag297 +ag301 +atRp322 +sg318 +g319 +sbsg199 +g210 +sg84 +g2 +(g3 +g4 +(g84 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g15 +sbsg157 +g2 +(g3 +g4 +(g157 +ttRp326 +(dp327 +g11 +g12 +((ltRp328 +sg14 +g15 +sbsS'Operator' +p329 +g2 +(g3 +g4 +(g329 +ttRp330 +(dp331 +g11 +g12 +((lp332 +g2 +(g3 +g4 +(g329 +S'Word' +p333 +ttRp334 +(dp335 +g11 +g12 +((ltRp336 +sg14 +g330 +sbatRp337 +sg333 +g334 +sg14 +g15 +sbsg11 +g12 +((lp338 +g18 +ag326 +ag131 +ag176 +ag39 +ag189 +ag6 +ag210 +ag330 +ag323 +atRp339 +sg212 +g213 +sbsg118 +g2 +(g3 +g4 +(g5 +g118 +ttRp340 +(dp341 +g11 +g12 +((ltRp342 +sg14 +g6 +sbsg56 +g2 +(g3 +g4 +(g5 +g56 +ttRp343 +(dp344 +g11 +g12 +((ltRp345 +sg14 +g6 +sbsS'Reserved' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Declaration' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsg92 +g2 +(g3 +g4 +(g5 +g92 +ttRp354 +(dp355 +g11 +g12 +((ltRp356 +sg14 +g6 +sbsg11 +g12 +((lp357 +g9 +ag347 +ag2 +(g3 +g4 +(g5 +S'Type' +p358 +ttRp359 +(dp360 +g11 +g12 +((ltRp361 +sg14 +g6 +sbag351 +ag354 +ag340 +ag343 +atRp362 +sg358 +g359 +sbVtell +p363 +tp364 +a(g176 +V +tp365 +a(g77 +Vapplication +p366 +tp367 +a(g176 +V +tp368 +a(g232 +V"System Events" +p369 +tp370 +a(g176 +V\u000a +p371 +tp372 +a(g6 +Vtell +p373 +tp374 +a(g176 +V +tp375 +a(g93 +Vnetwork +p376 +tp377 +a(g176 +V +tp378 +a(g93 +Vpreferences +p379 +tp380 +a(g176 +V\u000a +p381 +tp382 +a(g6 +Vtell +p383 +tp384 +a(g176 +V +tp385 +a(g93 +Vcurrent +p386 +tp387 +a(g176 +V +tp388 +a(g61 +Vlocation +p389 +tp390 +a(g176 +V\u000a +p391 +tp392 +a(g6 +Vset +p393 +tp394 +a(g176 +V +tp395 +a(g93 +VaPPPoEService +p396 +tp397 +a(g176 +V +tp398 +a(g6 +Vto +p399 +tp400 +a(g176 +V +tp401 +a(g93 +Va +tp402 +a(g176 +V +tp403 +a(g334 +Vreference to +p404 +tp405 +a(g176 +V +tp406 +a(g189 +V( +tp407 +a(g77 +Vfirst +p408 +tp409 +a(g176 +V +tp410 +a(g93 +Vservice +p411 +tp412 +a(g176 +V +tp413 +a(g77 +Vwhose +p414 +tp415 +a(g176 +V +tp416 +a(g93 +Vkind +p417 +tp418 +a(g176 +V +tp419 +a(g334 +Vis +p420 +tp421 +a(g176 +V +tp422 +a(g305 +V10 +p423 +tp424 +a(g189 +V) +tp425 +a(g176 +V\u000a +p426 +tp427 +a(g6 +Vif +p428 +tp429 +a(g176 +V +tp430 +a(g77 +Vexists +p431 +tp432 +a(g176 +V +tp433 +a(g93 +VaPPPoEService +p434 +tp435 +a(g176 +V +tp436 +a(g6 +Vthen +p437 +tp438 +a(g176 +V\u000a +p439 +tp440 +a(g93 +Vconnect +p441 +tp442 +a(g176 +V +tp443 +a(g93 +VaPPPoEService +p444 +tp445 +a(g176 +V\u000a +p446 +tp447 +a(g6 +Vend +p448 +tp449 +a(g176 +V +tp450 +a(g6 +Vif +p451 +tp452 +a(g176 +V\u000a +p453 +tp454 +a(g6 +Vend +p455 +tp456 +a(g176 +V +tp457 +a(g6 +Vtell +p458 +tp459 +a(g176 +V\u000a +p460 +tp461 +a(g6 +Vend +p462 +tp463 +a(g176 +V +tp464 +a(g6 +Vtell +p465 +tp466 +a(g176 +V\u000a +tp467 +a(g6 +Vend +p468 +tp469 +a(g176 +V +tp470 +a(g6 +Vtell +p471 +tp472 +a(g176 +V\u000a +tp473 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/py3_test.txt b/tests/examplefiles/output/py3_test.txt new file mode 100644 index 0000000..257c093 --- /dev/null +++ b/tests/examplefiles/output/py3_test.txt @@ -0,0 +1,1374 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbVclass Käse:\u000a pass\u000a +p367 +tp368 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pycon_test.pycon b/tests/examplefiles/output/pycon_test.pycon new file mode 100644 index 0000000..d0032d7 --- /dev/null +++ b/tests/examplefiles/output/pycon_test.pycon @@ -0,0 +1,1497 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Punctuation' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Indicator' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbatRp16 +sg11 +g12 +sg15 +g2 +(g3 +g4 +(ttRp17 +(dp18 +S'Comment' +p19 +g2 +(g3 +g4 +(g19 +ttRp20 +(dp21 +g15 +g17 +sS'Preproc' +p22 +g2 +(g3 +g4 +(g19 +g22 +ttRp23 +(dp24 +g8 +g9 +((ltRp25 +sg15 +g20 +sbsS'Single' +p26 +g2 +(g3 +g4 +(g19 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g20 +sbsS'Multiline' +p30 +g2 +(g3 +g4 +(g19 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g20 +sbsg8 +g9 +((lp34 +g2 +(g3 +g4 +(g19 +S'Special' +p35 +ttRp36 +(dp37 +g8 +g9 +((ltRp38 +sg15 +g20 +sbag23 +ag27 +ag31 +atRp39 +sg35 +g36 +sbsS'Name' +p40 +g2 +(g3 +g4 +(g40 +ttRp41 +(dp42 +S'Function' +p43 +g2 +(g3 +g4 +(g40 +g43 +ttRp44 +(dp45 +g8 +g9 +((ltRp46 +sg15 +g41 +sbsS'Exception' +p47 +g2 +(g3 +g4 +(g40 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g41 +sbsS'Tag' +p51 +g2 +(g3 +g4 +(g40 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g41 +sbsS'Constant' +p55 +g2 +(g3 +g4 +(g40 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g41 +sbsg15 +g17 +sS'Pseudo' +p59 +g2 +(g3 +g4 +(g40 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g41 +sbsS'Attribute' +p63 +g2 +(g3 +g4 +(g40 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g41 +sbsS'Label' +p67 +g2 +(g3 +g4 +(g40 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g41 +sbsS'Blubb' +p71 +g2 +(g3 +g4 +(g40 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g41 +sbsS'Entity' +p75 +g2 +(g3 +g4 +(g40 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g41 +sbsS'Builtin' +p79 +g2 +(g3 +g4 +(g40 +g79 +ttRp80 +(dp81 +g8 +g9 +((lp82 +g2 +(g3 +g4 +(g40 +g79 +g59 +ttRp83 +(dp84 +g8 +g9 +((ltRp85 +sg15 +g80 +sbatRp86 +sg59 +g83 +sg15 +g41 +sbsS'Other' +p87 +g2 +(g3 +g4 +(g40 +g87 +ttRp88 +(dp89 +g8 +g9 +((ltRp90 +sg15 +g41 +sbsS'Identifier' +p91 +g2 +(g3 +g4 +(g40 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g41 +sbsS'Variable' +p95 +g2 +(g3 +g4 +(g40 +g95 +ttRp96 +(dp97 +g15 +g41 +sS'Global' +p98 +g2 +(g3 +g4 +(g40 +g95 +g98 +ttRp99 +(dp100 +g8 +g9 +((ltRp101 +sg15 +g96 +sbsS'Instance' +p102 +g2 +(g3 +g4 +(g40 +g95 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g96 +sbsS'Anonymous' +p106 +g2 +(g3 +g4 +(g40 +g95 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g96 +sbsg8 +g9 +((lp110 +g107 +ag103 +ag99 +ag2 +(g3 +g4 +(g40 +g95 +S'Class' +p111 +ttRp112 +(dp113 +g8 +g9 +((ltRp114 +sg15 +g96 +sbatRp115 +sg111 +g112 +sbsg8 +g9 +((lp116 +g2 +(g3 +g4 +(g40 +S'Decorator' +p117 +ttRp118 +(dp119 +g8 +g9 +((ltRp120 +sg15 +g41 +sbag64 +ag56 +ag60 +ag2 +(g3 +g4 +(g40 +S'Namespace' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g41 +sbag92 +ag80 +ag96 +ag88 +ag72 +ag76 +ag44 +ag2 +(g3 +g4 +(g40 +S'Property' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g41 +sbag68 +ag52 +ag48 +ag2 +(g3 +g4 +(g40 +g111 +ttRp129 +(dp130 +g8 +g9 +((ltRp131 +sg15 +g41 +sbatRp132 +sg125 +g126 +sg111 +g129 +sg117 +g118 +sg121 +g122 +sbsS'Keyword' +p133 +g2 +(g3 +g4 +(g133 +ttRp134 +(dp135 +S'Pervasive' +p136 +g2 +(g3 +g4 +(g133 +g136 +ttRp137 +(dp138 +g8 +g9 +((ltRp139 +sg15 +g134 +sbsg55 +g2 +(g3 +g4 +(g133 +g55 +ttRp140 +(dp141 +g8 +g9 +((ltRp142 +sg15 +g134 +sbsg15 +g17 +sg121 +g2 +(g3 +g4 +(g133 +g121 +ttRp143 +(dp144 +g8 +g9 +((ltRp145 +sg15 +g134 +sbsg59 +g2 +(g3 +g4 +(g133 +g59 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g134 +sbsS'Reserved' +p149 +g2 +(g3 +g4 +(g133 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g134 +sbsS'Declaration' +p153 +g2 +(g3 +g4 +(g133 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g134 +sbsg95 +g2 +(g3 +g4 +(g133 +g95 +ttRp157 +(dp158 +g8 +g9 +((ltRp159 +sg15 +g134 +sbsg8 +g9 +((lp160 +g140 +ag150 +ag2 +(g3 +g4 +(g133 +S'Type' +p161 +ttRp162 +(dp163 +g8 +g9 +((ltRp164 +sg15 +g134 +sbag137 +ag154 +ag157 +ag143 +ag146 +atRp165 +sg161 +g162 +sbsS'Generic' +p166 +g2 +(g3 +g4 +(g166 +ttRp167 +(dp168 +S'Prompt' +p169 +g2 +(g3 +g4 +(g166 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g167 +sbsg15 +g17 +sS'Deleted' +p173 +g2 +(g3 +g4 +(g166 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g167 +sbsS'Traceback' +p177 +g2 +(g3 +g4 +(g166 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g167 +sbsS'Emph' +p181 +g2 +(g3 +g4 +(g166 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g167 +sbsS'Output' +p185 +g2 +(g3 +g4 +(g166 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g167 +sbsS'Subheading' +p189 +g2 +(g3 +g4 +(g166 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g167 +sbsS'Error' +p193 +g2 +(g3 +g4 +(g166 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g167 +sbsg8 +g9 +((lp197 +g186 +ag182 +ag194 +ag190 +ag178 +ag174 +ag2 +(g3 +g4 +(g166 +S'Heading' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Inserted' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Strong' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g167 +sbag170 +atRp210 +sg206 +g207 +sg202 +g203 +sg198 +g199 +sbsS'Text' +p211 +g2 +(g3 +g4 +(g211 +ttRp212 +(dp213 +g8 +g9 +((lp214 +g2 +(g3 +g4 +(g211 +S'Symbol' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g212 +sbag2 +(g3 +g4 +(g211 +S'Whitespace' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g212 +sbatRp223 +sg215 +g216 +sg219 +g220 +sg15 +g17 +sbsg5 +g6 +sS'Token' +p224 +g17 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg215 +g2 +(g3 +g4 +(g226 +g239 +g215 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg26 +g2 +(g3 +g4 +(g226 +g239 +g26 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg87 +g2 +(g3 +g4 +(g226 +g239 +g87 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g17 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg87 +g2 +(g3 +g4 +(g226 +g87 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg87 +g2 +(g3 +g4 +(g87 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g17 +sbsg193 +g2 +(g3 +g4 +(g193 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g17 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g17 +sbsg8 +g9 +((lp365 +g20 +ag353 +ag167 +ag212 +ag41 +ag6 +ag134 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +tp367 +a(g170 +V>>> +p368 +tp369 +a(g6 +V: +tp370 +a(g212 +V\u000a +tp371 +a(g178 +V +tp372 +a(g212 +V File +p373 +tp374 +a(g80 +V"" +p375 +tp376 +a(g212 +V, line +p377 +tp378 +a(g227 +V1 +tp379 +a(g212 +V\u000a +tp380 +a(g212 +V +p381 +tp382 +a(g6 +V: +tp383 +a(g212 +V\u000a +tp384 +a(g212 +V +p385 +tp386 +a(g357 +V^ +tp387 +a(g212 +V\u000a +tp388 +a(g129 +VSyntaxError +p389 +tp390 +a(g212 +V: +p391 +tp392 +a(g92 +Vinvalid syntax +p393 +tp394 +a(g212 +V\u000a +tp395 +a(g212 +V +tp396 +a(g170 +V>>> +p397 +tp398 +a(g212 +V\u000a +tp399 +a(g129 +VKeyboardInterrupt\u000a +p400 +tp401 +a(g186 +V>>>\u000a +p402 +tp403 +a(g186 +V\u000a +tp404 +a(g332 +V +tp405 +a(g170 +V>>> +p406 +tp407 +a(g332 +V1 +tp408 +a(g357 +V/ +tp409 +a(g332 +V0 +tp410 +a(g212 +V\u000a +tp411 +a(g178 +VTraceback (most recent call last):\u000a +p412 +tp413 +a(g20 +V... +p414 +tp415 +a(g212 +V\u000a +tp416 +a(g129 +VZeroDivisionError +p417 +tp418 +a(g212 +V\u000a +tp419 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/pytb_test2.pytb b/tests/examplefiles/output/pytb_test2.pytb new file mode 100644 index 0000000..64385ec --- /dev/null +++ b/tests/examplefiles/output/pytb_test2.pytb @@ -0,0 +1,1391 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Generic' +p5 +S'Traceback' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Prompt' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g12 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g22 +sbsg9 +g10 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g9 +g10 +((ltRp40 +sg12 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g43 +sbsS'Constant' +p57 +g2 +(g3 +g4 +(g42 +g57 +ttRp58 +(dp59 +g9 +g10 +((ltRp60 +sg12 +g43 +sbsg12 +g19 +sS'Pseudo' +p61 +g2 +(g3 +g4 +(g42 +g61 +ttRp62 +(dp63 +g9 +g10 +((ltRp64 +sg12 +g43 +sbsS'Attribute' +p65 +g2 +(g3 +g4 +(g42 +g65 +ttRp66 +(dp67 +g9 +g10 +((ltRp68 +sg12 +g43 +sbsS'Label' +p69 +g2 +(g3 +g4 +(g42 +g69 +ttRp70 +(dp71 +g9 +g10 +((ltRp72 +sg12 +g43 +sbsS'Blubb' +p73 +g2 +(g3 +g4 +(g42 +g73 +ttRp74 +(dp75 +g9 +g10 +((ltRp76 +sg12 +g43 +sbsS'Entity' +p77 +g2 +(g3 +g4 +(g42 +g77 +ttRp78 +(dp79 +g9 +g10 +((ltRp80 +sg12 +g43 +sbsS'Builtin' +p81 +g2 +(g3 +g4 +(g42 +g81 +ttRp82 +(dp83 +g9 +g10 +((lp84 +g2 +(g3 +g4 +(g42 +g81 +g61 +ttRp85 +(dp86 +g9 +g10 +((ltRp87 +sg12 +g82 +sbatRp88 +sg61 +g85 +sg12 +g43 +sbsS'Other' +p89 +g2 +(g3 +g4 +(g42 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g43 +sbsS'Identifier' +p93 +g2 +(g3 +g4 +(g42 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g43 +sbsS'Variable' +p97 +g2 +(g3 +g4 +(g42 +g97 +ttRp98 +(dp99 +g12 +g43 +sS'Global' +p100 +g2 +(g3 +g4 +(g42 +g97 +g100 +ttRp101 +(dp102 +g9 +g10 +((ltRp103 +sg12 +g98 +sbsS'Instance' +p104 +g2 +(g3 +g4 +(g42 +g97 +g104 +ttRp105 +(dp106 +g9 +g10 +((ltRp107 +sg12 +g98 +sbsS'Anonymous' +p108 +g2 +(g3 +g4 +(g42 +g97 +g108 +ttRp109 +(dp110 +g9 +g10 +((ltRp111 +sg12 +g98 +sbsg9 +g10 +((lp112 +g109 +ag105 +ag101 +ag2 +(g3 +g4 +(g42 +g97 +S'Class' +p113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g98 +sbatRp117 +sg113 +g114 +sbsg9 +g10 +((lp118 +g2 +(g3 +g4 +(g42 +S'Decorator' +p119 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g43 +sbag66 +ag58 +ag62 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p123 +ttRp124 +(dp125 +g9 +g10 +((ltRp126 +sg12 +g43 +sbag94 +ag82 +ag98 +ag90 +ag74 +ag78 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p127 +ttRp128 +(dp129 +g9 +g10 +((ltRp130 +sg12 +g43 +sbag70 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g113 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g43 +sbatRp134 +sg127 +g128 +sg113 +g131 +sg119 +g120 +sg123 +g124 +sbsS'Keyword' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +g57 +g2 +(g3 +g4 +(g135 +g57 +ttRp138 +(dp139 +g9 +g10 +((ltRp140 +sg12 +g136 +sbsg12 +g19 +sg123 +g2 +(g3 +g4 +(g135 +g123 +ttRp141 +(dp142 +g9 +g10 +((ltRp143 +sg12 +g136 +sbsg61 +g2 +(g3 +g4 +(g135 +g61 +ttRp144 +(dp145 +g9 +g10 +((ltRp146 +sg12 +g136 +sbsS'Reserved' +p147 +g2 +(g3 +g4 +(g135 +g147 +ttRp148 +(dp149 +g9 +g10 +((ltRp150 +sg12 +g136 +sbsS'Declaration' +p151 +g2 +(g3 +g4 +(g135 +g151 +ttRp152 +(dp153 +g9 +g10 +((ltRp154 +sg12 +g136 +sbsg97 +g2 +(g3 +g4 +(g135 +g97 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g136 +sbsg9 +g10 +((lp158 +g138 +ag148 +ag2 +(g3 +g4 +(g135 +S'Type' +p159 +ttRp160 +(dp161 +g9 +g10 +((ltRp162 +sg12 +g136 +sbag152 +ag155 +ag141 +ag144 +atRp163 +sg159 +g160 +sbsg5 +g13 +sS'Text' +p164 +g2 +(g3 +g4 +(g164 +ttRp165 +(dp166 +g9 +g10 +((lp167 +g2 +(g3 +g4 +(g164 +S'Symbol' +p168 +ttRp169 +(dp170 +g9 +g10 +((ltRp171 +sg12 +g165 +sbag2 +(g3 +g4 +(g164 +S'Whitespace' +p172 +ttRp173 +(dp174 +g9 +g10 +((ltRp175 +sg12 +g165 +sbatRp176 +sg168 +g169 +sg172 +g173 +sg12 +g19 +sbsS'Punctuation' +p177 +g2 +(g3 +g4 +(g177 +ttRp178 +(dp179 +g9 +g10 +((lp180 +g2 +(g3 +g4 +(g177 +S'Indicator' +p181 +ttRp182 +(dp183 +g9 +g10 +((ltRp184 +sg12 +g178 +sbatRp185 +sg181 +g182 +sg12 +g19 +sbsS'Token' +p186 +g19 +sS'Number' +p187 +g2 +(g3 +g4 +(S'Literal' +p188 +g187 +ttRp189 +(dp190 +S'Bin' +p191 +g2 +(g3 +g4 +(g188 +g187 +g191 +ttRp192 +(dp193 +g9 +g10 +((ltRp194 +sg12 +g189 +sbsS'Binary' +p195 +g2 +(g3 +g4 +(g188 +g187 +g195 +ttRp196 +(dp197 +g9 +g10 +((ltRp198 +sg12 +g189 +sbsg12 +g2 +(g3 +g4 +(g188 +ttRp199 +(dp200 +S'String' +p201 +g2 +(g3 +g4 +(g188 +g201 +ttRp202 +(dp203 +S'Regex' +p204 +g2 +(g3 +g4 +(g188 +g201 +g204 +ttRp205 +(dp206 +g9 +g10 +((ltRp207 +sg12 +g202 +sbsS'Interpol' +p208 +g2 +(g3 +g4 +(g188 +g201 +g208 +ttRp209 +(dp210 +g9 +g10 +((ltRp211 +sg12 +g202 +sbsS'Regexp' +p212 +g2 +(g3 +g4 +(g188 +g201 +g212 +ttRp213 +(dp214 +g9 +g10 +((ltRp215 +sg12 +g202 +sbsg12 +g199 +sS'Heredoc' +p216 +g2 +(g3 +g4 +(g188 +g201 +g216 +ttRp217 +(dp218 +g9 +g10 +((ltRp219 +sg12 +g202 +sbsS'Double' +p220 +g2 +(g3 +g4 +(g188 +g201 +g220 +ttRp221 +(dp222 +g9 +g10 +((ltRp223 +sg12 +g202 +sbsg168 +g2 +(g3 +g4 +(g188 +g201 +g168 +ttRp224 +(dp225 +g9 +g10 +((ltRp226 +sg12 +g202 +sbsS'Escape' +p227 +g2 +(g3 +g4 +(g188 +g201 +g227 +ttRp228 +(dp229 +g9 +g10 +((ltRp230 +sg12 +g202 +sbsS'Character' +p231 +g2 +(g3 +g4 +(g188 +g201 +g231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g202 +sbsS'Interp' +p235 +g2 +(g3 +g4 +(g188 +g201 +g235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g202 +sbsS'Backtick' +p239 +g2 +(g3 +g4 +(g188 +g201 +g239 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g202 +sbsS'Char' +p243 +g2 +(g3 +g4 +(g188 +g201 +g243 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g202 +sbsg28 +g2 +(g3 +g4 +(g188 +g201 +g28 +ttRp247 +(dp248 +g9 +g10 +((ltRp249 +sg12 +g202 +sbsg89 +g2 +(g3 +g4 +(g188 +g201 +g89 +ttRp250 +(dp251 +g9 +g10 +((ltRp252 +sg12 +g202 +sbsS'Doc' +p253 +g2 +(g3 +g4 +(g188 +g201 +g253 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g202 +sbsg9 +g10 +((lp257 +g250 +ag2 +(g3 +g4 +(g188 +g201 +S'Atom' +p258 +ttRp259 +(dp260 +g9 +g10 +((ltRp261 +sg12 +g202 +sbag221 +ag244 +ag236 +ag254 +ag217 +ag240 +ag209 +ag224 +ag213 +ag205 +ag247 +ag232 +ag228 +atRp262 +sg258 +g259 +sbsg12 +g19 +sg187 +g189 +sS'Scalar' +p263 +g2 +(g3 +g4 +(g188 +g263 +ttRp264 +(dp265 +g9 +g10 +((lp266 +g2 +(g3 +g4 +(g188 +g263 +S'Plain' +p267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g264 +sbatRp271 +sg12 +g199 +sg267 +g268 +sbsg89 +g2 +(g3 +g4 +(g188 +g89 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g199 +sbsS'Date' +p275 +g2 +(g3 +g4 +(g188 +g275 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g199 +sbsg9 +g10 +((lp279 +g276 +ag202 +ag272 +ag189 +ag264 +atRp280 +sbsS'Decimal' +p281 +g2 +(g3 +g4 +(g188 +g187 +g281 +ttRp282 +(dp283 +g9 +g10 +((ltRp284 +sg12 +g189 +sbsS'Float' +p285 +g2 +(g3 +g4 +(g188 +g187 +g285 +ttRp286 +(dp287 +g9 +g10 +((ltRp288 +sg12 +g189 +sbsS'Hex' +p289 +g2 +(g3 +g4 +(g188 +g187 +g289 +ttRp290 +(dp291 +g9 +g10 +((ltRp292 +sg12 +g189 +sbsS'Integer' +p293 +g2 +(g3 +g4 +(g188 +g187 +g293 +ttRp294 +(dp295 +g9 +g10 +((lp296 +g2 +(g3 +g4 +(g188 +g187 +g293 +S'Long' +p297 +ttRp298 +(dp299 +g9 +g10 +((ltRp300 +sg12 +g294 +sbatRp301 +sg297 +g298 +sg12 +g189 +sbsS'Octal' +p302 +g2 +(g3 +g4 +(g188 +g187 +g302 +ttRp303 +(dp304 +g9 +g10 +((ltRp305 +sg12 +g189 +sbsg9 +g10 +((lp306 +g192 +ag196 +ag303 +ag282 +ag2 +(g3 +g4 +(g188 +g187 +S'Oct' +p307 +ttRp308 +(dp309 +g9 +g10 +((ltRp310 +sg12 +g189 +sbag294 +ag286 +ag290 +atRp311 +sg307 +g308 +sbsg188 +g199 +sg89 +g2 +(g3 +g4 +(g89 +ttRp312 +(dp313 +g9 +g10 +((ltRp314 +sg12 +g19 +sbsS'Error' +p315 +g2 +(g3 +g4 +(g315 +ttRp316 +(dp317 +g9 +g10 +((ltRp318 +sg12 +g19 +sbsS'Operator' +p319 +g2 +(g3 +g4 +(g319 +ttRp320 +(dp321 +g9 +g10 +((lp322 +g2 +(g3 +g4 +(g319 +S'Word' +p323 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g320 +sbatRp327 +sg323 +g324 +sg12 +g19 +sbsg9 +g10 +((lp328 +g22 +ag316 +ag13 +ag165 +ag43 +ag178 +ag136 +ag199 +ag320 +ag312 +atRp329 +sg201 +g202 +sbsS'Deleted' +p330 +g2 +(g3 +g4 +(g5 +g330 +ttRp331 +(dp332 +g9 +g10 +((ltRp333 +sg12 +g13 +sbsg6 +g7 +sS'Emph' +p334 +g2 +(g3 +g4 +(g5 +g334 +ttRp335 +(dp336 +g9 +g10 +((ltRp337 +sg12 +g13 +sbsS'Output' +p338 +g2 +(g3 +g4 +(g5 +g338 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g13 +sbsS'Subheading' +p342 +g2 +(g3 +g4 +(g5 +g342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g13 +sbsg315 +g2 +(g3 +g4 +(g5 +g315 +ttRp346 +(dp347 +g9 +g10 +((ltRp348 +sg12 +g13 +sbsg9 +g10 +((lp349 +g339 +ag335 +ag346 +ag343 +ag7 +ag331 +ag2 +(g3 +g4 +(g5 +S'Heading' +p350 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbag2 +(g3 +g4 +(g5 +S'Inserted' +p354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbag2 +(g3 +g4 +(g5 +S'Strong' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag16 +atRp362 +sg358 +g359 +sg354 +g355 +sg350 +g351 +sbsbV +tp363 +a(g165 +V File +p364 +tp365 +a(g82 +V"temp.py" +p366 +tp367 +a(g165 +V, line +p368 +tp369 +a(g189 +V1 +tp370 +a(g165 +V\u000a +tp371 +a(g131 +VSyntaxError +p372 +tp373 +a(g165 +V: +p374 +tp375 +a(g94 +VNon-ASCII character '\u005cxc3' in file temp.py on line 1, but no encoding declared; see http://www.python.org/peps/pep-0263.html for details +p376 +tp377 +a(g165 +V\u000a +tp378 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/python25-bsd.mak b/tests/examplefiles/output/python25-bsd.mak new file mode 100644 index 0000000..a5c71f8 --- /dev/null +++ b/tests/examplefiles/output/python25-bsd.mak @@ -0,0 +1,6573 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +g59 +g2 +(g3 +g4 +(g137 +g59 +ttRp140 +(dp141 +g8 +g9 +((ltRp142 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp143 +(dp144 +g8 +g9 +((ltRp145 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g138 +sbsS'Reserved' +p149 +g2 +(g3 +g4 +(g137 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Declaration' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp157 +(dp158 +g8 +g9 +((ltRp159 +sg15 +g138 +sbsg8 +g9 +((lp160 +g140 +ag150 +ag2 +(g3 +g4 +(g137 +S'Type' +p161 +ttRp162 +(dp163 +g8 +g9 +((ltRp164 +sg15 +g138 +sbag154 +ag157 +ag143 +ag146 +atRp165 +sg161 +g162 +sbsS'Generic' +p166 +g2 +(g3 +g4 +(g166 +ttRp167 +(dp168 +S'Prompt' +p169 +g2 +(g3 +g4 +(g166 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g167 +sbsg15 +g21 +sS'Deleted' +p173 +g2 +(g3 +g4 +(g166 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g167 +sbsS'Traceback' +p177 +g2 +(g3 +g4 +(g166 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g167 +sbsS'Emph' +p181 +g2 +(g3 +g4 +(g166 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g167 +sbsS'Output' +p185 +g2 +(g3 +g4 +(g166 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g167 +sbsS'Subheading' +p189 +g2 +(g3 +g4 +(g166 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g167 +sbsS'Error' +p193 +g2 +(g3 +g4 +(g166 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g167 +sbsg8 +g9 +((lp197 +g186 +ag182 +ag194 +ag190 +ag178 +ag174 +ag2 +(g3 +g4 +(g166 +S'Heading' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Inserted' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Strong' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g167 +sbag170 +atRp210 +sg206 +g207 +sg202 +g203 +sg198 +g199 +sbsg5 +g6 +sS'Punctuation' +p211 +g2 +(g3 +g4 +(g211 +ttRp212 +(dp213 +g8 +g9 +((lp214 +g2 +(g3 +g4 +(g211 +S'Indicator' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g212 +sbatRp219 +sg215 +g216 +sg15 +g21 +sbsS'Token' +p220 +g21 +sS'Number' +p221 +g2 +(g3 +g4 +(S'Literal' +p222 +g221 +ttRp223 +(dp224 +S'Bin' +p225 +g2 +(g3 +g4 +(g222 +g221 +g225 +ttRp226 +(dp227 +g8 +g9 +((ltRp228 +sg15 +g223 +sbsS'Binary' +p229 +g2 +(g3 +g4 +(g222 +g221 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g223 +sbsg15 +g2 +(g3 +g4 +(g222 +ttRp233 +(dp234 +S'String' +p235 +g2 +(g3 +g4 +(g222 +g235 +ttRp236 +(dp237 +S'Regex' +p238 +g2 +(g3 +g4 +(g222 +g235 +g238 +ttRp239 +(dp240 +g8 +g9 +((ltRp241 +sg15 +g236 +sbsS'Interpol' +p242 +g2 +(g3 +g4 +(g222 +g235 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g236 +sbsS'Regexp' +p246 +g2 +(g3 +g4 +(g222 +g235 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g236 +sbsg15 +g233 +sS'Heredoc' +p250 +g2 +(g3 +g4 +(g222 +g235 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g236 +sbsS'Double' +p254 +g2 +(g3 +g4 +(g222 +g235 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g236 +sbsg11 +g2 +(g3 +g4 +(g222 +g235 +g11 +ttRp258 +(dp259 +g8 +g9 +((ltRp260 +sg15 +g236 +sbsS'Escape' +p261 +g2 +(g3 +g4 +(g222 +g235 +g261 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g236 +sbsS'Character' +p265 +g2 +(g3 +g4 +(g222 +g235 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g236 +sbsS'Interp' +p269 +g2 +(g3 +g4 +(g222 +g235 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g236 +sbsS'Backtick' +p273 +g2 +(g3 +g4 +(g222 +g235 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g236 +sbsS'Char' +p277 +g2 +(g3 +g4 +(g222 +g235 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g236 +sbsg30 +g2 +(g3 +g4 +(g222 +g235 +g30 +ttRp281 +(dp282 +g8 +g9 +((ltRp283 +sg15 +g236 +sbsg91 +g2 +(g3 +g4 +(g222 +g235 +g91 +ttRp284 +(dp285 +g8 +g9 +((ltRp286 +sg15 +g236 +sbsS'Doc' +p287 +g2 +(g3 +g4 +(g222 +g235 +g287 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g236 +sbsg8 +g9 +((lp291 +g284 +ag2 +(g3 +g4 +(g222 +g235 +S'Atom' +p292 +ttRp293 +(dp294 +g8 +g9 +((ltRp295 +sg15 +g236 +sbag255 +ag278 +ag270 +ag288 +ag251 +ag274 +ag243 +ag258 +ag247 +ag239 +ag281 +ag266 +ag262 +atRp296 +sg292 +g293 +sbsg15 +g21 +sg221 +g223 +sS'Scalar' +p297 +g2 +(g3 +g4 +(g222 +g297 +ttRp298 +(dp299 +g8 +g9 +((lp300 +g2 +(g3 +g4 +(g222 +g297 +S'Plain' +p301 +ttRp302 +(dp303 +g8 +g9 +((ltRp304 +sg15 +g298 +sbatRp305 +sg15 +g233 +sg301 +g302 +sbsg91 +g2 +(g3 +g4 +(g222 +g91 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g233 +sbsS'Date' +p309 +g2 +(g3 +g4 +(g222 +g309 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g233 +sbsg8 +g9 +((lp313 +g310 +ag236 +ag306 +ag223 +ag298 +atRp314 +sbsS'Decimal' +p315 +g2 +(g3 +g4 +(g222 +g221 +g315 +ttRp316 +(dp317 +g8 +g9 +((ltRp318 +sg15 +g223 +sbsS'Float' +p319 +g2 +(g3 +g4 +(g222 +g221 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g223 +sbsS'Hex' +p323 +g2 +(g3 +g4 +(g222 +g221 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g223 +sbsS'Integer' +p327 +g2 +(g3 +g4 +(g222 +g221 +g327 +ttRp328 +(dp329 +g8 +g9 +((lp330 +g2 +(g3 +g4 +(g222 +g221 +g327 +S'Long' +p331 +ttRp332 +(dp333 +g8 +g9 +((ltRp334 +sg15 +g328 +sbatRp335 +sg331 +g332 +sg15 +g223 +sbsS'Octal' +p336 +g2 +(g3 +g4 +(g222 +g221 +g336 +ttRp337 +(dp338 +g8 +g9 +((ltRp339 +sg15 +g223 +sbsg8 +g9 +((lp340 +g226 +ag230 +ag337 +ag316 +ag2 +(g3 +g4 +(g222 +g221 +S'Oct' +p341 +ttRp342 +(dp343 +g8 +g9 +((ltRp344 +sg15 +g223 +sbag328 +ag320 +ag324 +atRp345 +sg341 +g342 +sbsg222 +g233 +sg91 +g2 +(g3 +g4 +(g91 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g21 +sbsg193 +g2 +(g3 +g4 +(g193 +ttRp349 +(dp350 +g8 +g9 +((ltRp351 +sg15 +g21 +sbsS'Operator' +p352 +g2 +(g3 +g4 +(g352 +ttRp353 +(dp354 +g8 +g9 +((lp355 +g2 +(g3 +g4 +(g352 +S'Word' +p356 +ttRp357 +(dp358 +g8 +g9 +((ltRp359 +sg15 +g353 +sbatRp360 +sg356 +g357 +sg15 +g21 +sbsg8 +g9 +((lp361 +g24 +ag349 +ag167 +ag6 +ag45 +ag212 +ag138 +ag233 +ag353 +ag346 +atRp362 +sg235 +g236 +sbsbV +tp363 +a(g24 +V# New ports collection makefile for: python25\u000a +p364 +tp365 +a(g6 +V +tp366 +a(g24 +V# Date created: 3 July 2003\u000a +p367 +tp368 +a(g6 +V +tp369 +a(g24 +V# Whom: Hye-Shik Chang \u000a +p370 +tp371 +a(g6 +V +tp372 +a(g24 +V#\u000a +p373 +tp374 +a(g6 +V +tp375 +a(g24 +V# $FreeBSD: ports/lang/python25/Makefile,v 1.145 2007/10/03 23:22:04 edwin Exp $\u000a +p376 +tp377 +a(g6 +V\u000a +tp378 +a(g100 +VPORTNAME +p379 +tp380 +a(g353 +V= +tp381 +a(g6 +V +tp382 +a(g6 +Vpython25 +p383 +tp384 +a(g6 +V\u000a +tp385 +a(g100 +VPORTVERSION +p386 +tp387 +a(g353 +V= +tp388 +a(g6 +V +tp389 +a(g6 +V2.5.1 +p390 +tp391 +a(g6 +V\u000a +tp392 +a(g100 +VCATEGORIES +p393 +tp394 +a(g353 +V= +tp395 +a(g6 +V +tp396 +a(g6 +Vlang +p397 +tp398 +a(g6 +V +tp399 +a(g6 +Vpython +p400 +tp401 +a(g6 +V +tp402 +a(g6 +Vipv6 +p403 +tp404 +a(g6 +V\u000a +tp405 +a(g100 +VMASTER_SITES +p406 +tp407 +a(g353 +V= +tp408 +a(g6 +V +tp409 +a(g138 +V${ +p410 +tp411 +a(g100 +VPYTHON_MASTER_SITES +p412 +tp413 +a(g138 +V} +tp414 +a(g6 +V\u000a +tp415 +a(g100 +VMASTER_SITE_SUBDIR +p416 +tp417 +a(g353 +V= +tp418 +a(g6 +V +tp419 +a(g138 +V${ +p420 +tp421 +a(g100 +VPYTHON_MASTER_SITE_SUBDIR +p422 +tp423 +a(g138 +V} +tp424 +a(g6 +V\u000a +tp425 +a(g100 +VDISTFILES +p426 +tp427 +a(g353 +V= +tp428 +a(g6 +V +tp429 +a(g138 +V${ +p430 +tp431 +a(g100 +VPYTHON_DISTFILE +p432 +tp433 +a(g138 +V} +tp434 +a(g6 +V\u000a\u000a +p435 +tp436 +a(g100 +VMAINTAINER +p437 +tp438 +a(g353 +V= +tp439 +a(g6 +V +tp440 +a(g6 +Vpython@FreeBSD.org +p441 +tp442 +a(g6 +V\u000a +tp443 +a(g6 +VCOMMENT? +p444 +tp445 +a(g353 +V= +tp446 +a(g6 +V +tp447 +a(g6 +VAn +p448 +tp449 +a(g6 +V +tp450 +a(g6 +Vinterpreted +p451 +tp452 +a(g6 +V +tp453 +a(g6 +Vobject-oriented +p454 +tp455 +a(g6 +V +tp456 +a(g6 +Vprogramming +p457 +tp458 +a(g6 +V +tp459 +a(g6 +Vlanguage +p460 +tp461 +a(g6 +V\u000a\u000a +p462 +tp463 +a(g100 +VDIST_SUBDIR +p464 +tp465 +a(g353 +V= +tp466 +a(g6 +V +tp467 +a(g6 +Vpython +p468 +tp469 +a(g6 +V\u000a +tp470 +a(g100 +VWRKSRC +p471 +tp472 +a(g353 +V= +tp473 +a(g6 +V +p474 +tp475 +a(g138 +V${ +p476 +tp477 +a(g100 +VPYTHON_WRKSRC +p478 +tp479 +a(g138 +V} +tp480 +a(g6 +V/portbld.static +p481 +tp482 +a(g6 +V\u000a +tp483 +a(g100 +VPATCH_WRKSRC +p484 +tp485 +a(g353 +V= +tp486 +a(g6 +V +tp487 +a(g138 +V${ +p488 +tp489 +a(g100 +VPYTHON_WRKSRC +p490 +tp491 +a(g138 +V} +tp492 +a(g6 +V\u000a +tp493 +a(g100 +VGNU_CONFIGURE +p494 +tp495 +a(g353 +V= +tp496 +a(g6 +V +tp497 +a(g6 +Vyes +p498 +tp499 +a(g6 +V\u000a +tp500 +a(g100 +VCONFIGURE_TARGET +p501 +tp502 +a(g353 +V= +tp503 +a(g6 +V +tp504 +a(g6 +V--build +p505 +tp506 +a(g353 +V= +tp507 +a(g138 +V${ +p508 +tp509 +a(g100 +VMACHINE_ARCH +p510 +tp511 +a(g138 +V} +tp512 +a(g6 +V-portbld-freebsd +p513 +tp514 +a(g138 +V${ +p515 +tp516 +a(g100 +VOSREL +p517 +tp518 +a(g138 +V} +tp519 +a(g6 +V\u000a +tp520 +a(g100 +VCONFIGURE_SCRIPT +p521 +tp522 +a(g353 +V= +tp523 +a(g6 +V +tp524 +a(g6 +V../configure +p525 +tp526 +a(g6 +V +tp527 +a(g24 +V# must be relative\u000a +p528 +tp529 +a(g100 +VCONFIGURE_ENV +p530 +tp531 +a(g353 +V= +tp532 +a(g6 +V +tp533 +a(g100 +VOPT +p534 +tp535 +a(g353 +V= +tp536 +a(g255 +V"${CFLAGS}" +p537 +tp538 +a(g6 +V +tp539 +a(g100 +VSVNVERSION +p540 +tp541 +a(g353 +V= +tp542 +a(g255 +V"echo freebsd" +p543 +tp544 +a(g6 +V\u000a +tp545 +a(g100 +VMAKE_ENV +p546 +tp547 +a(g353 +V= +tp548 +a(g6 +V +tp549 +a(g100 +VVPATH +p550 +tp551 +a(g353 +V= +tp552 +a(g255 +V"${PYTHON_WRKSRC}" +p553 +tp554 +a(g6 +V\u000a +tp555 +a(g100 +VINSTALLS_SHLIB +p556 +tp557 +a(g353 +V= +tp558 +a(g6 +V +tp559 +a(g6 +Vyes +p560 +tp561 +a(g6 +V\u000a +tp562 +a(g100 +VINSTALL_TARGET +p563 +tp564 +a(g353 +V= +tp565 +a(g6 +V +tp566 +a(g6 +Valtinstall +p567 +tp568 +a(g6 +V\u000a +tp569 +a(g100 +VMAN1 +p570 +tp571 +a(g353 +V= +tp572 +a(g6 +V +p573 +tp574 +a(g138 +V${ +p575 +tp576 +a(g100 +VPYTHON_VERSION +p577 +tp578 +a(g138 +V} +tp579 +a(g6 +V.1 +p580 +tp581 +a(g6 +V\u000a\u000a +p582 +tp583 +a(g100 +VUSE_PYTHON +p584 +tp585 +a(g353 +V= +tp586 +a(g6 +V +tp587 +a(g6 +Vyes +p588 +tp589 +a(g6 +V\u000a +tp590 +a(g100 +VPYTHON_VERSION +p591 +tp592 +a(g353 +V= +tp593 +a(g6 +V +tp594 +a(g6 +Vpython2.5 +p595 +tp596 +a(g6 +V\u000a +tp597 +a(g100 +VPYTHON_NO_DEPENDS +p598 +tp599 +a(g353 +V= +tp600 +a(g6 +V +tp601 +a(g6 +Vyes +p602 +tp603 +a(g6 +V\u000a\u000a +p604 +tp605 +a(g100 +VSHARED_WRKSRC +p606 +tp607 +a(g353 +V= +tp608 +a(g6 +V +tp609 +a(g138 +V${ +p610 +tp611 +a(g100 +VPYTHON_WRKSRC +p612 +tp613 +a(g138 +V} +tp614 +a(g6 +V/portbld.shared +p615 +tp616 +a(g6 +V\u000a +tp617 +a(g100 +VPLIST +p618 +tp619 +a(g353 +V= +tp620 +a(g6 +V +p621 +tp622 +a(g138 +V${ +p623 +tp624 +a(g100 +VWRKDIR +p625 +tp626 +a(g138 +V} +tp627 +a(g6 +V/PLIST +p628 +tp629 +a(g6 +V\u000a +tp630 +a(g6 +VPLIST_TEMPLATE? +p631 +tp632 +a(g353 +V= +tp633 +a(g138 +V${ +p634 +tp635 +a(g100 +VPKGDIR +p636 +tp637 +a(g138 +V} +tp638 +a(g6 +V/pkg-plist +p639 +tp640 +a(g6 +V\u000a +tp641 +a(g100 +VPLIST_SUB +p642 +tp643 +a(g353 +V= +tp644 +a(g6 +V +tp645 +a(g100 +VPYVER +p646 +tp647 +a(g353 +V= +tp648 +a(g138 +V${ +p649 +tp650 +a(g100 +VPYTHON_VERSION +p651 +tp652 +a(g212 +V: +tp653 +a(g100 +VS +tp654 +a(g212 +V/python// +p655 +tp656 +a(g138 +V} +tp657 +a(g6 +V +tp658 +a(g262 +V\u005c\u000a +p659 +tp660 +a(g6 +V +p661 +tp662 +a(g100 +VPYVER_WITHPAT +p663 +tp664 +a(g353 +V= +tp665 +a(g138 +V${ +p666 +tp667 +a(g100 +VPORTVERSION +p668 +tp669 +a(g212 +V: +tp670 +a(g100 +VS +tp671 +a(g212 +V/.c/c/ +p672 +tp673 +a(g138 +V} +tp674 +a(g6 +V\u000a +tp675 +a(g100 +VDEMODIR +p676 +tp677 +a(g353 +V= +tp678 +a(g6 +V +tp679 +a(g138 +V${ +p680 +tp681 +a(g100 +VPREFIX +p682 +tp683 +a(g138 +V} +tp684 +a(g6 +V/share/examples/ +p685 +tp686 +a(g138 +V${ +p687 +tp688 +a(g100 +VPYTHON_VERSION +p689 +tp690 +a(g138 +V} +tp691 +a(g6 +V\u000a +tp692 +a(g100 +VTOOLSDIR +p693 +tp694 +a(g353 +V= +tp695 +a(g6 +V +tp696 +a(g138 +V${ +p697 +tp698 +a(g100 +VPREFIX +p699 +tp700 +a(g138 +V} +tp701 +a(g6 +V/share/ +p702 +tp703 +a(g138 +V${ +p704 +tp705 +a(g100 +VPYTHON_VERSION +p706 +tp707 +a(g138 +V} +tp708 +a(g6 +V\u000a\u000a +p709 +tp710 +a(g100 +VBIN_SCRIPTS +p711 +tp712 +a(g353 +V= +tp713 +a(g6 +V +tp714 +a(g6 +Vidle +p715 +tp716 +a(g6 +V +tp717 +a(g6 +Vpydoc +p718 +tp719 +a(g6 +V +tp720 +a(g6 +Vpython +p721 +tp722 +a(g6 +V +tp723 +a(g6 +Vpython-shared +p724 +tp725 +a(g6 +V +tp726 +a(g6 +Vsmtpd.py +p727 +tp728 +a(g6 +V +tp729 +a(g6 +Vpython-config +p730 +tp731 +a(g6 +V +tp732 +a(g262 +V\u005c\u000a +p733 +tp734 +a(g6 +V +p735 +tp736 +a(g6 +Vpython-shared-config +p737 +tp738 +a(g6 +V\u000a +tp739 +a(g100 +VBINLINKS_SUB +p740 +tp741 +a(g353 +V= +tp742 +a(g6 +V +tp743 +a(g6 +V-e +p744 +tp745 +a(g6 +V +tp746 +a(g281 +V's,smtpd,smtpd${PYTHON_VER},' +p747 +tp748 +a(g6 +V +tp749 +a(g262 +V\u005c\u000a +p750 +tp751 +a(g6 +V +p752 +tp753 +a(g6 +V-e +p754 +tp755 +a(g6 +V +tp756 +a(g281 +V's,(idle|pydoc|python-shared|python),\u005c1${PYTHON_VER},' +p757 +tp758 +a(g6 +V\u000a\u000a +p759 +tp760 +a(g100 +VOPTIONS +p761 +tp762 +a(g353 +V= +tp763 +a(g6 +V +tp764 +a(g6 +VTHREADS +p765 +tp766 +a(g6 +V +tp767 +a(g255 +V"Enable thread support" +p768 +tp769 +a(g6 +V +tp770 +a(g6 +Von +p771 +tp772 +a(g6 +V +tp773 +a(g262 +V\u005c\u000a +p774 +tp775 +a(g6 +V +p776 +tp777 +a(g6 +VHUGE_STACK_SIZE +p778 +tp779 +a(g6 +V +tp780 +a(g255 +V"Use a larger thread stack" +p781 +tp782 +a(g6 +V +tp783 +a(g6 +Voff +p784 +tp785 +a(g6 +V +tp786 +a(g262 +V\u005c\u000a +p787 +tp788 +a(g6 +V +p789 +tp790 +a(g6 +VUCS4 +p791 +tp792 +a(g6 +V +tp793 +a(g255 +V"Use UCS4 for unicode support" +p794 +tp795 +a(g6 +V +tp796 +a(g6 +Von +p797 +tp798 +a(g6 +V +tp799 +a(g262 +V\u005c\u000a +p800 +tp801 +a(g6 +V +p802 +tp803 +a(g6 +VPYMALLOC +p804 +tp805 +a(g6 +V +tp806 +a(g255 +V"Use python's internal malloc" +p807 +tp808 +a(g6 +V +tp809 +a(g6 +Von +p810 +tp811 +a(g6 +V +tp812 +a(g262 +V\u005c\u000a +p813 +tp814 +a(g6 +V +p815 +tp816 +a(g6 +VIPV6 +p817 +tp818 +a(g6 +V +tp819 +a(g255 +V"Enable IPv6 support" +p820 +tp821 +a(g6 +V +tp822 +a(g6 +Von +p823 +tp824 +a(g6 +V +tp825 +a(g262 +V\u005c\u000a +p826 +tp827 +a(g6 +V +p828 +tp829 +a(g6 +VFPECTL +p830 +tp831 +a(g6 +V +tp832 +a(g255 +V"Enable floating point exception handling" +p833 +tp834 +a(g6 +V +tp835 +a(g6 +Voff +p836 +tp837 +a(g6 +V\u000a\u000a +p838 +tp839 +a(g27 +V.include \u000a +p840 +tp841 +a(g6 +V\u000a +tp842 +a(g27 +V.if ${PYTHON_VERSION} == ${PYTHON_DEFAULT_VERSION}\u000a +p843 +tp844 +a(g6 +V +tp845 +a(g100 +VMLINKS +p846 +tp847 +a(g353 +V= +tp848 +a(g6 +V +p849 +tp850 +a(g138 +V${ +p851 +tp852 +a(g100 +VPYTHON_VERSION +p853 +tp854 +a(g138 +V} +tp855 +a(g6 +V.1 +p856 +tp857 +a(g6 +V +tp858 +a(g6 +Vpython.1 +p859 +tp860 +a(g6 +V\u000a +tp861 +a(g6 +VPLIST_SUB+ +p862 +tp863 +a(g353 +V= +tp864 +a(g6 +V +tp865 +a(g100 +VIF_DEFAULT +p866 +tp867 +a(g353 +V= +tp868 +a(g255 +V"" +p869 +tp870 +a(g6 +V\u000a +tp871 +a(g27 +V.else\u000a +p872 +tp873 +a(g6 +V +tp874 +a(g6 +VPLIST_SUB+ +p875 +tp876 +a(g353 +V= +tp877 +a(g6 +V +tp878 +a(g100 +VIF_DEFAULT +p879 +tp880 +a(g353 +V= +tp881 +a(g255 +V"@comment " +p882 +tp883 +a(g6 +V\u000a +tp884 +a(g27 +V.endif\u000a +p885 +tp886 +a(g6 +V\u000a +tp887 +a(g24 +V# workaround for a bug in base curses.h.\u000a +p888 +tp889 +a(g6 +V +tp890 +a(g6 +VCFLAGS+ +p891 +tp892 +a(g353 +V= +tp893 +a(g6 +V +tp894 +a(g6 +V-D__wchar_t +p895 +tp896 +a(g353 +V= +tp897 +a(g6 +Vwchar_t +p898 +tp899 +a(g6 +V\u000a\u000a +p900 +tp901 +a(g27 +V.if !defined(WITHOUT_THREADS)\u000a +p902 +tp903 +a(g6 +V +tp904 +a(g6 +VCONFIGURE_ARGS+ +p905 +tp906 +a(g353 +V= +tp907 +a(g6 +V +tp908 +a(g6 +V--with-threads +p909 +tp910 +a(g6 +V\u000a +tp911 +a(g6 +VCFLAGS+ +p912 +tp913 +a(g353 +V= +tp914 +a(g6 +V +p915 +tp916 +a(g138 +V${ +p917 +tp918 +a(g100 +VPTHREAD_CFLAGS +p919 +tp920 +a(g138 +V} +tp921 +a(g6 +V\u000a +tp922 +a(g27 +V.if defined(WITHOUT_HUGE_STACK_SIZE)\u000a +p923 +tp924 +a(g6 +V +tp925 +a(g6 +VCFLAGS+ +p926 +tp927 +a(g353 +V= +tp928 +a(g6 +V +p929 +tp930 +a(g6 +V-DTHREAD_STACK_SIZE +p931 +tp932 +a(g353 +V= +tp933 +a(g6 +V0x20000 +p934 +tp935 +a(g6 +V\u000a +tp936 +a(g27 +V.else\u000a +p937 +tp938 +a(g6 +V +tp939 +a(g6 +VCFLAGS+ +p940 +tp941 +a(g353 +V= +tp942 +a(g6 +V +p943 +tp944 +a(g6 +V-DTHREAD_STACK_SIZE +p945 +tp946 +a(g353 +V= +tp947 +a(g6 +V0x100000 +p948 +tp949 +a(g6 +V\u000a +tp950 +a(g27 +V.endif # defined(WITHOUT_HUGE_STACK_SIZE)\u000a +p951 +tp952 +a(g6 +V +tp953 +a(g6 +VCONFIGURE_ENV+ +p954 +tp955 +a(g353 +V= +tp956 +a(g6 +V +p957 +tp958 +a(g100 +VLDFLAGS +p959 +tp960 +a(g353 +V= +tp961 +a(g255 +V"${PTHREAD_LIBS} ${LDFLAGS}" +p962 +tp963 +a(g6 +V\u000a +tp964 +a(g27 +V.else\u000a +p965 +tp966 +a(g6 +V +tp967 +a(g6 +VCONFIGURE_ARGS+ +p968 +tp969 +a(g353 +V= +tp970 +a(g6 +V +tp971 +a(g6 +V--without-threads +p972 +tp973 +a(g6 +V\u000a +tp974 +a(g27 +V.if defined(LDFLAGS)\u000a +p975 +tp976 +a(g6 +V +tp977 +a(g6 +VCONFIGURE_ENV+ +p978 +tp979 +a(g353 +V= +tp980 +a(g6 +V +p981 +tp982 +a(g100 +VLDFLAGS +p983 +tp984 +a(g353 +V= +tp985 +a(g255 +V"${LDFLAGS}" +p986 +tp987 +a(g6 +V\u000a +tp988 +a(g27 +V.endif # defined(LDFLAGS)\u000a +p989 +tp990 +a(g6 +V +tp991 +a(g27 +V.endif # !defined(WITHOUT_THREADS)\u000a +p992 +tp993 +a(g6 +V\u000a +tp994 +a(g27 +V.if !defined(WITHOUT_UCS4) && !defined(WITH_UCS2)\u000a +p995 +tp996 +a(g6 +V +tp997 +a(g6 +VCONFIGURE_ARGS+ +p998 +tp999 +a(g353 +V= +tp1000 +a(g6 +V +tp1001 +a(g6 +V--enable-unicode +p1002 +tp1003 +a(g353 +V= +tp1004 +a(g6 +Vucs4 +p1005 +tp1006 +a(g6 +V\u000a +tp1007 +a(g27 +V.endif\u000a +p1008 +tp1009 +a(g6 +V\u000a +tp1010 +a(g27 +V.if defined(WITHOUT_PYMALLOC)\u000a +p1011 +tp1012 +a(g6 +V +tp1013 +a(g6 +VCONFIGURE_ARGS+ +p1014 +tp1015 +a(g353 +V= +tp1016 +a(g6 +V +p1017 +tp1018 +a(g6 +V--without-pymalloc +p1019 +tp1020 +a(g6 +V\u000a +tp1021 +a(g27 +V.endif\u000a +p1022 +tp1023 +a(g6 +V\u000a +tp1024 +a(g27 +V.if ${ARCH} == i386\u000a +p1025 +tp1026 +a(g6 +V +tp1027 +a(g6 +VPLIST_SUB+ +p1028 +tp1029 +a(g353 +V= +tp1030 +a(g6 +V +tp1031 +a(g100 +VX86_ONLY +p1032 +tp1033 +a(g353 +V= +tp1034 +a(g255 +V"" +p1035 +tp1036 +a(g6 +V\u000a +tp1037 +a(g27 +V.else\u000a +p1038 +tp1039 +a(g6 +V +tp1040 +a(g6 +VPLIST_SUB+ +p1041 +tp1042 +a(g353 +V= +tp1043 +a(g6 +V +tp1044 +a(g100 +VX86_ONLY +p1045 +tp1046 +a(g353 +V= +tp1047 +a(g255 +V"@comment " +p1048 +tp1049 +a(g6 +V\u000a +tp1050 +a(g27 +V.endif\u000a +p1051 +tp1052 +a(g6 +V +tp1053 +a(g27 +V.if ${ARCH} == amd64 || ${ARCH} == ia64 || ${ARCH} == sparc64 || ${ARCH} == alpha\u000a +p1054 +tp1055 +a(g6 +V +tp1056 +a(g6 +VPLIST_SUB+ +p1057 +tp1058 +a(g353 +V= +tp1059 +a(g6 +V +p1060 +tp1061 +a(g100 +V32BIT_ONLY +p1062 +tp1063 +a(g353 +V= +tp1064 +a(g255 +V"@comment " +p1065 +tp1066 +a(g6 +V\u000a +tp1067 +a(g27 +V.else\u000a +p1068 +tp1069 +a(g6 +V +tp1070 +a(g6 +VPLIST_SUB+ +p1071 +tp1072 +a(g353 +V= +tp1073 +a(g6 +V +p1074 +tp1075 +a(g100 +V32BIT_ONLY +p1076 +tp1077 +a(g353 +V= +tp1078 +a(g255 +V"" +p1079 +tp1080 +a(g6 +V\u000a +tp1081 +a(g27 +V.endif\u000a +p1082 +tp1083 +a(g6 +V +tp1084 +a(g27 +V.if ${ARCH} == sparc64\u000a +p1085 +tp1086 +a(g6 +V +tp1087 +a(g6 +VCFLAGS+ +p1088 +tp1089 +a(g353 +V= +tp1090 +a(g6 +V +p1091 +tp1092 +a(g6 +V-DPYTHON_DEFAULT_RECURSION_LIMIT +p1093 +tp1094 +a(g353 +V= +tp1095 +a(g6 +V900 +p1096 +tp1097 +a(g6 +V\u000a +tp1098 +a(g27 +V.endif\u000a +p1099 +tp1100 +a(g6 +V\u000a +tp1101 +a(g27 +V.if !exists(/usr/bin/ypcat) # the world with NO_NIS\u000a +p1102 +tp1103 +a(g6 +V +tp1104 +a(g6 +VPLIST_SUB+ +p1105 +tp1106 +a(g353 +V= +tp1107 +a(g6 +V +tp1108 +a(g100 +VNO_NIS +p1109 +tp1110 +a(g353 +V= +tp1111 +a(g255 +V"@comment " +p1112 +tp1113 +a(g6 +V\u000a +tp1114 +a(g27 +V.else\u000a +p1115 +tp1116 +a(g6 +V +tp1117 +a(g6 +VPLIST_SUB+ +p1118 +tp1119 +a(g353 +V= +tp1120 +a(g6 +V +tp1121 +a(g100 +VNO_NIS +p1122 +tp1123 +a(g353 +V= +tp1124 +a(g255 +V"" +p1125 +tp1126 +a(g6 +V\u000a +tp1127 +a(g27 +V.endif\u000a +p1128 +tp1129 +a(g6 +V\u000a +tp1130 +a(g27 +V.if !defined(WITHOUT_IPV6)\u000a +p1131 +tp1132 +a(g6 +V +tp1133 +a(g6 +VCONFIGURE_ARGS+ +p1134 +tp1135 +a(g353 +V= +tp1136 +a(g6 +V +tp1137 +a(g6 +V--enable-ipv6 +p1138 +tp1139 +a(g6 +V\u000a +tp1140 +a(g27 +V.else\u000a +p1141 +tp1142 +a(g6 +V +tp1143 +a(g6 +VCONFIGURE_ARGS+ +p1144 +tp1145 +a(g353 +V= +tp1146 +a(g6 +V +tp1147 +a(g6 +V--disable-ipv6 +p1148 +tp1149 +a(g6 +V\u000a +tp1150 +a(g27 +V.endif\u000a +p1151 +tp1152 +a(g6 +V\u000a +tp1153 +a(g27 +V.if defined(WITH_FPECTL)\u000a +p1154 +tp1155 +a(g6 +V +tp1156 +a(g6 +VCONFIGURE_ARGS+ +p1157 +tp1158 +a(g353 +V= +tp1159 +a(g6 +V +tp1160 +a(g6 +V--with-fpectl +p1161 +tp1162 +a(g6 +V\u000a +tp1163 +a(g27 +V.endif\u000a +p1164 +tp1165 +a(g6 +V\u000a +tp1166 +a(g27 +V.if ${OSVERSION} >= 700000\u000a +p1167 +tp1168 +a(g6 +V +tp1169 +a(g100 +VPLATFORMS +p1170 +tp1171 +a(g353 +V= +tp1172 +a(g6 +Vplat-freebsd4 +p1173 +tp1174 +a(g6 +V +tp1175 +a(g6 +Vplat-freebsd5 +p1176 +tp1177 +a(g6 +V +tp1178 +a(g6 +Vplat-freebsd6 +p1179 +tp1180 +a(g6 +V\u000a +tp1181 +a(g27 +V.elif ${OSVERSION} >= 600000\u000a +p1182 +tp1183 +a(g6 +V +tp1184 +a(g100 +VPLATFORMS +p1185 +tp1186 +a(g353 +V= +tp1187 +a(g6 +Vplat-freebsd4 +p1188 +tp1189 +a(g6 +V +tp1190 +a(g6 +Vplat-freebsd5 +p1191 +tp1192 +a(g6 +V +tp1193 +a(g6 +Vplat-freebsd7 +p1194 +tp1195 +a(g6 +V\u000a +tp1196 +a(g27 +V.else\u000a +p1197 +tp1198 +a(g6 +V +tp1199 +a(g100 +VPLATFORMS +p1200 +tp1201 +a(g353 +V= +tp1202 +a(g6 +Vplat-freebsd4 +p1203 +tp1204 +a(g6 +V +tp1205 +a(g6 +Vplat-freebsd6 +p1206 +tp1207 +a(g6 +V +tp1208 +a(g6 +Vplat-freebsd7 +p1209 +tp1210 +a(g6 +V\u000a +tp1211 +a(g27 +V.endif\u000a +p1212 +tp1213 +a(g6 +V\u000a +tp1214 +a(g6 +Vpre-patch: +p1215 +tp1216 +a(g6 +V\u000a +p1217 +tp1218 +a(g138 +V${ +p1219 +tp1220 +a(g100 +VMKDIR +p1221 +tp1222 +a(g138 +V} +tp1223 +a(g6 +V +tp1224 +a(g138 +V${ +p1225 +tp1226 +a(g100 +VWRKSRC +p1227 +tp1228 +a(g138 +V} +tp1229 +a(g6 +V +tp1230 +a(g138 +V${ +p1231 +tp1232 +a(g100 +VSHARED_WRKSRC +p1233 +tp1234 +a(g138 +V} +tp1235 +a(g6 +V/Modules +p1236 +tp1237 +a(g6 +V\u000a +p1238 +tp1239 +a(g138 +V${ +p1240 +tp1241 +a(g100 +VSED +p1242 +tp1243 +a(g138 +V} +tp1244 +a(g6 +V +tp1245 +a(g6 +V-e +p1246 +tp1247 +a(g6 +V +tp1248 +a(g281 +V'1s,^.*$$,#!${PREFIX}/bin/${PYTHON_VERSION},' +p1249 +tp1250 +a(g6 +V +tp1251 +a(g262 +V\u005c\u000a +p1252 +tp1253 +a(g6 +V +p1254 +tp1255 +a(g138 +V${ +p1256 +tp1257 +a(g100 +VPATCH_WRKSRC +p1258 +tp1259 +a(g138 +V} +tp1260 +a(g6 +V/Tools/scripts/pydoc +p1261 +tp1262 +a(g6 +V +tp1263 +a(g6 +V> +tp1264 +a(g6 +V +tp1265 +a(g138 +V${ +p1266 +tp1267 +a(g100 +VWRKDIR +p1268 +tp1269 +a(g138 +V} +tp1270 +a(g6 +V/pydoc2.5 +p1271 +tp1272 +a(g6 +V\u000a +p1273 +tp1274 +a(g138 +V${ +p1275 +tp1276 +a(g100 +VSED +p1277 +tp1278 +a(g138 +V} +tp1279 +a(g6 +V +tp1280 +a(g6 +V-e +p1281 +tp1282 +a(g6 +V +tp1283 +a(g281 +V'1s,^.*$$,#!${PREFIX}/bin/${PYTHON_VERSION},' +p1284 +tp1285 +a(g6 +V +tp1286 +a(g262 +V\u005c\u000a +p1287 +tp1288 +a(g6 +V +p1289 +tp1290 +a(g138 +V${ +p1291 +tp1292 +a(g100 +VPATCH_WRKSRC +p1293 +tp1294 +a(g138 +V} +tp1295 +a(g6 +V/Tools/scripts/idle +p1296 +tp1297 +a(g6 +V +tp1298 +a(g6 +V> +tp1299 +a(g6 +V +tp1300 +a(g138 +V${ +p1301 +tp1302 +a(g100 +VWRKDIR +p1303 +tp1304 +a(g138 +V} +tp1305 +a(g6 +V/idle2.5 +p1306 +tp1307 +a(g6 +V\u000a +p1308 +tp1309 +a(g138 +V${ +p1310 +tp1311 +a(g100 +VSED +p1312 +tp1313 +a(g138 +V} +tp1314 +a(g6 +V +tp1315 +a(g6 +V-e +p1316 +tp1317 +a(g6 +V +tp1318 +a(g281 +V'1s,^.*$$,#!${PREFIX}/bin/${PYTHON_VERSION},' +p1319 +tp1320 +a(g6 +V +tp1321 +a(g262 +V\u005c\u000a +p1322 +tp1323 +a(g6 +V +p1324 +tp1325 +a(g138 +V${ +p1326 +tp1327 +a(g100 +VPATCH_WRKSRC +p1328 +tp1329 +a(g138 +V} +tp1330 +a(g6 +V/Lib/smtpd.py +p1331 +tp1332 +a(g6 +V +tp1333 +a(g6 +V> +tp1334 +a(g6 +V +tp1335 +a(g138 +V${ +p1336 +tp1337 +a(g100 +VWRKDIR +p1338 +tp1339 +a(g138 +V} +tp1340 +a(g6 +V/smtpd2.5.py +p1341 +tp1342 +a(g6 +V\u000a +p1343 +tp1344 +a(g138 +V${ +p1345 +tp1346 +a(g100 +VREINPLACE_CMD +p1347 +tp1348 +a(g138 +V} +tp1349 +a(g6 +V +tp1350 +a(g6 +V-e +p1351 +tp1352 +a(g6 +V +tp1353 +a(g262 +V\u005c\u000a +p1354 +tp1355 +a(g6 +V +p1356 +tp1357 +a(g281 +V's,/usr/doc/python-docs-,${PREFIX}/share/doc/python,g' +p1358 +tp1359 +a(g6 +V +tp1360 +a(g262 +V\u005c\u000a +p1361 +tp1362 +a(g6 +V +p1363 +tp1364 +a(g138 +V${ +p1365 +tp1366 +a(g100 +VPATCH_WRKSRC +p1367 +tp1368 +a(g138 +V} +tp1369 +a(g6 +V/Lib/pydoc.py +p1370 +tp1371 +a(g6 +V\u000a +p1372 +tp1373 +a(g138 +V${ +p1374 +tp1375 +a(g100 +VREINPLACE_CMD +p1376 +tp1377 +a(g138 +V} +tp1378 +a(g6 +V +tp1379 +a(g6 +V-e +p1380 +tp1381 +a(g6 +V +tp1382 +a(g262 +V\u005c\u000a +p1383 +tp1384 +a(g6 +V +p1385 +tp1386 +a(g281 +V's|^\u005c( *prefixes = .*\u005c)\u005c]$$|\u005c1, "${X11BASE}"]|g' +p1387 +tp1388 +a(g6 +V +tp1389 +a(g262 +V\u005c\u000a +p1390 +tp1391 +a(g6 +V +p1392 +tp1393 +a(g138 +V${ +p1394 +tp1395 +a(g100 +VPATCH_WRKSRC +p1396 +tp1397 +a(g138 +V} +tp1398 +a(g6 +V/Lib/site.py +p1399 +tp1400 +a(g6 +V\u000a +p1401 +tp1402 +a(g138 +V${ +p1403 +tp1404 +a(g100 +VREINPLACE_CMD +p1405 +tp1406 +a(g138 +V} +tp1407 +a(g6 +V +tp1408 +a(g6 +V-e +p1409 +tp1410 +a(g6 +V +tp1411 +a(g262 +V\u005c\u000a +p1412 +tp1413 +a(g6 +V +p1414 +tp1415 +a(g281 +V's|^ \u005c(..ASDLGEN.*\u005c)$$| ${TRUE}|g' +p1416 +tp1417 +a(g6 +V +tp1418 +a(g262 +V\u005c\u000a +p1419 +tp1420 +a(g6 +V +p1421 +tp1422 +a(g138 +V${ +p1423 +tp1424 +a(g100 +VPATCH_WRKSRC +p1425 +tp1426 +a(g138 +V} +tp1427 +a(g6 +V/Makefile.pre.in +p1428 +tp1429 +a(g6 +V\u000a\u000a +p1430 +tp1431 +a(g138 +V${ +p1432 +tp1433 +a(g100 +VREINPLACE_CMD +p1434 +tp1435 +a(g138 +V} +tp1436 +a(g6 +V +tp1437 +a(g6 +V-e +p1438 +tp1439 +a(g6 +V +tp1440 +a(g262 +V\u005c\u000a +p1441 +tp1442 +a(g6 +V +p1443 +tp1444 +a(g281 +V's|*\u005c(..INSTALL_SCRIPT.*\u005c)python-config$$|#port \u005c1|' +p1445 +tp1446 +a(g6 +V +tp1447 +a(g262 +V\u005c\u000a +p1448 +tp1449 +a(g6 +V +p1450 +tp1451 +a(g138 +V${ +p1452 +tp1453 +a(g100 +VPATCH_WRKSRC +p1454 +tp1455 +a(g138 +V} +tp1456 +a(g6 +V/Makefile.pre.in +p1457 +tp1458 +a(g6 +V\u000a\u000a +p1459 +tp1460 +a(g138 +V${ +p1461 +tp1462 +a(g100 +VSED +p1463 +tp1464 +a(g138 +V} +tp1465 +a(g6 +V +tp1466 +a(g6 +V-e +p1467 +tp1468 +a(g6 +V +tp1469 +a(g281 +V's|^#!.*|#!${PREFIX}/bin/${PYTHON_VERSION}|' +p1470 +tp1471 +a(g6 +V +tp1472 +a(g262 +V\u005c\u000a +p1473 +tp1474 +a(g6 +V +p1475 +tp1476 +a(g138 +V${ +p1477 +tp1478 +a(g100 +VPATCH_WRKSRC +p1479 +tp1480 +a(g138 +V} +tp1481 +a(g6 +V/Misc/python-config.in +p1482 +tp1483 +a(g6 +V +tp1484 +a(g6 +V> +tp1485 +a(g6 +V +tp1486 +a(g138 +V${ +p1487 +tp1488 +a(g100 +VWRKDIR +p1489 +tp1490 +a(g138 +V} +tp1491 +a(g6 +V/ +tp1492 +a(g138 +V${ +p1493 +tp1494 +a(g100 +VPYTHON_VERSION +p1495 +tp1496 +a(g138 +V} +tp1497 +a(g6 +V-config +p1498 +tp1499 +a(g6 +V\u000a +p1500 +tp1501 +a(g138 +V${ +p1502 +tp1503 +a(g100 +VSED +p1504 +tp1505 +a(g138 +V} +tp1506 +a(g6 +V +tp1507 +a(g6 +V-e +p1508 +tp1509 +a(g6 +V +tp1510 +a(g281 +V's|^#!.*|#!${PREFIX}/bin/${PYTHON_VERSION:S/thon/thon-shared/}|' +p1511 +tp1512 +a(g6 +V +tp1513 +a(g262 +V\u005c\u000a +p1514 +tp1515 +a(g6 +V +p1516 +tp1517 +a(g138 +V${ +p1518 +tp1519 +a(g100 +VPATCH_WRKSRC +p1520 +tp1521 +a(g138 +V} +tp1522 +a(g6 +V/Misc/python-config.in +p1523 +tp1524 +a(g6 +V +tp1525 +a(g6 +V> +tp1526 +a(g6 +V +tp1527 +a(g138 +V${ +p1528 +tp1529 +a(g100 +VWRKDIR +p1530 +tp1531 +a(g138 +V} +tp1532 +a(g6 +V/ +tp1533 +a(g138 +V${ +p1534 +tp1535 +a(g100 +VPYTHON_VERSION +p1536 +tp1537 +a(g212 +V: +tp1538 +a(g100 +VS +tp1539 +a(g212 +V/thon/thon-shared/ +p1540 +tp1541 +a(g138 +V} +tp1542 +a(g6 +V-config +p1543 +tp1544 +a(g6 +V\u000a\u000a +p1545 +tp1546 +a(g27 +V.if defined(WITH_FPECTL) && ${ARCH} == i386\u000a +p1547 +tp1548 +a(g6 +V +tp1549 +a(g138 +V${ +p1550 +tp1551 +a(g100 +VMKDIR +p1552 +tp1553 +a(g138 +V} +tp1554 +a(g6 +V +tp1555 +a(g138 +V${ +p1556 +tp1557 +a(g100 +VWRKSRC +p1558 +tp1559 +a(g138 +V} +tp1560 +a(g6 +V/Modules +p1561 +tp1562 +a(g6 +V\u000a +p1563 +tp1564 +a(g138 +V${ +p1565 +tp1566 +a(g100 +VECHO +p1567 +tp1568 +a(g138 +V} +tp1569 +a(g6 +V +tp1570 +a(g255 +V"fpectl fpectlmodule.c" +p1571 +tp1572 +a(g6 +V +tp1573 +a(g6 +V>> +p1574 +tp1575 +a(g6 +V +tp1576 +a(g138 +V${ +p1577 +tp1578 +a(g100 +VWRKSRC +p1579 +tp1580 +a(g138 +V} +tp1581 +a(g6 +V/Modules/Setup.dist +p1582 +tp1583 +a(g6 +V\u000a +tp1584 +a(g27 +V.endif\u000a +p1585 +tp1586 +a(g6 +V\u000a +tp1587 +a(g6 +Vpost-configure: +p1588 +tp1589 +a(g6 +V\u000a +p1590 +tp1591 +a(g138 +V${ +p1592 +tp1593 +a(g100 +VTAR +p1594 +tp1595 +a(g138 +V} +tp1596 +a(g6 +V +tp1597 +a(g6 +V-C +p1598 +tp1599 +a(g6 +V +tp1600 +a(g138 +V${ +p1601 +tp1602 +a(g100 +VWRKSRC +p1603 +tp1604 +a(g138 +V} +tp1605 +a(g6 +V +tp1606 +a(g6 +V-cf +p1607 +tp1608 +a(g6 +V +tp1609 +a(g6 +V- +tp1610 +a(g6 +V +tp1611 +a(g6 +V. +tp1612 +a(g6 +V +tp1613 +a(g6 +V| +tp1614 +a(g6 +V +tp1615 +a(g138 +V${ +p1616 +tp1617 +a(g100 +VTAR +p1618 +tp1619 +a(g138 +V} +tp1620 +a(g6 +V +tp1621 +a(g6 +V-C +p1622 +tp1623 +a(g6 +V +tp1624 +a(g138 +V${ +p1625 +tp1626 +a(g100 +VSHARED_WRKSRC +p1627 +tp1628 +a(g138 +V} +tp1629 +a(g6 +V +tp1630 +a(g6 +V-xf +p1631 +tp1632 +a(g6 +V +tp1633 +a(g6 +V- +tp1634 +a(g6 +V\u000a +p1635 +tp1636 +a(g138 +V${ +p1637 +tp1638 +a(g100 +VLN +p1639 +tp1640 +a(g138 +V} +tp1641 +a(g6 +V +tp1642 +a(g6 +V-sf +p1643 +tp1644 +a(g6 +V +tp1645 +a(g138 +V${ +p1646 +tp1647 +a(g100 +VPYTHON_WRKSRC +p1648 +tp1649 +a(g138 +V} +tp1650 +a(g6 +V/Lib +p1651 +tp1652 +a(g6 +V +tp1653 +a(g138 +V${ +p1654 +tp1655 +a(g100 +VWRKSRC +p1656 +tp1657 +a(g138 +V} +tp1658 +a(g6 +V/Lib +p1659 +tp1660 +a(g6 +V\u000a +p1661 +tp1662 +a(g138 +V${ +p1663 +tp1664 +a(g100 +VSED +p1665 +tp1666 +a(g138 +V} +tp1667 +a(g6 +V +tp1668 +a(g6 +V-e +p1669 +tp1670 +a(g6 +V +tp1671 +a(g281 +V's,^\u005c(LDLIBRARY=\u005c).*$$,\u005c1libpython$$(VERSION).so,' +p1672 +tp1673 +a(g6 +V +tp1674 +a(g262 +V\u005c\u000a +p1675 +tp1676 +a(g6 +V +p1677 +tp1678 +a(g6 +V-e +p1679 +tp1680 +a(g6 +V +tp1681 +a(g281 +V's,^\u005c(BLDLIBRARY=\u005c).*$$,\u005c1-L. -lpython$$(VERSION),' +p1682 +tp1683 +a(g6 +V +tp1684 +a(g262 +V\u005c\u000a +p1685 +tp1686 +a(g6 +V +p1687 +tp1688 +a(g6 +V-e +p1689 +tp1690 +a(g6 +V +tp1691 +a(g281 +V's,^\u005c(CFLAGSFORSHARED=\u005c).*$$,\u005c1$$(CCSHARED),' +p1692 +tp1693 +a(g6 +V +tp1694 +a(g262 +V\u005c\u000a +p1695 +tp1696 +a(g6 +V +p1697 +tp1698 +a(g6 +V-e +p1699 +tp1700 +a(g6 +V +tp1701 +a(g281 +V's,^\u005c(Makefile Modules/config.c:.*\u005c)Makefile.pre,\u005c1,' +p1702 +tp1703 +a(g6 +V +tp1704 +a(g262 +V\u005c\u000a +p1705 +tp1706 +a(g6 +V +p1707 +tp1708 +a(g6 +V-e +p1709 +tp1710 +a(g6 +V +tp1711 +a(g281 +V's,^\u005c(.(BUILDPYTHON)\u005c: .*\u005c).(LIBRARY),\u005c1,' +p1712 +tp1713 +a(g6 +V +tp1714 +a(g262 +V\u005c\u000a +p1715 +tp1716 +a(g6 +V +p1717 +tp1718 +a(g6 +V-e +p1719 +tp1720 +a(g6 +V +tp1721 +a(g281 +V's,^\u005c(.(BUILDPYTHON):.*\u005c).(LIBRARY),\u005c1,' +p1722 +tp1723 +a(g6 +V +tp1724 +a(g262 +V\u005c\u000a +p1725 +tp1726 +a(g6 +V +p1727 +tp1728 +a(g138 +V${ +p1729 +tp1730 +a(g100 +VWRKSRC +p1731 +tp1732 +a(g138 +V} +tp1733 +a(g6 +V/Makefile +p1734 +tp1735 +a(g6 +V +tp1736 +a(g6 +V> +tp1737 +a(g6 +V +tp1738 +a(g138 +V${ +p1739 +tp1740 +a(g100 +VSHARED_WRKSRC +p1741 +tp1742 +a(g138 +V} +tp1743 +a(g6 +V/Makefile +p1744 +tp1745 +a(g6 +V\u000a\u000a +p1746 +tp1747 +a(g6 +Vpre-build: +p1748 +tp1749 +a(g6 +V\u000a +p1750 +tp1751 +a(g84 +Vcd +p1752 +tp1753 +a(g6 +V +tp1754 +a(g138 +V${ +p1755 +tp1756 +a(g100 +VSHARED_WRKSRC +p1757 +tp1758 +a(g138 +V} +tp1759 +a(g6 +V; +tp1760 +a(g6 +V +tp1761 +a(g262 +V\u005c\u000a +p1762 +tp1763 +a(g6 +V +tp1764 +a(g138 +V${ +p1765 +tp1766 +a(g100 +VSETENV +p1767 +tp1768 +a(g138 +V} +tp1769 +a(g6 +V +tp1770 +a(g138 +V${ +p1771 +tp1772 +a(g100 +VMAKE_ENV +p1773 +tp1774 +a(g138 +V} +tp1775 +a(g6 +V +tp1776 +a(g138 +V${ +p1777 +tp1778 +a(g100 +VMAKE +p1779 +tp1780 +a(g138 +V} +tp1781 +a(g6 +V +tp1782 +a(g6 +Vlib +p1783 +tp1784 +a(g138 +V${ +p1785 +tp1786 +a(g100 +VPYTHON_VERSION +p1787 +tp1788 +a(g138 +V} +tp1789 +a(g6 +V.so +p1790 +tp1791 +a(g6 +V +tp1792 +a(g6 +Vpython; +p1793 +tp1794 +a(g6 +V +tp1795 +a(g262 +V\u005c\u000a +p1796 +tp1797 +a(g6 +V +tp1798 +a(g138 +V${ +p1799 +tp1800 +a(g100 +VLN +p1801 +tp1802 +a(g138 +V} +tp1803 +a(g6 +V +tp1804 +a(g6 +V-f +p1805 +tp1806 +a(g6 +V +tp1807 +a(g6 +Vlib +p1808 +tp1809 +a(g138 +V${ +p1810 +tp1811 +a(g100 +VPYTHON_VERSION +p1812 +tp1813 +a(g138 +V} +tp1814 +a(g6 +V.so +p1815 +tp1816 +a(g6 +V +tp1817 +a(g6 +Vlib +p1818 +tp1819 +a(g138 +V${ +p1820 +tp1821 +a(g100 +VPYTHON_VERSION +p1822 +tp1823 +a(g138 +V} +tp1824 +a(g6 +V.so.1; +p1825 +tp1826 +a(g6 +V +tp1827 +a(g262 +V\u005c\u000a +p1828 +tp1829 +a(g6 +V +tp1830 +a(g138 +V${ +p1831 +tp1832 +a(g100 +VLN +p1833 +tp1834 +a(g138 +V} +tp1835 +a(g6 +V +tp1836 +a(g6 +V-f +p1837 +tp1838 +a(g6 +V +tp1839 +a(g6 +Vpython +p1840 +tp1841 +a(g6 +V +tp1842 +a(g138 +V${ +p1843 +tp1844 +a(g100 +VPYTHON_VERSION +p1845 +tp1846 +a(g212 +V: +tp1847 +a(g100 +VS +tp1848 +a(g212 +V/thon/thon-shared/ +p1849 +tp1850 +a(g138 +V} +tp1851 +a(g6 +V\u000a\u000a +p1852 +tp1853 +a(g6 +Vpre-su-install: +p1854 +tp1855 +a(g6 +V\u000a +tp1856 +a(g27 +V.for platform in ${PLATFORMS}\u000a +p1857 +tp1858 +a(g6 +V +tp1859 +a(g138 +V${ +p1860 +tp1861 +a(g100 +VMKDIR +p1862 +tp1863 +a(g138 +V} +tp1864 +a(g6 +V +tp1865 +a(g138 +V${ +p1866 +tp1867 +a(g100 +VPYTHONPREFIX_LIBDIR +p1868 +tp1869 +a(g138 +V} +tp1870 +a(g6 +V/ +tp1871 +a(g138 +V${ +p1872 +tp1873 +a(g100 +Vplatform +p1874 +tp1875 +a(g138 +V} +tp1876 +a(g6 +V\u000a +tp1877 +a(g27 +V.for file in IN.py regen\u000a +p1878 +tp1879 +a(g6 +V +tp1880 +a(g138 +V${ +p1881 +tp1882 +a(g100 +VINSTALL_DATA +p1883 +tp1884 +a(g138 +V} +tp1885 +a(g6 +V +tp1886 +a(g138 +V${ +p1887 +tp1888 +a(g100 +VWRKSRC +p1889 +tp1890 +a(g138 +V} +tp1891 +a(g6 +V/Lib/ +p1892 +tp1893 +a(g138 +V${ +p1894 +tp1895 +a(g100 +Vplatform +p1896 +tp1897 +a(g138 +V} +tp1898 +a(g6 +V/ +tp1899 +a(g138 +V${ +p1900 +tp1901 +a(g100 +Vfile +p1902 +tp1903 +a(g138 +V} +tp1904 +a(g6 +V +tp1905 +a(g262 +V\u005c\u000a +p1906 +tp1907 +a(g6 +V +p1908 +tp1909 +a(g138 +V${ +p1910 +tp1911 +a(g100 +VPYTHONPREFIX_LIBDIR +p1912 +tp1913 +a(g138 +V} +tp1914 +a(g6 +V/ +tp1915 +a(g138 +V${ +p1916 +tp1917 +a(g100 +Vplatform +p1918 +tp1919 +a(g138 +V} +tp1920 +a(g6 +V/ +tp1921 +a(g6 +V\u000a +tp1922 +a(g27 +V.endfor\u000a +p1923 +tp1924 +a(g6 +V +tp1925 +a(g27 +V.endfor\u000a +p1926 +tp1927 +a(g6 +V\u000a +tp1928 +a(g6 +Vpre-install: +p1929 +tp1930 +a(g6 +V\u000a +p1931 +tp1932 +a(g138 +V${ +p1933 +tp1934 +a(g100 +VCAT +p1935 +tp1936 +a(g138 +V} +tp1937 +a(g6 +V +tp1938 +a(g138 +V${ +p1939 +tp1940 +a(g100 +VPLIST_TEMPLATE +p1941 +tp1942 +a(g138 +V} +tp1943 +a(g6 +V +tp1944 +a(g6 +V| +tp1945 +a(g6 +V +tp1946 +a(g138 +V${ +p1947 +tp1948 +a(g100 +VAWK +p1949 +tp1950 +a(g138 +V} +tp1951 +a(g6 +V +tp1952 +a(g281 +V'{ print $$0; } \u005c\u000a /LIBDIR.*\u005c.py$$/ && !/\u005c/bad/ { print $$0 "o"; print $$0 "c"; }' +p1953 +tp1954 +a(g6 +V +tp1955 +a(g6 +V> +tp1956 +a(g6 +V +tp1957 +a(g138 +V${ +p1958 +tp1959 +a(g100 +VPLIST +p1960 +tp1961 +a(g138 +V} +tp1962 +a(g6 +V\u000a\u000a +p1963 +tp1964 +a(g24 +V @# if openssl 0.9.8 is detected, _sha{256,512} module won't be installed\u000a +p1965 +tp1966 +a(g6 +V +tp1967 +a(g353 +V( +tp1968 +a(g353 +V[ +tp1969 +a(g6 +V +tp1970 +a(g6 +V-f +p1971 +tp1972 +a(g6 +V +tp1973 +a(g138 +V${ +p1974 +tp1975 +a(g100 +VWRKSRC +p1976 +tp1977 +a(g138 +V} +tp1978 +a(g6 +V/.without_own_sha +p1979 +tp1980 +a(g6 +V +tp1981 +a(g353 +V] +tp1982 +a(g6 +V +tp1983 +a(g353 +V&& +p1984 +tp1985 +a(g6 +V +tp1986 +a(g262 +V\u005c\u000a +p1987 +tp1988 +a(g6 +V +p1989 +tp1990 +a(g138 +V${ +p1991 +tp1992 +a(g100 +VGREP +p1993 +tp1994 +a(g138 +V} +tp1995 +a(g6 +V +tp1996 +a(g6 +V-v +p1997 +tp1998 +a(g6 +V +tp1999 +a(g281 +V'lib-dynload/_sha' +p2000 +tp2001 +a(g6 +V +tp2002 +a(g138 +V${ +p2003 +tp2004 +a(g100 +VPLIST +p2005 +tp2006 +a(g138 +V} +tp2007 +a(g6 +V +tp2008 +a(g6 +V> +tp2009 +a(g6 +V +tp2010 +a(g138 +V${ +p2011 +tp2012 +a(g100 +VPLIST +p2013 +tp2014 +a(g138 +V} +tp2015 +a(g6 +V.tmp +p2016 +tp2017 +a(g6 +V +tp2018 +a(g353 +V&& +p2019 +tp2020 +a(g6 +V +tp2021 +a(g262 +V\u005c\u000a +p2022 +tp2023 +a(g6 +V +p2024 +tp2025 +a(g138 +V${ +p2026 +tp2027 +a(g100 +VCAT +p2028 +tp2029 +a(g138 +V} +tp2030 +a(g6 +V +tp2031 +a(g138 +V${ +p2032 +tp2033 +a(g100 +VPLIST +p2034 +tp2035 +a(g138 +V} +tp2036 +a(g6 +V.tmp +p2037 +tp2038 +a(g6 +V +tp2039 +a(g6 +V> +tp2040 +a(g6 +V +tp2041 +a(g138 +V${ +p2042 +tp2043 +a(g100 +VPLIST +p2044 +tp2045 +a(g138 +V} +tp2046 +a(g353 +V) +tp2047 +a(g6 +V +tp2048 +a(g353 +V|| +p2049 +tp2050 +a(g6 +V +tp2051 +a(g138 +V${ +p2052 +tp2053 +a(g100 +VTRUE +p2054 +tp2055 +a(g138 +V} +tp2056 +a(g6 +V\u000a\u000a +p2057 +tp2058 +a(g6 +Vpost-install: +p2059 +tp2060 +a(g6 +V\u000a +tp2061 +a(g24 +V @# install config providers\u000a +p2062 +tp2063 +a(g6 +V +tp2064 +a(g138 +V${ +p2065 +tp2066 +a(g100 +VINSTALL_SCRIPT +p2067 +tp2068 +a(g138 +V} +tp2069 +a(g6 +V +tp2070 +a(g138 +V${ +p2071 +tp2072 +a(g100 +VWRKDIR +p2073 +tp2074 +a(g138 +V} +tp2075 +a(g6 +V/ +tp2076 +a(g138 +V${ +p2077 +tp2078 +a(g100 +VPYTHON_VERSION +p2079 +tp2080 +a(g138 +V} +tp2081 +a(g6 +V-config +p2082 +tp2083 +a(g6 +V +tp2084 +a(g138 +V${ +p2085 +tp2086 +a(g100 +VPREFIX +p2087 +tp2088 +a(g138 +V} +tp2089 +a(g6 +V/bin +p2090 +tp2091 +a(g6 +V\u000a +p2092 +tp2093 +a(g138 +V${ +p2094 +tp2095 +a(g100 +VINSTALL_SCRIPT +p2096 +tp2097 +a(g138 +V} +tp2098 +a(g6 +V +tp2099 +a(g138 +V${ +p2100 +tp2101 +a(g100 +VWRKDIR +p2102 +tp2103 +a(g138 +V} +tp2104 +a(g6 +V/ +tp2105 +a(g138 +V${ +p2106 +tp2107 +a(g100 +VPYTHON_VERSION +p2108 +tp2109 +a(g212 +V: +tp2110 +a(g100 +VS +tp2111 +a(g212 +V/thon/thon-shared/ +p2112 +tp2113 +a(g138 +V} +tp2114 +a(g6 +V-config +p2115 +tp2116 +a(g6 +V +tp2117 +a(g138 +V${ +p2118 +tp2119 +a(g100 +VPREFIX +p2120 +tp2121 +a(g138 +V} +tp2122 +a(g6 +V/bin +p2123 +tp2124 +a(g6 +V\u000a\u000a +p2125 +tp2126 +a(g24 +V @# shared version of executable and library\u000a +p2127 +tp2128 +a(g6 +V +tp2129 +a(g138 +V${ +p2130 +tp2131 +a(g100 +VINSTALL_PROGRAM +p2132 +tp2133 +a(g138 +V} +tp2134 +a(g6 +V +tp2135 +a(g138 +V${ +p2136 +tp2137 +a(g100 +VSHARED_WRKSRC +p2138 +tp2139 +a(g138 +V} +tp2140 +a(g6 +V/lib +p2141 +tp2142 +a(g138 +V${ +p2143 +tp2144 +a(g100 +VPYTHON_VERSION +p2145 +tp2146 +a(g138 +V} +tp2147 +a(g6 +V.so.1 +p2148 +tp2149 +a(g6 +V +tp2150 +a(g262 +V\u005c\u000a +p2151 +tp2152 +a(g6 +V +p2153 +tp2154 +a(g138 +V${ +p2155 +tp2156 +a(g100 +VPREFIX +p2157 +tp2158 +a(g138 +V} +tp2159 +a(g6 +V/lib +p2160 +tp2161 +a(g6 +V\u000a +p2162 +tp2163 +a(g84 +Vcd +p2164 +tp2165 +a(g6 +V +tp2166 +a(g138 +V${ +p2167 +tp2168 +a(g100 +VPREFIX +p2169 +tp2170 +a(g138 +V} +tp2171 +a(g6 +V/lib; +p2172 +tp2173 +a(g6 +V +tp2174 +a(g138 +V${ +p2175 +tp2176 +a(g100 +VLN +p2177 +tp2178 +a(g138 +V} +tp2179 +a(g6 +V +tp2180 +a(g6 +V-sf +p2181 +tp2182 +a(g6 +V +tp2183 +a(g6 +Vlib +p2184 +tp2185 +a(g138 +V${ +p2186 +tp2187 +a(g100 +VPYTHON_VERSION +p2188 +tp2189 +a(g138 +V} +tp2190 +a(g6 +V.so.1 +p2191 +tp2192 +a(g6 +V +tp2193 +a(g262 +V\u005c\u000a +p2194 +tp2195 +a(g6 +V +p2196 +tp2197 +a(g6 +Vlib +p2198 +tp2199 +a(g138 +V${ +p2200 +tp2201 +a(g100 +VPYTHON_VERSION +p2202 +tp2203 +a(g138 +V} +tp2204 +a(g6 +V.so +p2205 +tp2206 +a(g6 +V\u000a +p2207 +tp2208 +a(g138 +V${ +p2209 +tp2210 +a(g100 +VLN +p2211 +tp2212 +a(g138 +V} +tp2213 +a(g6 +V +tp2214 +a(g6 +V-sf +p2215 +tp2216 +a(g6 +V +tp2217 +a(g138 +V${ +p2218 +tp2219 +a(g100 +VPREFIX +p2220 +tp2221 +a(g138 +V} +tp2222 +a(g6 +V/lib/lib +p2223 +tp2224 +a(g138 +V${ +p2225 +tp2226 +a(g100 +VPYTHON_VERSION +p2227 +tp2228 +a(g138 +V} +tp2229 +a(g6 +V.so +p2230 +tp2231 +a(g6 +V +tp2232 +a(g138 +V${ +p2233 +tp2234 +a(g100 +VPYTHONPREFIX_LIBDIR +p2235 +tp2236 +a(g138 +V} +tp2237 +a(g6 +V/config +p2238 +tp2239 +a(g6 +V\u000a +p2240 +tp2241 +a(g138 +V${ +p2242 +tp2243 +a(g100 +VINSTALL_PROGRAM +p2244 +tp2245 +a(g138 +V} +tp2246 +a(g6 +V +tp2247 +a(g262 +V\u005c\u000a +p2248 +tp2249 +a(g6 +V +p2250 +tp2251 +a(g138 +V${ +p2252 +tp2253 +a(g100 +VSHARED_WRKSRC +p2254 +tp2255 +a(g138 +V} +tp2256 +a(g6 +V/ +tp2257 +a(g138 +V${ +p2258 +tp2259 +a(g100 +VPYTHON_VERSION +p2260 +tp2261 +a(g212 +V: +tp2262 +a(g100 +VS +tp2263 +a(g212 +V/thon/thon-shared/ +p2264 +tp2265 +a(g138 +V} +tp2266 +a(g6 +V +tp2267 +a(g262 +V\u005c\u000a +p2268 +tp2269 +a(g6 +V +p2270 +tp2271 +a(g138 +V${ +p2272 +tp2273 +a(g100 +VPREFIX +p2274 +tp2275 +a(g138 +V} +tp2276 +a(g6 +V/bin +p2277 +tp2278 +a(g6 +V\u000a\u000a +p2279 +tp2280 +a(g24 +V @# additional files installing by ports\u000a +p2281 +tp2282 +a(g6 +V +tp2283 +a(g138 +V${ +p2284 +tp2285 +a(g100 +VINSTALL_SCRIPT +p2286 +tp2287 +a(g138 +V} +tp2288 +a(g6 +V +tp2289 +a(g138 +V${ +p2290 +tp2291 +a(g100 +VWRKDIR +p2292 +tp2293 +a(g138 +V} +tp2294 +a(g6 +V/pydoc2.5 +p2295 +tp2296 +a(g6 +V +tp2297 +a(g138 +V${ +p2298 +tp2299 +a(g100 +VWRKDIR +p2300 +tp2301 +a(g138 +V} +tp2302 +a(g6 +V/idle2.5 +p2303 +tp2304 +a(g6 +V +tp2305 +a(g262 +V\u005c\u000a +p2306 +tp2307 +a(g6 +V +p2308 +tp2309 +a(g138 +V${ +p2310 +tp2311 +a(g100 +VWRKDIR +p2312 +tp2313 +a(g138 +V} +tp2314 +a(g6 +V/smtpd2.5.py +p2315 +tp2316 +a(g6 +V +tp2317 +a(g138 +V${ +p2318 +tp2319 +a(g100 +VPREFIX +p2320 +tp2321 +a(g138 +V} +tp2322 +a(g6 +V/bin +p2323 +tp2324 +a(g6 +V\u000a +p2325 +tp2326 +a(g6 +V@ +tp2327 +a(g138 +V${ +p2328 +tp2329 +a(g100 +VMKDIR +p2330 +tp2331 +a(g138 +V} +tp2332 +a(g6 +V +tp2333 +a(g138 +V${ +p2334 +tp2335 +a(g100 +VMANPREFIX +p2336 +tp2337 +a(g138 +V} +tp2338 +a(g6 +V/man/man1 +p2339 +tp2340 +a(g6 +V\u000a +p2341 +tp2342 +a(g138 +V${ +p2343 +tp2344 +a(g100 +VINSTALL_MAN +p2345 +tp2346 +a(g138 +V} +tp2347 +a(g6 +V +tp2348 +a(g138 +V${ +p2349 +tp2350 +a(g100 +VPYTHON_WRKSRC +p2351 +tp2352 +a(g138 +V} +tp2353 +a(g6 +V/Misc/python.man +p2354 +tp2355 +a(g6 +V +tp2356 +a(g262 +V\u005c\u000a +p2357 +tp2358 +a(g6 +V +p2359 +tp2360 +a(g138 +V${ +p2361 +tp2362 +a(g100 +VMANPREFIX +p2363 +tp2364 +a(g138 +V} +tp2365 +a(g6 +V/man/man1/ +p2366 +tp2367 +a(g138 +V${ +p2368 +tp2369 +a(g100 +VPYTHON_VERSION +p2370 +tp2371 +a(g138 +V} +tp2372 +a(g6 +V.1 +p2373 +tp2374 +a(g6 +V\u000a\u000a +p2375 +tp2376 +a(g27 +V.if ${PYTHON_VERSION} == ${PYTHON_DEFAULT_VERSION}\u000a +p2377 +tp2378 +a(g6 +V +tp2379 +a(g138 +Vfor +p2380 +tp2381 +a(g6 +Vf +tp2382 +a(g6 +V +tp2383 +a(g6 +Vin +p2384 +tp2385 +a(g6 +V +tp2386 +a(g138 +V${ +p2387 +tp2388 +a(g100 +VBIN_SCRIPTS +p2389 +tp2390 +a(g138 +V} +tp2391 +a(g6 +V; +tp2392 +a(g6 +V +tp2393 +a(g138 +Vdo +p2394 +tp2395 +a(g6 +V +tp2396 +a(g262 +V\u005c\u000a +p2397 +tp2398 +a(g6 +V +p2399 +tp2400 +a(g100 +VTARGET +p2401 +tp2402 +a(g353 +V= +tp2403 +a(g274 +V` +tp2404 +a(g138 +V${ +p2405 +tp2406 +a(g100 +VECHO_CMD +p2407 +tp2408 +a(g138 +V} +tp2409 +a(g6 +V +tp2410 +a(g100 +V$$ +p2411 +tp2412 +a(g6 +Vf +tp2413 +a(g6 +V +tp2414 +a(g6 +V| +tp2415 +a(g6 +V +tp2416 +a(g138 +V${ +p2417 +tp2418 +a(g100 +VSED +p2419 +tp2420 +a(g138 +V} +tp2421 +a(g6 +V +tp2422 +a(g6 +V-E +p2423 +tp2424 +a(g6 +V +tp2425 +a(g138 +V${ +p2426 +tp2427 +a(g100 +VBINLINKS_SUB +p2428 +tp2429 +a(g138 +V} +tp2430 +a(g274 +V` +tp2431 +a(g6 +V; +tp2432 +a(g6 +V +tp2433 +a(g262 +V\u005c\u000a +p2434 +tp2435 +a(g6 +V +p2436 +tp2437 +a(g84 +Vcd +p2438 +tp2439 +a(g6 +V +tp2440 +a(g138 +V${ +p2441 +tp2442 +a(g100 +VPREFIX +p2443 +tp2444 +a(g138 +V} +tp2445 +a(g6 +V/bin +p2446 +tp2447 +a(g6 +V +tp2448 +a(g353 +V&& +p2449 +tp2450 +a(g6 +V +tp2451 +a(g138 +V${ +p2452 +tp2453 +a(g100 +VLN +p2454 +tp2455 +a(g138 +V} +tp2456 +a(g6 +V +tp2457 +a(g6 +V-f +p2458 +tp2459 +a(g6 +V +tp2460 +a(g100 +V$$ +p2461 +tp2462 +a(g6 +VTARGET +p2463 +tp2464 +a(g6 +V +tp2465 +a(g100 +V$$ +p2466 +tp2467 +a(g6 +Vf; +p2468 +tp2469 +a(g6 +V +tp2470 +a(g262 +V\u005c\u000a +p2471 +tp2472 +a(g6 +V +tp2473 +a(g138 +Vdone +p2474 +tp2475 +a(g6 +V\u000a +tp2476 +a(g27 +V.endif\u000a +p2477 +tp2478 +a(g6 +V\u000a +tp2479 +a(g27 +V.if !defined(NOPORTDOCS)\u000a +p2480 +tp2481 +a(g6 +V +tp2482 +a(g6 +V@ +tp2483 +a(g138 +V${ +p2484 +tp2485 +a(g100 +VMKDIR +p2486 +tp2487 +a(g138 +V} +tp2488 +a(g6 +V +tp2489 +a(g138 +V${ +p2490 +tp2491 +a(g100 +VTOOLSDIR +p2492 +tp2493 +a(g138 +V} +tp2494 +a(g6 +V\u000a +p2495 +tp2496 +a(g6 +V@cd +p2497 +tp2498 +a(g6 +V +tp2499 +a(g138 +V${ +p2500 +tp2501 +a(g100 +VPYTHON_WRKSRC +p2502 +tp2503 +a(g138 +V} +tp2504 +a(g6 +V; +tp2505 +a(g6 +V +tp2506 +a(g138 +V${ +p2507 +tp2508 +a(g100 +VTAR +p2509 +tp2510 +a(g138 +V} +tp2511 +a(g6 +V +tp2512 +a(g6 +V-cf +p2513 +tp2514 +a(g6 +V +tp2515 +a(g6 +V- +tp2516 +a(g6 +V +tp2517 +a(g6 +VTools +p2518 +tp2519 +a(g6 +V +tp2520 +a(g6 +V| +tp2521 +a(g6 +V +tp2522 +a(g262 +V\u005c\u000a +p2523 +tp2524 +a(g6 +V +p2525 +tp2526 +a(g353 +V( +tp2527 +a(g84 +Vcd +p2528 +tp2529 +a(g6 +V +tp2530 +a(g138 +V${ +p2531 +tp2532 +a(g100 +VTOOLSDIR +p2533 +tp2534 +a(g138 +V} +tp2535 +a(g6 +V; +tp2536 +a(g6 +V +tp2537 +a(g138 +V${ +p2538 +tp2539 +a(g100 +VTAR +p2540 +tp2541 +a(g138 +V} +tp2542 +a(g6 +V +tp2543 +a(g6 +V-xf +p2544 +tp2545 +a(g6 +V +tp2546 +a(g6 +V- +tp2547 +a(g353 +V) +tp2548 +a(g6 +V\u000a +p2549 +tp2550 +a(g6 +V@ +tp2551 +a(g138 +V${ +p2552 +tp2553 +a(g100 +VMKDIR +p2554 +tp2555 +a(g138 +V} +tp2556 +a(g6 +V +tp2557 +a(g138 +V${ +p2558 +tp2559 +a(g100 +VDEMODIR +p2560 +tp2561 +a(g138 +V} +tp2562 +a(g6 +V\u000a +p2563 +tp2564 +a(g6 +V@cd +p2565 +tp2566 +a(g6 +V +tp2567 +a(g138 +V${ +p2568 +tp2569 +a(g100 +VPYTHON_WRKSRC +p2570 +tp2571 +a(g138 +V} +tp2572 +a(g6 +V/Demo; +p2573 +tp2574 +a(g6 +V +tp2575 +a(g138 +V${ +p2576 +tp2577 +a(g100 +VTAR +p2578 +tp2579 +a(g138 +V} +tp2580 +a(g6 +V +tp2581 +a(g6 +V-cf +p2582 +tp2583 +a(g6 +V +tp2584 +a(g6 +V- +tp2585 +a(g6 +V +tp2586 +a(g6 +V* +tp2587 +a(g6 +V +tp2588 +a(g6 +V| +tp2589 +a(g6 +V +tp2590 +a(g262 +V\u005c\u000a +p2591 +tp2592 +a(g6 +V +p2593 +tp2594 +a(g353 +V( +tp2595 +a(g84 +Vcd +p2596 +tp2597 +a(g6 +V +tp2598 +a(g138 +V${ +p2599 +tp2600 +a(g100 +VDEMODIR +p2601 +tp2602 +a(g138 +V} +tp2603 +a(g6 +V; +tp2604 +a(g6 +V +tp2605 +a(g138 +V${ +p2606 +tp2607 +a(g100 +VTAR +p2608 +tp2609 +a(g138 +V} +tp2610 +a(g6 +V +tp2611 +a(g6 +V-xf +p2612 +tp2613 +a(g6 +V +tp2614 +a(g6 +V- +tp2615 +a(g353 +V) +tp2616 +a(g6 +V\u000a +tp2617 +a(g27 +V.endif\u000a +p2618 +tp2619 +a(g6 +V\u000a +p2620 +tp2621 +a(g6 +V@ +tp2622 +a(g138 +V${ +p2623 +tp2624 +a(g100 +VCAT +p2625 +tp2626 +a(g138 +V} +tp2627 +a(g6 +V +tp2628 +a(g138 +V${ +p2629 +tp2630 +a(g100 +VPKGMESSAGE +p2631 +tp2632 +a(g138 +V} +tp2633 +a(g6 +V\u000a\u000a +p2634 +tp2635 +a(g27 +V.include \u000a +p2636 +tp2637 +a(g6 +V +tp2638 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/qsort.prolog b/tests/examplefiles/output/qsort.prolog new file mode 100644 index 0000000..c92a83b --- /dev/null +++ b/tests/examplefiles/output/qsort.prolog @@ -0,0 +1,1905 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Name' +p5 +S'Function' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g6 +g7 +sS'Exception' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsS'Tag' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g9 +g10 +((ltRp22 +sg12 +g13 +sbsS'Constant' +p23 +g2 +(g3 +g4 +(g5 +g23 +ttRp24 +(dp25 +g9 +g10 +((ltRp26 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp27 +(dp28 +S'Comment' +p29 +g2 +(g3 +g4 +(g29 +ttRp30 +(dp31 +g12 +g27 +sS'Preproc' +p32 +g2 +(g3 +g4 +(g29 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g30 +sbsS'Single' +p36 +g2 +(g3 +g4 +(g29 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g30 +sbsS'Multiline' +p40 +g2 +(g3 +g4 +(g29 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g30 +sbsg9 +g10 +((lp44 +g2 +(g3 +g4 +(g29 +S'Special' +p45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g30 +sbag33 +ag37 +ag41 +atRp49 +sg45 +g46 +sbsg5 +g13 +sS'Keyword' +p50 +g2 +(g3 +g4 +(g50 +ttRp51 +(dp52 +S'Pervasive' +p53 +g2 +(g3 +g4 +(g50 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g51 +sbsg23 +g2 +(g3 +g4 +(g50 +g23 +ttRp57 +(dp58 +g9 +g10 +((ltRp59 +sg12 +g51 +sbsg12 +g27 +sS'Namespace' +p60 +g2 +(g3 +g4 +(g50 +g60 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g51 +sbsS'Pseudo' +p64 +g2 +(g3 +g4 +(g50 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g51 +sbsS'Reserved' +p68 +g2 +(g3 +g4 +(g50 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g51 +sbsS'Declaration' +p72 +g2 +(g3 +g4 +(g50 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g51 +sbsS'Variable' +p76 +g2 +(g3 +g4 +(g50 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g51 +sbsg9 +g10 +((lp80 +g57 +ag69 +ag2 +(g3 +g4 +(g50 +S'Type' +p81 +ttRp82 +(dp83 +g9 +g10 +((ltRp84 +sg12 +g51 +sbag54 +ag73 +ag77 +ag61 +ag65 +atRp85 +sg81 +g82 +sbsS'Generic' +p86 +g2 +(g3 +g4 +(g86 +ttRp87 +(dp88 +S'Prompt' +p89 +g2 +(g3 +g4 +(g86 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g87 +sbsg12 +g27 +sS'Deleted' +p93 +g2 +(g3 +g4 +(g86 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g87 +sbsS'Traceback' +p97 +g2 +(g3 +g4 +(g86 +g97 +ttRp98 +(dp99 +g9 +g10 +((ltRp100 +sg12 +g87 +sbsS'Emph' +p101 +g2 +(g3 +g4 +(g86 +g101 +ttRp102 +(dp103 +g9 +g10 +((ltRp104 +sg12 +g87 +sbsS'Output' +p105 +g2 +(g3 +g4 +(g86 +g105 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g87 +sbsS'Subheading' +p109 +g2 +(g3 +g4 +(g86 +g109 +ttRp110 +(dp111 +g9 +g10 +((ltRp112 +sg12 +g87 +sbsS'Error' +p113 +g2 +(g3 +g4 +(g86 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g87 +sbsg9 +g10 +((lp117 +g106 +ag102 +ag114 +ag110 +ag98 +ag94 +ag2 +(g3 +g4 +(g86 +S'Heading' +p118 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g87 +sbag2 +(g3 +g4 +(g86 +S'Inserted' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g87 +sbag2 +(g3 +g4 +(g86 +S'Strong' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g87 +sbag90 +atRp130 +sg126 +g127 +sg122 +g123 +sg118 +g119 +sbsS'Text' +p131 +g2 +(g3 +g4 +(g131 +ttRp132 +(dp133 +g9 +g10 +((lp134 +g2 +(g3 +g4 +(g131 +S'Symbol' +p135 +ttRp136 +(dp137 +g9 +g10 +((ltRp138 +sg12 +g132 +sbag2 +(g3 +g4 +(g131 +S'Whitespace' +p139 +ttRp140 +(dp141 +g9 +g10 +((ltRp142 +sg12 +g132 +sbatRp143 +sg135 +g136 +sg139 +g140 +sg12 +g27 +sbsS'Punctuation' +p144 +g2 +(g3 +g4 +(g144 +ttRp145 +(dp146 +g9 +g10 +((lp147 +g2 +(g3 +g4 +(g144 +S'Indicator' +p148 +ttRp149 +(dp150 +g9 +g10 +((ltRp151 +sg12 +g145 +sbatRp152 +sg148 +g149 +sg12 +g27 +sbsS'Token' +p153 +g27 +sS'Number' +p154 +g2 +(g3 +g4 +(S'Literal' +p155 +g154 +ttRp156 +(dp157 +S'Bin' +p158 +g2 +(g3 +g4 +(g155 +g154 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g156 +sbsS'Binary' +p162 +g2 +(g3 +g4 +(g155 +g154 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g156 +sbsg12 +g2 +(g3 +g4 +(g155 +ttRp166 +(dp167 +S'String' +p168 +g2 +(g3 +g4 +(g155 +g168 +ttRp169 +(dp170 +S'Regex' +p171 +g2 +(g3 +g4 +(g155 +g168 +g171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g169 +sbsS'Interpol' +p175 +g2 +(g3 +g4 +(g155 +g168 +g175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g169 +sbsS'Regexp' +p179 +g2 +(g3 +g4 +(g155 +g168 +g179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g169 +sbsg12 +g166 +sS'Heredoc' +p183 +g2 +(g3 +g4 +(g155 +g168 +g183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g169 +sbsS'Double' +p187 +g2 +(g3 +g4 +(g155 +g168 +g187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg12 +g169 +sbsg135 +g2 +(g3 +g4 +(g155 +g168 +g135 +ttRp191 +(dp192 +g9 +g10 +((ltRp193 +sg12 +g169 +sbsS'Escape' +p194 +g2 +(g3 +g4 +(g155 +g168 +g194 +ttRp195 +(dp196 +g9 +g10 +((ltRp197 +sg12 +g169 +sbsS'Character' +p198 +g2 +(g3 +g4 +(g155 +g168 +g198 +ttRp199 +(dp200 +g9 +g10 +((ltRp201 +sg12 +g169 +sbsS'Interp' +p202 +g2 +(g3 +g4 +(g155 +g168 +g202 +ttRp203 +(dp204 +g9 +g10 +((ltRp205 +sg12 +g169 +sbsS'Backtick' +p206 +g2 +(g3 +g4 +(g155 +g168 +g206 +ttRp207 +(dp208 +g9 +g10 +((ltRp209 +sg12 +g169 +sbsS'Char' +p210 +g2 +(g3 +g4 +(g155 +g168 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg12 +g169 +sbsg36 +g2 +(g3 +g4 +(g155 +g168 +g36 +ttRp214 +(dp215 +g9 +g10 +((ltRp216 +sg12 +g169 +sbsS'Other' +p217 +g2 +(g3 +g4 +(g155 +g168 +g217 +ttRp218 +(dp219 +g9 +g10 +((ltRp220 +sg12 +g169 +sbsS'Doc' +p221 +g2 +(g3 +g4 +(g155 +g168 +g221 +ttRp222 +(dp223 +g9 +g10 +((ltRp224 +sg12 +g169 +sbsg9 +g10 +((lp225 +g218 +ag2 +(g3 +g4 +(g155 +g168 +S'Atom' +p226 +ttRp227 +(dp228 +g9 +g10 +((ltRp229 +sg12 +g169 +sbag188 +ag211 +ag203 +ag222 +ag184 +ag207 +ag176 +ag191 +ag180 +ag172 +ag214 +ag199 +ag195 +atRp230 +sg226 +g227 +sbsg12 +g27 +sg154 +g156 +sS'Scalar' +p231 +g2 +(g3 +g4 +(g155 +g231 +ttRp232 +(dp233 +g9 +g10 +((lp234 +g2 +(g3 +g4 +(g155 +g231 +S'Plain' +p235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g232 +sbatRp239 +sg12 +g166 +sg235 +g236 +sbsg217 +g2 +(g3 +g4 +(g155 +g217 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g166 +sbsS'Date' +p243 +g2 +(g3 +g4 +(g155 +g243 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g166 +sbsg9 +g10 +((lp247 +g244 +ag169 +ag240 +ag156 +ag232 +atRp248 +sbsS'Decimal' +p249 +g2 +(g3 +g4 +(g155 +g154 +g249 +ttRp250 +(dp251 +g9 +g10 +((ltRp252 +sg12 +g156 +sbsS'Float' +p253 +g2 +(g3 +g4 +(g155 +g154 +g253 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g156 +sbsS'Hex' +p257 +g2 +(g3 +g4 +(g155 +g154 +g257 +ttRp258 +(dp259 +g9 +g10 +((ltRp260 +sg12 +g156 +sbsS'Integer' +p261 +g2 +(g3 +g4 +(g155 +g154 +g261 +ttRp262 +(dp263 +g9 +g10 +((lp264 +g2 +(g3 +g4 +(g155 +g154 +g261 +S'Long' +p265 +ttRp266 +(dp267 +g9 +g10 +((ltRp268 +sg12 +g262 +sbatRp269 +sg265 +g266 +sg12 +g156 +sbsS'Octal' +p270 +g2 +(g3 +g4 +(g155 +g154 +g270 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g156 +sbsg9 +g10 +((lp274 +g159 +ag163 +ag271 +ag250 +ag2 +(g3 +g4 +(g155 +g154 +S'Oct' +p275 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g156 +sbag262 +ag254 +ag258 +atRp279 +sg275 +g276 +sbsg155 +g166 +sg217 +g2 +(g3 +g4 +(g217 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g27 +sbsg113 +g2 +(g3 +g4 +(g113 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g27 +sbsS'Operator' +p286 +g2 +(g3 +g4 +(g286 +ttRp287 +(dp288 +g9 +g10 +((lp289 +g2 +(g3 +g4 +(g286 +S'Word' +p290 +ttRp291 +(dp292 +g9 +g10 +((ltRp293 +sg12 +g287 +sbatRp294 +sg290 +g291 +sg12 +g27 +sbsg9 +g10 +((lp295 +g30 +ag283 +ag87 +ag132 +ag13 +ag145 +ag51 +ag166 +ag287 +ag280 +atRp296 +sg168 +g169 +sbsg64 +g2 +(g3 +g4 +(g5 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g13 +sbsS'Attribute' +p300 +g2 +(g3 +g4 +(g5 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g13 +sbsS'Label' +p304 +g2 +(g3 +g4 +(g5 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g13 +sbsS'Blubb' +p308 +g2 +(g3 +g4 +(g5 +g308 +ttRp309 +(dp310 +g9 +g10 +((ltRp311 +sg12 +g13 +sbsS'Entity' +p312 +g2 +(g3 +g4 +(g5 +g312 +ttRp313 +(dp314 +g9 +g10 +((ltRp315 +sg12 +g13 +sbsS'Builtin' +p316 +g2 +(g3 +g4 +(g5 +g316 +ttRp317 +(dp318 +g9 +g10 +((lp319 +g2 +(g3 +g4 +(g5 +g316 +g64 +ttRp320 +(dp321 +g9 +g10 +((ltRp322 +sg12 +g317 +sbatRp323 +sg64 +g320 +sg12 +g13 +sbsg217 +g2 +(g3 +g4 +(g5 +g217 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g13 +sbsS'Identifier' +p327 +g2 +(g3 +g4 +(g5 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g13 +sbsg76 +g2 +(g3 +g4 +(g5 +g76 +ttRp331 +(dp332 +g12 +g13 +sS'Global' +p333 +g2 +(g3 +g4 +(g5 +g76 +g333 +ttRp334 +(dp335 +g9 +g10 +((ltRp336 +sg12 +g331 +sbsS'Instance' +p337 +g2 +(g3 +g4 +(g5 +g76 +g337 +ttRp338 +(dp339 +g9 +g10 +((ltRp340 +sg12 +g331 +sbsS'Anonymous' +p341 +g2 +(g3 +g4 +(g5 +g76 +g341 +ttRp342 +(dp343 +g9 +g10 +((ltRp344 +sg12 +g331 +sbsg9 +g10 +((lp345 +g342 +ag338 +ag334 +ag2 +(g3 +g4 +(g5 +g76 +S'Class' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g331 +sbatRp350 +sg346 +g347 +sbsg9 +g10 +((lp351 +g2 +(g3 +g4 +(g5 +S'Decorator' +p352 +ttRp353 +(dp354 +g9 +g10 +((ltRp355 +sg12 +g13 +sbag301 +ag24 +ag297 +ag2 +(g3 +g4 +(g5 +g60 +ttRp356 +(dp357 +g9 +g10 +((ltRp358 +sg12 +g13 +sbag328 +ag317 +ag331 +ag324 +ag309 +ag313 +ag7 +ag2 +(g3 +g4 +(g5 +S'Property' +p359 +ttRp360 +(dp361 +g9 +g10 +((ltRp362 +sg12 +g13 +sbag305 +ag20 +ag16 +ag2 +(g3 +g4 +(g5 +g346 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbatRp366 +sg359 +g360 +sg346 +g363 +sg352 +g353 +sg60 +g356 +sbsbVpartition +p367 +tp368 +a(g145 +V( +tp369 +a(g145 +V[ +tp370 +a(g145 +V] +tp371 +a(g145 +V, +tp372 +a(g132 +V +tp373 +a(g51 +V_ +tp374 +a(g145 +V, +tp375 +a(g132 +V +tp376 +a(g145 +V[ +tp377 +a(g145 +V] +tp378 +a(g145 +V, +tp379 +a(g132 +V +tp380 +a(g145 +V[ +tp381 +a(g145 +V] +tp382 +a(g145 +V) +tp383 +a(g145 +V. +tp384 +a(g132 +V\u000a +tp385 +a(g7 +Vpartition +p386 +tp387 +a(g145 +V( +tp388 +a(g145 +V[ +tp389 +a(g331 +VX +tp390 +a(g145 +V| +tp391 +a(g331 +VXs +p392 +tp393 +a(g145 +V] +tp394 +a(g145 +V, +tp395 +a(g132 +V +tp396 +a(g331 +VPivot +p397 +tp398 +a(g145 +V, +tp399 +a(g132 +V +tp400 +a(g331 +VSmalls +p401 +tp402 +a(g145 +V, +tp403 +a(g132 +V +tp404 +a(g331 +VBigs +p405 +tp406 +a(g145 +V) +tp407 +a(g132 +V +tp408 +a(g145 +V:- +p409 +tp410 +a(g132 +V\u000a +p411 +tp412 +a(g145 +V( +tp413 +a(g132 +V +p414 +tp415 +a(g331 +VX +tp416 +a(g132 +V +tp417 +a(g227 +V@< +p418 +tp419 +a(g132 +V +tp420 +a(g331 +VPivot +p421 +tp422 +a(g132 +V +tp423 +a(g227 +V-> +p424 +tp425 +a(g132 +V\u000a +p426 +tp427 +a(g331 +VSmalls +p428 +tp429 +a(g132 +V +tp430 +a(g287 +V= +tp431 +a(g132 +V +tp432 +a(g145 +V[ +tp433 +a(g331 +VX +tp434 +a(g145 +V| +tp435 +a(g331 +VRest +p436 +tp437 +a(g145 +V] +tp438 +a(g145 +V, +tp439 +a(g132 +V\u000a +p440 +tp441 +a(g7 +Vpartition +p442 +tp443 +a(g145 +V( +tp444 +a(g331 +VXs +p445 +tp446 +a(g145 +V, +tp447 +a(g132 +V +tp448 +a(g331 +VPivot +p449 +tp450 +a(g145 +V, +tp451 +a(g132 +V +tp452 +a(g331 +VRest +p453 +tp454 +a(g145 +V, +tp455 +a(g132 +V +tp456 +a(g331 +VBigs +p457 +tp458 +a(g145 +V) +tp459 +a(g132 +V\u000a +p460 +tp461 +a(g145 +V; +tp462 +a(g132 +V +p463 +tp464 +a(g331 +VBigs +p465 +tp466 +a(g132 +V +tp467 +a(g287 +V= +tp468 +a(g132 +V +tp469 +a(g145 +V[ +tp470 +a(g331 +VX +tp471 +a(g145 +V| +tp472 +a(g331 +VRest +p473 +tp474 +a(g145 +V] +tp475 +a(g145 +V, +tp476 +a(g132 +V\u000a +p477 +tp478 +a(g7 +Vpartition +p479 +tp480 +a(g145 +V( +tp481 +a(g331 +VXs +p482 +tp483 +a(g145 +V, +tp484 +a(g132 +V +tp485 +a(g331 +VPivot +p486 +tp487 +a(g145 +V, +tp488 +a(g132 +V +tp489 +a(g331 +VSmalls +p490 +tp491 +a(g145 +V, +tp492 +a(g132 +V +tp493 +a(g331 +VRest +p494 +tp495 +a(g145 +V) +tp496 +a(g132 +V\u000a +p497 +tp498 +a(g145 +V) +tp499 +a(g145 +V. +tp500 +a(g132 +V\u000a\u000a +p501 +tp502 +a(g7 +Vquicksort +p503 +tp504 +a(g145 +V( +tp505 +a(g145 +V[ +tp506 +a(g145 +V] +tp507 +a(g145 +V) +tp508 +a(g132 +V +p509 +tp510 +a(g145 +V--> +p511 +tp512 +a(g132 +V +tp513 +a(g145 +V[ +tp514 +a(g145 +V] +tp515 +a(g145 +V. +tp516 +a(g132 +V\u000a +tp517 +a(g7 +Vquicksort +p518 +tp519 +a(g145 +V( +tp520 +a(g145 +V[ +tp521 +a(g331 +VX +tp522 +a(g145 +V| +tp523 +a(g331 +VXs +p524 +tp525 +a(g145 +V] +tp526 +a(g145 +V) +tp527 +a(g132 +V +tp528 +a(g145 +V--> +p529 +tp530 +a(g132 +V \u000a +p531 +tp532 +a(g145 +V{ +tp533 +a(g132 +V +tp534 +a(g7 +Vpartition +p535 +tp536 +a(g145 +V( +tp537 +a(g331 +VXs +p538 +tp539 +a(g145 +V, +tp540 +a(g132 +V +tp541 +a(g331 +VX +tp542 +a(g145 +V, +tp543 +a(g132 +V +tp544 +a(g331 +VSmaller +p545 +tp546 +a(g145 +V, +tp547 +a(g132 +V +tp548 +a(g331 +VBigger +p549 +tp550 +a(g145 +V) +tp551 +a(g132 +V +tp552 +a(g145 +V} +tp553 +a(g145 +V, +tp554 +a(g132 +V\u000a +p555 +tp556 +a(g7 +Vquicksort +p557 +tp558 +a(g145 +V( +tp559 +a(g331 +VSmaller +p560 +tp561 +a(g145 +V) +tp562 +a(g145 +V, +tp563 +a(g132 +V +tp564 +a(g145 +V[ +tp565 +a(g331 +VX +tp566 +a(g145 +V] +tp567 +a(g145 +V, +tp568 +a(g132 +V +tp569 +a(g7 +Vquicksort +p570 +tp571 +a(g145 +V( +tp572 +a(g331 +VBigger +p573 +tp574 +a(g145 +V) +tp575 +a(g145 +V. +tp576 +a(g132 +V\u000a +tp577 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/r-console-transcript.Rout b/tests/examplefiles/output/r-console-transcript.Rout new file mode 100644 index 0000000..2c823f3 --- /dev/null +++ b/tests/examplefiles/output/r-console-transcript.Rout @@ -0,0 +1,1723 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Generic' +p5 +S'Output' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Prompt' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g12 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g22 +sbsg9 +g10 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g9 +g10 +((ltRp40 +sg12 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g43 +sbsS'Constant' +p57 +g2 +(g3 +g4 +(g42 +g57 +ttRp58 +(dp59 +g9 +g10 +((ltRp60 +sg12 +g43 +sbsg12 +g19 +sS'Pseudo' +p61 +g2 +(g3 +g4 +(g42 +g61 +ttRp62 +(dp63 +g9 +g10 +((ltRp64 +sg12 +g43 +sbsS'Attribute' +p65 +g2 +(g3 +g4 +(g42 +g65 +ttRp66 +(dp67 +g9 +g10 +((ltRp68 +sg12 +g43 +sbsS'Label' +p69 +g2 +(g3 +g4 +(g42 +g69 +ttRp70 +(dp71 +g9 +g10 +((ltRp72 +sg12 +g43 +sbsS'Blubb' +p73 +g2 +(g3 +g4 +(g42 +g73 +ttRp74 +(dp75 +g9 +g10 +((ltRp76 +sg12 +g43 +sbsS'Entity' +p77 +g2 +(g3 +g4 +(g42 +g77 +ttRp78 +(dp79 +g9 +g10 +((ltRp80 +sg12 +g43 +sbsS'Builtin' +p81 +g2 +(g3 +g4 +(g42 +g81 +ttRp82 +(dp83 +g9 +g10 +((lp84 +g2 +(g3 +g4 +(g42 +g81 +g61 +ttRp85 +(dp86 +g9 +g10 +((ltRp87 +sg12 +g82 +sbatRp88 +sg61 +g85 +sg12 +g43 +sbsS'Other' +p89 +g2 +(g3 +g4 +(g42 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g43 +sbsS'Identifier' +p93 +g2 +(g3 +g4 +(g42 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g43 +sbsS'Variable' +p97 +g2 +(g3 +g4 +(g42 +g97 +ttRp98 +(dp99 +g12 +g43 +sS'Global' +p100 +g2 +(g3 +g4 +(g42 +g97 +g100 +ttRp101 +(dp102 +g9 +g10 +((ltRp103 +sg12 +g98 +sbsS'Instance' +p104 +g2 +(g3 +g4 +(g42 +g97 +g104 +ttRp105 +(dp106 +g9 +g10 +((ltRp107 +sg12 +g98 +sbsS'Anonymous' +p108 +g2 +(g3 +g4 +(g42 +g97 +g108 +ttRp109 +(dp110 +g9 +g10 +((ltRp111 +sg12 +g98 +sbsg9 +g10 +((lp112 +g109 +ag105 +ag101 +ag2 +(g3 +g4 +(g42 +g97 +S'Class' +p113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g98 +sbatRp117 +sg113 +g114 +sbsg9 +g10 +((lp118 +g2 +(g3 +g4 +(g42 +S'Decorator' +p119 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g43 +sbag66 +ag58 +ag62 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p123 +ttRp124 +(dp125 +g9 +g10 +((ltRp126 +sg12 +g43 +sbag94 +ag82 +ag98 +ag90 +ag74 +ag78 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p127 +ttRp128 +(dp129 +g9 +g10 +((ltRp130 +sg12 +g43 +sbag70 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g113 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g43 +sbatRp134 +sg127 +g128 +sg113 +g131 +sg119 +g120 +sg123 +g124 +sbsS'Keyword' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +S'Pervasive' +p138 +g2 +(g3 +g4 +(g135 +g138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g136 +sbsg57 +g2 +(g3 +g4 +(g135 +g57 +ttRp142 +(dp143 +g9 +g10 +((ltRp144 +sg12 +g136 +sbsg12 +g19 +sg123 +g2 +(g3 +g4 +(g135 +g123 +ttRp145 +(dp146 +g9 +g10 +((ltRp147 +sg12 +g136 +sbsg61 +g2 +(g3 +g4 +(g135 +g61 +ttRp148 +(dp149 +g9 +g10 +((ltRp150 +sg12 +g136 +sbsS'Reserved' +p151 +g2 +(g3 +g4 +(g135 +g151 +ttRp152 +(dp153 +g9 +g10 +((ltRp154 +sg12 +g136 +sbsS'Declaration' +p155 +g2 +(g3 +g4 +(g135 +g155 +ttRp156 +(dp157 +g9 +g10 +((ltRp158 +sg12 +g136 +sbsg97 +g2 +(g3 +g4 +(g135 +g97 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g136 +sbsg9 +g10 +((lp162 +g142 +ag152 +ag2 +(g3 +g4 +(g135 +S'Type' +p163 +ttRp164 +(dp165 +g9 +g10 +((ltRp166 +sg12 +g136 +sbag139 +ag156 +ag159 +ag145 +ag148 +atRp167 +sg163 +g164 +sbsg5 +g13 +sS'Text' +p168 +g2 +(g3 +g4 +(g168 +ttRp169 +(dp170 +g9 +g10 +((lp171 +g2 +(g3 +g4 +(g168 +S'Symbol' +p172 +ttRp173 +(dp174 +g9 +g10 +((ltRp175 +sg12 +g169 +sbag2 +(g3 +g4 +(g168 +S'Whitespace' +p176 +ttRp177 +(dp178 +g9 +g10 +((ltRp179 +sg12 +g169 +sbatRp180 +sg172 +g173 +sg176 +g177 +sg12 +g19 +sbsS'Punctuation' +p181 +g2 +(g3 +g4 +(g181 +ttRp182 +(dp183 +g9 +g10 +((lp184 +g2 +(g3 +g4 +(g181 +S'Indicator' +p185 +ttRp186 +(dp187 +g9 +g10 +((ltRp188 +sg12 +g182 +sbatRp189 +sg185 +g186 +sg12 +g19 +sbsS'Token' +p190 +g19 +sS'Number' +p191 +g2 +(g3 +g4 +(S'Literal' +p192 +g191 +ttRp193 +(dp194 +S'Bin' +p195 +g2 +(g3 +g4 +(g192 +g191 +g195 +ttRp196 +(dp197 +g9 +g10 +((ltRp198 +sg12 +g193 +sbsS'Binary' +p199 +g2 +(g3 +g4 +(g192 +g191 +g199 +ttRp200 +(dp201 +g9 +g10 +((ltRp202 +sg12 +g193 +sbsg12 +g2 +(g3 +g4 +(g192 +ttRp203 +(dp204 +S'String' +p205 +g2 +(g3 +g4 +(g192 +g205 +ttRp206 +(dp207 +S'Regex' +p208 +g2 +(g3 +g4 +(g192 +g205 +g208 +ttRp209 +(dp210 +g9 +g10 +((ltRp211 +sg12 +g206 +sbsS'Interpol' +p212 +g2 +(g3 +g4 +(g192 +g205 +g212 +ttRp213 +(dp214 +g9 +g10 +((ltRp215 +sg12 +g206 +sbsS'Regexp' +p216 +g2 +(g3 +g4 +(g192 +g205 +g216 +ttRp217 +(dp218 +g9 +g10 +((ltRp219 +sg12 +g206 +sbsg12 +g203 +sS'Heredoc' +p220 +g2 +(g3 +g4 +(g192 +g205 +g220 +ttRp221 +(dp222 +g9 +g10 +((ltRp223 +sg12 +g206 +sbsS'Double' +p224 +g2 +(g3 +g4 +(g192 +g205 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g206 +sbsg172 +g2 +(g3 +g4 +(g192 +g205 +g172 +ttRp228 +(dp229 +g9 +g10 +((ltRp230 +sg12 +g206 +sbsS'Escape' +p231 +g2 +(g3 +g4 +(g192 +g205 +g231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g206 +sbsS'Character' +p235 +g2 +(g3 +g4 +(g192 +g205 +g235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g206 +sbsS'Interp' +p239 +g2 +(g3 +g4 +(g192 +g205 +g239 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g206 +sbsS'Backtick' +p243 +g2 +(g3 +g4 +(g192 +g205 +g243 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g206 +sbsS'Char' +p247 +g2 +(g3 +g4 +(g192 +g205 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g206 +sbsg28 +g2 +(g3 +g4 +(g192 +g205 +g28 +ttRp251 +(dp252 +g9 +g10 +((ltRp253 +sg12 +g206 +sbsg89 +g2 +(g3 +g4 +(g192 +g205 +g89 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g206 +sbsS'Doc' +p257 +g2 +(g3 +g4 +(g192 +g205 +g257 +ttRp258 +(dp259 +g9 +g10 +((ltRp260 +sg12 +g206 +sbsg9 +g10 +((lp261 +g254 +ag2 +(g3 +g4 +(g192 +g205 +S'Atom' +p262 +ttRp263 +(dp264 +g9 +g10 +((ltRp265 +sg12 +g206 +sbag225 +ag248 +ag240 +ag258 +ag221 +ag244 +ag213 +ag228 +ag217 +ag209 +ag251 +ag236 +ag232 +atRp266 +sg262 +g263 +sbsg12 +g19 +sg191 +g193 +sS'Scalar' +p267 +g2 +(g3 +g4 +(g192 +g267 +ttRp268 +(dp269 +g9 +g10 +((lp270 +g2 +(g3 +g4 +(g192 +g267 +S'Plain' +p271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g268 +sbatRp275 +sg12 +g203 +sg271 +g272 +sbsg89 +g2 +(g3 +g4 +(g192 +g89 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g203 +sbsS'Date' +p279 +g2 +(g3 +g4 +(g192 +g279 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g203 +sbsg9 +g10 +((lp283 +g280 +ag206 +ag276 +ag193 +ag268 +atRp284 +sbsS'Decimal' +p285 +g2 +(g3 +g4 +(g192 +g191 +g285 +ttRp286 +(dp287 +g9 +g10 +((ltRp288 +sg12 +g193 +sbsS'Float' +p289 +g2 +(g3 +g4 +(g192 +g191 +g289 +ttRp290 +(dp291 +g9 +g10 +((ltRp292 +sg12 +g193 +sbsS'Hex' +p293 +g2 +(g3 +g4 +(g192 +g191 +g293 +ttRp294 +(dp295 +g9 +g10 +((ltRp296 +sg12 +g193 +sbsS'Integer' +p297 +g2 +(g3 +g4 +(g192 +g191 +g297 +ttRp298 +(dp299 +g9 +g10 +((lp300 +g2 +(g3 +g4 +(g192 +g191 +g297 +S'Long' +p301 +ttRp302 +(dp303 +g9 +g10 +((ltRp304 +sg12 +g298 +sbatRp305 +sg301 +g302 +sg12 +g193 +sbsS'Octal' +p306 +g2 +(g3 +g4 +(g192 +g191 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g193 +sbsg9 +g10 +((lp310 +g196 +ag200 +ag307 +ag286 +ag2 +(g3 +g4 +(g192 +g191 +S'Oct' +p311 +ttRp312 +(dp313 +g9 +g10 +((ltRp314 +sg12 +g193 +sbag298 +ag290 +ag294 +atRp315 +sg311 +g312 +sbsg192 +g203 +sg89 +g2 +(g3 +g4 +(g89 +ttRp316 +(dp317 +g9 +g10 +((ltRp318 +sg12 +g19 +sbsS'Error' +p319 +g2 +(g3 +g4 +(g319 +ttRp320 +(dp321 +g9 +g10 +((ltRp322 +sg12 +g19 +sbsS'Operator' +p323 +g2 +(g3 +g4 +(g323 +ttRp324 +(dp325 +g9 +g10 +((lp326 +g2 +(g3 +g4 +(g323 +S'Word' +p327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g324 +sbatRp331 +sg327 +g328 +sg12 +g19 +sbsg9 +g10 +((lp332 +g22 +ag320 +ag13 +ag169 +ag43 +ag182 +ag136 +ag203 +ag324 +ag316 +atRp333 +sg205 +g206 +sbsS'Deleted' +p334 +g2 +(g3 +g4 +(g5 +g334 +ttRp335 +(dp336 +g9 +g10 +((ltRp337 +sg12 +g13 +sbsS'Traceback' +p338 +g2 +(g3 +g4 +(g5 +g338 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g13 +sbsS'Emph' +p342 +g2 +(g3 +g4 +(g5 +g342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g13 +sbsg6 +g7 +sS'Subheading' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g13 +sbsg319 +g2 +(g3 +g4 +(g5 +g319 +ttRp350 +(dp351 +g9 +g10 +((ltRp352 +sg12 +g13 +sbsg9 +g10 +((lp353 +g7 +ag343 +ag350 +ag347 +ag339 +ag335 +ag2 +(g3 +g4 +(g5 +S'Heading' +p354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbag2 +(g3 +g4 +(g5 +S'Inserted' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag2 +(g3 +g4 +(g5 +S'Strong' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag16 +atRp366 +sg362 +g363 +sg358 +g359 +sg354 +g355 +sbsbVR version 2.9.2 (2009-08-24)\u000a +p367 +tp368 +a(g7 +VCopyright (C) 2009 The R Foundation for Statistical Computing\u000a +p369 +tp370 +a(g7 +VISBN 3-900051-07-0\u000a +p371 +tp372 +a(g7 +V\u000a +tp373 +a(g7 +VR is free software and comes with ABSOLUTELY NO WARRANTY.\u000a +p374 +tp375 +a(g7 +VYou are welcome to redistribute it under certain conditions.\u000a +p376 +tp377 +a(g7 +VType 'license()' or 'licence()' for distribution details.\u000a +p378 +tp379 +a(g7 +V\u000a +tp380 +a(g7 +V Natural language support but running in an English locale\u000a +p381 +tp382 +a(g7 +V\u000a +tp383 +a(g7 +VR is a collaborative project with many contributors.\u000a +p384 +tp385 +a(g7 +VType 'contributors()' for more information and\u000a +p386 +tp387 +a(g7 +V'citation()' on how to cite R or R packages in publications.\u000a +p388 +tp389 +a(g7 +V\u000a +tp390 +a(g7 +VType 'demo()' for some demos, 'help()' for on-line help, or\u000a +p391 +tp392 +a(g7 +V'help.start()' for an HTML browser interface to help.\u000a +p393 +tp394 +a(g7 +VType 'q()' to quit R.\u000a +p395 +tp396 +a(g7 +V\u000a +tp397 +a(g7 +V[R.app GUI 1.29 (5464) i386-apple-darwin8.11.1]\u000a +p398 +tp399 +a(g7 +V\u000a +tp400 +a(g169 +V +tp401 +a(g16 +V> +p402 +tp403 +a(g169 +Vx +tp404 +a(g169 +V +tp405 +a(g324 +V<- +p406 +tp407 +a(g169 +V +tp408 +a(g169 +Vfunction +p409 +tp410 +a(g169 +V +tp411 +a(g182 +V{ +tp412 +a(g182 +V} +tp413 +a(g169 +V\u000a +tp414 +a(g7 +VError: syntax error\u000a +p415 +tp416 +a(g169 +V +tp417 +a(g16 +V> +p418 +tp419 +a(g169 +Vx +tp420 +a(g169 +V +tp421 +a(g324 +V<- +p422 +tp423 +a(g169 +V +tp424 +a(g152 +Vfunction +p425 +tp426 +a(g182 +V( +tp427 +a(g182 +V) +tp428 +a(g169 +V +tp429 +a(g182 +V{ +tp430 +a(g182 +V} +tp431 +a(g169 +V\u000a +tp432 +a(g16 +V> +p433 +tp434 +a(g169 +V +tp435 +a(g169 +Vx +tp436 +a(g169 +V +tp437 +a(g324 +V<- +p438 +tp439 +a(g169 +V +tp440 +a(g152 +Vfunction +p441 +tp442 +a(g182 +V( +tp443 +a(g182 +V) +tp444 +a(g169 +V +tp445 +a(g182 +V{ +tp446 +a(g169 +V\u000a +tp447 +a(g16 +V+ +p448 +tp449 +a(g169 +V +tp450 +a(g169 +Vcat +p451 +tp452 +a(g182 +V( +tp453 +a(g206 +V" +tp454 +a(g206 +Vhello" +p455 +tp456 +a(g182 +V) +tp457 +a(g169 +V\u000a +tp458 +a(g16 +V+ +p459 +tp460 +a(g169 +V +tp461 +a(g169 +Vcat +p462 +tp463 +a(g182 +V( +tp464 +a(g206 +V" +tp465 +a(g206 +Vworld" +p466 +tp467 +a(g182 +V) +tp468 +a(g169 +V\u000a +tp469 +a(g16 +V+ +p470 +tp471 +a(g169 +V +tp472 +a(g182 +V} +tp473 +a(g169 +V\u000a +tp474 +a(g16 +V> +p475 +tp476 +a(g169 +V +tp477 +a(g169 +Vx +tp478 +a(g169 +V\u000a +tp479 +a(g7 +Vfunction() {\u000a +p480 +tp481 +a(g7 +Vcat("hello")\u000a +p482 +tp483 +a(g7 +Vcat("world")\u000a +p484 +tp485 +a(g7 +V}\u000a +p486 +tp487 +a(g169 +V +tp488 +a(g16 +V> +p489 +tp490 +a(g169 +Vx +tp491 +a(g182 +V( +tp492 +a(g182 +V) +tp493 +a(g169 +V\u000a +tp494 +a(g7 +Vhelloworld\u000a +p495 +tp496 +a(g193 +V +tp497 +a(g16 +V> +p498 +tp499 +a(g193 +V2 +tp500 +a(g169 +V +tp501 +a(g324 +V+ +tp502 +a(g169 +V +tp503 +a(g193 +V2 +tp504 +a(g169 +V\u000a +tp505 +a(g7 +V[1] 4\u000a +p506 +tp507 +a(g169 +V +tp508 +a(g16 +V> +p509 +tp510 +a(g169 +V\u000a +tp511 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ragel-cpp_rlscan b/tests/examplefiles/output/ragel-cpp_rlscan new file mode 100644 index 0000000..eede987 --- /dev/null +++ b/tests/examplefiles/output/ragel-cpp_rlscan @@ -0,0 +1,7426 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsS'Preproc' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag355 +ag358 +ag7 +atRp366 +sg362 +g363 +sbsbV/*\u000a * Lexes Ragel input files.\u000a *\u000a * @LANG: c++\u000a *\u000a * Test works with split code gen.\u000a */ +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g355 +V\u000a# +p370 +tp371 +a(g355 +Vinclude +p372 +tp373 +a(g355 +V\u000a +tp374 +a(g355 +V# +tp375 +a(g355 +Vinclude +p376 +tp377 +a(g355 +V\u000a +tp378 +a(g355 +V# +tp379 +a(g355 +Vinclude +p380 +tp381 +a(g355 +V\u000a +tp382 +a(g355 +V# +tp383 +a(g355 +Vinclude +p384 +tp385 +a(g355 +V\u000a +tp386 +a(g189 +V\u000a +tp387 +a(g111 +Vusing +p388 +tp389 +a(g189 +V +tp390 +a(g111 +Vnamespace +p391 +tp392 +a(g189 +V +tp393 +a(g18 +Vstd +p394 +tp395 +a(g202 +V; +tp396 +a(g189 +V\u000a +tp397 +a(g189 +V\u000a +tp398 +a(g139 +Vvoid +p399 +tp400 +a(g189 +V +tp401 +a(g18 +VescapeXML +p402 +tp403 +a(g202 +V( +tp404 +a(g189 +V +tp405 +a(g111 +Vconst +p406 +tp407 +a(g189 +V +tp408 +a(g139 +Vchar +p409 +tp410 +a(g189 +V +tp411 +a(g344 +V* +tp412 +a(g18 +Vdata +p413 +tp414 +a(g189 +V +tp415 +a(g202 +V) +tp416 +a(g189 +V\u000a +tp417 +a(g202 +V{ +tp418 +a(g189 +V\u000a +tp419 +a(g189 +V +tp420 +a(g111 +Vwhile +p421 +tp422 +a(g189 +V +tp423 +a(g202 +V( +tp424 +a(g189 +V +tp425 +a(g344 +V* +tp426 +a(g18 +Vdata +p427 +tp428 +a(g189 +V +tp429 +a(g344 +V! +tp430 +a(g344 +V= +tp431 +a(g189 +V +tp432 +a(g319 +V0 +tp433 +a(g189 +V +tp434 +a(g202 +V) +tp435 +a(g189 +V +tp436 +a(g202 +V{ +tp437 +a(g189 +V\u000a +tp438 +a(g189 +V +p439 +tp440 +a(g111 +Vswitch +p441 +tp442 +a(g189 +V +tp443 +a(g202 +V( +tp444 +a(g189 +V +tp445 +a(g344 +V* +tp446 +a(g18 +Vdata +p447 +tp448 +a(g189 +V +tp449 +a(g202 +V) +tp450 +a(g189 +V +tp451 +a(g202 +V{ +tp452 +a(g189 +V\u000a +tp453 +a(g189 +V +p454 +tp455 +a(g111 +Vcase +p456 +tp457 +a(g189 +V +tp458 +a(g268 +V'<' +p459 +tp460 +a(g344 +V: +tp461 +a(g189 +V +tp462 +a(g18 +Vcout +p463 +tp464 +a(g189 +V +tp465 +a(g344 +V< +tp466 +a(g344 +V< +tp467 +a(g189 +V +tp468 +a(g226 +V" +tp469 +a(g226 +V< +p470 +tp471 +a(g226 +V" +tp472 +a(g202 +V; +tp473 +a(g189 +V +tp474 +a(g111 +Vbreak +p475 +tp476 +a(g202 +V; +tp477 +a(g189 +V\u000a +tp478 +a(g189 +V +p479 +tp480 +a(g111 +Vcase +p481 +tp482 +a(g189 +V +tp483 +a(g268 +V'>' +p484 +tp485 +a(g344 +V: +tp486 +a(g189 +V +tp487 +a(g18 +Vcout +p488 +tp489 +a(g189 +V +tp490 +a(g344 +V< +tp491 +a(g344 +V< +tp492 +a(g189 +V +tp493 +a(g226 +V" +tp494 +a(g226 +V> +p495 +tp496 +a(g226 +V" +tp497 +a(g202 +V; +tp498 +a(g189 +V +tp499 +a(g111 +Vbreak +p500 +tp501 +a(g202 +V; +tp502 +a(g189 +V\u000a +tp503 +a(g189 +V +p504 +tp505 +a(g111 +Vcase +p506 +tp507 +a(g189 +V +tp508 +a(g268 +V'&' +p509 +tp510 +a(g344 +V: +tp511 +a(g189 +V +tp512 +a(g18 +Vcout +p513 +tp514 +a(g189 +V +tp515 +a(g344 +V< +tp516 +a(g344 +V< +tp517 +a(g189 +V +tp518 +a(g226 +V" +tp519 +a(g226 +V& +p520 +tp521 +a(g226 +V" +tp522 +a(g202 +V; +tp523 +a(g189 +V +tp524 +a(g111 +Vbreak +p525 +tp526 +a(g202 +V; +tp527 +a(g189 +V\u000a +tp528 +a(g189 +V +p529 +tp530 +a(g111 +Vdefault +p531 +tp532 +a(g344 +V: +tp533 +a(g189 +V +tp534 +a(g18 +Vcout +p535 +tp536 +a(g189 +V +tp537 +a(g344 +V< +tp538 +a(g344 +V< +tp539 +a(g189 +V +tp540 +a(g344 +V* +tp541 +a(g18 +Vdata +p542 +tp543 +a(g202 +V; +tp544 +a(g189 +V +tp545 +a(g111 +Vbreak +p546 +tp547 +a(g202 +V; +tp548 +a(g189 +V\u000a +tp549 +a(g189 +V +p550 +tp551 +a(g202 +V} +tp552 +a(g189 +V\u000a +tp553 +a(g189 +V +p554 +tp555 +a(g18 +Vdata +p556 +tp557 +a(g189 +V +tp558 +a(g344 +V+ +tp559 +a(g344 +V= +tp560 +a(g189 +V +tp561 +a(g319 +V1 +tp562 +a(g202 +V; +tp563 +a(g189 +V\u000a +tp564 +a(g189 +V +tp565 +a(g202 +V} +tp566 +a(g189 +V\u000a +tp567 +a(g202 +V} +tp568 +a(g189 +V\u000a +tp569 +a(g189 +V\u000a +tp570 +a(g139 +Vvoid +p571 +tp572 +a(g189 +V +tp573 +a(g18 +VescapeXML +p574 +tp575 +a(g202 +V( +tp576 +a(g189 +V +tp577 +a(g139 +Vchar +p578 +tp579 +a(g189 +V +tp580 +a(g18 +Vc +tp581 +a(g189 +V +tp582 +a(g202 +V) +tp583 +a(g189 +V\u000a +tp584 +a(g202 +V{ +tp585 +a(g189 +V\u000a +tp586 +a(g189 +V +tp587 +a(g111 +Vswitch +p588 +tp589 +a(g189 +V +tp590 +a(g202 +V( +tp591 +a(g189 +V +tp592 +a(g18 +Vc +tp593 +a(g189 +V +tp594 +a(g202 +V) +tp595 +a(g189 +V +tp596 +a(g202 +V{ +tp597 +a(g189 +V\u000a +tp598 +a(g189 +V +p599 +tp600 +a(g111 +Vcase +p601 +tp602 +a(g189 +V +tp603 +a(g268 +V'<' +p604 +tp605 +a(g344 +V: +tp606 +a(g189 +V +tp607 +a(g18 +Vcout +p608 +tp609 +a(g189 +V +tp610 +a(g344 +V< +tp611 +a(g344 +V< +tp612 +a(g189 +V +tp613 +a(g226 +V" +tp614 +a(g226 +V< +p615 +tp616 +a(g226 +V" +tp617 +a(g202 +V; +tp618 +a(g189 +V +tp619 +a(g111 +Vbreak +p620 +tp621 +a(g202 +V; +tp622 +a(g189 +V\u000a +tp623 +a(g189 +V +p624 +tp625 +a(g111 +Vcase +p626 +tp627 +a(g189 +V +tp628 +a(g268 +V'>' +p629 +tp630 +a(g344 +V: +tp631 +a(g189 +V +tp632 +a(g18 +Vcout +p633 +tp634 +a(g189 +V +tp635 +a(g344 +V< +tp636 +a(g344 +V< +tp637 +a(g189 +V +tp638 +a(g226 +V" +tp639 +a(g226 +V> +p640 +tp641 +a(g226 +V" +tp642 +a(g202 +V; +tp643 +a(g189 +V +tp644 +a(g111 +Vbreak +p645 +tp646 +a(g202 +V; +tp647 +a(g189 +V\u000a +tp648 +a(g189 +V +p649 +tp650 +a(g111 +Vcase +p651 +tp652 +a(g189 +V +tp653 +a(g268 +V'&' +p654 +tp655 +a(g344 +V: +tp656 +a(g189 +V +tp657 +a(g18 +Vcout +p658 +tp659 +a(g189 +V +tp660 +a(g344 +V< +tp661 +a(g344 +V< +tp662 +a(g189 +V +tp663 +a(g226 +V" +tp664 +a(g226 +V& +p665 +tp666 +a(g226 +V" +tp667 +a(g202 +V; +tp668 +a(g189 +V +tp669 +a(g111 +Vbreak +p670 +tp671 +a(g202 +V; +tp672 +a(g189 +V\u000a +tp673 +a(g189 +V +p674 +tp675 +a(g111 +Vdefault +p676 +tp677 +a(g344 +V: +tp678 +a(g189 +V +tp679 +a(g18 +Vcout +p680 +tp681 +a(g189 +V +tp682 +a(g344 +V< +tp683 +a(g344 +V< +tp684 +a(g189 +V +tp685 +a(g18 +Vc +tp686 +a(g202 +V; +tp687 +a(g189 +V +tp688 +a(g111 +Vbreak +p689 +tp690 +a(g202 +V; +tp691 +a(g189 +V\u000a +tp692 +a(g189 +V +tp693 +a(g202 +V} +tp694 +a(g189 +V\u000a +tp695 +a(g202 +V} +tp696 +a(g189 +V\u000a +tp697 +a(g189 +V\u000a +tp698 +a(g139 +Vvoid +p699 +tp700 +a(g189 +V +tp701 +a(g18 +VescapeXML +p702 +tp703 +a(g202 +V( +tp704 +a(g189 +V +tp705 +a(g111 +Vconst +p706 +tp707 +a(g189 +V +tp708 +a(g139 +Vchar +p709 +tp710 +a(g189 +V +tp711 +a(g344 +V* +tp712 +a(g18 +Vdata +p713 +tp714 +a(g202 +V, +tp715 +a(g189 +V +tp716 +a(g139 +Vint +p717 +tp718 +a(g189 +V +tp719 +a(g18 +Vlen +p720 +tp721 +a(g189 +V +tp722 +a(g202 +V) +tp723 +a(g189 +V\u000a +tp724 +a(g202 +V{ +tp725 +a(g189 +V\u000a +tp726 +a(g189 +V +tp727 +a(g111 +Vfor +p728 +tp729 +a(g189 +V +tp730 +a(g202 +V( +tp731 +a(g189 +V +tp732 +a(g111 +Vconst +p733 +tp734 +a(g189 +V +tp735 +a(g139 +Vchar +p736 +tp737 +a(g189 +V +tp738 +a(g344 +V* +tp739 +a(g18 +Vend +p740 +tp741 +a(g189 +V +tp742 +a(g344 +V= +tp743 +a(g189 +V +tp744 +a(g18 +Vdata +p745 +tp746 +a(g189 +V +tp747 +a(g344 +V+ +tp748 +a(g189 +V +tp749 +a(g18 +Vlen +p750 +tp751 +a(g202 +V; +tp752 +a(g189 +V +tp753 +a(g18 +Vdata +p754 +tp755 +a(g189 +V +tp756 +a(g344 +V! +tp757 +a(g344 +V= +tp758 +a(g189 +V +tp759 +a(g18 +Vend +p760 +tp761 +a(g202 +V; +tp762 +a(g189 +V +tp763 +a(g18 +Vdata +p764 +tp765 +a(g344 +V+ +tp766 +a(g344 +V+ +tp767 +a(g189 +V +p768 +tp769 +a(g202 +V) +tp770 +a(g189 +V +tp771 +a(g202 +V{ +tp772 +a(g189 +V\u000a +tp773 +a(g189 +V +p774 +tp775 +a(g111 +Vswitch +p776 +tp777 +a(g189 +V +tp778 +a(g202 +V( +tp779 +a(g189 +V +tp780 +a(g344 +V* +tp781 +a(g18 +Vdata +p782 +tp783 +a(g189 +V +tp784 +a(g202 +V) +tp785 +a(g189 +V +tp786 +a(g202 +V{ +tp787 +a(g189 +V\u000a +tp788 +a(g189 +V +p789 +tp790 +a(g111 +Vcase +p791 +tp792 +a(g189 +V +tp793 +a(g268 +V'<' +p794 +tp795 +a(g344 +V: +tp796 +a(g189 +V +tp797 +a(g18 +Vcout +p798 +tp799 +a(g189 +V +tp800 +a(g344 +V< +tp801 +a(g344 +V< +tp802 +a(g189 +V +tp803 +a(g226 +V" +tp804 +a(g226 +V< +p805 +tp806 +a(g226 +V" +tp807 +a(g202 +V; +tp808 +a(g189 +V +tp809 +a(g111 +Vbreak +p810 +tp811 +a(g202 +V; +tp812 +a(g189 +V\u000a +tp813 +a(g189 +V +p814 +tp815 +a(g111 +Vcase +p816 +tp817 +a(g189 +V +tp818 +a(g268 +V'>' +p819 +tp820 +a(g344 +V: +tp821 +a(g189 +V +tp822 +a(g18 +Vcout +p823 +tp824 +a(g189 +V +tp825 +a(g344 +V< +tp826 +a(g344 +V< +tp827 +a(g189 +V +tp828 +a(g226 +V" +tp829 +a(g226 +V> +p830 +tp831 +a(g226 +V" +tp832 +a(g202 +V; +tp833 +a(g189 +V +tp834 +a(g111 +Vbreak +p835 +tp836 +a(g202 +V; +tp837 +a(g189 +V\u000a +tp838 +a(g189 +V +p839 +tp840 +a(g111 +Vcase +p841 +tp842 +a(g189 +V +tp843 +a(g268 +V'&' +p844 +tp845 +a(g344 +V: +tp846 +a(g189 +V +tp847 +a(g18 +Vcout +p848 +tp849 +a(g189 +V +tp850 +a(g344 +V< +tp851 +a(g344 +V< +tp852 +a(g189 +V +tp853 +a(g226 +V" +tp854 +a(g226 +V& +p855 +tp856 +a(g226 +V" +tp857 +a(g202 +V; +tp858 +a(g189 +V +tp859 +a(g111 +Vbreak +p860 +tp861 +a(g202 +V; +tp862 +a(g189 +V\u000a +tp863 +a(g189 +V +p864 +tp865 +a(g111 +Vdefault +p866 +tp867 +a(g344 +V: +tp868 +a(g189 +V +tp869 +a(g18 +Vcout +p870 +tp871 +a(g189 +V +tp872 +a(g344 +V< +tp873 +a(g344 +V< +tp874 +a(g189 +V +tp875 +a(g344 +V* +tp876 +a(g18 +Vdata +p877 +tp878 +a(g202 +V; +tp879 +a(g189 +V +tp880 +a(g111 +Vbreak +p881 +tp882 +a(g202 +V; +tp883 +a(g189 +V\u000a +tp884 +a(g189 +V +p885 +tp886 +a(g202 +V} +tp887 +a(g189 +V\u000a +tp888 +a(g189 +V +tp889 +a(g202 +V} +tp890 +a(g189 +V\u000a +tp891 +a(g202 +V} +tp892 +a(g189 +V\u000a +tp893 +a(g189 +V\u000a +tp894 +a(g127 +Vinline +p895 +tp896 +a(g189 +V +tp897 +a(g139 +Vvoid +p898 +tp899 +a(g189 +V +tp900 +a(g18 +Vwrite +p901 +tp902 +a(g202 +V( +tp903 +a(g189 +V +tp904 +a(g111 +Vconst +p905 +tp906 +a(g189 +V +tp907 +a(g139 +Vchar +p908 +tp909 +a(g189 +V +tp910 +a(g344 +V* +tp911 +a(g18 +Vdata +p912 +tp913 +a(g189 +V +tp914 +a(g202 +V) +tp915 +a(g189 +V\u000a +tp916 +a(g202 +V{ +tp917 +a(g189 +V\u000a +tp918 +a(g189 +V +tp919 +a(g18 +Vcout +p920 +tp921 +a(g189 +V +tp922 +a(g344 +V< +tp923 +a(g344 +V< +tp924 +a(g189 +V +tp925 +a(g18 +Vdata +p926 +tp927 +a(g202 +V; +tp928 +a(g189 +V\u000a +tp929 +a(g202 +V} +tp930 +a(g189 +V\u000a +tp931 +a(g189 +V\u000a +tp932 +a(g127 +Vinline +p933 +tp934 +a(g189 +V +tp935 +a(g139 +Vvoid +p936 +tp937 +a(g189 +V +tp938 +a(g18 +Vwrite +p939 +tp940 +a(g202 +V( +tp941 +a(g189 +V +tp942 +a(g139 +Vchar +p943 +tp944 +a(g189 +V +tp945 +a(g18 +Vc +tp946 +a(g189 +V +tp947 +a(g202 +V) +tp948 +a(g189 +V\u000a +tp949 +a(g202 +V{ +tp950 +a(g189 +V\u000a +tp951 +a(g189 +V +tp952 +a(g18 +Vcout +p953 +tp954 +a(g189 +V +tp955 +a(g344 +V< +tp956 +a(g344 +V< +tp957 +a(g189 +V +tp958 +a(g18 +Vc +tp959 +a(g202 +V; +tp960 +a(g189 +V\u000a +tp961 +a(g202 +V} +tp962 +a(g189 +V\u000a +tp963 +a(g189 +V\u000a +tp964 +a(g127 +Vinline +p965 +tp966 +a(g189 +V +tp967 +a(g139 +Vvoid +p968 +tp969 +a(g189 +V +tp970 +a(g18 +Vwrite +p971 +tp972 +a(g202 +V( +tp973 +a(g189 +V +tp974 +a(g111 +Vconst +p975 +tp976 +a(g189 +V +tp977 +a(g139 +Vchar +p978 +tp979 +a(g189 +V +tp980 +a(g344 +V* +tp981 +a(g18 +Vdata +p982 +tp983 +a(g202 +V, +tp984 +a(g189 +V +tp985 +a(g139 +Vint +p986 +tp987 +a(g189 +V +tp988 +a(g18 +Vlen +p989 +tp990 +a(g189 +V +tp991 +a(g202 +V) +tp992 +a(g189 +V\u000a +tp993 +a(g202 +V{ +tp994 +a(g189 +V\u000a +tp995 +a(g189 +V +tp996 +a(g18 +Vcout +p997 +tp998 +a(g202 +V. +tp999 +a(g18 +Vwrite +p1000 +tp1001 +a(g202 +V( +tp1002 +a(g189 +V +tp1003 +a(g18 +Vdata +p1004 +tp1005 +a(g202 +V, +tp1006 +a(g189 +V +tp1007 +a(g18 +Vlen +p1008 +tp1009 +a(g189 +V +tp1010 +a(g202 +V) +tp1011 +a(g202 +V; +tp1012 +a(g189 +V\u000a +tp1013 +a(g202 +V} +tp1014 +a(g189 +V\u000a +tp1015 +a(g189 +V\u000a +tp1016 +a(g189 +V\u000a +tp1017 +a(g202 +V%%{ +p1018 +tp1019 +a(g197 +V\u000a +p1020 +tp1021 +a(g111 +Vmachine +p1022 +tp1023 +a(g197 +V +tp1024 +a(g73 +VRagelScan +p1025 +tp1026 +a(g202 +V; +tp1027 +a(g197 +V\u000a\u000a +p1028 +tp1029 +a(g73 +Vword +p1030 +tp1031 +a(g197 +V +tp1032 +a(g344 +V= +tp1033 +a(g197 +V +tp1034 +a(g226 +V[a-zA-Z_] +p1035 +tp1036 +a(g226 +V[a-zA-Z_0-9] +p1037 +tp1038 +a(g344 +V* +tp1039 +a(g202 +V; +tp1040 +a(g197 +V\u000a +p1041 +tp1042 +a(g73 +Vinteger +p1043 +tp1044 +a(g197 +V +tp1045 +a(g344 +V= +tp1046 +a(g197 +V +tp1047 +a(g226 +V[0-9] +p1048 +tp1049 +a(g344 +V+ +tp1050 +a(g202 +V; +tp1051 +a(g197 +V\u000a +p1052 +tp1053 +a(g73 +Vhex +p1054 +tp1055 +a(g197 +V +tp1056 +a(g344 +V= +tp1057 +a(g197 +V +tp1058 +a(g226 +V'0x' +p1059 +tp1060 +a(g197 +V +tp1061 +a(g226 +V[0-9a-fA-F] +p1062 +tp1063 +a(g197 +V +tp1064 +a(g226 +V[0-9a-fA-F] +p1065 +tp1066 +a(g344 +V* +tp1067 +a(g202 +V; +tp1068 +a(g197 +V\u000a\u000a +p1069 +tp1070 +a(g73 +Vdefault +p1071 +tp1072 +a(g197 +V +tp1073 +a(g344 +V= +tp1074 +a(g197 +V +tp1075 +a(g344 +V^ +tp1076 +a(g319 +V0 +tp1077 +a(g202 +V; +tp1078 +a(g197 +V\u000a +p1079 +tp1080 +a(g73 +VEOF +p1081 +tp1082 +a(g197 +V +tp1083 +a(g344 +V= +tp1084 +a(g197 +V +tp1085 +a(g319 +V0 +tp1086 +a(g202 +V; +tp1087 +a(g197 +V\u000a\u000a +p1088 +tp1089 +a(g13 +V# Handles comments in outside code and inline blocks. +p1090 +tp1091 +a(g197 +V\u000a +p1092 +tp1093 +a(g73 +Vc_comment +p1094 +tp1095 +a(g197 +V +tp1096 +a(g344 +V: +tp1097 +a(g344 +V= +tp1098 +a(g197 +V \u000a +p1099 +tp1100 +a(g344 +V( +tp1101 +a(g197 +V +tp1102 +a(g73 +Vdefault +p1103 +tp1104 +a(g344 +V* +tp1105 +a(g197 +V +tp1106 +a(g344 +V:> +p1107 +tp1108 +a(g344 +V> +tp1109 +a(g197 +V +tp1110 +a(g226 +V'*/' +p1111 +tp1112 +a(g197 +V +tp1113 +a(g344 +V) +tp1114 +a(g197 +V\u000a +p1115 +tp1116 +a(g344 +V$ +tp1117 +a(g202 +V{ +tp1118 +a(g189 +V +tp1119 +a(g189 +V +tp1120 +a(g18 +VescapeXML +p1121 +tp1122 +a(g202 +V( +tp1123 +a(g189 +V +tp1124 +a(g18 +Vfc +p1125 +tp1126 +a(g189 +V +tp1127 +a(g202 +V) +tp1128 +a(g202 +V; +tp1129 +a(g189 +V +tp1130 +a(g202 +V} +tp1131 +a(g197 +V\u000a +p1132 +tp1133 +a(g344 +V@ +tp1134 +a(g202 +V{ +tp1135 +a(g189 +V +tp1136 +a(g18 +Vfret +p1137 +tp1138 +a(g202 +V; +tp1139 +a(g189 +V +tp1140 +a(g202 +V} +tp1141 +a(g202 +V; +tp1142 +a(g197 +V\u000a\u000a +p1143 +tp1144 +a(g111 +Vaction +p1145 +tp1146 +a(g197 +V +tp1147 +a(g73 +Vemit +p1148 +tp1149 +a(g197 +V +tp1150 +a(g202 +V{ +tp1151 +a(g189 +V\u000a +p1152 +tp1153 +a(g18 +VescapeXML +p1154 +tp1155 +a(g202 +V( +tp1156 +a(g189 +V +tp1157 +a(g18 +Vts +p1158 +tp1159 +a(g202 +V, +tp1160 +a(g189 +V +tp1161 +a(g18 +Vte +p1162 +tp1163 +a(g344 +V- +tp1164 +a(g18 +Vts +p1165 +tp1166 +a(g189 +V +tp1167 +a(g202 +V) +tp1168 +a(g202 +V; +tp1169 +a(g189 +V\u000a +tp1170 +a(g189 +V +tp1171 +a(g202 +V} +tp1172 +a(g197 +V\u000a\u000a +p1173 +tp1174 +a(g13 +V# +tp1175 +a(g197 +V\u000a +p1176 +tp1177 +a(g13 +V# Inline action code +p1178 +tp1179 +a(g197 +V\u000a +p1180 +tp1181 +a(g13 +V# +tp1182 +a(g197 +V\u000a\u000a +p1183 +tp1184 +a(g73 +Vilscan +p1185 +tp1186 +a(g197 +V +tp1187 +a(g344 +V: +tp1188 +a(g344 +V= +tp1189 +a(g197 +V +tp1190 +a(g344 +V| +tp1191 +a(g344 +V* +tp1192 +a(g197 +V\u000a\u000a +p1193 +tp1194 +a(g226 +V"'" +p1195 +tp1196 +a(g197 +V +tp1197 +a(g344 +V( +tp1198 +a(g197 +V +tp1199 +a(g226 +V[^'\u005c\u005c] +p1200 +tp1201 +a(g197 +V +tp1202 +a(g344 +V| +tp1203 +a(g197 +V +tp1204 +a(g229 +V/\u005c\u005c./ +p1205 +tp1206 +a(g197 +V +tp1207 +a(g344 +V) +tp1208 +a(g344 +V* +tp1209 +a(g197 +V +tp1210 +a(g226 +V"'" +p1211 +tp1212 +a(g197 +V +tp1213 +a(g344 +V= +tp1214 +a(g344 +V> +tp1215 +a(g197 +V +tp1216 +a(g73 +Vemit +p1217 +tp1218 +a(g202 +V; +tp1219 +a(g197 +V\u000a +p1220 +tp1221 +a(g226 +V'"' +p1222 +tp1223 +a(g197 +V +tp1224 +a(g344 +V( +tp1225 +a(g197 +V +tp1226 +a(g226 +V[^"\u005c\u005c] +p1227 +tp1228 +a(g197 +V +tp1229 +a(g344 +V| +tp1230 +a(g197 +V +tp1231 +a(g229 +V/\u005c\u005c./ +p1232 +tp1233 +a(g197 +V +tp1234 +a(g344 +V) +tp1235 +a(g344 +V* +tp1236 +a(g197 +V +tp1237 +a(g226 +V'"' +p1238 +tp1239 +a(g197 +V +tp1240 +a(g344 +V= +tp1241 +a(g344 +V> +tp1242 +a(g197 +V +tp1243 +a(g73 +Vemit +p1244 +tp1245 +a(g202 +V; +tp1246 +a(g197 +V\u000a +p1247 +tp1248 +a(g226 +V'/*' +p1249 +tp1250 +a(g197 +V +tp1251 +a(g202 +V{ +tp1252 +a(g189 +V\u000a +p1253 +tp1254 +a(g18 +Vwrite +p1255 +tp1256 +a(g202 +V( +tp1257 +a(g189 +V +tp1258 +a(g226 +V" +tp1259 +a(g226 +V/* +p1260 +tp1261 +a(g226 +V" +tp1262 +a(g189 +V +tp1263 +a(g202 +V) +tp1264 +a(g202 +V; +tp1265 +a(g189 +V\u000a +tp1266 +a(g189 +V +p1267 +tp1268 +a(g18 +Vfcall +p1269 +tp1270 +a(g189 +V +tp1271 +a(g18 +Vc_comment +p1272 +tp1273 +a(g202 +V; +tp1274 +a(g189 +V\u000a +tp1275 +a(g189 +V +p1276 +tp1277 +a(g202 +V} +tp1278 +a(g202 +V; +tp1279 +a(g197 +V\u000a +p1280 +tp1281 +a(g226 +V'//' +p1282 +tp1283 +a(g197 +V +tp1284 +a(g226 +V[^\u005cn] +p1285 +tp1286 +a(g344 +V* +tp1287 +a(g197 +V +tp1288 +a(g226 +V'\u005cn' +p1289 +tp1290 +a(g197 +V +tp1291 +a(g344 +V= +tp1292 +a(g344 +V> +tp1293 +a(g197 +V +tp1294 +a(g73 +Vemit +p1295 +tp1296 +a(g202 +V; +tp1297 +a(g197 +V\u000a\u000a +p1298 +tp1299 +a(g226 +V'{' +p1300 +tp1301 +a(g197 +V +tp1302 +a(g202 +V{ +tp1303 +a(g189 +V\u000a +p1304 +tp1305 +a(g18 +Vwrite +p1306 +tp1307 +a(g202 +V( +tp1308 +a(g189 +V +tp1309 +a(g268 +V'{' +p1310 +tp1311 +a(g189 +V +tp1312 +a(g202 +V) +tp1313 +a(g202 +V; +tp1314 +a(g189 +V\u000a +tp1315 +a(g189 +V +p1316 +tp1317 +a(g18 +Vinline_depth +p1318 +tp1319 +a(g189 +V +tp1320 +a(g344 +V+ +tp1321 +a(g344 +V= +tp1322 +a(g189 +V +tp1323 +a(g319 +V1 +tp1324 +a(g202 +V; +tp1325 +a(g189 +V \u000a +p1326 +tp1327 +a(g202 +V} +tp1328 +a(g202 +V; +tp1329 +a(g197 +V\u000a\u000a +p1330 +tp1331 +a(g226 +V'}' +p1332 +tp1333 +a(g197 +V +tp1334 +a(g202 +V{ +tp1335 +a(g189 +V\u000a +p1336 +tp1337 +a(g18 +Vwrite +p1338 +tp1339 +a(g202 +V( +tp1340 +a(g189 +V +tp1341 +a(g268 +V'}' +p1342 +tp1343 +a(g189 +V +tp1344 +a(g202 +V) +tp1345 +a(g202 +V; +tp1346 +a(g189 +V\u000a +tp1347 +a(g189 +V +p1348 +tp1349 +a(g7 +V/* If dropping down to the last } then return \u000a * to ragel code. */ +p1350 +tp1351 +a(g189 +V\u000a +tp1352 +a(g189 +V +p1353 +tp1354 +a(g111 +Vif +p1355 +tp1356 +a(g189 +V +tp1357 +a(g202 +V( +tp1358 +a(g189 +V +tp1359 +a(g344 +V- +tp1360 +a(g344 +V- +tp1361 +a(g18 +Vinline_depth +p1362 +tp1363 +a(g189 +V +tp1364 +a(g344 +V= +tp1365 +a(g344 +V= +tp1366 +a(g189 +V +tp1367 +a(g319 +V0 +tp1368 +a(g189 +V +tp1369 +a(g202 +V) +tp1370 +a(g189 +V +tp1371 +a(g202 +V{ +tp1372 +a(g189 +V\u000a +p1373 +tp1374 +a(g18 +Vwrite +p1375 +tp1376 +a(g202 +V( +tp1377 +a(g189 +V +tp1378 +a(g226 +V" +tp1379 +a(g226 +V +p1380 +tp1381 +a(g252 +V\u005cn +p1382 +tp1383 +a(g226 +V" +tp1384 +a(g189 +V +tp1385 +a(g202 +V) +tp1386 +a(g202 +V; +tp1387 +a(g189 +V\u000a +tp1388 +a(g189 +V +p1389 +tp1390 +a(g18 +Vfgoto +p1391 +tp1392 +a(g189 +V +tp1393 +a(g18 +Vrlscan +p1394 +tp1395 +a(g202 +V; +tp1396 +a(g189 +V\u000a +tp1397 +a(g189 +V +p1398 +tp1399 +a(g202 +V} +tp1400 +a(g189 +V\u000a +p1401 +tp1402 +a(g202 +V} +tp1403 +a(g202 +V; +tp1404 +a(g197 +V\u000a\u000a +p1405 +tp1406 +a(g73 +Vdefault +p1407 +tp1408 +a(g197 +V +tp1409 +a(g344 +V= +tp1410 +a(g344 +V> +tp1411 +a(g197 +V +tp1412 +a(g202 +V{ +tp1413 +a(g189 +V +tp1414 +a(g18 +VescapeXML +p1415 +tp1416 +a(g202 +V( +tp1417 +a(g189 +V +tp1418 +a(g344 +V* +tp1419 +a(g18 +Vts +p1420 +tp1421 +a(g189 +V +tp1422 +a(g202 +V) +tp1423 +a(g202 +V; +tp1424 +a(g189 +V +tp1425 +a(g202 +V} +tp1426 +a(g202 +V; +tp1427 +a(g197 +V\u000a +p1428 +tp1429 +a(g344 +V* +tp1430 +a(g344 +V| +tp1431 +a(g202 +V; +tp1432 +a(g197 +V\u000a\u000a +p1433 +tp1434 +a(g13 +V# +tp1435 +a(g197 +V\u000a +p1436 +tp1437 +a(g13 +V# Ragel Tokens +p1438 +tp1439 +a(g197 +V\u000a +p1440 +tp1441 +a(g13 +V# +tp1442 +a(g197 +V\u000a\u000a +p1443 +tp1444 +a(g73 +Vrlscan +p1445 +tp1446 +a(g197 +V +tp1447 +a(g344 +V: +tp1448 +a(g344 +V= +tp1449 +a(g197 +V +tp1450 +a(g344 +V| +tp1451 +a(g344 +V* +tp1452 +a(g197 +V\u000a +p1453 +tp1454 +a(g226 +V'}%%' +p1455 +tp1456 +a(g197 +V +tp1457 +a(g202 +V{ +tp1458 +a(g189 +V\u000a +p1459 +tp1460 +a(g111 +Vif +p1461 +tp1462 +a(g189 +V +tp1463 +a(g202 +V( +tp1464 +a(g189 +V +tp1465 +a(g344 +V! +tp1466 +a(g18 +Vsingle_line +p1467 +tp1468 +a(g189 +V +tp1469 +a(g202 +V) +tp1470 +a(g189 +V +tp1471 +a(g202 +V{ +tp1472 +a(g189 +V\u000a +p1473 +tp1474 +a(g18 +Vwrite +p1475 +tp1476 +a(g202 +V( +tp1477 +a(g189 +V +tp1478 +a(g226 +V" +tp1479 +a(g226 +V +p1480 +tp1481 +a(g252 +V\u005cn +p1482 +tp1483 +a(g226 +V" +tp1484 +a(g189 +V +tp1485 +a(g202 +V) +tp1486 +a(g202 +V; +tp1487 +a(g189 +V\u000a +tp1488 +a(g189 +V +p1489 +tp1490 +a(g18 +Vfgoto +p1491 +tp1492 +a(g189 +V +tp1493 +a(g18 +Vmain +p1494 +tp1495 +a(g202 +V; +tp1496 +a(g189 +V\u000a +tp1497 +a(g189 +V +p1498 +tp1499 +a(g202 +V} +tp1500 +a(g189 +V\u000a +p1501 +tp1502 +a(g202 +V} +tp1503 +a(g202 +V; +tp1504 +a(g197 +V\u000a\u000a +p1505 +tp1506 +a(g226 +V'\u005cn' +p1507 +tp1508 +a(g197 +V +tp1509 +a(g202 +V{ +tp1510 +a(g189 +V\u000a +p1511 +tp1512 +a(g111 +Vif +p1513 +tp1514 +a(g189 +V +tp1515 +a(g202 +V( +tp1516 +a(g189 +V +tp1517 +a(g18 +Vsingle_line +p1518 +tp1519 +a(g189 +V +tp1520 +a(g202 +V) +tp1521 +a(g189 +V +tp1522 +a(g202 +V{ +tp1523 +a(g189 +V\u000a +p1524 +tp1525 +a(g18 +Vwrite +p1526 +tp1527 +a(g202 +V( +tp1528 +a(g189 +V +tp1529 +a(g226 +V" +tp1530 +a(g226 +V +p1531 +tp1532 +a(g252 +V\u005cn +p1533 +tp1534 +a(g226 +V" +tp1535 +a(g189 +V +tp1536 +a(g202 +V) +tp1537 +a(g202 +V; +tp1538 +a(g189 +V\u000a +tp1539 +a(g189 +V +p1540 +tp1541 +a(g18 +Vfgoto +p1542 +tp1543 +a(g189 +V +tp1544 +a(g18 +Vmain +p1545 +tp1546 +a(g202 +V; +tp1547 +a(g189 +V\u000a +tp1548 +a(g189 +V +p1549 +tp1550 +a(g202 +V} +tp1551 +a(g189 +V\u000a +p1552 +tp1553 +a(g202 +V} +tp1554 +a(g202 +V; +tp1555 +a(g197 +V\u000a\u000a +p1556 +tp1557 +a(g13 +V# Word +p1558 +tp1559 +a(g197 +V\u000a +p1560 +tp1561 +a(g73 +Vword +p1562 +tp1563 +a(g197 +V +tp1564 +a(g202 +V{ +tp1565 +a(g189 +V\u000a +p1566 +tp1567 +a(g18 +Vwrite +p1568 +tp1569 +a(g202 +V( +tp1570 +a(g189 +V +tp1571 +a(g226 +V" +tp1572 +a(g226 +V +p1573 +tp1574 +a(g226 +V" +tp1575 +a(g189 +V +tp1576 +a(g202 +V) +tp1577 +a(g202 +V; +tp1578 +a(g189 +V\u000a +tp1579 +a(g189 +V +p1580 +tp1581 +a(g18 +Vwrite +p1582 +tp1583 +a(g202 +V( +tp1584 +a(g189 +V +tp1585 +a(g18 +Vts +p1586 +tp1587 +a(g202 +V, +tp1588 +a(g189 +V +tp1589 +a(g18 +Vte +p1590 +tp1591 +a(g344 +V- +tp1592 +a(g18 +Vts +p1593 +tp1594 +a(g189 +V +tp1595 +a(g202 +V) +tp1596 +a(g202 +V; +tp1597 +a(g189 +V\u000a +tp1598 +a(g189 +V +p1599 +tp1600 +a(g18 +Vwrite +p1601 +tp1602 +a(g202 +V( +tp1603 +a(g189 +V +tp1604 +a(g226 +V" +tp1605 +a(g226 +V +p1606 +tp1607 +a(g252 +V\u005cn +p1608 +tp1609 +a(g226 +V" +tp1610 +a(g189 +V +tp1611 +a(g202 +V) +tp1612 +a(g202 +V; +tp1613 +a(g189 +V\u000a +tp1614 +a(g189 +V +p1615 +tp1616 +a(g202 +V} +tp1617 +a(g202 +V; +tp1618 +a(g197 +V\u000a\u000a +p1619 +tp1620 +a(g13 +V# Decimal integer. +p1621 +tp1622 +a(g197 +V\u000a +p1623 +tp1624 +a(g73 +Vinteger +p1625 +tp1626 +a(g197 +V +tp1627 +a(g202 +V{ +tp1628 +a(g189 +V\u000a +p1629 +tp1630 +a(g18 +Vwrite +p1631 +tp1632 +a(g202 +V( +tp1633 +a(g189 +V +tp1634 +a(g226 +V" +tp1635 +a(g226 +V +p1636 +tp1637 +a(g226 +V" +tp1638 +a(g189 +V +tp1639 +a(g202 +V) +tp1640 +a(g202 +V; +tp1641 +a(g189 +V\u000a +tp1642 +a(g189 +V +p1643 +tp1644 +a(g18 +Vwrite +p1645 +tp1646 +a(g202 +V( +tp1647 +a(g189 +V +tp1648 +a(g18 +Vts +p1649 +tp1650 +a(g202 +V, +tp1651 +a(g189 +V +tp1652 +a(g18 +Vte +p1653 +tp1654 +a(g344 +V- +tp1655 +a(g18 +Vts +p1656 +tp1657 +a(g189 +V +tp1658 +a(g202 +V) +tp1659 +a(g202 +V; +tp1660 +a(g189 +V\u000a +tp1661 +a(g189 +V +p1662 +tp1663 +a(g18 +Vwrite +p1664 +tp1665 +a(g202 +V( +tp1666 +a(g189 +V +tp1667 +a(g226 +V" +tp1668 +a(g226 +V +p1669 +tp1670 +a(g252 +V\u005cn +p1671 +tp1672 +a(g226 +V" +tp1673 +a(g189 +V +tp1674 +a(g202 +V) +tp1675 +a(g202 +V; +tp1676 +a(g189 +V\u000a +tp1677 +a(g189 +V +p1678 +tp1679 +a(g202 +V} +tp1680 +a(g202 +V; +tp1681 +a(g197 +V\u000a\u000a +p1682 +tp1683 +a(g13 +V# Hexidecimal integer. +p1684 +tp1685 +a(g197 +V\u000a +p1686 +tp1687 +a(g73 +Vhex +p1688 +tp1689 +a(g197 +V +tp1690 +a(g202 +V{ +tp1691 +a(g189 +V\u000a +p1692 +tp1693 +a(g18 +Vwrite +p1694 +tp1695 +a(g202 +V( +tp1696 +a(g189 +V +tp1697 +a(g226 +V" +tp1698 +a(g226 +V +p1699 +tp1700 +a(g226 +V" +tp1701 +a(g189 +V +tp1702 +a(g202 +V) +tp1703 +a(g202 +V; +tp1704 +a(g189 +V\u000a +tp1705 +a(g189 +V +p1706 +tp1707 +a(g18 +Vwrite +p1708 +tp1709 +a(g202 +V( +tp1710 +a(g189 +V +tp1711 +a(g18 +Vts +p1712 +tp1713 +a(g202 +V, +tp1714 +a(g189 +V +tp1715 +a(g18 +Vte +p1716 +tp1717 +a(g344 +V- +tp1718 +a(g18 +Vts +p1719 +tp1720 +a(g189 +V +tp1721 +a(g202 +V) +tp1722 +a(g202 +V; +tp1723 +a(g189 +V\u000a +tp1724 +a(g189 +V +p1725 +tp1726 +a(g18 +Vwrite +p1727 +tp1728 +a(g202 +V( +tp1729 +a(g189 +V +tp1730 +a(g226 +V" +tp1731 +a(g226 +V +p1732 +tp1733 +a(g252 +V\u005cn +p1734 +tp1735 +a(g226 +V" +tp1736 +a(g189 +V +tp1737 +a(g202 +V) +tp1738 +a(g202 +V; +tp1739 +a(g189 +V\u000a +tp1740 +a(g189 +V +p1741 +tp1742 +a(g202 +V} +tp1743 +a(g202 +V; +tp1744 +a(g197 +V\u000a\u000a +p1745 +tp1746 +a(g13 +V# Consume comments. +p1747 +tp1748 +a(g197 +V\u000a +p1749 +tp1750 +a(g226 +V'#' +p1751 +tp1752 +a(g197 +V +tp1753 +a(g226 +V[^\u005cn] +p1754 +tp1755 +a(g344 +V* +tp1756 +a(g197 +V +tp1757 +a(g226 +V'\u005cn' +p1758 +tp1759 +a(g202 +V; +tp1760 +a(g197 +V\u000a\u000a +p1761 +tp1762 +a(g13 +V# Single literal string. +p1763 +tp1764 +a(g197 +V\u000a +p1765 +tp1766 +a(g226 +V"'" +p1767 +tp1768 +a(g197 +V +tp1769 +a(g344 +V( +tp1770 +a(g197 +V +tp1771 +a(g226 +V[^'\u005c\u005c] +p1772 +tp1773 +a(g197 +V +tp1774 +a(g344 +V| +tp1775 +a(g197 +V +tp1776 +a(g229 +V/\u005c\u005c./ +p1777 +tp1778 +a(g197 +V +tp1779 +a(g344 +V) +tp1780 +a(g344 +V* +tp1781 +a(g197 +V +tp1782 +a(g226 +V"'" +p1783 +tp1784 +a(g197 +V +tp1785 +a(g202 +V{ +tp1786 +a(g189 +V\u000a +p1787 +tp1788 +a(g18 +Vwrite +p1789 +tp1790 +a(g202 +V( +tp1791 +a(g189 +V +tp1792 +a(g226 +V" +tp1793 +a(g226 +V +p1794 +tp1795 +a(g226 +V" +tp1796 +a(g189 +V +tp1797 +a(g202 +V) +tp1798 +a(g202 +V; +tp1799 +a(g189 +V\u000a +tp1800 +a(g189 +V +p1801 +tp1802 +a(g18 +VescapeXML +p1803 +tp1804 +a(g202 +V( +tp1805 +a(g189 +V +tp1806 +a(g18 +Vts +p1807 +tp1808 +a(g202 +V, +tp1809 +a(g189 +V +tp1810 +a(g18 +Vte +p1811 +tp1812 +a(g344 +V- +tp1813 +a(g18 +Vts +p1814 +tp1815 +a(g189 +V +tp1816 +a(g202 +V) +tp1817 +a(g202 +V; +tp1818 +a(g189 +V\u000a +tp1819 +a(g189 +V +p1820 +tp1821 +a(g18 +Vwrite +p1822 +tp1823 +a(g202 +V( +tp1824 +a(g189 +V +tp1825 +a(g226 +V" +tp1826 +a(g226 +V +p1827 +tp1828 +a(g252 +V\u005cn +p1829 +tp1830 +a(g226 +V" +tp1831 +a(g189 +V +tp1832 +a(g202 +V) +tp1833 +a(g202 +V; +tp1834 +a(g189 +V\u000a +tp1835 +a(g189 +V +p1836 +tp1837 +a(g202 +V} +tp1838 +a(g202 +V; +tp1839 +a(g197 +V\u000a\u000a +p1840 +tp1841 +a(g13 +V# Double literal string. +p1842 +tp1843 +a(g197 +V\u000a +p1844 +tp1845 +a(g226 +V'"' +p1846 +tp1847 +a(g197 +V +tp1848 +a(g344 +V( +tp1849 +a(g197 +V +tp1850 +a(g226 +V[^"\u005c\u005c] +p1851 +tp1852 +a(g197 +V +tp1853 +a(g344 +V| +tp1854 +a(g197 +V +tp1855 +a(g229 +V/\u005c\u005c./ +p1856 +tp1857 +a(g197 +V +tp1858 +a(g344 +V) +tp1859 +a(g344 +V* +tp1860 +a(g197 +V +tp1861 +a(g226 +V'"' +p1862 +tp1863 +a(g197 +V +tp1864 +a(g202 +V{ +tp1865 +a(g189 +V\u000a +p1866 +tp1867 +a(g18 +Vwrite +p1868 +tp1869 +a(g202 +V( +tp1870 +a(g189 +V +tp1871 +a(g226 +V" +tp1872 +a(g226 +V +p1873 +tp1874 +a(g226 +V" +tp1875 +a(g189 +V +tp1876 +a(g202 +V) +tp1877 +a(g202 +V; +tp1878 +a(g189 +V\u000a +tp1879 +a(g189 +V +p1880 +tp1881 +a(g18 +VescapeXML +p1882 +tp1883 +a(g202 +V( +tp1884 +a(g189 +V +tp1885 +a(g18 +Vts +p1886 +tp1887 +a(g202 +V, +tp1888 +a(g189 +V +tp1889 +a(g18 +Vte +p1890 +tp1891 +a(g344 +V- +tp1892 +a(g18 +Vts +p1893 +tp1894 +a(g189 +V +tp1895 +a(g202 +V) +tp1896 +a(g202 +V; +tp1897 +a(g189 +V\u000a +tp1898 +a(g189 +V +p1899 +tp1900 +a(g18 +Vwrite +p1901 +tp1902 +a(g202 +V( +tp1903 +a(g189 +V +tp1904 +a(g226 +V" +tp1905 +a(g226 +V +p1906 +tp1907 +a(g252 +V\u005cn +p1908 +tp1909 +a(g226 +V" +tp1910 +a(g189 +V +tp1911 +a(g202 +V) +tp1912 +a(g202 +V; +tp1913 +a(g189 +V\u000a +tp1914 +a(g189 +V +p1915 +tp1916 +a(g202 +V} +tp1917 +a(g202 +V; +tp1918 +a(g197 +V\u000a\u000a +p1919 +tp1920 +a(g13 +V# Or literal. +p1921 +tp1922 +a(g197 +V\u000a +p1923 +tp1924 +a(g226 +V'[' +p1925 +tp1926 +a(g197 +V +tp1927 +a(g344 +V( +tp1928 +a(g197 +V +tp1929 +a(g226 +V[^\u005c]\u005c\u005c] +p1930 +tp1931 +a(g197 +V +tp1932 +a(g344 +V| +tp1933 +a(g197 +V +tp1934 +a(g229 +V/\u005c\u005c./ +p1935 +tp1936 +a(g197 +V +tp1937 +a(g344 +V) +tp1938 +a(g344 +V* +tp1939 +a(g197 +V +tp1940 +a(g226 +V']' +p1941 +tp1942 +a(g197 +V +tp1943 +a(g202 +V{ +tp1944 +a(g189 +V\u000a +p1945 +tp1946 +a(g18 +Vwrite +p1947 +tp1948 +a(g202 +V( +tp1949 +a(g189 +V +tp1950 +a(g226 +V" +tp1951 +a(g226 +V +p1952 +tp1953 +a(g226 +V" +tp1954 +a(g189 +V +tp1955 +a(g202 +V) +tp1956 +a(g202 +V; +tp1957 +a(g189 +V\u000a +tp1958 +a(g189 +V +p1959 +tp1960 +a(g18 +VescapeXML +p1961 +tp1962 +a(g202 +V( +tp1963 +a(g189 +V +tp1964 +a(g18 +Vts +p1965 +tp1966 +a(g202 +V, +tp1967 +a(g189 +V +tp1968 +a(g18 +Vte +p1969 +tp1970 +a(g344 +V- +tp1971 +a(g18 +Vts +p1972 +tp1973 +a(g189 +V +tp1974 +a(g202 +V) +tp1975 +a(g202 +V; +tp1976 +a(g189 +V\u000a +tp1977 +a(g189 +V +p1978 +tp1979 +a(g18 +Vwrite +p1980 +tp1981 +a(g202 +V( +tp1982 +a(g189 +V +tp1983 +a(g226 +V" +tp1984 +a(g226 +V +p1985 +tp1986 +a(g252 +V\u005cn +p1987 +tp1988 +a(g226 +V" +tp1989 +a(g189 +V +tp1990 +a(g202 +V) +tp1991 +a(g202 +V; +tp1992 +a(g189 +V\u000a +tp1993 +a(g189 +V +p1994 +tp1995 +a(g202 +V} +tp1996 +a(g202 +V; +tp1997 +a(g197 +V\u000a\u000a +p1998 +tp1999 +a(g13 +V# Regex Literal. +p2000 +tp2001 +a(g197 +V\u000a +p2002 +tp2003 +a(g226 +V'/' +p2004 +tp2005 +a(g197 +V +tp2006 +a(g344 +V( +tp2007 +a(g197 +V +tp2008 +a(g226 +V[^/\u005c\u005c] +p2009 +tp2010 +a(g197 +V +tp2011 +a(g344 +V| +tp2012 +a(g197 +V +tp2013 +a(g229 +V/\u005c\u005c./ +p2014 +tp2015 +a(g197 +V +tp2016 +a(g344 +V) +tp2017 +a(g197 +V +tp2018 +a(g344 +V* +tp2019 +a(g197 +V +tp2020 +a(g226 +V'/' +p2021 +tp2022 +a(g197 +V +tp2023 +a(g202 +V{ +tp2024 +a(g189 +V\u000a +p2025 +tp2026 +a(g18 +Vwrite +p2027 +tp2028 +a(g202 +V( +tp2029 +a(g189 +V +tp2030 +a(g226 +V" +tp2031 +a(g226 +V +p2032 +tp2033 +a(g226 +V" +tp2034 +a(g189 +V +tp2035 +a(g202 +V) +tp2036 +a(g202 +V; +tp2037 +a(g189 +V\u000a +tp2038 +a(g189 +V +p2039 +tp2040 +a(g18 +VescapeXML +p2041 +tp2042 +a(g202 +V( +tp2043 +a(g189 +V +tp2044 +a(g18 +Vts +p2045 +tp2046 +a(g202 +V, +tp2047 +a(g189 +V +tp2048 +a(g18 +Vte +p2049 +tp2050 +a(g344 +V- +tp2051 +a(g18 +Vts +p2052 +tp2053 +a(g189 +V +tp2054 +a(g202 +V) +tp2055 +a(g202 +V; +tp2056 +a(g189 +V\u000a +tp2057 +a(g189 +V +p2058 +tp2059 +a(g18 +Vwrite +p2060 +tp2061 +a(g202 +V( +tp2062 +a(g189 +V +tp2063 +a(g226 +V" +tp2064 +a(g226 +V +p2065 +tp2066 +a(g252 +V\u005cn +p2067 +tp2068 +a(g226 +V" +tp2069 +a(g189 +V +tp2070 +a(g202 +V) +tp2071 +a(g202 +V; +tp2072 +a(g189 +V\u000a +tp2073 +a(g189 +V +p2074 +tp2075 +a(g202 +V} +tp2076 +a(g202 +V; +tp2077 +a(g197 +V\u000a\u000a +p2078 +tp2079 +a(g13 +V# Open an inline block +p2080 +tp2081 +a(g197 +V\u000a +p2082 +tp2083 +a(g226 +V'{' +p2084 +tp2085 +a(g197 +V +tp2086 +a(g202 +V{ +tp2087 +a(g189 +V\u000a +p2088 +tp2089 +a(g18 +Vinline_depth +p2090 +tp2091 +a(g189 +V +tp2092 +a(g344 +V= +tp2093 +a(g189 +V +tp2094 +a(g319 +V1 +tp2095 +a(g202 +V; +tp2096 +a(g189 +V\u000a +tp2097 +a(g189 +V +p2098 +tp2099 +a(g18 +Vwrite +p2100 +tp2101 +a(g202 +V( +tp2102 +a(g189 +V +tp2103 +a(g226 +V" +tp2104 +a(g226 +V{ +p2105 +tp2106 +a(g226 +V" +tp2107 +a(g189 +V +tp2108 +a(g202 +V) +tp2109 +a(g202 +V; +tp2110 +a(g189 +V\u000a +tp2111 +a(g189 +V +p2112 +tp2113 +a(g18 +Vfgoto +p2114 +tp2115 +a(g189 +V +tp2116 +a(g18 +Vilscan +p2117 +tp2118 +a(g202 +V; +tp2119 +a(g189 +V\u000a +tp2120 +a(g189 +V +p2121 +tp2122 +a(g202 +V} +tp2123 +a(g202 +V; +tp2124 +a(g197 +V\u000a\u000a +p2125 +tp2126 +a(g111 +Vpunct +p2127 +tp2128 +a(g197 +V +tp2129 +a(g202 +V{ +tp2130 +a(g189 +V\u000a +p2131 +tp2132 +a(g18 +Vwrite +p2133 +tp2134 +a(g202 +V( +tp2135 +a(g189 +V +tp2136 +a(g226 +V" +tp2137 +a(g226 +V +p2138 +tp2139 +a(g226 +V" +tp2140 +a(g189 +V +tp2141 +a(g202 +V) +tp2142 +a(g202 +V; +tp2143 +a(g189 +V\u000a +tp2144 +a(g189 +V +p2145 +tp2146 +a(g18 +VescapeXML +p2147 +tp2148 +a(g202 +V( +tp2149 +a(g189 +V +tp2150 +a(g18 +Vfc +p2151 +tp2152 +a(g189 +V +tp2153 +a(g202 +V) +tp2154 +a(g202 +V; +tp2155 +a(g189 +V\u000a +tp2156 +a(g189 +V +p2157 +tp2158 +a(g18 +Vwrite +p2159 +tp2160 +a(g202 +V( +tp2161 +a(g189 +V +tp2162 +a(g226 +V" +tp2163 +a(g226 +V +p2164 +tp2165 +a(g252 +V\u005cn +p2166 +tp2167 +a(g226 +V" +tp2168 +a(g189 +V +tp2169 +a(g202 +V) +tp2170 +a(g202 +V; +tp2171 +a(g189 +V\u000a +tp2172 +a(g189 +V +p2173 +tp2174 +a(g202 +V} +tp2175 +a(g202 +V; +tp2176 +a(g197 +V\u000a \u000a +p2177 +tp2178 +a(g73 +Vdefault +p2179 +tp2180 +a(g202 +V; +tp2181 +a(g197 +V\u000a +p2182 +tp2183 +a(g344 +V* +tp2184 +a(g344 +V| +tp2185 +a(g202 +V; +tp2186 +a(g197 +V\u000a\u000a +p2187 +tp2188 +a(g13 +V# +tp2189 +a(g197 +V\u000a +p2190 +tp2191 +a(g13 +V# Outside code. +p2192 +tp2193 +a(g197 +V\u000a +p2194 +tp2195 +a(g13 +V# +tp2196 +a(g197 +V\u000a\u000a +p2197 +tp2198 +a(g73 +Vmain +p2199 +tp2200 +a(g197 +V +tp2201 +a(g344 +V: +tp2202 +a(g344 +V= +tp2203 +a(g197 +V +tp2204 +a(g344 +V| +tp2205 +a(g344 +V* +tp2206 +a(g197 +V\u000a\u000a +p2207 +tp2208 +a(g226 +V"'" +p2209 +tp2210 +a(g197 +V +tp2211 +a(g344 +V( +tp2212 +a(g197 +V +tp2213 +a(g226 +V[^'\u005c\u005c] +p2214 +tp2215 +a(g197 +V +tp2216 +a(g344 +V| +tp2217 +a(g197 +V +tp2218 +a(g229 +V/\u005c\u005c./ +p2219 +tp2220 +a(g197 +V +tp2221 +a(g344 +V) +tp2222 +a(g344 +V* +tp2223 +a(g197 +V +tp2224 +a(g226 +V"'" +p2225 +tp2226 +a(g197 +V +tp2227 +a(g344 +V= +tp2228 +a(g344 +V> +tp2229 +a(g197 +V +tp2230 +a(g73 +Vemit +p2231 +tp2232 +a(g202 +V; +tp2233 +a(g197 +V\u000a +p2234 +tp2235 +a(g226 +V'"' +p2236 +tp2237 +a(g197 +V +tp2238 +a(g344 +V( +tp2239 +a(g197 +V +tp2240 +a(g226 +V[^"\u005c\u005c] +p2241 +tp2242 +a(g197 +V +tp2243 +a(g344 +V| +tp2244 +a(g197 +V +tp2245 +a(g229 +V/\u005c\u005c./ +p2246 +tp2247 +a(g197 +V +tp2248 +a(g344 +V) +tp2249 +a(g344 +V* +tp2250 +a(g197 +V +tp2251 +a(g226 +V'"' +p2252 +tp2253 +a(g197 +V +tp2254 +a(g344 +V= +tp2255 +a(g344 +V> +tp2256 +a(g197 +V +tp2257 +a(g73 +Vemit +p2258 +tp2259 +a(g202 +V; +tp2260 +a(g197 +V\u000a\u000a +p2261 +tp2262 +a(g226 +V'/*' +p2263 +tp2264 +a(g197 +V +tp2265 +a(g202 +V{ +tp2266 +a(g189 +V\u000a +p2267 +tp2268 +a(g18 +VescapeXML +p2269 +tp2270 +a(g202 +V( +tp2271 +a(g189 +V +tp2272 +a(g18 +Vts +p2273 +tp2274 +a(g202 +V, +tp2275 +a(g189 +V +tp2276 +a(g18 +Vte +p2277 +tp2278 +a(g344 +V- +tp2279 +a(g18 +Vts +p2280 +tp2281 +a(g189 +V +tp2282 +a(g202 +V) +tp2283 +a(g202 +V; +tp2284 +a(g189 +V\u000a +tp2285 +a(g189 +V +p2286 +tp2287 +a(g18 +Vfcall +p2288 +tp2289 +a(g189 +V +tp2290 +a(g18 +Vc_comment +p2291 +tp2292 +a(g202 +V; +tp2293 +a(g189 +V\u000a +tp2294 +a(g189 +V +p2295 +tp2296 +a(g202 +V} +tp2297 +a(g202 +V; +tp2298 +a(g197 +V\u000a\u000a +p2299 +tp2300 +a(g226 +V'//' +p2301 +tp2302 +a(g197 +V +tp2303 +a(g226 +V[^\u005cn] +p2304 +tp2305 +a(g344 +V* +tp2306 +a(g197 +V +tp2307 +a(g226 +V'\u005cn' +p2308 +tp2309 +a(g197 +V +tp2310 +a(g344 +V= +tp2311 +a(g344 +V> +tp2312 +a(g197 +V +tp2313 +a(g73 +Vemit +p2314 +tp2315 +a(g202 +V; +tp2316 +a(g197 +V\u000a\u000a +p2317 +tp2318 +a(g226 +V'%%{' +p2319 +tp2320 +a(g197 +V +tp2321 +a(g202 +V{ +tp2322 +a(g189 +V \u000a +p2323 +tp2324 +a(g18 +Vwrite +p2325 +tp2326 +a(g202 +V( +tp2327 +a(g189 +V +tp2328 +a(g226 +V" +tp2329 +a(g226 +V
    +p2330 +tp2331 +a(g252 +V\u005cn +p2332 +tp2333 +a(g226 +V" +tp2334 +a(g189 +V +tp2335 +a(g202 +V) +tp2336 +a(g202 +V; +tp2337 +a(g189 +V\u000a +tp2338 +a(g189 +V +p2339 +tp2340 +a(g18 +Vsingle_line +p2341 +tp2342 +a(g189 +V +tp2343 +a(g344 +V= +tp2344 +a(g189 +V +tp2345 +a(g117 +Vfalse +p2346 +tp2347 +a(g202 +V; +tp2348 +a(g189 +V\u000a +tp2349 +a(g189 +V +p2350 +tp2351 +a(g18 +Vfgoto +p2352 +tp2353 +a(g189 +V +tp2354 +a(g18 +Vrlscan +p2355 +tp2356 +a(g202 +V; +tp2357 +a(g189 +V\u000a +tp2358 +a(g189 +V +p2359 +tp2360 +a(g202 +V} +tp2361 +a(g202 +V; +tp2362 +a(g197 +V\u000a\u000a +p2363 +tp2364 +a(g226 +V'%%' +p2365 +tp2366 +a(g197 +V +tp2367 +a(g202 +V{ +tp2368 +a(g189 +V\u000a +p2369 +tp2370 +a(g18 +Vwrite +p2371 +tp2372 +a(g202 +V( +tp2373 +a(g189 +V +tp2374 +a(g226 +V" +tp2375 +a(g226 +V
    +p2376 +tp2377 +a(g252 +V\u005cn +p2378 +tp2379 +a(g226 +V" +tp2380 +a(g189 +V +tp2381 +a(g202 +V) +tp2382 +a(g202 +V; +tp2383 +a(g189 +V \u000a +p2384 +tp2385 +a(g18 +Vsingle_line +p2386 +tp2387 +a(g189 +V +tp2388 +a(g344 +V= +tp2389 +a(g189 +V +tp2390 +a(g117 +Vtrue +p2391 +tp2392 +a(g202 +V; +tp2393 +a(g189 +V \u000a +p2394 +tp2395 +a(g18 +Vfgoto +p2396 +tp2397 +a(g189 +V +tp2398 +a(g18 +Vrlscan +p2399 +tp2400 +a(g202 +V; +tp2401 +a(g189 +V\u000a +tp2402 +a(g189 +V +p2403 +tp2404 +a(g202 +V} +tp2405 +a(g202 +V; +tp2406 +a(g197 +V\u000a\u000a +p2407 +tp2408 +a(g73 +Vdefault +p2409 +tp2410 +a(g197 +V +tp2411 +a(g202 +V{ +tp2412 +a(g189 +V \u000a +p2413 +tp2414 +a(g18 +VescapeXML +p2415 +tp2416 +a(g202 +V( +tp2417 +a(g189 +V +tp2418 +a(g344 +V* +tp2419 +a(g18 +Vts +p2420 +tp2421 +a(g189 +V +tp2422 +a(g202 +V) +tp2423 +a(g202 +V; +tp2424 +a(g189 +V\u000a +tp2425 +a(g189 +V +p2426 +tp2427 +a(g202 +V} +tp2428 +a(g202 +V; +tp2429 +a(g197 +V\u000a\u000a +p2430 +tp2431 +a(g13 +V# EOF. +p2432 +tp2433 +a(g197 +V\u000a +p2434 +tp2435 +a(g73 +VEOF +p2436 +tp2437 +a(g202 +V; +tp2438 +a(g197 +V\u000a +p2439 +tp2440 +a(g344 +V* +tp2441 +a(g344 +V| +tp2442 +a(g202 +V; +tp2443 +a(g197 +V\u000a +tp2444 +a(g202 +V}%% +p2445 +tp2446 +a(g189 +V\u000a\u000a +p2447 +tp2448 +a(g202 +V%% +p2449 +tp2450 +a(g197 +V +tp2451 +a(g111 +Vwrite +p2452 +tp2453 +a(g197 +V +tp2454 +a(g73 +Vdata +p2455 +tp2456 +a(g197 +V +tp2457 +a(g73 +Vnofinal +p2458 +tp2459 +a(g202 +V; +tp2460 +a(g189 +V\u000a +tp2461 +a(g189 +V\u000a +tp2462 +a(g139 +Vvoid +p2463 +tp2464 +a(g189 +V +tp2465 +a(g18 +Vtest +p2466 +tp2467 +a(g202 +V( +tp2468 +a(g189 +V +tp2469 +a(g111 +Vconst +p2470 +tp2471 +a(g189 +V +tp2472 +a(g139 +Vchar +p2473 +tp2474 +a(g189 +V +tp2475 +a(g344 +V* +tp2476 +a(g18 +Vdata +p2477 +tp2478 +a(g189 +V +tp2479 +a(g202 +V) +tp2480 +a(g189 +V\u000a +tp2481 +a(g202 +V{ +tp2482 +a(g189 +V\u000a +tp2483 +a(g189 +V +tp2484 +a(g18 +Vstd +p2485 +tp2486 +a(g344 +V: +tp2487 +a(g344 +V: +tp2488 +a(g18 +Vios +p2489 +tp2490 +a(g344 +V: +tp2491 +a(g344 +V: +tp2492 +a(g18 +Vsync_with_stdio +p2493 +tp2494 +a(g202 +V( +tp2495 +a(g117 +Vfalse +p2496 +tp2497 +a(g202 +V) +tp2498 +a(g202 +V; +tp2499 +a(g189 +V\u000a +tp2500 +a(g189 +V\u000a +tp2501 +a(g189 +V +tp2502 +a(g139 +Vint +p2503 +tp2504 +a(g189 +V +tp2505 +a(g18 +Vcs +p2506 +tp2507 +a(g202 +V, +tp2508 +a(g189 +V +tp2509 +a(g18 +Vact +p2510 +tp2511 +a(g202 +V; +tp2512 +a(g189 +V\u000a +tp2513 +a(g189 +V +tp2514 +a(g111 +Vconst +p2515 +tp2516 +a(g189 +V +tp2517 +a(g139 +Vchar +p2518 +tp2519 +a(g189 +V +tp2520 +a(g344 +V* +tp2521 +a(g18 +Vts +p2522 +tp2523 +a(g202 +V, +tp2524 +a(g189 +V +tp2525 +a(g344 +V* +tp2526 +a(g18 +Vte +p2527 +tp2528 +a(g202 +V; +tp2529 +a(g189 +V\u000a +tp2530 +a(g189 +V +tp2531 +a(g139 +Vint +p2532 +tp2533 +a(g189 +V +tp2534 +a(g18 +Vstack +p2535 +tp2536 +a(g202 +V[ +tp2537 +a(g319 +V1 +tp2538 +a(g202 +V] +tp2539 +a(g202 +V, +tp2540 +a(g189 +V +tp2541 +a(g18 +Vtop +p2542 +tp2543 +a(g202 +V; +tp2544 +a(g189 +V\u000a +tp2545 +a(g189 +V\u000a +tp2546 +a(g189 +V +tp2547 +a(g139 +Vbool +p2548 +tp2549 +a(g189 +V +tp2550 +a(g18 +Vsingle_line +p2551 +tp2552 +a(g189 +V +tp2553 +a(g344 +V= +tp2554 +a(g189 +V +tp2555 +a(g117 +Vfalse +p2556 +tp2557 +a(g202 +V; +tp2558 +a(g189 +V\u000a +tp2559 +a(g189 +V +tp2560 +a(g139 +Vint +p2561 +tp2562 +a(g189 +V +tp2563 +a(g18 +Vinline_depth +p2564 +tp2565 +a(g189 +V +tp2566 +a(g344 +V= +tp2567 +a(g189 +V +tp2568 +a(g319 +V0 +tp2569 +a(g202 +V; +tp2570 +a(g189 +V\u000a +tp2571 +a(g189 +V\u000a +tp2572 +a(g189 +V +tp2573 +a(g202 +V%% +p2574 +tp2575 +a(g197 +V +tp2576 +a(g111 +Vwrite +p2577 +tp2578 +a(g197 +V +tp2579 +a(g73 +Vinit +p2580 +tp2581 +a(g202 +V; +tp2582 +a(g189 +V\u000a +tp2583 +a(g189 +V\u000a +p2584 +tp2585 +a(g7 +V/* Read in a block. */ +p2586 +tp2587 +a(g189 +V\u000a +tp2588 +a(g189 +V +tp2589 +a(g111 +Vconst +p2590 +tp2591 +a(g189 +V +tp2592 +a(g139 +Vchar +p2593 +tp2594 +a(g189 +V +tp2595 +a(g344 +V* +tp2596 +a(g18 +Vp +tp2597 +a(g189 +V +tp2598 +a(g344 +V= +tp2599 +a(g189 +V +tp2600 +a(g18 +Vdata +p2601 +tp2602 +a(g202 +V; +tp2603 +a(g189 +V\u000a +tp2604 +a(g189 +V +tp2605 +a(g111 +Vconst +p2606 +tp2607 +a(g189 +V +tp2608 +a(g139 +Vchar +p2609 +tp2610 +a(g189 +V +tp2611 +a(g344 +V* +tp2612 +a(g18 +Vpe +p2613 +tp2614 +a(g189 +V +tp2615 +a(g344 +V= +tp2616 +a(g189 +V +tp2617 +a(g18 +Vdata +p2618 +tp2619 +a(g189 +V +tp2620 +a(g344 +V+ +tp2621 +a(g189 +V +tp2622 +a(g18 +Vstrlen +p2623 +tp2624 +a(g202 +V( +tp2625 +a(g189 +V +tp2626 +a(g18 +Vdata +p2627 +tp2628 +a(g189 +V +tp2629 +a(g202 +V) +tp2630 +a(g202 +V; +tp2631 +a(g189 +V\u000a +tp2632 +a(g189 +V +tp2633 +a(g111 +Vconst +p2634 +tp2635 +a(g189 +V +tp2636 +a(g139 +Vchar +p2637 +tp2638 +a(g189 +V +tp2639 +a(g344 +V* +tp2640 +a(g18 +Veof +p2641 +tp2642 +a(g189 +V +tp2643 +a(g344 +V= +tp2644 +a(g189 +V +tp2645 +a(g18 +Vpe +p2646 +tp2647 +a(g202 +V; +tp2648 +a(g189 +V\u000a +tp2649 +a(g189 +V +tp2650 +a(g202 +V%% +p2651 +tp2652 +a(g197 +V +tp2653 +a(g111 +Vwrite +p2654 +tp2655 +a(g197 +V +tp2656 +a(g73 +Vexec +p2657 +tp2658 +a(g202 +V; +tp2659 +a(g189 +V\u000a +tp2660 +a(g189 +V\u000a +p2661 +tp2662 +a(g111 +Vif +p2663 +tp2664 +a(g189 +V +tp2665 +a(g202 +V( +tp2666 +a(g189 +V +tp2667 +a(g18 +Vcs +p2668 +tp2669 +a(g189 +V +tp2670 +a(g344 +V= +tp2671 +a(g344 +V= +tp2672 +a(g189 +V +tp2673 +a(g18 +VRagelScan_error +p2674 +tp2675 +a(g189 +V +tp2676 +a(g202 +V) +tp2677 +a(g189 +V +tp2678 +a(g202 +V{ +tp2679 +a(g189 +V\u000a +tp2680 +a(g189 +V +p2681 +tp2682 +a(g7 +V/* Machine failed before finding a token. */ +p2683 +tp2684 +a(g189 +V\u000a +tp2685 +a(g189 +V +p2686 +tp2687 +a(g18 +Vcerr +p2688 +tp2689 +a(g189 +V +tp2690 +a(g344 +V< +tp2691 +a(g344 +V< +tp2692 +a(g189 +V +tp2693 +a(g226 +V" +tp2694 +a(g226 +VPARSE ERROR +p2695 +tp2696 +a(g226 +V" +tp2697 +a(g189 +V +tp2698 +a(g344 +V< +tp2699 +a(g344 +V< +tp2700 +a(g189 +V +tp2701 +a(g18 +Vendl +p2702 +tp2703 +a(g202 +V; +tp2704 +a(g189 +V\u000a +tp2705 +a(g189 +V +p2706 +tp2707 +a(g18 +Vexit +p2708 +tp2709 +a(g202 +V( +tp2710 +a(g319 +V1 +tp2711 +a(g202 +V) +tp2712 +a(g202 +V; +tp2713 +a(g189 +V\u000a +tp2714 +a(g189 +V +tp2715 +a(g202 +V} +tp2716 +a(g189 +V\u000a +tp2717 +a(g202 +V} +tp2718 +a(g189 +V\u000a +tp2719 +a(g355 +V\u000a# +p2720 +tp2721 +a(g355 +Vdefine BUFSIZE 2048 +p2722 +tp2723 +a(g355 +V\u000a +tp2724 +a(g189 +V\u000a +tp2725 +a(g139 +Vint +p2726 +tp2727 +a(g189 +V +tp2728 +a(g18 +Vmain +p2729 +tp2730 +a(g202 +V( +tp2731 +a(g202 +V) +tp2732 +a(g189 +V\u000a +tp2733 +a(g202 +V{ +tp2734 +a(g189 +V\u000a +tp2735 +a(g189 +V +tp2736 +a(g18 +Vstd +p2737 +tp2738 +a(g344 +V: +tp2739 +a(g344 +V: +tp2740 +a(g18 +Vios +p2741 +tp2742 +a(g344 +V: +tp2743 +a(g344 +V: +tp2744 +a(g18 +Vsync_with_stdio +p2745 +tp2746 +a(g202 +V( +tp2747 +a(g117 +Vfalse +p2748 +tp2749 +a(g202 +V) +tp2750 +a(g202 +V; +tp2751 +a(g189 +V\u000a +tp2752 +a(g189 +V\u000a +tp2753 +a(g189 +V +tp2754 +a(g18 +Vtest +p2755 +tp2756 +a(g202 +V( +tp2757 +a(g226 +V" +tp2758 +a(g226 +Vhi %%{ /'}%%'/ { /*{*/ {} } + ' +p2759 +tp2760 +a(g252 +V\u005c\u005c +p2761 +tp2762 +a(g226 +V'' }%%there +p2763 +tp2764 +a(g252 +V\u005cn +p2765 +tp2766 +a(g226 +V" +tp2767 +a(g202 +V) +tp2768 +a(g202 +V; +tp2769 +a(g189 +V\u000a +tp2770 +a(g189 +V\u000a +tp2771 +a(g189 +V +tp2772 +a(g111 +Vreturn +p2773 +tp2774 +a(g189 +V +tp2775 +a(g319 +V0 +tp2776 +a(g202 +V; +tp2777 +a(g189 +V\u000a +tp2778 +a(g202 +V} +tp2779 +a(g189 +V\u000a +tp2780 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ragel-cpp_snippet b/tests/examplefiles/output/ragel-cpp_snippet new file mode 100644 index 0000000..b16891f --- /dev/null +++ b/tests/examplefiles/output/ragel-cpp_snippet @@ -0,0 +1,1407 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +tp367 +a(g216 +V%% +p368 +tp369 +a(g17 +V +tp370 +a(g138 +Vwrite +p371 +tp372 +a(g17 +V +tp373 +a(g100 +Vinit +p374 +tp375 +a(g216 +V; +tp376 +a(g6 +V\u000a +tp377 +a(g6 +V +tp378 +a(g35 +V/* Read in a block. */ +p379 +tp380 +a(g6 +V\u000a +tp381 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/regex.js b/tests/examplefiles/output/regex.js new file mode 100644 index 0000000..5795cd7 --- /dev/null +++ b/tests/examplefiles/output/regex.js @@ -0,0 +1,1910 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +tp367 +a(g31 +V// regex\u000a +p368 +tp369 +a(g6 +V\u000a +tp370 +a(g6 +V +tp371 +a(g92 +Vblah +p372 +tp373 +a(g216 +V( +tp374 +a(g243 +V/abc/ +p375 +tp376 +a(g216 +V) +tp377 +a(g216 +V; +tp378 +a(g6 +V\u000a +tp379 +a(g6 +V +tp380 +a(g92 +Vx +tp381 +a(g6 +V +tp382 +a(g357 +V= +tp383 +a(g6 +V +tp384 +a(g243 +V/abc/ +p385 +tp386 +a(g216 +V; +tp387 +a(g6 +V\u000a +tp388 +a(g6 +V +tp389 +a(g92 +Vx +tp390 +a(g6 +V +tp391 +a(g357 +V= +tp392 +a(g6 +V +tp393 +a(g243 +V/abc/ +p394 +tp395 +a(g216 +V. +tp396 +a(g92 +Vmatch +p397 +tp398 +a(g216 +V; +tp399 +a(g6 +V\u000a\u000a +p400 +tp401 +a(g31 +V// math\u000a +p402 +tp403 +a(g6 +V\u000a +tp404 +a(g6 +V +tp405 +a(g92 +Vblah +p406 +tp407 +a(g216 +V( +tp408 +a(g6 +V +tp409 +a(g332 +V1 +tp410 +a(g357 +V/ +tp411 +a(g6 +V +tp412 +a(g332 +V2 +tp413 +a(g216 +V) +tp414 +a(g216 +V; +tp415 +a(g6 +V +tp416 +a(g31 +V//comment\u000a +p417 +tp418 +a(g6 +V +tp419 +a(g92 +Vx +tp420 +a(g6 +V +tp421 +a(g357 +V= +tp422 +a(g6 +V +tp423 +a(g6 +V +tp424 +a(g332 +V1 +tp425 +a(g6 +V +tp426 +a(g357 +V/ +tp427 +a(g6 +V +tp428 +a(g6 +V +tp429 +a(g332 +V2 +tp430 +a(g6 +V +tp431 +a(g357 +V/ +tp432 +a(g6 +V +tp433 +a(g6 +V +tp434 +a(g332 +V3 +tp435 +a(g216 +V; +tp436 +a(g6 +V\u000a +tp437 +a(g6 +V +tp438 +a(g92 +Vx +tp439 +a(g6 +V +tp440 +a(g357 +V= +tp441 +a(g6 +V +tp442 +a(g6 +V +tp443 +a(g332 +V1 +tp444 +a(g357 +V/ +tp445 +a(g6 +V +tp446 +a(g332 +V1 +tp447 +a(g357 +V/ +tp448 +a(g6 +V +tp449 +a(g216 +V. +tp450 +a(g332 +V1 +tp451 +a(g216 +V; +tp452 +a(g6 +V\u000a\u000a +p453 +tp454 +a(g31 +V// broken\u000a +p455 +tp456 +a(g6 +V\u000a +tp457 +a(g6 +V +tp458 +a(g92 +Vx +tp459 +a(g357 +V= +tp460 +a(g243 +V/1/ +p461 +tp462 +a(g216 +V; +tp463 +a(g6 +V\u000a +tp464 +a(g6 +V +tp465 +a(g92 +Vx +tp466 +a(g357 +V= +tp467 +a(g6 +V +tp468 +a(g332 +V1 +tp469 +a(g357 +V/ +tp470 +a(g6 +V +tp471 +a(g92 +Va +tp472 +a(g357 +V/ +tp473 +a(g6 +V +tp474 +a(g92 +Vg +tp475 +a(g216 +V; +tp476 +a(g6 +V\u000a +tp477 +a(g6 +V +tp478 +a(g92 +Vx +tp479 +a(g357 +V= +tp480 +a(g6 +V +tp481 +a(g92 +Va +tp482 +a(g357 +V/ +tp483 +a(g6 +V +tp484 +a(g92 +Va +tp485 +a(g357 +V/ +tp486 +a(g6 +V +tp487 +a(g92 +Vg +tp488 +a(g216 +V; +tp489 +a(g6 +V\u000a\u000a +p490 +tp491 +a(g31 +V// real-world\u000a +p492 +tp493 +a(g6 +V\u000a +tp494 +a(g6 +V +tp495 +a(g158 +Vvar +p496 +tp497 +a(g6 +V +tp498 +a(g6 +V +tp499 +a(g92 +Vx +tp500 +a(g6 +V +tp501 +a(g357 +V= +tp502 +a(g6 +V +tp503 +a(g6 +V +tp504 +a(g332 +V1 +tp505 +a(g357 +V/ +tp506 +a(g6 +V +tp507 +a(g216 +V( +tp508 +a(g6 +V +tp509 +a(g332 +V1 +tp510 +a(g357 +V+ +tp511 +a(g6 +V +tp512 +a(g84 +VMath +p513 +tp514 +a(g216 +V. +tp515 +a(g92 +Vsqrt +p516 +tp517 +a(g216 +V( +tp518 +a(g6 +V +tp519 +a(g92 +Vsum +p520 +tp521 +a(g216 +V) +tp522 +a(g216 +V) +tp523 +a(g216 +V; +tp524 +a(g6 +V +tp525 +a(g31 +V// convert to number between 1-0\u000a +p526 +tp527 +a(g6 +V +tp528 +a(g138 +Vreturn +p529 +tp530 +a(g6 +V +tp531 +a(g6 +V +tp532 +a(g84 +VMath +p533 +tp534 +a(g216 +V. +tp535 +a(g92 +Vround +p536 +tp537 +a(g216 +V( +tp538 +a(g6 +V +tp539 +a(g216 +V( +tp540 +a(g6 +V +tp541 +a(g92 +Vnum +p542 +tp543 +a(g6 +V +tp544 +a(g357 +V/ +tp545 +a(g6 +V +tp546 +a(g6 +V +tp547 +a(g92 +Vden +p548 +tp549 +a(g216 +V) +tp550 +a(g6 +V +tp551 +a(g357 +V* +tp552 +a(g6 +V +tp553 +a(g6 +V +tp554 +a(g332 +V100 +p555 +tp556 +a(g216 +V) +tp557 +a(g357 +V/ +tp558 +a(g6 +V +tp559 +a(g332 +V100 +p560 +tp561 +a(g216 +V; +tp562 +a(g6 +V\u000a +tp563 +a(g6 +V +tp564 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/ruby_func_def.rb b/tests/examplefiles/output/ruby_func_def.rb new file mode 100644 index 0000000..cd5426a --- /dev/null +++ b/tests/examplefiles/output/ruby_func_def.rb @@ -0,0 +1,1615 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Pervasive' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsS'Constant' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g11 +g12 +((ltRp18 +sg14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g14 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g11 +g12 +((ltRp35 +sg14 +g22 +sbsg11 +g12 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g11 +g12 +((ltRp40 +sg14 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g11 +g12 +((ltRp56 +sg14 +g43 +sbsg15 +g2 +(g3 +g4 +(g42 +g15 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g43 +sbsg14 +g19 +sS'Pseudo' +p60 +g2 +(g3 +g4 +(g42 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g43 +sbsS'Attribute' +p64 +g2 +(g3 +g4 +(g42 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g43 +sbsS'Label' +p68 +g2 +(g3 +g4 +(g42 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g43 +sbsS'Blubb' +p72 +g2 +(g3 +g4 +(g42 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g43 +sbsS'Entity' +p76 +g2 +(g3 +g4 +(g42 +g76 +ttRp77 +(dp78 +g11 +g12 +((ltRp79 +sg14 +g43 +sbsS'Builtin' +p80 +g2 +(g3 +g4 +(g42 +g80 +ttRp81 +(dp82 +g11 +g12 +((lp83 +g2 +(g3 +g4 +(g42 +g80 +g60 +ttRp84 +(dp85 +g11 +g12 +((ltRp86 +sg14 +g81 +sbatRp87 +sg60 +g84 +sg14 +g43 +sbsS'Other' +p88 +g2 +(g3 +g4 +(g42 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g43 +sbsS'Identifier' +p92 +g2 +(g3 +g4 +(g42 +g92 +ttRp93 +(dp94 +g11 +g12 +((ltRp95 +sg14 +g43 +sbsS'Variable' +p96 +g2 +(g3 +g4 +(g42 +g96 +ttRp97 +(dp98 +g14 +g43 +sS'Global' +p99 +g2 +(g3 +g4 +(g42 +g96 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g97 +sbsS'Instance' +p103 +g2 +(g3 +g4 +(g42 +g96 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g97 +sbsS'Anonymous' +p107 +g2 +(g3 +g4 +(g42 +g96 +g107 +ttRp108 +(dp109 +g11 +g12 +((ltRp110 +sg14 +g97 +sbsg11 +g12 +((lp111 +g108 +ag104 +ag100 +ag2 +(g3 +g4 +(g42 +g96 +S'Class' +p112 +ttRp113 +(dp114 +g11 +g12 +((ltRp115 +sg14 +g97 +sbatRp116 +sg112 +g113 +sbsg11 +g12 +((lp117 +g2 +(g3 +g4 +(g42 +S'Decorator' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g43 +sbag65 +ag57 +ag61 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g43 +sbag93 +ag81 +ag97 +ag89 +ag73 +ag77 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p126 +ttRp127 +(dp128 +g11 +g12 +((ltRp129 +sg14 +g43 +sbag69 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g112 +ttRp130 +(dp131 +g11 +g12 +((ltRp132 +sg14 +g43 +sbatRp133 +sg126 +g127 +sg112 +g130 +sg118 +g119 +sg122 +g123 +sbsg5 +g6 +sS'Generic' +p134 +g2 +(g3 +g4 +(g134 +ttRp135 +(dp136 +S'Prompt' +p137 +g2 +(g3 +g4 +(g134 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g135 +sbsg14 +g19 +sS'Deleted' +p141 +g2 +(g3 +g4 +(g134 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g135 +sbsS'Traceback' +p145 +g2 +(g3 +g4 +(g134 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g135 +sbsS'Emph' +p149 +g2 +(g3 +g4 +(g134 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g135 +sbsS'Output' +p153 +g2 +(g3 +g4 +(g134 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g135 +sbsS'Subheading' +p157 +g2 +(g3 +g4 +(g134 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g135 +sbsS'Error' +p161 +g2 +(g3 +g4 +(g134 +g161 +ttRp162 +(dp163 +g11 +g12 +((ltRp164 +sg14 +g135 +sbsg11 +g12 +((lp165 +g154 +ag150 +ag162 +ag158 +ag146 +ag142 +ag2 +(g3 +g4 +(g134 +S'Heading' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Inserted' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Strong' +p174 +ttRp175 +(dp176 +g11 +g12 +((ltRp177 +sg14 +g135 +sbag138 +atRp178 +sg174 +g175 +sg170 +g171 +sg166 +g167 +sbsS'Text' +p179 +g2 +(g3 +g4 +(g179 +ttRp180 +(dp181 +g11 +g12 +((lp182 +g2 +(g3 +g4 +(g179 +S'Symbol' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g180 +sbag2 +(g3 +g4 +(g179 +S'Whitespace' +p187 +ttRp188 +(dp189 +g11 +g12 +((ltRp190 +sg14 +g180 +sbatRp191 +sg183 +g184 +sg187 +g188 +sg14 +g19 +sbsS'Punctuation' +p192 +g2 +(g3 +g4 +(g192 +ttRp193 +(dp194 +g11 +g12 +((lp195 +g2 +(g3 +g4 +(g192 +S'Indicator' +p196 +ttRp197 +(dp198 +g11 +g12 +((ltRp199 +sg14 +g193 +sbatRp200 +sg196 +g197 +sg14 +g19 +sbsS'Token' +p201 +g19 +sS'Number' +p202 +g2 +(g3 +g4 +(S'Literal' +p203 +g202 +ttRp204 +(dp205 +S'Bin' +p206 +g2 +(g3 +g4 +(g203 +g202 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g204 +sbsS'Binary' +p210 +g2 +(g3 +g4 +(g203 +g202 +g210 +ttRp211 +(dp212 +g11 +g12 +((ltRp213 +sg14 +g204 +sbsg14 +g2 +(g3 +g4 +(g203 +ttRp214 +(dp215 +S'String' +p216 +g2 +(g3 +g4 +(g203 +g216 +ttRp217 +(dp218 +S'Regex' +p219 +g2 +(g3 +g4 +(g203 +g216 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g217 +sbsS'Interpol' +p223 +g2 +(g3 +g4 +(g203 +g216 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g217 +sbsS'Regexp' +p227 +g2 +(g3 +g4 +(g203 +g216 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g217 +sbsg14 +g214 +sS'Heredoc' +p231 +g2 +(g3 +g4 +(g203 +g216 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g217 +sbsS'Double' +p235 +g2 +(g3 +g4 +(g203 +g216 +g235 +ttRp236 +(dp237 +g11 +g12 +((ltRp238 +sg14 +g217 +sbsg183 +g2 +(g3 +g4 +(g203 +g216 +g183 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g217 +sbsS'Escape' +p242 +g2 +(g3 +g4 +(g203 +g216 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g217 +sbsS'Character' +p246 +g2 +(g3 +g4 +(g203 +g216 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g217 +sbsS'Interp' +p250 +g2 +(g3 +g4 +(g203 +g216 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g217 +sbsS'Backtick' +p254 +g2 +(g3 +g4 +(g203 +g216 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g217 +sbsS'Char' +p258 +g2 +(g3 +g4 +(g203 +g216 +g258 +ttRp259 +(dp260 +g11 +g12 +((ltRp261 +sg14 +g217 +sbsg28 +g2 +(g3 +g4 +(g203 +g216 +g28 +ttRp262 +(dp263 +g11 +g12 +((ltRp264 +sg14 +g217 +sbsg88 +g2 +(g3 +g4 +(g203 +g216 +g88 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g217 +sbsS'Doc' +p268 +g2 +(g3 +g4 +(g203 +g216 +g268 +ttRp269 +(dp270 +g11 +g12 +((ltRp271 +sg14 +g217 +sbsg11 +g12 +((lp272 +g265 +ag2 +(g3 +g4 +(g203 +g216 +S'Atom' +p273 +ttRp274 +(dp275 +g11 +g12 +((ltRp276 +sg14 +g217 +sbag236 +ag259 +ag251 +ag269 +ag232 +ag255 +ag224 +ag239 +ag228 +ag220 +ag262 +ag247 +ag243 +atRp277 +sg273 +g274 +sbsg14 +g19 +sg202 +g204 +sS'Scalar' +p278 +g2 +(g3 +g4 +(g203 +g278 +ttRp279 +(dp280 +g11 +g12 +((lp281 +g2 +(g3 +g4 +(g203 +g278 +S'Plain' +p282 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g279 +sbatRp286 +sg14 +g214 +sg282 +g283 +sbsg88 +g2 +(g3 +g4 +(g203 +g88 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g214 +sbsS'Date' +p290 +g2 +(g3 +g4 +(g203 +g290 +ttRp291 +(dp292 +g11 +g12 +((ltRp293 +sg14 +g214 +sbsg11 +g12 +((lp294 +g291 +ag217 +ag287 +ag204 +ag279 +atRp295 +sbsS'Decimal' +p296 +g2 +(g3 +g4 +(g203 +g202 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g204 +sbsS'Float' +p300 +g2 +(g3 +g4 +(g203 +g202 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g204 +sbsS'Hex' +p304 +g2 +(g3 +g4 +(g203 +g202 +g304 +ttRp305 +(dp306 +g11 +g12 +((ltRp307 +sg14 +g204 +sbsS'Integer' +p308 +g2 +(g3 +g4 +(g203 +g202 +g308 +ttRp309 +(dp310 +g11 +g12 +((lp311 +g2 +(g3 +g4 +(g203 +g202 +g308 +S'Long' +p312 +ttRp313 +(dp314 +g11 +g12 +((ltRp315 +sg14 +g309 +sbatRp316 +sg312 +g313 +sg14 +g204 +sbsS'Octal' +p317 +g2 +(g3 +g4 +(g203 +g202 +g317 +ttRp318 +(dp319 +g11 +g12 +((ltRp320 +sg14 +g204 +sbsg11 +g12 +((lp321 +g207 +ag211 +ag318 +ag297 +ag2 +(g3 +g4 +(g203 +g202 +S'Oct' +p322 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g204 +sbag309 +ag301 +ag305 +atRp326 +sg322 +g323 +sbsg203 +g214 +sg88 +g2 +(g3 +g4 +(g88 +ttRp327 +(dp328 +g11 +g12 +((ltRp329 +sg14 +g19 +sbsg161 +g2 +(g3 +g4 +(g161 +ttRp330 +(dp331 +g11 +g12 +((ltRp332 +sg14 +g19 +sbsS'Operator' +p333 +g2 +(g3 +g4 +(g333 +ttRp334 +(dp335 +g11 +g12 +((lp336 +g2 +(g3 +g4 +(g333 +S'Word' +p337 +ttRp338 +(dp339 +g11 +g12 +((ltRp340 +sg14 +g334 +sbatRp341 +sg337 +g338 +sg14 +g19 +sbsg11 +g12 +((lp342 +g22 +ag330 +ag135 +ag180 +ag43 +ag193 +ag6 +ag214 +ag334 +ag327 +atRp343 +sg216 +g217 +sbsg122 +g2 +(g3 +g4 +(g5 +g122 +ttRp344 +(dp345 +g11 +g12 +((ltRp346 +sg14 +g6 +sbsg60 +g2 +(g3 +g4 +(g5 +g60 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Reserved' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsS'Declaration' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g11 +g12 +((ltRp357 +sg14 +g6 +sbsg96 +g2 +(g3 +g4 +(g5 +g96 +ttRp358 +(dp359 +g11 +g12 +((ltRp360 +sg14 +g6 +sbsg11 +g12 +((lp361 +g16 +ag351 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g11 +g12 +((ltRp365 +sg14 +g6 +sbag9 +ag355 +ag358 +ag344 +ag347 +atRp366 +sg362 +g363 +sbVclass +p367 +tp368 +a(g180 +V +tp369 +a(g193 +V( +tp370 +a(g43 +Vget_foo +p371 +tp372 +a(g334 +V( +tp373 +a(g236 +V" +tp374 +a(g236 +Vblub +p375 +tp376 +a(g236 +V" +tp377 +a(g193 +V) +tp378 +a(g193 +V) +tp379 +a(g334 +V:: +p380 +tp381 +a(g130 +VFoo +p382 +tp383 +a(g180 +V\u000a +p384 +tp385 +a(g6 +Vdef +p386 +tp387 +a(g180 +V +tp388 +a(g193 +V( +tp389 +a(g43 +Vfoo +p390 +tp391 +a(g334 +V( +tp392 +a(g236 +V" +tp393 +a(g236 +Vbar +p394 +tp395 +a(g236 +V" +tp396 +a(g193 +V) +tp397 +a(g180 +V +tp398 +a(g334 +V+ +tp399 +a(g180 +V +tp400 +a(g43 +Vbar +p401 +tp402 +a(g334 +V( +tp403 +a(g236 +V" +tp404 +a(g236 +Vbaz +p405 +tp406 +a(g236 +V" +tp407 +a(g193 +V) +tp408 +a(g193 +V) +tp409 +a(g334 +V. +tp410 +a(g46 +Vsomething +p411 +tp412 +a(g180 +V +tp413 +a(g43 +Vargh +p414 +tp415 +a(g193 +V, +tp416 +a(g180 +V +tp417 +a(g43 +Vaaahaa +p418 +tp419 +a(g180 +V\u000a +p420 +tp421 +a(g309 +V42 +p422 +tp423 +a(g180 +V\u000a +p424 +tp425 +a(g6 +Vend +p426 +tp427 +a(g180 +V\u000a +tp428 +a(g6 +Vend +p429 +tp430 +a(g180 +V\u000a\u000a +p431 +tp432 +a(g6 +Vclass +p433 +tp434 +a(g180 +V +tp435 +a(g180 +V +tp436 +a(g43 +Vget_the_fuck +p437 +tp438 +a(g193 +V( +tp439 +a(g236 +V" +tp440 +a(g236 +Vout +p441 +tp442 +a(g236 +V" +tp443 +a(g193 +V) +tp444 +a(g334 +V:: +p445 +tp446 +a(g57 +VOf +p447 +tp448 +a(g334 +V:: +p449 +tp450 +a(g57 +VMy +p451 +tp452 +a(g180 +V\u000a +p453 +tp454 +a(g6 +Vdef +p455 +tp456 +a(g180 +V +tp457 +a(g46 +Vparser_definition +p458 +tp459 +a(g180 +V\u000a +p460 +tp461 +a(g43 +Vruby! +p462 +tp463 +a(g180 +V\u000a +p464 +tp465 +a(g6 +Vend +p466 +tp467 +a(g180 +V\u000a +tp468 +a(g6 +Vend +p469 +tp470 +a(g180 +V\u000a +tp471 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/sibling.prolog b/tests/examplefiles/output/sibling.prolog new file mode 100644 index 0000000..673c1c6 --- /dev/null +++ b/tests/examplefiles/output/sibling.prolog @@ -0,0 +1,1795 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +g32 +g2 +(g3 +g4 +(g110 +g32 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp116 +(dp117 +g9 +g10 +((ltRp118 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g111 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g110 +g122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g111 +sbsg9 +g10 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g110 +S'Type' +p134 +ttRp135 +(dp136 +g9 +g10 +((ltRp137 +sg12 +g111 +sbag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g9 +g10 +((ltRp145 +sg12 +g140 +sbsg12 +g15 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g140 +sbsg9 +g10 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g9 +g10 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g9 +g10 +((ltRp191 +sg12 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg12 +g15 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g9 +g10 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g9 +g10 +((ltRp204 +sg12 +g198 +sbatRp205 +sg201 +g202 +sg12 +g15 +sbsS'Token' +p206 +g15 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g9 +g10 +((ltRp214 +sg12 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g209 +sbsg12 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g222 +sbsg12 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g222 +sbsS'Single' +p267 +g2 +(g3 +g4 +(g208 +g221 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g222 +sbsg64 +g2 +(g3 +g4 +(g208 +g221 +g64 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g222 +sbsS'Doc' +p274 +g2 +(g3 +g4 +(g208 +g221 +g274 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g222 +sbsg9 +g10 +((lp278 +g271 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p279 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g222 +sbag241 +ag264 +ag256 +ag275 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag268 +ag252 +ag248 +atRp283 +sg279 +g280 +sbsg12 +g15 +sg207 +g209 +sS'Scalar' +p284 +g2 +(g3 +g4 +(g208 +g284 +ttRp285 +(dp286 +g9 +g10 +((lp287 +g2 +(g3 +g4 +(g208 +g284 +S'Plain' +p288 +ttRp289 +(dp290 +g9 +g10 +((ltRp291 +sg12 +g285 +sbatRp292 +sg12 +g219 +sg288 +g289 +sbsg64 +g2 +(g3 +g4 +(g208 +g64 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g219 +sbsS'Date' +p296 +g2 +(g3 +g4 +(g208 +g296 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g219 +sbsg9 +g10 +((lp300 +g297 +ag222 +ag293 +ag209 +ag285 +atRp301 +sbsS'Decimal' +p302 +g2 +(g3 +g4 +(g208 +g207 +g302 +ttRp303 +(dp304 +g9 +g10 +((ltRp305 +sg12 +g209 +sbsS'Float' +p306 +g2 +(g3 +g4 +(g208 +g207 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g209 +sbsS'Hex' +p310 +g2 +(g3 +g4 +(g208 +g207 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g209 +sbsS'Integer' +p314 +g2 +(g3 +g4 +(g208 +g207 +g314 +ttRp315 +(dp316 +g9 +g10 +((lp317 +g2 +(g3 +g4 +(g208 +g207 +g314 +S'Long' +p318 +ttRp319 +(dp320 +g9 +g10 +((ltRp321 +sg12 +g315 +sbatRp322 +sg318 +g319 +sg12 +g209 +sbsS'Octal' +p323 +g2 +(g3 +g4 +(g208 +g207 +g323 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g209 +sbsg9 +g10 +((lp327 +g212 +ag216 +ag324 +ag303 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p328 +ttRp329 +(dp330 +g9 +g10 +((ltRp331 +sg12 +g209 +sbag315 +ag307 +ag311 +atRp332 +sg328 +g329 +sbsg208 +g219 +sg64 +g2 +(g3 +g4 +(g64 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g15 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsS'Operator' +p339 +g2 +(g3 +g4 +(g339 +ttRp340 +(dp341 +g9 +g10 +((lp342 +g2 +(g3 +g4 +(g339 +S'Word' +p343 +ttRp344 +(dp345 +g9 +g10 +((ltRp346 +sg12 +g340 +sbatRp347 +sg343 +g344 +sg12 +g15 +sbsg9 +g10 +((lp348 +g13 +ag336 +ag140 +ag185 +ag18 +ag198 +ag111 +ag219 +ag340 +ag333 +atRp349 +sg221 +g222 +sbsS'Preproc' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbsg267 +g2 +(g3 +g4 +(g5 +g267 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag351 +ag354 +ag7 +atRp362 +sg358 +g359 +sbsbV/* +p363 +tp364 +a(g7 +V Comments +p365 +tp366 +a(g7 +V/* +p367 +tp368 +a(g7 +V can nest +p369 +tp370 +a(g7 +V*/ +p371 +tp372 +a(g7 +V\u000astill a comment\u000a +p373 +tp374 +a(g7 +V*/ +p375 +tp376 +a(g185 +V\u000a\u000a +p377 +tp378 +a(g198 +V:- +p379 +tp380 +a(g185 +V +tp381 +a(g21 +Vmodule +p382 +tp383 +a(g198 +V( +tp384 +a(g280 +Vmaplist +p385 +tp386 +a(g198 +V, +tp387 +a(g185 +V +tp388 +a(g280 +Vmaplist +p389 +tp390 +a(g340 +V/ +tp391 +a(g209 +V3 +tp392 +a(g198 +V) +tp393 +a(g185 +V\u000a\u000a +p394 +tp395 +a(g21 +Vassert +p396 +tp397 +a(g198 +V( +tp398 +a(g99 +Vworld +p399 +tp400 +a(g198 +V: +tp401 +a(g280 +Vdone +p402 +tp403 +a(g198 +V) +tp404 +a(g198 +V. +tp405 +a(g185 +V +tp406 +a(g354 +V% asserts +p407 +tp408 +a(g185 +V\u000a\u000a +p409 +tp410 +a(g21 +Vsibling +p411 +tp412 +a(g198 +V( +tp413 +a(g73 +VX +tp414 +a(g198 +V, +tp415 +a(g185 +V +tp416 +a(g73 +VY +tp417 +a(g198 +V) +tp418 +a(g185 +V +p419 +tp420 +a(g198 +V:- +p421 +tp422 +a(g185 +V +tp423 +a(g21 +Vparent_child +p424 +tp425 +a(g198 +V( +tp426 +a(g73 +VZ +tp427 +a(g198 +V, +tp428 +a(g185 +V +tp429 +a(g73 +VX +tp430 +a(g198 +V) +tp431 +a(g198 +V, +tp432 +a(g185 +V +tp433 +a(g21 +Vparent_child +p434 +tp435 +a(g198 +V( +tp436 +a(g73 +VZ +tp437 +a(g198 +V, +tp438 +a(g185 +V +tp439 +a(g73 +VY +tp440 +a(g198 +V) +tp441 +a(g198 +V. +tp442 +a(g185 +V\u000a\u000a +p443 +tp444 +a(g21 +Vparent_child +p445 +tp446 +a(g198 +V( +tp447 +a(g73 +VX +tp448 +a(g198 +V, +tp449 +a(g185 +V +tp450 +a(g73 +VY +tp451 +a(g198 +V) +tp452 +a(g185 +V +tp453 +a(g198 +V:- +p454 +tp455 +a(g185 +V +tp456 +a(g21 +Vfather_child +p457 +tp458 +a(g198 +V( +tp459 +a(g73 +VX +tp460 +a(g198 +V, +tp461 +a(g185 +V +tp462 +a(g73 +VY +tp463 +a(g198 +V) +tp464 +a(g198 +V. +tp465 +a(g185 +V\u000a +tp466 +a(g21 +Vparent_child +p467 +tp468 +a(g198 +V( +tp469 +a(g73 +VX +tp470 +a(g198 +V, +tp471 +a(g185 +V +tp472 +a(g73 +VY +tp473 +a(g198 +V) +tp474 +a(g185 +V +tp475 +a(g198 +V:- +p476 +tp477 +a(g185 +V +tp478 +a(g21 +Vmother_child +p479 +tp480 +a(g198 +V( +tp481 +a(g73 +VX +tp482 +a(g198 +V, +tp483 +a(g185 +V +tp484 +a(g73 +VY +tp485 +a(g198 +V) +tp486 +a(g198 +V. +tp487 +a(g185 +V\u000a\u000a +p488 +tp489 +a(g21 +Vmother_child +p490 +tp491 +a(g198 +V( +tp492 +a(g280 +Vtrude +p493 +tp494 +a(g198 +V, +tp495 +a(g185 +V +tp496 +a(g280 +Vsally +p497 +tp498 +a(g198 +V) +tp499 +a(g198 +V. +tp500 +a(g185 +V\u000a\u000a +p501 +tp502 +a(g21 +Vfather_child +p503 +tp504 +a(g198 +V( +tp505 +a(g280 +Vtom +p506 +tp507 +a(g198 +V, +tp508 +a(g185 +V +tp509 +a(g280 +Vsally +p510 +tp511 +a(g198 +V) +tp512 +a(g198 +V. +tp513 +a(g185 +V\u000a +tp514 +a(g21 +Vfather_child +p515 +tp516 +a(g198 +V( +tp517 +a(g280 +Vtom +p518 +tp519 +a(g198 +V, +tp520 +a(g185 +V +tp521 +a(g280 +Verica +p522 +tp523 +a(g198 +V) +tp524 +a(g198 +V. +tp525 +a(g185 +V\u000a +tp526 +a(g21 +Vfather_child +p527 +tp528 +a(g198 +V( +tp529 +a(g280 +Vmike +p530 +tp531 +a(g198 +V, +tp532 +a(g185 +V +tp533 +a(g280 +Vtom +p534 +tp535 +a(g198 +V) +tp536 +a(g198 +V. +tp537 +a(g185 +V\u000a +tp538 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/simple.md b/tests/examplefiles/output/simple.md new file mode 100644 index 0000000..43a88cf --- /dev/null +++ b/tests/examplefiles/output/simple.md @@ -0,0 +1,15461 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Pervasive' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsS'Constant' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g11 +g12 +((ltRp18 +sg14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g14 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g11 +g12 +((ltRp35 +sg14 +g22 +sbsg11 +g12 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g11 +g12 +((ltRp40 +sg14 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g11 +g12 +((ltRp56 +sg14 +g43 +sbsg15 +g2 +(g3 +g4 +(g42 +g15 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g43 +sbsg14 +g19 +sS'Pseudo' +p60 +g2 +(g3 +g4 +(g42 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g43 +sbsS'Attribute' +p64 +g2 +(g3 +g4 +(g42 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g43 +sbsS'Label' +p68 +g2 +(g3 +g4 +(g42 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g43 +sbsS'Blubb' +p72 +g2 +(g3 +g4 +(g42 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g43 +sbsS'Entity' +p76 +g2 +(g3 +g4 +(g42 +g76 +ttRp77 +(dp78 +g11 +g12 +((ltRp79 +sg14 +g43 +sbsS'Builtin' +p80 +g2 +(g3 +g4 +(g42 +g80 +ttRp81 +(dp82 +g11 +g12 +((lp83 +g2 +(g3 +g4 +(g42 +g80 +g60 +ttRp84 +(dp85 +g11 +g12 +((ltRp86 +sg14 +g81 +sbatRp87 +sg60 +g84 +sg14 +g43 +sbsS'Other' +p88 +g2 +(g3 +g4 +(g42 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g43 +sbsS'Identifier' +p92 +g2 +(g3 +g4 +(g42 +g92 +ttRp93 +(dp94 +g11 +g12 +((ltRp95 +sg14 +g43 +sbsS'Variable' +p96 +g2 +(g3 +g4 +(g42 +g96 +ttRp97 +(dp98 +g14 +g43 +sS'Global' +p99 +g2 +(g3 +g4 +(g42 +g96 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g97 +sbsS'Instance' +p103 +g2 +(g3 +g4 +(g42 +g96 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g97 +sbsS'Anonymous' +p107 +g2 +(g3 +g4 +(g42 +g96 +g107 +ttRp108 +(dp109 +g11 +g12 +((ltRp110 +sg14 +g97 +sbsg11 +g12 +((lp111 +g108 +ag104 +ag100 +ag2 +(g3 +g4 +(g42 +g96 +S'Class' +p112 +ttRp113 +(dp114 +g11 +g12 +((ltRp115 +sg14 +g97 +sbatRp116 +sg112 +g113 +sbsg11 +g12 +((lp117 +g2 +(g3 +g4 +(g42 +S'Decorator' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g43 +sbag65 +ag57 +ag61 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g43 +sbag93 +ag81 +ag97 +ag89 +ag73 +ag77 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p126 +ttRp127 +(dp128 +g11 +g12 +((ltRp129 +sg14 +g43 +sbag69 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g112 +ttRp130 +(dp131 +g11 +g12 +((ltRp132 +sg14 +g43 +sbatRp133 +sg126 +g127 +sg112 +g130 +sg118 +g119 +sg122 +g123 +sbsg5 +g6 +sS'Generic' +p134 +g2 +(g3 +g4 +(g134 +ttRp135 +(dp136 +S'Prompt' +p137 +g2 +(g3 +g4 +(g134 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g135 +sbsg14 +g19 +sS'Deleted' +p141 +g2 +(g3 +g4 +(g134 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g135 +sbsS'Traceback' +p145 +g2 +(g3 +g4 +(g134 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g135 +sbsS'Emph' +p149 +g2 +(g3 +g4 +(g134 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g135 +sbsS'Output' +p153 +g2 +(g3 +g4 +(g134 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g135 +sbsS'Subheading' +p157 +g2 +(g3 +g4 +(g134 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g135 +sbsS'Error' +p161 +g2 +(g3 +g4 +(g134 +g161 +ttRp162 +(dp163 +g11 +g12 +((ltRp164 +sg14 +g135 +sbsg11 +g12 +((lp165 +g154 +ag150 +ag162 +ag158 +ag146 +ag142 +ag2 +(g3 +g4 +(g134 +S'Heading' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Inserted' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Strong' +p174 +ttRp175 +(dp176 +g11 +g12 +((ltRp177 +sg14 +g135 +sbag138 +atRp178 +sg174 +g175 +sg170 +g171 +sg166 +g167 +sbsS'Text' +p179 +g2 +(g3 +g4 +(g179 +ttRp180 +(dp181 +g11 +g12 +((lp182 +g2 +(g3 +g4 +(g179 +S'Symbol' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g180 +sbag2 +(g3 +g4 +(g179 +S'Whitespace' +p187 +ttRp188 +(dp189 +g11 +g12 +((ltRp190 +sg14 +g180 +sbatRp191 +sg183 +g184 +sg187 +g188 +sg14 +g19 +sbsS'Punctuation' +p192 +g2 +(g3 +g4 +(g192 +ttRp193 +(dp194 +g11 +g12 +((lp195 +g2 +(g3 +g4 +(g192 +S'Indicator' +p196 +ttRp197 +(dp198 +g11 +g12 +((ltRp199 +sg14 +g193 +sbatRp200 +sg196 +g197 +sg14 +g19 +sbsS'Token' +p201 +g19 +sS'Number' +p202 +g2 +(g3 +g4 +(S'Literal' +p203 +g202 +ttRp204 +(dp205 +S'Bin' +p206 +g2 +(g3 +g4 +(g203 +g202 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g204 +sbsS'Binary' +p210 +g2 +(g3 +g4 +(g203 +g202 +g210 +ttRp211 +(dp212 +g11 +g12 +((ltRp213 +sg14 +g204 +sbsg14 +g2 +(g3 +g4 +(g203 +ttRp214 +(dp215 +S'String' +p216 +g2 +(g3 +g4 +(g203 +g216 +ttRp217 +(dp218 +S'Regex' +p219 +g2 +(g3 +g4 +(g203 +g216 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g217 +sbsS'Interpol' +p223 +g2 +(g3 +g4 +(g203 +g216 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g217 +sbsS'Regexp' +p227 +g2 +(g3 +g4 +(g203 +g216 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g217 +sbsg14 +g214 +sS'Heredoc' +p231 +g2 +(g3 +g4 +(g203 +g216 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g217 +sbsS'Double' +p235 +g2 +(g3 +g4 +(g203 +g216 +g235 +ttRp236 +(dp237 +g11 +g12 +((ltRp238 +sg14 +g217 +sbsg183 +g2 +(g3 +g4 +(g203 +g216 +g183 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g217 +sbsS'Escape' +p242 +g2 +(g3 +g4 +(g203 +g216 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g217 +sbsS'Character' +p246 +g2 +(g3 +g4 +(g203 +g216 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g217 +sbsS'Interp' +p250 +g2 +(g3 +g4 +(g203 +g216 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g217 +sbsS'Backtick' +p254 +g2 +(g3 +g4 +(g203 +g216 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g217 +sbsS'Char' +p258 +g2 +(g3 +g4 +(g203 +g216 +g258 +ttRp259 +(dp260 +g11 +g12 +((ltRp261 +sg14 +g217 +sbsg28 +g2 +(g3 +g4 +(g203 +g216 +g28 +ttRp262 +(dp263 +g11 +g12 +((ltRp264 +sg14 +g217 +sbsg88 +g2 +(g3 +g4 +(g203 +g216 +g88 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g217 +sbsS'Doc' +p268 +g2 +(g3 +g4 +(g203 +g216 +g268 +ttRp269 +(dp270 +g11 +g12 +((ltRp271 +sg14 +g217 +sbsg11 +g12 +((lp272 +g265 +ag2 +(g3 +g4 +(g203 +g216 +S'Atom' +p273 +ttRp274 +(dp275 +g11 +g12 +((ltRp276 +sg14 +g217 +sbag236 +ag259 +ag251 +ag269 +ag232 +ag255 +ag224 +ag239 +ag228 +ag220 +ag262 +ag247 +ag243 +atRp277 +sg273 +g274 +sbsg14 +g19 +sg202 +g204 +sS'Scalar' +p278 +g2 +(g3 +g4 +(g203 +g278 +ttRp279 +(dp280 +g11 +g12 +((lp281 +g2 +(g3 +g4 +(g203 +g278 +S'Plain' +p282 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g279 +sbatRp286 +sg14 +g214 +sg282 +g283 +sbsg88 +g2 +(g3 +g4 +(g203 +g88 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g214 +sbsS'Date' +p290 +g2 +(g3 +g4 +(g203 +g290 +ttRp291 +(dp292 +g11 +g12 +((ltRp293 +sg14 +g214 +sbsg11 +g12 +((lp294 +g291 +ag217 +ag287 +ag204 +ag279 +atRp295 +sbsS'Decimal' +p296 +g2 +(g3 +g4 +(g203 +g202 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g204 +sbsS'Float' +p300 +g2 +(g3 +g4 +(g203 +g202 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g204 +sbsS'Hex' +p304 +g2 +(g3 +g4 +(g203 +g202 +g304 +ttRp305 +(dp306 +g11 +g12 +((ltRp307 +sg14 +g204 +sbsS'Integer' +p308 +g2 +(g3 +g4 +(g203 +g202 +g308 +ttRp309 +(dp310 +g11 +g12 +((lp311 +g2 +(g3 +g4 +(g203 +g202 +g308 +S'Long' +p312 +ttRp313 +(dp314 +g11 +g12 +((ltRp315 +sg14 +g309 +sbatRp316 +sg312 +g313 +sg14 +g204 +sbsS'Octal' +p317 +g2 +(g3 +g4 +(g203 +g202 +g317 +ttRp318 +(dp319 +g11 +g12 +((ltRp320 +sg14 +g204 +sbsg11 +g12 +((lp321 +g207 +ag211 +ag318 +ag297 +ag2 +(g3 +g4 +(g203 +g202 +S'Oct' +p322 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g204 +sbag309 +ag301 +ag305 +atRp326 +sg322 +g323 +sbsg203 +g214 +sg88 +g2 +(g3 +g4 +(g88 +ttRp327 +(dp328 +g11 +g12 +((ltRp329 +sg14 +g19 +sbsg161 +g2 +(g3 +g4 +(g161 +ttRp330 +(dp331 +g11 +g12 +((ltRp332 +sg14 +g19 +sbsS'Operator' +p333 +g2 +(g3 +g4 +(g333 +ttRp334 +(dp335 +g11 +g12 +((lp336 +g2 +(g3 +g4 +(g333 +S'Word' +p337 +ttRp338 +(dp339 +g11 +g12 +((ltRp340 +sg14 +g334 +sbatRp341 +sg337 +g338 +sg14 +g19 +sbsg11 +g12 +((lp342 +g22 +ag330 +ag135 +ag180 +ag43 +ag193 +ag6 +ag214 +ag334 +ag327 +atRp343 +sg216 +g217 +sbsg122 +g2 +(g3 +g4 +(g5 +g122 +ttRp344 +(dp345 +g11 +g12 +((ltRp346 +sg14 +g6 +sbsg60 +g2 +(g3 +g4 +(g5 +g60 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Reserved' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsS'Declaration' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g11 +g12 +((ltRp357 +sg14 +g6 +sbsg96 +g2 +(g3 +g4 +(g5 +g96 +ttRp358 +(dp359 +g11 +g12 +((ltRp360 +sg14 +g6 +sbsg11 +g12 +((lp361 +g16 +ag351 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g11 +g12 +((ltRp365 +sg14 +g6 +sbag9 +ag355 +ag358 +ag344 +ag347 +atRp366 +sg362 +g363 +sbVmodule +p367 +tp368 +a(g180 +V +tp369 +a(g43 +Vsimple +p370 +tp371 +a(g193 +V; +tp372 +a(g180 +V\u000a +tp373 +a(g180 +V\u000a +tp374 +a(g29 +V// Importing stuff.\u000a +p375 +tp376 +a(g193 +V{ +tp377 +a(g180 +V\u000a +tp378 +a(g180 +V +tp379 +a(g6 +Vfunction +p380 +tp381 +a(g180 +V +tp382 +a(g43 +VloadMod +p383 +tp384 +a(g193 +V( +tp385 +a(g43 +Vname +p386 +tp387 +a(g193 +V, +tp388 +a(g180 +V +tp389 +a(g43 +Vns +p390 +tp391 +a(g193 +V) +tp392 +a(g180 +V\u000a +tp393 +a(g180 +V +tp394 +a(g193 +V{ +tp395 +a(g180 +V\u000a +tp396 +a(g180 +V +p397 +tp398 +a(g6 +Vassert +p399 +tp400 +a(g193 +V( +tp401 +a(g43 +Vname +p402 +tp403 +a(g180 +V +tp404 +a(g193 +V== +p405 +tp406 +a(g180 +V +tp407 +a(g217 +V"mod" +p408 +tp409 +a(g193 +V) +tp410 +a(g193 +V; +tp411 +a(g180 +V\u000a +tp412 +a(g180 +V \u000a +p413 +tp414 +a(g43 +Vns +p415 +tp416 +a(g193 +V. +tp417 +a(g43 +Vx +tp418 +a(g180 +V +tp419 +a(g193 +V= +tp420 +a(g180 +V +tp421 +a(g217 +V"I'm x" +p422 +tp423 +a(g193 +V; +tp424 +a(g180 +V\u000a +tp425 +a(g180 +V \u000a +p426 +tp427 +a(g43 +Vns +p428 +tp429 +a(g193 +V. +tp430 +a(g43 +Vfoo +p431 +tp432 +a(g180 +V +tp433 +a(g193 +V= +tp434 +a(g180 +V +tp435 +a(g6 +Vfunction +p436 +tp437 +a(g180 +V +tp438 +a(g43 +Vfoo +p439 +tp440 +a(g193 +V( +tp441 +a(g193 +V) +tp442 +a(g180 +V\u000a +tp443 +a(g180 +V +p444 +tp445 +a(g193 +V{ +tp446 +a(g180 +V\u000a +tp447 +a(g180 +V +p448 +tp449 +a(g43 +Vwritefln +p450 +tp451 +a(g193 +V( +tp452 +a(g217 +V"foo" +p453 +tp454 +a(g193 +V) +tp455 +a(g193 +V; +tp456 +a(g180 +V\u000a +tp457 +a(g180 +V +p458 +tp459 +a(g193 +V} +tp460 +a(g193 +V; +tp461 +a(g180 +V\u000a +tp462 +a(g180 +V \u000a +p463 +tp464 +a(g43 +Vns +p465 +tp466 +a(g193 +V. +tp467 +a(g43 +Vbar +p468 +tp469 +a(g180 +V +tp470 +a(g193 +V= +tp471 +a(g180 +V +tp472 +a(g6 +Vfunction +p473 +tp474 +a(g180 +V +tp475 +a(g43 +Vbar +p476 +tp477 +a(g193 +V( +tp478 +a(g43 +Vx +tp479 +a(g193 +V) +tp480 +a(g180 +V\u000a +tp481 +a(g180 +V +p482 +tp483 +a(g193 +V{ +tp484 +a(g180 +V\u000a +tp485 +a(g180 +V +p486 +tp487 +a(g6 +Vreturn +p488 +tp489 +a(g180 +V +tp490 +a(g43 +Vx +tp491 +a(g193 +V[ +tp492 +a(g309 +V0 +tp493 +a(g193 +V] +tp494 +a(g193 +V; +tp495 +a(g180 +V\u000a +tp496 +a(g180 +V +p497 +tp498 +a(g193 +V} +tp499 +a(g193 +V; +tp500 +a(g180 +V\u000a +tp501 +a(g180 +V \u000a +p502 +tp503 +a(g43 +Vns +p504 +tp505 +a(g193 +V. +tp506 +a(g43 +Vbaz +p507 +tp508 +a(g180 +V +tp509 +a(g193 +V= +tp510 +a(g180 +V +tp511 +a(g6 +Vfunction +p512 +tp513 +a(g180 +V +tp514 +a(g43 +Vbaz +p515 +tp516 +a(g193 +V( +tp517 +a(g193 +V) +tp518 +a(g180 +V\u000a +tp519 +a(g180 +V +p520 +tp521 +a(g193 +V{ +tp522 +a(g180 +V\u000a +tp523 +a(g180 +V +p524 +tp525 +a(g43 +Vwritefln +p526 +tp527 +a(g193 +V( +tp528 +a(g43 +Vx +tp529 +a(g193 +V) +tp530 +a(g193 +V; +tp531 +a(g180 +V\u000a +tp532 +a(g180 +V +p533 +tp534 +a(g193 +V} +tp535 +a(g193 +V; +tp536 +a(g180 +V\u000a +tp537 +a(g180 +V \u000a +p538 +tp539 +a(g6 +Vforeach +p540 +tp541 +a(g193 +V( +tp542 +a(g43 +Vk +tp543 +a(g193 +V, +tp544 +a(g180 +V +tp545 +a(g43 +Vv +tp546 +a(g193 +V; +tp547 +a(g180 +V +tp548 +a(g43 +Vns +p549 +tp550 +a(g193 +V) +tp551 +a(g180 +V\u000a +tp552 +a(g180 +V +p553 +tp554 +a(g6 +Vif +p555 +tp556 +a(g193 +V( +tp557 +a(g43 +VisFunction +p558 +tp559 +a(g193 +V( +tp560 +a(g43 +Vv +tp561 +a(g193 +V) +tp562 +a(g193 +V) +tp563 +a(g180 +V\u000a +tp564 +a(g180 +V +p565 +tp566 +a(g43 +Vv +tp567 +a(g193 +V. +tp568 +a(g43 +Venvironment +p569 +tp570 +a(g193 +V( +tp571 +a(g43 +Vns +p572 +tp573 +a(g193 +V) +tp574 +a(g193 +V; +tp575 +a(g180 +V\u000a +tp576 +a(g180 +V +tp577 +a(g193 +V} +tp578 +a(g180 +V\u000a +tp579 +a(g180 +V \u000a +p580 +tp581 +a(g43 +VsetModuleLoader +p582 +tp583 +a(g193 +V( +tp584 +a(g217 +V"mod" +p585 +tp586 +a(g193 +V, +tp587 +a(g180 +V +tp588 +a(g43 +VloadMod +p589 +tp590 +a(g193 +V) +tp591 +a(g193 +V; +tp592 +a(g180 +V\u000a +tp593 +a(g180 +V \u000a +p594 +tp595 +a(g6 +Vimport +p596 +tp597 +a(g180 +V +tp598 +a(g43 +Vmod +p599 +tp600 +a(g180 +V +tp601 +a(g193 +V: +tp602 +a(g180 +V +tp603 +a(g43 +Vfoo +p604 +tp605 +a(g193 +V, +tp606 +a(g180 +V +tp607 +a(g43 +Vbar +p608 +tp609 +a(g193 +V; +tp610 +a(g180 +V\u000a +tp611 +a(g180 +V +tp612 +a(g43 +Vfoo +p613 +tp614 +a(g193 +V( +tp615 +a(g193 +V) +tp616 +a(g193 +V; +tp617 +a(g180 +V\u000a +tp618 +a(g180 +V +tp619 +a(g43 +Vwritefln +p620 +tp621 +a(g193 +V( +tp622 +a(g43 +Vbar +p623 +tp624 +a(g193 +V( +tp625 +a(g193 +V[ +tp626 +a(g309 +V5 +tp627 +a(g193 +V] +tp628 +a(g193 +V) +tp629 +a(g193 +V) +tp630 +a(g193 +V; +tp631 +a(g180 +V\u000a +tp632 +a(g180 +V +tp633 +a(g43 +Vmod +p634 +tp635 +a(g193 +V. +tp636 +a(g43 +Vbaz +p637 +tp638 +a(g193 +V( +tp639 +a(g193 +V) +tp640 +a(g193 +V; +tp641 +a(g180 +V\u000a +tp642 +a(g180 +V\u000a +tp643 +a(g180 +V +tp644 +a(g43 +Vwritefln +p645 +tp646 +a(g193 +V( +tp647 +a(g193 +V) +tp648 +a(g193 +V; +tp649 +a(g180 +V\u000a +tp650 +a(g193 +V} +tp651 +a(g180 +V\u000a +tp652 +a(g180 +V\u000a +tp653 +a(g29 +V// Super calls.\u000a +p654 +tp655 +a(g193 +V{ +tp656 +a(g180 +V\u000a +tp657 +a(g180 +V +tp658 +a(g6 +Vclass +p659 +tp660 +a(g180 +V +tp661 +a(g43 +VBase +p662 +tp663 +a(g180 +V\u000a +tp664 +a(g180 +V +tp665 +a(g193 +V{ +tp666 +a(g180 +V\u000a +tp667 +a(g180 +V +p668 +tp669 +a(g6 +Vfunction +p670 +tp671 +a(g180 +V +tp672 +a(g43 +Vfork +p673 +tp674 +a(g193 +V( +tp675 +a(g193 +V) +tp676 +a(g180 +V\u000a +tp677 +a(g180 +V +p678 +tp679 +a(g193 +V{ +tp680 +a(g180 +V\u000a +tp681 +a(g180 +V +p682 +tp683 +a(g43 +Vwritefln +p684 +tp685 +a(g193 +V( +tp686 +a(g217 +V"Base fork." +p687 +tp688 +a(g193 +V) +tp689 +a(g193 +V; +tp690 +a(g180 +V\u000a +tp691 +a(g180 +V +p692 +tp693 +a(g193 +V} +tp694 +a(g180 +V\u000a +tp695 +a(g180 +V +tp696 +a(g193 +V} +tp697 +a(g180 +V\u000a +tp698 +a(g180 +V\u000a +tp699 +a(g180 +V +tp700 +a(g6 +Vclass +p701 +tp702 +a(g180 +V +tp703 +a(g43 +VDerived +p704 +tp705 +a(g180 +V +tp706 +a(g193 +V: +tp707 +a(g180 +V +tp708 +a(g43 +VBase +p709 +tp710 +a(g180 +V\u000a +tp711 +a(g180 +V +tp712 +a(g193 +V{ +tp713 +a(g180 +V\u000a +tp714 +a(g180 +V +p715 +tp716 +a(g6 +Vfunction +p717 +tp718 +a(g180 +V +tp719 +a(g43 +Vfork +p720 +tp721 +a(g193 +V( +tp722 +a(g193 +V) +tp723 +a(g180 +V\u000a +tp724 +a(g180 +V +p725 +tp726 +a(g193 +V{ +tp727 +a(g180 +V\u000a +tp728 +a(g180 +V +p729 +tp730 +a(g43 +Vwritefln +p731 +tp732 +a(g193 +V( +tp733 +a(g217 +V"Derived fork!" +p734 +tp735 +a(g193 +V) +tp736 +a(g193 +V; +tp737 +a(g180 +V\u000a +tp738 +a(g180 +V +p739 +tp740 +a(g6 +Vsuper +p741 +tp742 +a(g193 +V. +tp743 +a(g43 +Vfork +p744 +tp745 +a(g193 +V( +tp746 +a(g193 +V) +tp747 +a(g193 +V; +tp748 +a(g180 +V\u000a +tp749 +a(g180 +V +p750 +tp751 +a(g193 +V} +tp752 +a(g180 +V\u000a +tp753 +a(g180 +V +tp754 +a(g193 +V} +tp755 +a(g180 +V\u000a +tp756 +a(g180 +V \u000a +p757 +tp758 +a(g6 +Vlocal +p759 +tp760 +a(g180 +V +tp761 +a(g43 +Vd +tp762 +a(g180 +V +tp763 +a(g193 +V= +tp764 +a(g180 +V +tp765 +a(g43 +VDerived +p766 +tp767 +a(g193 +V( +tp768 +a(g193 +V) +tp769 +a(g193 +V; +tp770 +a(g180 +V\u000a +tp771 +a(g180 +V +tp772 +a(g43 +Vd +tp773 +a(g193 +V. +tp774 +a(g43 +Vfork +p775 +tp776 +a(g193 +V( +tp777 +a(g193 +V) +tp778 +a(g193 +V; +tp779 +a(g180 +V\u000a +tp780 +a(g180 +V \u000a +p781 +tp782 +a(g43 +Vwritefln +p783 +tp784 +a(g193 +V( +tp785 +a(g193 +V) +tp786 +a(g193 +V; +tp787 +a(g180 +V\u000a +tp788 +a(g193 +V} +tp789 +a(g180 +V\u000a +tp790 +a(g180 +V\u000a +tp791 +a(g29 +V// Coroutines and coroutine iteration.\u000a +p792 +tp793 +a(g193 +V{ +tp794 +a(g180 +V\u000a +tp795 +a(g180 +V +tp796 +a(g6 +Vlocal +p797 +tp798 +a(g180 +V +tp799 +a(g43 +VcountDown +p800 +tp801 +a(g180 +V +tp802 +a(g193 +V= +tp803 +a(g180 +V +tp804 +a(g6 +Vcoroutine +p805 +tp806 +a(g180 +V +tp807 +a(g6 +Vfunction +p808 +tp809 +a(g180 +V +tp810 +a(g43 +VcountDown +p811 +tp812 +a(g193 +V( +tp813 +a(g43 +Vx +tp814 +a(g193 +V) +tp815 +a(g180 +V\u000a +tp816 +a(g180 +V +tp817 +a(g193 +V{ +tp818 +a(g180 +V\u000a +tp819 +a(g180 +V +p820 +tp821 +a(g6 +Vyield +p822 +tp823 +a(g193 +V( +tp824 +a(g193 +V) +tp825 +a(g193 +V; +tp826 +a(g180 +V\u000a +tp827 +a(g180 +V \u000a +p828 +tp829 +a(g6 +Vwhile +p830 +tp831 +a(g193 +V( +tp832 +a(g43 +Vx +tp833 +a(g180 +V +tp834 +a(g193 +V> +tp835 +a(g180 +V +tp836 +a(g309 +V0 +tp837 +a(g193 +V) +tp838 +a(g180 +V\u000a +tp839 +a(g180 +V +p840 +tp841 +a(g193 +V{ +tp842 +a(g180 +V\u000a +tp843 +a(g180 +V +p844 +tp845 +a(g6 +Vyield +p846 +tp847 +a(g193 +V( +tp848 +a(g43 +Vx +tp849 +a(g193 +V) +tp850 +a(g193 +V; +tp851 +a(g180 +V\u000a +tp852 +a(g180 +V +p853 +tp854 +a(g43 +Vx +tp855 +a(g193 +V-- +p856 +tp857 +a(g193 +V; +tp858 +a(g180 +V\u000a +tp859 +a(g180 +V +p860 +tp861 +a(g193 +V} +tp862 +a(g180 +V\u000a +tp863 +a(g180 +V +tp864 +a(g193 +V} +tp865 +a(g193 +V; +tp866 +a(g180 +V\u000a +tp867 +a(g180 +V \u000a +p868 +tp869 +a(g6 +Vforeach +p870 +tp871 +a(g193 +V( +tp872 +a(g43 +Vv +tp873 +a(g193 +V; +tp874 +a(g180 +V +tp875 +a(g43 +VcountDown +p876 +tp877 +a(g193 +V, +tp878 +a(g180 +V +tp879 +a(g309 +V5 +tp880 +a(g193 +V) +tp881 +a(g180 +V\u000a +tp882 +a(g180 +V +p883 +tp884 +a(g43 +Vwritefln +p885 +tp886 +a(g193 +V( +tp887 +a(g43 +Vv +tp888 +a(g193 +V) +tp889 +a(g193 +V; +tp890 +a(g180 +V\u000a +tp891 +a(g180 +V \u000a +p892 +tp893 +a(g43 +Vwritefln +p894 +tp895 +a(g193 +V( +tp896 +a(g193 +V) +tp897 +a(g193 +V; +tp898 +a(g180 +V\u000a +tp899 +a(g180 +V \u000a +p900 +tp901 +a(g6 +Vlocal +p902 +tp903 +a(g180 +V +tp904 +a(g43 +VforEach +p905 +tp906 +a(g180 +V +tp907 +a(g193 +V= +tp908 +a(g180 +V +tp909 +a(g6 +Vcoroutine +p910 +tp911 +a(g180 +V +tp912 +a(g6 +Vfunction +p913 +tp914 +a(g180 +V +tp915 +a(g43 +VforEach +p916 +tp917 +a(g193 +V( +tp918 +a(g43 +Vt +tp919 +a(g193 +V) +tp920 +a(g180 +V\u000a +tp921 +a(g180 +V +tp922 +a(g193 +V{ +tp923 +a(g180 +V\u000a +tp924 +a(g180 +V +p925 +tp926 +a(g6 +Vyield +p927 +tp928 +a(g193 +V( +tp929 +a(g193 +V) +tp930 +a(g193 +V; +tp931 +a(g180 +V\u000a +tp932 +a(g180 +V \u000a +p933 +tp934 +a(g6 +Vforeach +p935 +tp936 +a(g193 +V( +tp937 +a(g43 +Vk +tp938 +a(g193 +V, +tp939 +a(g180 +V +tp940 +a(g43 +Vv +tp941 +a(g193 +V; +tp942 +a(g180 +V +tp943 +a(g43 +Vt +tp944 +a(g193 +V) +tp945 +a(g180 +V\u000a +tp946 +a(g180 +V +p947 +tp948 +a(g6 +Vyield +p949 +tp950 +a(g193 +V( +tp951 +a(g43 +Vk +tp952 +a(g193 +V, +tp953 +a(g180 +V +tp954 +a(g43 +Vv +tp955 +a(g193 +V) +tp956 +a(g193 +V; +tp957 +a(g180 +V\u000a +tp958 +a(g180 +V +tp959 +a(g193 +V} +tp960 +a(g193 +V; +tp961 +a(g180 +V\u000a +tp962 +a(g180 +V \u000a +p963 +tp964 +a(g6 +Vforeach +p965 +tp966 +a(g193 +V( +tp967 +a(g43 +V_ +tp968 +a(g193 +V, +tp969 +a(g180 +V +tp970 +a(g43 +Vk +tp971 +a(g193 +V, +tp972 +a(g180 +V +tp973 +a(g43 +Vv +tp974 +a(g193 +V; +tp975 +a(g180 +V +tp976 +a(g43 +VforEach +p977 +tp978 +a(g193 +V, +tp979 +a(g180 +V +tp980 +a(g193 +V{ +tp981 +a(g43 +Vhi +p982 +tp983 +a(g180 +V +tp984 +a(g193 +V= +tp985 +a(g180 +V +tp986 +a(g309 +V1 +tp987 +a(g193 +V, +tp988 +a(g180 +V +tp989 +a(g43 +Vbye +p990 +tp991 +a(g180 +V +tp992 +a(g193 +V= +tp993 +a(g180 +V +tp994 +a(g309 +V2 +tp995 +a(g193 +V} +tp996 +a(g193 +V) +tp997 +a(g180 +V\u000a +tp998 +a(g180 +V +p999 +tp1000 +a(g43 +Vwritefln +p1001 +tp1002 +a(g193 +V( +tp1003 +a(g217 +V"key: " +p1004 +tp1005 +a(g193 +V, +tp1006 +a(g180 +V +tp1007 +a(g43 +Vk +tp1008 +a(g193 +V, +tp1009 +a(g180 +V +tp1010 +a(g217 +V", value: " +p1011 +tp1012 +a(g193 +V, +tp1013 +a(g180 +V +tp1014 +a(g43 +Vv +tp1015 +a(g193 +V) +tp1016 +a(g193 +V; +tp1017 +a(g180 +V\u000a +tp1018 +a(g180 +V \u000a +p1019 +tp1020 +a(g43 +Vwritefln +p1021 +tp1022 +a(g193 +V( +tp1023 +a(g193 +V) +tp1024 +a(g193 +V; +tp1025 +a(g180 +V\u000a +tp1026 +a(g193 +V} +tp1027 +a(g180 +V\u000a +tp1028 +a(g180 +V\u000a +tp1029 +a(g29 +V// Testing tailcalls.\u000a +p1030 +tp1031 +a(g193 +V{ +tp1032 +a(g180 +V\u000a +tp1033 +a(g180 +V +tp1034 +a(g6 +Vfunction +p1035 +tp1036 +a(g180 +V +tp1037 +a(g43 +Vrecurse +p1038 +tp1039 +a(g193 +V( +tp1040 +a(g43 +Vx +tp1041 +a(g193 +V) +tp1042 +a(g180 +V\u000a +tp1043 +a(g180 +V +tp1044 +a(g193 +V{ +tp1045 +a(g180 +V\u000a +tp1046 +a(g180 +V +p1047 +tp1048 +a(g43 +Vwritefln +p1049 +tp1050 +a(g193 +V( +tp1051 +a(g217 +V"recurse: " +p1052 +tp1053 +a(g193 +V, +tp1054 +a(g180 +V +tp1055 +a(g43 +Vx +tp1056 +a(g193 +V) +tp1057 +a(g193 +V; +tp1058 +a(g180 +V\u000a +tp1059 +a(g180 +V \u000a +p1060 +tp1061 +a(g6 +Vif +p1062 +tp1063 +a(g193 +V( +tp1064 +a(g43 +Vx +tp1065 +a(g180 +V +tp1066 +a(g193 +V== +p1067 +tp1068 +a(g180 +V +tp1069 +a(g309 +V0 +tp1070 +a(g193 +V) +tp1071 +a(g180 +V\u000a +tp1072 +a(g180 +V +p1073 +tp1074 +a(g6 +Vreturn +p1075 +tp1076 +a(g180 +V +tp1077 +a(g43 +VtoString +p1078 +tp1079 +a(g193 +V( +tp1080 +a(g43 +Vx +tp1081 +a(g193 +V) +tp1082 +a(g193 +V; +tp1083 +a(g180 +V\u000a +tp1084 +a(g180 +V +p1085 +tp1086 +a(g6 +Velse +p1087 +tp1088 +a(g180 +V\u000a +tp1089 +a(g180 +V +p1090 +tp1091 +a(g6 +Vreturn +p1092 +tp1093 +a(g180 +V +tp1094 +a(g43 +Vrecurse +p1095 +tp1096 +a(g193 +V( +tp1097 +a(g43 +Vx +tp1098 +a(g180 +V +tp1099 +a(g193 +V- +tp1100 +a(g180 +V +tp1101 +a(g309 +V1 +tp1102 +a(g193 +V) +tp1103 +a(g193 +V; +tp1104 +a(g180 +V\u000a +tp1105 +a(g180 +V +tp1106 +a(g193 +V} +tp1107 +a(g180 +V\u000a +tp1108 +a(g180 +V \u000a +p1109 +tp1110 +a(g43 +Vwritefln +p1111 +tp1112 +a(g193 +V( +tp1113 +a(g43 +Vrecurse +p1114 +tp1115 +a(g193 +V( +tp1116 +a(g309 +V5 +tp1117 +a(g193 +V) +tp1118 +a(g193 +V) +tp1119 +a(g193 +V; +tp1120 +a(g180 +V\u000a +tp1121 +a(g180 +V +tp1122 +a(g43 +Vwritefln +p1123 +tp1124 +a(g193 +V( +tp1125 +a(g193 +V) +tp1126 +a(g193 +V; +tp1127 +a(g180 +V\u000a +tp1128 +a(g180 +V \u000a +p1129 +tp1130 +a(g6 +Vclass +p1131 +tp1132 +a(g180 +V +tp1133 +a(g43 +VA +tp1134 +a(g180 +V\u000a +tp1135 +a(g180 +V +tp1136 +a(g193 +V{ +tp1137 +a(g180 +V\u000a +tp1138 +a(g180 +V +p1139 +tp1140 +a(g6 +Vfunction +p1141 +tp1142 +a(g180 +V +tp1143 +a(g43 +Vf +tp1144 +a(g193 +V( +tp1145 +a(g43 +Vx +tp1146 +a(g193 +V) +tp1147 +a(g180 +V\u000a +tp1148 +a(g180 +V +p1149 +tp1150 +a(g193 +V{ +tp1151 +a(g180 +V\u000a +tp1152 +a(g180 +V +p1153 +tp1154 +a(g43 +Vwritefln +p1155 +tp1156 +a(g193 +V( +tp1157 +a(g217 +V"A.f: " +p1158 +tp1159 +a(g193 +V, +tp1160 +a(g180 +V +tp1161 +a(g43 +Vx +tp1162 +a(g193 +V) +tp1163 +a(g193 +V; +tp1164 +a(g180 +V\u000a +tp1165 +a(g180 +V\u000a +tp1166 +a(g180 +V +p1167 +tp1168 +a(g6 +Vif +p1169 +tp1170 +a(g193 +V( +tp1171 +a(g43 +Vx +tp1172 +a(g180 +V +tp1173 +a(g193 +V== +p1174 +tp1175 +a(g180 +V +tp1176 +a(g309 +V0 +tp1177 +a(g193 +V) +tp1178 +a(g180 +V\u000a +tp1179 +a(g180 +V +p1180 +tp1181 +a(g6 +Vreturn +p1182 +tp1183 +a(g180 +V +tp1184 +a(g43 +VtoString +p1185 +tp1186 +a(g193 +V( +tp1187 +a(g43 +Vx +tp1188 +a(g193 +V) +tp1189 +a(g193 +V; +tp1190 +a(g180 +V\u000a +tp1191 +a(g180 +V +p1192 +tp1193 +a(g6 +Velse +p1194 +tp1195 +a(g180 +V\u000a +tp1196 +a(g180 +V +p1197 +tp1198 +a(g6 +Vreturn +p1199 +tp1200 +a(g180 +V +tp1201 +a(g6 +Vthis +p1202 +tp1203 +a(g193 +V. +tp1204 +a(g43 +Vf +tp1205 +a(g193 +V( +tp1206 +a(g43 +Vx +tp1207 +a(g180 +V +tp1208 +a(g193 +V- +tp1209 +a(g180 +V +tp1210 +a(g309 +V1 +tp1211 +a(g193 +V) +tp1212 +a(g193 +V; +tp1213 +a(g180 +V +tp1214 +a(g29 +V// call it as this.f to force a 'method' instruction to be generated\u000a +p1215 +tp1216 +a(g180 +V +p1217 +tp1218 +a(g193 +V} +tp1219 +a(g180 +V\u000a +tp1220 +a(g180 +V +tp1221 +a(g193 +V} +tp1222 +a(g180 +V\u000a +tp1223 +a(g180 +V \u000a +p1224 +tp1225 +a(g6 +Vlocal +p1226 +tp1227 +a(g180 +V +tp1228 +a(g43 +Va +tp1229 +a(g180 +V +tp1230 +a(g193 +V= +tp1231 +a(g180 +V +tp1232 +a(g43 +VA +tp1233 +a(g193 +V( +tp1234 +a(g193 +V) +tp1235 +a(g193 +V; +tp1236 +a(g180 +V\u000a +tp1237 +a(g180 +V +tp1238 +a(g43 +Vwritefln +p1239 +tp1240 +a(g193 +V( +tp1241 +a(g43 +Va +tp1242 +a(g193 +V. +tp1243 +a(g43 +Vf +tp1244 +a(g193 +V( +tp1245 +a(g309 +V5 +tp1246 +a(g193 +V) +tp1247 +a(g193 +V) +tp1248 +a(g193 +V; +tp1249 +a(g180 +V\u000a +tp1250 +a(g180 +V +tp1251 +a(g43 +Vwritefln +p1252 +tp1253 +a(g193 +V( +tp1254 +a(g193 +V) +tp1255 +a(g193 +V; +tp1256 +a(g180 +V\u000a +tp1257 +a(g193 +V} +tp1258 +a(g180 +V\u000a +tp1259 +a(g180 +V\u000a +tp1260 +a(g193 +V{ +tp1261 +a(g180 +V\u000a +tp1262 +a(g180 +V +tp1263 +a(g29 +V// A function which lets us define properties for a class.\u000a +p1264 +tp1265 +a(g180 +V +tp1266 +a(g29 +V// The varargs should be a bunch of tables, each with a 'name' field, and 'getter' and/or 'setter' fields.\u000a +p1267 +tp1268 +a(g180 +V +tp1269 +a(g6 +Vfunction +p1270 +tp1271 +a(g180 +V +tp1272 +a(g43 +VmixinProperties +p1273 +tp1274 +a(g193 +V( +tp1275 +a(g43 +VclassType +p1276 +tp1277 +a(g193 +V, +tp1278 +a(g180 +V +tp1279 +a(g6 +Vvararg +p1280 +tp1281 +a(g193 +V) +tp1282 +a(g180 +V\u000a +tp1283 +a(g180 +V +tp1284 +a(g193 +V{ +tp1285 +a(g180 +V\u000a +tp1286 +a(g180 +V +p1287 +tp1288 +a(g43 +VclassType +p1289 +tp1290 +a(g193 +V. +tp1291 +a(g43 +VmProps +p1292 +tp1293 +a(g180 +V +tp1294 +a(g193 +V= +tp1295 +a(g180 +V +tp1296 +a(g193 +V{ +tp1297 +a(g180 +V +tp1298 +a(g193 +V} +tp1299 +a(g193 +V; +tp1300 +a(g180 +V\u000a +tp1301 +a(g180 +V \u000a +p1302 +tp1303 +a(g43 +VclassType +p1304 +tp1305 +a(g193 +V. +tp1306 +a(g43 +VopIndex +p1307 +tp1308 +a(g180 +V +tp1309 +a(g193 +V= +tp1310 +a(g180 +V +tp1311 +a(g6 +Vfunction +p1312 +tp1313 +a(g180 +V +tp1314 +a(g43 +VopIndex +p1315 +tp1316 +a(g193 +V( +tp1317 +a(g43 +Vkey +p1318 +tp1319 +a(g193 +V) +tp1320 +a(g180 +V\u000a +tp1321 +a(g180 +V +p1322 +tp1323 +a(g193 +V{ +tp1324 +a(g180 +V\u000a +tp1325 +a(g180 +V +p1326 +tp1327 +a(g6 +Vlocal +p1328 +tp1329 +a(g180 +V +tp1330 +a(g43 +Vprop +p1331 +tp1332 +a(g180 +V +tp1333 +a(g193 +V= +tp1334 +a(g180 +V +tp1335 +a(g43 +VmProps +p1336 +tp1337 +a(g193 +V[ +tp1338 +a(g43 +Vkey +p1339 +tp1340 +a(g193 +V] +tp1341 +a(g193 +V; +tp1342 +a(g180 +V\u000a +tp1343 +a(g180 +V \u000a +p1344 +tp1345 +a(g6 +Vif +p1346 +tp1347 +a(g193 +V( +tp1348 +a(g43 +Vprop +p1349 +tp1350 +a(g180 +V +tp1351 +a(g6 +Vis +p1352 +tp1353 +a(g180 +V +tp1354 +a(g16 +Vnull +p1355 +tp1356 +a(g193 +V) +tp1357 +a(g180 +V\u000a +tp1358 +a(g180 +V +p1359 +tp1360 +a(g6 +Vthrow +p1361 +tp1362 +a(g180 +V +tp1363 +a(g43 +Vformat +p1364 +tp1365 +a(g193 +V( +tp1366 +a(g43 +VclassType +p1367 +tp1368 +a(g193 +V, +tp1369 +a(g180 +V +tp1370 +a(g217 +V".opIndex() - Property '%s' does not exist" +p1371 +tp1372 +a(g193 +V, +tp1373 +a(g180 +V +tp1374 +a(g43 +Vkey +p1375 +tp1376 +a(g193 +V) +tp1377 +a(g193 +V; +tp1378 +a(g180 +V\u000a +tp1379 +a(g180 +V \u000a +p1380 +tp1381 +a(g6 +Vlocal +p1382 +tp1383 +a(g180 +V +tp1384 +a(g43 +Vgetter +p1385 +tp1386 +a(g180 +V +tp1387 +a(g193 +V= +tp1388 +a(g180 +V +tp1389 +a(g43 +Vprop +p1390 +tp1391 +a(g193 +V. +tp1392 +a(g43 +Vgetter +p1393 +tp1394 +a(g193 +V; +tp1395 +a(g180 +V\u000a +tp1396 +a(g180 +V \u000a +p1397 +tp1398 +a(g6 +Vif +p1399 +tp1400 +a(g193 +V( +tp1401 +a(g43 +Vgetter +p1402 +tp1403 +a(g180 +V +tp1404 +a(g6 +Vis +p1405 +tp1406 +a(g180 +V +tp1407 +a(g16 +Vnull +p1408 +tp1409 +a(g193 +V) +tp1410 +a(g180 +V\u000a +tp1411 +a(g180 +V +p1412 +tp1413 +a(g6 +Vthrow +p1414 +tp1415 +a(g180 +V +tp1416 +a(g43 +Vformat +p1417 +tp1418 +a(g193 +V( +tp1419 +a(g43 +VclassType +p1420 +tp1421 +a(g193 +V, +tp1422 +a(g180 +V +tp1423 +a(g217 +V".opIndex() - Property '%s' has no getter" +p1424 +tp1425 +a(g193 +V, +tp1426 +a(g180 +V +tp1427 +a(g43 +Vkey +p1428 +tp1429 +a(g193 +V) +tp1430 +a(g193 +V; +tp1431 +a(g180 +V\u000a +tp1432 +a(g180 +V \u000a +p1433 +tp1434 +a(g6 +Vreturn +p1435 +tp1436 +a(g180 +V +tp1437 +a(g43 +Vgetter +p1438 +tp1439 +a(g193 +V( +tp1440 +a(g6 +Vwith +p1441 +tp1442 +a(g180 +V +tp1443 +a(g6 +Vthis +p1444 +tp1445 +a(g193 +V) +tp1446 +a(g193 +V; +tp1447 +a(g180 +V\u000a +tp1448 +a(g180 +V +p1449 +tp1450 +a(g193 +V} +tp1451 +a(g193 +V; +tp1452 +a(g180 +V\u000a +tp1453 +a(g180 +V \u000a +p1454 +tp1455 +a(g43 +VclassType +p1456 +tp1457 +a(g193 +V. +tp1458 +a(g43 +VopIndexAssign +p1459 +tp1460 +a(g180 +V +tp1461 +a(g193 +V= +tp1462 +a(g180 +V +tp1463 +a(g6 +Vfunction +p1464 +tp1465 +a(g180 +V +tp1466 +a(g43 +VopIndexAssign +p1467 +tp1468 +a(g193 +V( +tp1469 +a(g43 +Vkey +p1470 +tp1471 +a(g193 +V, +tp1472 +a(g180 +V +tp1473 +a(g43 +Vvalue +p1474 +tp1475 +a(g193 +V) +tp1476 +a(g180 +V\u000a +tp1477 +a(g180 +V +p1478 +tp1479 +a(g193 +V{ +tp1480 +a(g180 +V\u000a +tp1481 +a(g180 +V +p1482 +tp1483 +a(g6 +Vlocal +p1484 +tp1485 +a(g180 +V +tp1486 +a(g43 +Vprop +p1487 +tp1488 +a(g180 +V +tp1489 +a(g193 +V= +tp1490 +a(g180 +V +tp1491 +a(g43 +VmProps +p1492 +tp1493 +a(g193 +V[ +tp1494 +a(g43 +Vkey +p1495 +tp1496 +a(g193 +V] +tp1497 +a(g193 +V; +tp1498 +a(g180 +V\u000a +tp1499 +a(g180 +V \u000a +p1500 +tp1501 +a(g6 +Vif +p1502 +tp1503 +a(g193 +V( +tp1504 +a(g43 +Vprop +p1505 +tp1506 +a(g180 +V +tp1507 +a(g6 +Vis +p1508 +tp1509 +a(g180 +V +tp1510 +a(g16 +Vnull +p1511 +tp1512 +a(g193 +V) +tp1513 +a(g180 +V\u000a +tp1514 +a(g180 +V +p1515 +tp1516 +a(g6 +Vthrow +p1517 +tp1518 +a(g180 +V +tp1519 +a(g43 +Vformat +p1520 +tp1521 +a(g193 +V( +tp1522 +a(g43 +VclassType +p1523 +tp1524 +a(g193 +V, +tp1525 +a(g180 +V +tp1526 +a(g217 +V".opIndexAssign() - Property '%s' does not exist" +p1527 +tp1528 +a(g193 +V, +tp1529 +a(g180 +V +tp1530 +a(g43 +Vkey +p1531 +tp1532 +a(g193 +V) +tp1533 +a(g193 +V; +tp1534 +a(g180 +V\u000a +tp1535 +a(g180 +V \u000a +p1536 +tp1537 +a(g6 +Vlocal +p1538 +tp1539 +a(g180 +V +tp1540 +a(g43 +Vsetter +p1541 +tp1542 +a(g180 +V +tp1543 +a(g193 +V= +tp1544 +a(g180 +V +tp1545 +a(g43 +Vprop +p1546 +tp1547 +a(g193 +V. +tp1548 +a(g43 +Vsetter +p1549 +tp1550 +a(g193 +V; +tp1551 +a(g180 +V\u000a +tp1552 +a(g180 +V \u000a +p1553 +tp1554 +a(g6 +Vif +p1555 +tp1556 +a(g193 +V( +tp1557 +a(g43 +Vsetter +p1558 +tp1559 +a(g180 +V +tp1560 +a(g6 +Vis +p1561 +tp1562 +a(g180 +V +tp1563 +a(g16 +Vnull +p1564 +tp1565 +a(g193 +V) +tp1566 +a(g180 +V\u000a +tp1567 +a(g180 +V +p1568 +tp1569 +a(g6 +Vthrow +p1570 +tp1571 +a(g180 +V +tp1572 +a(g43 +Vformat +p1573 +tp1574 +a(g193 +V( +tp1575 +a(g43 +VclassType +p1576 +tp1577 +a(g193 +V, +tp1578 +a(g180 +V +tp1579 +a(g217 +V".opIndexAssign() - Property '%s' has no setter" +p1580 +tp1581 +a(g193 +V, +tp1582 +a(g180 +V +tp1583 +a(g43 +Vkey +p1584 +tp1585 +a(g193 +V) +tp1586 +a(g193 +V; +tp1587 +a(g180 +V\u000a +tp1588 +a(g180 +V \u000a +p1589 +tp1590 +a(g43 +Vsetter +p1591 +tp1592 +a(g193 +V( +tp1593 +a(g6 +Vwith +p1594 +tp1595 +a(g180 +V +tp1596 +a(g6 +Vthis +p1597 +tp1598 +a(g193 +V, +tp1599 +a(g180 +V +tp1600 +a(g43 +Vvalue +p1601 +tp1602 +a(g193 +V) +tp1603 +a(g193 +V; +tp1604 +a(g180 +V\u000a +tp1605 +a(g180 +V +p1606 +tp1607 +a(g193 +V} +tp1608 +a(g193 +V; +tp1609 +a(g180 +V\u000a +tp1610 +a(g180 +V \u000a +p1611 +tp1612 +a(g6 +Vforeach +p1613 +tp1614 +a(g193 +V( +tp1615 +a(g43 +Vi +tp1616 +a(g193 +V, +tp1617 +a(g180 +V +tp1618 +a(g43 +Vprop +p1619 +tp1620 +a(g193 +V; +tp1621 +a(g180 +V +tp1622 +a(g193 +V[ +tp1623 +a(g6 +Vvararg +p1624 +tp1625 +a(g193 +V] +tp1626 +a(g193 +V) +tp1627 +a(g180 +V\u000a +tp1628 +a(g180 +V +p1629 +tp1630 +a(g193 +V{ +tp1631 +a(g180 +V\u000a +tp1632 +a(g180 +V +p1633 +tp1634 +a(g6 +Vif +p1635 +tp1636 +a(g193 +V( +tp1637 +a(g193 +V! +tp1638 +a(g43 +VisTable +p1639 +tp1640 +a(g193 +V( +tp1641 +a(g43 +Vprop +p1642 +tp1643 +a(g193 +V) +tp1644 +a(g193 +V) +tp1645 +a(g180 +V\u000a +tp1646 +a(g180 +V +p1647 +tp1648 +a(g6 +Vthrow +p1649 +tp1650 +a(g180 +V +tp1651 +a(g43 +Vformat +p1652 +tp1653 +a(g193 +V( +tp1654 +a(g217 +V"mixinProperties() - property " +p1655 +tp1656 +a(g193 +V, +tp1657 +a(g180 +V +tp1658 +a(g43 +Vi +tp1659 +a(g193 +V, +tp1660 +a(g180 +V +tp1661 +a(g217 +V" is not a table" +p1662 +tp1663 +a(g193 +V) +tp1664 +a(g193 +V; +tp1665 +a(g180 +V\u000a +tp1666 +a(g180 +V \u000a +p1667 +tp1668 +a(g6 +Vif +p1669 +tp1670 +a(g193 +V( +tp1671 +a(g43 +Vprop +p1672 +tp1673 +a(g193 +V. +tp1674 +a(g43 +Vname +p1675 +tp1676 +a(g180 +V +tp1677 +a(g6 +Vis +p1678 +tp1679 +a(g180 +V +tp1680 +a(g16 +Vnull +p1681 +tp1682 +a(g193 +V) +tp1683 +a(g180 +V\u000a +tp1684 +a(g180 +V +p1685 +tp1686 +a(g6 +Vthrow +p1687 +tp1688 +a(g180 +V +tp1689 +a(g43 +Vformat +p1690 +tp1691 +a(g193 +V( +tp1692 +a(g217 +V"mixinProperties() - property " +p1693 +tp1694 +a(g193 +V, +tp1695 +a(g180 +V +tp1696 +a(g43 +Vi +tp1697 +a(g193 +V, +tp1698 +a(g180 +V +tp1699 +a(g217 +V" has no name" +p1700 +tp1701 +a(g193 +V) +tp1702 +a(g193 +V; +tp1703 +a(g180 +V\u000a +tp1704 +a(g180 +V \u000a +p1705 +tp1706 +a(g6 +Vif +p1707 +tp1708 +a(g193 +V( +tp1709 +a(g43 +Vprop +p1710 +tp1711 +a(g193 +V. +tp1712 +a(g43 +Vsetter +p1713 +tp1714 +a(g180 +V +tp1715 +a(g6 +Vis +p1716 +tp1717 +a(g180 +V +tp1718 +a(g16 +Vnull +p1719 +tp1720 +a(g180 +V +tp1721 +a(g193 +V&& +p1722 +tp1723 +a(g180 +V +tp1724 +a(g43 +Vprop +p1725 +tp1726 +a(g193 +V. +tp1727 +a(g43 +Vgetter +p1728 +tp1729 +a(g180 +V +tp1730 +a(g6 +Vis +p1731 +tp1732 +a(g180 +V +tp1733 +a(g16 +Vnull +p1734 +tp1735 +a(g193 +V) +tp1736 +a(g180 +V\u000a +tp1737 +a(g180 +V +p1738 +tp1739 +a(g6 +Vthrow +p1740 +tp1741 +a(g180 +V +tp1742 +a(g43 +Vformat +p1743 +tp1744 +a(g193 +V( +tp1745 +a(g217 +V"mixinProperties() - property '%s' has no getter or setter" +p1746 +tp1747 +a(g193 +V, +tp1748 +a(g180 +V +tp1749 +a(g43 +Vprop +p1750 +tp1751 +a(g193 +V. +tp1752 +a(g43 +Vname +p1753 +tp1754 +a(g193 +V) +tp1755 +a(g193 +V; +tp1756 +a(g180 +V\u000a +tp1757 +a(g180 +V \u000a +p1758 +tp1759 +a(g43 +VclassType +p1760 +tp1761 +a(g193 +V. +tp1762 +a(g43 +VmProps +p1763 +tp1764 +a(g193 +V[ +tp1765 +a(g43 +Vprop +p1766 +tp1767 +a(g193 +V. +tp1768 +a(g43 +Vname +p1769 +tp1770 +a(g193 +V] +tp1771 +a(g180 +V +tp1772 +a(g193 +V= +tp1773 +a(g180 +V +tp1774 +a(g43 +Vprop +p1775 +tp1776 +a(g193 +V; +tp1777 +a(g180 +V\u000a +tp1778 +a(g180 +V +p1779 +tp1780 +a(g193 +V} +tp1781 +a(g180 +V\u000a +tp1782 +a(g180 +V +tp1783 +a(g193 +V} +tp1784 +a(g180 +V\u000a +tp1785 +a(g180 +V \u000a +p1786 +tp1787 +a(g29 +V// Create a class to test out.\u000a +p1788 +tp1789 +a(g180 +V +tp1790 +a(g6 +Vclass +p1791 +tp1792 +a(g180 +V +tp1793 +a(g43 +VPropTest +p1794 +tp1795 +a(g180 +V\u000a +tp1796 +a(g180 +V +tp1797 +a(g193 +V{ +tp1798 +a(g180 +V\u000a +tp1799 +a(g180 +V +p1800 +tp1801 +a(g43 +VmX +p1802 +tp1803 +a(g180 +V +tp1804 +a(g193 +V= +tp1805 +a(g180 +V +tp1806 +a(g309 +V0 +tp1807 +a(g193 +V; +tp1808 +a(g180 +V\u000a +tp1809 +a(g180 +V +p1810 +tp1811 +a(g43 +VmY +p1812 +tp1813 +a(g180 +V +tp1814 +a(g193 +V= +tp1815 +a(g180 +V +tp1816 +a(g309 +V0 +tp1817 +a(g193 +V; +tp1818 +a(g180 +V\u000a +tp1819 +a(g180 +V +p1820 +tp1821 +a(g43 +VmName +p1822 +tp1823 +a(g180 +V +tp1824 +a(g193 +V= +tp1825 +a(g180 +V +tp1826 +a(g217 +V"" +p1827 +tp1828 +a(g193 +V; +tp1829 +a(g180 +V\u000a +tp1830 +a(g180 +V \u000a +p1831 +tp1832 +a(g6 +Vfunction +p1833 +tp1834 +a(g180 +V +tp1835 +a(g43 +Vconstructor +p1836 +tp1837 +a(g193 +V( +tp1838 +a(g43 +Vname +p1839 +tp1840 +a(g193 +V) +tp1841 +a(g180 +V\u000a +tp1842 +a(g180 +V +p1843 +tp1844 +a(g193 +V{ +tp1845 +a(g180 +V\u000a +tp1846 +a(g180 +V +p1847 +tp1848 +a(g43 +VmName +p1849 +tp1850 +a(g180 +V +tp1851 +a(g193 +V= +tp1852 +a(g180 +V +tp1853 +a(g43 +Vname +p1854 +tp1855 +a(g193 +V; +tp1856 +a(g180 +V\u000a +tp1857 +a(g180 +V +p1858 +tp1859 +a(g193 +V} +tp1860 +a(g180 +V\u000a +tp1861 +a(g180 +V \u000a +p1862 +tp1863 +a(g6 +Vfunction +p1864 +tp1865 +a(g180 +V +tp1866 +a(g43 +VtoString +p1867 +tp1868 +a(g193 +V( +tp1869 +a(g193 +V) +tp1870 +a(g180 +V\u000a +tp1871 +a(g180 +V +p1872 +tp1873 +a(g193 +V{ +tp1874 +a(g180 +V\u000a +tp1875 +a(g180 +V +p1876 +tp1877 +a(g6 +Vreturn +p1878 +tp1879 +a(g180 +V +tp1880 +a(g43 +Vformat +p1881 +tp1882 +a(g193 +V( +tp1883 +a(g217 +V"name = '" +p1884 +tp1885 +a(g193 +V, +tp1886 +a(g180 +V +tp1887 +a(g43 +VmName +p1888 +tp1889 +a(g193 +V, +tp1890 +a(g180 +V +tp1891 +a(g217 +V"' x = " +p1892 +tp1893 +a(g193 +V, +tp1894 +a(g180 +V +tp1895 +a(g43 +VmX +p1896 +tp1897 +a(g193 +V, +tp1898 +a(g180 +V +tp1899 +a(g217 +V" y = " +p1900 +tp1901 +a(g193 +V, +tp1902 +a(g180 +V +tp1903 +a(g43 +VmY +p1904 +tp1905 +a(g193 +V) +tp1906 +a(g193 +V; +tp1907 +a(g180 +V\u000a +tp1908 +a(g180 +V +p1909 +tp1910 +a(g193 +V} +tp1911 +a(g180 +V\u000a +tp1912 +a(g180 +V +tp1913 +a(g193 +V} +tp1914 +a(g180 +V\u000a +tp1915 +a(g180 +V \u000a +p1916 +tp1917 +a(g29 +V// Mix in the properties.\u000a +p1918 +tp1919 +a(g180 +V +tp1920 +a(g43 +VmixinProperties +p1921 +tp1922 +a(g180 +V\u000a +tp1923 +a(g180 +V +tp1924 +a(g193 +V( +tp1925 +a(g180 +V\u000a +tp1926 +a(g180 +V +p1927 +tp1928 +a(g43 +VPropTest +p1929 +tp1930 +a(g193 +V, +tp1931 +a(g180 +V\u000a +tp1932 +a(g180 +V \u000a +p1933 +tp1934 +a(g193 +V{ +tp1935 +a(g180 +V\u000a +tp1936 +a(g180 +V +p1937 +tp1938 +a(g43 +Vname +p1939 +tp1940 +a(g180 +V +tp1941 +a(g193 +V= +tp1942 +a(g180 +V +tp1943 +a(g217 +V"x" +p1944 +tp1945 +a(g193 +V, +tp1946 +a(g180 +V\u000a +tp1947 +a(g180 +V \u000a +p1948 +tp1949 +a(g6 +Vfunction +p1950 +tp1951 +a(g180 +V +tp1952 +a(g43 +Vsetter +p1953 +tp1954 +a(g193 +V( +tp1955 +a(g43 +Vvalue +p1956 +tp1957 +a(g193 +V) +tp1958 +a(g180 +V\u000a +tp1959 +a(g180 +V +p1960 +tp1961 +a(g193 +V{ +tp1962 +a(g180 +V\u000a +tp1963 +a(g180 +V +p1964 +tp1965 +a(g43 +VmX +p1966 +tp1967 +a(g180 +V +tp1968 +a(g193 +V= +tp1969 +a(g180 +V +tp1970 +a(g43 +Vvalue +p1971 +tp1972 +a(g193 +V; +tp1973 +a(g180 +V\u000a +tp1974 +a(g180 +V +p1975 +tp1976 +a(g193 +V} +tp1977 +a(g180 +V\u000a +tp1978 +a(g180 +V \u000a +p1979 +tp1980 +a(g6 +Vfunction +p1981 +tp1982 +a(g180 +V +tp1983 +a(g43 +Vgetter +p1984 +tp1985 +a(g193 +V( +tp1986 +a(g193 +V) +tp1987 +a(g180 +V\u000a +tp1988 +a(g180 +V +p1989 +tp1990 +a(g193 +V{ +tp1991 +a(g180 +V\u000a +tp1992 +a(g180 +V +p1993 +tp1994 +a(g6 +Vreturn +p1995 +tp1996 +a(g180 +V +tp1997 +a(g43 +VmX +p1998 +tp1999 +a(g193 +V; +tp2000 +a(g180 +V\u000a +tp2001 +a(g180 +V +p2002 +tp2003 +a(g193 +V} +tp2004 +a(g180 +V\u000a +tp2005 +a(g180 +V +p2006 +tp2007 +a(g193 +V} +tp2008 +a(g193 +V, +tp2009 +a(g180 +V\u000a +tp2010 +a(g180 +V \u000a +p2011 +tp2012 +a(g193 +V{ +tp2013 +a(g180 +V\u000a +tp2014 +a(g180 +V +p2015 +tp2016 +a(g43 +Vname +p2017 +tp2018 +a(g180 +V +tp2019 +a(g193 +V= +tp2020 +a(g180 +V +tp2021 +a(g217 +V"y" +p2022 +tp2023 +a(g193 +V, +tp2024 +a(g180 +V\u000a +tp2025 +a(g180 +V \u000a +p2026 +tp2027 +a(g6 +Vfunction +p2028 +tp2029 +a(g180 +V +tp2030 +a(g43 +Vsetter +p2031 +tp2032 +a(g193 +V( +tp2033 +a(g43 +Vvalue +p2034 +tp2035 +a(g193 +V) +tp2036 +a(g180 +V\u000a +tp2037 +a(g180 +V +p2038 +tp2039 +a(g193 +V{ +tp2040 +a(g180 +V\u000a +tp2041 +a(g180 +V +p2042 +tp2043 +a(g43 +VmY +p2044 +tp2045 +a(g180 +V +tp2046 +a(g193 +V= +tp2047 +a(g180 +V +tp2048 +a(g43 +Vvalue +p2049 +tp2050 +a(g193 +V; +tp2051 +a(g180 +V\u000a +tp2052 +a(g180 +V +p2053 +tp2054 +a(g193 +V} +tp2055 +a(g180 +V\u000a +tp2056 +a(g180 +V \u000a +p2057 +tp2058 +a(g6 +Vfunction +p2059 +tp2060 +a(g180 +V +tp2061 +a(g43 +Vgetter +p2062 +tp2063 +a(g193 +V( +tp2064 +a(g193 +V) +tp2065 +a(g180 +V\u000a +tp2066 +a(g180 +V +p2067 +tp2068 +a(g193 +V{ +tp2069 +a(g180 +V\u000a +tp2070 +a(g180 +V +p2071 +tp2072 +a(g6 +Vreturn +p2073 +tp2074 +a(g180 +V +tp2075 +a(g43 +VmY +p2076 +tp2077 +a(g193 +V; +tp2078 +a(g180 +V\u000a +tp2079 +a(g180 +V +p2080 +tp2081 +a(g193 +V} +tp2082 +a(g180 +V\u000a +tp2083 +a(g180 +V +p2084 +tp2085 +a(g193 +V} +tp2086 +a(g193 +V, +tp2087 +a(g180 +V\u000a +tp2088 +a(g180 +V \u000a +p2089 +tp2090 +a(g193 +V{ +tp2091 +a(g180 +V\u000a +tp2092 +a(g180 +V +p2093 +tp2094 +a(g43 +Vname +p2095 +tp2096 +a(g180 +V +tp2097 +a(g193 +V= +tp2098 +a(g180 +V +tp2099 +a(g217 +V"name" +p2100 +tp2101 +a(g193 +V, +tp2102 +a(g180 +V\u000a +tp2103 +a(g180 +V \u000a +p2104 +tp2105 +a(g6 +Vfunction +p2106 +tp2107 +a(g180 +V +tp2108 +a(g43 +Vgetter +p2109 +tp2110 +a(g193 +V( +tp2111 +a(g193 +V) +tp2112 +a(g180 +V\u000a +tp2113 +a(g180 +V +p2114 +tp2115 +a(g193 +V{ +tp2116 +a(g180 +V\u000a +tp2117 +a(g180 +V +p2118 +tp2119 +a(g6 +Vreturn +p2120 +tp2121 +a(g180 +V +tp2122 +a(g43 +VmName +p2123 +tp2124 +a(g193 +V; +tp2125 +a(g180 +V\u000a +tp2126 +a(g180 +V +p2127 +tp2128 +a(g193 +V} +tp2129 +a(g180 +V\u000a +tp2130 +a(g180 +V +p2131 +tp2132 +a(g193 +V} +tp2133 +a(g180 +V\u000a +tp2134 +a(g180 +V +tp2135 +a(g193 +V) +tp2136 +a(g193 +V; +tp2137 +a(g180 +V\u000a +tp2138 +a(g180 +V \u000a +p2139 +tp2140 +a(g29 +V// Create an instance and try it out.\u000a +p2141 +tp2142 +a(g180 +V +tp2143 +a(g6 +Vlocal +p2144 +tp2145 +a(g180 +V +tp2146 +a(g43 +Vp +tp2147 +a(g180 +V +tp2148 +a(g193 +V= +tp2149 +a(g180 +V +tp2150 +a(g43 +VPropTest +p2151 +tp2152 +a(g193 +V( +tp2153 +a(g217 +V"hello" +p2154 +tp2155 +a(g193 +V) +tp2156 +a(g193 +V; +tp2157 +a(g180 +V\u000a +tp2158 +a(g180 +V \u000a +p2159 +tp2160 +a(g43 +Vwritefln +p2161 +tp2162 +a(g193 +V( +tp2163 +a(g43 +Vp +tp2164 +a(g193 +V) +tp2165 +a(g193 +V; +tp2166 +a(g180 +V\u000a +tp2167 +a(g180 +V +tp2168 +a(g43 +Vp +tp2169 +a(g193 +V. +tp2170 +a(g43 +Vx +tp2171 +a(g180 +V +tp2172 +a(g193 +V= +tp2173 +a(g180 +V +tp2174 +a(g309 +V46 +p2175 +tp2176 +a(g193 +V; +tp2177 +a(g180 +V\u000a +tp2178 +a(g180 +V +tp2179 +a(g43 +Vp +tp2180 +a(g193 +V. +tp2181 +a(g43 +Vy +tp2182 +a(g180 +V +tp2183 +a(g193 +V= +tp2184 +a(g180 +V +tp2185 +a(g309 +V123 +p2186 +tp2187 +a(g193 +V; +tp2188 +a(g180 +V\u000a +tp2189 +a(g180 +V +tp2190 +a(g43 +Vp +tp2191 +a(g193 +V. +tp2192 +a(g43 +Vx +tp2193 +a(g180 +V +tp2194 +a(g193 +V= +tp2195 +a(g180 +V +tp2196 +a(g43 +Vp +tp2197 +a(g193 +V. +tp2198 +a(g43 +Vx +tp2199 +a(g180 +V +tp2200 +a(g193 +V+ +tp2201 +a(g180 +V +tp2202 +a(g43 +Vp +tp2203 +a(g193 +V. +tp2204 +a(g43 +Vy +tp2205 +a(g193 +V; +tp2206 +a(g180 +V\u000a +tp2207 +a(g180 +V +tp2208 +a(g43 +Vwritefln +p2209 +tp2210 +a(g193 +V( +tp2211 +a(g43 +Vp +tp2212 +a(g193 +V) +tp2213 +a(g193 +V; +tp2214 +a(g180 +V\u000a +tp2215 +a(g180 +V \u000a +p2216 +tp2217 +a(g29 +V// Try to access a nonexistent property.\u000a +p2218 +tp2219 +a(g180 +V +tp2220 +a(g6 +Vtry +p2221 +tp2222 +a(g180 +V\u000a +tp2223 +a(g180 +V +p2224 +tp2225 +a(g43 +Vp +tp2226 +a(g193 +V. +tp2227 +a(g43 +Vname +p2228 +tp2229 +a(g180 +V +tp2230 +a(g193 +V= +tp2231 +a(g180 +V +tp2232 +a(g217 +V"crap" +p2233 +tp2234 +a(g193 +V; +tp2235 +a(g180 +V\u000a +tp2236 +a(g180 +V +tp2237 +a(g6 +Vcatch +p2238 +tp2239 +a(g193 +V( +tp2240 +a(g43 +Ve +tp2241 +a(g193 +V) +tp2242 +a(g180 +V\u000a +tp2243 +a(g180 +V +tp2244 +a(g193 +V{ +tp2245 +a(g180 +V\u000a +tp2246 +a(g180 +V +p2247 +tp2248 +a(g43 +Vwritefln +p2249 +tp2250 +a(g193 +V( +tp2251 +a(g217 +V"caught: " +p2252 +tp2253 +a(g193 +V, +tp2254 +a(g180 +V +tp2255 +a(g43 +Ve +tp2256 +a(g193 +V) +tp2257 +a(g193 +V; +tp2258 +a(g180 +V\u000a +tp2259 +a(g180 +V +p2260 +tp2261 +a(g43 +Vwritefln +p2262 +tp2263 +a(g193 +V( +tp2264 +a(g43 +VgetTraceback +p2265 +tp2266 +a(g193 +V( +tp2267 +a(g193 +V) +tp2268 +a(g193 +V) +tp2269 +a(g193 +V; +tp2270 +a(g180 +V\u000a +tp2271 +a(g180 +V +tp2272 +a(g193 +V} +tp2273 +a(g180 +V\u000a +tp2274 +a(g180 +V \u000a +p2275 +tp2276 +a(g43 +Vwritefln +p2277 +tp2278 +a(g193 +V( +tp2279 +a(g193 +V) +tp2280 +a(g193 +V; +tp2281 +a(g180 +V\u000a +tp2282 +a(g193 +V} +tp2283 +a(g180 +V\u000a +tp2284 +a(g180 +V\u000a +tp2285 +a(g29 +V// Some container classes.\u000a +p2286 +tp2287 +a(g193 +V{ +tp2288 +a(g180 +V\u000a +tp2289 +a(g180 +V +tp2290 +a(g6 +Vclass +p2291 +tp2292 +a(g180 +V +tp2293 +a(g43 +VPQ +p2294 +tp2295 +a(g180 +V\u000a +tp2296 +a(g180 +V +tp2297 +a(g193 +V{ +tp2298 +a(g180 +V\u000a +tp2299 +a(g180 +V +p2300 +tp2301 +a(g43 +VmData +p2302 +tp2303 +a(g193 +V; +tp2304 +a(g180 +V\u000a +tp2305 +a(g180 +V +p2306 +tp2307 +a(g43 +VmLength +p2308 +tp2309 +a(g180 +V +tp2310 +a(g193 +V= +tp2311 +a(g180 +V +tp2312 +a(g309 +V0 +tp2313 +a(g193 +V; +tp2314 +a(g180 +V\u000a +tp2315 +a(g180 +V \u000a +p2316 +tp2317 +a(g6 +Vfunction +p2318 +tp2319 +a(g180 +V +tp2320 +a(g43 +Vconstructor +p2321 +tp2322 +a(g193 +V( +tp2323 +a(g193 +V) +tp2324 +a(g180 +V\u000a +tp2325 +a(g180 +V +p2326 +tp2327 +a(g193 +V{ +tp2328 +a(g180 +V\u000a +tp2329 +a(g180 +V +p2330 +tp2331 +a(g43 +VmData +p2332 +tp2333 +a(g180 +V +tp2334 +a(g193 +V= +tp2335 +a(g180 +V +tp2336 +a(g43 +Varray +p2337 +tp2338 +a(g193 +V. +tp2339 +a(g43 +Vnew +p2340 +tp2341 +a(g193 +V( +tp2342 +a(g309 +V15 +p2343 +tp2344 +a(g193 +V) +tp2345 +a(g193 +V; +tp2346 +a(g180 +V\u000a +tp2347 +a(g180 +V +p2348 +tp2349 +a(g193 +V} +tp2350 +a(g180 +V\u000a +tp2351 +a(g180 +V \u000a +p2352 +tp2353 +a(g6 +Vfunction +p2354 +tp2355 +a(g180 +V +tp2356 +a(g43 +Vinsert +p2357 +tp2358 +a(g193 +V( +tp2359 +a(g43 +Vdata +p2360 +tp2361 +a(g193 +V) +tp2362 +a(g180 +V\u000a +tp2363 +a(g180 +V +p2364 +tp2365 +a(g193 +V{ +tp2366 +a(g180 +V\u000a +tp2367 +a(g180 +V +p2368 +tp2369 +a(g43 +VresizeArray +p2370 +tp2371 +a(g193 +V( +tp2372 +a(g193 +V) +tp2373 +a(g193 +V; +tp2374 +a(g180 +V\u000a +tp2375 +a(g180 +V +p2376 +tp2377 +a(g43 +VmData +p2378 +tp2379 +a(g193 +V[ +tp2380 +a(g43 +VmLength +p2381 +tp2382 +a(g193 +V] +tp2383 +a(g180 +V +tp2384 +a(g193 +V= +tp2385 +a(g180 +V +tp2386 +a(g43 +Vdata +p2387 +tp2388 +a(g193 +V; +tp2389 +a(g180 +V\u000a +tp2390 +a(g180 +V \u000a +p2391 +tp2392 +a(g6 +Vlocal +p2393 +tp2394 +a(g180 +V +tp2395 +a(g43 +Vindex +p2396 +tp2397 +a(g180 +V +tp2398 +a(g193 +V= +tp2399 +a(g180 +V +tp2400 +a(g43 +VmLength +p2401 +tp2402 +a(g193 +V; +tp2403 +a(g180 +V\u000a +tp2404 +a(g180 +V +p2405 +tp2406 +a(g6 +Vlocal +p2407 +tp2408 +a(g180 +V +tp2409 +a(g43 +VparentIndex +p2410 +tp2411 +a(g180 +V +tp2412 +a(g193 +V= +tp2413 +a(g180 +V +tp2414 +a(g193 +V( +tp2415 +a(g43 +Vindex +p2416 +tp2417 +a(g180 +V +tp2418 +a(g193 +V- +tp2419 +a(g180 +V +tp2420 +a(g309 +V1 +tp2421 +a(g193 +V) +tp2422 +a(g180 +V +tp2423 +a(g193 +V/ +tp2424 +a(g180 +V +tp2425 +a(g309 +V2 +tp2426 +a(g193 +V; +tp2427 +a(g180 +V\u000a +tp2428 +a(g180 +V \u000a +p2429 +tp2430 +a(g6 +Vwhile +p2431 +tp2432 +a(g193 +V( +tp2433 +a(g43 +Vindex +p2434 +tp2435 +a(g180 +V +tp2436 +a(g193 +V> +tp2437 +a(g180 +V +tp2438 +a(g309 +V0 +tp2439 +a(g180 +V +tp2440 +a(g193 +V&& +p2441 +tp2442 +a(g180 +V +tp2443 +a(g43 +VmData +p2444 +tp2445 +a(g193 +V[ +tp2446 +a(g43 +VparentIndex +p2447 +tp2448 +a(g193 +V] +tp2449 +a(g180 +V +tp2450 +a(g193 +V> +tp2451 +a(g180 +V +tp2452 +a(g43 +VmData +p2453 +tp2454 +a(g193 +V[ +tp2455 +a(g43 +Vindex +p2456 +tp2457 +a(g193 +V] +tp2458 +a(g193 +V) +tp2459 +a(g180 +V\u000a +tp2460 +a(g180 +V +p2461 +tp2462 +a(g193 +V{ +tp2463 +a(g180 +V\u000a +tp2464 +a(g180 +V +p2465 +tp2466 +a(g6 +Vlocal +p2467 +tp2468 +a(g180 +V +tp2469 +a(g43 +Vtemp +p2470 +tp2471 +a(g180 +V +tp2472 +a(g193 +V= +tp2473 +a(g180 +V +tp2474 +a(g43 +VmData +p2475 +tp2476 +a(g193 +V[ +tp2477 +a(g43 +VparentIndex +p2478 +tp2479 +a(g193 +V] +tp2480 +a(g193 +V; +tp2481 +a(g180 +V\u000a +tp2482 +a(g180 +V +p2483 +tp2484 +a(g43 +VmData +p2485 +tp2486 +a(g193 +V[ +tp2487 +a(g43 +VparentIndex +p2488 +tp2489 +a(g193 +V] +tp2490 +a(g180 +V +tp2491 +a(g193 +V= +tp2492 +a(g180 +V +tp2493 +a(g43 +VmData +p2494 +tp2495 +a(g193 +V[ +tp2496 +a(g43 +Vindex +p2497 +tp2498 +a(g193 +V] +tp2499 +a(g193 +V; +tp2500 +a(g180 +V\u000a +tp2501 +a(g180 +V +p2502 +tp2503 +a(g43 +VmData +p2504 +tp2505 +a(g193 +V[ +tp2506 +a(g43 +Vindex +p2507 +tp2508 +a(g193 +V] +tp2509 +a(g180 +V +tp2510 +a(g193 +V= +tp2511 +a(g180 +V +tp2512 +a(g43 +Vtemp +p2513 +tp2514 +a(g193 +V; +tp2515 +a(g180 +V\u000a +tp2516 +a(g180 +V \u000a +p2517 +tp2518 +a(g43 +Vindex +p2519 +tp2520 +a(g180 +V +tp2521 +a(g193 +V= +tp2522 +a(g180 +V +tp2523 +a(g43 +VparentIndex +p2524 +tp2525 +a(g193 +V; +tp2526 +a(g180 +V\u000a +tp2527 +a(g180 +V +p2528 +tp2529 +a(g43 +VparentIndex +p2530 +tp2531 +a(g180 +V +tp2532 +a(g193 +V= +tp2533 +a(g180 +V +tp2534 +a(g193 +V( +tp2535 +a(g43 +Vindex +p2536 +tp2537 +a(g180 +V +tp2538 +a(g193 +V- +tp2539 +a(g180 +V +tp2540 +a(g309 +V1 +tp2541 +a(g193 +V) +tp2542 +a(g180 +V +tp2543 +a(g193 +V/ +tp2544 +a(g180 +V +tp2545 +a(g309 +V2 +tp2546 +a(g193 +V; +tp2547 +a(g180 +V\u000a +tp2548 +a(g180 +V +p2549 +tp2550 +a(g193 +V} +tp2551 +a(g180 +V\u000a +tp2552 +a(g180 +V \u000a +p2553 +tp2554 +a(g43 +VmLength +p2555 +tp2556 +a(g180 +V +tp2557 +a(g193 +V+= +p2558 +tp2559 +a(g180 +V +tp2560 +a(g309 +V1 +tp2561 +a(g193 +V; +tp2562 +a(g180 +V\u000a +tp2563 +a(g180 +V +p2564 +tp2565 +a(g193 +V} +tp2566 +a(g180 +V\u000a +tp2567 +a(g180 +V \u000a +p2568 +tp2569 +a(g6 +Vfunction +p2570 +tp2571 +a(g180 +V +tp2572 +a(g43 +Vremove +p2573 +tp2574 +a(g193 +V( +tp2575 +a(g193 +V) +tp2576 +a(g180 +V\u000a +tp2577 +a(g180 +V +p2578 +tp2579 +a(g193 +V{ +tp2580 +a(g180 +V\u000a +tp2581 +a(g180 +V +p2582 +tp2583 +a(g6 +Vif +p2584 +tp2585 +a(g193 +V( +tp2586 +a(g43 +VmLength +p2587 +tp2588 +a(g180 +V +tp2589 +a(g193 +V== +p2590 +tp2591 +a(g180 +V +tp2592 +a(g309 +V0 +tp2593 +a(g193 +V) +tp2594 +a(g180 +V\u000a +tp2595 +a(g180 +V +p2596 +tp2597 +a(g6 +Vthrow +p2598 +tp2599 +a(g180 +V +tp2600 +a(g217 +V"PQ.remove() - No items to remove" +p2601 +tp2602 +a(g193 +V; +tp2603 +a(g180 +V\u000a +tp2604 +a(g180 +V \u000a +p2605 +tp2606 +a(g6 +Vlocal +p2607 +tp2608 +a(g180 +V +tp2609 +a(g43 +Vdata +p2610 +tp2611 +a(g180 +V +tp2612 +a(g193 +V= +tp2613 +a(g180 +V +tp2614 +a(g43 +VmData +p2615 +tp2616 +a(g193 +V[ +tp2617 +a(g309 +V0 +tp2618 +a(g193 +V] +tp2619 +a(g193 +V; +tp2620 +a(g180 +V\u000a +tp2621 +a(g180 +V +p2622 +tp2623 +a(g43 +VmLength +p2624 +tp2625 +a(g180 +V +tp2626 +a(g193 +V-= +p2627 +tp2628 +a(g180 +V +tp2629 +a(g309 +V1 +tp2630 +a(g193 +V; +tp2631 +a(g180 +V\u000a +tp2632 +a(g180 +V +p2633 +tp2634 +a(g43 +VmData +p2635 +tp2636 +a(g193 +V[ +tp2637 +a(g309 +V0 +tp2638 +a(g193 +V] +tp2639 +a(g180 +V +tp2640 +a(g193 +V= +tp2641 +a(g180 +V +tp2642 +a(g43 +VmData +p2643 +tp2644 +a(g193 +V[ +tp2645 +a(g43 +VmLength +p2646 +tp2647 +a(g193 +V] +tp2648 +a(g193 +V; +tp2649 +a(g180 +V\u000a +tp2650 +a(g180 +V \u000a +p2651 +tp2652 +a(g6 +Vlocal +p2653 +tp2654 +a(g180 +V +tp2655 +a(g43 +Vindex +p2656 +tp2657 +a(g180 +V +tp2658 +a(g193 +V= +tp2659 +a(g180 +V +tp2660 +a(g309 +V0 +tp2661 +a(g193 +V; +tp2662 +a(g180 +V\u000a +tp2663 +a(g180 +V +p2664 +tp2665 +a(g6 +Vlocal +p2666 +tp2667 +a(g180 +V +tp2668 +a(g43 +Vleft +p2669 +tp2670 +a(g180 +V +tp2671 +a(g193 +V= +tp2672 +a(g180 +V +tp2673 +a(g309 +V1 +tp2674 +a(g193 +V; +tp2675 +a(g180 +V\u000a +tp2676 +a(g180 +V +p2677 +tp2678 +a(g6 +Vlocal +p2679 +tp2680 +a(g180 +V +tp2681 +a(g43 +Vright +p2682 +tp2683 +a(g180 +V +tp2684 +a(g193 +V= +tp2685 +a(g180 +V +tp2686 +a(g309 +V2 +tp2687 +a(g193 +V; +tp2688 +a(g180 +V\u000a +tp2689 +a(g180 +V \u000a +p2690 +tp2691 +a(g6 +Vwhile +p2692 +tp2693 +a(g193 +V( +tp2694 +a(g43 +Vindex +p2695 +tp2696 +a(g180 +V +tp2697 +a(g193 +V< +tp2698 +a(g180 +V +tp2699 +a(g43 +VmLength +p2700 +tp2701 +a(g193 +V) +tp2702 +a(g180 +V\u000a +tp2703 +a(g180 +V +p2704 +tp2705 +a(g193 +V{ +tp2706 +a(g180 +V\u000a +tp2707 +a(g180 +V +p2708 +tp2709 +a(g6 +Vlocal +p2710 +tp2711 +a(g180 +V +tp2712 +a(g43 +Vsmaller +p2713 +tp2714 +a(g193 +V; +tp2715 +a(g180 +V\u000a +tp2716 +a(g180 +V \u000a +p2717 +tp2718 +a(g6 +Vif +p2719 +tp2720 +a(g193 +V( +tp2721 +a(g43 +Vleft +p2722 +tp2723 +a(g180 +V +tp2724 +a(g193 +V>= +p2725 +tp2726 +a(g180 +V +tp2727 +a(g43 +VmLength +p2728 +tp2729 +a(g193 +V) +tp2730 +a(g180 +V\u000a +tp2731 +a(g180 +V +p2732 +tp2733 +a(g193 +V{ +tp2734 +a(g180 +V\u000a +tp2735 +a(g180 +V +p2736 +tp2737 +a(g6 +Vif +p2738 +tp2739 +a(g193 +V( +tp2740 +a(g43 +Vright +p2741 +tp2742 +a(g180 +V +tp2743 +a(g193 +V>= +p2744 +tp2745 +a(g180 +V +tp2746 +a(g43 +VmLength +p2747 +tp2748 +a(g193 +V) +tp2749 +a(g180 +V\u000a +tp2750 +a(g180 +V +p2751 +tp2752 +a(g6 +Vbreak +p2753 +tp2754 +a(g193 +V; +tp2755 +a(g180 +V\u000a +tp2756 +a(g180 +V +p2757 +tp2758 +a(g6 +Velse +p2759 +tp2760 +a(g180 +V\u000a +tp2761 +a(g180 +V +p2762 +tp2763 +a(g43 +Vsmaller +p2764 +tp2765 +a(g180 +V +tp2766 +a(g193 +V= +tp2767 +a(g180 +V +tp2768 +a(g43 +Vright +p2769 +tp2770 +a(g193 +V; +tp2771 +a(g180 +V\u000a +tp2772 +a(g180 +V +p2773 +tp2774 +a(g193 +V} +tp2775 +a(g180 +V\u000a +tp2776 +a(g180 +V +p2777 +tp2778 +a(g6 +Velse +p2779 +tp2780 +a(g180 +V\u000a +tp2781 +a(g180 +V +p2782 +tp2783 +a(g193 +V{ +tp2784 +a(g180 +V\u000a +tp2785 +a(g180 +V +p2786 +tp2787 +a(g6 +Vif +p2788 +tp2789 +a(g193 +V( +tp2790 +a(g43 +Vright +p2791 +tp2792 +a(g180 +V +tp2793 +a(g193 +V>= +p2794 +tp2795 +a(g180 +V +tp2796 +a(g43 +VmLength +p2797 +tp2798 +a(g193 +V) +tp2799 +a(g180 +V\u000a +tp2800 +a(g180 +V +p2801 +tp2802 +a(g43 +Vsmaller +p2803 +tp2804 +a(g180 +V +tp2805 +a(g193 +V= +tp2806 +a(g180 +V +tp2807 +a(g43 +Vleft +p2808 +tp2809 +a(g193 +V; +tp2810 +a(g180 +V\u000a +tp2811 +a(g180 +V +p2812 +tp2813 +a(g6 +Velse +p2814 +tp2815 +a(g180 +V\u000a +tp2816 +a(g180 +V +p2817 +tp2818 +a(g193 +V{ +tp2819 +a(g180 +V\u000a +tp2820 +a(g180 +V +p2821 +tp2822 +a(g6 +Vif +p2823 +tp2824 +a(g193 +V( +tp2825 +a(g43 +VmData +p2826 +tp2827 +a(g193 +V[ +tp2828 +a(g43 +Vleft +p2829 +tp2830 +a(g193 +V] +tp2831 +a(g180 +V +tp2832 +a(g193 +V< +tp2833 +a(g180 +V +tp2834 +a(g43 +VmData +p2835 +tp2836 +a(g193 +V[ +tp2837 +a(g43 +Vright +p2838 +tp2839 +a(g193 +V] +tp2840 +a(g193 +V) +tp2841 +a(g180 +V\u000a +tp2842 +a(g180 +V +p2843 +tp2844 +a(g43 +Vsmaller +p2845 +tp2846 +a(g180 +V +tp2847 +a(g193 +V= +tp2848 +a(g180 +V +tp2849 +a(g43 +Vleft +p2850 +tp2851 +a(g193 +V; +tp2852 +a(g180 +V\u000a +tp2853 +a(g180 +V +p2854 +tp2855 +a(g6 +Velse +p2856 +tp2857 +a(g180 +V\u000a +tp2858 +a(g180 +V +p2859 +tp2860 +a(g43 +Vsmaller +p2861 +tp2862 +a(g180 +V +tp2863 +a(g193 +V= +tp2864 +a(g180 +V +tp2865 +a(g43 +Vright +p2866 +tp2867 +a(g193 +V; +tp2868 +a(g180 +V\u000a +tp2869 +a(g180 +V +p2870 +tp2871 +a(g193 +V} +tp2872 +a(g180 +V\u000a +tp2873 +a(g180 +V +p2874 +tp2875 +a(g193 +V} +tp2876 +a(g180 +V\u000a +tp2877 +a(g180 +V \u000a +p2878 +tp2879 +a(g6 +Vif +p2880 +tp2881 +a(g193 +V( +tp2882 +a(g43 +VmData +p2883 +tp2884 +a(g193 +V[ +tp2885 +a(g43 +Vindex +p2886 +tp2887 +a(g193 +V] +tp2888 +a(g180 +V +tp2889 +a(g193 +V> +tp2890 +a(g180 +V +tp2891 +a(g43 +VmData +p2892 +tp2893 +a(g193 +V[ +tp2894 +a(g43 +Vsmaller +p2895 +tp2896 +a(g193 +V] +tp2897 +a(g193 +V) +tp2898 +a(g180 +V\u000a +tp2899 +a(g180 +V +p2900 +tp2901 +a(g193 +V{ +tp2902 +a(g180 +V\u000a +tp2903 +a(g180 +V +p2904 +tp2905 +a(g6 +Vlocal +p2906 +tp2907 +a(g180 +V +tp2908 +a(g43 +Vtemp +p2909 +tp2910 +a(g180 +V +tp2911 +a(g193 +V= +tp2912 +a(g180 +V +tp2913 +a(g43 +VmData +p2914 +tp2915 +a(g193 +V[ +tp2916 +a(g43 +Vindex +p2917 +tp2918 +a(g193 +V] +tp2919 +a(g193 +V; +tp2920 +a(g180 +V\u000a +tp2921 +a(g180 +V +p2922 +tp2923 +a(g43 +VmData +p2924 +tp2925 +a(g193 +V[ +tp2926 +a(g43 +Vindex +p2927 +tp2928 +a(g193 +V] +tp2929 +a(g180 +V +tp2930 +a(g193 +V= +tp2931 +a(g180 +V +tp2932 +a(g43 +VmData +p2933 +tp2934 +a(g193 +V[ +tp2935 +a(g43 +Vsmaller +p2936 +tp2937 +a(g193 +V] +tp2938 +a(g193 +V; +tp2939 +a(g180 +V\u000a +tp2940 +a(g180 +V +p2941 +tp2942 +a(g43 +VmData +p2943 +tp2944 +a(g193 +V[ +tp2945 +a(g43 +Vsmaller +p2946 +tp2947 +a(g193 +V] +tp2948 +a(g180 +V +tp2949 +a(g193 +V= +tp2950 +a(g180 +V +tp2951 +a(g43 +Vtemp +p2952 +tp2953 +a(g193 +V; +tp2954 +a(g180 +V\u000a +tp2955 +a(g180 +V \u000a +p2956 +tp2957 +a(g43 +Vindex +p2958 +tp2959 +a(g180 +V +tp2960 +a(g193 +V= +tp2961 +a(g180 +V +tp2962 +a(g43 +Vsmaller +p2963 +tp2964 +a(g193 +V; +tp2965 +a(g180 +V\u000a +tp2966 +a(g180 +V +p2967 +tp2968 +a(g43 +Vleft +p2969 +tp2970 +a(g180 +V +tp2971 +a(g193 +V= +tp2972 +a(g180 +V +tp2973 +a(g193 +V( +tp2974 +a(g43 +Vindex +p2975 +tp2976 +a(g180 +V +tp2977 +a(g193 +V* +tp2978 +a(g180 +V +tp2979 +a(g309 +V2 +tp2980 +a(g193 +V) +tp2981 +a(g180 +V +tp2982 +a(g193 +V+ +tp2983 +a(g180 +V +tp2984 +a(g309 +V1 +tp2985 +a(g193 +V; +tp2986 +a(g180 +V\u000a +tp2987 +a(g180 +V +p2988 +tp2989 +a(g43 +Vright +p2990 +tp2991 +a(g180 +V +tp2992 +a(g193 +V= +tp2993 +a(g180 +V +tp2994 +a(g43 +Vleft +p2995 +tp2996 +a(g180 +V +tp2997 +a(g193 +V+ +tp2998 +a(g180 +V +tp2999 +a(g309 +V1 +tp3000 +a(g193 +V; +tp3001 +a(g180 +V\u000a +tp3002 +a(g180 +V +p3003 +tp3004 +a(g193 +V} +tp3005 +a(g180 +V\u000a +tp3006 +a(g180 +V +p3007 +tp3008 +a(g6 +Velse +p3009 +tp3010 +a(g180 +V\u000a +tp3011 +a(g180 +V +p3012 +tp3013 +a(g6 +Vbreak +p3014 +tp3015 +a(g193 +V; +tp3016 +a(g180 +V\u000a +tp3017 +a(g180 +V +p3018 +tp3019 +a(g193 +V} +tp3020 +a(g180 +V\u000a +tp3021 +a(g180 +V \u000a +p3022 +tp3023 +a(g6 +Vreturn +p3024 +tp3025 +a(g180 +V +tp3026 +a(g43 +Vdata +p3027 +tp3028 +a(g193 +V; +tp3029 +a(g180 +V\u000a +tp3030 +a(g180 +V +p3031 +tp3032 +a(g193 +V} +tp3033 +a(g180 +V\u000a +tp3034 +a(g180 +V \u000a +p3035 +tp3036 +a(g6 +Vfunction +p3037 +tp3038 +a(g180 +V +tp3039 +a(g43 +VresizeArray +p3040 +tp3041 +a(g193 +V( +tp3042 +a(g193 +V) +tp3043 +a(g180 +V\u000a +tp3044 +a(g180 +V +p3045 +tp3046 +a(g193 +V{ +tp3047 +a(g180 +V\u000a +tp3048 +a(g180 +V +p3049 +tp3050 +a(g6 +Vif +p3051 +tp3052 +a(g193 +V( +tp3053 +a(g43 +VmLength +p3054 +tp3055 +a(g180 +V +tp3056 +a(g193 +V>= +p3057 +tp3058 +a(g180 +V +tp3059 +a(g193 +V# +tp3060 +a(g43 +VmData +p3061 +tp3062 +a(g193 +V) +tp3063 +a(g180 +V\u000a +tp3064 +a(g180 +V +p3065 +tp3066 +a(g43 +VmData +p3067 +tp3068 +a(g193 +V. +tp3069 +a(g43 +Vlength +p3070 +tp3071 +a(g193 +V( +tp3072 +a(g193 +V( +tp3073 +a(g193 +V# +tp3074 +a(g43 +VmData +p3075 +tp3076 +a(g180 +V +tp3077 +a(g193 +V+ +tp3078 +a(g180 +V +tp3079 +a(g309 +V1 +tp3080 +a(g193 +V) +tp3081 +a(g180 +V +tp3082 +a(g193 +V* +tp3083 +a(g180 +V +tp3084 +a(g309 +V2 +tp3085 +a(g180 +V +tp3086 +a(g193 +V- +tp3087 +a(g180 +V +tp3088 +a(g309 +V1 +tp3089 +a(g193 +V) +tp3090 +a(g193 +V; +tp3091 +a(g180 +V\u000a +tp3092 +a(g180 +V +p3093 +tp3094 +a(g193 +V} +tp3095 +a(g180 +V\u000a +tp3096 +a(g180 +V \u000a +p3097 +tp3098 +a(g6 +Vfunction +p3099 +tp3100 +a(g180 +V +tp3101 +a(g43 +VhasData +p3102 +tp3103 +a(g193 +V( +tp3104 +a(g193 +V) +tp3105 +a(g180 +V\u000a +tp3106 +a(g180 +V +p3107 +tp3108 +a(g193 +V{ +tp3109 +a(g180 +V\u000a +tp3110 +a(g180 +V +p3111 +tp3112 +a(g6 +Vreturn +p3113 +tp3114 +a(g180 +V +tp3115 +a(g43 +VmLength +p3116 +tp3117 +a(g180 +V +tp3118 +a(g193 +V!= +p3119 +tp3120 +a(g180 +V +tp3121 +a(g309 +V0 +tp3122 +a(g193 +V; +tp3123 +a(g180 +V\u000a +tp3124 +a(g180 +V +p3125 +tp3126 +a(g193 +V} +tp3127 +a(g180 +V\u000a +tp3128 +a(g180 +V +tp3129 +a(g193 +V} +tp3130 +a(g180 +V\u000a +tp3131 +a(g180 +V \u000a +p3132 +tp3133 +a(g6 +Vclass +p3134 +tp3135 +a(g180 +V +tp3136 +a(g43 +VStack +p3137 +tp3138 +a(g180 +V\u000a +tp3139 +a(g180 +V +tp3140 +a(g193 +V{ +tp3141 +a(g180 +V\u000a +tp3142 +a(g180 +V +p3143 +tp3144 +a(g43 +VmHead +p3145 +tp3146 +a(g180 +V +tp3147 +a(g193 +V= +tp3148 +a(g180 +V +tp3149 +a(g16 +Vnull +p3150 +tp3151 +a(g193 +V; +tp3152 +a(g180 +V\u000a +tp3153 +a(g180 +V \u000a +p3154 +tp3155 +a(g6 +Vfunction +p3156 +tp3157 +a(g180 +V +tp3158 +a(g43 +Vpush +p3159 +tp3160 +a(g193 +V( +tp3161 +a(g43 +Vdata +p3162 +tp3163 +a(g193 +V) +tp3164 +a(g180 +V\u000a +tp3165 +a(g180 +V +p3166 +tp3167 +a(g193 +V{ +tp3168 +a(g180 +V\u000a +tp3169 +a(g180 +V +p3170 +tp3171 +a(g6 +Vlocal +p3172 +tp3173 +a(g180 +V +tp3174 +a(g43 +Vt +tp3175 +a(g180 +V +tp3176 +a(g193 +V= +tp3177 +a(g180 +V +tp3178 +a(g193 +V{ +tp3179 +a(g180 +V +tp3180 +a(g43 +Vdata +p3181 +tp3182 +a(g180 +V +tp3183 +a(g193 +V= +tp3184 +a(g180 +V +tp3185 +a(g43 +Vdata +p3186 +tp3187 +a(g193 +V, +tp3188 +a(g180 +V +tp3189 +a(g43 +Vnext +p3190 +tp3191 +a(g180 +V +tp3192 +a(g193 +V= +tp3193 +a(g180 +V +tp3194 +a(g43 +VmHead +p3195 +tp3196 +a(g180 +V +tp3197 +a(g193 +V} +tp3198 +a(g193 +V; +tp3199 +a(g180 +V\u000a +tp3200 +a(g180 +V +p3201 +tp3202 +a(g43 +VmHead +p3203 +tp3204 +a(g180 +V +tp3205 +a(g193 +V= +tp3206 +a(g180 +V +tp3207 +a(g43 +Vt +tp3208 +a(g193 +V; +tp3209 +a(g180 +V\u000a +tp3210 +a(g180 +V +p3211 +tp3212 +a(g193 +V} +tp3213 +a(g180 +V\u000a +tp3214 +a(g180 +V \u000a +p3215 +tp3216 +a(g6 +Vfunction +p3217 +tp3218 +a(g180 +V +tp3219 +a(g43 +Vpop +p3220 +tp3221 +a(g193 +V( +tp3222 +a(g193 +V) +tp3223 +a(g180 +V\u000a +tp3224 +a(g180 +V +p3225 +tp3226 +a(g193 +V{ +tp3227 +a(g180 +V\u000a +tp3228 +a(g180 +V +p3229 +tp3230 +a(g6 +Vif +p3231 +tp3232 +a(g193 +V( +tp3233 +a(g43 +VmHead +p3234 +tp3235 +a(g180 +V +tp3236 +a(g6 +Vis +p3237 +tp3238 +a(g180 +V +tp3239 +a(g16 +Vnull +p3240 +tp3241 +a(g193 +V) +tp3242 +a(g180 +V\u000a +tp3243 +a(g180 +V +p3244 +tp3245 +a(g6 +Vthrow +p3246 +tp3247 +a(g180 +V +tp3248 +a(g217 +V"Stack.pop() - No items to pop" +p3249 +tp3250 +a(g193 +V; +tp3251 +a(g180 +V\u000a +tp3252 +a(g180 +V \u000a +p3253 +tp3254 +a(g6 +Vlocal +p3255 +tp3256 +a(g180 +V +tp3257 +a(g43 +Vitem +p3258 +tp3259 +a(g180 +V +tp3260 +a(g193 +V= +tp3261 +a(g180 +V +tp3262 +a(g43 +VmHead +p3263 +tp3264 +a(g193 +V; +tp3265 +a(g180 +V\u000a +tp3266 +a(g180 +V +p3267 +tp3268 +a(g43 +VmHead +p3269 +tp3270 +a(g180 +V +tp3271 +a(g193 +V= +tp3272 +a(g180 +V +tp3273 +a(g43 +VmHead +p3274 +tp3275 +a(g193 +V. +tp3276 +a(g43 +Vnext +p3277 +tp3278 +a(g193 +V; +tp3279 +a(g180 +V\u000a +tp3280 +a(g180 +V \u000a +p3281 +tp3282 +a(g6 +Vreturn +p3283 +tp3284 +a(g180 +V +tp3285 +a(g43 +Vitem +p3286 +tp3287 +a(g193 +V. +tp3288 +a(g43 +Vdata +p3289 +tp3290 +a(g193 +V; +tp3291 +a(g180 +V\u000a +tp3292 +a(g180 +V +p3293 +tp3294 +a(g193 +V} +tp3295 +a(g180 +V\u000a +tp3296 +a(g180 +V \u000a +p3297 +tp3298 +a(g6 +Vfunction +p3299 +tp3300 +a(g180 +V +tp3301 +a(g43 +VhasData +p3302 +tp3303 +a(g193 +V( +tp3304 +a(g193 +V) +tp3305 +a(g180 +V\u000a +tp3306 +a(g180 +V +p3307 +tp3308 +a(g193 +V{ +tp3309 +a(g180 +V\u000a +tp3310 +a(g180 +V +p3311 +tp3312 +a(g6 +Vreturn +p3313 +tp3314 +a(g180 +V +tp3315 +a(g43 +VmHead +p3316 +tp3317 +a(g180 +V +tp3318 +a(g193 +V! +tp3319 +a(g6 +Vis +p3320 +tp3321 +a(g180 +V +tp3322 +a(g16 +Vnull +p3323 +tp3324 +a(g193 +V; +tp3325 +a(g180 +V\u000a +tp3326 +a(g180 +V +p3327 +tp3328 +a(g193 +V} +tp3329 +a(g180 +V\u000a +tp3330 +a(g180 +V +tp3331 +a(g193 +V} +tp3332 +a(g180 +V\u000a +tp3333 +a(g180 +V \u000a +p3334 +tp3335 +a(g6 +Vclass +p3336 +tp3337 +a(g180 +V +tp3338 +a(g43 +VQueue +p3339 +tp3340 +a(g180 +V\u000a +tp3341 +a(g180 +V +tp3342 +a(g193 +V{ +tp3343 +a(g180 +V\u000a +tp3344 +a(g180 +V +p3345 +tp3346 +a(g43 +VmHead +p3347 +tp3348 +a(g180 +V +tp3349 +a(g193 +V= +tp3350 +a(g180 +V +tp3351 +a(g16 +Vnull +p3352 +tp3353 +a(g193 +V; +tp3354 +a(g180 +V\u000a +tp3355 +a(g180 +V +p3356 +tp3357 +a(g43 +VmTail +p3358 +tp3359 +a(g180 +V +tp3360 +a(g193 +V= +tp3361 +a(g180 +V +tp3362 +a(g16 +Vnull +p3363 +tp3364 +a(g193 +V; +tp3365 +a(g180 +V\u000a +tp3366 +a(g180 +V \u000a +p3367 +tp3368 +a(g6 +Vfunction +p3369 +tp3370 +a(g180 +V +tp3371 +a(g43 +Vpush +p3372 +tp3373 +a(g193 +V( +tp3374 +a(g43 +Vdata +p3375 +tp3376 +a(g193 +V) +tp3377 +a(g180 +V\u000a +tp3378 +a(g180 +V +p3379 +tp3380 +a(g193 +V{ +tp3381 +a(g180 +V\u000a +tp3382 +a(g180 +V +p3383 +tp3384 +a(g6 +Vlocal +p3385 +tp3386 +a(g180 +V +tp3387 +a(g43 +Vt +tp3388 +a(g180 +V +tp3389 +a(g193 +V= +tp3390 +a(g180 +V +tp3391 +a(g193 +V{ +tp3392 +a(g180 +V +tp3393 +a(g43 +Vdata +p3394 +tp3395 +a(g180 +V +tp3396 +a(g193 +V= +tp3397 +a(g180 +V +tp3398 +a(g43 +Vdata +p3399 +tp3400 +a(g193 +V, +tp3401 +a(g180 +V +tp3402 +a(g43 +Vnext +p3403 +tp3404 +a(g180 +V +tp3405 +a(g193 +V= +tp3406 +a(g180 +V +tp3407 +a(g16 +Vnull +p3408 +tp3409 +a(g180 +V +tp3410 +a(g193 +V} +tp3411 +a(g193 +V; +tp3412 +a(g180 +V\u000a +tp3413 +a(g180 +V \u000a +p3414 +tp3415 +a(g6 +Vif +p3416 +tp3417 +a(g193 +V( +tp3418 +a(g43 +VmTail +p3419 +tp3420 +a(g180 +V +tp3421 +a(g6 +Vis +p3422 +tp3423 +a(g180 +V +tp3424 +a(g16 +Vnull +p3425 +tp3426 +a(g193 +V) +tp3427 +a(g180 +V\u000a +tp3428 +a(g180 +V +p3429 +tp3430 +a(g193 +V{ +tp3431 +a(g180 +V\u000a +tp3432 +a(g180 +V +p3433 +tp3434 +a(g43 +VmHead +p3435 +tp3436 +a(g180 +V +tp3437 +a(g193 +V= +tp3438 +a(g180 +V +tp3439 +a(g43 +Vt +tp3440 +a(g193 +V; +tp3441 +a(g180 +V\u000a +tp3442 +a(g180 +V +p3443 +tp3444 +a(g43 +VmTail +p3445 +tp3446 +a(g180 +V +tp3447 +a(g193 +V= +tp3448 +a(g180 +V +tp3449 +a(g43 +Vt +tp3450 +a(g193 +V; +tp3451 +a(g180 +V\u000a +tp3452 +a(g180 +V +p3453 +tp3454 +a(g193 +V} +tp3455 +a(g180 +V\u000a +tp3456 +a(g180 +V +p3457 +tp3458 +a(g6 +Velse +p3459 +tp3460 +a(g180 +V\u000a +tp3461 +a(g180 +V +p3462 +tp3463 +a(g193 +V{ +tp3464 +a(g180 +V\u000a +tp3465 +a(g180 +V +p3466 +tp3467 +a(g43 +VmTail +p3468 +tp3469 +a(g193 +V. +tp3470 +a(g43 +Vnext +p3471 +tp3472 +a(g180 +V +tp3473 +a(g193 +V= +tp3474 +a(g180 +V +tp3475 +a(g43 +Vt +tp3476 +a(g193 +V; +tp3477 +a(g180 +V\u000a +tp3478 +a(g180 +V +p3479 +tp3480 +a(g43 +VmTail +p3481 +tp3482 +a(g180 +V +tp3483 +a(g193 +V= +tp3484 +a(g180 +V +tp3485 +a(g43 +Vt +tp3486 +a(g193 +V; +tp3487 +a(g180 +V\u000a +tp3488 +a(g180 +V +p3489 +tp3490 +a(g193 +V} +tp3491 +a(g180 +V\u000a +tp3492 +a(g180 +V +p3493 +tp3494 +a(g193 +V} +tp3495 +a(g180 +V\u000a +tp3496 +a(g180 +V \u000a +p3497 +tp3498 +a(g6 +Vfunction +p3499 +tp3500 +a(g180 +V +tp3501 +a(g43 +Vpop +p3502 +tp3503 +a(g193 +V( +tp3504 +a(g193 +V) +tp3505 +a(g180 +V\u000a +tp3506 +a(g180 +V +p3507 +tp3508 +a(g193 +V{ +tp3509 +a(g180 +V\u000a +tp3510 +a(g180 +V +p3511 +tp3512 +a(g6 +Vif +p3513 +tp3514 +a(g193 +V( +tp3515 +a(g43 +VmTail +p3516 +tp3517 +a(g180 +V +tp3518 +a(g6 +Vis +p3519 +tp3520 +a(g180 +V +tp3521 +a(g16 +Vnull +p3522 +tp3523 +a(g193 +V) +tp3524 +a(g180 +V\u000a +tp3525 +a(g180 +V +p3526 +tp3527 +a(g6 +Vthrow +p3528 +tp3529 +a(g180 +V +tp3530 +a(g217 +V"Queue.pop() - No items to pop" +p3531 +tp3532 +a(g193 +V; +tp3533 +a(g180 +V\u000a +tp3534 +a(g180 +V \u000a +p3535 +tp3536 +a(g6 +Vlocal +p3537 +tp3538 +a(g180 +V +tp3539 +a(g43 +Vitem +p3540 +tp3541 +a(g180 +V +tp3542 +a(g193 +V= +tp3543 +a(g180 +V +tp3544 +a(g43 +VmHead +p3545 +tp3546 +a(g193 +V; +tp3547 +a(g180 +V\u000a +tp3548 +a(g180 +V +p3549 +tp3550 +a(g43 +VmHead +p3551 +tp3552 +a(g180 +V +tp3553 +a(g193 +V= +tp3554 +a(g180 +V +tp3555 +a(g43 +VmHead +p3556 +tp3557 +a(g193 +V. +tp3558 +a(g43 +Vnext +p3559 +tp3560 +a(g193 +V; +tp3561 +a(g180 +V\u000a +tp3562 +a(g180 +V \u000a +p3563 +tp3564 +a(g6 +Vif +p3565 +tp3566 +a(g193 +V( +tp3567 +a(g43 +VmHead +p3568 +tp3569 +a(g180 +V +tp3570 +a(g6 +Vis +p3571 +tp3572 +a(g180 +V +tp3573 +a(g16 +Vnull +p3574 +tp3575 +a(g193 +V) +tp3576 +a(g180 +V\u000a +tp3577 +a(g180 +V +p3578 +tp3579 +a(g43 +VmTail +p3580 +tp3581 +a(g180 +V +tp3582 +a(g193 +V= +tp3583 +a(g180 +V +tp3584 +a(g16 +Vnull +p3585 +tp3586 +a(g193 +V; +tp3587 +a(g180 +V\u000a +tp3588 +a(g180 +V \u000a +p3589 +tp3590 +a(g6 +Vreturn +p3591 +tp3592 +a(g180 +V +tp3593 +a(g43 +Vitem +p3594 +tp3595 +a(g193 +V. +tp3596 +a(g43 +Vdata +p3597 +tp3598 +a(g193 +V; +tp3599 +a(g180 +V\u000a +tp3600 +a(g180 +V +p3601 +tp3602 +a(g193 +V} +tp3603 +a(g180 +V\u000a +tp3604 +a(g180 +V \u000a +p3605 +tp3606 +a(g6 +Vfunction +p3607 +tp3608 +a(g180 +V +tp3609 +a(g43 +VhasData +p3610 +tp3611 +a(g193 +V( +tp3612 +a(g193 +V) +tp3613 +a(g180 +V\u000a +tp3614 +a(g180 +V +p3615 +tp3616 +a(g193 +V{ +tp3617 +a(g180 +V\u000a +tp3618 +a(g180 +V +p3619 +tp3620 +a(g6 +Vreturn +p3621 +tp3622 +a(g180 +V +tp3623 +a(g43 +VmHead +p3624 +tp3625 +a(g180 +V +tp3626 +a(g193 +V! +tp3627 +a(g6 +Vis +p3628 +tp3629 +a(g180 +V +tp3630 +a(g16 +Vnull +p3631 +tp3632 +a(g193 +V; +tp3633 +a(g180 +V\u000a +tp3634 +a(g180 +V +p3635 +tp3636 +a(g193 +V} +tp3637 +a(g180 +V\u000a +tp3638 +a(g180 +V +tp3639 +a(g193 +V} +tp3640 +a(g180 +V\u000a +tp3641 +a(g180 +V \u000a +p3642 +tp3643 +a(g43 +Vwritefln +p3644 +tp3645 +a(g193 +V( +tp3646 +a(g217 +V"Priority queue (heap)" +p3647 +tp3648 +a(g193 +V) +tp3649 +a(g193 +V; +tp3650 +a(g180 +V\u000a +tp3651 +a(g180 +V \u000a +p3652 +tp3653 +a(g6 +Vlocal +p3654 +tp3655 +a(g180 +V +tp3656 +a(g43 +VprioQ +p3657 +tp3658 +a(g180 +V +tp3659 +a(g193 +V= +tp3660 +a(g180 +V +tp3661 +a(g43 +VPQ +p3662 +tp3663 +a(g193 +V( +tp3664 +a(g193 +V) +tp3665 +a(g193 +V; +tp3666 +a(g180 +V\u000a +tp3667 +a(g180 +V \u000a +p3668 +tp3669 +a(g6 +Vfor +p3670 +tp3671 +a(g193 +V( +tp3672 +a(g43 +Vi +tp3673 +a(g180 +V +tp3674 +a(g193 +V: +tp3675 +a(g180 +V +tp3676 +a(g309 +V0 +tp3677 +a(g180 +V +tp3678 +a(g193 +V.. +p3679 +tp3680 +a(g180 +V +tp3681 +a(g309 +V10 +p3682 +tp3683 +a(g193 +V) +tp3684 +a(g180 +V\u000a +tp3685 +a(g180 +V +p3686 +tp3687 +a(g43 +VprioQ +p3688 +tp3689 +a(g193 +V. +tp3690 +a(g43 +Vinsert +p3691 +tp3692 +a(g193 +V( +tp3693 +a(g43 +Vmath +p3694 +tp3695 +a(g193 +V. +tp3696 +a(g43 +Vrand +p3697 +tp3698 +a(g193 +V( +tp3699 +a(g309 +V0 +tp3700 +a(g193 +V, +tp3701 +a(g180 +V +tp3702 +a(g309 +V20 +p3703 +tp3704 +a(g193 +V) +tp3705 +a(g193 +V) +tp3706 +a(g193 +V; +tp3707 +a(g180 +V\u000a +tp3708 +a(g180 +V \u000a +p3709 +tp3710 +a(g6 +Vwhile +p3711 +tp3712 +a(g193 +V( +tp3713 +a(g43 +VprioQ +p3714 +tp3715 +a(g193 +V. +tp3716 +a(g43 +VhasData +p3717 +tp3718 +a(g193 +V( +tp3719 +a(g193 +V) +tp3720 +a(g193 +V) +tp3721 +a(g180 +V\u000a +tp3722 +a(g180 +V +p3723 +tp3724 +a(g43 +Vwritefln +p3725 +tp3726 +a(g193 +V( +tp3727 +a(g43 +VprioQ +p3728 +tp3729 +a(g193 +V. +tp3730 +a(g43 +Vremove +p3731 +tp3732 +a(g193 +V( +tp3733 +a(g193 +V) +tp3734 +a(g193 +V) +tp3735 +a(g193 +V; +tp3736 +a(g180 +V\u000a +tp3737 +a(g180 +V \u000a +p3738 +tp3739 +a(g43 +Vwritefln +p3740 +tp3741 +a(g193 +V( +tp3742 +a(g193 +V) +tp3743 +a(g193 +V; +tp3744 +a(g180 +V\u000a +tp3745 +a(g180 +V +tp3746 +a(g43 +Vwritefln +p3747 +tp3748 +a(g193 +V( +tp3749 +a(g217 +V"Stack" +p3750 +tp3751 +a(g193 +V) +tp3752 +a(g193 +V; +tp3753 +a(g180 +V\u000a +tp3754 +a(g180 +V \u000a +p3755 +tp3756 +a(g6 +Vlocal +p3757 +tp3758 +a(g180 +V +tp3759 +a(g43 +Vstack +p3760 +tp3761 +a(g180 +V +tp3762 +a(g193 +V= +tp3763 +a(g180 +V +tp3764 +a(g43 +VStack +p3765 +tp3766 +a(g193 +V( +tp3767 +a(g193 +V) +tp3768 +a(g193 +V; +tp3769 +a(g180 +V\u000a +tp3770 +a(g180 +V \u000a +p3771 +tp3772 +a(g6 +Vfor +p3773 +tp3774 +a(g193 +V( +tp3775 +a(g43 +Vi +tp3776 +a(g180 +V +tp3777 +a(g193 +V: +tp3778 +a(g180 +V +tp3779 +a(g309 +V0 +tp3780 +a(g180 +V +tp3781 +a(g193 +V.. +p3782 +tp3783 +a(g180 +V +tp3784 +a(g309 +V5 +tp3785 +a(g193 +V) +tp3786 +a(g180 +V\u000a +tp3787 +a(g180 +V +p3788 +tp3789 +a(g43 +Vstack +p3790 +tp3791 +a(g193 +V. +tp3792 +a(g43 +Vpush +p3793 +tp3794 +a(g193 +V( +tp3795 +a(g43 +Vi +tp3796 +a(g180 +V +tp3797 +a(g193 +V+ +tp3798 +a(g180 +V +tp3799 +a(g309 +V1 +tp3800 +a(g193 +V) +tp3801 +a(g193 +V; +tp3802 +a(g180 +V\u000a +tp3803 +a(g180 +V \u000a +p3804 +tp3805 +a(g6 +Vwhile +p3806 +tp3807 +a(g193 +V( +tp3808 +a(g43 +Vstack +p3809 +tp3810 +a(g193 +V. +tp3811 +a(g43 +VhasData +p3812 +tp3813 +a(g193 +V( +tp3814 +a(g193 +V) +tp3815 +a(g193 +V) +tp3816 +a(g180 +V\u000a +tp3817 +a(g180 +V +p3818 +tp3819 +a(g43 +Vwritefln +p3820 +tp3821 +a(g193 +V( +tp3822 +a(g43 +Vstack +p3823 +tp3824 +a(g193 +V. +tp3825 +a(g43 +Vpop +p3826 +tp3827 +a(g193 +V( +tp3828 +a(g193 +V) +tp3829 +a(g193 +V) +tp3830 +a(g193 +V; +tp3831 +a(g180 +V\u000a +tp3832 +a(g180 +V \u000a +p3833 +tp3834 +a(g43 +Vwritefln +p3835 +tp3836 +a(g193 +V( +tp3837 +a(g193 +V) +tp3838 +a(g193 +V; +tp3839 +a(g180 +V\u000a +tp3840 +a(g180 +V +tp3841 +a(g43 +Vwritefln +p3842 +tp3843 +a(g193 +V( +tp3844 +a(g217 +V"Queue" +p3845 +tp3846 +a(g193 +V) +tp3847 +a(g193 +V; +tp3848 +a(g180 +V\u000a +tp3849 +a(g180 +V \u000a +p3850 +tp3851 +a(g6 +Vlocal +p3852 +tp3853 +a(g180 +V +tp3854 +a(g43 +Vqueue +p3855 +tp3856 +a(g180 +V +tp3857 +a(g193 +V= +tp3858 +a(g180 +V +tp3859 +a(g43 +VQueue +p3860 +tp3861 +a(g193 +V( +tp3862 +a(g193 +V) +tp3863 +a(g193 +V; +tp3864 +a(g180 +V\u000a +tp3865 +a(g180 +V \u000a +p3866 +tp3867 +a(g6 +Vfor +p3868 +tp3869 +a(g193 +V( +tp3870 +a(g43 +Vi +tp3871 +a(g180 +V +tp3872 +a(g193 +V: +tp3873 +a(g180 +V +tp3874 +a(g309 +V0 +tp3875 +a(g180 +V +tp3876 +a(g193 +V.. +p3877 +tp3878 +a(g180 +V +tp3879 +a(g309 +V5 +tp3880 +a(g193 +V) +tp3881 +a(g180 +V\u000a +tp3882 +a(g180 +V +p3883 +tp3884 +a(g43 +Vqueue +p3885 +tp3886 +a(g193 +V. +tp3887 +a(g43 +Vpush +p3888 +tp3889 +a(g193 +V( +tp3890 +a(g43 +Vi +tp3891 +a(g180 +V +tp3892 +a(g193 +V+ +tp3893 +a(g180 +V +tp3894 +a(g309 +V1 +tp3895 +a(g193 +V) +tp3896 +a(g193 +V; +tp3897 +a(g180 +V\u000a +tp3898 +a(g180 +V \u000a +p3899 +tp3900 +a(g6 +Vwhile +p3901 +tp3902 +a(g193 +V( +tp3903 +a(g43 +Vqueue +p3904 +tp3905 +a(g193 +V. +tp3906 +a(g43 +VhasData +p3907 +tp3908 +a(g193 +V( +tp3909 +a(g193 +V) +tp3910 +a(g193 +V) +tp3911 +a(g180 +V\u000a +tp3912 +a(g180 +V +p3913 +tp3914 +a(g43 +Vwritefln +p3915 +tp3916 +a(g193 +V( +tp3917 +a(g43 +Vqueue +p3918 +tp3919 +a(g193 +V. +tp3920 +a(g43 +Vpop +p3921 +tp3922 +a(g193 +V( +tp3923 +a(g193 +V) +tp3924 +a(g193 +V) +tp3925 +a(g193 +V; +tp3926 +a(g180 +V\u000a +tp3927 +a(g180 +V \u000a +p3928 +tp3929 +a(g43 +Vwritefln +p3930 +tp3931 +a(g193 +V( +tp3932 +a(g193 +V) +tp3933 +a(g193 +V; +tp3934 +a(g180 +V\u000a +tp3935 +a(g193 +V} +tp3936 +a(g180 +V\u000a +tp3937 +a(g180 +V\u000a +tp3938 +a(g29 +V// opApply tests.\u000a +p3939 +tp3940 +a(g193 +V{ +tp3941 +a(g180 +V\u000a +tp3942 +a(g180 +V +tp3943 +a(g6 +Vclass +p3944 +tp3945 +a(g180 +V +tp3946 +a(g43 +VTest +p3947 +tp3948 +a(g180 +V\u000a +tp3949 +a(g180 +V +tp3950 +a(g193 +V{ +tp3951 +a(g180 +V\u000a +tp3952 +a(g180 +V +p3953 +tp3954 +a(g43 +VmData +p3955 +tp3956 +a(g180 +V +tp3957 +a(g193 +V= +tp3958 +a(g180 +V +tp3959 +a(g193 +V[ +tp3960 +a(g309 +V4 +tp3961 +a(g193 +V, +tp3962 +a(g180 +V +tp3963 +a(g309 +V5 +tp3964 +a(g193 +V, +tp3965 +a(g180 +V +tp3966 +a(g309 +V6 +tp3967 +a(g193 +V] +tp3968 +a(g193 +V; +tp3969 +a(g180 +V\u000a +tp3970 +a(g180 +V \u000a +p3971 +tp3972 +a(g6 +Vfunction +p3973 +tp3974 +a(g180 +V +tp3975 +a(g43 +VopApply +p3976 +tp3977 +a(g193 +V( +tp3978 +a(g43 +Vextra +p3979 +tp3980 +a(g193 +V) +tp3981 +a(g180 +V\u000a +tp3982 +a(g180 +V +p3983 +tp3984 +a(g193 +V{ +tp3985 +a(g180 +V\u000a +tp3986 +a(g180 +V +p3987 +tp3988 +a(g6 +Vif +p3989 +tp3990 +a(g193 +V( +tp3991 +a(g43 +VisString +p3992 +tp3993 +a(g193 +V( +tp3994 +a(g43 +Vextra +p3995 +tp3996 +a(g193 +V) +tp3997 +a(g180 +V +tp3998 +a(g193 +V&& +p3999 +tp4000 +a(g180 +V +tp4001 +a(g43 +Vextra +p4002 +tp4003 +a(g180 +V +tp4004 +a(g193 +V== +p4005 +tp4006 +a(g180 +V +tp4007 +a(g217 +V"reverse" +p4008 +tp4009 +a(g193 +V) +tp4010 +a(g180 +V\u000a +tp4011 +a(g180 +V +p4012 +tp4013 +a(g193 +V{ +tp4014 +a(g180 +V\u000a +tp4015 +a(g180 +V +p4016 +tp4017 +a(g6 +Vlocal +p4018 +tp4019 +a(g180 +V +tp4020 +a(g6 +Vfunction +p4021 +tp4022 +a(g180 +V +tp4023 +a(g43 +Viterator_reverse +p4024 +tp4025 +a(g193 +V( +tp4026 +a(g43 +Vindex +p4027 +tp4028 +a(g193 +V) +tp4029 +a(g180 +V\u000a +tp4030 +a(g180 +V +p4031 +tp4032 +a(g193 +V{ +tp4033 +a(g180 +V\u000a +tp4034 +a(g180 +V +p4035 +tp4036 +a(g43 +Vindex +p4037 +tp4038 +a(g193 +V-- +p4039 +tp4040 +a(g193 +V; +tp4041 +a(g180 +V\u000a +tp4042 +a(g180 +V \u000a +p4043 +tp4044 +a(g6 +Vif +p4045 +tp4046 +a(g193 +V( +tp4047 +a(g43 +Vindex +p4048 +tp4049 +a(g180 +V +tp4050 +a(g193 +V< +tp4051 +a(g180 +V +tp4052 +a(g309 +V0 +tp4053 +a(g193 +V) +tp4054 +a(g180 +V\u000a +tp4055 +a(g180 +V +p4056 +tp4057 +a(g6 +Vreturn +p4058 +tp4059 +a(g193 +V; +tp4060 +a(g180 +V\u000a +tp4061 +a(g180 +V \u000a +p4062 +tp4063 +a(g6 +Vreturn +p4064 +tp4065 +a(g180 +V +tp4066 +a(g43 +Vindex +p4067 +tp4068 +a(g193 +V, +tp4069 +a(g180 +V +tp4070 +a(g43 +VmData +p4071 +tp4072 +a(g193 +V[ +tp4073 +a(g43 +Vindex +p4074 +tp4075 +a(g193 +V] +tp4076 +a(g193 +V; +tp4077 +a(g180 +V\u000a +tp4078 +a(g180 +V +p4079 +tp4080 +a(g193 +V} +tp4081 +a(g180 +V\u000a +tp4082 +a(g180 +V \u000a +p4083 +tp4084 +a(g6 +Vreturn +p4085 +tp4086 +a(g180 +V +tp4087 +a(g43 +Viterator_reverse +p4088 +tp4089 +a(g193 +V, +tp4090 +a(g180 +V +tp4091 +a(g6 +Vthis +p4092 +tp4093 +a(g193 +V, +tp4094 +a(g180 +V +tp4095 +a(g193 +V# +tp4096 +a(g43 +VmData +p4097 +tp4098 +a(g193 +V; +tp4099 +a(g180 +V\u000a +tp4100 +a(g180 +V +p4101 +tp4102 +a(g193 +V} +tp4103 +a(g180 +V\u000a +tp4104 +a(g180 +V +p4105 +tp4106 +a(g6 +Velse +p4107 +tp4108 +a(g180 +V\u000a +tp4109 +a(g180 +V +p4110 +tp4111 +a(g193 +V{ +tp4112 +a(g180 +V\u000a +tp4113 +a(g180 +V +p4114 +tp4115 +a(g6 +Vlocal +p4116 +tp4117 +a(g180 +V +tp4118 +a(g6 +Vfunction +p4119 +tp4120 +a(g180 +V +tp4121 +a(g43 +Viterator +p4122 +tp4123 +a(g193 +V( +tp4124 +a(g43 +Vindex +p4125 +tp4126 +a(g193 +V) +tp4127 +a(g180 +V\u000a +tp4128 +a(g180 +V +p4129 +tp4130 +a(g193 +V{ +tp4131 +a(g180 +V\u000a +tp4132 +a(g180 +V +p4133 +tp4134 +a(g43 +Vindex +p4135 +tp4136 +a(g193 +V++ +p4137 +tp4138 +a(g193 +V; +tp4139 +a(g180 +V\u000a +tp4140 +a(g180 +V \u000a +p4141 +tp4142 +a(g6 +Vif +p4143 +tp4144 +a(g193 +V( +tp4145 +a(g43 +Vindex +p4146 +tp4147 +a(g180 +V +tp4148 +a(g193 +V>= +p4149 +tp4150 +a(g180 +V +tp4151 +a(g193 +V# +tp4152 +a(g43 +VmData +p4153 +tp4154 +a(g193 +V) +tp4155 +a(g180 +V\u000a +tp4156 +a(g180 +V +p4157 +tp4158 +a(g6 +Vreturn +p4159 +tp4160 +a(g193 +V; +tp4161 +a(g180 +V\u000a +tp4162 +a(g180 +V \u000a +p4163 +tp4164 +a(g6 +Vreturn +p4165 +tp4166 +a(g180 +V +tp4167 +a(g43 +Vindex +p4168 +tp4169 +a(g193 +V, +tp4170 +a(g180 +V +tp4171 +a(g43 +VmData +p4172 +tp4173 +a(g193 +V[ +tp4174 +a(g43 +Vindex +p4175 +tp4176 +a(g193 +V] +tp4177 +a(g193 +V; +tp4178 +a(g180 +V\u000a +tp4179 +a(g180 +V +p4180 +tp4181 +a(g193 +V} +tp4182 +a(g180 +V\u000a +tp4183 +a(g180 +V \u000a +p4184 +tp4185 +a(g6 +Vreturn +p4186 +tp4187 +a(g180 +V +tp4188 +a(g43 +Viterator +p4189 +tp4190 +a(g193 +V, +tp4191 +a(g180 +V +tp4192 +a(g6 +Vthis +p4193 +tp4194 +a(g193 +V, +tp4195 +a(g180 +V +tp4196 +a(g193 +V- +tp4197 +a(g309 +V1 +tp4198 +a(g193 +V; +tp4199 +a(g180 +V\u000a +tp4200 +a(g180 +V +p4201 +tp4202 +a(g193 +V} +tp4203 +a(g180 +V\u000a +tp4204 +a(g180 +V +p4205 +tp4206 +a(g193 +V} +tp4207 +a(g180 +V\u000a +tp4208 +a(g180 +V +tp4209 +a(g193 +V} +tp4210 +a(g180 +V\u000a +tp4211 +a(g180 +V \u000a +p4212 +tp4213 +a(g6 +Vlocal +p4214 +tp4215 +a(g180 +V +tp4216 +a(g43 +Vtest +p4217 +tp4218 +a(g180 +V +tp4219 +a(g193 +V= +tp4220 +a(g180 +V +tp4221 +a(g43 +VTest +p4222 +tp4223 +a(g193 +V( +tp4224 +a(g193 +V) +tp4225 +a(g193 +V; +tp4226 +a(g180 +V\u000a +tp4227 +a(g180 +V \u000a +p4228 +tp4229 +a(g6 +Vforeach +p4230 +tp4231 +a(g193 +V( +tp4232 +a(g43 +Vk +tp4233 +a(g193 +V, +tp4234 +a(g180 +V +tp4235 +a(g43 +Vv +tp4236 +a(g193 +V; +tp4237 +a(g180 +V +tp4238 +a(g43 +Vtest +p4239 +tp4240 +a(g193 +V) +tp4241 +a(g180 +V\u000a +tp4242 +a(g180 +V +p4243 +tp4244 +a(g43 +Vwritefln +p4245 +tp4246 +a(g193 +V( +tp4247 +a(g217 +V"test[" +p4248 +tp4249 +a(g193 +V, +tp4250 +a(g180 +V +tp4251 +a(g43 +Vk +tp4252 +a(g193 +V, +tp4253 +a(g180 +V +tp4254 +a(g217 +V"] = " +p4255 +tp4256 +a(g193 +V, +tp4257 +a(g180 +V +tp4258 +a(g43 +Vv +tp4259 +a(g193 +V) +tp4260 +a(g193 +V; +tp4261 +a(g180 +V\u000a +tp4262 +a(g180 +V \u000a +p4263 +tp4264 +a(g43 +Vwritefln +p4265 +tp4266 +a(g193 +V( +tp4267 +a(g193 +V) +tp4268 +a(g193 +V; +tp4269 +a(g180 +V\u000a +tp4270 +a(g180 +V \u000a +p4271 +tp4272 +a(g6 +Vforeach +p4273 +tp4274 +a(g193 +V( +tp4275 +a(g43 +Vk +tp4276 +a(g193 +V, +tp4277 +a(g180 +V +tp4278 +a(g43 +Vv +tp4279 +a(g193 +V; +tp4280 +a(g180 +V +tp4281 +a(g43 +Vtest +p4282 +tp4283 +a(g193 +V, +tp4284 +a(g180 +V +tp4285 +a(g217 +V"reverse" +p4286 +tp4287 +a(g193 +V) +tp4288 +a(g180 +V\u000a +tp4289 +a(g180 +V +p4290 +tp4291 +a(g43 +Vwritefln +p4292 +tp4293 +a(g193 +V( +tp4294 +a(g217 +V"test[" +p4295 +tp4296 +a(g193 +V, +tp4297 +a(g180 +V +tp4298 +a(g43 +Vk +tp4299 +a(g193 +V, +tp4300 +a(g180 +V +tp4301 +a(g217 +V"] = " +p4302 +tp4303 +a(g193 +V, +tp4304 +a(g180 +V +tp4305 +a(g43 +Vv +tp4306 +a(g193 +V) +tp4307 +a(g193 +V; +tp4308 +a(g180 +V\u000a +tp4309 +a(g180 +V \u000a +p4310 +tp4311 +a(g43 +Vwritefln +p4312 +tp4313 +a(g193 +V( +tp4314 +a(g193 +V) +tp4315 +a(g193 +V; +tp4316 +a(g180 +V\u000a +tp4317 +a(g180 +V \u000a +p4318 +tp4319 +a(g43 +Vtest +p4320 +tp4321 +a(g180 +V +tp4322 +a(g193 +V= +tp4323 +a(g180 +V\u000a +tp4324 +a(g180 +V +tp4325 +a(g193 +V{ +tp4326 +a(g180 +V\u000a +tp4327 +a(g180 +V +p4328 +tp4329 +a(g43 +Vfork +p4330 +tp4331 +a(g180 +V +tp4332 +a(g193 +V= +tp4333 +a(g180 +V +tp4334 +a(g309 +V5 +tp4335 +a(g193 +V, +tp4336 +a(g180 +V\u000a +tp4337 +a(g180 +V +p4338 +tp4339 +a(g43 +Vknife +p4340 +tp4341 +a(g180 +V +tp4342 +a(g193 +V= +tp4343 +a(g180 +V +tp4344 +a(g309 +V10 +p4345 +tp4346 +a(g193 +V, +tp4347 +a(g180 +V\u000a +tp4348 +a(g180 +V +p4349 +tp4350 +a(g43 +Vspoon +p4351 +tp4352 +a(g180 +V +tp4353 +a(g193 +V= +tp4354 +a(g180 +V +tp4355 +a(g217 +V"hi" +p4356 +tp4357 +a(g180 +V\u000a +tp4358 +a(g180 +V +tp4359 +a(g193 +V} +tp4360 +a(g193 +V; +tp4361 +a(g180 +V\u000a +tp4362 +a(g180 +V \u000a +p4363 +tp4364 +a(g6 +Vforeach +p4365 +tp4366 +a(g193 +V( +tp4367 +a(g43 +Vk +tp4368 +a(g193 +V, +tp4369 +a(g180 +V +tp4370 +a(g43 +Vv +tp4371 +a(g193 +V; +tp4372 +a(g180 +V +tp4373 +a(g43 +Vtest +p4374 +tp4375 +a(g193 +V) +tp4376 +a(g180 +V\u000a +tp4377 +a(g180 +V +p4378 +tp4379 +a(g43 +Vwritefln +p4380 +tp4381 +a(g193 +V( +tp4382 +a(g217 +V"test[" +p4383 +tp4384 +a(g193 +V, +tp4385 +a(g180 +V +tp4386 +a(g43 +Vk +tp4387 +a(g193 +V, +tp4388 +a(g180 +V +tp4389 +a(g217 +V"] = " +p4390 +tp4391 +a(g193 +V, +tp4392 +a(g180 +V +tp4393 +a(g43 +Vv +tp4394 +a(g193 +V) +tp4395 +a(g193 +V; +tp4396 +a(g180 +V\u000a +tp4397 +a(g180 +V \u000a +p4398 +tp4399 +a(g43 +Vtest +p4400 +tp4401 +a(g180 +V +tp4402 +a(g193 +V= +tp4403 +a(g180 +V +tp4404 +a(g193 +V[ +tp4405 +a(g309 +V5 +tp4406 +a(g193 +V, +tp4407 +a(g180 +V +tp4408 +a(g309 +V10 +p4409 +tp4410 +a(g193 +V, +tp4411 +a(g180 +V +tp4412 +a(g217 +V"hi" +p4413 +tp4414 +a(g193 +V] +tp4415 +a(g193 +V; +tp4416 +a(g180 +V\u000a +tp4417 +a(g180 +V \u000a +p4418 +tp4419 +a(g43 +Vwritefln +p4420 +tp4421 +a(g193 +V( +tp4422 +a(g193 +V) +tp4423 +a(g193 +V; +tp4424 +a(g180 +V\u000a +tp4425 +a(g180 +V \u000a +p4426 +tp4427 +a(g6 +Vforeach +p4428 +tp4429 +a(g193 +V( +tp4430 +a(g43 +Vk +tp4431 +a(g193 +V, +tp4432 +a(g180 +V +tp4433 +a(g43 +Vv +tp4434 +a(g193 +V; +tp4435 +a(g180 +V +tp4436 +a(g43 +Vtest +p4437 +tp4438 +a(g193 +V) +tp4439 +a(g180 +V\u000a +tp4440 +a(g180 +V +p4441 +tp4442 +a(g43 +Vwritefln +p4443 +tp4444 +a(g193 +V( +tp4445 +a(g217 +V"test[" +p4446 +tp4447 +a(g193 +V, +tp4448 +a(g180 +V +tp4449 +a(g43 +Vk +tp4450 +a(g193 +V, +tp4451 +a(g180 +V +tp4452 +a(g217 +V"] = " +p4453 +tp4454 +a(g193 +V, +tp4455 +a(g180 +V +tp4456 +a(g43 +Vv +tp4457 +a(g193 +V) +tp4458 +a(g193 +V; +tp4459 +a(g180 +V\u000a +tp4460 +a(g180 +V \u000a +p4461 +tp4462 +a(g43 +Vwritefln +p4463 +tp4464 +a(g193 +V( +tp4465 +a(g193 +V) +tp4466 +a(g193 +V; +tp4467 +a(g180 +V\u000a +tp4468 +a(g180 +V \u000a +p4469 +tp4470 +a(g6 +Vforeach +p4471 +tp4472 +a(g193 +V( +tp4473 +a(g43 +Vk +tp4474 +a(g193 +V, +tp4475 +a(g180 +V +tp4476 +a(g43 +Vv +tp4477 +a(g193 +V; +tp4478 +a(g180 +V +tp4479 +a(g43 +Vtest +p4480 +tp4481 +a(g193 +V, +tp4482 +a(g180 +V +tp4483 +a(g217 +V"reverse" +p4484 +tp4485 +a(g193 +V) +tp4486 +a(g180 +V\u000a +tp4487 +a(g180 +V +p4488 +tp4489 +a(g43 +Vwritefln +p4490 +tp4491 +a(g193 +V( +tp4492 +a(g217 +V"test[" +p4493 +tp4494 +a(g193 +V, +tp4495 +a(g180 +V +tp4496 +a(g43 +Vk +tp4497 +a(g193 +V, +tp4498 +a(g180 +V +tp4499 +a(g217 +V"] = " +p4500 +tp4501 +a(g193 +V, +tp4502 +a(g180 +V +tp4503 +a(g43 +Vv +tp4504 +a(g193 +V) +tp4505 +a(g193 +V; +tp4506 +a(g180 +V\u000a +tp4507 +a(g180 +V \u000a +p4508 +tp4509 +a(g43 +Vwritefln +p4510 +tp4511 +a(g193 +V( +tp4512 +a(g193 +V) +tp4513 +a(g193 +V; +tp4514 +a(g180 +V\u000a +tp4515 +a(g180 +V \u000a +p4516 +tp4517 +a(g6 +Vforeach +p4518 +tp4519 +a(g193 +V( +tp4520 +a(g43 +Vk +tp4521 +a(g193 +V, +tp4522 +a(g180 +V +tp4523 +a(g43 +Vv +tp4524 +a(g193 +V; +tp4525 +a(g180 +V +tp4526 +a(g217 +V"hello" +p4527 +tp4528 +a(g193 +V) +tp4529 +a(g180 +V\u000a +tp4530 +a(g180 +V +p4531 +tp4532 +a(g43 +Vwritefln +p4533 +tp4534 +a(g193 +V( +tp4535 +a(g217 +V"str[" +p4536 +tp4537 +a(g193 +V, +tp4538 +a(g180 +V +tp4539 +a(g43 +Vk +tp4540 +a(g193 +V, +tp4541 +a(g180 +V +tp4542 +a(g217 +V"] = " +p4543 +tp4544 +a(g193 +V, +tp4545 +a(g180 +V +tp4546 +a(g43 +Vv +tp4547 +a(g193 +V) +tp4548 +a(g193 +V; +tp4549 +a(g180 +V\u000a +tp4550 +a(g180 +V \u000a +p4551 +tp4552 +a(g43 +Vwritefln +p4553 +tp4554 +a(g193 +V( +tp4555 +a(g193 +V) +tp4556 +a(g193 +V; +tp4557 +a(g180 +V\u000a +tp4558 +a(g180 +V \u000a +p4559 +tp4560 +a(g6 +Vforeach +p4561 +tp4562 +a(g193 +V( +tp4563 +a(g43 +Vk +tp4564 +a(g193 +V, +tp4565 +a(g180 +V +tp4566 +a(g43 +Vv +tp4567 +a(g193 +V; +tp4568 +a(g180 +V +tp4569 +a(g217 +V"hello" +p4570 +tp4571 +a(g193 +V, +tp4572 +a(g180 +V +tp4573 +a(g217 +V"reverse" +p4574 +tp4575 +a(g193 +V) +tp4576 +a(g180 +V\u000a +tp4577 +a(g180 +V +p4578 +tp4579 +a(g43 +Vwritefln +p4580 +tp4581 +a(g193 +V( +tp4582 +a(g217 +V"str[" +p4583 +tp4584 +a(g193 +V, +tp4585 +a(g180 +V +tp4586 +a(g43 +Vk +tp4587 +a(g193 +V, +tp4588 +a(g180 +V +tp4589 +a(g217 +V"] = " +p4590 +tp4591 +a(g193 +V, +tp4592 +a(g180 +V +tp4593 +a(g43 +Vv +tp4594 +a(g193 +V) +tp4595 +a(g193 +V; +tp4596 +a(g180 +V\u000a +tp4597 +a(g180 +V \u000a +p4598 +tp4599 +a(g43 +Vwritefln +p4600 +tp4601 +a(g193 +V( +tp4602 +a(g193 +V) +tp4603 +a(g193 +V; +tp4604 +a(g180 +V\u000a +tp4605 +a(g193 +V} +tp4606 +a(g180 +V\u000a +tp4607 +a(g180 +V\u000a +tp4608 +a(g29 +V// Testing upvalues in for loops.\u000a +p4609 +tp4610 +a(g193 +V{ +tp4611 +a(g180 +V\u000a +tp4612 +a(g180 +V +tp4613 +a(g6 +Vlocal +p4614 +tp4615 +a(g180 +V +tp4616 +a(g43 +Varr +p4617 +tp4618 +a(g180 +V +tp4619 +a(g193 +V= +tp4620 +a(g180 +V +tp4621 +a(g43 +Varray +p4622 +tp4623 +a(g193 +V. +tp4624 +a(g43 +Vnew +p4625 +tp4626 +a(g193 +V( +tp4627 +a(g309 +V10 +p4628 +tp4629 +a(g193 +V) +tp4630 +a(g193 +V; +tp4631 +a(g180 +V\u000a +tp4632 +a(g180 +V \u000a +p4633 +tp4634 +a(g6 +Vfor +p4635 +tp4636 +a(g193 +V( +tp4637 +a(g43 +Vi +tp4638 +a(g180 +V +tp4639 +a(g193 +V: +tp4640 +a(g180 +V +tp4641 +a(g309 +V0 +tp4642 +a(g180 +V +tp4643 +a(g193 +V.. +p4644 +tp4645 +a(g180 +V +tp4646 +a(g309 +V10 +p4647 +tp4648 +a(g193 +V) +tp4649 +a(g180 +V\u000a +tp4650 +a(g180 +V +p4651 +tp4652 +a(g43 +Varr +p4653 +tp4654 +a(g193 +V[ +tp4655 +a(g43 +Vi +tp4656 +a(g193 +V] +tp4657 +a(g180 +V +tp4658 +a(g193 +V= +tp4659 +a(g180 +V +tp4660 +a(g6 +Vfunction +p4661 +tp4662 +a(g193 +V( +tp4663 +a(g193 +V) +tp4664 +a(g180 +V +tp4665 +a(g193 +V{ +tp4666 +a(g180 +V +tp4667 +a(g6 +Vreturn +p4668 +tp4669 +a(g180 +V +tp4670 +a(g43 +Vi +tp4671 +a(g193 +V; +tp4672 +a(g180 +V +tp4673 +a(g193 +V} +tp4674 +a(g193 +V; +tp4675 +a(g180 +V\u000a +tp4676 +a(g180 +V \u000a +p4677 +tp4678 +a(g43 +Vwritefln +p4679 +tp4680 +a(g193 +V( +tp4681 +a(g217 +V"This should be the values 0 through 9:" +p4682 +tp4683 +a(g193 +V) +tp4684 +a(g193 +V; +tp4685 +a(g180 +V\u000a +tp4686 +a(g180 +V \u000a +p4687 +tp4688 +a(g6 +Vforeach +p4689 +tp4690 +a(g193 +V( +tp4691 +a(g43 +Vfunc +p4692 +tp4693 +a(g193 +V; +tp4694 +a(g180 +V +tp4695 +a(g43 +Varr +p4696 +tp4697 +a(g193 +V) +tp4698 +a(g180 +V\u000a +tp4699 +a(g180 +V +p4700 +tp4701 +a(g43 +Vwritefln +p4702 +tp4703 +a(g193 +V( +tp4704 +a(g43 +Vfunc +p4705 +tp4706 +a(g193 +V( +tp4707 +a(g193 +V) +tp4708 +a(g193 +V) +tp4709 +a(g193 +V; +tp4710 +a(g180 +V\u000a +tp4711 +a(g180 +V \u000a +p4712 +tp4713 +a(g43 +Vwritefln +p4714 +tp4715 +a(g193 +V( +tp4716 +a(g193 +V) +tp4717 +a(g193 +V; +tp4718 +a(g180 +V\u000a +tp4719 +a(g193 +V} +tp4720 +a(g180 +V\u000a +tp4721 +a(g180 +V\u000a +tp4722 +a(g29 +V// Testing nested functions.\u000a +p4723 +tp4724 +a(g193 +V{ +tp4725 +a(g180 +V\u000a +tp4726 +a(g180 +V +tp4727 +a(g6 +Vfunction +p4728 +tp4729 +a(g180 +V +tp4730 +a(g43 +Vouter +p4731 +tp4732 +a(g193 +V( +tp4733 +a(g193 +V) +tp4734 +a(g180 +V\u000a +tp4735 +a(g180 +V +tp4736 +a(g193 +V{ +tp4737 +a(g180 +V\u000a +tp4738 +a(g180 +V +p4739 +tp4740 +a(g6 +Vlocal +p4741 +tp4742 +a(g180 +V +tp4743 +a(g43 +Vx +tp4744 +a(g180 +V +tp4745 +a(g193 +V= +tp4746 +a(g180 +V +tp4747 +a(g309 +V3 +tp4748 +a(g193 +V; +tp4749 +a(g180 +V\u000a +tp4750 +a(g180 +V \u000a +p4751 +tp4752 +a(g6 +Vfunction +p4753 +tp4754 +a(g180 +V +tp4755 +a(g43 +Vinner +p4756 +tp4757 +a(g193 +V( +tp4758 +a(g193 +V) +tp4759 +a(g180 +V\u000a +tp4760 +a(g180 +V +p4761 +tp4762 +a(g193 +V{ +tp4763 +a(g180 +V\u000a +tp4764 +a(g180 +V +p4765 +tp4766 +a(g43 +Vx +tp4767 +a(g193 +V++ +p4768 +tp4769 +a(g193 +V; +tp4770 +a(g180 +V\u000a +tp4771 +a(g180 +V +p4772 +tp4773 +a(g43 +Vwritefln +p4774 +tp4775 +a(g193 +V( +tp4776 +a(g217 +V"inner x: " +p4777 +tp4778 +a(g193 +V, +tp4779 +a(g180 +V +tp4780 +a(g43 +Vx +tp4781 +a(g193 +V) +tp4782 +a(g193 +V; +tp4783 +a(g180 +V\u000a +tp4784 +a(g180 +V +p4785 +tp4786 +a(g193 +V} +tp4787 +a(g180 +V\u000a +tp4788 +a(g180 +V \u000a +p4789 +tp4790 +a(g43 +Vwritefln +p4791 +tp4792 +a(g193 +V( +tp4793 +a(g217 +V"outer x: " +p4794 +tp4795 +a(g193 +V, +tp4796 +a(g180 +V +tp4797 +a(g43 +Vx +tp4798 +a(g193 +V) +tp4799 +a(g193 +V; +tp4800 +a(g180 +V\u000a +tp4801 +a(g180 +V +p4802 +tp4803 +a(g43 +Vinner +p4804 +tp4805 +a(g193 +V( +tp4806 +a(g193 +V) +tp4807 +a(g193 +V; +tp4808 +a(g180 +V\u000a +tp4809 +a(g180 +V +p4810 +tp4811 +a(g43 +Vwritefln +p4812 +tp4813 +a(g193 +V( +tp4814 +a(g217 +V"outer x: " +p4815 +tp4816 +a(g193 +V, +tp4817 +a(g180 +V +tp4818 +a(g43 +Vx +tp4819 +a(g193 +V) +tp4820 +a(g193 +V; +tp4821 +a(g180 +V\u000a +tp4822 +a(g180 +V \u000a +p4823 +tp4824 +a(g6 +Vreturn +p4825 +tp4826 +a(g180 +V +tp4827 +a(g43 +Vinner +p4828 +tp4829 +a(g193 +V; +tp4830 +a(g180 +V\u000a +tp4831 +a(g180 +V +tp4832 +a(g193 +V} +tp4833 +a(g180 +V\u000a +tp4834 +a(g180 +V \u000a +p4835 +tp4836 +a(g6 +Vlocal +p4837 +tp4838 +a(g180 +V +tp4839 +a(g43 +Vfunc +p4840 +tp4841 +a(g180 +V +tp4842 +a(g193 +V= +tp4843 +a(g180 +V +tp4844 +a(g43 +Vouter +p4845 +tp4846 +a(g193 +V( +tp4847 +a(g193 +V) +tp4848 +a(g193 +V; +tp4849 +a(g180 +V\u000a +tp4850 +a(g180 +V +tp4851 +a(g43 +Vfunc +p4852 +tp4853 +a(g193 +V( +tp4854 +a(g193 +V) +tp4855 +a(g193 +V; +tp4856 +a(g180 +V\u000a +tp4857 +a(g180 +V \u000a +p4858 +tp4859 +a(g43 +Vwritefln +p4860 +tp4861 +a(g193 +V( +tp4862 +a(g193 +V) +tp4863 +a(g193 +V; +tp4864 +a(g180 +V\u000a +tp4865 +a(g193 +V} +tp4866 +a(g180 +V\u000a +tp4867 +a(g180 +V\u000a +tp4868 +a(g29 +V// Testing Exceptions.\u000a +p4869 +tp4870 +a(g193 +V{ +tp4871 +a(g180 +V\u000a +tp4872 +a(g180 +V +tp4873 +a(g6 +Vfunction +p4874 +tp4875 +a(g180 +V +tp4876 +a(g43 +Vthrower +p4877 +tp4878 +a(g193 +V( +tp4879 +a(g43 +Vx +tp4880 +a(g193 +V) +tp4881 +a(g180 +V\u000a +tp4882 +a(g180 +V +tp4883 +a(g193 +V{ +tp4884 +a(g180 +V\u000a +tp4885 +a(g180 +V +p4886 +tp4887 +a(g6 +Vif +p4888 +tp4889 +a(g193 +V( +tp4890 +a(g43 +Vx +tp4891 +a(g180 +V +tp4892 +a(g193 +V>= +p4893 +tp4894 +a(g180 +V +tp4895 +a(g309 +V3 +tp4896 +a(g193 +V) +tp4897 +a(g180 +V\u000a +tp4898 +a(g180 +V +p4899 +tp4900 +a(g6 +Vthrow +p4901 +tp4902 +a(g180 +V +tp4903 +a(g217 +V"Sorry, x is too big for me!" +p4904 +tp4905 +a(g193 +V; +tp4906 +a(g180 +V\u000a +tp4907 +a(g180 +V +tp4908 +a(g193 +V} +tp4909 +a(g180 +V\u000a +tp4910 +a(g180 +V \u000a +p4911 +tp4912 +a(g6 +Vfunction +p4913 +tp4914 +a(g180 +V +tp4915 +a(g43 +VtryCatch +p4916 +tp4917 +a(g193 +V( +tp4918 +a(g43 +Viterations +p4919 +tp4920 +a(g193 +V) +tp4921 +a(g180 +V\u000a +tp4922 +a(g180 +V +tp4923 +a(g193 +V{ +tp4924 +a(g180 +V\u000a +tp4925 +a(g180 +V +p4926 +tp4927 +a(g6 +Vtry +p4928 +tp4929 +a(g180 +V\u000a +tp4930 +a(g180 +V +p4931 +tp4932 +a(g193 +V{ +tp4933 +a(g180 +V\u000a +tp4934 +a(g180 +V +p4935 +tp4936 +a(g6 +Vfor +p4937 +tp4938 +a(g193 +V( +tp4939 +a(g43 +Vi +tp4940 +a(g180 +V +tp4941 +a(g193 +V: +tp4942 +a(g180 +V +tp4943 +a(g309 +V0 +tp4944 +a(g180 +V +tp4945 +a(g193 +V.. +p4946 +tp4947 +a(g180 +V +tp4948 +a(g43 +Viterations +p4949 +tp4950 +a(g193 +V) +tp4951 +a(g180 +V\u000a +tp4952 +a(g180 +V +p4953 +tp4954 +a(g193 +V{ +tp4955 +a(g180 +V\u000a +tp4956 +a(g180 +V +p4957 +tp4958 +a(g43 +Vwritefln +p4959 +tp4960 +a(g193 +V( +tp4961 +a(g217 +V"tryCatch: " +p4962 +tp4963 +a(g193 +V, +tp4964 +a(g180 +V +tp4965 +a(g43 +Vi +tp4966 +a(g193 +V) +tp4967 +a(g193 +V; +tp4968 +a(g180 +V\u000a +tp4969 +a(g180 +V +p4970 +tp4971 +a(g43 +Vthrower +p4972 +tp4973 +a(g193 +V( +tp4974 +a(g43 +Vi +tp4975 +a(g193 +V) +tp4976 +a(g193 +V; +tp4977 +a(g180 +V\u000a +tp4978 +a(g180 +V +p4979 +tp4980 +a(g193 +V} +tp4981 +a(g180 +V\u000a +tp4982 +a(g180 +V +p4983 +tp4984 +a(g193 +V} +tp4985 +a(g180 +V\u000a +tp4986 +a(g180 +V +p4987 +tp4988 +a(g6 +Vcatch +p4989 +tp4990 +a(g193 +V( +tp4991 +a(g43 +Ve +tp4992 +a(g193 +V) +tp4993 +a(g180 +V\u000a +tp4994 +a(g180 +V +p4995 +tp4996 +a(g193 +V{ +tp4997 +a(g180 +V\u000a +tp4998 +a(g180 +V +p4999 +tp5000 +a(g43 +Vwritefln +p5001 +tp5002 +a(g193 +V( +tp5003 +a(g217 +V"tryCatch caught: " +p5004 +tp5005 +a(g193 +V, +tp5006 +a(g180 +V +tp5007 +a(g43 +Ve +tp5008 +a(g193 +V) +tp5009 +a(g193 +V; +tp5010 +a(g180 +V\u000a +tp5011 +a(g180 +V +p5012 +tp5013 +a(g6 +Vthrow +p5014 +tp5015 +a(g180 +V +tp5016 +a(g43 +Ve +tp5017 +a(g193 +V; +tp5018 +a(g180 +V\u000a +tp5019 +a(g180 +V +p5020 +tp5021 +a(g193 +V} +tp5022 +a(g180 +V\u000a +tp5023 +a(g180 +V +p5024 +tp5025 +a(g6 +Vfinally +p5026 +tp5027 +a(g180 +V\u000a +tp5028 +a(g180 +V +p5029 +tp5030 +a(g43 +Vwritefln +p5031 +tp5032 +a(g193 +V( +tp5033 +a(g217 +V"tryCatch finally" +p5034 +tp5035 +a(g193 +V) +tp5036 +a(g193 +V; +tp5037 +a(g180 +V\u000a +tp5038 +a(g180 +V +tp5039 +a(g193 +V} +tp5040 +a(g180 +V\u000a +tp5041 +a(g180 +V \u000a +p5042 +tp5043 +a(g6 +Vtry +p5044 +tp5045 +a(g180 +V\u000a +tp5046 +a(g180 +V +tp5047 +a(g193 +V{ +tp5048 +a(g180 +V\u000a +tp5049 +a(g180 +V +p5050 +tp5051 +a(g43 +VtryCatch +p5052 +tp5053 +a(g193 +V( +tp5054 +a(g309 +V2 +tp5055 +a(g193 +V) +tp5056 +a(g193 +V; +tp5057 +a(g180 +V\u000a +tp5058 +a(g180 +V +p5059 +tp5060 +a(g43 +VtryCatch +p5061 +tp5062 +a(g193 +V( +tp5063 +a(g309 +V5 +tp5064 +a(g193 +V) +tp5065 +a(g193 +V; +tp5066 +a(g180 +V\u000a +tp5067 +a(g180 +V +tp5068 +a(g193 +V} +tp5069 +a(g180 +V\u000a +tp5070 +a(g180 +V +tp5071 +a(g6 +Vcatch +p5072 +tp5073 +a(g193 +V( +tp5074 +a(g43 +Ve +tp5075 +a(g193 +V) +tp5076 +a(g180 +V\u000a +tp5077 +a(g180 +V +p5078 +tp5079 +a(g43 +Vwritefln +p5080 +tp5081 +a(g193 +V( +tp5082 +a(g217 +V"caught: " +p5083 +tp5084 +a(g193 +V, +tp5085 +a(g180 +V +tp5086 +a(g43 +Ve +tp5087 +a(g193 +V) +tp5088 +a(g193 +V; +tp5089 +a(g180 +V\u000a +tp5090 +a(g180 +V \u000a +p5091 +tp5092 +a(g43 +Vwritefln +p5093 +tp5094 +a(g193 +V( +tp5095 +a(g193 +V) +tp5096 +a(g193 +V; +tp5097 +a(g180 +V\u000a +tp5098 +a(g193 +V} +tp5099 +a(g180 +V\u000a +tp5100 +a(g180 +V\u000a +tp5101 +a(g29 +V// Testing arrays.\u000a +p5102 +tp5103 +a(g193 +V{ +tp5104 +a(g180 +V\u000a +tp5105 +a(g180 +V +tp5106 +a(g6 +Vlocal +p5107 +tp5108 +a(g180 +V +tp5109 +a(g43 +Varray +p5110 +tp5111 +a(g180 +V +tp5112 +a(g193 +V= +tp5113 +a(g180 +V +tp5114 +a(g193 +V[ +tp5115 +a(g309 +V7 +tp5116 +a(g193 +V, +tp5117 +a(g180 +V +tp5118 +a(g309 +V9 +tp5119 +a(g193 +V, +tp5120 +a(g180 +V +tp5121 +a(g309 +V2 +tp5122 +a(g193 +V, +tp5123 +a(g180 +V +tp5124 +a(g309 +V3 +tp5125 +a(g193 +V, +tp5126 +a(g180 +V +tp5127 +a(g309 +V6 +tp5128 +a(g193 +V] +tp5129 +a(g193 +V; +tp5130 +a(g180 +V\u000a +tp5131 +a(g180 +V \u000a +p5132 +tp5133 +a(g43 +Varray +p5134 +tp5135 +a(g193 +V. +tp5136 +a(g43 +Vsort +p5137 +tp5138 +a(g193 +V( +tp5139 +a(g193 +V) +tp5140 +a(g193 +V; +tp5141 +a(g180 +V\u000a +tp5142 +a(g180 +V \u000a +p5143 +tp5144 +a(g6 +Vforeach +p5145 +tp5146 +a(g193 +V( +tp5147 +a(g43 +Vi +tp5148 +a(g193 +V, +tp5149 +a(g180 +V +tp5150 +a(g43 +Vv +tp5151 +a(g193 +V; +tp5152 +a(g180 +V +tp5153 +a(g43 +Varray +p5154 +tp5155 +a(g193 +V) +tp5156 +a(g180 +V\u000a +tp5157 +a(g180 +V +p5158 +tp5159 +a(g43 +Vwritefln +p5160 +tp5161 +a(g193 +V( +tp5162 +a(g217 +V"arr[" +p5163 +tp5164 +a(g193 +V, +tp5165 +a(g180 +V +tp5166 +a(g43 +Vi +tp5167 +a(g193 +V, +tp5168 +a(g180 +V +tp5169 +a(g217 +V"] = " +p5170 +tp5171 +a(g193 +V, +tp5172 +a(g180 +V +tp5173 +a(g43 +Vv +tp5174 +a(g193 +V) +tp5175 +a(g193 +V; +tp5176 +a(g180 +V\u000a +tp5177 +a(g180 +V \u000a +p5178 +tp5179 +a(g43 +Varray +p5180 +tp5181 +a(g180 +V +tp5182 +a(g193 +V~= +p5183 +tp5184 +a(g180 +V +tp5185 +a(g193 +V[ +tp5186 +a(g217 +V"foo" +p5187 +tp5188 +a(g193 +V, +tp5189 +a(g180 +V +tp5190 +a(g217 +V"far" +p5191 +tp5192 +a(g193 +V] +tp5193 +a(g193 +V; +tp5194 +a(g180 +V\u000a +tp5195 +a(g180 +V \u000a +p5196 +tp5197 +a(g43 +Vwritefln +p5198 +tp5199 +a(g193 +V( +tp5200 +a(g193 +V) +tp5201 +a(g193 +V; +tp5202 +a(g180 +V\u000a +tp5203 +a(g180 +V \u000a +p5204 +tp5205 +a(g6 +Vforeach +p5206 +tp5207 +a(g193 +V( +tp5208 +a(g43 +Vi +tp5209 +a(g193 +V, +tp5210 +a(g180 +V +tp5211 +a(g43 +Vv +tp5212 +a(g193 +V; +tp5213 +a(g180 +V +tp5214 +a(g43 +Varray +p5215 +tp5216 +a(g193 +V) +tp5217 +a(g180 +V\u000a +tp5218 +a(g180 +V +p5219 +tp5220 +a(g43 +Vwritefln +p5221 +tp5222 +a(g193 +V( +tp5223 +a(g217 +V"arr[" +p5224 +tp5225 +a(g193 +V, +tp5226 +a(g180 +V +tp5227 +a(g43 +Vi +tp5228 +a(g193 +V, +tp5229 +a(g180 +V +tp5230 +a(g217 +V"] = " +p5231 +tp5232 +a(g193 +V, +tp5233 +a(g180 +V +tp5234 +a(g43 +Vv +tp5235 +a(g193 +V) +tp5236 +a(g193 +V; +tp5237 +a(g180 +V\u000a +tp5238 +a(g180 +V \u000a +p5239 +tp5240 +a(g43 +Vwritefln +p5241 +tp5242 +a(g193 +V( +tp5243 +a(g193 +V) +tp5244 +a(g193 +V; +tp5245 +a(g180 +V\u000a +tp5246 +a(g193 +V} +tp5247 +a(g180 +V\u000a +tp5248 +a(g180 +V\u000a +tp5249 +a(g29 +V// Testing vararg functions.\u000a +p5250 +tp5251 +a(g193 +V{ +tp5252 +a(g180 +V\u000a +tp5253 +a(g180 +V +tp5254 +a(g6 +Vfunction +p5255 +tp5256 +a(g180 +V +tp5257 +a(g43 +Vvargs +p5258 +tp5259 +a(g193 +V( +tp5260 +a(g6 +Vvararg +p5261 +tp5262 +a(g193 +V) +tp5263 +a(g180 +V\u000a +tp5264 +a(g180 +V +tp5265 +a(g193 +V{ +tp5266 +a(g180 +V\u000a +tp5267 +a(g180 +V +p5268 +tp5269 +a(g6 +Vlocal +p5270 +tp5271 +a(g180 +V +tp5272 +a(g43 +Vargs +p5273 +tp5274 +a(g180 +V +tp5275 +a(g193 +V= +tp5276 +a(g180 +V +tp5277 +a(g193 +V[ +tp5278 +a(g6 +Vvararg +p5279 +tp5280 +a(g193 +V] +tp5281 +a(g193 +V; +tp5282 +a(g180 +V\u000a +tp5283 +a(g180 +V \u000a +p5284 +tp5285 +a(g43 +Vwritefln +p5286 +tp5287 +a(g193 +V( +tp5288 +a(g217 +V"num varargs: " +p5289 +tp5290 +a(g193 +V, +tp5291 +a(g180 +V +tp5292 +a(g193 +V# +tp5293 +a(g43 +Vargs +p5294 +tp5295 +a(g193 +V) +tp5296 +a(g193 +V; +tp5297 +a(g180 +V\u000a +tp5298 +a(g180 +V \u000a +p5299 +tp5300 +a(g6 +Vforeach +p5301 +tp5302 +a(g193 +V( +tp5303 +a(g43 +Vi +tp5304 +a(g193 +V, +tp5305 +a(g180 +V +tp5306 +a(g43 +Vv +tp5307 +a(g193 +V; +tp5308 +a(g180 +V +tp5309 +a(g43 +Vargs +p5310 +tp5311 +a(g193 +V) +tp5312 +a(g180 +V\u000a +tp5313 +a(g180 +V +p5314 +tp5315 +a(g43 +Vwritefln +p5316 +tp5317 +a(g193 +V( +tp5318 +a(g217 +V"args[" +p5319 +tp5320 +a(g193 +V, +tp5321 +a(g180 +V +tp5322 +a(g43 +Vi +tp5323 +a(g193 +V, +tp5324 +a(g180 +V +tp5325 +a(g217 +V"] = " +p5326 +tp5327 +a(g193 +V, +tp5328 +a(g180 +V +tp5329 +a(g43 +Vv +tp5330 +a(g193 +V) +tp5331 +a(g193 +V; +tp5332 +a(g180 +V\u000a +tp5333 +a(g180 +V +tp5334 +a(g193 +V} +tp5335 +a(g180 +V\u000a +tp5336 +a(g180 +V \u000a +p5337 +tp5338 +a(g43 +Vvargs +p5339 +tp5340 +a(g193 +V( +tp5341 +a(g193 +V) +tp5342 +a(g193 +V; +tp5343 +a(g180 +V\u000a +tp5344 +a(g180 +V \u000a +p5345 +tp5346 +a(g43 +Vwritefln +p5347 +tp5348 +a(g193 +V( +tp5349 +a(g193 +V) +tp5350 +a(g193 +V; +tp5351 +a(g180 +V\u000a +tp5352 +a(g180 +V \u000a +p5353 +tp5354 +a(g43 +Vvargs +p5355 +tp5356 +a(g193 +V( +tp5357 +a(g309 +V2 +tp5358 +a(g193 +V, +tp5359 +a(g180 +V +tp5360 +a(g309 +V3 +tp5361 +a(g193 +V, +tp5362 +a(g180 +V +tp5363 +a(g309 +V5 +tp5364 +a(g193 +V, +tp5365 +a(g180 +V +tp5366 +a(g217 +V"foo" +p5367 +tp5368 +a(g193 +V, +tp5369 +a(g180 +V +tp5370 +a(g217 +V"bar" +p5371 +tp5372 +a(g193 +V) +tp5373 +a(g193 +V; +tp5374 +a(g180 +V\u000a +tp5375 +a(g180 +V \u000a +p5376 +tp5377 +a(g43 +Vwritefln +p5378 +tp5379 +a(g193 +V( +tp5380 +a(g193 +V) +tp5381 +a(g193 +V; +tp5382 +a(g180 +V\u000a +tp5383 +a(g193 +V} +tp5384 +a(g180 +V\u000a +tp5385 +a(g180 +V\u000a +tp5386 +a(g29 +V// Testing switches.\u000a +p5387 +tp5388 +a(g193 +V{ +tp5389 +a(g180 +V\u000a +tp5390 +a(g180 +V +tp5391 +a(g6 +Vforeach +p5392 +tp5393 +a(g193 +V( +tp5394 +a(g43 +Vv +tp5395 +a(g193 +V; +tp5396 +a(g180 +V +tp5397 +a(g193 +V[ +tp5398 +a(g217 +V"hi" +p5399 +tp5400 +a(g193 +V, +tp5401 +a(g180 +V +tp5402 +a(g217 +V"bye" +p5403 +tp5404 +a(g193 +V, +tp5405 +a(g180 +V +tp5406 +a(g217 +V"foo" +p5407 +tp5408 +a(g193 +V] +tp5409 +a(g193 +V) +tp5410 +a(g180 +V\u000a +tp5411 +a(g180 +V +tp5412 +a(g193 +V{ +tp5413 +a(g180 +V\u000a +tp5414 +a(g180 +V +p5415 +tp5416 +a(g6 +Vswitch +p5417 +tp5418 +a(g193 +V( +tp5419 +a(g43 +Vv +tp5420 +a(g193 +V) +tp5421 +a(g180 +V\u000a +tp5422 +a(g180 +V +p5423 +tp5424 +a(g193 +V{ +tp5425 +a(g180 +V\u000a +tp5426 +a(g180 +V +p5427 +tp5428 +a(g6 +Vcase +p5429 +tp5430 +a(g180 +V +tp5431 +a(g217 +V"hi" +p5432 +tp5433 +a(g193 +V: +tp5434 +a(g180 +V\u000a +tp5435 +a(g180 +V +p5436 +tp5437 +a(g43 +Vwritefln +p5438 +tp5439 +a(g193 +V( +tp5440 +a(g217 +V"switched to hi" +p5441 +tp5442 +a(g193 +V) +tp5443 +a(g193 +V; +tp5444 +a(g180 +V\u000a +tp5445 +a(g180 +V +p5446 +tp5447 +a(g6 +Vbreak +p5448 +tp5449 +a(g193 +V; +tp5450 +a(g180 +V\u000a +tp5451 +a(g180 +V \u000a +p5452 +tp5453 +a(g6 +Vcase +p5454 +tp5455 +a(g180 +V +tp5456 +a(g217 +V"bye" +p5457 +tp5458 +a(g193 +V: +tp5459 +a(g180 +V\u000a +tp5460 +a(g180 +V +p5461 +tp5462 +a(g43 +Vwritefln +p5463 +tp5464 +a(g193 +V( +tp5465 +a(g217 +V"switched to bye" +p5466 +tp5467 +a(g193 +V) +tp5468 +a(g193 +V; +tp5469 +a(g180 +V\u000a +tp5470 +a(g180 +V +p5471 +tp5472 +a(g6 +Vbreak +p5473 +tp5474 +a(g193 +V; +tp5475 +a(g180 +V\u000a +tp5476 +a(g180 +V \u000a +p5477 +tp5478 +a(g6 +Vdefault +p5479 +tp5480 +a(g193 +V: +tp5481 +a(g180 +V\u000a +tp5482 +a(g180 +V +p5483 +tp5484 +a(g43 +Vwritefln +p5485 +tp5486 +a(g193 +V( +tp5487 +a(g217 +V"switched to something else" +p5488 +tp5489 +a(g193 +V) +tp5490 +a(g193 +V; +tp5491 +a(g180 +V\u000a +tp5492 +a(g180 +V +p5493 +tp5494 +a(g6 +Vbreak +p5495 +tp5496 +a(g193 +V; +tp5497 +a(g180 +V\u000a +tp5498 +a(g180 +V +p5499 +tp5500 +a(g193 +V} +tp5501 +a(g180 +V\u000a +tp5502 +a(g180 +V +tp5503 +a(g193 +V} +tp5504 +a(g180 +V\u000a +tp5505 +a(g180 +V \u000a +p5506 +tp5507 +a(g43 +Vwritefln +p5508 +tp5509 +a(g193 +V( +tp5510 +a(g193 +V) +tp5511 +a(g193 +V; +tp5512 +a(g180 +V\u000a +tp5513 +a(g180 +V \u000a +p5514 +tp5515 +a(g6 +Vforeach +p5516 +tp5517 +a(g193 +V( +tp5518 +a(g43 +Vv +tp5519 +a(g193 +V; +tp5520 +a(g180 +V +tp5521 +a(g193 +V[ +tp5522 +a(g16 +Vnull +p5523 +tp5524 +a(g193 +V, +tp5525 +a(g180 +V +tp5526 +a(g16 +Vfalse +p5527 +tp5528 +a(g193 +V, +tp5529 +a(g180 +V +tp5530 +a(g309 +V1 +tp5531 +a(g193 +V, +tp5532 +a(g180 +V +tp5533 +a(g301 +V2.3 +p5534 +tp5535 +a(g193 +V, +tp5536 +a(g180 +V +tp5537 +a(g259 +V'x' +p5538 +tp5539 +a(g193 +V, +tp5540 +a(g180 +V +tp5541 +a(g217 +V"hi" +p5542 +tp5543 +a(g193 +V] +tp5544 +a(g193 +V) +tp5545 +a(g180 +V\u000a +tp5546 +a(g180 +V +tp5547 +a(g193 +V{ +tp5548 +a(g180 +V\u000a +tp5549 +a(g180 +V +p5550 +tp5551 +a(g6 +Vswitch +p5552 +tp5553 +a(g193 +V( +tp5554 +a(g43 +Vv +tp5555 +a(g193 +V) +tp5556 +a(g180 +V\u000a +tp5557 +a(g180 +V +p5558 +tp5559 +a(g193 +V{ +tp5560 +a(g180 +V\u000a +tp5561 +a(g180 +V +p5562 +tp5563 +a(g6 +Vcase +p5564 +tp5565 +a(g180 +V +tp5566 +a(g16 +Vnull +p5567 +tp5568 +a(g193 +V: +tp5569 +a(g180 +V +tp5570 +a(g43 +Vwritefln +p5571 +tp5572 +a(g193 +V( +tp5573 +a(g217 +V"null" +p5574 +tp5575 +a(g193 +V) +tp5576 +a(g193 +V; +tp5577 +a(g180 +V +tp5578 +a(g6 +Vbreak +p5579 +tp5580 +a(g193 +V; +tp5581 +a(g180 +V\u000a +tp5582 +a(g180 +V +p5583 +tp5584 +a(g6 +Vcase +p5585 +tp5586 +a(g180 +V +tp5587 +a(g16 +Vfalse +p5588 +tp5589 +a(g193 +V: +tp5590 +a(g180 +V +tp5591 +a(g43 +Vwritefln +p5592 +tp5593 +a(g193 +V( +tp5594 +a(g217 +V"false" +p5595 +tp5596 +a(g193 +V) +tp5597 +a(g193 +V; +tp5598 +a(g180 +V +tp5599 +a(g6 +Vbreak +p5600 +tp5601 +a(g193 +V; +tp5602 +a(g180 +V\u000a +tp5603 +a(g180 +V +p5604 +tp5605 +a(g6 +Vcase +p5606 +tp5607 +a(g180 +V +tp5608 +a(g309 +V1 +tp5609 +a(g193 +V: +tp5610 +a(g180 +V +tp5611 +a(g43 +Vwritefln +p5612 +tp5613 +a(g193 +V( +tp5614 +a(g217 +V"1" +p5615 +tp5616 +a(g193 +V) +tp5617 +a(g193 +V; +tp5618 +a(g180 +V +tp5619 +a(g6 +Vbreak +p5620 +tp5621 +a(g193 +V; +tp5622 +a(g180 +V\u000a +tp5623 +a(g180 +V +p5624 +tp5625 +a(g6 +Vcase +p5626 +tp5627 +a(g180 +V +tp5628 +a(g301 +V2.3 +p5629 +tp5630 +a(g193 +V: +tp5631 +a(g180 +V +tp5632 +a(g43 +Vwritefln +p5633 +tp5634 +a(g193 +V( +tp5635 +a(g217 +V"2.3" +p5636 +tp5637 +a(g193 +V) +tp5638 +a(g193 +V; +tp5639 +a(g180 +V +tp5640 +a(g6 +Vbreak +p5641 +tp5642 +a(g193 +V; +tp5643 +a(g180 +V\u000a +tp5644 +a(g180 +V +p5645 +tp5646 +a(g6 +Vcase +p5647 +tp5648 +a(g180 +V +tp5649 +a(g259 +V'x' +p5650 +tp5651 +a(g193 +V: +tp5652 +a(g180 +V +tp5653 +a(g43 +Vwritefln +p5654 +tp5655 +a(g193 +V( +tp5656 +a(g217 +V"x" +p5657 +tp5658 +a(g193 +V) +tp5659 +a(g193 +V; +tp5660 +a(g180 +V +tp5661 +a(g6 +Vbreak +p5662 +tp5663 +a(g193 +V; +tp5664 +a(g180 +V\u000a +tp5665 +a(g180 +V +p5666 +tp5667 +a(g6 +Vcase +p5668 +tp5669 +a(g180 +V +tp5670 +a(g217 +V"hi" +p5671 +tp5672 +a(g193 +V: +tp5673 +a(g180 +V +tp5674 +a(g43 +Vwritefln +p5675 +tp5676 +a(g193 +V( +tp5677 +a(g217 +V"hi" +p5678 +tp5679 +a(g193 +V) +tp5680 +a(g193 +V; +tp5681 +a(g180 +V +tp5682 +a(g6 +Vbreak +p5683 +tp5684 +a(g193 +V; +tp5685 +a(g180 +V\u000a +tp5686 +a(g180 +V +p5687 +tp5688 +a(g193 +V} +tp5689 +a(g180 +V\u000a +tp5690 +a(g180 +V +tp5691 +a(g193 +V} +tp5692 +a(g180 +V\u000a +tp5693 +a(g180 +V \u000a +p5694 +tp5695 +a(g43 +Vwritefln +p5696 +tp5697 +a(g193 +V( +tp5698 +a(g193 +V) +tp5699 +a(g193 +V; +tp5700 +a(g180 +V\u000a +tp5701 +a(g180 +V \u000a +p5702 +tp5703 +a(g6 +Vclass +p5704 +tp5705 +a(g180 +V +tp5706 +a(g43 +VA +tp5707 +a(g180 +V\u000a +tp5708 +a(g180 +V +tp5709 +a(g193 +V{ +tp5710 +a(g180 +V\u000a +tp5711 +a(g180 +V +p5712 +tp5713 +a(g43 +VmValue +p5714 +tp5715 +a(g193 +V; +tp5716 +a(g180 +V\u000a +tp5717 +a(g180 +V \u000a +p5718 +tp5719 +a(g6 +Vthis +p5720 +tp5721 +a(g193 +V( +tp5722 +a(g43 +Vvalue +p5723 +tp5724 +a(g193 +V) +tp5725 +a(g180 +V\u000a +tp5726 +a(g180 +V +p5727 +tp5728 +a(g193 +V{ +tp5729 +a(g180 +V\u000a +tp5730 +a(g180 +V +p5731 +tp5732 +a(g43 +VmValue +p5733 +tp5734 +a(g180 +V +tp5735 +a(g193 +V= +tp5736 +a(g180 +V +tp5737 +a(g43 +Vvalue +p5738 +tp5739 +a(g193 +V; +tp5740 +a(g180 +V\u000a +tp5741 +a(g180 +V +p5742 +tp5743 +a(g193 +V} +tp5744 +a(g180 +V\u000a +tp5745 +a(g180 +V \u000a +p5746 +tp5747 +a(g6 +Vfunction +p5748 +tp5749 +a(g180 +V +tp5750 +a(g43 +VopCmp +p5751 +tp5752 +a(g193 +V( +tp5753 +a(g43 +Vother +p5754 +tp5755 +a(g193 +V) +tp5756 +a(g180 +V\u000a +tp5757 +a(g180 +V +p5758 +tp5759 +a(g193 +V{ +tp5760 +a(g180 +V\u000a +tp5761 +a(g180 +V +p5762 +tp5763 +a(g6 +Vassert +p5764 +tp5765 +a(g193 +V( +tp5766 +a(g43 +Vother +p5767 +tp5768 +a(g180 +V +tp5769 +a(g6 +Vas +p5770 +tp5771 +a(g180 +V +tp5772 +a(g43 +VA +tp5773 +a(g193 +V) +tp5774 +a(g193 +V; +tp5775 +a(g180 +V\u000a +tp5776 +a(g180 +V +p5777 +tp5778 +a(g6 +Vreturn +p5779 +tp5780 +a(g180 +V +tp5781 +a(g43 +VmValue +p5782 +tp5783 +a(g180 +V +tp5784 +a(g193 +V<=> +p5785 +tp5786 +a(g180 +V +tp5787 +a(g43 +Vother +p5788 +tp5789 +a(g193 +V. +tp5790 +a(g43 +VmValue +p5791 +tp5792 +a(g193 +V; +tp5793 +a(g180 +V\u000a +tp5794 +a(g180 +V +p5795 +tp5796 +a(g193 +V} +tp5797 +a(g180 +V\u000a +tp5798 +a(g180 +V +tp5799 +a(g193 +V} +tp5800 +a(g180 +V\u000a +tp5801 +a(g180 +V \u000a +p5802 +tp5803 +a(g6 +Vlocal +p5804 +tp5805 +a(g180 +V +tp5806 +a(g43 +Va1 +p5807 +tp5808 +a(g180 +V +tp5809 +a(g193 +V= +tp5810 +a(g180 +V +tp5811 +a(g43 +VA +tp5812 +a(g193 +V( +tp5813 +a(g309 +V1 +tp5814 +a(g193 +V) +tp5815 +a(g193 +V; +tp5816 +a(g180 +V\u000a +tp5817 +a(g180 +V +tp5818 +a(g6 +Vlocal +p5819 +tp5820 +a(g180 +V +tp5821 +a(g43 +Va2 +p5822 +tp5823 +a(g180 +V +tp5824 +a(g193 +V= +tp5825 +a(g180 +V +tp5826 +a(g43 +VA +tp5827 +a(g193 +V( +tp5828 +a(g309 +V2 +tp5829 +a(g193 +V) +tp5830 +a(g193 +V; +tp5831 +a(g180 +V\u000a +tp5832 +a(g180 +V +tp5833 +a(g6 +Vlocal +p5834 +tp5835 +a(g180 +V +tp5836 +a(g43 +Va3 +p5837 +tp5838 +a(g180 +V +tp5839 +a(g193 +V= +tp5840 +a(g180 +V +tp5841 +a(g43 +VA +tp5842 +a(g193 +V( +tp5843 +a(g309 +V3 +tp5844 +a(g193 +V) +tp5845 +a(g193 +V; +tp5846 +a(g180 +V\u000a +tp5847 +a(g180 +V \u000a +p5848 +tp5849 +a(g6 +Vfor +p5850 +tp5851 +a(g193 +V( +tp5852 +a(g43 +Vs +tp5853 +a(g180 +V +tp5854 +a(g193 +V: +tp5855 +a(g180 +V +tp5856 +a(g309 +V1 +tp5857 +a(g180 +V +tp5858 +a(g193 +V.. +p5859 +tp5860 +a(g180 +V +tp5861 +a(g309 +V4 +tp5862 +a(g193 +V) +tp5863 +a(g180 +V\u000a +tp5864 +a(g180 +V +tp5865 +a(g193 +V{ +tp5866 +a(g180 +V\u000a +tp5867 +a(g180 +V +p5868 +tp5869 +a(g6 +Vlocal +p5870 +tp5871 +a(g180 +V +tp5872 +a(g43 +Vss +p5873 +tp5874 +a(g180 +V +tp5875 +a(g193 +V= +tp5876 +a(g180 +V +tp5877 +a(g43 +VA +tp5878 +a(g193 +V( +tp5879 +a(g43 +Vs +tp5880 +a(g193 +V) +tp5881 +a(g193 +V; +tp5882 +a(g180 +V\u000a +tp5883 +a(g180 +V \u000a +p5884 +tp5885 +a(g6 +Vswitch +p5886 +tp5887 +a(g193 +V( +tp5888 +a(g43 +Vss +p5889 +tp5890 +a(g193 +V) +tp5891 +a(g180 +V\u000a +tp5892 +a(g180 +V +p5893 +tp5894 +a(g193 +V{ +tp5895 +a(g180 +V\u000a +tp5896 +a(g180 +V +p5897 +tp5898 +a(g6 +Vcase +p5899 +tp5900 +a(g180 +V +tp5901 +a(g43 +Va1 +p5902 +tp5903 +a(g193 +V: +tp5904 +a(g180 +V\u000a +tp5905 +a(g180 +V +p5906 +tp5907 +a(g43 +Vwritefln +p5908 +tp5909 +a(g193 +V( +tp5910 +a(g309 +V1 +tp5911 +a(g193 +V) +tp5912 +a(g193 +V; +tp5913 +a(g180 +V\u000a +tp5914 +a(g180 +V +p5915 +tp5916 +a(g6 +Vbreak +p5917 +tp5918 +a(g193 +V; +tp5919 +a(g180 +V\u000a +tp5920 +a(g180 +V \u000a +p5921 +tp5922 +a(g6 +Vcase +p5923 +tp5924 +a(g180 +V +tp5925 +a(g43 +Va2 +p5926 +tp5927 +a(g193 +V: +tp5928 +a(g180 +V\u000a +tp5929 +a(g180 +V +p5930 +tp5931 +a(g43 +Vwritefln +p5932 +tp5933 +a(g193 +V( +tp5934 +a(g309 +V2 +tp5935 +a(g193 +V) +tp5936 +a(g193 +V; +tp5937 +a(g180 +V\u000a +tp5938 +a(g180 +V +p5939 +tp5940 +a(g6 +Vbreak +p5941 +tp5942 +a(g193 +V; +tp5943 +a(g180 +V\u000a +tp5944 +a(g180 +V \u000a +p5945 +tp5946 +a(g6 +Vcase +p5947 +tp5948 +a(g180 +V +tp5949 +a(g43 +Va3 +p5950 +tp5951 +a(g193 +V: +tp5952 +a(g180 +V\u000a +tp5953 +a(g180 +V +p5954 +tp5955 +a(g43 +Vwritefln +p5956 +tp5957 +a(g193 +V( +tp5958 +a(g309 +V3 +tp5959 +a(g193 +V) +tp5960 +a(g193 +V; +tp5961 +a(g180 +V\u000a +tp5962 +a(g180 +V +p5963 +tp5964 +a(g6 +Vbreak +p5965 +tp5966 +a(g193 +V; +tp5967 +a(g180 +V\u000a +tp5968 +a(g180 +V +p5969 +tp5970 +a(g193 +V} +tp5971 +a(g180 +V\u000a +tp5972 +a(g180 +V +tp5973 +a(g193 +V} +tp5974 +a(g180 +V\u000a +tp5975 +a(g193 +V} +tp5976 +a(g180 +V\u000a +tp5977 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/smarty_example.html b/tests/examplefiles/output/smarty_example.html new file mode 100644 index 0000000..d95fe89 --- /dev/null +++ b/tests/examplefiles/output/smarty_example.html @@ -0,0 +1,3432 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV{php}\u000a include "some/php/file.php";\u000a\u000a foreach ($rows as $row) {\u000a echo $row;\u000a }\u000a{/php}\u000a\u000a{* smarty comment *}\u000a +p367 +tp368 +a(g24 +V +p373 +tp374 +a(g6 +V\u000a {serendipity_hookPlugin hook="entries_header" addData="$entry_id"}\u000a\u000a {foreach from=$entries item="dategroup"}\u000a +p375 +tp376 +a(g56 +V
    +tp384 +a(g6 +V\u000a {if $dategroup.is_sticky}\u000a +p385 +tp386 +a(g56 +V

    +tp394 +a(g6 +V{$CONST.STICKY_POSTINGS} +p395 +tp396 +a(g56 +V

    +p397 +tp398 +a(g6 +V\u000a {else}\u000a +p399 +tp400 +a(g56 +V

    +tp408 +a(g6 +V{$dategroup.date|@formatTime:DATE_FORMAT_BARSINISTER} +p409 +tp410 +a(g56 +V

    +p411 +tp412 +a(g6 +V\u000a {/if}\u000a\u000a {foreach from=$dategroup.entries item="entry"}\u000a +p413 +tp414 +a(g56 +V

    +tp422 +a(g56 +V +tp430 +a(g6 +V{$entry.title} +p431 +tp432 +a(g56 +V +p433 +tp434 +a(g56 +V

    +p435 +tp436 +a(g6 +V\u000a\u000a +p437 +tp438 +a(g56 +V
    +tp446 +a(g6 +V\u000a {if $entry.categories}\u000a +p447 +tp448 +a(g56 +V +tp456 +a(g6 +V\u000a {foreach from=$entry.categories item="category"}\u000a {if $category.category_icon}\u000a +p457 +tp458 +a(g56 +V +tp466 +a(g56 +V +p490 +tp491 +a(g56 +V +p492 +tp493 +a(g6 +V\u000a {/if}\u000a {/foreach}\u000a +p494 +tp495 +a(g56 +V +p496 +tp497 +a(g6 +V\u000a {/if}\u000a\u000a +p498 +tp499 +a(g56 +V
    +tp507 +a(g6 +V\u000a {$entry.body}\u000a +p508 +tp509 +a(g56 +V
    +p510 +tp511 +a(g6 +V\u000a\u000a {if $entry.is_extended}\u000a +p512 +tp513 +a(g56 +V
    +tp521 +a(g56 +V +tp529 +a(g56 +V +p530 +tp531 +a(g6 +V{$entry.extended} +p532 +tp533 +a(g56 +V
    +p534 +tp535 +a(g6 +V\u000a {/if}\u000a\u000a {if $entry.has_extended and not $is_single_entry and not $entry.is_extended} +p536 +tp537 +a(g56 +V
    +p541 +tp542 +a(g6 +V\u000a +p543 +tp544 +a(g56 +V

    +tp552 +a(g56 +V +tp560 +a(g6 +V{$CONST.VIEW_EXTENDED_ENTRY|@sprintf:$entry.title} +p561 +tp562 +a(g56 +V +p563 +tp564 +a(g56 +V

    +p565 +tp566 +a(g56 +V
    +p570 +tp571 +a(g6 +V\u000a {/if}\u000a\u000a +p572 +tp573 +a(g56 +V
    +tp581 +a(g6 +V\u000a {$CONST.POSTED_BY} +p582 +tp583 +a(g56 +V +tp591 +a(g6 +V{$entry.author} +p592 +tp593 +a(g56 +V +p594 +tp595 +a(g6 +V\u000a {if $entry.categories}\u000a {$CONST.IN} {foreach from=$entry.categories item="category" name="categories"} +p596 +tp597 +a(g56 +V +tp605 +a(g6 +V{$category.category_name|@escape} +p606 +tp607 +a(g56 +V +p608 +tp609 +a(g6 +V{if not $smarty.foreach.categories.last}, {/if}{/foreach}\u000a {/if}\u000a\u000a {if $entry.has_comments}\u000a {if $use_popups}\u000a | +p610 +tp611 +a(g56 +V +tp624 +a(g6 +V{$entry.label_comments} ({$entry.comments}) +p625 +tp626 +a(g56 +V +p627 +tp628 +a(g6 +V\u000a {else}\u000a | +p629 +tp630 +a(g56 +V +tp638 +a(g6 +V{$entry.label_comments} ({$entry.comments}) +p639 +tp640 +a(g56 +V +p641 +tp642 +a(g6 +V\u000a {/if}\u000a {/if}\u000a\u000a {if $entry.has_trackbacks}\u000a {if $use_popups}\u000a | +p643 +tp644 +a(g56 +V +tp657 +a(g6 +V{$entry.label_trackbacks} ({$entry.trackbacks}) +p658 +tp659 +a(g56 +V +p660 +tp661 +a(g6 +V\u000a {else}\u000a | +p662 +tp663 +a(g56 +V +tp671 +a(g6 +V{$entry.label_trackbacks} ({$entry.trackbacks}) +p672 +tp673 +a(g56 +V +p674 +tp675 +a(g6 +V\u000a {/if}\u000a {/if}\u000a\u000a {if $entry.is_entry_owner and not $is_preview}\u000a | +p676 +tp677 +a(g56 +V +tp685 +a(g6 +V{$CONST.EDIT_ENTRY} +p686 +tp687 +a(g56 +V +p688 +tp689 +a(g6 +V\u000a {/if}\u000a\u000a {$entry.add_footer}\u000a +p690 +tp691 +a(g56 +V
    +p692 +tp693 +a(g6 +V\u000a +p694 +tp695 +a(g56 +V
    +p696 +tp697 +a(g6 +V\u000a +p698 +tp699 +a(g24 +V +p713 +tp714 +a(g6 +V\u000a {$entry.plugin_display_dat}\u000a\u000a {if $is_single_entry and not $use_popups and not $is_preview}\u000a {if $CONST.DATA_UNSUBSCRIBED}\u000a +p715 +tp716 +a(g56 +V
    +p720 +tp721 +a(g56 +V
    +tp729 +a(g6 +V{$CONST.DATA_UNSUBSCRIBED|@sprintf:$CONST.UNSUBSCRIBE_OK} +p730 +tp731 +a(g56 +V
    +p732 +tp733 +a(g56 +V
    +p737 +tp738 +a(g6 +V\u000a {/if}\u000a\u000a {if $CONST.DATA_TRACKBACK_DELETED}\u000a +p739 +tp740 +a(g56 +V
    +p744 +tp745 +a(g56 +V
    +tp753 +a(g6 +V{$CONST.DATA_TRACKBACK_DELETED|@sprintf:$CONST.TRACKBACK_DELETED} +p754 +tp755 +a(g56 +V
    +p756 +tp757 +a(g56 +V
    +p761 +tp762 +a(g6 +V\u000a {/if}\u000a\u000a {if $CONST.DATA_TRACKBACK_APPROVED}\u000a +p763 +tp764 +a(g56 +V
    +p768 +tp769 +a(g56 +V
    +tp777 +a(g6 +V{$CONST.DATA_TRACKBACK_APPROVED|@sprintf:$CONST.TRACKBACK_APPROVED} +p778 +tp779 +a(g56 +V
    +p780 +tp781 +a(g56 +V
    +p785 +tp786 +a(g6 +V\u000a {/if}\u000a\u000a {if $CONST.DATA_COMMENT_DELETED}\u000a +p787 +tp788 +a(g56 +V
    +p792 +tp793 +a(g56 +V
    +tp801 +a(g6 +V{$CONST.DATA_COMMENT_DELETED|@sprintf:$CONST.COMMENT_DELETED} +p802 +tp803 +a(g56 +V
    +p804 +tp805 +a(g56 +V
    +p809 +tp810 +a(g6 +V\u000a {/if}\u000a\u000a {if $CONST.DATA_COMMENT_APPROVED}\u000a +p811 +tp812 +a(g56 +V
    +p816 +tp817 +a(g56 +V
    +tp825 +a(g6 +V{$CONST.DATA_COMMENT_APPROVED|@sprintf:$CONST.COMMENT_APPROVED} +p826 +tp827 +a(g56 +V
    +p828 +tp829 +a(g56 +V
    +p833 +tp834 +a(g6 +V\u000a {/if}\u000a\u000a {/if}\u000a\u000a {if $is_single_entry and not $is_preview}\u000a +p835 +tp836 +a(g56 +V
    +tp844 +a(g6 +V\u000a +p845 +tp846 +a(g56 +V
    +p850 +tp851 +a(g6 +V\u000a +p852 +tp853 +a(g56 +V +tp861 +a(g56 +V +p862 +tp863 +a(g6 +V\u000a +p864 +tp865 +a(g56 +V
    +tp873 +a(g6 +V{$CONST.TRACKBACKS} +p874 +tp875 +a(g56 +V
    +p876 +tp877 +a(g6 +V\u000a +p878 +tp879 +a(g56 +V
    +tp887 +a(g6 +V\u000a +p888 +tp889 +a(g56 +V +tp917 +a(g6 +V{$CONST.TRACKBACK_SPECIFIC} +p918 +tp919 +a(g56 +V +p920 +tp921 +a(g6 +V\u000a +p922 +tp923 +a(g56 +V
    +p924 +tp925 +a(g6 +V\u000a +p926 +tp927 +a(g56 +V
    +p931 +tp932 +a(g6 +V\u000a {serendipity_printTrackbacks entry=$entry.id}\u000a +p933 +tp934 +a(g56 +V
    +p935 +tp936 +a(g6 +V\u000a +p937 +tp938 +a(g56 +V
    +tp946 +a(g6 +V\u000a +p947 +tp948 +a(g56 +V
    +p952 +tp953 +a(g6 +V\u000a +p954 +tp955 +a(g56 +V +tp963 +a(g56 +V +p964 +tp965 +a(g6 +V\u000a +p966 +tp967 +a(g56 +V
    +tp975 +a(g6 +V{$CONST.COMMENTS} +p976 +tp977 +a(g56 +V
    +p978 +tp979 +a(g6 +V\u000a +p980 +tp981 +a(g56 +V
    +tp989 +a(g6 +V{$CONST.DISPLAY_COMMENTS_AS}\u000a {if $entry.viewmode eq $CONST.VIEWMODE_LINEAR}\u000a ({$CONST.COMMENTS_VIEWMODE_LINEAR} | +p990 +tp991 +a(g56 +V +tp999 +a(g6 +V{$CONST.COMMENTS_VIEWMODE_THREADED} +p1000 +tp1001 +a(g56 +V +p1002 +tp1003 +a(g6 +V)\u000a {else}\u000a ( +p1004 +tp1005 +a(g56 +V +tp1013 +a(g6 +V{$CONST.COMMENTS_VIEWMODE_LINEAR} +p1014 +tp1015 +a(g56 +V +p1016 +tp1017 +a(g6 +V | {$CONST.COMMENTS_VIEWMODE_THREADED})\u000a {/if}\u000a +p1018 +tp1019 +a(g56 +V
    +p1020 +tp1021 +a(g6 +V\u000a +p1022 +tp1023 +a(g56 +V
    +p1027 +tp1028 +a(g6 +V\u000a {serendipity_printComments entry=$entry.id mode=$entry.viewmode}\u000a\u000a {if $entry.is_entry_owner}\u000a {if $entry.allow_comments}\u000a +p1029 +tp1030 +a(g56 +V
    +tp1038 +a(g6 +V( +tp1039 +a(g56 +V +tp1047 +a(g6 +V{$CONST.COMMENTS_DISABLE} +p1048 +tp1049 +a(g56 +V +p1050 +tp1051 +a(g6 +V) +tp1052 +a(g56 +V
    +p1053 +tp1054 +a(g6 +V\u000a {else}\u000a +p1055 +tp1056 +a(g56 +V
    +tp1064 +a(g6 +V( +tp1065 +a(g56 +V +tp1073 +a(g6 +V{$CONST.COMMENTS_ENABLE} +p1074 +tp1075 +a(g56 +V +p1076 +tp1077 +a(g6 +V) +tp1078 +a(g56 +V
    +p1079 +tp1080 +a(g6 +V\u000a {/if}\u000a {/if}\u000a +p1081 +tp1082 +a(g56 +V +tp1090 +a(g56 +V +p1091 +tp1092 +a(g6 +V\u000a\u000a {foreach from=$comments_messagestack item="message"}\u000a +p1093 +tp1094 +a(g56 +V
    +tp1102 +a(g6 +V{$message} +p1103 +tp1104 +a(g56 +V
    +p1105 +tp1106 +a(g6 +V\u000a {/foreach}\u000a\u000a {if $is_comment_added}\u000a\u000a +p1107 +tp1108 +a(g56 +V
    +p1112 +tp1113 +a(g6 +V\u000a +p1114 +tp1115 +a(g56 +V
    +tp1123 +a(g6 +V{$CONST.COMMENT_ADDED} +p1124 +tp1125 +a(g56 +V
    +p1126 +tp1127 +a(g6 +V\u000a\u000a {elseif $is_comment_moderate}\u000a\u000a +p1128 +tp1129 +a(g56 +V
    +p1133 +tp1134 +a(g6 +V\u000a +p1135 +tp1136 +a(g56 +V
    +tp1144 +a(g6 +V{$CONST.COMMENT_ADDED} +p1145 +tp1146 +a(g56 +V
    +p1150 +tp1151 +a(g6 +V{$CONST.THIS_COMMENT_NEEDS_REVIEW} +p1152 +tp1153 +a(g56 +V
    +p1154 +tp1155 +a(g6 +V\u000a\u000a {elseif not $entry.allow_comments}\u000a\u000a +p1156 +tp1157 +a(g56 +V
    +p1161 +tp1162 +a(g6 +V\u000a +p1163 +tp1164 +a(g56 +V
    +tp1172 +a(g6 +V{$CONST.COMMENTS_CLOSED} +p1173 +tp1174 +a(g56 +V
    +p1175 +tp1176 +a(g6 +V\u000a\u000a {else}\u000a\u000a +p1177 +tp1178 +a(g56 +V
    +p1182 +tp1183 +a(g6 +V\u000a +p1184 +tp1185 +a(g56 +V
    +tp1193 +a(g6 +V{$CONST.ADD_COMMENT} +p1194 +tp1195 +a(g56 +V
    +p1196 +tp1197 +a(g6 +V\u000a {$COMMENTFORM}\u000a\u000a {/if}\u000a +p1198 +tp1199 +a(g56 +V
    +p1200 +tp1201 +a(g6 +V\u000a {/if}\u000a\u000a {$entry.backend_preview}\u000a {/foreach}\u000a +p1202 +tp1203 +a(g56 +V
    +p1204 +tp1205 +a(g6 +V\u000a {foreachelse}\u000a {if not $plugin_clean_page}\u000a {$CONST.NO_ENTRIES_TO_PRINT}\u000a {/if}\u000a {/foreach}\u000a\u000a{if $footer_info}\u000a +p1206 +tp1207 +a(g56 +V
    +tp1215 +a(g6 +V\u000a {if $footer_info}\u000a {if $footer_prev_page}\u000a +p1216 +tp1217 +a(g56 +V +tp1225 +a(g56 +V +tp1233 +a(g80 +V« +p1234 +tp1235 +a(g6 +V {$CONST.PREVIOUS_PAGE} +p1236 +tp1237 +a(g56 +V +p1238 +tp1239 +a(g56 +V +p1240 +tp1241 +a(g6 +V\u000a {else}\u000a +p1242 +tp1243 +a(g56 +V +tp1251 +a(g80 +V  +p1252 +tp1253 +a(g56 +V +p1254 +tp1255 +a(g6 +V\u000a {/if}\u000a {else}\u000a {/if}\u000a\u000a {if $footer_info}\u000a +p1256 +tp1257 +a(g56 +V +tp1265 +a(g6 +V({$footer_info}) +p1266 +tp1267 +a(g56 +V +p1268 +tp1269 +a(g6 +V\u000a {/if}\u000a\u000a {if $footer_info}\u000a {if $footer_next_page}\u000a +p1270 +tp1271 +a(g56 +V +tp1279 +a(g56 +V +tp1287 +a(g6 +V{$CONST.NEXT_PAGE} +p1288 +tp1289 +a(g80 +V» +p1290 +tp1291 +a(g56 +V +p1292 +tp1293 +a(g56 +V +p1294 +tp1295 +a(g6 +V\u000a {else}\u000a +p1296 +tp1297 +a(g56 +V +tp1305 +a(g80 +V  +p1306 +tp1307 +a(g56 +V +p1308 +tp1309 +a(g6 +V\u000a {/if}\u000a {else}\u000a {/if}\u000a +p1310 +tp1311 +a(g56 +V
    +p1312 +tp1313 +a(g6 +V{/if}\u000a {serendipity_hookPlugin hook="entries_footer"}\u000a +p1314 +tp1315 +a(g24 +V +p1320 +tp1321 +a(g6 +V\u000a +tp1322 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/source.lgt b/tests/examplefiles/output/source.lgt new file mode 100644 index 0000000..f944d2e --- /dev/null +++ b/tests/examplefiles/output/source.lgt @@ -0,0 +1,9968 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +S'Pervasive' +p109 +g2 +(g3 +g4 +(g106 +g109 +ttRp110 +(dp111 +g17 +g18 +((ltRp112 +sg8 +g107 +sbsg28 +g2 +(g3 +g4 +(g106 +g28 +ttRp113 +(dp114 +g17 +g18 +((ltRp115 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp116 +(dp117 +g17 +g18 +((ltRp118 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g106 +g126 +ttRp127 +(dp128 +g17 +g18 +((ltRp129 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp130 +(dp131 +g17 +g18 +((ltRp132 +sg8 +g107 +sbsg17 +g18 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g106 +S'Type' +p134 +ttRp135 +(dp136 +g17 +g18 +((ltRp137 +sg8 +g107 +sbag110 +ag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g140 +sbsg8 +g9 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g17 +g18 +((ltRp169 +sg8 +g140 +sbsg17 +g18 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g17 +g18 +((ltRp182 +sg8 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g17 +g18 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g17 +g18 +((ltRp195 +sg8 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg8 +g9 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g17 +g18 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g17 +g18 +((ltRp204 +sg8 +g198 +sbatRp205 +sg201 +g202 +sg8 +g9 +sbsS'Token' +p206 +g9 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g17 +g18 +((ltRp218 +sg8 +g209 +sbsg8 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g222 +sbsg8 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g17 +g18 +((ltRp243 +sg8 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g222 +sbsS'Single' +p267 +g2 +(g3 +g4 +(g208 +g221 +g267 +ttRp268 +(dp269 +g17 +g18 +((ltRp270 +sg8 +g222 +sbsg60 +g2 +(g3 +g4 +(g208 +g221 +g60 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g222 +sbsS'Doc' +p274 +g2 +(g3 +g4 +(g208 +g221 +g274 +ttRp275 +(dp276 +g17 +g18 +((ltRp277 +sg8 +g222 +sbsg17 +g18 +((lp278 +g271 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p279 +ttRp280 +(dp281 +g17 +g18 +((ltRp282 +sg8 +g222 +sbag241 +ag264 +ag256 +ag275 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag268 +ag252 +ag248 +atRp283 +sg279 +g280 +sbsg8 +g9 +sg207 +g209 +sS'Scalar' +p284 +g2 +(g3 +g4 +(g208 +g284 +ttRp285 +(dp286 +g17 +g18 +((lp287 +g2 +(g3 +g4 +(g208 +g284 +S'Plain' +p288 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g285 +sbatRp292 +sg8 +g219 +sg288 +g289 +sbsg60 +g2 +(g3 +g4 +(g208 +g60 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g219 +sbsS'Date' +p296 +g2 +(g3 +g4 +(g208 +g296 +ttRp297 +(dp298 +g17 +g18 +((ltRp299 +sg8 +g219 +sbsg17 +g18 +((lp300 +g297 +ag222 +ag293 +ag209 +ag285 +atRp301 +sbsS'Decimal' +p302 +g2 +(g3 +g4 +(g208 +g207 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g209 +sbsS'Float' +p306 +g2 +(g3 +g4 +(g208 +g207 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g209 +sbsS'Hex' +p310 +g2 +(g3 +g4 +(g208 +g207 +g310 +ttRp311 +(dp312 +g17 +g18 +((ltRp313 +sg8 +g209 +sbsS'Integer' +p314 +g2 +(g3 +g4 +(g208 +g207 +g314 +ttRp315 +(dp316 +g17 +g18 +((lp317 +g2 +(g3 +g4 +(g208 +g207 +g314 +S'Long' +p318 +ttRp319 +(dp320 +g17 +g18 +((ltRp321 +sg8 +g315 +sbatRp322 +sg318 +g319 +sg8 +g209 +sbsS'Octal' +p323 +g2 +(g3 +g4 +(g208 +g207 +g323 +ttRp324 +(dp325 +g17 +g18 +((ltRp326 +sg8 +g209 +sbsg17 +g18 +((lp327 +g212 +ag216 +ag324 +ag303 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p328 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g209 +sbag315 +ag307 +ag311 +atRp332 +sg328 +g329 +sbsg208 +g219 +sg60 +g2 +(g3 +g4 +(g60 +ttRp333 +(dp334 +g17 +g18 +((ltRp335 +sg8 +g9 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp336 +(dp337 +g17 +g18 +((ltRp338 +sg8 +g9 +sbsS'Operator' +p339 +g2 +(g3 +g4 +(g339 +ttRp340 +(dp341 +g17 +g18 +((lp342 +g2 +(g3 +g4 +(g339 +S'Word' +p343 +ttRp344 +(dp345 +g17 +g18 +((ltRp346 +sg8 +g340 +sbatRp347 +sg343 +g344 +sg8 +g9 +sbsg17 +g18 +((lp348 +g6 +ag336 +ag140 +ag185 +ag12 +ag198 +ag107 +ag219 +ag340 +ag333 +atRp349 +sg221 +g222 +sbsS'Preproc' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g17 +g18 +((ltRp353 +sg8 +g6 +sbsg267 +g2 +(g3 +g4 +(g5 +g267 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g17 +g18 +((ltRp360 +sg8 +g6 +sbsg17 +g18 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g17 +g18 +((ltRp365 +sg8 +g6 +sbag351 +ag354 +ag358 +atRp366 +sg362 +g363 +sbV% this is a single-line comment\u000a +p367 +tp368 +a(g185 +V\u000a +tp369 +a(g6 +V/*\u000athis is\u000aa block\u000acomment\u000a*/ +p370 +tp371 +a(g185 +V\u000a +tp372 +a(g198 +V\u000a\u000a:- +p373 +tp374 +a(g107 +Vencoding +p375 +tp376 +a(g185 +V( +tp377 +a(g185 +Vsome_encoding +p378 +tp379 +a(g185 +V) +tp380 +a(g185 +V. +tp381 +a(g185 +V\u000a +tp382 +a(g198 +V:- +p383 +tp384 +a(g107 +Vop +p385 +tp386 +a(g185 +V( +tp387 +a(g69 +VPrecedence +p388 +tp389 +a(g185 +V, +tp390 +a(g185 +V +tp391 +a(g69 +VAssociativity +p392 +tp393 +a(g185 +V, +tp394 +a(g185 +V +tp395 +a(g69 +VOperator +p396 +tp397 +a(g185 +V) +tp398 +a(g185 +V. +tp399 +a(g185 +V\u000a +tp400 +a(g198 +V\u000a\u000a:- +p401 +tp402 +a(g107 +Vobject +p403 +tp404 +a(g185 +V( +tp405 +a(g185 +Vprototype +p406 +tp407 +a(g185 +V, +tp408 +a(g185 +V\u000a +tp409 +a(g185 +V +tp410 +a(g107 +Vimplements +p411 +tp412 +a(g185 +V( +tp413 +a(g185 +Vprotocol +p414 +tp415 +a(g185 +V) +tp416 +a(g185 +V, +tp417 +a(g185 +V\u000a +tp418 +a(g185 +V +tp419 +a(g107 +Vimports +p420 +tp421 +a(g185 +V( +tp422 +a(g185 +Vcategory +p423 +tp424 +a(g185 +V) +tp425 +a(g185 +V, +tp426 +a(g185 +V\u000a +tp427 +a(g185 +V +tp428 +a(g107 +Vextends +p429 +tp430 +a(g185 +V( +tp431 +a(g185 +Vparent +p432 +tp433 +a(g185 +V) +tp434 +a(g185 +V). +p435 +tp436 +a(g185 +V\u000a +tp437 +a(g198 +V\u000a :- +p438 +tp439 +a(g107 +Vinfo +p440 +tp441 +a(g185 +V( +tp442 +a(g185 +V[ +tp443 +a(g185 +V\u000a +tp444 +a(g185 +V +p445 +tp446 +a(g185 +Vversion +p447 +tp448 +a(g185 +V +tp449 +a(g107 +Vis +p450 +tp451 +a(g185 +V +tp452 +a(g209 +V1.0 +p453 +tp454 +a(g185 +V, +tp455 +a(g185 +V\u000a +tp456 +a(g185 +V +p457 +tp458 +a(g185 +Vauthor +p459 +tp460 +a(g185 +V +tp461 +a(g107 +Vis +p462 +tp463 +a(g185 +V +tp464 +a(g222 +V' +tp465 +a(g222 +VPaulo Moura +p466 +tp467 +a(g222 +V' +tp468 +a(g185 +V, +tp469 +a(g185 +V\u000a +tp470 +a(g185 +V +p471 +tp472 +a(g185 +Vdate +p473 +tp474 +a(g185 +V +tp475 +a(g107 +Vis +p476 +tp477 +a(g185 +V +tp478 +a(g209 +V2008 +p479 +tp480 +a(g340 +V/ +tp481 +a(g209 +V5 +tp482 +a(g340 +V/ +tp483 +a(g209 +V1 +tp484 +a(g185 +V, +tp485 +a(g185 +V\u000a +tp486 +a(g185 +V +p487 +tp488 +a(g185 +Vcomment +p489 +tp490 +a(g185 +V +tp491 +a(g107 +Vis +p492 +tp493 +a(g185 +V +tp494 +a(g222 +V' +tp495 +a(g222 +VSample prototype for testing syntax coloring. +p496 +tp497 +a(g222 +V' +tp498 +a(g185 +V] +tp499 +a(g185 +V) +tp500 +a(g185 +V. +tp501 +a(g185 +V\u000a +tp502 +a(g198 +V :- +p503 +tp504 +a(g107 +Vthreaded. +p505 +tp506 +a(g185 +V\u000a +tp507 +a(g198 +V :- +p508 +tp509 +a(g107 +Vsynchronized. +p510 +tp511 +a(g185 +V\u000a +tp512 +a(g198 +V :- +p513 +tp514 +a(g107 +Vdynamic. +p515 +tp516 +a(g185 +V\u000a +tp517 +a(g198 +V :- +p518 +tp519 +a(g107 +Vinitialization +p520 +tp521 +a(g185 +V( +tp522 +a(g185 +Vsome_goal +p523 +tp524 +a(g185 +V( +tp525 +a(g69 +VX +tp526 +a(g185 +V, +tp527 +a(g185 +V +tp528 +a(g69 +VY +tp529 +a(g185 +V) +tp530 +a(g185 +V) +tp531 +a(g185 +V. +tp532 +a(g185 +V\u000a +tp533 +a(g198 +V :- +p534 +tp535 +a(g107 +Vcalls +p536 +tp537 +a(g185 +V( +tp538 +a(g185 +Vsome_other_protocol +p539 +tp540 +a(g185 +V) +tp541 +a(g185 +V. +tp542 +a(g185 +V\u000a +tp543 +a(g198 +V :- +p544 +tp545 +a(g107 +Vuses +p546 +tp547 +a(g185 +V( +tp548 +a(g185 +Vanother_object +p549 +tp550 +a(g185 +V) +tp551 +a(g185 +V. +tp552 +a(g185 +V\u000a +tp553 +a(g198 +V\u000a :- +p554 +tp555 +a(g107 +Valias +p556 +tp557 +a(g185 +V( +tp558 +a(g185 +Vset +p559 +tp560 +a(g185 +V, +tp561 +a(g185 +V +tp562 +a(g185 +Vmember +p563 +tp564 +a(g340 +V/ +tp565 +a(g209 +V2 +tp566 +a(g185 +V, +tp567 +a(g185 +V +tp568 +a(g185 +Vset_member +p569 +tp570 +a(g340 +V/ +tp571 +a(g209 +V2 +tp572 +a(g185 +V) +tp573 +a(g185 +V. +tp574 +a(g185 +V\u000a +tp575 +a(g198 +V :- +p576 +tp577 +a(g107 +Valias +p578 +tp579 +a(g185 +V( +tp580 +a(g185 +Vwords +p581 +tp582 +a(g185 +V, +tp583 +a(g185 +V +tp584 +a(g185 +Vsingular +p585 +tp586 +a(g340 +V// +p587 +tp588 +a(g209 +V0 +tp589 +a(g185 +V, +tp590 +a(g185 +V +tp591 +a(g185 +Vpeculiar +p592 +tp593 +a(g340 +V// +p594 +tp595 +a(g209 +V0 +tp596 +a(g185 +V) +tp597 +a(g185 +V. +tp598 +a(g185 +V\u000a +tp599 +a(g198 +V\u000a :- +p600 +tp601 +a(g107 +Vuses +p602 +tp603 +a(g185 +V( +tp604 +a(g185 +Vlist +p605 +tp606 +a(g185 +V, +tp607 +a(g185 +V +tp608 +a(g185 +V[ +tp609 +a(g185 +Vappend +p610 +tp611 +a(g340 +V/ +tp612 +a(g209 +V3 +tp613 +a(g185 +V, +tp614 +a(g185 +V +tp615 +a(g185 +Vmember +p616 +tp617 +a(g340 +V/ +tp618 +a(g209 +V2 +tp619 +a(g185 +V] +tp620 +a(g185 +V) +tp621 +a(g185 +V. +tp622 +a(g185 +V\u000a +tp623 +a(g198 +V :- +p624 +tp625 +a(g107 +Vuses +p626 +tp627 +a(g185 +V( +tp628 +a(g185 +Vqueues +p629 +tp630 +a(g185 +V, +tp631 +a(g185 +V +tp632 +a(g185 +V[ +tp633 +a(g185 +Vnew +p634 +tp635 +a(g340 +V/ +tp636 +a(g209 +V1 +tp637 +a(g340 +V:: +p638 +tp639 +a(g185 +Vnew_queue +p640 +tp641 +a(g340 +V/ +tp642 +a(g209 +V1 +tp643 +a(g185 +V] +tp644 +a(g185 +V) +tp645 +a(g185 +V. +tp646 +a(g185 +V\u000a +tp647 +a(g198 +V\u000a :- +p648 +tp649 +a(g107 +Vpublic +p650 +tp651 +a(g185 +V( +tp652 +a(g185 +Vaaa +p653 +tp654 +a(g340 +V/ +tp655 +a(g209 +V2 +tp656 +a(g185 +V) +tp657 +a(g185 +V. +tp658 +a(g185 +V\u000a +tp659 +a(g198 +V :- +p660 +tp661 +a(g107 +Vmeta_predicate +p662 +tp663 +a(g185 +V( +tp664 +a(g185 +Vaaa +p665 +tp666 +a(g185 +V( +tp667 +a(g340 +V:: +p668 +tp669 +a(g185 +V, +tp670 +a(g185 +V +tp671 +a(g340 +V* +tp672 +a(g185 +V) +tp673 +a(g185 +V) +tp674 +a(g185 +V. +tp675 +a(g185 +V\u000a +tp676 +a(g198 +V :- +p677 +tp678 +a(g107 +Vdiscontiguous +p679 +tp680 +a(g185 +V( +tp681 +a(g185 +Vaaa +p682 +tp683 +a(g340 +V/ +tp684 +a(g209 +V2 +tp685 +a(g185 +V) +tp686 +a(g185 +V. +tp687 +a(g185 +V\u000a +tp688 +a(g198 +V :- +p689 +tp690 +a(g107 +Vmode +p691 +tp692 +a(g185 +V( +tp693 +a(g185 +Vaaa +p694 +tp695 +a(g185 +V( +tp696 +a(g340 +V+ +tp697 +a(g185 +Vcallable +p698 +tp699 +a(g185 +V, +tp700 +a(g185 +V +tp701 +a(g340 +V? +tp702 +a(g185 +Vinteger +p703 +tp704 +a(g185 +V) +tp705 +a(g185 +V, +tp706 +a(g185 +V +tp707 +a(g185 +Vzero_or_one +p708 +tp709 +a(g185 +V) +tp710 +a(g185 +V. +tp711 +a(g185 +V\u000a +tp712 +a(g198 +V :- +p713 +tp714 +a(g107 +Vinfo +p715 +tp716 +a(g185 +V( +tp717 +a(g185 +Vposition +p718 +tp719 +a(g340 +V/ +tp720 +a(g209 +V2 +tp721 +a(g185 +V, +tp722 +a(g185 +V +tp723 +a(g185 +V[ +tp724 +a(g185 +V\u000a +tp725 +a(g185 +V +p726 +tp727 +a(g185 +Vcomment +p728 +tp729 +a(g185 +V +tp730 +a(g107 +Vis +p731 +tp732 +a(g185 +V +tp733 +a(g222 +V' +tp734 +a(g222 +VPredicate brief description. +p735 +tp736 +a(g222 +V' +tp737 +a(g185 +V, +tp738 +a(g185 +V\u000a +tp739 +a(g185 +V +p740 +tp741 +a(g185 +Varguments +p742 +tp743 +a(g185 +V +tp744 +a(g107 +Vis +p745 +tp746 +a(g185 +V +tp747 +a(g185 +V[ +tp748 +a(g222 +V' +tp749 +a(g222 +VArg1 +p750 +tp751 +a(g222 +V' +tp752 +a(g340 +V- +tp753 +a(g222 +V' +tp754 +a(g222 +VArg1 description +p755 +tp756 +a(g222 +V' +tp757 +a(g185 +V, +tp758 +a(g185 +V +tp759 +a(g222 +V' +tp760 +a(g222 +VArg2 +p761 +tp762 +a(g222 +V' +tp763 +a(g340 +V- +tp764 +a(g222 +V' +tp765 +a(g222 +VArg2 description +p766 +tp767 +a(g222 +V' +tp768 +a(g185 +V] +tp769 +a(g185 +V] +tp770 +a(g185 +V) +tp771 +a(g185 +V. +tp772 +a(g185 +V\u000a +tp773 +a(g198 +V\u000a :- +p774 +tp775 +a(g107 +Vprotected +p776 +tp777 +a(g185 +V( +tp778 +a(g185 +Vbbb +p779 +tp780 +a(g340 +V/ +tp781 +a(g209 +V2 +tp782 +a(g185 +V) +tp783 +a(g185 +V. +tp784 +a(g185 +V\u000a +tp785 +a(g198 +V :- +p786 +tp787 +a(g107 +Vsynchronized +p788 +tp789 +a(g185 +V( +tp790 +a(g185 +Vbbb +p791 +tp792 +a(g340 +V/ +tp793 +a(g209 +V2 +tp794 +a(g185 +V) +tp795 +a(g185 +V. +tp796 +a(g185 +V\u000a +tp797 +a(g198 +V :- +p798 +tp799 +a(g107 +Vmode +p800 +tp801 +a(g185 +V( +tp802 +a(g185 +Vbbb +p803 +tp804 +a(g185 +V( +tp805 +a(g340 +V+ +tp806 +a(g185 +Vinteger +p807 +tp808 +a(g185 +V, +tp809 +a(g185 +V +tp810 +a(g340 +V- +tp811 +a(g185 +Vfloat +p812 +tp813 +a(g185 +V) +tp814 +a(g185 +V, +tp815 +a(g185 +V +tp816 +a(g185 +Vone +p817 +tp818 +a(g185 +V) +tp819 +a(g185 +V. +tp820 +a(g185 +V\u000a +tp821 +a(g198 +V :- +p822 +tp823 +a(g107 +Vinfo +p824 +tp825 +a(g185 +V( +tp826 +a(g185 +Vbbb +p827 +tp828 +a(g340 +V/ +tp829 +a(g209 +V2 +tp830 +a(g185 +V, +tp831 +a(g185 +V +tp832 +a(g185 +V[ +tp833 +a(g185 +V\u000a +tp834 +a(g185 +V +p835 +tp836 +a(g185 +Vcomment +p837 +tp838 +a(g185 +V +tp839 +a(g107 +Vis +p840 +tp841 +a(g185 +V +tp842 +a(g222 +V' +tp843 +a(g222 +VPredicate brief description. +p844 +tp845 +a(g222 +V' +tp846 +a(g185 +V, +tp847 +a(g185 +V\u000a +tp848 +a(g185 +V +p849 +tp850 +a(g185 +Vargnames +p851 +tp852 +a(g185 +V +tp853 +a(g107 +Vis +p854 +tp855 +a(g185 +V +tp856 +a(g185 +V[ +tp857 +a(g222 +V' +tp858 +a(g222 +VArg1 +p859 +tp860 +a(g222 +V' +tp861 +a(g185 +V, +tp862 +a(g185 +V +tp863 +a(g222 +V' +tp864 +a(g222 +VArg2 +p865 +tp866 +a(g222 +V' +tp867 +a(g185 +V] +tp868 +a(g185 +V] +tp869 +a(g185 +V) +tp870 +a(g185 +V. +tp871 +a(g185 +V\u000a +tp872 +a(g198 +V\u000a :- +p873 +tp874 +a(g107 +Vprivate +p875 +tp876 +a(g185 +V( +tp877 +a(g185 +Vccc +p878 +tp879 +a(g340 +V/ +tp880 +a(g209 +V2 +tp881 +a(g185 +V) +tp882 +a(g185 +V. +tp883 +a(g185 +V\u000a +tp884 +a(g198 +V :- +p885 +tp886 +a(g107 +Vdynamic +p887 +tp888 +a(g185 +V( +tp889 +a(g185 +Vccc +p890 +tp891 +a(g340 +V/ +tp892 +a(g209 +V2 +tp893 +a(g185 +V) +tp894 +a(g185 +V. +tp895 +a(g185 +V\u000a +tp896 +a(g198 +V :- +p897 +tp898 +a(g107 +Vmode +p899 +tp900 +a(g185 +V( +tp901 +a(g185 +Vccc +p902 +tp903 +a(g185 +V( +tp904 +a(g340 +V@ +tp905 +a(g185 +Vatom +p906 +tp907 +a(g185 +V, +tp908 +a(g185 +V +tp909 +a(g340 +V? +tp910 +a(g185 +Vatom +p911 +tp912 +a(g185 +V) +tp913 +a(g185 +V, +tp914 +a(g185 +V +tp915 +a(g185 +Vone_or_more +p916 +tp917 +a(g185 +V) +tp918 +a(g185 +V. +tp919 +a(g185 +V\u000a +tp920 +a(g198 +V :- +p921 +tp922 +a(g107 +Vinfo +p923 +tp924 +a(g185 +V( +tp925 +a(g185 +Vccc +p926 +tp927 +a(g340 +V/ +tp928 +a(g209 +V2 +tp929 +a(g185 +V, +tp930 +a(g185 +V +tp931 +a(g185 +V[ +tp932 +a(g185 +V\u000a +tp933 +a(g185 +V +p934 +tp935 +a(g185 +Vcomment +p936 +tp937 +a(g185 +V +tp938 +a(g107 +Vis +p939 +tp940 +a(g185 +V +tp941 +a(g222 +V' +tp942 +a(g222 +VPredicate brief description. +p943 +tp944 +a(g222 +V' +tp945 +a(g185 +V, +tp946 +a(g185 +V\u000a +tp947 +a(g185 +V +p948 +tp949 +a(g185 +Vargnames +p950 +tp951 +a(g185 +V +tp952 +a(g107 +Vis +p953 +tp954 +a(g185 +V +tp955 +a(g185 +V[ +tp956 +a(g222 +V' +tp957 +a(g222 +VArg1 +p958 +tp959 +a(g222 +V' +tp960 +a(g185 +V, +tp961 +a(g185 +V +tp962 +a(g222 +V' +tp963 +a(g222 +VArg2 +p964 +tp965 +a(g222 +V' +tp966 +a(g185 +V] +tp967 +a(g185 +V] +tp968 +a(g185 +V) +tp969 +a(g185 +V. +tp970 +a(g185 +V\u000a +tp971 +a(g185 +V\u000a +tp972 +a(g185 +V +tp973 +a(g185 +Venumerating_entities +p974 +tp975 +a(g185 +V( +tp976 +a(g69 +VObject +p977 +tp978 +a(g185 +V, +tp979 +a(g185 +V +tp980 +a(g69 +VProtocol +p981 +tp982 +a(g185 +V, +tp983 +a(g185 +V +tp984 +a(g69 +VCategory +p985 +tp986 +a(g185 +V) +tp987 +a(g185 +V +tp988 +a(g340 +V: +tp989 +a(g340 +V- +tp990 +a(g185 +V\u000a +tp991 +a(g185 +V +p992 +tp993 +a(g107 +Vcurrent_category +p994 +tp995 +a(g185 +V( +tp996 +a(g69 +VCategory +p997 +tp998 +a(g185 +V) +tp999 +a(g185 +V, +tp1000 +a(g185 +V\u000a +tp1001 +a(g185 +V +p1002 +tp1003 +a(g107 +Vcurrent_object +p1004 +tp1005 +a(g185 +V( +tp1006 +a(g69 +VObject +p1007 +tp1008 +a(g185 +V) +tp1009 +a(g185 +V, +tp1010 +a(g185 +V\u000a +tp1011 +a(g185 +V +p1012 +tp1013 +a(g107 +Vcurrent_protocol +p1014 +tp1015 +a(g185 +V( +tp1016 +a(g69 +VProtocol +p1017 +tp1018 +a(g185 +V) +tp1019 +a(g185 +V. +tp1020 +a(g185 +V\u000a +tp1021 +a(g185 +V\u000a +tp1022 +a(g185 +V +tp1023 +a(g185 +Venumerating_properties +p1024 +tp1025 +a(g185 +V +tp1026 +a(g340 +V: +tp1027 +a(g340 +V- +tp1028 +a(g185 +V\u000a +tp1029 +a(g185 +V +p1030 +tp1031 +a(g107 +Vcategory_property +p1032 +tp1033 +a(g185 +V( +tp1034 +a(g69 +VCategory +p1035 +tp1036 +a(g185 +V, +tp1037 +a(g185 +V +tp1038 +a(g69 +VProperty +p1039 +tp1040 +a(g185 +V) +tp1041 +a(g185 +V, +tp1042 +a(g185 +V\u000a +tp1043 +a(g185 +V +p1044 +tp1045 +a(g107 +Vobject_property +p1046 +tp1047 +a(g185 +V( +tp1048 +a(g69 +VObject +p1049 +tp1050 +a(g185 +V, +tp1051 +a(g185 +V +tp1052 +a(g69 +VProperty +p1053 +tp1054 +a(g185 +V) +tp1055 +a(g185 +V, +tp1056 +a(g185 +V\u000a +tp1057 +a(g185 +V +p1058 +tp1059 +a(g107 +Vprotocol_property +p1060 +tp1061 +a(g185 +V( +tp1062 +a(g69 +VProtocol +p1063 +tp1064 +a(g185 +V, +tp1065 +a(g185 +V +tp1066 +a(g69 +VProperty +p1067 +tp1068 +a(g185 +V) +tp1069 +a(g185 +V. +tp1070 +a(g185 +V\u000a +tp1071 +a(g185 +V\u000a +tp1072 +a(g185 +V +tp1073 +a(g185 +Vcreating_entities +p1074 +tp1075 +a(g185 +V( +tp1076 +a(g69 +VObject +p1077 +tp1078 +a(g185 +V, +tp1079 +a(g185 +V +tp1080 +a(g69 +VProtocol +p1081 +tp1082 +a(g185 +V, +tp1083 +a(g185 +V +tp1084 +a(g69 +VCategory +p1085 +tp1086 +a(g185 +V) +tp1087 +a(g185 +V +tp1088 +a(g340 +V: +tp1089 +a(g340 +V- +tp1090 +a(g185 +V\u000a +tp1091 +a(g185 +V +p1092 +tp1093 +a(g107 +Vcreate_category +p1094 +tp1095 +a(g185 +V( +tp1096 +a(g69 +VCategory +p1097 +tp1098 +a(g185 +V, +tp1099 +a(g185 +V +tp1100 +a(g69 +VRelations +p1101 +tp1102 +a(g185 +V, +tp1103 +a(g185 +V +tp1104 +a(g69 +VDirectives +p1105 +tp1106 +a(g185 +V, +tp1107 +a(g185 +V +tp1108 +a(g69 +VClauses +p1109 +tp1110 +a(g185 +V) +tp1111 +a(g185 +V, +tp1112 +a(g185 +V\u000a +tp1113 +a(g185 +V +p1114 +tp1115 +a(g107 +Vcreate_object +p1116 +tp1117 +a(g185 +V( +tp1118 +a(g69 +VObject +p1119 +tp1120 +a(g185 +V, +tp1121 +a(g185 +V +tp1122 +a(g69 +VRelations +p1123 +tp1124 +a(g185 +V, +tp1125 +a(g185 +V +tp1126 +a(g69 +VDirectives +p1127 +tp1128 +a(g185 +V, +tp1129 +a(g185 +V +tp1130 +a(g69 +VClauses +p1131 +tp1132 +a(g185 +V) +tp1133 +a(g185 +V, +tp1134 +a(g185 +V\u000a +tp1135 +a(g185 +V +p1136 +tp1137 +a(g107 +Vcreate_protocol +p1138 +tp1139 +a(g185 +V( +tp1140 +a(g69 +VProtocol +p1141 +tp1142 +a(g185 +V, +tp1143 +a(g185 +V +tp1144 +a(g69 +VRelations +p1145 +tp1146 +a(g185 +V, +tp1147 +a(g185 +V +tp1148 +a(g69 +VDirectives +p1149 +tp1150 +a(g185 +V) +tp1151 +a(g185 +V. +tp1152 +a(g185 +V\u000a +tp1153 +a(g185 +V\u000a +tp1154 +a(g185 +V +tp1155 +a(g185 +Vabolishing_entities +p1156 +tp1157 +a(g185 +V( +tp1158 +a(g69 +VObject +p1159 +tp1160 +a(g185 +V, +tp1161 +a(g185 +V +tp1162 +a(g69 +VProtocol +p1163 +tp1164 +a(g185 +V, +tp1165 +a(g185 +V +tp1166 +a(g69 +VCategory +p1167 +tp1168 +a(g185 +V) +tp1169 +a(g185 +V +tp1170 +a(g340 +V: +tp1171 +a(g340 +V- +tp1172 +a(g185 +V\u000a +tp1173 +a(g185 +V +p1174 +tp1175 +a(g107 +Vabolish_category +p1176 +tp1177 +a(g185 +V( +tp1178 +a(g69 +VCategory +p1179 +tp1180 +a(g185 +V) +tp1181 +a(g185 +V, +tp1182 +a(g185 +V\u000a +tp1183 +a(g185 +V +p1184 +tp1185 +a(g107 +Vabolish_object +p1186 +tp1187 +a(g185 +V( +tp1188 +a(g69 +VObject +p1189 +tp1190 +a(g185 +V) +tp1191 +a(g185 +V, +tp1192 +a(g185 +V\u000a +tp1193 +a(g185 +V +p1194 +tp1195 +a(g107 +Vabolish_protocol +p1196 +tp1197 +a(g185 +V( +tp1198 +a(g69 +VProtocol +p1199 +tp1200 +a(g185 +V) +tp1201 +a(g185 +V. +tp1202 +a(g185 +V\u000a +tp1203 +a(g185 +V\u000a +tp1204 +a(g185 +V +tp1205 +a(g185 +Ventity_relations +p1206 +tp1207 +a(g185 +V +tp1208 +a(g340 +V: +tp1209 +a(g340 +V- +tp1210 +a(g185 +V\u000a +tp1211 +a(g185 +V +p1212 +tp1213 +a(g107 +Vextends_object +p1214 +tp1215 +a(g185 +V( +tp1216 +a(g69 +VPrototype +p1217 +tp1218 +a(g185 +V, +tp1219 +a(g185 +V +tp1220 +a(g69 +VParent +p1221 +tp1222 +a(g185 +V, +tp1223 +a(g185 +V +tp1224 +a(g69 +VScope +p1225 +tp1226 +a(g185 +V) +tp1227 +a(g185 +V, +tp1228 +a(g185 +V\u000a +tp1229 +a(g185 +V +p1230 +tp1231 +a(g107 +Vextends_protocol +p1232 +tp1233 +a(g185 +V( +tp1234 +a(g69 +VProtocol1 +p1235 +tp1236 +a(g185 +V, +tp1237 +a(g185 +V +tp1238 +a(g69 +VProtocol2 +p1239 +tp1240 +a(g185 +V, +tp1241 +a(g185 +V +tp1242 +a(g69 +VScope +p1243 +tp1244 +a(g185 +V) +tp1245 +a(g185 +V, +tp1246 +a(g185 +V\u000a +tp1247 +a(g185 +V +p1248 +tp1249 +a(g107 +Vextends_category +p1250 +tp1251 +a(g185 +V( +tp1252 +a(g69 +VCategory1 +p1253 +tp1254 +a(g185 +V, +tp1255 +a(g185 +V +tp1256 +a(g69 +VCategory2 +p1257 +tp1258 +a(g185 +V, +tp1259 +a(g185 +V +tp1260 +a(g69 +VScope +p1261 +tp1262 +a(g185 +V) +tp1263 +a(g185 +V, +tp1264 +a(g185 +V\u000a +tp1265 +a(g185 +V +p1266 +tp1267 +a(g107 +Vimplements_protocol +p1268 +tp1269 +a(g185 +V( +tp1270 +a(g69 +VObject +p1271 +tp1272 +a(g185 +V, +tp1273 +a(g185 +V +tp1274 +a(g69 +VProtocol +p1275 +tp1276 +a(g185 +V, +tp1277 +a(g185 +V +tp1278 +a(g69 +VScope +p1279 +tp1280 +a(g185 +V) +tp1281 +a(g185 +V, +tp1282 +a(g185 +V\u000a +tp1283 +a(g185 +V +p1284 +tp1285 +a(g107 +Vimports_category +p1286 +tp1287 +a(g185 +V( +tp1288 +a(g69 +VObject +p1289 +tp1290 +a(g185 +V, +tp1291 +a(g185 +V +tp1292 +a(g69 +VCategory +p1293 +tp1294 +a(g185 +V, +tp1295 +a(g185 +V +tp1296 +a(g69 +VScope +p1297 +tp1298 +a(g185 +V) +tp1299 +a(g185 +V, +tp1300 +a(g185 +V\u000a +tp1301 +a(g185 +V +p1302 +tp1303 +a(g107 +Vinstantiates_class +p1304 +tp1305 +a(g185 +V( +tp1306 +a(g69 +VInstance +p1307 +tp1308 +a(g185 +V, +tp1309 +a(g185 +V +tp1310 +a(g69 +VClass +p1311 +tp1312 +a(g185 +V, +tp1313 +a(g185 +V +tp1314 +a(g69 +VScope +p1315 +tp1316 +a(g185 +V) +tp1317 +a(g185 +V, +tp1318 +a(g185 +V\u000a +tp1319 +a(g185 +V +p1320 +tp1321 +a(g107 +Vspecializes_class +p1322 +tp1323 +a(g185 +V( +tp1324 +a(g69 +VClass +p1325 +tp1326 +a(g185 +V, +tp1327 +a(g185 +V +tp1328 +a(g69 +VSuperclass +p1329 +tp1330 +a(g185 +V, +tp1331 +a(g185 +V +tp1332 +a(g69 +VScope +p1333 +tp1334 +a(g185 +V) +tp1335 +a(g185 +V, +tp1336 +a(g185 +V\u000a +tp1337 +a(g185 +V +p1338 +tp1339 +a(g107 +Vcomplements_object +p1340 +tp1341 +a(g185 +V( +tp1342 +a(g69 +VCategory +p1343 +tp1344 +a(g185 +V, +tp1345 +a(g185 +V +tp1346 +a(g69 +VObject +p1347 +tp1348 +a(g185 +V) +tp1349 +a(g185 +V. +tp1350 +a(g185 +V\u000a +tp1351 +a(g185 +V\u000a +tp1352 +a(g185 +V +tp1353 +a(g185 +Vevent_handling +p1354 +tp1355 +a(g185 +V +tp1356 +a(g340 +V: +tp1357 +a(g340 +V- +tp1358 +a(g185 +V\u000a +tp1359 +a(g185 +V +p1360 +tp1361 +a(g107 +Vabolish_events +p1362 +tp1363 +a(g185 +V( +tp1364 +a(g69 +VEvent +p1365 +tp1366 +a(g185 +V, +tp1367 +a(g185 +V +tp1368 +a(g69 +VObject +p1369 +tp1370 +a(g185 +V, +tp1371 +a(g185 +V +tp1372 +a(g69 +VMessage +p1373 +tp1374 +a(g185 +V, +tp1375 +a(g185 +V +tp1376 +a(g69 +VSender +p1377 +tp1378 +a(g185 +V, +tp1379 +a(g185 +V +tp1380 +a(g69 +VMonitor +p1381 +tp1382 +a(g185 +V) +tp1383 +a(g185 +V, +tp1384 +a(g185 +V\u000a +tp1385 +a(g185 +V +p1386 +tp1387 +a(g107 +Vcurrent_event +p1388 +tp1389 +a(g185 +V( +tp1390 +a(g69 +VEvent +p1391 +tp1392 +a(g185 +V, +tp1393 +a(g185 +V +tp1394 +a(g69 +VObject +p1395 +tp1396 +a(g185 +V, +tp1397 +a(g185 +V +tp1398 +a(g69 +VMessage +p1399 +tp1400 +a(g185 +V, +tp1401 +a(g185 +V +tp1402 +a(g69 +VSender +p1403 +tp1404 +a(g185 +V, +tp1405 +a(g185 +V +tp1406 +a(g69 +VMonitor +p1407 +tp1408 +a(g185 +V) +tp1409 +a(g185 +V, +tp1410 +a(g185 +V\u000a +tp1411 +a(g185 +V +p1412 +tp1413 +a(g107 +Vdefine_events +p1414 +tp1415 +a(g185 +V( +tp1416 +a(g69 +VEvent +p1417 +tp1418 +a(g185 +V, +tp1419 +a(g185 +V +tp1420 +a(g69 +VObject +p1421 +tp1422 +a(g185 +V, +tp1423 +a(g185 +V +tp1424 +a(g69 +VMessage +p1425 +tp1426 +a(g185 +V, +tp1427 +a(g185 +V +tp1428 +a(g69 +VSender +p1429 +tp1430 +a(g185 +V, +tp1431 +a(g185 +V +tp1432 +a(g69 +VMonitor +p1433 +tp1434 +a(g185 +V) +tp1435 +a(g185 +V. +tp1436 +a(g185 +V\u000a +tp1437 +a(g185 +V\u000a +tp1438 +a(g185 +V +tp1439 +a(g185 +Vmulti_threading +p1440 +tp1441 +a(g185 +V +tp1442 +a(g340 +V: +tp1443 +a(g340 +V- +tp1444 +a(g185 +V\u000a +tp1445 +a(g185 +V +p1446 +tp1447 +a(g107 +Vthreaded +p1448 +tp1449 +a(g185 +V( +tp1450 +a(g69 +VGoals +p1451 +tp1452 +a(g185 +V) +tp1453 +a(g185 +V, +tp1454 +a(g185 +V\u000a +tp1455 +a(g185 +V +p1456 +tp1457 +a(g107 +Vthreaded_call +p1458 +tp1459 +a(g185 +V( +tp1460 +a(g69 +VGoal +p1461 +tp1462 +a(g185 +V) +tp1463 +a(g185 +V, +tp1464 +a(g185 +V\u000a +tp1465 +a(g185 +V +p1466 +tp1467 +a(g107 +Vthreaded_once +p1468 +tp1469 +a(g185 +V( +tp1470 +a(g69 +VGoal +p1471 +tp1472 +a(g185 +V) +tp1473 +a(g185 +V, +tp1474 +a(g185 +V\u000a +tp1475 +a(g185 +V +p1476 +tp1477 +a(g107 +Vthreaded_ignore +p1478 +tp1479 +a(g185 +V( +tp1480 +a(g69 +VGoal +p1481 +tp1482 +a(g185 +V) +tp1483 +a(g185 +V, +tp1484 +a(g185 +V\u000a +tp1485 +a(g185 +V +p1486 +tp1487 +a(g107 +Vthreaded_exit +p1488 +tp1489 +a(g185 +V( +tp1490 +a(g69 +VGoal +p1491 +tp1492 +a(g185 +V) +tp1493 +a(g185 +V, +tp1494 +a(g185 +V\u000a +tp1495 +a(g185 +V +p1496 +tp1497 +a(g107 +Vthreaded_peek +p1498 +tp1499 +a(g185 +V( +tp1500 +a(g69 +VGoal +p1501 +tp1502 +a(g185 +V) +tp1503 +a(g185 +V, +tp1504 +a(g185 +V\u000a +tp1505 +a(g185 +V +p1506 +tp1507 +a(g107 +Vthreaded_wait +p1508 +tp1509 +a(g185 +V( +tp1510 +a(g69 +VGoal +p1511 +tp1512 +a(g185 +V) +tp1513 +a(g185 +V, +tp1514 +a(g185 +V\u000a +tp1515 +a(g185 +V +p1516 +tp1517 +a(g107 +Vthreaded_notify +p1518 +tp1519 +a(g185 +V( +tp1520 +a(g69 +VNotification +p1521 +tp1522 +a(g185 +V) +tp1523 +a(g185 +V. +tp1524 +a(g185 +V\u000a +tp1525 +a(g185 +V\u000a +tp1526 +a(g185 +V +tp1527 +a(g185 +Vcompiling_and_loading +p1528 +tp1529 +a(g185 +V +tp1530 +a(g340 +V: +tp1531 +a(g340 +V- +tp1532 +a(g185 +V\u000a +tp1533 +a(g185 +V +p1534 +tp1535 +a(g107 +Vlogtalk_compile +p1536 +tp1537 +a(g185 +V( +tp1538 +a(g69 +VFile +p1539 +tp1540 +a(g185 +V, +tp1541 +a(g185 +V +tp1542 +a(g69 +VOptions +p1543 +tp1544 +a(g185 +V) +tp1545 +a(g185 +V, +tp1546 +a(g185 +V\u000a +tp1547 +a(g185 +V +p1548 +tp1549 +a(g107 +Vlogtalk_load +p1550 +tp1551 +a(g185 +V( +tp1552 +a(g69 +VFile +p1553 +tp1554 +a(g185 +V, +tp1555 +a(g185 +V +tp1556 +a(g69 +VOptions +p1557 +tp1558 +a(g185 +V) +tp1559 +a(g185 +V, +tp1560 +a(g185 +V\u000a +tp1561 +a(g185 +V +p1562 +tp1563 +a(g107 +Vlogtalk_library_path +p1564 +tp1565 +a(g185 +V( +tp1566 +a(g69 +VLibrary +p1567 +tp1568 +a(g185 +V, +tp1569 +a(g185 +V +tp1570 +a(g69 +VPath +p1571 +tp1572 +a(g185 +V) +tp1573 +a(g185 +V. +tp1574 +a(g185 +V\u000a +tp1575 +a(g185 +V\u000a +tp1576 +a(g185 +V +tp1577 +a(g185 +Vflags +p1578 +tp1579 +a(g185 +V +tp1580 +a(g340 +V: +tp1581 +a(g340 +V- +tp1582 +a(g185 +V\u000a +tp1583 +a(g185 +V +p1584 +tp1585 +a(g107 +Vcurrent_logtalk_flag +p1586 +tp1587 +a(g185 +V( +tp1588 +a(g69 +VFlag +p1589 +tp1590 +a(g185 +V, +tp1591 +a(g185 +V +tp1592 +a(g69 +VValue +p1593 +tp1594 +a(g185 +V) +tp1595 +a(g185 +V, +tp1596 +a(g185 +V\u000a +tp1597 +a(g185 +V +p1598 +tp1599 +a(g107 +Vset_logtalk_flag +p1600 +tp1601 +a(g185 +V( +tp1602 +a(g69 +VFlag +p1603 +tp1604 +a(g185 +V, +tp1605 +a(g185 +V +tp1606 +a(g69 +VValue +p1607 +tp1608 +a(g185 +V) +tp1609 +a(g185 +V. +tp1610 +a(g185 +V\u000a +tp1611 +a(g185 +V\u000a +tp1612 +a(g185 +V +tp1613 +a(g185 +Vexecution_context_methods +p1614 +tp1615 +a(g185 +V +tp1616 +a(g340 +V: +tp1617 +a(g340 +V- +tp1618 +a(g185 +V\u000a +tp1619 +a(g185 +V +p1620 +tp1621 +a(g107 +Vparameter +p1622 +tp1623 +a(g185 +V( +tp1624 +a(g69 +VN +tp1625 +a(g185 +V, +tp1626 +a(g185 +V +tp1627 +a(g69 +VParameter +p1628 +tp1629 +a(g185 +V) +tp1630 +a(g185 +V, +tp1631 +a(g185 +V\u000a +tp1632 +a(g185 +V +p1633 +tp1634 +a(g107 +Vself +p1635 +tp1636 +a(g185 +V( +tp1637 +a(g69 +VSelf +p1638 +tp1639 +a(g185 +V) +tp1640 +a(g185 +V, +tp1641 +a(g185 +V\u000a +tp1642 +a(g185 +V +p1643 +tp1644 +a(g107 +Vsender +p1645 +tp1646 +a(g185 +V( +tp1647 +a(g69 +VSender +p1648 +tp1649 +a(g185 +V) +tp1650 +a(g185 +V, +tp1651 +a(g185 +V\u000a +tp1652 +a(g185 +V +p1653 +tp1654 +a(g107 +Vthis +p1655 +tp1656 +a(g185 +V( +tp1657 +a(g69 +VThis +p1658 +tp1659 +a(g185 +V) +tp1660 +a(g185 +V. +tp1661 +a(g185 +V\u000a +tp1662 +a(g185 +V\u000a +tp1663 +a(g185 +V +tp1664 +a(g185 +Vreflection_methods +p1665 +tp1666 +a(g185 +V +tp1667 +a(g340 +V: +tp1668 +a(g340 +V- +tp1669 +a(g185 +V\u000a +tp1670 +a(g185 +V +p1671 +tp1672 +a(g107 +Vcurrent_predicate +p1673 +tp1674 +a(g185 +V( +tp1675 +a(g69 +VPredicate +p1676 +tp1677 +a(g185 +V) +tp1678 +a(g185 +V, +tp1679 +a(g185 +V\u000a +tp1680 +a(g185 +V +p1681 +tp1682 +a(g107 +Vpredicate_property +p1683 +tp1684 +a(g185 +V( +tp1685 +a(g69 +VPredicate +p1686 +tp1687 +a(g185 +V, +tp1688 +a(g185 +V +tp1689 +a(g69 +VProperty +p1690 +tp1691 +a(g185 +V) +tp1692 +a(g185 +V. +tp1693 +a(g185 +V\u000a +tp1694 +a(g185 +V\u000a +tp1695 +a(g185 +V +tp1696 +a(g185 +Vdatabase_methods +p1697 +tp1698 +a(g185 +V +tp1699 +a(g340 +V: +tp1700 +a(g340 +V- +tp1701 +a(g185 +V\u000a +tp1702 +a(g185 +V +p1703 +tp1704 +a(g107 +Vabolish +p1705 +tp1706 +a(g185 +V( +tp1707 +a(g69 +VFunctor +p1708 +tp1709 +a(g340 +V/ +tp1710 +a(g69 +VArity +p1711 +tp1712 +a(g185 +V) +tp1713 +a(g185 +V, +tp1714 +a(g185 +V\u000a +tp1715 +a(g185 +V +p1716 +tp1717 +a(g107 +Vasserta +p1718 +tp1719 +a(g185 +V( +tp1720 +a(g69 +VClause +p1721 +tp1722 +a(g185 +V) +tp1723 +a(g185 +V, +tp1724 +a(g185 +V\u000a +tp1725 +a(g185 +V +p1726 +tp1727 +a(g107 +Vassertz +p1728 +tp1729 +a(g185 +V( +tp1730 +a(g69 +VClause +p1731 +tp1732 +a(g185 +V) +tp1733 +a(g185 +V, +tp1734 +a(g185 +V\u000a +tp1735 +a(g185 +V +p1736 +tp1737 +a(g107 +Vclause +p1738 +tp1739 +a(g185 +V( +tp1740 +a(g69 +VHead +p1741 +tp1742 +a(g185 +V, +tp1743 +a(g185 +V +tp1744 +a(g69 +VBody +p1745 +tp1746 +a(g185 +V) +tp1747 +a(g185 +V, +tp1748 +a(g185 +V\u000a +tp1749 +a(g185 +V +p1750 +tp1751 +a(g107 +Vretract +p1752 +tp1753 +a(g185 +V( +tp1754 +a(g69 +VClause +p1755 +tp1756 +a(g185 +V) +tp1757 +a(g185 +V, +tp1758 +a(g185 +V\u000a +tp1759 +a(g185 +V +p1760 +tp1761 +a(g107 +Vretractall +p1762 +tp1763 +a(g185 +V( +tp1764 +a(g69 +VHead +p1765 +tp1766 +a(g185 +V) +tp1767 +a(g185 +V. +tp1768 +a(g185 +V\u000a +tp1769 +a(g185 +V\u000a +tp1770 +a(g185 +V +tp1771 +a(g185 +Vmeta_call_methods +p1772 +tp1773 +a(g185 +V +tp1774 +a(g340 +V: +tp1775 +a(g340 +V- +tp1776 +a(g185 +V\u000a +tp1777 +a(g185 +V +p1778 +tp1779 +a(g107 +Vcall +p1780 +tp1781 +a(g185 +V( +tp1782 +a(g69 +VGoal +p1783 +tp1784 +a(g185 +V) +tp1785 +a(g185 +V. +tp1786 +a(g185 +V\u000a +tp1787 +a(g185 +V\u000a +tp1788 +a(g185 +V +tp1789 +a(g185 +Vall_solutions_methods +p1790 +tp1791 +a(g185 +V +tp1792 +a(g340 +V: +tp1793 +a(g340 +V- +tp1794 +a(g185 +V\u000a +tp1795 +a(g185 +V +p1796 +tp1797 +a(g107 +Vbagof +p1798 +tp1799 +a(g185 +V( +tp1800 +a(g69 +VTerm +p1801 +tp1802 +a(g185 +V, +tp1803 +a(g185 +V +tp1804 +a(g69 +VGoal +p1805 +tp1806 +a(g185 +V, +tp1807 +a(g185 +V +tp1808 +a(g69 +VList +p1809 +tp1810 +a(g185 +V) +tp1811 +a(g185 +V, +tp1812 +a(g185 +V\u000a +tp1813 +a(g185 +V +p1814 +tp1815 +a(g107 +Vfindall +p1816 +tp1817 +a(g185 +V( +tp1818 +a(g69 +VTerm +p1819 +tp1820 +a(g185 +V, +tp1821 +a(g185 +V +tp1822 +a(g69 +VGoal +p1823 +tp1824 +a(g185 +V, +tp1825 +a(g185 +V +tp1826 +a(g69 +VList +p1827 +tp1828 +a(g185 +V) +tp1829 +a(g185 +V, +tp1830 +a(g185 +V\u000a +tp1831 +a(g185 +V +p1832 +tp1833 +a(g107 +Vforall +p1834 +tp1835 +a(g185 +V( +tp1836 +a(g69 +VGenerate +p1837 +tp1838 +a(g185 +V, +tp1839 +a(g185 +V +tp1840 +a(g69 +VTest +p1841 +tp1842 +a(g185 +V) +tp1843 +a(g185 +V, +tp1844 +a(g185 +V\u000a +tp1845 +a(g185 +V +p1846 +tp1847 +a(g107 +Vsetof +p1848 +tp1849 +a(g185 +V( +tp1850 +a(g69 +VTerm +p1851 +tp1852 +a(g185 +V, +tp1853 +a(g185 +V +tp1854 +a(g69 +VGoal +p1855 +tp1856 +a(g185 +V, +tp1857 +a(g185 +V +tp1858 +a(g69 +VList +p1859 +tp1860 +a(g185 +V) +tp1861 +a(g185 +V. +tp1862 +a(g185 +V\u000a +tp1863 +a(g185 +V\u000a +tp1864 +a(g185 +V +tp1865 +a(g185 +Vevent_handler_methods +p1866 +tp1867 +a(g185 +V +tp1868 +a(g340 +V: +tp1869 +a(g340 +V- +tp1870 +a(g185 +V\u000a +tp1871 +a(g185 +V +p1872 +tp1873 +a(g107 +Vbefore +p1874 +tp1875 +a(g185 +V( +tp1876 +a(g69 +VObject +p1877 +tp1878 +a(g185 +V, +tp1879 +a(g185 +V +tp1880 +a(g69 +VMessage +p1881 +tp1882 +a(g185 +V, +tp1883 +a(g185 +V +tp1884 +a(g69 +VSender +p1885 +tp1886 +a(g185 +V) +tp1887 +a(g185 +V, +tp1888 +a(g185 +V\u000a +tp1889 +a(g185 +V +p1890 +tp1891 +a(g107 +Vafter +p1892 +tp1893 +a(g185 +V( +tp1894 +a(g69 +VObject +p1895 +tp1896 +a(g185 +V, +tp1897 +a(g185 +V +tp1898 +a(g69 +VMessage +p1899 +tp1900 +a(g185 +V, +tp1901 +a(g185 +V +tp1902 +a(g69 +VSender +p1903 +tp1904 +a(g185 +V) +tp1905 +a(g185 +V. +tp1906 +a(g185 +V\u000a +tp1907 +a(g185 +V\u000a +tp1908 +a(g185 +V +tp1909 +a(g185 +Vdcg_rules_parsing_methods +p1910 +tp1911 +a(g185 +V +tp1912 +a(g340 +V: +tp1913 +a(g340 +V- +tp1914 +a(g185 +V\u000a +tp1915 +a(g185 +V +p1916 +tp1917 +a(g107 +Vphrase +p1918 +tp1919 +a(g185 +V( +tp1920 +a(g69 +VNonTerminal +p1921 +tp1922 +a(g185 +V, +tp1923 +a(g185 +V +tp1924 +a(g69 +VInput +p1925 +tp1926 +a(g185 +V, +tp1927 +a(g185 +V +tp1928 +a(g69 +VRest +p1929 +tp1930 +a(g185 +V) +tp1931 +a(g185 +V. +tp1932 +a(g185 +V\u000a +tp1933 +a(g185 +V\u000a +tp1934 +a(g185 +V +tp1935 +a(g185 +Vterm_expansion_methods +p1936 +tp1937 +a(g185 +V +tp1938 +a(g340 +V: +tp1939 +a(g340 +V- +tp1940 +a(g185 +V\u000a +tp1941 +a(g185 +V +p1942 +tp1943 +a(g107 +Vexpand_term +p1944 +tp1945 +a(g185 +V( +tp1946 +a(g69 +VTerm +p1947 +tp1948 +a(g185 +V, +tp1949 +a(g185 +V +tp1950 +a(g69 +VExpanded +p1951 +tp1952 +a(g185 +V) +tp1953 +a(g185 +V, +tp1954 +a(g185 +V\u000a +tp1955 +a(g185 +V +p1956 +tp1957 +a(g107 +Vterm_expansion +p1958 +tp1959 +a(g185 +V( +tp1960 +a(g69 +VTerm +p1961 +tp1962 +a(g185 +V, +tp1963 +a(g185 +V +tp1964 +a(g69 +VExpanded +p1965 +tp1966 +a(g185 +V) +tp1967 +a(g185 +V, +tp1968 +a(g185 +V\u000a +tp1969 +a(g185 +V +p1970 +tp1971 +a(g107 +Vgoal_expansion +p1972 +tp1973 +a(g185 +V( +tp1974 +a(g69 +VGoal +p1975 +tp1976 +a(g185 +V, +tp1977 +a(g185 +V +tp1978 +a(g69 +VExpanded +p1979 +tp1980 +a(g185 +V) +tp1981 +a(g185 +V. +tp1982 +a(g185 +V\u000a +tp1983 +a(g185 +V\u000a +tp1984 +a(g185 +V +tp1985 +a(g185 +Vmessage_sending +p1986 +tp1987 +a(g185 +V +tp1988 +a(g340 +V: +tp1989 +a(g340 +V- +tp1990 +a(g185 +V\u000a +tp1991 +a(g185 +V +p1992 +tp1993 +a(g69 +VObject +p1994 +tp1995 +a(g340 +V:: +p1996 +tp1997 +a(g69 +VMessage +p1998 +tp1999 +a(g185 +V, +tp2000 +a(g185 +V\u000a +tp2001 +a(g185 +V +p2002 +tp2003 +a(g340 +V:: +p2004 +tp2005 +a(g69 +VMessage +p2006 +tp2007 +a(g185 +V, +tp2008 +a(g185 +V\u000a +tp2009 +a(g185 +V +p2010 +tp2011 +a(g340 +V^^ +p2012 +tp2013 +a(g69 +VMessage +p2014 +tp2015 +a(g185 +V. +tp2016 +a(g185 +V\u000a +tp2017 +a(g185 +V\u000a +tp2018 +a(g185 +V +tp2019 +a(g185 +Vcalling_external_code +p2020 +tp2021 +a(g185 +V +tp2022 +a(g340 +V: +tp2023 +a(g340 +V- +tp2024 +a(g185 +V\u000a +tp2025 +a(g185 +V +p2026 +tp2027 +a(g107 +V{ +tp2028 +a(g185 +Vgoal1 +p2029 +tp2030 +a(g185 +V, +tp2031 +a(g185 +V +tp2032 +a(g185 +Vgoal2 +p2033 +tp2034 +a(g185 +V, +tp2035 +a(g185 +V +tp2036 +a(g185 +Vgoal3 +p2037 +tp2038 +a(g107 +V} +tp2039 +a(g185 +V. +tp2040 +a(g185 +V\u000a +tp2041 +a(g185 +V\u000a +tp2042 +a(g185 +V +tp2043 +a(g185 +Vcontext_switching_calls +p2044 +tp2045 +a(g185 +V +tp2046 +a(g340 +V: +tp2047 +a(g340 +V- +tp2048 +a(g185 +V\u000a +tp2049 +a(g185 +V +p2050 +tp2051 +a(g69 +VObject +p2052 +tp2053 +a(g340 +V<< +p2054 +tp2055 +a(g69 +VGoal +p2056 +tp2057 +a(g185 +V. +tp2058 +a(g185 +V\u000a +tp2059 +a(g185 +V\u000a +tp2060 +a(g185 +V +tp2061 +a(g185 +Vdirect_calls_of_category_predicates +p2062 +tp2063 +a(g185 +V +tp2064 +a(g340 +V: +tp2065 +a(g340 +V- +tp2066 +a(g185 +V\u000a +tp2067 +a(g185 +V +p2068 +tp2069 +a(g340 +V: +tp2070 +a(g69 +VGoal +p2071 +tp2072 +a(g185 +V. +tp2073 +a(g185 +V\u000a +tp2074 +a(g185 +V\u000a +tp2075 +a(g185 +V +tp2076 +a(g185 +Vif_then_else +p2077 +tp2078 +a(g185 +V +tp2079 +a(g340 +V: +tp2080 +a(g340 +V- +tp2081 +a(g185 +V\u000a +tp2082 +a(g185 +V +p2083 +tp2084 +a(g185 +V( +tp2085 +a(g185 +V +tp2086 +a(g69 +VIf +p2087 +tp2088 +a(g185 +V +tp2089 +a(g340 +V- +tp2090 +a(g340 +V> +tp2091 +a(g185 +V\u000a +tp2092 +a(g185 +V +p2093 +tp2094 +a(g69 +VThen +p2095 +tp2096 +a(g185 +V\u000a +tp2097 +a(g185 +V +p2098 +tp2099 +a(g340 +V; +tp2100 +a(g185 +V +tp2101 +a(g69 +VElse +p2102 +tp2103 +a(g185 +V\u000a +tp2104 +a(g185 +V +p2105 +tp2106 +a(g185 +V) +tp2107 +a(g185 +V. +tp2108 +a(g185 +V\u000a +tp2109 +a(g185 +V\u000a +tp2110 +a(g185 +V +tp2111 +a(g185 +Vnumbers +p2112 +tp2113 +a(g185 +V +tp2114 +a(g340 +V: +tp2115 +a(g340 +V- +tp2116 +a(g185 +V\u000a +tp2117 +a(g185 +V +p2118 +tp2119 +a(g69 +VX +tp2120 +a(g185 +V +tp2121 +a(g107 +Vis +p2122 +tp2123 +a(g185 +V +tp2124 +a(g209 +V13 +p2125 +tp2126 +a(g185 +V, +tp2127 +a(g185 +V\u000a +tp2128 +a(g185 +V +p2129 +tp2130 +a(g69 +VY +tp2131 +a(g185 +V +tp2132 +a(g107 +Vis +p2133 +tp2134 +a(g185 +V +tp2135 +a(g209 +V13.13 +p2136 +tp2137 +a(g185 +V, +tp2138 +a(g185 +V\u000a +tp2139 +a(g185 +V +p2140 +tp2141 +a(g69 +VZ +tp2142 +a(g185 +V +tp2143 +a(g107 +Vis +p2144 +tp2145 +a(g185 +V +tp2146 +a(g209 +V13.13e-23 +p2147 +tp2148 +a(g185 +V, +tp2149 +a(g185 +V\u000a +tp2150 +a(g185 +V +p2151 +tp2152 +a(g69 +VC1 +p2153 +tp2154 +a(g185 +V +tp2155 +a(g107 +Vis +p2156 +tp2157 +a(g185 +V +tp2158 +a(g209 +V0'A +p2159 +tp2160 +a(g185 +V, +tp2161 +a(g185 +V +tp2162 +a(g69 +VC2 +p2163 +tp2164 +a(g185 +V +tp2165 +a(g107 +Vis +p2166 +tp2167 +a(g185 +V +tp2168 +a(g209 +V0'' +p2169 +tp2170 +a(g185 +V, +tp2171 +a(g185 +V +tp2172 +a(g69 +VC3 +p2173 +tp2174 +a(g185 +V +tp2175 +a(g107 +Vis +p2176 +tp2177 +a(g185 +V +tp2178 +a(g209 +V0'" +p2179 +tp2180 +a(g185 +V, +tp2181 +a(g185 +V\u000a +tp2182 +a(g185 +V +p2183 +tp2184 +a(g69 +VB +tp2185 +a(g185 +V +tp2186 +a(g107 +Vis +p2187 +tp2188 +a(g185 +V +tp2189 +a(g209 +V0b1011101 +p2190 +tp2191 +a(g185 +V, +tp2192 +a(g185 +V\u000a +tp2193 +a(g185 +V +p2194 +tp2195 +a(g69 +VO +tp2196 +a(g185 +V +tp2197 +a(g107 +Vis +p2198 +tp2199 +a(g185 +V +tp2200 +a(g209 +V0o1234560 +p2201 +tp2202 +a(g185 +V, +tp2203 +a(g185 +V\u000a +tp2204 +a(g185 +V +p2205 +tp2206 +a(g69 +VH +tp2207 +a(g185 +V +tp2208 +a(g107 +Vis +p2209 +tp2210 +a(g185 +V +tp2211 +a(g209 +V0x1234567890abcDEF +p2212 +tp2213 +a(g185 +V. +tp2214 +a(g185 +V\u000a +tp2215 +a(g185 +V\u000a +tp2216 +a(g185 +V +tp2217 +a(g185 +Vfunctions +p2218 +tp2219 +a(g185 +V +tp2220 +a(g340 +V: +tp2221 +a(g340 +V- +tp2222 +a(g185 +V\u000a +tp2223 +a(g185 +V +p2224 +tp2225 +a(g69 +VA +tp2226 +a(g185 +V +tp2227 +a(g107 +Vis +p2228 +tp2229 +a(g185 +V +tp2230 +a(g107 +Vatan +p2231 +tp2232 +a(g185 +V( +tp2233 +a(g209 +V3.14 +p2234 +tp2235 +a(g185 +V) +tp2236 +a(g185 +V +tp2237 +a(g340 +V+ +tp2238 +a(g185 +V +tp2239 +a(g107 +Vsin +p2240 +tp2241 +a(g185 +V( +tp2242 +a(g209 +V0.77 +p2243 +tp2244 +a(g185 +V) +tp2245 +a(g185 +V +tp2246 +a(g340 +V- +tp2247 +a(g185 +V +tp2248 +a(g107 +Vcos +p2249 +tp2250 +a(g185 +V( +tp2251 +a(g209 +V123.23 +p2252 +tp2253 +a(g185 +V) +tp2254 +a(g185 +V, +tp2255 +a(g185 +V\u000a +tp2256 +a(g185 +V +p2257 +tp2258 +a(g69 +VB +tp2259 +a(g185 +V +tp2260 +a(g107 +Vis +p2261 +tp2262 +a(g185 +V +tp2263 +a(g107 +Vsign +p2264 +tp2265 +a(g185 +V( +tp2266 +a(g340 +V- +tp2267 +a(g209 +V12 +p2268 +tp2269 +a(g185 +V) +tp2270 +a(g185 +V +tp2271 +a(g340 +V* +tp2272 +a(g185 +V +tp2273 +a(g107 +Vabs +p2274 +tp2275 +a(g185 +V( +tp2276 +a(g209 +V35 +p2277 +tp2278 +a(g340 +V/ +tp2279 +a(g209 +V78 +p2280 +tp2281 +a(g185 +V) +tp2282 +a(g185 +V, +tp2283 +a(g185 +V\u000a +tp2284 +a(g185 +V +p2285 +tp2286 +a(g69 +VC +tp2287 +a(g185 +V +tp2288 +a(g107 +Vis +p2289 +tp2290 +a(g185 +V +tp2291 +a(g107 +Vtruncate +p2292 +tp2293 +a(g185 +V( +tp2294 +a(g209 +V3.14 +p2295 +tp2296 +a(g185 +V) +tp2297 +a(g185 +V +tp2298 +a(g340 +V+ +tp2299 +a(g185 +V +tp2300 +a(g107 +Vround +p2301 +tp2302 +a(g185 +V( +tp2303 +a(g340 +V- +tp2304 +a(g209 +V7.8 +p2305 +tp2306 +a(g185 +V) +tp2307 +a(g185 +V +tp2308 +a(g340 +V- +tp2309 +a(g185 +V +tp2310 +a(g107 +Vceiling +p2311 +tp2312 +a(g185 +V( +tp2313 +a(g209 +V111.88 +p2314 +tp2315 +a(g185 +V) +tp2316 +a(g185 +V, +tp2317 +a(g185 +V\u000a +tp2318 +a(g185 +V +p2319 +tp2320 +a(g69 +VD +tp2321 +a(g185 +V +tp2322 +a(g107 +Vis +p2323 +tp2324 +a(g185 +V +tp2325 +a(g107 +Vexp +p2326 +tp2327 +a(g185 +V( +tp2328 +a(g209 +V3.8 +p2329 +tp2330 +a(g185 +V) +tp2331 +a(g185 +V +tp2332 +a(g340 +V- +tp2333 +a(g185 +V +tp2334 +a(g107 +Vlog +p2335 +tp2336 +a(g185 +V( +tp2337 +a(g209 +V123.98 +p2338 +tp2339 +a(g185 +V) +tp2340 +a(g185 +V +tp2341 +a(g340 +V/ +tp2342 +a(g185 +V +tp2343 +a(g107 +Vsqrt +p2344 +tp2345 +a(g185 +V( +tp2346 +a(g209 +V33 +p2347 +tp2348 +a(g185 +V) +tp2349 +a(g185 +V +tp2350 +a(g340 +V* +tp2351 +a(g185 +V +tp2352 +a(g209 +V23 +p2353 +tp2354 +a(g185 +V +tp2355 +a(g340 +V* +tp2356 +a(g340 +V* +tp2357 +a(g185 +V +tp2358 +a(g209 +V4 +tp2359 +a(g185 +V, +tp2360 +a(g185 +V\u000a +tp2361 +a(g185 +V +p2362 +tp2363 +a(g69 +VE +tp2364 +a(g185 +V +tp2365 +a(g107 +Vis +p2366 +tp2367 +a(g185 +V +tp2368 +a(g107 +Vrem +p2369 +tp2370 +a(g185 +V( +tp2371 +a(g209 +V3 +tp2372 +a(g185 +V, +tp2373 +a(g185 +V +tp2374 +a(g209 +V2 +tp2375 +a(g185 +V) +tp2376 +a(g185 +V +tp2377 +a(g340 +V+ +tp2378 +a(g185 +V +tp2379 +a(g107 +Vmod +p2380 +tp2381 +a(g185 +V( +tp2382 +a(g209 +V5 +tp2383 +a(g185 +V, +tp2384 +a(g185 +V +tp2385 +a(g209 +V3 +tp2386 +a(g185 +V) +tp2387 +a(g185 +V +tp2388 +a(g340 +V* +tp2389 +a(g185 +V +tp2390 +a(g209 +V2 +tp2391 +a(g185 +V +tp2392 +a(g340 +Vrem +p2393 +tp2394 +a(g185 +V +tp2395 +a(g209 +V2 +tp2396 +a(g185 +V +tp2397 +a(g340 +V// +p2398 +tp2399 +a(g185 +V +tp2400 +a(g209 +V5 +tp2401 +a(g185 +V +tp2402 +a(g340 +Vmod +p2403 +tp2404 +a(g185 +V +tp2405 +a(g209 +V3 +tp2406 +a(g185 +V, +tp2407 +a(g185 +V\u000a +tp2408 +a(g185 +V +p2409 +tp2410 +a(g69 +VF +tp2411 +a(g185 +V +tp2412 +a(g107 +Vis +p2413 +tp2414 +a(g185 +V +tp2415 +a(g107 +Vfloat_fractional_part +p2416 +tp2417 +a(g185 +V( +tp2418 +a(g209 +V3.14 +p2419 +tp2420 +a(g185 +V) +tp2421 +a(g185 +V +tp2422 +a(g340 +V+ +tp2423 +a(g185 +V +tp2424 +a(g107 +Vfloat_integer_part +p2425 +tp2426 +a(g185 +V( +tp2427 +a(g209 +V3.14 +p2428 +tp2429 +a(g185 +V) +tp2430 +a(g185 +V, +tp2431 +a(g185 +V\u000a +tp2432 +a(g185 +V +p2433 +tp2434 +a(g69 +VG +tp2435 +a(g185 +V +tp2436 +a(g107 +Vis +p2437 +tp2438 +a(g185 +V +tp2439 +a(g107 +Vfloat +p2440 +tp2441 +a(g185 +V( +tp2442 +a(g209 +V33 +p2443 +tp2444 +a(g185 +V) +tp2445 +a(g185 +V +tp2446 +a(g340 +V+ +tp2447 +a(g185 +V +tp2448 +a(g107 +Vfloor +p2449 +tp2450 +a(g185 +V( +tp2451 +a(g209 +V99.99 +p2452 +tp2453 +a(g185 +V) +tp2454 +a(g185 +V. +tp2455 +a(g185 +V\u000a +tp2456 +a(g185 +V\u000a +tp2457 +a(g185 +V +tp2458 +a(g185 +Vbitwise +p2459 +tp2460 +a(g185 +V +tp2461 +a(g340 +V: +tp2462 +a(g340 +V- +tp2463 +a(g185 +V\u000a +tp2464 +a(g185 +V +p2465 +tp2466 +a(g69 +VA +tp2467 +a(g185 +V +tp2468 +a(g107 +Vis +p2469 +tp2470 +a(g185 +V +tp2471 +a(g209 +V16 +p2472 +tp2473 +a(g185 +V +tp2474 +a(g340 +V>> +p2475 +tp2476 +a(g185 +V +tp2477 +a(g209 +V2 +tp2478 +a(g185 +V, +tp2479 +a(g185 +V\u000a +tp2480 +a(g185 +V +p2481 +tp2482 +a(g69 +VB +tp2483 +a(g185 +V +tp2484 +a(g107 +Vis +p2485 +tp2486 +a(g185 +V +tp2487 +a(g209 +V16 +p2488 +tp2489 +a(g185 +V +tp2490 +a(g340 +V<< +p2491 +tp2492 +a(g185 +V +tp2493 +a(g209 +V2 +tp2494 +a(g185 +V, +tp2495 +a(g185 +V\u000a +tp2496 +a(g185 +V +p2497 +tp2498 +a(g69 +VC +tp2499 +a(g185 +V +tp2500 +a(g107 +Vis +p2501 +tp2502 +a(g185 +V +tp2503 +a(g209 +V10 +p2504 +tp2505 +a(g185 +V +tp2506 +a(g340 +V/\u005c +p2507 +tp2508 +a(g185 +V +tp2509 +a(g209 +V12 +p2510 +tp2511 +a(g185 +V, +tp2512 +a(g185 +V\u000a +tp2513 +a(g185 +V +p2514 +tp2515 +a(g69 +VD +tp2516 +a(g185 +V +tp2517 +a(g107 +Vis +p2518 +tp2519 +a(g185 +V +tp2520 +a(g209 +V10 +p2521 +tp2522 +a(g185 +V +tp2523 +a(g340 +V\u005c +tp2524 +a(g340 +V/ +tp2525 +a(g185 +V +tp2526 +a(g209 +V12 +p2527 +tp2528 +a(g185 +V, +tp2529 +a(g185 +V\u000a +tp2530 +a(g185 +V +p2531 +tp2532 +a(g69 +VE +tp2533 +a(g185 +V +tp2534 +a(g107 +Vis +p2535 +tp2536 +a(g185 +V +tp2537 +a(g340 +V\u005c +tp2538 +a(g185 +V +tp2539 +a(g209 +V10. +p2540 +tp2541 +a(g185 +V\u000a +tp2542 +a(g185 +V\u000a +tp2543 +a(g185 +V +tp2544 +a(g185 +Vterm_unification +p2545 +tp2546 +a(g185 +V +tp2547 +a(g340 +V: +tp2548 +a(g340 +V- +tp2549 +a(g185 +V\u000a +tp2550 +a(g185 +V +p2551 +tp2552 +a(g69 +VTerm1 +p2553 +tp2554 +a(g185 +V +tp2555 +a(g340 +V= +tp2556 +a(g185 +V +tp2557 +a(g69 +VTerm2 +p2558 +tp2559 +a(g185 +V, +tp2560 +a(g185 +V\u000a +tp2561 +a(g185 +V +p2562 +tp2563 +a(g69 +VTerm1 +p2564 +tp2565 +a(g185 +V +tp2566 +a(g340 +V\u005c +tp2567 +a(g340 +V= +tp2568 +a(g185 +V +tp2569 +a(g69 +VTerm2 +p2570 +tp2571 +a(g185 +V, +tp2572 +a(g185 +V\u000a +tp2573 +a(g185 +V +p2574 +tp2575 +a(g107 +Vunify_with_occurs_check +p2576 +tp2577 +a(g185 +V( +tp2578 +a(g69 +VTerm1 +p2579 +tp2580 +a(g185 +V, +tp2581 +a(g185 +V +tp2582 +a(g69 +VTerm2 +p2583 +tp2584 +a(g185 +V) +tp2585 +a(g185 +V. +tp2586 +a(g185 +V\u000a +tp2587 +a(g185 +V\u000a +tp2588 +a(g185 +V +tp2589 +a(g185 +Vterm_testing +p2590 +tp2591 +a(g185 +V +tp2592 +a(g340 +V: +tp2593 +a(g340 +V- +tp2594 +a(g185 +V\u000a +tp2595 +a(g185 +V +p2596 +tp2597 +a(g107 +Vatom +p2598 +tp2599 +a(g185 +V( +tp2600 +a(g69 +VAtom +p2601 +tp2602 +a(g185 +V) +tp2603 +a(g185 +V, +tp2604 +a(g185 +V\u000a +tp2605 +a(g185 +V +p2606 +tp2607 +a(g107 +Vatomic +p2608 +tp2609 +a(g185 +V( +tp2610 +a(g69 +VAtomic +p2611 +tp2612 +a(g185 +V) +tp2613 +a(g185 +V, +tp2614 +a(g185 +V\u000a +tp2615 +a(g185 +V +p2616 +tp2617 +a(g107 +Vinteger +p2618 +tp2619 +a(g185 +V( +tp2620 +a(g69 +VInteger +p2621 +tp2622 +a(g185 +V) +tp2623 +a(g185 +V, +tp2624 +a(g185 +V\u000a +tp2625 +a(g185 +V +p2626 +tp2627 +a(g107 +Vfloat +p2628 +tp2629 +a(g185 +V( +tp2630 +a(g69 +VFloat +p2631 +tp2632 +a(g185 +V) +tp2633 +a(g185 +V, +tp2634 +a(g185 +V\u000a +tp2635 +a(g185 +V +p2636 +tp2637 +a(g107 +Vcompound +p2638 +tp2639 +a(g185 +V( +tp2640 +a(g69 +VTerm +p2641 +tp2642 +a(g185 +V) +tp2643 +a(g185 +V, +tp2644 +a(g185 +V\u000a +tp2645 +a(g185 +V +p2646 +tp2647 +a(g107 +Vnonvar +p2648 +tp2649 +a(g185 +V( +tp2650 +a(g69 +VTerm +p2651 +tp2652 +a(g185 +V) +tp2653 +a(g185 +V, +tp2654 +a(g185 +V\u000a +tp2655 +a(g185 +V +p2656 +tp2657 +a(g107 +Vvar +p2658 +tp2659 +a(g185 +V( +tp2660 +a(g69 +VTerm +p2661 +tp2662 +a(g185 +V) +tp2663 +a(g185 +V, +tp2664 +a(g185 +V\u000a +tp2665 +a(g185 +V +p2666 +tp2667 +a(g107 +Vnumber +p2668 +tp2669 +a(g185 +V( +tp2670 +a(g69 +VNumber +p2671 +tp2672 +a(g185 +V) +tp2673 +a(g185 +V. +tp2674 +a(g185 +V\u000a +tp2675 +a(g185 +V\u000a +tp2676 +a(g185 +V +tp2677 +a(g185 +Vterm_comparison +p2678 +tp2679 +a(g185 +V +tp2680 +a(g340 +V: +tp2681 +a(g340 +V- +tp2682 +a(g185 +V\u000a +tp2683 +a(g185 +V +p2684 +tp2685 +a(g69 +VTerm1 +p2686 +tp2687 +a(g185 +V +tp2688 +a(g340 +V= +tp2689 +a(g340 +V= +tp2690 +a(g185 +V +tp2691 +a(g69 +VTerm2 +p2692 +tp2693 +a(g185 +V, +tp2694 +a(g185 +V\u000a +tp2695 +a(g185 +V +p2696 +tp2697 +a(g69 +VTerm1 +p2698 +tp2699 +a(g185 +V +tp2700 +a(g340 +V\u005c +tp2701 +a(g340 +V= +tp2702 +a(g340 +V= +tp2703 +a(g185 +V +tp2704 +a(g69 +VTerm2 +p2705 +tp2706 +a(g185 +V, +tp2707 +a(g185 +V\u000a +tp2708 +a(g185 +V +p2709 +tp2710 +a(g69 +VTerm1 +p2711 +tp2712 +a(g185 +V +tp2713 +a(g340 +V@< +p2714 +tp2715 +a(g185 +V +tp2716 +a(g69 +VTerm2 +p2717 +tp2718 +a(g185 +V, +tp2719 +a(g185 +V\u000a +tp2720 +a(g185 +V +p2721 +tp2722 +a(g69 +VTerm1 +p2723 +tp2724 +a(g185 +V +tp2725 +a(g340 +V@=< +p2726 +tp2727 +a(g185 +V +tp2728 +a(g69 +VTerm2 +p2729 +tp2730 +a(g185 +V, +tp2731 +a(g185 +V\u000a +tp2732 +a(g185 +V +p2733 +tp2734 +a(g69 +VTerm1 +p2735 +tp2736 +a(g185 +V +tp2737 +a(g340 +V@>= +p2738 +tp2739 +a(g185 +V +tp2740 +a(g69 +VTerm2 +p2741 +tp2742 +a(g185 +V, +tp2743 +a(g185 +V\u000a +tp2744 +a(g185 +V +p2745 +tp2746 +a(g69 +VTerm1 +p2747 +tp2748 +a(g185 +V +tp2749 +a(g340 +V@> +p2750 +tp2751 +a(g185 +V +tp2752 +a(g69 +VTerm2 +p2753 +tp2754 +a(g185 +V. +tp2755 +a(g185 +V\u000a +tp2756 +a(g185 +V\u000a +tp2757 +a(g185 +V +tp2758 +a(g185 +Vterm_creation_and_decomposition +p2759 +tp2760 +a(g185 +V +tp2761 +a(g340 +V: +tp2762 +a(g340 +V- +tp2763 +a(g185 +V\u000a +tp2764 +a(g185 +V +p2765 +tp2766 +a(g107 +Vfunctor +p2767 +tp2768 +a(g185 +V( +tp2769 +a(g69 +VTerm +p2770 +tp2771 +a(g185 +V, +tp2772 +a(g185 +V +tp2773 +a(g69 +VFunctor +p2774 +tp2775 +a(g185 +V, +tp2776 +a(g185 +V +tp2777 +a(g69 +VArity +p2778 +tp2779 +a(g185 +V) +tp2780 +a(g185 +V, +tp2781 +a(g185 +V\u000a +tp2782 +a(g185 +V +p2783 +tp2784 +a(g107 +Varg +p2785 +tp2786 +a(g185 +V( +tp2787 +a(g69 +VN +tp2788 +a(g185 +V, +tp2789 +a(g185 +V +tp2790 +a(g69 +VTerm +p2791 +tp2792 +a(g185 +V, +tp2793 +a(g185 +V +tp2794 +a(g69 +VArg +p2795 +tp2796 +a(g185 +V) +tp2797 +a(g185 +V, +tp2798 +a(g185 +V\u000a +tp2799 +a(g185 +V +p2800 +tp2801 +a(g69 +VTerm +p2802 +tp2803 +a(g185 +V +tp2804 +a(g340 +V=.. +p2805 +tp2806 +a(g185 +V +tp2807 +a(g185 +V[ +tp2808 +a(g69 +VFunctor +p2809 +tp2810 +a(g185 +V| +tp2811 +a(g185 +V +tp2812 +a(g69 +VArgs +p2813 +tp2814 +a(g185 +V] +tp2815 +a(g185 +V, +tp2816 +a(g185 +V\u000a +tp2817 +a(g185 +V +p2818 +tp2819 +a(g107 +Vcopy_term +p2820 +tp2821 +a(g185 +V( +tp2822 +a(g69 +VTerm +p2823 +tp2824 +a(g185 +V, +tp2825 +a(g185 +V +tp2826 +a(g69 +VCopy +p2827 +tp2828 +a(g185 +V) +tp2829 +a(g185 +V. +tp2830 +a(g185 +V\u000a +tp2831 +a(g185 +V\u000a +tp2832 +a(g185 +V +tp2833 +a(g185 +Varithemtic_evaluation +p2834 +tp2835 +a(g185 +V +tp2836 +a(g340 +V: +tp2837 +a(g340 +V- +tp2838 +a(g185 +V\u000a +tp2839 +a(g185 +V +p2840 +tp2841 +a(g69 +VX +tp2842 +a(g185 +V +tp2843 +a(g107 +Vis +p2844 +tp2845 +a(g185 +V +tp2846 +a(g69 +VExpression +p2847 +tp2848 +a(g185 +V. +tp2849 +a(g185 +V\u000a +tp2850 +a(g185 +V\u000a +tp2851 +a(g185 +V +tp2852 +a(g185 +Varithemtic_comparison +p2853 +tp2854 +a(g185 +V +tp2855 +a(g340 +V: +tp2856 +a(g340 +V- +tp2857 +a(g185 +V\u000a +tp2858 +a(g185 +V +p2859 +tp2860 +a(g69 +VExp1 +p2861 +tp2862 +a(g185 +V +tp2863 +a(g340 +V=:= +p2864 +tp2865 +a(g185 +V +tp2866 +a(g69 +VExp2 +p2867 +tp2868 +a(g185 +V, +tp2869 +a(g185 +V\u000a +tp2870 +a(g185 +V +p2871 +tp2872 +a(g69 +VExp1 +p2873 +tp2874 +a(g185 +V +tp2875 +a(g340 +V=\u005c= +p2876 +tp2877 +a(g185 +V +tp2878 +a(g69 +VExp2 +p2879 +tp2880 +a(g185 +V, +tp2881 +a(g185 +V\u000a +tp2882 +a(g185 +V +p2883 +tp2884 +a(g69 +VExp1 +p2885 +tp2886 +a(g185 +V +tp2887 +a(g340 +V< +tp2888 +a(g185 +V +tp2889 +a(g69 +VExp2 +p2890 +tp2891 +a(g185 +V, +tp2892 +a(g185 +V\u000a +tp2893 +a(g185 +V +p2894 +tp2895 +a(g69 +VExp1 +p2896 +tp2897 +a(g185 +V +tp2898 +a(g340 +V=< +p2899 +tp2900 +a(g185 +V +tp2901 +a(g69 +VExp2 +p2902 +tp2903 +a(g185 +V, +tp2904 +a(g185 +V\u000a +tp2905 +a(g185 +V +p2906 +tp2907 +a(g69 +VExp1 +p2908 +tp2909 +a(g185 +V +tp2910 +a(g340 +V> +tp2911 +a(g185 +V +tp2912 +a(g69 +VExp2 +p2913 +tp2914 +a(g185 +V, +tp2915 +a(g185 +V\u000a +tp2916 +a(g185 +V +p2917 +tp2918 +a(g69 +VExp1 +p2919 +tp2920 +a(g185 +V +tp2921 +a(g340 +V>= +p2922 +tp2923 +a(g185 +V +tp2924 +a(g69 +VExp2 +p2925 +tp2926 +a(g185 +V. +tp2927 +a(g185 +V\u000a +tp2928 +a(g185 +V\u000a +tp2929 +a(g185 +V +tp2930 +a(g185 +Vstream_selection_and_control +p2931 +tp2932 +a(g185 +V +tp2933 +a(g340 +V: +tp2934 +a(g340 +V- +tp2935 +a(g185 +V\u000a +tp2936 +a(g185 +V +p2937 +tp2938 +a(g107 +Vcurrent_input +p2939 +tp2940 +a(g185 +V( +tp2941 +a(g69 +VStream +p2942 +tp2943 +a(g185 +V) +tp2944 +a(g185 +V, +tp2945 +a(g185 +V\u000a +tp2946 +a(g185 +V +p2947 +tp2948 +a(g107 +Vcurrent_output +p2949 +tp2950 +a(g185 +V( +tp2951 +a(g69 +VStream +p2952 +tp2953 +a(g185 +V) +tp2954 +a(g185 +V, +tp2955 +a(g185 +V\u000a +tp2956 +a(g185 +V +p2957 +tp2958 +a(g107 +Vset_input +p2959 +tp2960 +a(g185 +V( +tp2961 +a(g69 +VStream +p2962 +tp2963 +a(g185 +V) +tp2964 +a(g185 +V, +tp2965 +a(g185 +V\u000a +tp2966 +a(g185 +V +p2967 +tp2968 +a(g107 +Vset_output +p2969 +tp2970 +a(g185 +V( +tp2971 +a(g69 +VStream +p2972 +tp2973 +a(g185 +V) +tp2974 +a(g185 +V, +tp2975 +a(g185 +V\u000a +tp2976 +a(g185 +V +p2977 +tp2978 +a(g107 +Vopen +p2979 +tp2980 +a(g185 +V( +tp2981 +a(g69 +VSource +p2982 +tp2983 +a(g185 +V, +tp2984 +a(g185 +V +tp2985 +a(g69 +VMode +p2986 +tp2987 +a(g185 +V, +tp2988 +a(g185 +V +tp2989 +a(g69 +VStream +p2990 +tp2991 +a(g185 +V, +tp2992 +a(g185 +V +tp2993 +a(g69 +VOptions +p2994 +tp2995 +a(g185 +V) +tp2996 +a(g185 +V, +tp2997 +a(g185 +V\u000a +tp2998 +a(g185 +V +p2999 +tp3000 +a(g107 +Vclose +p3001 +tp3002 +a(g185 +V( +tp3003 +a(g69 +VStream +p3004 +tp3005 +a(g185 +V) +tp3006 +a(g185 +V, +tp3007 +a(g185 +V\u000a +tp3008 +a(g185 +V +p3009 +tp3010 +a(g107 +Vflush_output +p3011 +tp3012 +a(g185 +V( +tp3013 +a(g69 +VStream +p3014 +tp3015 +a(g185 +V) +tp3016 +a(g185 +V, +tp3017 +a(g185 +V\u000a +tp3018 +a(g185 +V +p3019 +tp3020 +a(g107 +Vstream_property +p3021 +tp3022 +a(g185 +V( +tp3023 +a(g69 +VStream +p3024 +tp3025 +a(g185 +V, +tp3026 +a(g185 +V +tp3027 +a(g69 +VProperty +p3028 +tp3029 +a(g185 +V) +tp3030 +a(g185 +V, +tp3031 +a(g185 +V\u000a +tp3032 +a(g185 +V +p3033 +tp3034 +a(g107 +Vat_end_of_stream +p3035 +tp3036 +a(g185 +V( +tp3037 +a(g69 +VStream +p3038 +tp3039 +a(g185 +V) +tp3040 +a(g185 +V, +tp3041 +a(g185 +V\u000a +tp3042 +a(g185 +V +p3043 +tp3044 +a(g107 +Vset_stream_position +p3045 +tp3046 +a(g185 +V( +tp3047 +a(g69 +VStream +p3048 +tp3049 +a(g185 +V, +tp3050 +a(g185 +V +tp3051 +a(g69 +VPosition +p3052 +tp3053 +a(g185 +V) +tp3054 +a(g185 +V, +tp3055 +a(g185 +V\u000a +tp3056 +a(g185 +V +p3057 +tp3058 +a(g107 +Vflush_output +p3059 +tp3060 +a(g185 +V, +tp3061 +a(g185 +V\u000a +tp3062 +a(g185 +V +p3063 +tp3064 +a(g107 +Vat_end_of_stream +p3065 +tp3066 +a(g185 +V. +tp3067 +a(g185 +V\u000a +tp3068 +a(g185 +V\u000a +tp3069 +a(g185 +V +tp3070 +a(g185 +Vcharacter_input_output +p3071 +tp3072 +a(g185 +V +tp3073 +a(g340 +V: +tp3074 +a(g340 +V- +tp3075 +a(g185 +V\u000a +tp3076 +a(g185 +V +p3077 +tp3078 +a(g107 +Vget_char +p3079 +tp3080 +a(g185 +V( +tp3081 +a(g69 +VChar +p3082 +tp3083 +a(g185 +V) +tp3084 +a(g185 +V, +tp3085 +a(g185 +V\u000a +tp3086 +a(g185 +V +p3087 +tp3088 +a(g107 +Vget_code +p3089 +tp3090 +a(g185 +V( +tp3091 +a(g69 +VCode +p3092 +tp3093 +a(g185 +V) +tp3094 +a(g185 +V, +tp3095 +a(g185 +V\u000a +tp3096 +a(g185 +V +p3097 +tp3098 +a(g107 +Vpeek_char +p3099 +tp3100 +a(g185 +V( +tp3101 +a(g69 +VChar +p3102 +tp3103 +a(g185 +V) +tp3104 +a(g185 +V, +tp3105 +a(g185 +V\u000a +tp3106 +a(g185 +V +p3107 +tp3108 +a(g107 +Vpeek_code +p3109 +tp3110 +a(g185 +V( +tp3111 +a(g69 +VCode +p3112 +tp3113 +a(g185 +V) +tp3114 +a(g185 +V, +tp3115 +a(g185 +V\u000a +tp3116 +a(g185 +V +p3117 +tp3118 +a(g107 +Vput_char +p3119 +tp3120 +a(g185 +V( +tp3121 +a(g69 +VChar +p3122 +tp3123 +a(g185 +V) +tp3124 +a(g185 +V, +tp3125 +a(g185 +V\u000a +tp3126 +a(g185 +V +p3127 +tp3128 +a(g107 +Vput_code +p3129 +tp3130 +a(g185 +V( +tp3131 +a(g69 +VCode +p3132 +tp3133 +a(g185 +V) +tp3134 +a(g185 +V, +tp3135 +a(g185 +V\u000a +tp3136 +a(g185 +V +p3137 +tp3138 +a(g107 +Vnl +p3139 +tp3140 +a(g185 +V( +tp3141 +a(g69 +VStream +p3142 +tp3143 +a(g185 +V) +tp3144 +a(g185 +V, +tp3145 +a(g185 +V\u000a +tp3146 +a(g185 +V +p3147 +tp3148 +a(g107 +Vnl +p3149 +tp3150 +a(g185 +V. +tp3151 +a(g185 +V\u000a +tp3152 +a(g185 +V\u000a +tp3153 +a(g185 +V +tp3154 +a(g185 +Vbyte_input_output +p3155 +tp3156 +a(g185 +V +tp3157 +a(g340 +V: +tp3158 +a(g340 +V- +tp3159 +a(g185 +V\u000a +tp3160 +a(g185 +V +p3161 +tp3162 +a(g107 +Vget_byte +p3163 +tp3164 +a(g185 +V( +tp3165 +a(g69 +VByte +p3166 +tp3167 +a(g185 +V) +tp3168 +a(g185 +V, +tp3169 +a(g185 +V\u000a +tp3170 +a(g185 +V +p3171 +tp3172 +a(g107 +Vpeek_byte +p3173 +tp3174 +a(g185 +V( +tp3175 +a(g69 +VByte +p3176 +tp3177 +a(g185 +V) +tp3178 +a(g185 +V, +tp3179 +a(g185 +V\u000a +tp3180 +a(g185 +V +p3181 +tp3182 +a(g107 +Vput_byte +p3183 +tp3184 +a(g185 +V( +tp3185 +a(g69 +VByte +p3186 +tp3187 +a(g185 +V) +tp3188 +a(g185 +V. +tp3189 +a(g185 +V\u000a +tp3190 +a(g185 +V\u000a +tp3191 +a(g185 +V +tp3192 +a(g185 +Vterm_input_output +p3193 +tp3194 +a(g185 +V +tp3195 +a(g340 +V: +tp3196 +a(g340 +V- +tp3197 +a(g185 +V\u000a +tp3198 +a(g185 +V +p3199 +tp3200 +a(g107 +Vread +p3201 +tp3202 +a(g185 +V( +tp3203 +a(g69 +VTerm +p3204 +tp3205 +a(g185 +V) +tp3206 +a(g185 +V, +tp3207 +a(g185 +V\u000a +tp3208 +a(g185 +V +p3209 +tp3210 +a(g107 +Vread_term +p3211 +tp3212 +a(g185 +V( +tp3213 +a(g69 +VTerm +p3214 +tp3215 +a(g185 +V) +tp3216 +a(g185 +V, +tp3217 +a(g185 +V\u000a +tp3218 +a(g185 +V +p3219 +tp3220 +a(g107 +Vwrite +p3221 +tp3222 +a(g185 +V( +tp3223 +a(g69 +VTerm +p3224 +tp3225 +a(g185 +V) +tp3226 +a(g185 +V, +tp3227 +a(g185 +V\u000a +tp3228 +a(g185 +V +p3229 +tp3230 +a(g107 +Vwrite +p3231 +tp3232 +a(g185 +V( +tp3233 +a(g69 +VTerm +p3234 +tp3235 +a(g185 +V) +tp3236 +a(g185 +V, +tp3237 +a(g185 +V\u000a +tp3238 +a(g185 +V +p3239 +tp3240 +a(g107 +Vwrite_canonical +p3241 +tp3242 +a(g185 +V( +tp3243 +a(g69 +VTerm +p3244 +tp3245 +a(g185 +V) +tp3246 +a(g185 +V, +tp3247 +a(g185 +V\u000a +tp3248 +a(g185 +V +p3249 +tp3250 +a(g107 +Vwrite_term +p3251 +tp3252 +a(g185 +V( +tp3253 +a(g69 +VStream +p3254 +tp3255 +a(g185 +V, +tp3256 +a(g185 +V +tp3257 +a(g69 +VTerm +p3258 +tp3259 +a(g185 +V, +tp3260 +a(g185 +V +tp3261 +a(g69 +VOptions +p3262 +tp3263 +a(g185 +V) +tp3264 +a(g185 +V, +tp3265 +a(g185 +V\u000a +tp3266 +a(g185 +V +p3267 +tp3268 +a(g107 +Vcurrent_op +p3269 +tp3270 +a(g185 +V( +tp3271 +a(g69 +VPrecedence +p3272 +tp3273 +a(g185 +V, +tp3274 +a(g185 +V +tp3275 +a(g69 +VAssociativity +p3276 +tp3277 +a(g185 +V, +tp3278 +a(g185 +V +tp3279 +a(g69 +VOperator +p3280 +tp3281 +a(g185 +V) +tp3282 +a(g185 +V, +tp3283 +a(g185 +V\u000a +tp3284 +a(g185 +V +p3285 +tp3286 +a(g107 +Vop +p3287 +tp3288 +a(g185 +V( +tp3289 +a(g69 +VPrecedence +p3290 +tp3291 +a(g185 +V, +tp3292 +a(g185 +V +tp3293 +a(g69 +VAssociativity +p3294 +tp3295 +a(g185 +V, +tp3296 +a(g185 +V +tp3297 +a(g69 +VOperator +p3298 +tp3299 +a(g185 +V) +tp3300 +a(g185 +V, +tp3301 +a(g185 +V\u000a +tp3302 +a(g185 +V +p3303 +tp3304 +a(g107 +Vcurrent_char_conversion +p3305 +tp3306 +a(g185 +V( +tp3307 +a(g69 +VInChar +p3308 +tp3309 +a(g185 +V, +tp3310 +a(g185 +V +tp3311 +a(g69 +VOutChar +p3312 +tp3313 +a(g185 +V) +tp3314 +a(g185 +V, +tp3315 +a(g185 +V\u000a +tp3316 +a(g185 +V +p3317 +tp3318 +a(g107 +Vchar_conversion +p3319 +tp3320 +a(g185 +V( +tp3321 +a(g69 +VInChar +p3322 +tp3323 +a(g185 +V, +tp3324 +a(g185 +V +tp3325 +a(g69 +VOutChar +p3326 +tp3327 +a(g185 +V) +tp3328 +a(g185 +V. +tp3329 +a(g185 +V\u000a +tp3330 +a(g185 +V\u000a +tp3331 +a(g185 +V +tp3332 +a(g185 +Vlogic_and_control +p3333 +tp3334 +a(g185 +V +tp3335 +a(g340 +V: +tp3336 +a(g340 +V- +tp3337 +a(g185 +V\u000a +tp3338 +a(g185 +V +p3339 +tp3340 +a(g340 +V\u005c +tp3341 +a(g340 +V+ +tp3342 +a(g185 +V +tp3343 +a(g69 +VGoal +p3344 +tp3345 +a(g185 +V, +tp3346 +a(g185 +V\u000a +tp3347 +a(g185 +V +p3348 +tp3349 +a(g107 +Vonce +p3350 +tp3351 +a(g185 +V( +tp3352 +a(g69 +VGoal +p3353 +tp3354 +a(g185 +V) +tp3355 +a(g185 +V, +tp3356 +a(g185 +V\u000a +tp3357 +a(g185 +V +p3358 +tp3359 +a(g107 +Vrepeat +p3360 +tp3361 +a(g185 +V, +tp3362 +a(g185 +V\u000a +tp3363 +a(g185 +V +p3364 +tp3365 +a(g340 +V! +tp3366 +a(g185 +V. +tp3367 +a(g185 +V\u000a +tp3368 +a(g185 +V\u000a +tp3369 +a(g185 +V +tp3370 +a(g185 +Vatomic_term_processing +p3371 +tp3372 +a(g185 +V +tp3373 +a(g340 +V: +tp3374 +a(g340 +V- +tp3375 +a(g185 +V\u000a +tp3376 +a(g185 +V +p3377 +tp3378 +a(g107 +Vatom_length +p3379 +tp3380 +a(g185 +V( +tp3381 +a(g69 +VAtom +p3382 +tp3383 +a(g185 +V, +tp3384 +a(g185 +V +tp3385 +a(g69 +VLength +p3386 +tp3387 +a(g185 +V) +tp3388 +a(g185 +V, +tp3389 +a(g185 +V\u000a +tp3390 +a(g185 +V +p3391 +tp3392 +a(g107 +Vatom_chars +p3393 +tp3394 +a(g185 +V( +tp3395 +a(g69 +VAtom +p3396 +tp3397 +a(g185 +V, +tp3398 +a(g185 +V +tp3399 +a(g69 +VChars +p3400 +tp3401 +a(g185 +V) +tp3402 +a(g185 +V, +tp3403 +a(g185 +V\u000a +tp3404 +a(g185 +V +p3405 +tp3406 +a(g107 +Vatom_codes +p3407 +tp3408 +a(g185 +V( +tp3409 +a(g69 +VAtom +p3410 +tp3411 +a(g185 +V, +tp3412 +a(g185 +V +tp3413 +a(g69 +VCodes +p3414 +tp3415 +a(g185 +V) +tp3416 +a(g185 +V, +tp3417 +a(g185 +V\u000a +tp3418 +a(g185 +V +p3419 +tp3420 +a(g107 +Vatom_concat +p3421 +tp3422 +a(g185 +V( +tp3423 +a(g69 +VAtom1 +p3424 +tp3425 +a(g185 +V, +tp3426 +a(g185 +V +tp3427 +a(g69 +VAtom2 +p3428 +tp3429 +a(g185 +V, +tp3430 +a(g185 +V +tp3431 +a(g69 +VAtom +p3432 +tp3433 +a(g185 +V) +tp3434 +a(g185 +V, +tp3435 +a(g185 +V\u000a +tp3436 +a(g185 +V +p3437 +tp3438 +a(g107 +Vsub_atom +p3439 +tp3440 +a(g185 +V( +tp3441 +a(g69 +VAtom +p3442 +tp3443 +a(g185 +V, +tp3444 +a(g185 +V +tp3445 +a(g69 +VBefore +p3446 +tp3447 +a(g185 +V, +tp3448 +a(g185 +V +tp3449 +a(g69 +VLength +p3450 +tp3451 +a(g185 +V, +tp3452 +a(g185 +V +tp3453 +a(g69 +VAfter +p3454 +tp3455 +a(g185 +V, +tp3456 +a(g185 +V +tp3457 +a(g69 +VSubAtom +p3458 +tp3459 +a(g185 +V) +tp3460 +a(g185 +V, +tp3461 +a(g185 +V\u000a +tp3462 +a(g185 +V +p3463 +tp3464 +a(g107 +Vchar_code +p3465 +tp3466 +a(g185 +V( +tp3467 +a(g69 +VChar +p3468 +tp3469 +a(g185 +V, +tp3470 +a(g185 +V +tp3471 +a(g69 +VCode +p3472 +tp3473 +a(g185 +V) +tp3474 +a(g185 +V, +tp3475 +a(g185 +V\u000a +tp3476 +a(g185 +V +p3477 +tp3478 +a(g107 +Vnumber_chars +p3479 +tp3480 +a(g185 +V( +tp3481 +a(g69 +VNumber +p3482 +tp3483 +a(g185 +V, +tp3484 +a(g185 +V +tp3485 +a(g69 +VChars +p3486 +tp3487 +a(g185 +V) +tp3488 +a(g185 +V, +tp3489 +a(g185 +V\u000a +tp3490 +a(g185 +V +p3491 +tp3492 +a(g107 +Vnumber_codes +p3493 +tp3494 +a(g185 +V( +tp3495 +a(g69 +VNumber +p3496 +tp3497 +a(g185 +V, +tp3498 +a(g185 +V +tp3499 +a(g69 +VCodes +p3500 +tp3501 +a(g185 +V) +tp3502 +a(g185 +V. +tp3503 +a(g185 +V\u000a +tp3504 +a(g185 +V\u000a +tp3505 +a(g185 +V +tp3506 +a(g185 +Vimplementation_defined_hooks +p3507 +tp3508 +a(g185 +V +tp3509 +a(g340 +V: +tp3510 +a(g340 +V- +tp3511 +a(g185 +V\u000a +tp3512 +a(g185 +V +p3513 +tp3514 +a(g107 +Vcurrent_prolog_flag +p3515 +tp3516 +a(g185 +V( +tp3517 +a(g69 +VFlag +p3518 +tp3519 +a(g185 +V, +tp3520 +a(g185 +V +tp3521 +a(g69 +VValue +p3522 +tp3523 +a(g185 +V) +tp3524 +a(g185 +V, +tp3525 +a(g185 +V\u000a +tp3526 +a(g185 +V +p3527 +tp3528 +a(g107 +Vset_prolog_flag +p3529 +tp3530 +a(g185 +V( +tp3531 +a(g69 +VFlag +p3532 +tp3533 +a(g185 +V, +tp3534 +a(g185 +V +tp3535 +a(g69 +VValue +p3536 +tp3537 +a(g185 +V) +tp3538 +a(g185 +V, +tp3539 +a(g185 +V\u000a +tp3540 +a(g185 +V +p3541 +tp3542 +a(g107 +Vhalt +p3543 +tp3544 +a(g185 +V( +tp3545 +a(g69 +VExitCode +p3546 +tp3547 +a(g185 +V) +tp3548 +a(g185 +V, +tp3549 +a(g185 +V\u000a +tp3550 +a(g185 +V +p3551 +tp3552 +a(g107 +Vhalt +p3553 +tp3554 +a(g185 +V. +tp3555 +a(g185 +V\u000a +tp3556 +a(g185 +V\u000a +tp3557 +a(g185 +V +tp3558 +a(g107 +Vnumber +p3559 +tp3560 +a(g185 +V( +tp3561 +a(g69 +VC +tp3562 +a(g185 +V) +tp3563 +a(g185 +V +tp3564 +a(g340 +V- +tp3565 +a(g340 +V- +tp3566 +a(g340 +V> +tp3567 +a(g185 +V +tp3568 +a(g222 +V"+" +p3569 +tp3570 +a(g185 +V, +tp3571 +a(g185 +V +tp3572 +a(g107 +Vnumber +p3573 +tp3574 +a(g185 +V( +tp3575 +a(g69 +VC +tp3576 +a(g185 +V) +tp3577 +a(g185 +V. +tp3578 +a(g185 +V\u000a +tp3579 +a(g185 +V +tp3580 +a(g107 +Vnumber +p3581 +tp3582 +a(g185 +V( +tp3583 +a(g69 +VC +tp3584 +a(g185 +V) +tp3585 +a(g185 +V +tp3586 +a(g340 +V- +tp3587 +a(g340 +V- +tp3588 +a(g340 +V> +tp3589 +a(g185 +V +tp3590 +a(g222 +V"-" +p3591 +tp3592 +a(g185 +V, +tp3593 +a(g185 +V +tp3594 +a(g107 +Vnumber +p3595 +tp3596 +a(g185 +V( +tp3597 +a(g69 +VX +tp3598 +a(g185 +V) +tp3599 +a(g185 +V, +tp3600 +a(g185 +V +tp3601 +a(g107 +V{ +tp3602 +a(g69 +VC +tp3603 +a(g185 +V +tp3604 +a(g107 +Vis +p3605 +tp3606 +a(g185 +V +tp3607 +a(g340 +V- +tp3608 +a(g69 +VX +tp3609 +a(g107 +V} +tp3610 +a(g185 +V. +tp3611 +a(g185 +V\u000a +tp3612 +a(g185 +V +tp3613 +a(g107 +Vnumber +p3614 +tp3615 +a(g185 +V( +tp3616 +a(g69 +VX +tp3617 +a(g185 +V) +tp3618 +a(g185 +V +tp3619 +a(g340 +V- +tp3620 +a(g340 +V- +tp3621 +a(g340 +V> +tp3622 +a(g185 +V +tp3623 +a(g185 +V[ +tp3624 +a(g69 +VC +tp3625 +a(g185 +V] +tp3626 +a(g185 +V, +tp3627 +a(g185 +V +tp3628 +a(g107 +V{ +tp3629 +a(g209 +V0'0 +p3630 +tp3631 +a(g185 +V +tp3632 +a(g340 +V=< +p3633 +tp3634 +a(g185 +V +tp3635 +a(g69 +VC +tp3636 +a(g185 +V, +tp3637 +a(g185 +V +tp3638 +a(g69 +VC +tp3639 +a(g185 +V +tp3640 +a(g340 +V=< +p3641 +tp3642 +a(g185 +V +tp3643 +a(g209 +V0'9 +p3644 +tp3645 +a(g185 +V, +tp3646 +a(g185 +V +tp3647 +a(g69 +VX +tp3648 +a(g185 +V +tp3649 +a(g107 +Vis +p3650 +tp3651 +a(g185 +V +tp3652 +a(g69 +VC +tp3653 +a(g185 +V +tp3654 +a(g340 +V- +tp3655 +a(g185 +V +tp3656 +a(g209 +V0'0 +p3657 +tp3658 +a(g107 +V} +tp3659 +a(g185 +V. +tp3660 +a(g185 +V\u000a +tp3661 +a(g198 +V\u000a:- +p3662 +tp3663 +a(g107 +Vend_object. +p3664 +tp3665 +a(g185 +V\u000a +tp3666 +a(g198 +V\u000a\u000a\u000a:- +p3667 +tp3668 +a(g107 +Vobject +p3669 +tp3670 +a(g185 +V( +tp3671 +a(g185 +Vclass +p3672 +tp3673 +a(g185 +V, +tp3674 +a(g185 +V\u000a +tp3675 +a(g185 +V +tp3676 +a(g107 +Vimplements +p3677 +tp3678 +a(g185 +V( +tp3679 +a(g185 +Vprotocol +p3680 +tp3681 +a(g185 +V) +tp3682 +a(g185 +V, +tp3683 +a(g185 +V\u000a +tp3684 +a(g185 +V +tp3685 +a(g107 +Vimports +p3686 +tp3687 +a(g185 +V( +tp3688 +a(g185 +Vcategory +p3689 +tp3690 +a(g185 +V) +tp3691 +a(g185 +V, +tp3692 +a(g185 +V\u000a +tp3693 +a(g185 +V +tp3694 +a(g107 +Vinstantiates +p3695 +tp3696 +a(g185 +V( +tp3697 +a(g185 +Vmetaclass +p3698 +tp3699 +a(g185 +V) +tp3700 +a(g185 +V, +tp3701 +a(g185 +V\u000a +tp3702 +a(g185 +V +tp3703 +a(g107 +Vspecializes +p3704 +tp3705 +a(g185 +V( +tp3706 +a(g185 +Vsuperclass +p3707 +tp3708 +a(g185 +V) +tp3709 +a(g185 +V). +p3710 +tp3711 +a(g185 +V\u000a +tp3712 +a(g198 +V\u000a\u000a:- +p3713 +tp3714 +a(g107 +Vend_object. +p3715 +tp3716 +a(g185 +V\u000a +tp3717 +a(g198 +V\u000a\u000a\u000a:- +p3718 +tp3719 +a(g107 +Vobject +p3720 +tp3721 +a(g185 +V( +tp3722 +a(g185 +Vparametric +p3723 +tp3724 +a(g185 +V( +tp3725 +a(g69 +V_Par1 +p3726 +tp3727 +a(g185 +V, +tp3728 +a(g185 +V +tp3729 +a(g69 +V_Par2 +p3730 +tp3731 +a(g185 +V) +tp3732 +a(g185 +V, +tp3733 +a(g185 +V\u000a +tp3734 +a(g185 +V +tp3735 +a(g107 +Vimplements +p3736 +tp3737 +a(g185 +V( +tp3738 +a(g185 +Vprotocol +p3739 +tp3740 +a(g185 +V) +tp3741 +a(g185 +V, +tp3742 +a(g185 +V\u000a +tp3743 +a(g185 +V +tp3744 +a(g107 +Vimports +p3745 +tp3746 +a(g185 +V( +tp3747 +a(g185 +Vcategory +p3748 +tp3749 +a(g185 +V) +tp3750 +a(g185 +V, +tp3751 +a(g185 +V\u000a +tp3752 +a(g185 +V +tp3753 +a(g107 +Vextends +p3754 +tp3755 +a(g185 +V( +tp3756 +a(g185 +Vparent +p3757 +tp3758 +a(g185 +V( +tp3759 +a(g69 +V_Par +p3760 +tp3761 +a(g185 +V) +tp3762 +a(g185 +V) +tp3763 +a(g185 +V). +p3764 +tp3765 +a(g185 +V\u000a +tp3766 +a(g198 +V\u000a\u000a:- +p3767 +tp3768 +a(g107 +Vend_object. +p3769 +tp3770 +a(g185 +V\u000a +tp3771 +a(g198 +V\u000a\u000a\u000a:- +p3772 +tp3773 +a(g107 +Vcategory +p3774 +tp3775 +a(g185 +V( +tp3776 +a(g185 +Vcategory +p3777 +tp3778 +a(g185 +V, +tp3779 +a(g185 +V\u000a +tp3780 +a(g185 +V +tp3781 +a(g107 +Vimplements +p3782 +tp3783 +a(g185 +V( +tp3784 +a(g185 +Vprotocol +p3785 +tp3786 +a(g185 +V) +tp3787 +a(g185 +V, +tp3788 +a(g185 +V\u000a +tp3789 +a(g185 +V +tp3790 +a(g107 +Vextends +p3791 +tp3792 +a(g185 +V( +tp3793 +a(g185 +Vother_category +p3794 +tp3795 +a(g185 +V) +tp3796 +a(g185 +V). +p3797 +tp3798 +a(g185 +V\u000a +tp3799 +a(g198 +V\u000a\u000a:- +p3800 +tp3801 +a(g107 +Vend_category. +p3802 +tp3803 +a(g185 +V\u000a +tp3804 +a(g198 +V\u000a\u000a\u000a:- +p3805 +tp3806 +a(g107 +Vprotocol +p3807 +tp3808 +a(g185 +V( +tp3809 +a(g185 +Vextended +p3810 +tp3811 +a(g185 +V, +tp3812 +a(g185 +V\u000a +tp3813 +a(g185 +V +tp3814 +a(g107 +Vextends +p3815 +tp3816 +a(g185 +V( +tp3817 +a(g185 +Vminimal +p3818 +tp3819 +a(g185 +V) +tp3820 +a(g185 +V). +p3821 +tp3822 +a(g185 +V\u000a +tp3823 +a(g198 +V\u000a\u000a:- +p3824 +tp3825 +a(g107 +Vend_protocol. +p3826 +tp3827 +a(g185 +V\u000a +tp3828 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/sources.list b/tests/examplefiles/output/sources.list new file mode 100644 index 0000000..a9f93a6 --- /dev/null +++ b/tests/examplefiles/output/sources.list @@ -0,0 +1,2454 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +g28 +g2 +(g3 +g4 +(g106 +g28 +ttRp109 +(dp110 +g17 +g18 +((ltRp111 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp112 +(dp113 +g17 +g18 +((ltRp114 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp115 +(dp116 +g17 +g18 +((ltRp117 +sg8 +g107 +sbsS'Reserved' +p118 +g2 +(g3 +g4 +(g106 +g118 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Declaration' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp126 +(dp127 +g17 +g18 +((ltRp128 +sg8 +g107 +sbsg17 +g18 +((lp129 +g109 +ag119 +ag2 +(g3 +g4 +(g106 +S'Type' +p130 +ttRp131 +(dp132 +g17 +g18 +((ltRp133 +sg8 +g107 +sbag123 +ag126 +ag112 +ag115 +atRp134 +sg130 +g131 +sbsS'Generic' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +S'Prompt' +p138 +g2 +(g3 +g4 +(g135 +g138 +ttRp139 +(dp140 +g17 +g18 +((ltRp141 +sg8 +g136 +sbsg8 +g9 +sS'Deleted' +p142 +g2 +(g3 +g4 +(g135 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g136 +sbsS'Traceback' +p146 +g2 +(g3 +g4 +(g135 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g136 +sbsS'Emph' +p150 +g2 +(g3 +g4 +(g135 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g136 +sbsS'Output' +p154 +g2 +(g3 +g4 +(g135 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g136 +sbsS'Subheading' +p158 +g2 +(g3 +g4 +(g135 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g136 +sbsS'Error' +p162 +g2 +(g3 +g4 +(g135 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g136 +sbsg17 +g18 +((lp166 +g155 +ag151 +ag163 +ag159 +ag147 +ag143 +ag2 +(g3 +g4 +(g135 +S'Heading' +p167 +ttRp168 +(dp169 +g17 +g18 +((ltRp170 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Inserted' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Strong' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g136 +sbag139 +atRp179 +sg175 +g176 +sg171 +g172 +sg167 +g168 +sbsS'Text' +p180 +g2 +(g3 +g4 +(g180 +ttRp181 +(dp182 +g17 +g18 +((lp183 +g2 +(g3 +g4 +(g180 +S'Symbol' +p184 +ttRp185 +(dp186 +g17 +g18 +((ltRp187 +sg8 +g181 +sbag2 +(g3 +g4 +(g180 +S'Whitespace' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g181 +sbatRp192 +sg184 +g185 +sg188 +g189 +sg8 +g9 +sbsS'Punctuation' +p193 +g2 +(g3 +g4 +(g193 +ttRp194 +(dp195 +g17 +g18 +((lp196 +g2 +(g3 +g4 +(g193 +S'Indicator' +p197 +ttRp198 +(dp199 +g17 +g18 +((ltRp200 +sg8 +g194 +sbatRp201 +sg197 +g198 +sg8 +g9 +sbsS'Token' +p202 +g9 +sS'Number' +p203 +g2 +(g3 +g4 +(S'Literal' +p204 +g203 +ttRp205 +(dp206 +S'Bin' +p207 +g2 +(g3 +g4 +(g204 +g203 +g207 +ttRp208 +(dp209 +g17 +g18 +((ltRp210 +sg8 +g205 +sbsS'Binary' +p211 +g2 +(g3 +g4 +(g204 +g203 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g205 +sbsg8 +g2 +(g3 +g4 +(g204 +ttRp215 +(dp216 +S'String' +p217 +g2 +(g3 +g4 +(g204 +g217 +ttRp218 +(dp219 +S'Regex' +p220 +g2 +(g3 +g4 +(g204 +g217 +g220 +ttRp221 +(dp222 +g17 +g18 +((ltRp223 +sg8 +g218 +sbsS'Interpol' +p224 +g2 +(g3 +g4 +(g204 +g217 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g218 +sbsS'Regexp' +p228 +g2 +(g3 +g4 +(g204 +g217 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g218 +sbsg8 +g215 +sS'Heredoc' +p232 +g2 +(g3 +g4 +(g204 +g217 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g218 +sbsS'Double' +p236 +g2 +(g3 +g4 +(g204 +g217 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g218 +sbsg184 +g2 +(g3 +g4 +(g204 +g217 +g184 +ttRp240 +(dp241 +g17 +g18 +((ltRp242 +sg8 +g218 +sbsS'Escape' +p243 +g2 +(g3 +g4 +(g204 +g217 +g243 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g218 +sbsS'Character' +p247 +g2 +(g3 +g4 +(g204 +g217 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g218 +sbsS'Interp' +p251 +g2 +(g3 +g4 +(g204 +g217 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g218 +sbsS'Backtick' +p255 +g2 +(g3 +g4 +(g204 +g217 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g218 +sbsS'Char' +p259 +g2 +(g3 +g4 +(g204 +g217 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g218 +sbsS'Single' +p263 +g2 +(g3 +g4 +(g204 +g217 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g218 +sbsg60 +g2 +(g3 +g4 +(g204 +g217 +g60 +ttRp267 +(dp268 +g17 +g18 +((ltRp269 +sg8 +g218 +sbsS'Doc' +p270 +g2 +(g3 +g4 +(g204 +g217 +g270 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g218 +sbsg17 +g18 +((lp274 +g267 +ag2 +(g3 +g4 +(g204 +g217 +S'Atom' +p275 +ttRp276 +(dp277 +g17 +g18 +((ltRp278 +sg8 +g218 +sbag237 +ag260 +ag252 +ag271 +ag233 +ag256 +ag225 +ag240 +ag229 +ag221 +ag264 +ag248 +ag244 +atRp279 +sg275 +g276 +sbsg8 +g9 +sg203 +g205 +sS'Scalar' +p280 +g2 +(g3 +g4 +(g204 +g280 +ttRp281 +(dp282 +g17 +g18 +((lp283 +g2 +(g3 +g4 +(g204 +g280 +S'Plain' +p284 +ttRp285 +(dp286 +g17 +g18 +((ltRp287 +sg8 +g281 +sbatRp288 +sg8 +g215 +sg284 +g285 +sbsg60 +g2 +(g3 +g4 +(g204 +g60 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g215 +sbsS'Date' +p292 +g2 +(g3 +g4 +(g204 +g292 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g215 +sbsg17 +g18 +((lp296 +g293 +ag218 +ag289 +ag205 +ag281 +atRp297 +sbsS'Decimal' +p298 +g2 +(g3 +g4 +(g204 +g203 +g298 +ttRp299 +(dp300 +g17 +g18 +((ltRp301 +sg8 +g205 +sbsS'Float' +p302 +g2 +(g3 +g4 +(g204 +g203 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g205 +sbsS'Hex' +p306 +g2 +(g3 +g4 +(g204 +g203 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g205 +sbsS'Integer' +p310 +g2 +(g3 +g4 +(g204 +g203 +g310 +ttRp311 +(dp312 +g17 +g18 +((lp313 +g2 +(g3 +g4 +(g204 +g203 +g310 +S'Long' +p314 +ttRp315 +(dp316 +g17 +g18 +((ltRp317 +sg8 +g311 +sbatRp318 +sg314 +g315 +sg8 +g205 +sbsS'Octal' +p319 +g2 +(g3 +g4 +(g204 +g203 +g319 +ttRp320 +(dp321 +g17 +g18 +((ltRp322 +sg8 +g205 +sbsg17 +g18 +((lp323 +g208 +ag212 +ag320 +ag299 +ag2 +(g3 +g4 +(g204 +g203 +S'Oct' +p324 +ttRp325 +(dp326 +g17 +g18 +((ltRp327 +sg8 +g205 +sbag311 +ag303 +ag307 +atRp328 +sg324 +g325 +sbsg204 +g215 +sg60 +g2 +(g3 +g4 +(g60 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g9 +sbsg162 +g2 +(g3 +g4 +(g162 +ttRp332 +(dp333 +g17 +g18 +((ltRp334 +sg8 +g9 +sbsS'Operator' +p335 +g2 +(g3 +g4 +(g335 +ttRp336 +(dp337 +g17 +g18 +((lp338 +g2 +(g3 +g4 +(g335 +S'Word' +p339 +ttRp340 +(dp341 +g17 +g18 +((ltRp342 +sg8 +g336 +sbatRp343 +sg339 +g340 +sg8 +g9 +sbsg17 +g18 +((lp344 +g6 +ag332 +ag136 +ag181 +ag12 +ag194 +ag107 +ag215 +ag336 +ag329 +atRp345 +sg217 +g218 +sbsS'Preproc' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g17 +g18 +((ltRp349 +sg8 +g6 +sbsg263 +g2 +(g3 +g4 +(g5 +g263 +ttRp350 +(dp351 +g17 +g18 +((ltRp352 +sg8 +g6 +sbsS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsg17 +g18 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g17 +g18 +((ltRp361 +sg8 +g6 +sbag347 +ag350 +ag354 +atRp362 +sg358 +g359 +sbV## CD ROM +p363 +tp364 +a(g181 +V\u000a +tp365 +a(g107 +Vdeb +p366 +tp367 +a(g181 +V +tp368 +a(g218 +Vcdrom: +p369 +tp370 +a(g267 +V[ +tp371 +a(g267 +VXubuntu 6.06.1 _Dapper Drake_ - Release i386 (20060807) +p372 +tp373 +a(g267 +V] +tp374 +a(g218 +V/ +tp375 +a(g181 +V +tp376 +a(g115 +Vdapper +p377 +tp378 +a(g181 +V +tp379 +a(g115 +Vmain +p380 +tp381 +a(g181 +V +tp382 +a(g115 +Vrestricted +p383 +tp384 +a(g181 +V +tp385 +a(g181 +V\u000a\u000a +p386 +tp387 +a(g107 +Vdeb +p388 +tp389 +a(g181 +V +tp390 +a(g218 +Vhttp://archive.ubuntu.com/ubuntu/ +p391 +tp392 +a(g181 +V +tp393 +a(g115 +Vdapper +p394 +tp395 +a(g181 +V +tp396 +a(g115 +Vmain +p397 +tp398 +a(g181 +V +tp399 +a(g115 +Vrestricted +p400 +tp401 +a(g181 +V +tp402 +a(g181 +V\u000a +tp403 +a(g107 +Vdeb-src +p404 +tp405 +a(g181 +V +tp406 +a(g218 +Vhttp://archive.ubuntu.com/ubuntu/ +p407 +tp408 +a(g181 +V +tp409 +a(g115 +Vdapper +p410 +tp411 +a(g181 +V +tp412 +a(g115 +Vmain +p413 +tp414 +a(g181 +V +tp415 +a(g115 +Vrestricted +p416 +tp417 +a(g181 +V +tp418 +a(g181 +V\u000a\u000a +p419 +tp420 +a(g107 +Vdeb +p421 +tp422 +a(g181 +V +tp423 +a(g218 +Vhttp://foo.com/ +p424 +tp425 +a(g69 +V$(ARCH) +p426 +tp427 +a(g218 +V/ +tp428 +a(g181 +V +tp429 +a(g115 +Vmain +p430 +tp431 +a(g181 +V +tp432 +a(g115 +Vfoo +p433 +tp434 +a(g181 +V +tp435 +a(g181 +V\u000a\u000a +p436 +tp437 +a(g6 +V## Major bug fix updates produced after the final release of the +p438 +tp439 +a(g181 +V\u000a +tp440 +a(g6 +V## distribution. +p441 +tp442 +a(g181 +V\u000a +tp443 +a(g107 +Vdeb +p444 +tp445 +a(g181 +V +tp446 +a(g218 +Vhttp://archive.ubuntu.com/ubuntu/ +p447 +tp448 +a(g181 +V +tp449 +a(g115 +Vdapper-updates +p450 +tp451 +a(g181 +V +tp452 +a(g115 +Vmain +p453 +tp454 +a(g181 +V +tp455 +a(g115 +Vrestricted +p456 +tp457 +a(g181 +V +tp458 +a(g181 +V\u000a +tp459 +a(g107 +Vdeb-src +p460 +tp461 +a(g181 +V +tp462 +a(g218 +Vhttp://archive.ubuntu.com/ubuntu/ +p463 +tp464 +a(g181 +V +tp465 +a(g115 +Vdapper-updates +p466 +tp467 +a(g181 +V +tp468 +a(g115 +Vmain +p469 +tp470 +a(g181 +V +tp471 +a(g115 +Vrestricted +p472 +tp473 +a(g181 +V +tp474 +a(g181 +V\u000a\u000a +p475 +tp476 +a(g6 +V## Uncomment the following two lines to add software from the 'universe' +p477 +tp478 +a(g181 +V\u000a +tp479 +a(g6 +V## repository. +p480 +tp481 +a(g181 +V\u000a +tp482 +a(g6 +V## N.B. software from this repository is ENTIRELY UNSUPPORTED by the Ubuntu +p483 +tp484 +a(g181 +V\u000a +tp485 +a(g6 +V## team, and may not be under a free licence. Please satisfy yourself as to +p486 +tp487 +a(g181 +V\u000a +tp488 +a(g6 +V## your rights to use the software. Also, please note that software in +p489 +tp490 +a(g181 +V\u000a +tp491 +a(g6 +V## universe WILL NOT receive any review or updates from the Ubuntu security +p492 +tp493 +a(g181 +V\u000a +tp494 +a(g6 +V## team. +p495 +tp496 +a(g181 +V\u000a +tp497 +a(g107 +Vdeb +p498 +tp499 +a(g181 +V +tp500 +a(g218 +Vhttp://archive.ubuntu.com/ubuntu/ +p501 +tp502 +a(g181 +V +tp503 +a(g115 +Vdapper +p504 +tp505 +a(g181 +V +tp506 +a(g115 +Vuniverse +p507 +tp508 +a(g181 +V +tp509 +a(g115 +Vmultiverse +p510 +tp511 +a(g181 +V +tp512 +a(g181 +V\u000a +tp513 +a(g107 +Vdeb-src +p514 +tp515 +a(g181 +V +tp516 +a(g218 +Vhttp://archive.ubuntu.com/ubuntu/ +p517 +tp518 +a(g181 +V +tp519 +a(g115 +Vdapper +p520 +tp521 +a(g181 +V +tp522 +a(g115 +Vuniverse +p523 +tp524 +a(g181 +V +tp525 +a(g115 +Vmultiverse +p526 +tp527 +a(g181 +V +tp528 +a(g181 +V\u000a\u000a +p529 +tp530 +a(g6 +V## Uncomment the following two lines to add software from the 'backports' +p531 +tp532 +a(g181 +V\u000a +tp533 +a(g6 +V## repository. +p534 +tp535 +a(g181 +V\u000a +tp536 +a(g6 +V## N.B. software from this repository may not have been tested as +p537 +tp538 +a(g181 +V\u000a +tp539 +a(g6 +V## extensively as that contained in the main release, although it includes +p540 +tp541 +a(g181 +V\u000a +tp542 +a(g6 +V## newer versions of some applications which may provide useful features. +p543 +tp544 +a(g181 +V\u000a +tp545 +a(g6 +V## Also, please note that software in backports WILL NOT receive any review +p546 +tp547 +a(g181 +V\u000a +tp548 +a(g6 +V## or updates from the Ubuntu security team. +p549 +tp550 +a(g181 +V\u000a +tp551 +a(g107 +Vdeb +p552 +tp553 +a(g181 +V +tp554 +a(g218 +Vhttp://archive.ubuntu.com/ubuntu/ +p555 +tp556 +a(g181 +V +tp557 +a(g115 +Vdapper-backports +p558 +tp559 +a(g181 +V +tp560 +a(g115 +Vmain +p561 +tp562 +a(g181 +V +tp563 +a(g115 +Vrestricted +p564 +tp565 +a(g181 +V +tp566 +a(g115 +Vuniverse +p567 +tp568 +a(g181 +V +tp569 +a(g115 +Vmultiverse +p570 +tp571 +a(g181 +V +tp572 +a(g181 +V\u000a +tp573 +a(g107 +Vdeb-src +p574 +tp575 +a(g181 +V +tp576 +a(g218 +Vhttp://archive.ubuntu.com/ubuntu/ +p577 +tp578 +a(g181 +V +tp579 +a(g115 +Vdapper-backports +p580 +tp581 +a(g181 +V +tp582 +a(g115 +Vmain +p583 +tp584 +a(g181 +V +tp585 +a(g115 +Vrestricted +p586 +tp587 +a(g181 +V +tp588 +a(g115 +Vuniverse +p589 +tp590 +a(g181 +V +tp591 +a(g115 +Vmultiverse +p592 +tp593 +a(g181 +V +tp594 +a(g181 +V\u000a\u000a +p595 +tp596 +a(g107 +Vdeb +p597 +tp598 +a(g181 +V +tp599 +a(g218 +Vhttp://security.ubuntu.com/ubuntu +p600 +tp601 +a(g181 +V +tp602 +a(g115 +Vdapper-security +p603 +tp604 +a(g181 +V +tp605 +a(g115 +Vmain +p606 +tp607 +a(g181 +V +tp608 +a(g115 +Vrestricted +p609 +tp610 +a(g181 +V +tp611 +a(g181 +V\u000a +tp612 +a(g107 +Vdeb-src +p613 +tp614 +a(g181 +V +tp615 +a(g218 +Vhttp://security.ubuntu.com/ubuntu +p616 +tp617 +a(g181 +V +tp618 +a(g115 +Vdapper-security +p619 +tp620 +a(g181 +V +tp621 +a(g115 +Vmain +p622 +tp623 +a(g181 +V +tp624 +a(g115 +Vrestricted +p625 +tp626 +a(g181 +V +tp627 +a(g181 +V\u000a +tp628 +a(g107 +Vdeb +p629 +tp630 +a(g181 +V +tp631 +a(g218 +Vhttp://security.ubuntu.com/ubuntu +p632 +tp633 +a(g181 +V +tp634 +a(g115 +Vdapper-security +p635 +tp636 +a(g181 +V +tp637 +a(g115 +Vuniverse +p638 +tp639 +a(g181 +V +tp640 +a(g115 +Vmultiverse +p641 +tp642 +a(g181 +V +tp643 +a(g181 +V\u000a +tp644 +a(g107 +Vdeb-src +p645 +tp646 +a(g181 +V +tp647 +a(g218 +Vhttp://security.ubuntu.com/ubuntu +p648 +tp649 +a(g181 +V +tp650 +a(g115 +Vdapper-security +p651 +tp652 +a(g181 +V +tp653 +a(g115 +Vuniverse +p654 +tp655 +a(g181 +V +tp656 +a(g115 +Vmultiverse +p657 +tp658 +a(g181 +V +tp659 +a(g181 +V\u000a\u000a +p660 +tp661 +a(g6 +V## dapper-commercial by canonical +p662 +tp663 +a(g181 +V\u000a +tp664 +a(g6 +V## currently has realplay (realplayer 10) and opera (opera 9) +p665 +tp666 +a(g181 +V\u000a +tp667 +a(g107 +Vdeb +p668 +tp669 +a(g181 +V +tp670 +a(g218 +Vhttp://archive.canonical.com/ubuntu +p671 +tp672 +a(g181 +V +tp673 +a(g115 +Vdapper-commercial +p674 +tp675 +a(g181 +V +tp676 +a(g115 +Vmain +p677 +tp678 +a(g181 +V +tp679 +a(g181 +V\u000a\u000a +p680 +tp681 +a(g6 +V## Bleeding edge wine repository for Dapper +p682 +tp683 +a(g181 +V\u000a +tp684 +a(g6 +V## only uncomment it if you need it +p685 +tp686 +a(g181 +V\u000a +tp687 +a(g6 +V## deb http://wine.budgetdedicated.com/apt dapper main +p688 +tp689 +a(g181 +V\u000a +tp690 +a(g6 +V## deb-src http://wine.budgetdedicated.com/apt dapper main +p691 +tp692 +a(g181 +V\u000a\u000a +p693 +tp694 +a(g6 +V## skype +p695 +tp696 +a(g181 +V\u000a +tp697 +a(g6 +V## only uncomment it if you need it +p698 +tp699 +a(g181 +V\u000a +tp700 +a(g6 +V## deb http://download.skype.com/linux/repos/debian/ stable non-free +p701 +tp702 +a(g181 +V\u000a\u000a +p703 +tp704 +a(g107 +Vdeb +p705 +tp706 +a(g181 +V +tp707 +a(g218 +Vhttp://de.archive.ubuntu.com/ubuntu/ +p708 +tp709 +a(g181 +V +tp710 +a(g115 +Vedgy +p711 +tp712 +a(g181 +V +tp713 +a(g115 +Vmain +p714 +tp715 +a(g181 +V +tp716 +a(g115 +Vrestricted +p717 +tp718 +a(g181 +V +tp719 +a(g115 +Vmultiverse +p720 +tp721 +a(g181 +V +tp722 +a(g115 +Vuniverse +p723 +tp724 +a(g181 +V +tp725 +a(g181 +V\u000a\u000a +p726 +tp727 +a(g107 +Vdeb +p728 +tp729 +a(g181 +V +tp730 +a(g218 +Vhttp://de.archive.ubuntu.com/ubuntu/ +p731 +tp732 +a(g181 +V +tp733 +a(g115 +Vedgy-updates +p734 +tp735 +a(g181 +V +tp736 +a(g115 +Vmain +p737 +tp738 +a(g181 +V +tp739 +a(g115 +Vrestricted +p740 +tp741 +a(g181 +V +tp742 +a(g115 +Vmultiverse +p743 +tp744 +a(g181 +V +tp745 +a(g115 +Vuniverse +p746 +tp747 +a(g181 +V +tp748 +a(g181 +V\u000a\u000a +p749 +tp750 +a(g107 +Vdeb +p751 +tp752 +a(g181 +V +tp753 +a(g218 +Vhttp://de.archive.ubuntu.com/ubuntu/ +p754 +tp755 +a(g181 +V +tp756 +a(g115 +Vedgy-backports +p757 +tp758 +a(g181 +V +tp759 +a(g115 +Vmain +p760 +tp761 +a(g181 +V +tp762 +a(g115 +Vrestricted +p763 +tp764 +a(g181 +V +tp765 +a(g115 +Vuniverse +p766 +tp767 +a(g181 +V +tp768 +a(g115 +Vmultiverse +p769 +tp770 +a(g181 +V +tp771 +a(g181 +V\u000a\u000a +p772 +tp773 +a(g107 +Vdeb +p774 +tp775 +a(g181 +V +tp776 +a(g218 +Vhttp://security.ubuntu.com/ubuntu +p777 +tp778 +a(g181 +V +tp779 +a(g115 +Vedgy-security +p780 +tp781 +a(g181 +V +tp782 +a(g115 +Vmain +p783 +tp784 +a(g181 +V +tp785 +a(g115 +Vrestricted +p786 +tp787 +a(g181 +V +tp788 +a(g115 +Vuniverse +p789 +tp790 +a(g181 +V +tp791 +a(g115 +Vmultiverse +p792 +tp793 +a(g181 +V +tp794 +a(g181 +V\u000a\u000a +p795 +tp796 +a(g107 +Vdeb +p797 +tp798 +a(g181 +V +tp799 +a(g218 +Vhttp://wine.budgetdedicated.com/apt +p800 +tp801 +a(g181 +V +tp802 +a(g115 +Vedgy +p803 +tp804 +a(g181 +V +tp805 +a(g115 +Vmain +p806 +tp807 +a(g181 +V +tp808 +a(g181 +V\u000a\u000a +p809 +tp810 +a(g107 +Vdeb +p811 +tp812 +a(g181 +V +tp813 +a(g218 +Vhttp://archive.czessi.net/ubuntu +p814 +tp815 +a(g181 +V +tp816 +a(g115 +Vedgy +p817 +tp818 +a(g181 +V +tp819 +a(g115 +Vmain +p820 +tp821 +a(g181 +V +tp822 +a(g115 +Vrestricted +p823 +tp824 +a(g181 +V +tp825 +a(g115 +Vuniverse +p826 +tp827 +a(g181 +V +tp828 +a(g115 +Vmultiverse +p829 +tp830 +a(g181 +V +tp831 +a(g115 +Vi18n-de +p832 +tp833 +a(g181 +V +tp834 +a(g181 +V\u000a +tp835 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/sphere.pov b/tests/examplefiles/output/sphere.pov new file mode 100644 index 0000000..de37fde --- /dev/null +++ b/tests/examplefiles/output/sphere.pov @@ -0,0 +1,1696 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Preproc' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsg6 +g7 +sg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag7 +ag354 +ag358 +atRp366 +sg362 +g363 +sbsbV#include +p367 +tp368 +a(g189 +V +tp369 +a(g245 +V"colors.inc" +p370 +tp371 +a(g189 +V \u000a\u000a +p372 +tp373 +a(g111 +Vbackground +p374 +tp375 +a(g189 +V +tp376 +a(g202 +V{ +tp377 +a(g189 +V +tp378 +a(g111 +Vcolor +p379 +tp380 +a(g189 +V +tp381 +a(g18 +VCyan +p382 +tp383 +a(g189 +V +tp384 +a(g202 +V} +tp385 +a(g189 +V\u000a\u000a +p386 +tp387 +a(g57 +Vcamera +p388 +tp389 +a(g189 +V +tp390 +a(g202 +V{ +tp391 +a(g189 +V\u000a +p392 +tp393 +a(g111 +Vlocation +p394 +tp395 +a(g189 +V +tp396 +a(g202 +V< +tp397 +a(g319 +V0 +tp398 +a(g202 +V, +tp399 +a(g189 +V +tp400 +a(g319 +V2 +tp401 +a(g202 +V, +tp402 +a(g189 +V +tp403 +a(g344 +V- +tp404 +a(g319 +V3 +tp405 +a(g202 +V> +tp406 +a(g189 +V\u000a +p407 +tp408 +a(g111 +Vlook_at +p409 +tp410 +a(g189 +V +tp411 +a(g202 +V< +tp412 +a(g319 +V0 +tp413 +a(g202 +V, +tp414 +a(g189 +V +tp415 +a(g319 +V1 +tp416 +a(g202 +V, +tp417 +a(g189 +V +tp418 +a(g319 +V2 +tp419 +a(g202 +V> +tp420 +a(g189 +V\u000a +tp421 +a(g202 +V} +tp422 +a(g189 +V\u000a\u000a +p423 +tp424 +a(g57 +Vsphere +p425 +tp426 +a(g189 +V +tp427 +a(g202 +V{ +tp428 +a(g189 +V\u000a +p429 +tp430 +a(g202 +V< +tp431 +a(g319 +V0 +tp432 +a(g202 +V, +tp433 +a(g189 +V +tp434 +a(g319 +V1 +tp435 +a(g202 +V, +tp436 +a(g189 +V +tp437 +a(g319 +V2 +tp438 +a(g202 +V> +tp439 +a(g202 +V, +tp440 +a(g189 +V +tp441 +a(g319 +V2 +tp442 +a(g189 +V\u000a +p443 +tp444 +a(g111 +Vtexture +p445 +tp446 +a(g189 +V +tp447 +a(g202 +V{ +tp448 +a(g189 +V\u000a +p449 +tp450 +a(g111 +Vpigment +p451 +tp452 +a(g189 +V +tp453 +a(g202 +V{ +tp454 +a(g189 +V +tp455 +a(g111 +Vcolor +p456 +tp457 +a(g189 +V +tp458 +a(g18 +VYellow +p459 +tp460 +a(g189 +V +tp461 +a(g202 +V} +tp462 +a(g189 +V\u000a +p463 +tp464 +a(g202 +V} +tp465 +a(g189 +V\u000a +tp466 +a(g202 +V} +tp467 +a(g189 +V\u000a\u000a +p468 +tp469 +a(g57 +Vlight_source +p470 +tp471 +a(g189 +V +tp472 +a(g202 +V{ +tp473 +a(g189 +V +tp474 +a(g202 +V< +tp475 +a(g319 +V2 +tp476 +a(g202 +V, +tp477 +a(g189 +V +tp478 +a(g319 +V4 +tp479 +a(g202 +V, +tp480 +a(g189 +V +tp481 +a(g344 +V- +tp482 +a(g319 +V3 +tp483 +a(g202 +V> +tp484 +a(g189 +V +tp485 +a(g111 +Vcolor +p486 +tp487 +a(g189 +V +tp488 +a(g18 +VWhite +p489 +tp490 +a(g202 +V} +tp491 +a(g189 +V\u000a +tp492 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/sqlite3.sqlite3-console b/tests/examplefiles/output/sqlite3.sqlite3-console new file mode 100644 index 0000000..ce211c1 --- /dev/null +++ b/tests/examplefiles/output/sqlite3.sqlite3-console @@ -0,0 +1,1638 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Generic' +p5 +S'Output' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Prompt' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g12 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g22 +sbsg9 +g10 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g9 +g10 +((ltRp40 +sg12 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g43 +sbsS'Constant' +p57 +g2 +(g3 +g4 +(g42 +g57 +ttRp58 +(dp59 +g9 +g10 +((ltRp60 +sg12 +g43 +sbsg12 +g19 +sS'Pseudo' +p61 +g2 +(g3 +g4 +(g42 +g61 +ttRp62 +(dp63 +g9 +g10 +((ltRp64 +sg12 +g43 +sbsS'Attribute' +p65 +g2 +(g3 +g4 +(g42 +g65 +ttRp66 +(dp67 +g9 +g10 +((ltRp68 +sg12 +g43 +sbsS'Label' +p69 +g2 +(g3 +g4 +(g42 +g69 +ttRp70 +(dp71 +g9 +g10 +((ltRp72 +sg12 +g43 +sbsS'Blubb' +p73 +g2 +(g3 +g4 +(g42 +g73 +ttRp74 +(dp75 +g9 +g10 +((ltRp76 +sg12 +g43 +sbsS'Entity' +p77 +g2 +(g3 +g4 +(g42 +g77 +ttRp78 +(dp79 +g9 +g10 +((ltRp80 +sg12 +g43 +sbsS'Builtin' +p81 +g2 +(g3 +g4 +(g42 +g81 +ttRp82 +(dp83 +g9 +g10 +((lp84 +g2 +(g3 +g4 +(g42 +g81 +g61 +ttRp85 +(dp86 +g9 +g10 +((ltRp87 +sg12 +g82 +sbatRp88 +sg61 +g85 +sg12 +g43 +sbsS'Other' +p89 +g2 +(g3 +g4 +(g42 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g43 +sbsS'Identifier' +p93 +g2 +(g3 +g4 +(g42 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g43 +sbsS'Variable' +p97 +g2 +(g3 +g4 +(g42 +g97 +ttRp98 +(dp99 +g12 +g43 +sS'Global' +p100 +g2 +(g3 +g4 +(g42 +g97 +g100 +ttRp101 +(dp102 +g9 +g10 +((ltRp103 +sg12 +g98 +sbsS'Instance' +p104 +g2 +(g3 +g4 +(g42 +g97 +g104 +ttRp105 +(dp106 +g9 +g10 +((ltRp107 +sg12 +g98 +sbsS'Anonymous' +p108 +g2 +(g3 +g4 +(g42 +g97 +g108 +ttRp109 +(dp110 +g9 +g10 +((ltRp111 +sg12 +g98 +sbsg9 +g10 +((lp112 +g109 +ag105 +ag101 +ag2 +(g3 +g4 +(g42 +g97 +S'Class' +p113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g98 +sbatRp117 +sg113 +g114 +sbsg9 +g10 +((lp118 +g2 +(g3 +g4 +(g42 +S'Decorator' +p119 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g43 +sbag66 +ag58 +ag62 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p123 +ttRp124 +(dp125 +g9 +g10 +((ltRp126 +sg12 +g43 +sbag94 +ag82 +ag98 +ag90 +ag74 +ag78 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p127 +ttRp128 +(dp129 +g9 +g10 +((ltRp130 +sg12 +g43 +sbag70 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g113 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g43 +sbatRp134 +sg127 +g128 +sg113 +g131 +sg119 +g120 +sg123 +g124 +sbsS'Keyword' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +g57 +g2 +(g3 +g4 +(g135 +g57 +ttRp138 +(dp139 +g9 +g10 +((ltRp140 +sg12 +g136 +sbsg12 +g19 +sg123 +g2 +(g3 +g4 +(g135 +g123 +ttRp141 +(dp142 +g9 +g10 +((ltRp143 +sg12 +g136 +sbsg61 +g2 +(g3 +g4 +(g135 +g61 +ttRp144 +(dp145 +g9 +g10 +((ltRp146 +sg12 +g136 +sbsS'Reserved' +p147 +g2 +(g3 +g4 +(g135 +g147 +ttRp148 +(dp149 +g9 +g10 +((ltRp150 +sg12 +g136 +sbsS'Declaration' +p151 +g2 +(g3 +g4 +(g135 +g151 +ttRp152 +(dp153 +g9 +g10 +((ltRp154 +sg12 +g136 +sbsg97 +g2 +(g3 +g4 +(g135 +g97 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g136 +sbsg9 +g10 +((lp158 +g138 +ag148 +ag2 +(g3 +g4 +(g135 +S'Type' +p159 +ttRp160 +(dp161 +g9 +g10 +((ltRp162 +sg12 +g136 +sbag152 +ag155 +ag141 +ag144 +atRp163 +sg159 +g160 +sbsg5 +g13 +sS'Text' +p164 +g2 +(g3 +g4 +(g164 +ttRp165 +(dp166 +g9 +g10 +((lp167 +g2 +(g3 +g4 +(g164 +S'Symbol' +p168 +ttRp169 +(dp170 +g9 +g10 +((ltRp171 +sg12 +g165 +sbag2 +(g3 +g4 +(g164 +S'Whitespace' +p172 +ttRp173 +(dp174 +g9 +g10 +((ltRp175 +sg12 +g165 +sbatRp176 +sg168 +g169 +sg172 +g173 +sg12 +g19 +sbsS'Punctuation' +p177 +g2 +(g3 +g4 +(g177 +ttRp178 +(dp179 +g9 +g10 +((lp180 +g2 +(g3 +g4 +(g177 +S'Indicator' +p181 +ttRp182 +(dp183 +g9 +g10 +((ltRp184 +sg12 +g178 +sbatRp185 +sg181 +g182 +sg12 +g19 +sbsS'Token' +p186 +g19 +sS'Number' +p187 +g2 +(g3 +g4 +(S'Literal' +p188 +g187 +ttRp189 +(dp190 +S'Bin' +p191 +g2 +(g3 +g4 +(g188 +g187 +g191 +ttRp192 +(dp193 +g9 +g10 +((ltRp194 +sg12 +g189 +sbsS'Binary' +p195 +g2 +(g3 +g4 +(g188 +g187 +g195 +ttRp196 +(dp197 +g9 +g10 +((ltRp198 +sg12 +g189 +sbsg12 +g2 +(g3 +g4 +(g188 +ttRp199 +(dp200 +S'String' +p201 +g2 +(g3 +g4 +(g188 +g201 +ttRp202 +(dp203 +S'Regex' +p204 +g2 +(g3 +g4 +(g188 +g201 +g204 +ttRp205 +(dp206 +g9 +g10 +((ltRp207 +sg12 +g202 +sbsS'Interpol' +p208 +g2 +(g3 +g4 +(g188 +g201 +g208 +ttRp209 +(dp210 +g9 +g10 +((ltRp211 +sg12 +g202 +sbsS'Regexp' +p212 +g2 +(g3 +g4 +(g188 +g201 +g212 +ttRp213 +(dp214 +g9 +g10 +((ltRp215 +sg12 +g202 +sbsg12 +g199 +sS'Heredoc' +p216 +g2 +(g3 +g4 +(g188 +g201 +g216 +ttRp217 +(dp218 +g9 +g10 +((ltRp219 +sg12 +g202 +sbsS'Double' +p220 +g2 +(g3 +g4 +(g188 +g201 +g220 +ttRp221 +(dp222 +g9 +g10 +((ltRp223 +sg12 +g202 +sbsg168 +g2 +(g3 +g4 +(g188 +g201 +g168 +ttRp224 +(dp225 +g9 +g10 +((ltRp226 +sg12 +g202 +sbsS'Escape' +p227 +g2 +(g3 +g4 +(g188 +g201 +g227 +ttRp228 +(dp229 +g9 +g10 +((ltRp230 +sg12 +g202 +sbsS'Character' +p231 +g2 +(g3 +g4 +(g188 +g201 +g231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g202 +sbsS'Interp' +p235 +g2 +(g3 +g4 +(g188 +g201 +g235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g202 +sbsS'Backtick' +p239 +g2 +(g3 +g4 +(g188 +g201 +g239 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g202 +sbsS'Char' +p243 +g2 +(g3 +g4 +(g188 +g201 +g243 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g202 +sbsg28 +g2 +(g3 +g4 +(g188 +g201 +g28 +ttRp247 +(dp248 +g9 +g10 +((ltRp249 +sg12 +g202 +sbsg89 +g2 +(g3 +g4 +(g188 +g201 +g89 +ttRp250 +(dp251 +g9 +g10 +((ltRp252 +sg12 +g202 +sbsS'Doc' +p253 +g2 +(g3 +g4 +(g188 +g201 +g253 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g202 +sbsg9 +g10 +((lp257 +g250 +ag2 +(g3 +g4 +(g188 +g201 +S'Atom' +p258 +ttRp259 +(dp260 +g9 +g10 +((ltRp261 +sg12 +g202 +sbag221 +ag244 +ag236 +ag254 +ag217 +ag240 +ag209 +ag224 +ag213 +ag205 +ag247 +ag232 +ag228 +atRp262 +sg258 +g259 +sbsg12 +g19 +sg187 +g189 +sS'Scalar' +p263 +g2 +(g3 +g4 +(g188 +g263 +ttRp264 +(dp265 +g9 +g10 +((lp266 +g2 +(g3 +g4 +(g188 +g263 +S'Plain' +p267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g264 +sbatRp271 +sg12 +g199 +sg267 +g268 +sbsg89 +g2 +(g3 +g4 +(g188 +g89 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g199 +sbsS'Date' +p275 +g2 +(g3 +g4 +(g188 +g275 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g199 +sbsg9 +g10 +((lp279 +g276 +ag202 +ag272 +ag189 +ag264 +atRp280 +sbsS'Decimal' +p281 +g2 +(g3 +g4 +(g188 +g187 +g281 +ttRp282 +(dp283 +g9 +g10 +((ltRp284 +sg12 +g189 +sbsS'Float' +p285 +g2 +(g3 +g4 +(g188 +g187 +g285 +ttRp286 +(dp287 +g9 +g10 +((ltRp288 +sg12 +g189 +sbsS'Hex' +p289 +g2 +(g3 +g4 +(g188 +g187 +g289 +ttRp290 +(dp291 +g9 +g10 +((ltRp292 +sg12 +g189 +sbsS'Integer' +p293 +g2 +(g3 +g4 +(g188 +g187 +g293 +ttRp294 +(dp295 +g9 +g10 +((lp296 +g2 +(g3 +g4 +(g188 +g187 +g293 +S'Long' +p297 +ttRp298 +(dp299 +g9 +g10 +((ltRp300 +sg12 +g294 +sbatRp301 +sg297 +g298 +sg12 +g189 +sbsS'Octal' +p302 +g2 +(g3 +g4 +(g188 +g187 +g302 +ttRp303 +(dp304 +g9 +g10 +((ltRp305 +sg12 +g189 +sbsg9 +g10 +((lp306 +g192 +ag196 +ag303 +ag282 +ag2 +(g3 +g4 +(g188 +g187 +S'Oct' +p307 +ttRp308 +(dp309 +g9 +g10 +((ltRp310 +sg12 +g189 +sbag294 +ag286 +ag290 +atRp311 +sg307 +g308 +sbsg188 +g199 +sg89 +g2 +(g3 +g4 +(g89 +ttRp312 +(dp313 +g9 +g10 +((ltRp314 +sg12 +g19 +sbsS'Error' +p315 +g2 +(g3 +g4 +(g315 +ttRp316 +(dp317 +g9 +g10 +((ltRp318 +sg12 +g19 +sbsS'Operator' +p319 +g2 +(g3 +g4 +(g319 +ttRp320 +(dp321 +g9 +g10 +((lp322 +g2 +(g3 +g4 +(g319 +S'Word' +p323 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g320 +sbatRp327 +sg323 +g324 +sg12 +g19 +sbsg9 +g10 +((lp328 +g22 +ag316 +ag13 +ag165 +ag43 +ag178 +ag136 +ag199 +ag320 +ag312 +atRp329 +sg201 +g202 +sbsS'Deleted' +p330 +g2 +(g3 +g4 +(g5 +g330 +ttRp331 +(dp332 +g9 +g10 +((ltRp333 +sg12 +g13 +sbsS'Traceback' +p334 +g2 +(g3 +g4 +(g5 +g334 +ttRp335 +(dp336 +g9 +g10 +((ltRp337 +sg12 +g13 +sbsS'Emph' +p338 +g2 +(g3 +g4 +(g5 +g338 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g13 +sbsg6 +g7 +sS'Subheading' +p342 +g2 +(g3 +g4 +(g5 +g342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g13 +sbsg315 +g2 +(g3 +g4 +(g5 +g315 +ttRp346 +(dp347 +g9 +g10 +((ltRp348 +sg12 +g13 +sbsg9 +g10 +((lp349 +g7 +ag339 +ag346 +ag343 +ag335 +ag331 +ag2 +(g3 +g4 +(g5 +S'Heading' +p350 +ttRp351 +(dp352 +g9 +g10 +((ltRp353 +sg12 +g13 +sbag2 +(g3 +g4 +(g5 +S'Inserted' +p354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbag2 +(g3 +g4 +(g5 +S'Strong' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag16 +atRp362 +sg358 +g359 +sg354 +g355 +sg350 +g351 +sbsbVSQLite version 3.4.2\u000a +p363 +tp364 +a(g7 +VEnter ".help" for instructions\u000a +p365 +tp366 +a(g178 +V +tp367 +a(g16 +Vsqlite> +p368 +tp369 +a(g178 +V. +tp370 +a(g136 +Vschema +p371 +tp372 +a(g165 +V\u000a +tp373 +a(g7 +VCREATE TABLE paste (paste_id integer, code text, parsed_code text, pub_date\u000a +p374 +tp375 +a(g7 +Vvarchar(24), language varchar(64), parent_id integer, url varchar(128));\u000a +p376 +tp377 +a(g7 +VCREATE TABLE vars (key varchar(24), value varchar(128));\u000a +p378 +tp379 +a(g43 +V +tp380 +a(g16 +Vsqlite> +p381 +tp382 +a(g43 +Va +tp383 +a(g165 +V +tp384 +a(g247 +V'\u000a +p385 +tp386 +a(g16 +V ...> +p387 +tp388 +a(g247 +V' +tp389 +a(g165 +V\u000a +tp390 +a(g16 +V ...> +p391 +tp392 +a(g165 +V +tp393 +a(g178 +V; +tp394 +a(g165 +V\u000a +tp395 +a(g335 +VSQL error: near "a": syntax error\u000a +p396 +tp397 +a(g320 +V +tp398 +a(g16 +Vsqlite> +p399 +tp400 +a(g320 +V% +tp401 +a(g178 +V; +tp402 +a(g165 +V\u000a +tp403 +a(g335 +VSQL error: near "%": syntax error\u000a +p404 +tp405 +a(g136 +V +tp406 +a(g16 +Vsqlite> +p407 +tp408 +a(g136 +Vselect +p409 +tp410 +a(g165 +V +tp411 +a(g136 +Vcount +p412 +tp413 +a(g178 +V( +tp414 +a(g136 +Vlanguage +p415 +tp416 +a(g178 +V) +tp417 +a(g178 +V, +tp418 +a(g165 +V +tp419 +a(g136 +Vlanguage +p420 +tp421 +a(g165 +V +tp422 +a(g136 +Vfrom +p423 +tp424 +a(g165 +V +tp425 +a(g43 +Vpaste +p426 +tp427 +a(g165 +V +tp428 +a(g136 +Vgroup +p429 +tp430 +a(g165 +V +tp431 +a(g136 +Vby +p432 +tp433 +a(g165 +V +tp434 +a(g136 +Vlanguage +p435 +tp436 +a(g165 +V +tp437 +a(g136 +Vorder +p438 +tp439 +a(g165 +V\u000a +tp440 +a(g16 +V ...> +p441 +tp442 +a(g165 +V +tp443 +a(g136 +Vby +p444 +tp445 +a(g165 +V +tp446 +a(g136 +Vcount +p447 +tp448 +a(g178 +V( +tp449 +a(g136 +Vlanguage +p450 +tp451 +a(g178 +V) +tp452 +a(g165 +V +tp453 +a(g136 +Vdesc +p454 +tp455 +a(g178 +V; +tp456 +a(g165 +V\u000a +tp457 +a(g7 +V144|python\u000a +p458 +tp459 +a(g7 +V76|text\u000a +p460 +tp461 +a(g7 +V22|pycon\u000a +p462 +tp463 +a(g7 +V9|ruby\u000a +p464 +tp465 +a(g7 +V7|c\u000a +p466 +tp467 +a(g7 +V7|js\u000a +p468 +tp469 +a(g7 +V6|html+django\u000a +p470 +tp471 +a(g7 +V4|html\u000a +p472 +tp473 +a(g7 +V4|tex\u000a +p474 +tp475 +a(g7 +V2|html+php\u000a +p476 +tp477 +a(g7 +V1|cpp\u000a +p478 +tp479 +a(g7 +V1|scheme\u000a +p480 +tp481 +a(g165 +V +tp482 +a(g16 +Vsqlite> +p483 +tp484 +a(g165 +V\u000a +tp485 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/squid.conf b/tests/examplefiles/output/squid.conf new file mode 100644 index 0000000..95fcd7d --- /dev/null +++ b/tests/examplefiles/output/squid.conf @@ -0,0 +1,1769 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +g28 +g2 +(g3 +g4 +(g106 +g28 +ttRp109 +(dp110 +g17 +g18 +((ltRp111 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp112 +(dp113 +g17 +g18 +((ltRp114 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp115 +(dp116 +g17 +g18 +((ltRp117 +sg8 +g107 +sbsS'Reserved' +p118 +g2 +(g3 +g4 +(g106 +g118 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Declaration' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp126 +(dp127 +g17 +g18 +((ltRp128 +sg8 +g107 +sbsg17 +g18 +((lp129 +g109 +ag119 +ag2 +(g3 +g4 +(g106 +S'Type' +p130 +ttRp131 +(dp132 +g17 +g18 +((ltRp133 +sg8 +g107 +sbag123 +ag126 +ag112 +ag115 +atRp134 +sg130 +g131 +sbsS'Generic' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +S'Prompt' +p138 +g2 +(g3 +g4 +(g135 +g138 +ttRp139 +(dp140 +g17 +g18 +((ltRp141 +sg8 +g136 +sbsg8 +g9 +sS'Deleted' +p142 +g2 +(g3 +g4 +(g135 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g136 +sbsS'Traceback' +p146 +g2 +(g3 +g4 +(g135 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g136 +sbsS'Emph' +p150 +g2 +(g3 +g4 +(g135 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g136 +sbsS'Output' +p154 +g2 +(g3 +g4 +(g135 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g136 +sbsS'Subheading' +p158 +g2 +(g3 +g4 +(g135 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g136 +sbsS'Error' +p162 +g2 +(g3 +g4 +(g135 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g136 +sbsg17 +g18 +((lp166 +g155 +ag151 +ag163 +ag159 +ag147 +ag143 +ag2 +(g3 +g4 +(g135 +S'Heading' +p167 +ttRp168 +(dp169 +g17 +g18 +((ltRp170 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Inserted' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Strong' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g136 +sbag139 +atRp179 +sg175 +g176 +sg171 +g172 +sg167 +g168 +sbsS'Text' +p180 +g2 +(g3 +g4 +(g180 +ttRp181 +(dp182 +g17 +g18 +((lp183 +g2 +(g3 +g4 +(g180 +S'Symbol' +p184 +ttRp185 +(dp186 +g17 +g18 +((ltRp187 +sg8 +g181 +sbag2 +(g3 +g4 +(g180 +S'Whitespace' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g181 +sbatRp192 +sg184 +g185 +sg188 +g189 +sg8 +g9 +sbsS'Punctuation' +p193 +g2 +(g3 +g4 +(g193 +ttRp194 +(dp195 +g17 +g18 +((lp196 +g2 +(g3 +g4 +(g193 +S'Indicator' +p197 +ttRp198 +(dp199 +g17 +g18 +((ltRp200 +sg8 +g194 +sbatRp201 +sg197 +g198 +sg8 +g9 +sbsS'Token' +p202 +g9 +sS'Number' +p203 +g2 +(g3 +g4 +(S'Literal' +p204 +g203 +ttRp205 +(dp206 +S'Bin' +p207 +g2 +(g3 +g4 +(g204 +g203 +g207 +ttRp208 +(dp209 +g17 +g18 +((ltRp210 +sg8 +g205 +sbsS'Binary' +p211 +g2 +(g3 +g4 +(g204 +g203 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g205 +sbsg8 +g2 +(g3 +g4 +(g204 +ttRp215 +(dp216 +S'String' +p217 +g2 +(g3 +g4 +(g204 +g217 +ttRp218 +(dp219 +S'Regex' +p220 +g2 +(g3 +g4 +(g204 +g217 +g220 +ttRp221 +(dp222 +g17 +g18 +((ltRp223 +sg8 +g218 +sbsS'Interpol' +p224 +g2 +(g3 +g4 +(g204 +g217 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g218 +sbsS'Regexp' +p228 +g2 +(g3 +g4 +(g204 +g217 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g218 +sbsg8 +g215 +sS'Heredoc' +p232 +g2 +(g3 +g4 +(g204 +g217 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g218 +sbsS'Double' +p236 +g2 +(g3 +g4 +(g204 +g217 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g218 +sbsg184 +g2 +(g3 +g4 +(g204 +g217 +g184 +ttRp240 +(dp241 +g17 +g18 +((ltRp242 +sg8 +g218 +sbsS'Escape' +p243 +g2 +(g3 +g4 +(g204 +g217 +g243 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g218 +sbsS'Character' +p247 +g2 +(g3 +g4 +(g204 +g217 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g218 +sbsS'Interp' +p251 +g2 +(g3 +g4 +(g204 +g217 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g218 +sbsS'Backtick' +p255 +g2 +(g3 +g4 +(g204 +g217 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g218 +sbsS'Char' +p259 +g2 +(g3 +g4 +(g204 +g217 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g218 +sbsS'Single' +p263 +g2 +(g3 +g4 +(g204 +g217 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g218 +sbsg60 +g2 +(g3 +g4 +(g204 +g217 +g60 +ttRp267 +(dp268 +g17 +g18 +((ltRp269 +sg8 +g218 +sbsS'Doc' +p270 +g2 +(g3 +g4 +(g204 +g217 +g270 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g218 +sbsg17 +g18 +((lp274 +g267 +ag2 +(g3 +g4 +(g204 +g217 +S'Atom' +p275 +ttRp276 +(dp277 +g17 +g18 +((ltRp278 +sg8 +g218 +sbag237 +ag260 +ag252 +ag271 +ag233 +ag256 +ag225 +ag240 +ag229 +ag221 +ag264 +ag248 +ag244 +atRp279 +sg275 +g276 +sbsg8 +g9 +sg203 +g205 +sS'Scalar' +p280 +g2 +(g3 +g4 +(g204 +g280 +ttRp281 +(dp282 +g17 +g18 +((lp283 +g2 +(g3 +g4 +(g204 +g280 +S'Plain' +p284 +ttRp285 +(dp286 +g17 +g18 +((ltRp287 +sg8 +g281 +sbatRp288 +sg8 +g215 +sg284 +g285 +sbsg60 +g2 +(g3 +g4 +(g204 +g60 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g215 +sbsS'Date' +p292 +g2 +(g3 +g4 +(g204 +g292 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g215 +sbsg17 +g18 +((lp296 +g293 +ag218 +ag289 +ag205 +ag281 +atRp297 +sbsS'Decimal' +p298 +g2 +(g3 +g4 +(g204 +g203 +g298 +ttRp299 +(dp300 +g17 +g18 +((ltRp301 +sg8 +g205 +sbsS'Float' +p302 +g2 +(g3 +g4 +(g204 +g203 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g205 +sbsS'Hex' +p306 +g2 +(g3 +g4 +(g204 +g203 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g205 +sbsS'Integer' +p310 +g2 +(g3 +g4 +(g204 +g203 +g310 +ttRp311 +(dp312 +g17 +g18 +((lp313 +g2 +(g3 +g4 +(g204 +g203 +g310 +S'Long' +p314 +ttRp315 +(dp316 +g17 +g18 +((ltRp317 +sg8 +g311 +sbatRp318 +sg314 +g315 +sg8 +g205 +sbsS'Octal' +p319 +g2 +(g3 +g4 +(g204 +g203 +g319 +ttRp320 +(dp321 +g17 +g18 +((ltRp322 +sg8 +g205 +sbsg17 +g18 +((lp323 +g208 +ag212 +ag320 +ag299 +ag2 +(g3 +g4 +(g204 +g203 +S'Oct' +p324 +ttRp325 +(dp326 +g17 +g18 +((ltRp327 +sg8 +g205 +sbag311 +ag303 +ag307 +atRp328 +sg324 +g325 +sbsg204 +g215 +sg60 +g2 +(g3 +g4 +(g60 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g9 +sbsg162 +g2 +(g3 +g4 +(g162 +ttRp332 +(dp333 +g17 +g18 +((ltRp334 +sg8 +g9 +sbsS'Operator' +p335 +g2 +(g3 +g4 +(g335 +ttRp336 +(dp337 +g17 +g18 +((lp338 +g2 +(g3 +g4 +(g335 +S'Word' +p339 +ttRp340 +(dp341 +g17 +g18 +((ltRp342 +sg8 +g336 +sbatRp343 +sg339 +g340 +sg8 +g9 +sbsg17 +g18 +((lp344 +g6 +ag332 +ag136 +ag181 +ag12 +ag194 +ag107 +ag215 +ag336 +ag329 +atRp345 +sg217 +g218 +sbsS'Preproc' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g17 +g18 +((ltRp349 +sg8 +g6 +sbsg263 +g2 +(g3 +g4 +(g5 +g263 +ttRp350 +(dp351 +g17 +g18 +((ltRp352 +sg8 +g6 +sbsS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsg17 +g18 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g17 +g18 +((ltRp361 +sg8 +g6 +sbag347 +ag350 +ag354 +atRp362 +sg358 +g359 +sbV# +tp363 +a(g6 +V First, a comment block for the deafult conf: +p364 +tp365 +a(g181 +V\u000a\u000a +p366 +tp367 +a(g6 +V# +tp368 +a(g244 +V TAG: buffered_logs on|off +p369 +tp370 +a(g181 +V\u000a +tp371 +a(g6 +V# +tp372 +a(g6 +V cache.log log file is written with stdio functions, and as such +p373 +tp374 +a(g181 +V\u000a +tp375 +a(g6 +V# +tp376 +a(g6 +V it can be buffered or unbuffered. By default it will be unbuffered. +p377 +tp378 +a(g181 +V\u000a +tp379 +a(g6 +V# +tp380 +a(g6 +V Buffering it can speed up the writing slightly (though you are +p381 +tp382 +a(g181 +V\u000a +tp383 +a(g6 +V# +tp384 +a(g6 +V unlikely to need to worry unless you run with tons of debugging +p385 +tp386 +a(g181 +V\u000a +tp387 +a(g6 +V# +tp388 +a(g6 +V enabled in which case performance will suffer badly anyway..). +p389 +tp390 +a(g181 +V\u000a +tp391 +a(g6 +V# +tp392 +a(g6 +V +tp393 +a(g181 +V\u000a +tp394 +a(g6 +V# +tp395 +a(g6 +VDefault: +p396 +tp397 +a(g181 +V\u000a +tp398 +a(g6 +V# +tp399 +a(g6 +V buffered_logs off +p400 +tp401 +a(g181 +V\u000a\u000a +p402 +tp403 +a(g6 +V# +tp404 +a(g6 +V Now, a slightly useful (but in no way complete) set of options: +p405 +tp406 +a(g181 +V\u000a\u000a +p407 +tp408 +a(g107 +Vcache_peer +p409 +tp410 +a(g181 +V +tp411 +a(g181 +Vupstream1.example.com +p412 +tp413 +a(g181 +V +tp414 +a(g29 +Vparent +p415 +tp416 +a(g181 +V +tp417 +a(g205 +V8080 +p418 +tp419 +a(g181 +V +tp420 +a(g205 +V0 +tp421 +a(g181 +V +tp422 +a(g29 +Vno-query +p423 +tp424 +a(g181 +V +tp425 +a(g29 +Vproxy-only +p426 +tp427 +a(g181 +V +tp428 +a(g29 +Vround-robin +p429 +tp430 +a(g181 +V\u000a +tp431 +a(g107 +Vcache_peer +p432 +tp433 +a(g181 +V +tp434 +a(g181 +Vupstream2.example.com +p435 +tp436 +a(g181 +V +tp437 +a(g29 +Vparent +p438 +tp439 +a(g181 +V +tp440 +a(g205 +V3128 +p441 +tp442 +a(g181 +V +tp443 +a(g205 +V0 +tp444 +a(g181 +V +tp445 +a(g29 +Vno-query +p446 +tp447 +a(g181 +V +tp448 +a(g29 +Vproxy-only +p449 +tp450 +a(g181 +V +tp451 +a(g29 +Vround-robin +p452 +tp453 +a(g181 +V\u000a +tp454 +a(g107 +Vnever_direct +p455 +tp456 +a(g181 +V +tp457 +a(g29 +Vallow +p458 +tp459 +a(g181 +V +tp460 +a(g29 +Vall +p461 +tp462 +a(g181 +V\u000a +tp463 +a(g107 +Vnever_direct +p464 +tp465 +a(g181 +V +tp466 +a(g29 +Vallow +p467 +tp468 +a(g181 +V +tp469 +a(g181 +VCONNECT +p470 +tp471 +a(g181 +V\u000a\u000a +p472 +tp473 +a(g107 +Vacl +p474 +tp475 +a(g181 +V +tp476 +a(g181 +Vmyclients +p477 +tp478 +a(g181 +V +tp479 +a(g107 +Vsrc +p480 +tp481 +a(g181 +V +tp482 +a(g205 +V127.0.0.1 +p483 +tp484 +a(g181 +V\u000a +tp485 +a(g107 +Vhttp_access +p486 +tp487 +a(g181 +V +tp488 +a(g29 +Vallow +p489 +tp490 +a(g181 +V +tp491 +a(g181 +Vmyclients +p492 +tp493 +a(g181 +V\u000a\u000a +p494 +tp495 +a(g107 +Vacl +p496 +tp497 +a(g181 +V +tp498 +a(g181 +Vmynet +p499 +tp500 +a(g181 +V +tp501 +a(g107 +Vsrc +p502 +tp503 +a(g181 +V +tp504 +a(g205 +V192.168.0.0/255.255.0.0 +p505 +tp506 +a(g181 +V\u000a +tp507 +a(g107 +Vno_cache +p508 +tp509 +a(g181 +V +tp510 +a(g29 +Vdeny +p511 +tp512 +a(g181 +V +tp513 +a(g181 +Vmynet +p514 +tp515 +a(g181 +V\u000a\u000a +p516 +tp517 +a(g107 +Vacl +p518 +tp519 +a(g181 +V +tp520 +a(g181 +Vmynetlocal +p521 +tp522 +a(g181 +V +tp523 +a(g107 +Vdst +p524 +tp525 +a(g181 +V +tp526 +a(g205 +V192.168.0.0/255.255.0.0 +p527 +tp528 +a(g181 +V\u000a +tp529 +a(g107 +Valways_direct +p530 +tp531 +a(g181 +V +tp532 +a(g29 +Vallow +p533 +tp534 +a(g181 +V +tp535 +a(g181 +Vmynetlocal +p536 +tp537 +a(g181 +V\u000a +tp538 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/string_delimiters.d b/tests/examplefiles/output/string_delimiters.d new file mode 100644 index 0000000..349d017 --- /dev/null +++ b/tests/examplefiles/output/string_delimiters.d @@ -0,0 +1,1899 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Pervasive' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsS'Constant' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g11 +g12 +((ltRp18 +sg14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g14 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g11 +g12 +((ltRp35 +sg14 +g22 +sbsg11 +g12 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g11 +g12 +((ltRp40 +sg14 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g11 +g12 +((ltRp56 +sg14 +g43 +sbsg15 +g2 +(g3 +g4 +(g42 +g15 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g43 +sbsg14 +g19 +sS'Pseudo' +p60 +g2 +(g3 +g4 +(g42 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g43 +sbsS'Attribute' +p64 +g2 +(g3 +g4 +(g42 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g43 +sbsS'Label' +p68 +g2 +(g3 +g4 +(g42 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g43 +sbsS'Blubb' +p72 +g2 +(g3 +g4 +(g42 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g43 +sbsS'Entity' +p76 +g2 +(g3 +g4 +(g42 +g76 +ttRp77 +(dp78 +g11 +g12 +((ltRp79 +sg14 +g43 +sbsS'Builtin' +p80 +g2 +(g3 +g4 +(g42 +g80 +ttRp81 +(dp82 +g11 +g12 +((lp83 +g2 +(g3 +g4 +(g42 +g80 +g60 +ttRp84 +(dp85 +g11 +g12 +((ltRp86 +sg14 +g81 +sbatRp87 +sg60 +g84 +sg14 +g43 +sbsS'Other' +p88 +g2 +(g3 +g4 +(g42 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g43 +sbsS'Identifier' +p92 +g2 +(g3 +g4 +(g42 +g92 +ttRp93 +(dp94 +g11 +g12 +((ltRp95 +sg14 +g43 +sbsS'Variable' +p96 +g2 +(g3 +g4 +(g42 +g96 +ttRp97 +(dp98 +g14 +g43 +sS'Global' +p99 +g2 +(g3 +g4 +(g42 +g96 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g97 +sbsS'Instance' +p103 +g2 +(g3 +g4 +(g42 +g96 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g97 +sbsS'Anonymous' +p107 +g2 +(g3 +g4 +(g42 +g96 +g107 +ttRp108 +(dp109 +g11 +g12 +((ltRp110 +sg14 +g97 +sbsg11 +g12 +((lp111 +g108 +ag104 +ag100 +ag2 +(g3 +g4 +(g42 +g96 +S'Class' +p112 +ttRp113 +(dp114 +g11 +g12 +((ltRp115 +sg14 +g97 +sbatRp116 +sg112 +g113 +sbsg11 +g12 +((lp117 +g2 +(g3 +g4 +(g42 +S'Decorator' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g43 +sbag65 +ag57 +ag61 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g43 +sbag93 +ag81 +ag97 +ag89 +ag73 +ag77 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p126 +ttRp127 +(dp128 +g11 +g12 +((ltRp129 +sg14 +g43 +sbag69 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g112 +ttRp130 +(dp131 +g11 +g12 +((ltRp132 +sg14 +g43 +sbatRp133 +sg126 +g127 +sg112 +g130 +sg118 +g119 +sg122 +g123 +sbsg5 +g6 +sS'Generic' +p134 +g2 +(g3 +g4 +(g134 +ttRp135 +(dp136 +S'Prompt' +p137 +g2 +(g3 +g4 +(g134 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g135 +sbsg14 +g19 +sS'Deleted' +p141 +g2 +(g3 +g4 +(g134 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g135 +sbsS'Traceback' +p145 +g2 +(g3 +g4 +(g134 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g135 +sbsS'Emph' +p149 +g2 +(g3 +g4 +(g134 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g135 +sbsS'Output' +p153 +g2 +(g3 +g4 +(g134 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g135 +sbsS'Subheading' +p157 +g2 +(g3 +g4 +(g134 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g135 +sbsS'Error' +p161 +g2 +(g3 +g4 +(g134 +g161 +ttRp162 +(dp163 +g11 +g12 +((ltRp164 +sg14 +g135 +sbsg11 +g12 +((lp165 +g154 +ag150 +ag162 +ag158 +ag146 +ag142 +ag2 +(g3 +g4 +(g134 +S'Heading' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Inserted' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Strong' +p174 +ttRp175 +(dp176 +g11 +g12 +((ltRp177 +sg14 +g135 +sbag138 +atRp178 +sg174 +g175 +sg170 +g171 +sg166 +g167 +sbsS'Text' +p179 +g2 +(g3 +g4 +(g179 +ttRp180 +(dp181 +g11 +g12 +((lp182 +g2 +(g3 +g4 +(g179 +S'Symbol' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g180 +sbag2 +(g3 +g4 +(g179 +S'Whitespace' +p187 +ttRp188 +(dp189 +g11 +g12 +((ltRp190 +sg14 +g180 +sbatRp191 +sg183 +g184 +sg187 +g188 +sg14 +g19 +sbsS'Punctuation' +p192 +g2 +(g3 +g4 +(g192 +ttRp193 +(dp194 +g11 +g12 +((lp195 +g2 +(g3 +g4 +(g192 +S'Indicator' +p196 +ttRp197 +(dp198 +g11 +g12 +((ltRp199 +sg14 +g193 +sbatRp200 +sg196 +g197 +sg14 +g19 +sbsS'Token' +p201 +g19 +sS'Number' +p202 +g2 +(g3 +g4 +(S'Literal' +p203 +g202 +ttRp204 +(dp205 +S'Bin' +p206 +g2 +(g3 +g4 +(g203 +g202 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g204 +sbsS'Binary' +p210 +g2 +(g3 +g4 +(g203 +g202 +g210 +ttRp211 +(dp212 +g11 +g12 +((ltRp213 +sg14 +g204 +sbsg14 +g2 +(g3 +g4 +(g203 +ttRp214 +(dp215 +S'String' +p216 +g2 +(g3 +g4 +(g203 +g216 +ttRp217 +(dp218 +S'Regex' +p219 +g2 +(g3 +g4 +(g203 +g216 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g217 +sbsS'Interpol' +p223 +g2 +(g3 +g4 +(g203 +g216 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g217 +sbsS'Regexp' +p227 +g2 +(g3 +g4 +(g203 +g216 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g217 +sbsg14 +g214 +sS'Heredoc' +p231 +g2 +(g3 +g4 +(g203 +g216 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g217 +sbsS'Double' +p235 +g2 +(g3 +g4 +(g203 +g216 +g235 +ttRp236 +(dp237 +g11 +g12 +((ltRp238 +sg14 +g217 +sbsg183 +g2 +(g3 +g4 +(g203 +g216 +g183 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g217 +sbsS'Escape' +p242 +g2 +(g3 +g4 +(g203 +g216 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g217 +sbsS'Character' +p246 +g2 +(g3 +g4 +(g203 +g216 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g217 +sbsS'Interp' +p250 +g2 +(g3 +g4 +(g203 +g216 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g217 +sbsS'Backtick' +p254 +g2 +(g3 +g4 +(g203 +g216 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g217 +sbsS'Char' +p258 +g2 +(g3 +g4 +(g203 +g216 +g258 +ttRp259 +(dp260 +g11 +g12 +((ltRp261 +sg14 +g217 +sbsg28 +g2 +(g3 +g4 +(g203 +g216 +g28 +ttRp262 +(dp263 +g11 +g12 +((ltRp264 +sg14 +g217 +sbsg88 +g2 +(g3 +g4 +(g203 +g216 +g88 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g217 +sbsS'Doc' +p268 +g2 +(g3 +g4 +(g203 +g216 +g268 +ttRp269 +(dp270 +g11 +g12 +((ltRp271 +sg14 +g217 +sbsg11 +g12 +((lp272 +g265 +ag2 +(g3 +g4 +(g203 +g216 +S'Atom' +p273 +ttRp274 +(dp275 +g11 +g12 +((ltRp276 +sg14 +g217 +sbag236 +ag259 +ag251 +ag269 +ag232 +ag255 +ag224 +ag239 +ag228 +ag220 +ag262 +ag247 +ag243 +atRp277 +sg273 +g274 +sbsg14 +g19 +sg202 +g204 +sS'Scalar' +p278 +g2 +(g3 +g4 +(g203 +g278 +ttRp279 +(dp280 +g11 +g12 +((lp281 +g2 +(g3 +g4 +(g203 +g278 +S'Plain' +p282 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g279 +sbatRp286 +sg14 +g214 +sg282 +g283 +sbsg88 +g2 +(g3 +g4 +(g203 +g88 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g214 +sbsS'Date' +p290 +g2 +(g3 +g4 +(g203 +g290 +ttRp291 +(dp292 +g11 +g12 +((ltRp293 +sg14 +g214 +sbsg11 +g12 +((lp294 +g291 +ag217 +ag287 +ag204 +ag279 +atRp295 +sbsS'Decimal' +p296 +g2 +(g3 +g4 +(g203 +g202 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g204 +sbsS'Float' +p300 +g2 +(g3 +g4 +(g203 +g202 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g204 +sbsS'Hex' +p304 +g2 +(g3 +g4 +(g203 +g202 +g304 +ttRp305 +(dp306 +g11 +g12 +((ltRp307 +sg14 +g204 +sbsS'Integer' +p308 +g2 +(g3 +g4 +(g203 +g202 +g308 +ttRp309 +(dp310 +g11 +g12 +((lp311 +g2 +(g3 +g4 +(g203 +g202 +g308 +S'Long' +p312 +ttRp313 +(dp314 +g11 +g12 +((ltRp315 +sg14 +g309 +sbatRp316 +sg312 +g313 +sg14 +g204 +sbsS'Octal' +p317 +g2 +(g3 +g4 +(g203 +g202 +g317 +ttRp318 +(dp319 +g11 +g12 +((ltRp320 +sg14 +g204 +sbsg11 +g12 +((lp321 +g207 +ag211 +ag318 +ag297 +ag2 +(g3 +g4 +(g203 +g202 +S'Oct' +p322 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g204 +sbag309 +ag301 +ag305 +atRp326 +sg322 +g323 +sbsg203 +g214 +sg88 +g2 +(g3 +g4 +(g88 +ttRp327 +(dp328 +g11 +g12 +((ltRp329 +sg14 +g19 +sbsg161 +g2 +(g3 +g4 +(g161 +ttRp330 +(dp331 +g11 +g12 +((ltRp332 +sg14 +g19 +sbsS'Operator' +p333 +g2 +(g3 +g4 +(g333 +ttRp334 +(dp335 +g11 +g12 +((lp336 +g2 +(g3 +g4 +(g333 +S'Word' +p337 +ttRp338 +(dp339 +g11 +g12 +((ltRp340 +sg14 +g334 +sbatRp341 +sg337 +g338 +sg14 +g19 +sbsg11 +g12 +((lp342 +g22 +ag330 +ag135 +ag180 +ag43 +ag193 +ag6 +ag214 +ag334 +ag327 +atRp343 +sg216 +g217 +sbsg122 +g2 +(g3 +g4 +(g5 +g122 +ttRp344 +(dp345 +g11 +g12 +((ltRp346 +sg14 +g6 +sbsg60 +g2 +(g3 +g4 +(g5 +g60 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Reserved' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsS'Declaration' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g11 +g12 +((ltRp357 +sg14 +g6 +sbsg96 +g2 +(g3 +g4 +(g5 +g96 +ttRp358 +(dp359 +g11 +g12 +((ltRp360 +sg14 +g6 +sbsg11 +g12 +((lp361 +g16 +ag351 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g11 +g12 +((ltRp365 +sg14 +g6 +sbag9 +ag355 +ag358 +ag344 +ag347 +atRp366 +sg362 +g363 +sbVimport +p367 +tp368 +a(g180 +V +tp369 +a(g43 +Vstd +p370 +tp371 +a(g193 +V. +tp372 +a(g43 +Vstdio +p373 +tp374 +a(g193 +V; +tp375 +a(g180 +V\u000a +tp376 +a(g180 +V\u000a +tp377 +a(g363 +Vvoid +p378 +tp379 +a(g180 +V +tp380 +a(g43 +Vmain +p381 +tp382 +a(g193 +V( +tp383 +a(g193 +V) +tp384 +a(g180 +V +tp385 +a(g193 +V{ +tp386 +a(g180 +V\u000a +tp387 +a(g180 +V +p388 +tp389 +a(g29 +V// Nesting delimited strings\u000a +p390 +tp391 +a(g180 +V +p392 +tp393 +a(g6 +Vauto +p394 +tp395 +a(g180 +V +tp396 +a(g43 +Va +tp397 +a(g180 +V +tp398 +a(g193 +V= +tp399 +a(g180 +V +tp400 +a(g217 +Vq"{ +p401 +tp402 +a(g217 +Vfoo " +p403 +tp404 +a(g217 +V{ +tp405 +a(g217 +Vbar +p406 +tp407 +a(g217 +V} +tp408 +a(g217 +V baz +p409 +tp410 +a(g217 +V}" +p411 +tp412 +a(g193 +V; +tp413 +a(g180 +V\u000a +tp414 +a(g180 +V +p415 +tp416 +a(g6 +Vauto +p417 +tp418 +a(g180 +V +tp419 +a(g43 +Vb +tp420 +a(g180 +V +tp421 +a(g193 +V= +tp422 +a(g180 +V +tp423 +a(g217 +Vq"[ +p424 +tp425 +a(g217 +Vfoo +p426 +tp427 +a(g217 +V[ +tp428 +a(g217 +Vbar +p429 +tp430 +a(g217 +V] +tp431 +a(g217 +V " baz +p432 +tp433 +a(g217 +V]" +p434 +tp435 +a(g193 +V; +tp436 +a(g180 +V\u000a +tp437 +a(g180 +V +p438 +tp439 +a(g6 +Vauto +p440 +tp441 +a(g180 +V +tp442 +a(g43 +Vc +tp443 +a(g180 +V +tp444 +a(g193 +V= +tp445 +a(g180 +V +tp446 +a(g217 +Vq"( +p447 +tp448 +a(g217 +Vfoo " +p449 +tp450 +a(g217 +V( +tp451 +a(g217 +Vbar +p452 +tp453 +a(g217 +V) +tp454 +a(g217 +V baz +p455 +tp456 +a(g217 +V)" +p457 +tp458 +a(g193 +V; +tp459 +a(g180 +V\u000a +tp460 +a(g180 +V +p461 +tp462 +a(g6 +Vauto +p463 +tp464 +a(g180 +V +tp465 +a(g43 +Vd +tp466 +a(g180 +V +tp467 +a(g193 +V= +tp468 +a(g180 +V +tp469 +a(g217 +Vq"< +p470 +tp471 +a(g217 +Vfoo +p472 +tp473 +a(g217 +V< +tp474 +a(g217 +Vbar +p475 +tp476 +a(g217 +V> +tp477 +a(g217 +V " baz +p478 +tp479 +a(g217 +V>" +p480 +tp481 +a(g193 +V; +tp482 +a(g180 +V\u000a +tp483 +a(g180 +V +p484 +tp485 +a(g29 +V// Non-nesting delimited strings\u000a +p486 +tp487 +a(g180 +V +p488 +tp489 +a(g6 +Vauto +p490 +tp491 +a(g180 +V +tp492 +a(g43 +Ve +tp493 +a(g180 +V +tp494 +a(g193 +V= +tp495 +a(g180 +V +tp496 +a(g217 +Vq"/foo " bar/" +p497 +tp498 +a(g193 +V; +tp499 +a(g180 +V\u000a +tp500 +a(g180 +V +p501 +tp502 +a(g6 +Vauto +p503 +tp504 +a(g180 +V +tp505 +a(g43 +Vf +tp506 +a(g180 +V +tp507 +a(g193 +V= +tp508 +a(g180 +V +tp509 +a(g217 +Vq"-Another " string-" +p510 +tp511 +a(g193 +V; +tp512 +a(g180 +V\u000a +tp513 +a(g180 +V +p514 +tp515 +a(g29 +V// "heredoc" strings\u000a +p516 +tp517 +a(g180 +V +p518 +tp519 +a(g6 +Vauto +p520 +tp521 +a(g180 +V +tp522 +a(g43 +Vg +tp523 +a(g180 +V +tp524 +a(g193 +V= +tp525 +a(g180 +V +tp526 +a(g217 +Vq"FOO\u000a This is a string!\u000aFOO" +p527 +tp528 +a(g193 +V; +tp529 +a(g180 +V\u000a +tp530 +a(g180 +V +p531 +tp532 +a(g29 +V// Token strings (only the q{} should be highlighted as a string)\u000a +p533 +tp534 +a(g180 +V +p535 +tp536 +a(g6 +Vauto +p537 +tp538 +a(g180 +V +tp539 +a(g43 +Vh +tp540 +a(g180 +V +tp541 +a(g193 +V= +tp542 +a(g180 +V +tp543 +a(g217 +Vq{ +p544 +tp545 +a(g180 +V\u000a +tp546 +a(g180 +V +p547 +tp548 +a(g363 +Vint +p549 +tp550 +a(g180 +V +tp551 +a(g43 +Vi +tp552 +a(g193 +V; +tp553 +a(g180 +V\u000a +tp554 +a(g180 +V +p555 +tp556 +a(g363 +Vvoid +p557 +tp558 +a(g180 +V +tp559 +a(g43 +Vfoo +p560 +tp561 +a(g193 +V( +tp562 +a(g193 +V) +tp563 +a(g180 +V +tp564 +a(g193 +V{ +tp565 +a(g180 +V +tp566 +a(g43 +Vwritefln +p567 +tp568 +a(g193 +V( +tp569 +a(g217 +V"Hello, world!" +p570 +tp571 +a(g193 +V) +tp572 +a(g193 +V; +tp573 +a(g180 +V +tp574 +a(g193 +V} +tp575 +a(g180 +V\u000a +tp576 +a(g180 +V +p577 +tp578 +a(g217 +V} +tp579 +a(g193 +V; +tp580 +a(g180 +V\u000a +tp581 +a(g193 +V} +tp582 +a(g180 +V\u000a +tp583 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.R b/tests/examplefiles/output/test.R new file mode 100644 index 0000000..41d85ec --- /dev/null +++ b/tests/examplefiles/output/test.R @@ -0,0 +1,4757 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV################################### +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g7 +V####### emplikH1.test() ########## +p370 +tp371 +a(g189 +V\u000a +tp372 +a(g7 +V################################### +p373 +tp374 +a(g189 +V\u000a\u000a +p375 +tp376 +a(g189 +VemplikH1.test +p377 +tp378 +a(g189 +V +tp379 +a(g343 +V<- +p380 +tp381 +a(g189 +V +tp382 +a(g127 +Vfunction +p383 +tp384 +a(g202 +V( +tp385 +a(g189 +Vx +tp386 +a(g202 +V, +tp387 +a(g189 +V +tp388 +a(g189 +Vd +tp389 +a(g202 +V, +tp390 +a(g189 +V +tp391 +a(g189 +Vtheta +p392 +tp393 +a(g202 +V, +tp394 +a(g189 +V +tp395 +a(g189 +Vfun +p396 +tp397 +a(g202 +V, +tp398 +a(g189 +V\u000a +p399 +tp400 +a(g189 +Vtola +p401 +tp402 +a(g189 +V +tp403 +a(g343 +V= +tp404 +a(g189 +V +tp405 +a(g213 +V. +tp406 +a(g189 +VMachine +p407 +tp408 +a(g202 +V$ +tp409 +a(g189 +Vdouble.eps +p410 +tp411 +a(g343 +V^ +tp412 +a(g213 +V.25 +p413 +tp414 +a(g202 +V) +tp415 +a(g189 +V\u000a +tp416 +a(g202 +V{ +tp417 +a(g189 +V\u000a +tp418 +a(g189 +Vn +tp419 +a(g189 +V +tp420 +a(g343 +V<- +p421 +tp422 +a(g189 +V +tp423 +a(g189 +Vlength +p424 +tp425 +a(g202 +V( +tp426 +a(g189 +Vx +tp427 +a(g202 +V) +tp428 +a(g189 +V\u000a +tp429 +a(g127 +Vif +p430 +tp431 +a(g202 +V( +tp432 +a(g189 +V +tp433 +a(g189 +Vn +tp434 +a(g189 +V +tp435 +a(g343 +V<= +p436 +tp437 +a(g189 +V +tp438 +a(g213 +V2 +tp439 +a(g189 +V +tp440 +a(g202 +V) +tp441 +a(g189 +V +tp442 +a(g189 +Vstop +p443 +tp444 +a(g202 +V( +tp445 +a(g226 +V" +tp446 +a(g226 +VNeed more observations" +p447 +tp448 +a(g202 +V) +tp449 +a(g189 +V\u000a +tp450 +a(g127 +Vif +p451 +tp452 +a(g202 +V( +tp453 +a(g189 +V +tp454 +a(g189 +Vlength +p455 +tp456 +a(g202 +V( +tp457 +a(g189 +Vd +tp458 +a(g202 +V) +tp459 +a(g189 +V +tp460 +a(g343 +V!= +p461 +tp462 +a(g189 +V +tp463 +a(g189 +Vn +tp464 +a(g189 +V +tp465 +a(g202 +V) +tp466 +a(g189 +V +tp467 +a(g189 +Vstop +p468 +tp469 +a(g202 +V( +tp470 +a(g226 +V" +tp471 +a(g226 +Vlength of x and d must agree" +p472 +tp473 +a(g202 +V) +tp474 +a(g189 +V\u000a +tp475 +a(g127 +Vif +p476 +tp477 +a(g202 +V( +tp478 +a(g189 +Vany +p479 +tp480 +a(g202 +V( +tp481 +a(g202 +V( +tp482 +a(g189 +Vd +tp483 +a(g343 +V!= +p484 +tp485 +a(g213 +V0 +tp486 +a(g202 +V) +tp487 +a(g343 +V& +tp488 +a(g202 +V( +tp489 +a(g189 +Vd +tp490 +a(g343 +V!= +p491 +tp492 +a(g213 +V1 +tp493 +a(g202 +V) +tp494 +a(g202 +V) +tp495 +a(g202 +V) +tp496 +a(g189 +V +tp497 +a(g189 +Vstop +p498 +tp499 +a(g202 +V( +tp500 +a(g226 +V" +tp501 +a(g226 +Vd must be 0/1's for censor/not-censor" +p502 +tp503 +a(g202 +V) +tp504 +a(g189 +V\u000a +tp505 +a(g127 +Vif +p506 +tp507 +a(g202 +V( +tp508 +a(g189 +V! +tp509 +a(g189 +Vis.numeric +p510 +tp511 +a(g202 +V( +tp512 +a(g189 +Vx +tp513 +a(g202 +V) +tp514 +a(g202 +V) +tp515 +a(g189 +V +tp516 +a(g189 +Vstop +p517 +tp518 +a(g202 +V( +tp519 +a(g226 +V" +tp520 +a(g226 +Vx must be numeric values --- observed times" +p521 +tp522 +a(g202 +V) +tp523 +a(g189 +V\u000a\u000a +p524 +tp525 +a(g7 +V#temp<-summary(survfit(Surv(x,d),se.fit=F,type="fleming",conf.type="none")) +p526 +tp527 +a(g189 +V\u000a +tp528 +a(g7 +V# +tp529 +a(g189 +V\u000a +tp530 +a(g189 +Vnewdata +p531 +tp532 +a(g189 +V +tp533 +a(g343 +V<- +p534 +tp535 +a(g189 +V +tp536 +a(g189 +VWdataclean2 +p537 +tp538 +a(g202 +V( +tp539 +a(g189 +Vx +tp540 +a(g202 +V, +tp541 +a(g189 +Vd +tp542 +a(g202 +V) +tp543 +a(g189 +V\u000a +tp544 +a(g189 +Vtemp +p545 +tp546 +a(g189 +V +tp547 +a(g343 +V<- +p548 +tp549 +a(g189 +V +tp550 +a(g189 +VDnR +p551 +tp552 +a(g202 +V( +tp553 +a(g189 +Vnewdata +p554 +tp555 +a(g202 +V$ +tp556 +a(g189 +Vvalue +p557 +tp558 +a(g202 +V, +tp559 +a(g189 +V +tp560 +a(g189 +Vnewdata +p561 +tp562 +a(g202 +V$ +tp563 +a(g189 +Vdd +p564 +tp565 +a(g202 +V, +tp566 +a(g189 +V +tp567 +a(g189 +Vnewdata +p568 +tp569 +a(g202 +V$ +tp570 +a(g189 +Vweight +p571 +tp572 +a(g202 +V) +tp573 +a(g189 +V\u000a\u000a +p574 +tp575 +a(g189 +Vtime +p576 +tp577 +a(g189 +V +tp578 +a(g343 +V<- +p579 +tp580 +a(g189 +V +tp581 +a(g189 +Vtemp +p582 +tp583 +a(g202 +V$ +tp584 +a(g189 +Vtime +p585 +tp586 +a(g189 +V +p587 +tp588 +a(g7 +V# only uncensored time? Yes. +p589 +tp590 +a(g189 +V\u000a +tp591 +a(g189 +Vrisk +p592 +tp593 +a(g189 +V +tp594 +a(g343 +V<- +p595 +tp596 +a(g189 +V +tp597 +a(g189 +Vtemp +p598 +tp599 +a(g202 +V$ +tp600 +a(g189 +Vn.risk +p601 +tp602 +a(g189 +V\u000a +tp603 +a(g189 +Vjump +p604 +tp605 +a(g189 +V +tp606 +a(g343 +V<- +p607 +tp608 +a(g189 +V +tp609 +a(g202 +V( +tp610 +a(g189 +Vtemp +p611 +tp612 +a(g202 +V$ +tp613 +a(g189 +Vn.event +p614 +tp615 +a(g202 +V) +tp616 +a(g343 +V/ +tp617 +a(g189 +Vrisk +p618 +tp619 +a(g189 +V\u000a\u000a +p620 +tp621 +a(g189 +Vfuntime +p622 +tp623 +a(g189 +V +tp624 +a(g343 +V<- +p625 +tp626 +a(g189 +V +tp627 +a(g189 +Vfun +p628 +tp629 +a(g202 +V( +tp630 +a(g189 +Vtime +p631 +tp632 +a(g202 +V) +tp633 +a(g189 +V\u000a +tp634 +a(g189 +Vfunh +p635 +tp636 +a(g189 +V +tp637 +a(g343 +V<- +p638 +tp639 +a(g189 +V +tp640 +a(g202 +V( +tp641 +a(g189 +Vn +tp642 +a(g343 +V/ +tp643 +a(g189 +Vrisk +p644 +tp645 +a(g202 +V) +tp646 +a(g189 +V +tp647 +a(g343 +V* +tp648 +a(g189 +V +tp649 +a(g189 +Vfuntime +p650 +tp651 +a(g189 +V +p652 +tp653 +a(g7 +V# that is Zi +p654 +tp655 +a(g189 +V\u000a +tp656 +a(g189 +VfuntimeTjump +p657 +tp658 +a(g189 +V +tp659 +a(g343 +V<- +p660 +tp661 +a(g189 +V +tp662 +a(g189 +Vfuntime +p663 +tp664 +a(g189 +V +tp665 +a(g343 +V* +tp666 +a(g189 +V +tp667 +a(g189 +Vjump +p668 +tp669 +a(g189 +V\u000a\u000a +p670 +tp671 +a(g127 +Vif +p672 +tp673 +a(g202 +V( +tp674 +a(g189 +Vjump +p675 +tp676 +a(g202 +V[ +tp677 +a(g189 +Vlength +p678 +tp679 +a(g202 +V( +tp680 +a(g189 +Vjump +p681 +tp682 +a(g202 +V) +tp683 +a(g202 +V] +tp684 +a(g189 +V +tp685 +a(g343 +V>= +p686 +tp687 +a(g189 +V +tp688 +a(g213 +V1 +tp689 +a(g202 +V) +tp690 +a(g189 +V +tp691 +a(g189 +Vfunh +p692 +tp693 +a(g202 +V[ +tp694 +a(g189 +Vlength +p695 +tp696 +a(g202 +V( +tp697 +a(g189 +Vjump +p698 +tp699 +a(g202 +V) +tp700 +a(g202 +V] +tp701 +a(g189 +V +tp702 +a(g343 +V<- +p703 +tp704 +a(g189 +V +tp705 +a(g213 +V0 +tp706 +a(g189 +V +p707 +tp708 +a(g7 +V#for inthaz and weights +p709 +tp710 +a(g189 +V\u000a\u000a +p711 +tp712 +a(g189 +Vinthaz +p713 +tp714 +a(g189 +V +tp715 +a(g343 +V<- +p716 +tp717 +a(g189 +V +tp718 +a(g127 +Vfunction +p719 +tp720 +a(g202 +V( +tp721 +a(g189 +Vx +tp722 +a(g202 +V, +tp723 +a(g189 +V +tp724 +a(g189 +Vftj +p725 +tp726 +a(g202 +V, +tp727 +a(g189 +V +tp728 +a(g189 +Vfh +p729 +tp730 +a(g202 +V, +tp731 +a(g189 +V +tp732 +a(g189 +Vthet +p733 +tp734 +a(g202 +V) +tp735 +a(g202 +V{ +tp736 +a(g189 +V +tp737 +a(g189 +Vsum +p738 +tp739 +a(g202 +V( +tp740 +a(g189 +Vftj +p741 +tp742 +a(g343 +V/ +tp743 +a(g202 +V( +tp744 +a(g213 +V1 +tp745 +a(g189 +V +tp746 +a(g343 +V+ +tp747 +a(g189 +V +tp748 +a(g189 +Vx +tp749 +a(g189 +V +tp750 +a(g343 +V* +tp751 +a(g189 +V +tp752 +a(g189 +Vfh +p753 +tp754 +a(g202 +V) +tp755 +a(g202 +V) +tp756 +a(g189 +V +tp757 +a(g343 +V- +tp758 +a(g189 +V +tp759 +a(g189 +Vthet +p760 +tp761 +a(g189 +V +tp762 +a(g202 +V} +tp763 +a(g189 +V\u000a\u000a +p764 +tp765 +a(g189 +Vdiff +p766 +tp767 +a(g189 +V +tp768 +a(g343 +V<- +p769 +tp770 +a(g189 +V +tp771 +a(g189 +Vinthaz +p772 +tp773 +a(g202 +V( +tp774 +a(g213 +V0 +tp775 +a(g202 +V, +tp776 +a(g189 +V +tp777 +a(g189 +VfuntimeTjump +p778 +tp779 +a(g202 +V, +tp780 +a(g189 +V +tp781 +a(g189 +Vfunh +p782 +tp783 +a(g202 +V, +tp784 +a(g189 +V +tp785 +a(g189 +Vtheta +p786 +tp787 +a(g202 +V) +tp788 +a(g189 +V\u000a\u000a +p789 +tp790 +a(g127 +Vif +p791 +tp792 +a(g202 +V( +tp793 +a(g189 +V +tp794 +a(g189 +Vdiff +p795 +tp796 +a(g189 +V +tp797 +a(g343 +V== +p798 +tp799 +a(g189 +V +tp800 +a(g213 +V0 +tp801 +a(g189 +V +tp802 +a(g202 +V) +tp803 +a(g189 +V +tp804 +a(g202 +V{ +tp805 +a(g189 +V +tp806 +a(g189 +Vlam +p807 +tp808 +a(g189 +V +tp809 +a(g343 +V<- +p810 +tp811 +a(g189 +V +tp812 +a(g213 +V0 +tp813 +a(g189 +V +tp814 +a(g202 +V} +tp815 +a(g189 +V +tp816 +a(g127 +Velse +p817 +tp818 +a(g189 +V +tp819 +a(g202 +V{ +tp820 +a(g189 +V\u000a +p821 +tp822 +a(g189 +Vstep +p823 +tp824 +a(g189 +V +tp825 +a(g343 +V<- +p826 +tp827 +a(g189 +V +tp828 +a(g213 +V0.2 +p829 +tp830 +a(g343 +V/ +tp831 +a(g189 +Vsqrt +p832 +tp833 +a(g202 +V( +tp834 +a(g189 +Vn +tp835 +a(g202 +V) +tp836 +a(g189 +V\u000a +p837 +tp838 +a(g127 +Vif +p839 +tp840 +a(g202 +V( +tp841 +a(g189 +Vabs +p842 +tp843 +a(g202 +V( +tp844 +a(g189 +Vdiff +p845 +tp846 +a(g202 +V) +tp847 +a(g189 +V +tp848 +a(g343 +V> +tp849 +a(g189 +V +tp850 +a(g213 +V6 +tp851 +a(g343 +V* +tp852 +a(g189 +Vlog +p853 +tp854 +a(g202 +V( +tp855 +a(g189 +Vn +tp856 +a(g202 +V) +tp857 +a(g343 +V* +tp858 +a(g189 +Vstep +p859 +tp860 +a(g189 +V +tp861 +a(g202 +V) +tp862 +a(g189 +V\u000a +p863 +tp864 +a(g189 +Vstop +p865 +tp866 +a(g202 +V( +tp867 +a(g226 +V" +tp868 +a(g226 +Vgiven theta value is too far away from theta0" +p869 +tp870 +a(g202 +V) +tp871 +a(g189 +V\u000a\u000a +p872 +tp873 +a(g189 +Vmini +p874 +tp875 +a(g343 +V<- +p876 +tp877 +a(g213 +V0 +tp878 +a(g189 +V\u000a +p879 +tp880 +a(g189 +Vmaxi +p881 +tp882 +a(g343 +V<- +p883 +tp884 +a(g213 +V0 +tp885 +a(g189 +V\u000a +p886 +tp887 +a(g127 +Vif +p888 +tp889 +a(g202 +V( +tp890 +a(g189 +Vdiff +p891 +tp892 +a(g189 +V +tp893 +a(g343 +V> +tp894 +a(g189 +V +tp895 +a(g213 +V0 +tp896 +a(g202 +V) +tp897 +a(g189 +V +tp898 +a(g202 +V{ +tp899 +a(g189 +V\u000a +p900 +tp901 +a(g189 +Vmaxi +p902 +tp903 +a(g189 +V +tp904 +a(g343 +V<- +p905 +tp906 +a(g189 +V +tp907 +a(g189 +Vstep +p908 +tp909 +a(g189 +V\u000a +p910 +tp911 +a(g127 +Vwhile +p912 +tp913 +a(g202 +V( +tp914 +a(g189 +Vinthaz +p915 +tp916 +a(g202 +V( +tp917 +a(g189 +Vmaxi +p918 +tp919 +a(g202 +V, +tp920 +a(g189 +V +tp921 +a(g189 +VfuntimeTjump +p922 +tp923 +a(g202 +V, +tp924 +a(g189 +V +tp925 +a(g189 +Vfunh +p926 +tp927 +a(g202 +V, +tp928 +a(g189 +V +tp929 +a(g189 +Vtheta +p930 +tp931 +a(g202 +V) +tp932 +a(g189 +V +tp933 +a(g343 +V> +tp934 +a(g189 +V +tp935 +a(g213 +V0 +tp936 +a(g189 +V +tp937 +a(g343 +V&& +p938 +tp939 +a(g189 +V +tp940 +a(g189 +Vmaxi +p941 +tp942 +a(g189 +V +tp943 +a(g343 +V< +tp944 +a(g189 +V +tp945 +a(g213 +V50 +p946 +tp947 +a(g343 +V* +tp948 +a(g189 +Vlog +p949 +tp950 +a(g202 +V( +tp951 +a(g189 +Vn +tp952 +a(g202 +V) +tp953 +a(g343 +V* +tp954 +a(g189 +Vstep +p955 +tp956 +a(g202 +V) +tp957 +a(g189 +V\u000a +p958 +tp959 +a(g189 +Vmaxi +p960 +tp961 +a(g189 +V +tp962 +a(g343 +V<- +p963 +tp964 +a(g189 +V +tp965 +a(g189 +Vmaxi +p966 +tp967 +a(g343 +V+ +tp968 +a(g189 +Vstep +p969 +tp970 +a(g189 +V\u000a +p971 +tp972 +a(g202 +V} +tp973 +a(g189 +V\u000a +p974 +tp975 +a(g127 +Velse +p976 +tp977 +a(g189 +V +tp978 +a(g202 +V{ +tp979 +a(g189 +V\u000a +p980 +tp981 +a(g189 +Vmini +p982 +tp983 +a(g189 +V +tp984 +a(g343 +V<- +p985 +tp986 +a(g189 +V +tp987 +a(g343 +V- +tp988 +a(g189 +Vstep +p989 +tp990 +a(g189 +V\u000a +p991 +tp992 +a(g127 +Vwhile +p993 +tp994 +a(g202 +V( +tp995 +a(g189 +Vinthaz +p996 +tp997 +a(g202 +V( +tp998 +a(g189 +Vmini +p999 +tp1000 +a(g202 +V, +tp1001 +a(g189 +V +tp1002 +a(g189 +VfuntimeTjump +p1003 +tp1004 +a(g202 +V, +tp1005 +a(g189 +V +tp1006 +a(g189 +Vfunh +p1007 +tp1008 +a(g202 +V, +tp1009 +a(g189 +V +tp1010 +a(g189 +Vtheta +p1011 +tp1012 +a(g202 +V) +tp1013 +a(g189 +V +tp1014 +a(g343 +V< +tp1015 +a(g189 +V +tp1016 +a(g213 +V0 +tp1017 +a(g189 +V +tp1018 +a(g343 +V&& +p1019 +tp1020 +a(g189 +V +tp1021 +a(g189 +Vmini +p1022 +tp1023 +a(g189 +V +tp1024 +a(g343 +V> +tp1025 +a(g189 +V +tp1026 +a(g343 +V- +tp1027 +a(g189 +V +tp1028 +a(g213 +V50 +p1029 +tp1030 +a(g343 +V* +tp1031 +a(g189 +Vlog +p1032 +tp1033 +a(g202 +V( +tp1034 +a(g189 +Vn +tp1035 +a(g202 +V) +tp1036 +a(g343 +V* +tp1037 +a(g189 +Vstep +p1038 +tp1039 +a(g202 +V) +tp1040 +a(g189 +V\u000a +p1041 +tp1042 +a(g189 +Vmini +p1043 +tp1044 +a(g189 +V +tp1045 +a(g343 +V<- +p1046 +tp1047 +a(g189 +V +tp1048 +a(g189 +Vmini +p1049 +tp1050 +a(g189 +V +tp1051 +a(g343 +V- +tp1052 +a(g189 +V +tp1053 +a(g189 +Vstep +p1054 +tp1055 +a(g189 +V\u000a +p1056 +tp1057 +a(g202 +V} +tp1058 +a(g189 +V\u000a\u000a +p1059 +tp1060 +a(g127 +Vif +p1061 +tp1062 +a(g202 +V( +tp1063 +a(g189 +Vinthaz +p1064 +tp1065 +a(g202 +V( +tp1066 +a(g189 +Vmini +p1067 +tp1068 +a(g202 +V, +tp1069 +a(g189 +V +tp1070 +a(g189 +VfuntimeTjump +p1071 +tp1072 +a(g202 +V, +tp1073 +a(g189 +V +tp1074 +a(g189 +Vfunh +p1075 +tp1076 +a(g202 +V, +tp1077 +a(g189 +V +tp1078 +a(g189 +Vtheta +p1079 +tp1080 +a(g202 +V) +tp1081 +a(g343 +V* +tp1082 +a(g189 +Vinthaz +p1083 +tp1084 +a(g202 +V( +tp1085 +a(g189 +Vmaxi +p1086 +tp1087 +a(g202 +V, +tp1088 +a(g189 +V +tp1089 +a(g189 +VfuntimeTjump +p1090 +tp1091 +a(g202 +V, +tp1092 +a(g189 +V +tp1093 +a(g189 +Vfunh +p1094 +tp1095 +a(g202 +V, +tp1096 +a(g189 +V +tp1097 +a(g189 +Vtheta +p1098 +tp1099 +a(g202 +V) +tp1100 +a(g189 +V +tp1101 +a(g343 +V> +tp1102 +a(g189 +V +tp1103 +a(g213 +V0 +tp1104 +a(g189 +V +tp1105 +a(g202 +V) +tp1106 +a(g189 +V\u000a +p1107 +tp1108 +a(g189 +Vstop +p1109 +tp1110 +a(g202 +V( +tp1111 +a(g226 +V" +tp1112 +a(g226 +Vgiven theta is too far away from theta0" +p1113 +tp1114 +a(g202 +V) +tp1115 +a(g189 +V\u000a\u000a +p1116 +tp1117 +a(g189 +Vtemp2 +p1118 +tp1119 +a(g189 +V +tp1120 +a(g343 +V<- +p1121 +tp1122 +a(g189 +V +tp1123 +a(g189 +Vuniroot +p1124 +tp1125 +a(g202 +V( +tp1126 +a(g189 +Vinthaz +p1127 +tp1128 +a(g202 +V, +tp1129 +a(g189 +Vc +tp1130 +a(g202 +V( +tp1131 +a(g189 +Vmini +p1132 +tp1133 +a(g202 +V, +tp1134 +a(g189 +Vmaxi +p1135 +tp1136 +a(g202 +V) +tp1137 +a(g202 +V, +tp1138 +a(g189 +V +tp1139 +a(g189 +Vtol +p1140 +tp1141 +a(g189 +V +tp1142 +a(g343 +V= +tp1143 +a(g189 +V +tp1144 +a(g189 +Vtola +p1145 +tp1146 +a(g202 +V, +tp1147 +a(g189 +V\u000a +p1148 +tp1149 +a(g189 +Vftj +p1150 +tp1151 +a(g343 +V= +tp1152 +a(g189 +VfuntimeTjump +p1153 +tp1154 +a(g202 +V, +tp1155 +a(g189 +V +tp1156 +a(g189 +Vfh +p1157 +tp1158 +a(g343 +V= +tp1159 +a(g189 +Vfunh +p1160 +tp1161 +a(g202 +V, +tp1162 +a(g189 +V +tp1163 +a(g189 +Vthet +p1164 +tp1165 +a(g343 +V= +tp1166 +a(g189 +Vtheta +p1167 +tp1168 +a(g202 +V) +tp1169 +a(g189 +V\u000a +p1170 +tp1171 +a(g189 +Vlam +p1172 +tp1173 +a(g189 +V +tp1174 +a(g343 +V<- +p1175 +tp1176 +a(g189 +V +tp1177 +a(g189 +Vtemp2 +p1178 +tp1179 +a(g202 +V$ +tp1180 +a(g189 +Vroot +p1181 +tp1182 +a(g189 +V\u000a +tp1183 +a(g202 +V} +tp1184 +a(g189 +V\u000a\u000a +p1185 +tp1186 +a(g189 +Vonepluslamh +p1187 +tp1188 +a(g343 +V<- +p1189 +tp1190 +a(g189 +V +tp1191 +a(g213 +V1 +tp1192 +a(g189 +V +tp1193 +a(g343 +V+ +tp1194 +a(g189 +V +tp1195 +a(g189 +Vlam +p1196 +tp1197 +a(g189 +V +tp1198 +a(g343 +V* +tp1199 +a(g189 +V +tp1200 +a(g189 +Vfunh +p1201 +tp1202 +a(g189 +V +p1203 +tp1204 +a(g7 +V### this is 1 + lam Zi in Ref. +p1205 +tp1206 +a(g189 +V\u000a\u000a +p1207 +tp1208 +a(g189 +Vweights +p1209 +tp1210 +a(g189 +V +tp1211 +a(g343 +V<- +p1212 +tp1213 +a(g189 +V +tp1214 +a(g189 +Vjump +p1215 +tp1216 +a(g343 +V/ +tp1217 +a(g189 +Vonepluslamh +p1218 +tp1219 +a(g189 +V +p1220 +tp1221 +a(g7 +V#need to change last jump to 1? NO. see above +p1222 +tp1223 +a(g189 +V\u000a\u000a +p1224 +tp1225 +a(g189 +Vloglik +p1226 +tp1227 +a(g189 +V +tp1228 +a(g343 +V<- +p1229 +tp1230 +a(g189 +V +tp1231 +a(g213 +V2 +tp1232 +a(g343 +V* +tp1233 +a(g202 +V( +tp1234 +a(g189 +Vsum +p1235 +tp1236 +a(g202 +V( +tp1237 +a(g189 +Vlog +p1238 +tp1239 +a(g202 +V( +tp1240 +a(g189 +Vonepluslamh +p1241 +tp1242 +a(g202 +V) +tp1243 +a(g202 +V) +tp1244 +a(g189 +V +tp1245 +a(g343 +V- +tp1246 +a(g189 +V +tp1247 +a(g189 +Vsum +p1248 +tp1249 +a(g202 +V( +tp1250 +a(g202 +V( +tp1251 +a(g189 +Vonepluslamh +p1252 +tp1253 +a(g343 +V- +tp1254 +a(g213 +V1 +tp1255 +a(g202 +V) +tp1256 +a(g343 +V/ +tp1257 +a(g189 +Vonepluslamh +p1258 +tp1259 +a(g202 +V) +tp1260 +a(g189 +V +tp1261 +a(g202 +V) +tp1262 +a(g189 +V\u000a +tp1263 +a(g7 +V#?is that right? YES see (3.2) in Ref. above. This ALR, or Poisson LR. +p1264 +tp1265 +a(g189 +V\u000a\u000a +p1266 +tp1267 +a(g7 +V#last <- length(jump) ## to compute loglik2, we need to drop last jump +p1268 +tp1269 +a(g189 +V\u000a +tp1270 +a(g7 +V#if (jump[last] == 1) { +p1271 +tp1272 +a(g189 +V\u000a +tp1273 +a(g7 +V# risk1 <- risk[-last] +p1274 +tp1275 +a(g189 +V\u000a +tp1276 +a(g7 +V# jump1 <- jump[-last] +p1277 +tp1278 +a(g189 +V\u000a +tp1279 +a(g7 +V# weights1 <- weights[-last] +p1280 +tp1281 +a(g189 +V\u000a +tp1282 +a(g7 +V# } else { +p1283 +tp1284 +a(g189 +V\u000a +tp1285 +a(g7 +V# risk1 <- risk +p1286 +tp1287 +a(g189 +V\u000a +tp1288 +a(g7 +V# jump1 <- jump +p1289 +tp1290 +a(g189 +V\u000a +tp1291 +a(g7 +V# weights1 <- weights +p1292 +tp1293 +a(g189 +V\u000a +tp1294 +a(g7 +V# } +p1295 +tp1296 +a(g189 +V\u000a +tp1297 +a(g7 +V#loglik2 <- 2*( sum(log(onepluslamh)) + +p1298 +tp1299 +a(g189 +V\u000a +tp1300 +a(g7 +V# sum( (risk1 -1)*log((1-jump1)/(1- weights1) ) ) ) +p1301 +tp1302 +a(g189 +V\u000a +tp1303 +a(g7 +V##? this likelihood seems have negative values sometimes??? +p1304 +tp1305 +a(g189 +V\u000a\u000a +p1306 +tp1307 +a(g189 +Vlist +p1308 +tp1309 +a(g202 +V( +tp1310 +a(g189 +V +tp1311 +a(g189 +Vlogemlik +p1312 +tp1313 +a(g343 +V= +tp1314 +a(g189 +Vloglik +p1315 +tp1316 +a(g202 +V, +tp1317 +a(g189 +V +p1318 +tp1319 +a(g7 +V### logemlikv2=loglik2, +p1320 +tp1321 +a(g189 +V\u000a +p1322 +tp1323 +a(g189 +Vlambda +p1324 +tp1325 +a(g343 +V= +tp1326 +a(g189 +Vlam +p1327 +tp1328 +a(g202 +V, +tp1329 +a(g189 +V +tp1330 +a(g189 +Vtimes +p1331 +tp1332 +a(g343 +V= +tp1333 +a(g189 +Vtime +p1334 +tp1335 +a(g202 +V, +tp1336 +a(g189 +V +tp1337 +a(g189 +Vwts +p1338 +tp1339 +a(g343 +V= +tp1340 +a(g189 +Vweights +p1341 +tp1342 +a(g202 +V, +tp1343 +a(g189 +V\u000a +p1344 +tp1345 +a(g189 +Vnits +p1346 +tp1347 +a(g343 +V= +tp1348 +a(g189 +Vtemp2 +p1349 +tp1350 +a(g202 +V$ +tp1351 +a(g189 +Vnf +p1352 +tp1353 +a(g202 +V, +tp1354 +a(g189 +V +tp1355 +a(g189 +Vmessage +p1356 +tp1357 +a(g343 +V= +tp1358 +a(g189 +Vtemp2 +p1359 +tp1360 +a(g202 +V$ +tp1361 +a(g189 +Vmessage +p1362 +tp1363 +a(g189 +V +tp1364 +a(g202 +V) +tp1365 +a(g189 +V\u000a +tp1366 +a(g202 +V} +tp1367 +a(g189 +V\u000a\u000a +p1368 +tp1369 +a(g189 +Vlibrary +p1370 +tp1371 +a(g202 +V( +tp1372 +a(g226 +V" +tp1373 +a(g226 +Vgraphics" +p1374 +tp1375 +a(g202 +V) +tp1376 +a(g189 +V\u000a\u000a +p1377 +tp1378 +a(g189 +Vpar +p1379 +tp1380 +a(g202 +V( +tp1381 +a(g189 +Vmfrow +p1382 +tp1383 +a(g189 +V +tp1384 +a(g343 +V= +tp1385 +a(g189 +V +tp1386 +a(g189 +Vc +tp1387 +a(g202 +V( +tp1388 +a(g213 +V1 +tp1389 +a(g202 +V, +tp1390 +a(g189 +V +tp1391 +a(g213 +V2 +tp1392 +a(g202 +V) +tp1393 +a(g202 +V) +tp1394 +a(g189 +V\u000a +tp1395 +a(g7 +V# plot histogram +p1396 +tp1397 +a(g189 +V\u000a +tp1398 +a(g189 +Vx +tp1399 +a(g189 +V +tp1400 +a(g343 +V<- +p1401 +tp1402 +a(g189 +V +tp1403 +a(g189 +Vrnorm +p1404 +tp1405 +a(g202 +V( +tp1406 +a(g213 +V100 +p1407 +tp1408 +a(g202 +V) +tp1409 +a(g189 +V\u000a +tp1410 +a(g127 +Vif +p1411 +tp1412 +a(g189 +V +tp1413 +a(g202 +V( +tp1414 +a(g189 +Vmax +p1415 +tp1416 +a(g202 +V( +tp1417 +a(g189 +Vx +tp1418 +a(g202 +V) +tp1419 +a(g189 +V +tp1420 +a(g343 +V> +tp1421 +a(g189 +V +tp1422 +a(g213 +V100 +p1423 +tp1424 +a(g202 +V) +tp1425 +a(g189 +V\u000a +p1426 +tp1427 +a(g189 +Vstop +p1428 +tp1429 +a(g202 +V( +tp1430 +a(g226 +V" +tp1431 +a(g226 +VQuite unexpected." +p1432 +tp1433 +a(g202 +V) +tp1434 +a(g189 +V\u000a +tp1435 +a(g127 +Velse +p1436 +tp1437 +a(g189 +V\u000a +p1438 +tp1439 +a(g189 +Vhist +p1440 +tp1441 +a(g202 +V( +tp1442 +a(g189 +Vx +tp1443 +a(g202 +V, +tp1444 +a(g189 +V +tp1445 +a(g189 +Vplot +p1446 +tp1447 +a(g343 +V= +tp1448 +a(g117 +VTRUE +p1449 +tp1450 +a(g202 +V, +tp1451 +a(g189 +V +tp1452 +a(g189 +Vcol +p1453 +tp1454 +a(g343 +V= +tp1455 +a(g226 +V" +tp1456 +a(g226 +Vivory" +p1457 +tp1458 +a(g202 +V) +tp1459 +a(g189 +V\u000a\u000a +p1460 +tp1461 +a(g7 +V# from doc: lowess +p1462 +tp1463 +a(g189 +V\u000a +tp1464 +a(g189 +Vplot +p1465 +tp1466 +a(g202 +V( +tp1467 +a(g189 +Vcars +p1468 +tp1469 +a(g202 +V, +tp1470 +a(g189 +V +tp1471 +a(g189 +Vmain +p1472 +tp1473 +a(g189 +V +tp1474 +a(g343 +V= +tp1475 +a(g189 +V +tp1476 +a(g226 +V" +tp1477 +a(g226 +Vlowess(cars)" +p1478 +tp1479 +a(g202 +V) +tp1480 +a(g189 +V\u000a +p1481 +tp1482 +a(g189 +Vlines +p1483 +tp1484 +a(g202 +V( +tp1485 +a(g189 +Vlowess +p1486 +tp1487 +a(g202 +V( +tp1488 +a(g189 +Vcars +p1489 +tp1490 +a(g202 +V) +tp1491 +a(g202 +V, +tp1492 +a(g189 +V +tp1493 +a(g189 +Vcol +p1494 +tp1495 +a(g189 +V +tp1496 +a(g343 +V= +tp1497 +a(g189 +V +tp1498 +a(g213 +V2 +tp1499 +a(g202 +V) +tp1500 +a(g189 +V\u000a +p1501 +tp1502 +a(g189 +Vlines +p1503 +tp1504 +a(g202 +V( +tp1505 +a(g189 +Vlowess +p1506 +tp1507 +a(g202 +V( +tp1508 +a(g189 +Vcars +p1509 +tp1510 +a(g202 +V, +tp1511 +a(g189 +V +tp1512 +a(g189 +Vf +tp1513 +a(g343 +V= +tp1514 +a(g213 +V.2 +p1515 +tp1516 +a(g202 +V) +tp1517 +a(g202 +V, +tp1518 +a(g189 +V +tp1519 +a(g189 +Vcol +p1520 +tp1521 +a(g189 +V +tp1522 +a(g343 +V= +tp1523 +a(g189 +V +tp1524 +a(g213 +V3 +tp1525 +a(g202 +V) +tp1526 +a(g189 +V\u000a +p1527 +tp1528 +a(g189 +Vlegend +p1529 +tp1530 +a(g202 +V( +tp1531 +a(g213 +V5 +tp1532 +a(g202 +V, +tp1533 +a(g189 +V +tp1534 +a(g213 +V120 +p1535 +tp1536 +a(g202 +V, +tp1537 +a(g189 +V +tp1538 +a(g189 +Vc +tp1539 +a(g202 +V( +tp1540 +a(g189 +Vpaste +p1541 +tp1542 +a(g202 +V( +tp1543 +a(g226 +V" +tp1544 +a(g226 +Vf = " +p1545 +tp1546 +a(g202 +V, +tp1547 +a(g189 +V +tp1548 +a(g189 +Vc +tp1549 +a(g202 +V( +tp1550 +a(g226 +V" +tp1551 +a(g226 +V2/3" +p1552 +tp1553 +a(g202 +V, +tp1554 +a(g189 +V +tp1555 +a(g226 +V" +tp1556 +a(g226 +V.2" +p1557 +tp1558 +a(g202 +V) +tp1559 +a(g202 +V) +tp1560 +a(g202 +V) +tp1561 +a(g202 +V, +tp1562 +a(g189 +V +tp1563 +a(g189 +Vlty +p1564 +tp1565 +a(g189 +V +tp1566 +a(g343 +V= +tp1567 +a(g189 +V +tp1568 +a(g213 +V1 +tp1569 +a(g202 +V, +tp1570 +a(g189 +V +tp1571 +a(g189 +Vcol +p1572 +tp1573 +a(g189 +V +tp1574 +a(g343 +V= +tp1575 +a(g189 +V +tp1576 +a(g213 +V2 +tp1577 +a(g189 +V: +tp1578 +a(g213 +V3 +tp1579 +a(g202 +V) +tp1580 +a(g189 +V\u000a\u000a +p1581 +tp1582 +a(g7 +V# from doc: is.na +p1583 +tp1584 +a(g189 +V\u000a +tp1585 +a(g189 +Vis.na +p1586 +tp1587 +a(g202 +V( +tp1588 +a(g189 +Vc +tp1589 +a(g202 +V( +tp1590 +a(g213 +V1 +tp1591 +a(g202 +V, +tp1592 +a(g189 +V +tp1593 +a(g117 +VNA +p1594 +tp1595 +a(g202 +V) +tp1596 +a(g202 +V) +tp1597 +a(g189 +V\u000a\u000a +p1598 +tp1599 +a(g7 +V# from doc: Extract +p1600 +tp1601 +a(g189 +V\u000a +tp1602 +a(g189 +Vy +tp1603 +a(g189 +V +tp1604 +a(g343 +V<- +p1605 +tp1606 +a(g189 +V +tp1607 +a(g189 +Vlist +p1608 +tp1609 +a(g202 +V( +tp1610 +a(g213 +V1 +tp1611 +a(g202 +V, +tp1612 +a(g213 +V2 +tp1613 +a(g202 +V, +tp1614 +a(g189 +Va +tp1615 +a(g343 +V= +tp1616 +a(g213 +V4 +tp1617 +a(g202 +V, +tp1618 +a(g213 +V5 +tp1619 +a(g202 +V) +tp1620 +a(g189 +V\u000a +tp1621 +a(g189 +Vy +tp1622 +a(g202 +V[ +tp1623 +a(g189 +Vc +tp1624 +a(g202 +V( +tp1625 +a(g213 +V3 +tp1626 +a(g202 +V, +tp1627 +a(g213 +V4 +tp1628 +a(g202 +V) +tp1629 +a(g202 +V] +tp1630 +a(g189 +V +p1631 +tp1632 +a(g7 +V# a list containing elements 3 and 4 of y +p1633 +tp1634 +a(g189 +V\u000a +tp1635 +a(g189 +Vy +tp1636 +a(g202 +V$ +tp1637 +a(g189 +Va +tp1638 +a(g189 +V +p1639 +tp1640 +a(g7 +V# the element of y named a +p1641 +tp1642 +a(g189 +V\u000a\u000a +p1643 +tp1644 +a(g7 +V# from doc: for +p1645 +tp1646 +a(g189 +V\u000a +tp1647 +a(g127 +Vfor +p1648 +tp1649 +a(g202 +V( +tp1650 +a(g189 +Vn +tp1651 +a(g189 +V +tp1652 +a(g189 +Vin +p1653 +tp1654 +a(g189 +V +tp1655 +a(g189 +Vc +tp1656 +a(g202 +V( +tp1657 +a(g213 +V2 +tp1658 +a(g202 +V, +tp1659 +a(g213 +V5 +tp1660 +a(g202 +V, +tp1661 +a(g213 +V10 +p1662 +tp1663 +a(g202 +V, +tp1664 +a(g213 +V20 +p1665 +tp1666 +a(g202 +V, +tp1667 +a(g213 +V50 +p1668 +tp1669 +a(g202 +V) +tp1670 +a(g202 +V) +tp1671 +a(g189 +V +tp1672 +a(g202 +V{ +tp1673 +a(g189 +V\u000a +p1674 +tp1675 +a(g189 +Vx +tp1676 +a(g189 +V +tp1677 +a(g343 +V<- +p1678 +tp1679 +a(g189 +V +tp1680 +a(g189 +Vstats +p1681 +tp1682 +a(g202 +V:: +p1683 +tp1684 +a(g189 +Vrnorm +p1685 +tp1686 +a(g202 +V( +tp1687 +a(g189 +Vn +tp1688 +a(g202 +V) +tp1689 +a(g189 +V\u000a +p1690 +tp1691 +a(g189 +Vcat +p1692 +tp1693 +a(g202 +V( +tp1694 +a(g189 +Vn +tp1695 +a(g202 +V, +tp1696 +a(g226 +V" +tp1697 +a(g226 +V:" +p1698 +tp1699 +a(g202 +V, +tp1700 +a(g189 +V +tp1701 +a(g189 +Vsum +p1702 +tp1703 +a(g202 +V( +tp1704 +a(g189 +Vx2 +p1705 +tp1706 +a(g202 +V) +tp1707 +a(g202 +V, +tp1708 +a(g226 +V" +tp1709 +a(g226 +V\u005cn" +p1710 +tp1711 +a(g202 +V) +tp1712 +a(g189 +V\u000a +tp1713 +a(g202 +V} +tp1714 +a(g189 +V\u000a\u000a +p1715 +tp1716 +a(g189 +Vclass +p1717 +tp1718 +a(g202 +V( +tp1719 +a(g189 +Vfo +p1720 +tp1721 +a(g189 +V +tp1722 +a(g343 +V<- +p1723 +tp1724 +a(g189 +V +tp1725 +a(g189 +Vy +tp1726 +a(g189 +V +tp1727 +a(g189 +V~ +tp1728 +a(g189 +V +tp1729 +a(g189 +Vx1 +p1730 +tp1731 +a(g343 +V* +tp1732 +a(g189 +Vx2 +p1733 +tp1734 +a(g202 +V) +tp1735 +a(g189 +V +tp1736 +a(g7 +V# "formula" +p1737 +tp1738 +a(g189 +V\u000a +tp1739 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.adb b/tests/examplefiles/output/test.adb new file mode 100644 index 0000000..be498d0 --- /dev/null +++ b/tests/examplefiles/output/test.adb @@ -0,0 +1,6621 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +g32 +g2 +(g3 +g4 +(g110 +g32 +ttRp113 +(dp114 +g9 +g10 +((ltRp115 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp116 +(dp117 +g9 +g10 +((ltRp118 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g111 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g110 +g122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp130 +(dp131 +g9 +g10 +((ltRp132 +sg12 +g111 +sbsg9 +g10 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g110 +S'Type' +p134 +ttRp135 +(dp136 +g9 +g10 +((ltRp137 +sg12 +g111 +sbag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g9 +g10 +((ltRp145 +sg12 +g140 +sbsg12 +g15 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g140 +sbsg9 +g10 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g9 +g10 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g9 +g10 +((ltRp191 +sg12 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg12 +g15 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g9 +g10 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g9 +g10 +((ltRp204 +sg12 +g198 +sbatRp205 +sg201 +g202 +sg12 +g15 +sbsS'Token' +p206 +g15 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g9 +g10 +((ltRp214 +sg12 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g209 +sbsg12 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g222 +sbsg12 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g222 +sbsg6 +g2 +(g3 +g4 +(g208 +g221 +g6 +ttRp267 +(dp268 +g9 +g10 +((ltRp269 +sg12 +g222 +sbsg64 +g2 +(g3 +g4 +(g208 +g221 +g64 +ttRp270 +(dp271 +g9 +g10 +((ltRp272 +sg12 +g222 +sbsS'Doc' +p273 +g2 +(g3 +g4 +(g208 +g221 +g273 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g222 +sbsg9 +g10 +((lp277 +g270 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g222 +sbag241 +ag264 +ag256 +ag274 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag267 +ag252 +ag248 +atRp282 +sg278 +g279 +sbsg12 +g15 +sg207 +g209 +sS'Scalar' +p283 +g2 +(g3 +g4 +(g208 +g283 +ttRp284 +(dp285 +g9 +g10 +((lp286 +g2 +(g3 +g4 +(g208 +g283 +S'Plain' +p287 +ttRp288 +(dp289 +g9 +g10 +((ltRp290 +sg12 +g284 +sbatRp291 +sg12 +g219 +sg287 +g288 +sbsg64 +g2 +(g3 +g4 +(g208 +g64 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g219 +sbsS'Date' +p295 +g2 +(g3 +g4 +(g208 +g295 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g219 +sbsg9 +g10 +((lp299 +g296 +ag222 +ag292 +ag209 +ag284 +atRp300 +sbsS'Decimal' +p301 +g2 +(g3 +g4 +(g208 +g207 +g301 +ttRp302 +(dp303 +g9 +g10 +((ltRp304 +sg12 +g209 +sbsS'Float' +p305 +g2 +(g3 +g4 +(g208 +g207 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g209 +sbsS'Hex' +p309 +g2 +(g3 +g4 +(g208 +g207 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g209 +sbsS'Integer' +p313 +g2 +(g3 +g4 +(g208 +g207 +g313 +ttRp314 +(dp315 +g9 +g10 +((lp316 +g2 +(g3 +g4 +(g208 +g207 +g313 +S'Long' +p317 +ttRp318 +(dp319 +g9 +g10 +((ltRp320 +sg12 +g314 +sbatRp321 +sg317 +g318 +sg12 +g209 +sbsS'Octal' +p322 +g2 +(g3 +g4 +(g208 +g207 +g322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g209 +sbsg9 +g10 +((lp326 +g212 +ag216 +ag323 +ag302 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g209 +sbag314 +ag306 +ag310 +atRp331 +sg327 +g328 +sbsg208 +g219 +sg64 +g2 +(g3 +g4 +(g64 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g15 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp335 +(dp336 +g9 +g10 +((ltRp337 +sg12 +g15 +sbsS'Operator' +p338 +g2 +(g3 +g4 +(g338 +ttRp339 +(dp340 +g9 +g10 +((lp341 +g2 +(g3 +g4 +(g338 +S'Word' +p342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g339 +sbatRp346 +sg342 +g343 +sg12 +g15 +sbsg9 +g10 +((lp347 +g13 +ag335 +ag140 +ag185 +ag18 +ag198 +ag111 +ag219 +ag339 +ag332 +atRp348 +sg221 +g222 +sbsS'Preproc' +p349 +g2 +(g3 +g4 +(g5 +g349 +ttRp350 +(dp351 +g9 +g10 +((ltRp352 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg9 +g10 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag350 +ag7 +ag354 +atRp362 +sg358 +g359 +sbsbV-- Model IED Simulator\u000a +p363 +tp364 +a(g7 +V-- COL Gene Ressler, 1 December 2007\u000a +p365 +tp366 +a(g116 +Vwith +p367 +tp368 +a(g185 +V +tp369 +a(g99 +VAda.Text_IO +p370 +tp371 +a(g198 +V; +tp372 +a(g185 +V\u000a\u000a +p373 +tp374 +a(g116 +Vwith +p375 +tp376 +a(g185 +V +tp377 +a(g99 +VAda.Characters.Latin_1 +p378 +tp379 +a(g198 +V; +tp380 +a(g185 +V\u000a +tp381 +a(g116 +Vuse +p382 +tp383 +a(g185 +V +p384 +tp385 +a(g99 +VAda.Characters.Latin_1 +p386 +tp387 +a(g198 +V; +tp388 +a(g185 +V\u000a\u000a +p389 +tp390 +a(g116 +Vwith +p391 +tp392 +a(g185 +V +tp393 +a(g99 +VAda.Strings.Fixed +p394 +tp395 +a(g198 +V; +tp396 +a(g185 +V\u000a +tp397 +a(g116 +Vuse +p398 +tp399 +a(g185 +V +p400 +tp401 +a(g99 +VAda.Strings.Fixed +p402 +tp403 +a(g198 +V; +tp404 +a(g185 +V\u000a\u000a +p405 +tp406 +a(g116 +Vwith +p407 +tp408 +a(g185 +V +tp409 +a(g99 +VAda.Strings +p410 +tp411 +a(g198 +V; +tp412 +a(g185 +V\u000a +tp413 +a(g116 +Vwith +p414 +tp415 +a(g185 +V +tp416 +a(g99 +VAda.Strings.Bounded +p417 +tp418 +a(g198 +V; +tp419 +a(g185 +V\u000a\u000a +p420 +tp421 +a(g116 +Vwith +p422 +tp423 +a(g185 +V +tp424 +a(g99 +VBinary_Search +p425 +tp426 +a(g198 +V; +tp427 +a(g185 +V\u000a\u000a +p428 +tp429 +a(g116 +Vwith +p430 +tp431 +a(g185 +V +tp432 +a(g99 +VAda.Containers.Generic_Array_Sort +p433 +tp434 +a(g198 +V; +tp435 +a(g185 +V\u000a\u000a +p436 +tp437 +a(g127 +Vpackage +p438 +tp439 +a(g185 +V +tp440 +a(g127 +Vbody +p441 +tp442 +a(g185 +V +tp443 +a(g106 +VScanner +p444 +tp445 +a(g185 +V +tp446 +a(g123 +Vis +p447 +tp448 +a(g185 +V\u000a +tp449 +a(g185 +V +p450 +tp451 +a(g185 +V\u000a +tp452 +a(g185 +V +p453 +tp454 +a(g33 +VConstant_123 +p455 +tp456 +a(g185 +V +p457 +tp458 +a(g198 +V: +tp459 +a(g185 +V +tp460 +a(g123 +Vconstant +p461 +tp462 +a(g185 +V +tp463 +a(g135 +VCharacter +p464 +tp465 +a(g185 +V +tp466 +a(g198 +V:= +p467 +tp468 +a(g185 +V +tp469 +a(g135 +VCharacter +p470 +tp471 +a(g198 +V' +tp472 +a(g41 +VVal +p473 +tp474 +a(g185 +V +tp475 +a(g198 +V( +tp476 +a(g310 +V16#00# +p477 +tp478 +a(g198 +V) +tp479 +a(g198 +V; +tp480 +a(g185 +V\u000a +tp481 +a(g185 +V +p482 +tp483 +a(g33 +VMAX_KEYWORD_LENGTH_C +p484 +tp485 +a(g185 +V +tp486 +a(g198 +V: +tp487 +a(g185 +V +tp488 +a(g123 +Vconstant +p489 +tp490 +a(g185 +V +tp491 +a(g135 +VNatural +p492 +tp493 +a(g185 +V +tp494 +a(g198 +V:= +p495 +tp496 +a(g185 +V +tp497 +a(g314 +V24 +p498 +tp499 +a(g198 +V; +tp500 +a(g185 +V\u000a +tp501 +a(g185 +V +p502 +tp503 +a(g185 +V\u000a +tp504 +a(g185 +V +p505 +tp506 +a(g33 +VNew_Constant +p507 +tp508 +a(g185 +V +tp509 +a(g198 +V: +tp510 +a(g185 +V +tp511 +a(g123 +Vconstant +p512 +tp513 +a(g185 +V +tp514 +a(g18 +VNew_Type +p515 +tp516 +a(g185 +V\u000a +tp517 +a(g185 +V +p518 +tp519 +a(g198 +V:= +p520 +tp521 +a(g185 +V +tp522 +a(g314 +V2 +tp523 +a(g198 +V; +tp524 +a(g185 +V\u000a +tp525 +a(g185 +V +p526 +tp527 +a(g185 +V\u000a +tp528 +a(g185 +V +p529 +tp530 +a(g33 +VKEYWORDS_C +p531 +tp532 +a(g185 +V +tp533 +a(g198 +V: +tp534 +a(g185 +V +tp535 +a(g123 +Vconstant +p536 +tp537 +a(g185 +V +tp538 +a(g18 +VKeyword_Array_T +p539 +tp540 +a(g185 +V +tp541 +a(g198 +V:= +p542 +tp543 +a(g185 +V\u000a +tp544 +a(g185 +V +p545 +tp546 +a(g198 +V( +tp547 +a(g18 +VTo_BS +p548 +tp549 +a(g198 +V( +tp550 +a(g222 +V"description" +p551 +tp552 +a(g198 +V) +tp553 +a(g198 +V, +tp554 +a(g185 +V\u000a +tp555 +a(g185 +V +p556 +tp557 +a(g18 +VTo_BS +p558 +tp559 +a(g198 +V( +tp560 +a(g222 +V"with" +p561 +tp562 +a(g198 +V) +tp563 +a(g198 +V) +tp564 +a(g198 +V; +tp565 +a(g185 +V\u000a +tp566 +a(g185 +V +p567 +tp568 +a(g185 +V\u000a +tp569 +a(g185 +V +p570 +tp571 +a(g127 +Vprocedure +p572 +tp573 +a(g185 +V +tp574 +a(g21 +VBlah +p575 +tp576 +a(g198 +V; +tp577 +a(g185 +V\u000a +tp578 +a(g185 +V +p579 +tp580 +a(g185 +V\u000a +tp581 +a(g185 +V +p582 +tp583 +a(g127 +Vprocedure +p584 +tp585 +a(g185 +V +tp586 +a(g21 +Vblah +p587 +tp588 +a(g185 +V +tp589 +a(g123 +Vis +p590 +tp591 +a(g185 +V\u000a +tp592 +a(g185 +V +p593 +tp594 +a(g123 +Vbegin +p595 +tp596 +a(g185 +V\u000a +tp597 +a(g185 +V +p598 +tp599 +a(g185 +V\u000a +tp600 +a(g185 +V +p601 +tp602 +a(g45 +VDeclaration +p603 +tp604 +a(g198 +V: +tp605 +a(g185 +V\u000a +p606 +tp607 +a(g123 +Vdeclare +p608 +tp609 +a(g185 +V\u000a +tp610 +a(g185 +V +p611 +tp612 +a(g18 +VJoe +p613 +tp614 +a(g185 +V +tp615 +a(g198 +V: +tp616 +a(g185 +V +tp617 +a(g18 +VType_Type +p618 +tp619 +a(g185 +V +tp620 +a(g198 +V:= +p621 +tp622 +a(g185 +V +tp623 +a(g18 +VRandom +p624 +tp625 +a(g198 +V; +tp626 +a(g185 +V\u000a +tp627 +a(g185 +V +p628 +tp629 +a(g123 +Vbegin +p630 +tp631 +a(g185 +V\u000a +tp632 +a(g185 +V +p633 +tp634 +a(g18 +VDo_Something +p635 +tp636 +a(g198 +V; +tp637 +a(g185 +V\u000a +tp638 +a(g185 +V +p639 +tp640 +a(g123 +Vend +p641 +tp642 +a(g185 +V +tp643 +a(g21 +VDeclaration +p644 +tp645 +a(g198 +V; +tp646 +a(g185 +V\u000a +tp647 +a(g185 +V +p648 +tp649 +a(g45 +VLoop_ID +p650 +tp651 +a(g198 +V: +tp652 +a(g185 +V\u000a +p653 +tp654 +a(g123 +Vloop +p655 +tp656 +a(g185 +V\u000a +tp657 +a(g185 +V +p658 +tp659 +a(g18 +VLoop_Do +p660 +tp661 +a(g198 +V; +tp662 +a(g185 +V\u000a +tp663 +a(g185 +V +p664 +tp665 +a(g123 +Vexit +p666 +tp667 +a(g185 +V +tp668 +a(g123 +Vwhen +p669 +tp670 +a(g185 +V +tp671 +a(g314 +V1 +tp672 +a(g339 +V= +tp673 +a(g314 +V2 +tp674 +a(g198 +V; +tp675 +a(g185 +V\u000a +tp676 +a(g185 +V +p677 +tp678 +a(g123 +Vend +p679 +tp680 +a(g185 +V +tp681 +a(g123 +Vloop +p682 +tp683 +a(g185 +V +tp684 +a(g123 +VLoop +p685 +tp686 +a(g21 +V_ID +p687 +tp688 +a(g198 +V; +tp689 +a(g185 +V\u000a +tp690 +a(g185 +V +p691 +tp692 +a(g123 +Vif +p693 +tp694 +a(g185 +V +tp695 +a(g113 +VTrue +p696 +tp697 +a(g185 +V +tp698 +a(g343 +Vor else +p699 +tp700 +a(g185 +V +tp701 +a(g113 +VFalse +p702 +tp703 +a(g185 +V +tp704 +a(g123 +Vthen +p705 +tp706 +a(g185 +V\u000a +tp707 +a(g185 +V +p708 +tp709 +a(g18 +VDo_This +p710 +tp711 +a(g198 +V( +tp712 +a(g198 +V) +tp713 +a(g198 +V; +tp714 +a(g185 +V\u000a +tp715 +a(g185 +V +p716 +tp717 +a(g123 +Velsif +p718 +tp719 +a(g185 +V +tp720 +a(g343 +Vnot +p721 +tp722 +a(g185 +V +tp723 +a(g113 +VFalse +p724 +tp725 +a(g185 +V +tp726 +a(g343 +Vand then +p727 +tp728 +a(g185 +V +tp729 +a(g113 +VTrue +p730 +tp731 +a(g185 +V +tp732 +a(g123 +Vthen +p733 +tp734 +a(g185 +V\u000a +tp735 +a(g185 +V +p736 +tp737 +a(g18 +VDo_That +p738 +tp739 +a(g198 +V; +tp740 +a(g185 +V\u000a +tp741 +a(g185 +V +p742 +tp743 +a(g123 +Velse +p744 +tp745 +a(g185 +V\u000a +tp746 +a(g185 +V +p747 +tp748 +a(g18 +VPanic +p749 +tp750 +a(g198 +V; +tp751 +a(g185 +V\u000a +tp752 +a(g185 +V +p753 +tp754 +a(g123 +Vend +p755 +tp756 +a(g185 +V +tp757 +a(g123 +Vif +p758 +tp759 +a(g198 +V; +tp760 +a(g185 +V\u000a +tp761 +a(g185 +V +p762 +tp763 +a(g123 +Vend +p764 +tp765 +a(g185 +V +tp766 +a(g21 +Vblah +p767 +tp768 +a(g198 +V; +tp769 +a(g185 +V\u000a +tp770 +a(g185 +V +p771 +tp772 +a(g185 +V\u000a +tp773 +a(g185 +V +p774 +tp775 +a(g127 +Vfunction +p776 +tp777 +a(g185 +V +tp778 +a(g21 +V"*" +p779 +tp780 +a(g185 +V +tp781 +a(g198 +V( +tp782 +a(g73 +VLeft +p783 +tp784 +a(g198 +V, +tp785 +a(g185 +V +tp786 +a(g73 +VRight +p787 +tp788 +a(g185 +V +tp789 +a(g198 +V: +p790 +tp791 +a(g123 +Vin +p792 +tp793 +a(g185 +V +tp794 +a(g135 +VInteger +p795 +tp796 +a(g198 +V) +tp797 +a(g185 +V +tp798 +a(g123 +Vreturn +p799 +tp800 +a(g185 +V +tp801 +a(g135 +VInteger +p802 +tp803 +a(g185 +V +tp804 +a(g123 +Vis +p805 +tp806 +a(g185 +V\u000a +tp807 +a(g185 +V +p808 +tp809 +a(g123 +Vbegin +p810 +tp811 +a(g185 +V\u000a +tp812 +a(g185 +V +p813 +tp814 +a(g45 +V<> +p815 +tp816 +a(g185 +V\u000a +tp817 +a(g185 +V +p818 +tp819 +a(g123 +Vgoto +p820 +tp821 +a(g185 +V +tp822 +a(g18 +VGoto_Label +p823 +tp824 +a(g198 +V; +tp825 +a(g185 +V\u000a +tp826 +a(g185 +V +p827 +tp828 +a(g123 +Vreturn +p829 +tp830 +a(g185 +V +tp831 +a(g18 +VLeft +p832 +tp833 +a(g185 +V +tp834 +a(g339 +V+ +tp835 +a(g185 +V +tp836 +a(g18 +VRight +p837 +tp838 +a(g198 +V; +tp839 +a(g185 +V\u000a +tp840 +a(g185 +V +p841 +tp842 +a(g123 +Vend +p843 +tp844 +a(g185 +V +tp845 +a(g21 +V"*" +p846 +tp847 +a(g198 +V; +tp848 +a(g185 +V\u000a +tp849 +a(g185 +V +p850 +tp851 +a(g185 +V\u000a +tp852 +a(g185 +V +p853 +tp854 +a(g127 +Vfunction +p855 +tp856 +a(g185 +V +tp857 +a(g21 +VFunction_Specification +p858 +tp859 +a(g185 +V\u000a +tp860 +a(g185 +V +p861 +tp862 +a(g198 +V( +tp863 +a(g73 +VParam_1 +p864 +tp865 +a(g185 +V +p866 +tp867 +a(g198 +V: +p868 +tp869 +a(g123 +Vin +p870 +tp871 +a(g185 +V +tp872 +a(g18 +VBlah +p873 +tp874 +a(g198 +V; +tp875 +a(g185 +V +tp876 +a(g185 +V\u000a +tp877 +a(g185 +V +p878 +tp879 +a(g73 +VParam2 +p880 +tp881 +a(g198 +V, +tp882 +a(g185 +V +tp883 +a(g73 +Vparam3 +p884 +tp885 +a(g185 +V +tp886 +a(g198 +V: +p887 +tp888 +a(g123 +Vin +p889 +tp890 +a(g185 +V +tp891 +a(g123 +Vaccess +p892 +tp893 +a(g185 +V +tp894 +a(g18 +VBlah_Type +p895 +tp896 +a(g185 +V +tp897 +a(g198 +V:= +p898 +tp899 +a(g185 +V +tp900 +a(g314 +V0 +tp901 +a(g198 +V) +tp902 +a(g185 +V\u000a +tp903 +a(g185 +V +p904 +tp905 +a(g123 +Vreturn +p906 +tp907 +a(g185 +V +tp908 +a(g18 +VIt_Type +p909 +tp910 +a(g198 +V; +tp911 +a(g185 +V\u000a +tp912 +a(g185 +V +p913 +tp914 +a(g185 +V\u000a +tp915 +a(g185 +V +p916 +tp917 +a(g127 +Vpackage +p918 +tp919 +a(g185 +V +tp920 +a(g106 +VRename_Check +p921 +tp922 +a(g185 +V +tp923 +a(g123 +Vrenames +p924 +tp925 +a(g185 +V +tp926 +a(g106 +VAda.Text_IO +p927 +tp928 +a(g198 +V; +tp929 +a(g185 +V\u000a\u000a +p930 +tp931 +a(g185 +V +p932 +tp933 +a(g127 +Vtype +p934 +tp935 +a(g185 +V +tp936 +a(g135 +VNew_Float +p937 +tp938 +a(g185 +V +tp939 +a(g123 +Vis +p940 +tp941 +a(g185 +V +tp942 +a(g123 +Vdelta +p943 +tp944 +a(g185 +V +tp945 +a(g306 +V0.001 +p946 +tp947 +a(g185 +V +tp948 +a(g123 +Vdigits +p949 +tp950 +a(g185 +V +tp951 +a(g314 +V12 +p952 +tp953 +a(g198 +V; +tp954 +a(g185 +V\u000a +tp955 +a(g185 +V +p956 +tp957 +a(g185 +V\u000a +tp958 +a(g185 +V +p959 +tp960 +a(g127 +Vpackage +p961 +tp962 +a(g185 +V +tp963 +a(g106 +VPackage_Inst +p964 +tp965 +a(g185 +V +tp966 +a(g123 +Vis new +p967 +tp968 +a(g185 +V +tp969 +a(g106 +VAda.Strings.Bounded.Generic_Bounded_Length +p970 +tp971 +a(g185 +V\u000a +tp972 +a(g185 +V +p973 +tp974 +a(g198 +V( +tp975 +a(g73 +VMax +p976 +tp977 +a(g185 +V +tp978 +a(g198 +V=> +p979 +tp980 +a(g185 +V +tp981 +a(g185 +VM +tp982 +a(g185 +VA +tp983 +a(g185 +VX +tp984 +a(g185 +V_ +tp985 +a(g185 +VK +tp986 +a(g185 +VE +tp987 +a(g185 +VY +tp988 +a(g185 +VW +tp989 +a(g185 +VO +tp990 +a(g185 +VR +tp991 +a(g185 +VD +tp992 +a(g185 +V_ +tp993 +a(g185 +VL +tp994 +a(g185 +VE +tp995 +a(g185 +VN +tp996 +a(g185 +VG +tp997 +a(g185 +VT +tp998 +a(g185 +VH +tp999 +a(g185 +V_ +tp1000 +a(g185 +VC +tp1001 +a(g198 +V) +tp1002 +a(g198 +V; +tp1003 +a(g185 +V\u000a\u000a +p1004 +tp1005 +a(g185 +V +p1006 +tp1007 +a(g127 +Vtype +p1008 +tp1009 +a(g185 +V +tp1010 +a(g135 +VArray_Decl12 +p1011 +tp1012 +a(g185 +V +tp1013 +a(g123 +Vis +p1014 +tp1015 +a(g185 +V +tp1016 +a(g123 +Varray +p1017 +tp1018 +a(g185 +V +tp1019 +a(g198 +V( +tp1020 +a(g135 +VPositive +p1021 +tp1022 +a(g185 +V +tp1023 +a(g123 +Vrange +p1024 +tp1025 +a(g185 +V +tp1026 +a(g198 +V<> +p1027 +tp1028 +a(g198 +V) +tp1029 +a(g185 +V +tp1030 +a(g123 +Vof +p1031 +tp1032 +a(g185 +V +tp1033 +a(g18 +VSB +p1034 +tp1035 +a(g198 +V. +tp1036 +a(g18 +VBounded_String +p1037 +tp1038 +a(g198 +V; +tp1039 +a(g185 +V\u000a +tp1040 +a(g185 +V +p1041 +tp1042 +a(g127 +Vtype +p1043 +tp1044 +a(g185 +V +tp1045 +a(g135 +VArray_Decl3 +p1046 +tp1047 +a(g185 +V +tp1048 +a(g123 +Vis +p1049 +tp1050 +a(g185 +V +tp1051 +a(g123 +Varray +p1052 +tp1053 +a(g185 +V +tp1054 +a(g198 +V( +tp1055 +a(g135 +VNew_Type +p1056 +tp1057 +a(g185 +V +tp1058 +a(g123 +Vrange +p1059 +tp1060 +a(g185 +V +tp1061 +a(g18 +VThing_1 +p1062 +tp1063 +a(g185 +V +tp1064 +a(g198 +V. +tp1065 +a(g198 +V. +tp1066 +a(g185 +V +tp1067 +a(g18 +VThing_2 +p1068 +tp1069 +a(g198 +V) +tp1070 +a(g185 +V +tp1071 +a(g123 +Vof +p1072 +tp1073 +a(g185 +V +tp1074 +a(g18 +VSB +p1075 +tp1076 +a(g198 +V. +tp1077 +a(g18 +VBounded_String +p1078 +tp1079 +a(g198 +V; +tp1080 +a(g185 +V\u000a\u000a +p1081 +tp1082 +a(g185 +V +p1083 +tp1084 +a(g127 +Vtype +p1085 +tp1086 +a(g185 +V +tp1087 +a(g135 +VBoring_Type +p1088 +tp1089 +a(g185 +V +tp1090 +a(g123 +Vis +p1091 +tp1092 +a(g185 +V\u000a +tp1093 +a(g185 +V +p1094 +tp1095 +a(g198 +V( +tp1096 +a(g73 +VStart +p1097 +tp1098 +a(g198 +V, +tp1099 +a(g185 +V\u000a +tp1100 +a(g185 +V +p1101 +tp1102 +a(g18 +VEnd_Error +p1103 +tp1104 +a(g198 +V) +tp1105 +a(g198 +V; +tp1106 +a(g185 +V\u000a\u000a +p1107 +tp1108 +a(g185 +V +p1109 +tp1110 +a(g127 +Vsubtype +p1111 +tp1112 +a(g185 +V +tp1113 +a(g135 +VSub_Type_check +p1114 +tp1115 +a(g185 +V +tp1116 +a(g123 +Vis +p1117 +tp1118 +a(g185 +V +tp1119 +a(g135 +VCharacter +p1120 +tp1121 +a(g185 +V +tp1122 +a(g123 +Vrange +p1123 +tp1124 +a(g185 +V +tp1125 +a(g198 +V' +tp1126 +a(g41 +V0 +tp1127 +a(g198 +V' +tp1128 +a(g185 +V +tp1129 +a(g198 +V. +tp1130 +a(g198 +V. +tp1131 +a(g185 +V +tp1132 +a(g198 +V' +tp1133 +a(g41 +V9 +tp1134 +a(g198 +V' +tp1135 +a(g198 +V; +tp1136 +a(g185 +V\u000a +tp1137 +a(g185 +V +p1138 +tp1139 +a(g185 +V\u000a +tp1140 +a(g185 +V +p1141 +tp1142 +a(g33 +VInitialized_Array +p1143 +tp1144 +a(g185 +V +tp1145 +a(g198 +V: +tp1146 +a(g185 +V +tp1147 +a(g123 +Vconstant +p1148 +tp1149 +a(g185 +V +tp1150 +a(g18 +VTransistion_Array_T +p1151 +tp1152 +a(g185 +V +tp1153 +a(g198 +V:= +p1154 +tp1155 +a(g185 +V\u000a +tp1156 +a(g185 +V +p1157 +tp1158 +a(g198 +V( +tp1159 +a(g18 +VStart +p1160 +tp1161 +a(g185 +V +tp1162 +a(g198 +V=> +p1163 +tp1164 +a(g185 +V\u000a +tp1165 +a(g185 +V +p1166 +tp1167 +a(g198 +V( +tp1168 +a(g18 +VLetter_Lower +p1169 +tp1170 +a(g185 +V +tp1171 +a(g198 +V| +tp1172 +a(g185 +V +tp1173 +a(g18 +VLetter_Upper +p1174 +tp1175 +a(g185 +V +tp1176 +a(g198 +V=> +p1177 +tp1178 +a(g185 +V +tp1179 +a(g18 +VSaw_Alpha +p1180 +tp1181 +a(g198 +V, +tp1182 +a(g185 +V\u000a +tp1183 +a(g185 +V +p1184 +tp1185 +a(g252 +V' ' +p1186 +tp1187 +a(g185 +V +tp1188 +a(g198 +V| +tp1189 +a(g185 +V +tp1190 +a(g18 +VHT +p1191 +tp1192 +a(g185 +V +tp1193 +a(g198 +V| +tp1194 +a(g185 +V +tp1195 +a(g18 +VCR +p1196 +tp1197 +a(g185 +V +tp1198 +a(g198 +V| +tp1199 +a(g185 +V +tp1200 +a(g18 +VLF +p1201 +tp1202 +a(g185 +V +p1203 +tp1204 +a(g198 +V=> +p1205 +tp1206 +a(g185 +V +tp1207 +a(g18 +VStart +p1208 +tp1209 +a(g198 +V, +tp1210 +a(g185 +V\u000a +tp1211 +a(g185 +V +p1212 +tp1213 +a(g123 +Vothers +p1214 +tp1215 +a(g185 +V +tp1216 +a(g198 +V=> +p1217 +tp1218 +a(g185 +V +tp1219 +a(g18 +VBegin_Error +p1220 +tp1221 +a(g198 +V) +tp1222 +a(g198 +V, +tp1223 +a(g185 +V\u000a\u000a +p1224 +tp1225 +a(g185 +V +p1226 +tp1227 +a(g18 +VEnd_Error +p1228 +tp1229 +a(g185 +V +tp1230 +a(g198 +V=> +p1231 +tp1232 +a(g185 +V +tp1233 +a(g198 +V( +tp1234 +a(g123 +Vothers +p1235 +tp1236 +a(g185 +V +tp1237 +a(g198 +V=> +p1238 +tp1239 +a(g185 +V +tp1240 +a(g18 +VStart +p1241 +tp1242 +a(g198 +V) +tp1243 +a(g185 +V\u000a\u000a +p1244 +tp1245 +a(g185 +V +p1246 +tp1247 +a(g198 +V) +tp1248 +a(g198 +V; +tp1249 +a(g185 +V\u000a\u000a +p1250 +tp1251 +a(g185 +V +p1252 +tp1253 +a(g127 +Vtype +p1254 +tp1255 +a(g185 +V +tp1256 +a(g135 +VRecorder +p1257 +tp1258 +a(g185 +V +tp1259 +a(g123 +Vis +p1260 +tp1261 +a(g185 +V +tp1262 +a(g123 +Vrecord +p1263 +tp1264 +a(g185 +V\u000a +tp1265 +a(g185 +V +p1266 +tp1267 +a(g73 +VAdvance +p1268 +tp1269 +a(g185 +V +p1270 +tp1271 +a(g198 +V: +p1272 +tp1273 +a(g18 +VBoolean +p1274 +tp1275 +a(g198 +V; +tp1276 +a(g185 +V\u000a +tp1277 +a(g185 +V +p1278 +tp1279 +a(g73 +VReturn_Token +p1280 +tp1281 +a(g185 +V +tp1282 +a(g198 +V: +p1283 +tp1284 +a(g18 +VToken_T +p1285 +tp1286 +a(g198 +V; +tp1287 +a(g185 +V\u000a +tp1288 +a(g185 +V +p1289 +tp1290 +a(g123 +Vend +p1291 +tp1292 +a(g185 +V +tp1293 +a(g123 +Vrecord +p1294 +tp1295 +a(g198 +V; +tp1296 +a(g185 +V\u000a +tp1297 +a(g185 +V +p1298 +tp1299 +a(g185 +V\u000a +tp1300 +a(g185 +V +p1301 +tp1302 +a(g123 +Vfor +p1303 +tp1304 +a(g185 +V +tp1305 +a(g18 +VRecorder +p1306 +tp1307 +a(g185 +V +tp1308 +a(g116 +Vuse +p1309 +tp1310 +a(g185 +V +tp1311 +a(g99 +V8 +tp1312 +a(g198 +V; +tp1313 +a(g185 +V\u000a +tp1314 +a(g185 +V +p1315 +tp1316 +a(g185 +V\u000a +tp1317 +a(g185 +V +p1318 +tp1319 +a(g127 +Vtype +p1320 +tp1321 +a(g185 +V +tp1322 +a(g135 +VNull_Record +p1323 +tp1324 +a(g185 +V +tp1325 +a(g123 +Vis +p1326 +tp1327 +a(g185 +V +tp1328 +a(g113 +Vnull +p1329 +tp1330 +a(g185 +V +tp1331 +a(g123 +Vrecord +p1332 +tp1333 +a(g198 +V; +tp1334 +a(g185 +V\u000a +tp1335 +a(g185 +V +p1336 +tp1337 +a(g185 +V\u000a +tp1338 +a(g185 +V +p1339 +tp1340 +a(g127 +Vtype +p1341 +tp1342 +a(g185 +V +tp1343 +a(g135 +VDiscriminated_Record +p1344 +tp1345 +a(g185 +V +tp1346 +a(g198 +V( +tp1347 +a(g73 +VSize +p1348 +tp1349 +a(g185 +V +tp1350 +a(g198 +V: +p1351 +tp1352 +a(g135 +VNatural +p1353 +tp1354 +a(g198 +V) +tp1355 +a(g185 +V +tp1356 +a(g123 +Vis +p1357 +tp1358 +a(g185 +V +tp1359 +a(g185 +V\u000a +tp1360 +a(g185 +V +p1361 +tp1362 +a(g123 +Vrecord +p1363 +tp1364 +a(g185 +V\u000a +tp1365 +a(g185 +V +p1366 +tp1367 +a(g73 +VA +tp1368 +a(g185 +V +tp1369 +a(g198 +V: +p1370 +tp1371 +a(g135 +VString +p1372 +tp1373 +a(g185 +V +tp1374 +a(g198 +V( +tp1375 +a(g314 +V1 +tp1376 +a(g185 +V +tp1377 +a(g198 +V. +tp1378 +a(g198 +V. +tp1379 +a(g185 +V +tp1380 +a(g18 +VSize +p1381 +tp1382 +a(g198 +V) +tp1383 +a(g198 +V; +tp1384 +a(g185 +V\u000a +tp1385 +a(g185 +V +p1386 +tp1387 +a(g123 +Vend +p1388 +tp1389 +a(g185 +V +tp1390 +a(g123 +Vrecord +p1391 +tp1392 +a(g198 +V; +tp1393 +a(g185 +V\u000a +tp1394 +a(g185 +V +p1395 +tp1396 +a(g185 +V\u000a +tp1397 +a(g185 +V +p1398 +tp1399 +a(g123 +Vpragma +p1400 +tp1401 +a(g185 +V +tp1402 +a(g350 +VUnchecked_Union +p1403 +tp1404 +a(g185 +V +tp1405 +a(g198 +V( +tp1406 +a(g18 +VUnion +p1407 +tp1408 +a(g198 +V) +tp1409 +a(g198 +V; +tp1410 +a(g185 +V\u000a +tp1411 +a(g185 +V +p1412 +tp1413 +a(g123 +Vpragma +p1414 +tp1415 +a(g185 +V +tp1416 +a(g350 +VConvention +p1417 +tp1418 +a(g185 +V +tp1419 +a(g198 +V( +tp1420 +a(g73 +VC +tp1421 +a(g198 +V, +tp1422 +a(g185 +V +tp1423 +a(g18 +VUnion +p1424 +tp1425 +a(g198 +V) +tp1426 +a(g198 +V; +tp1427 +a(g185 +V\u000a +tp1428 +a(g185 +V +p1429 +tp1430 +a(g185 +V\u000a +tp1431 +a(g185 +V +p1432 +tp1433 +a(g127 +Vtype +p1434 +tp1435 +a(g185 +V +tp1436 +a(g135 +VPerson +p1437 +tp1438 +a(g185 +V +tp1439 +a(g123 +Vis +p1440 +tp1441 +a(g185 +V +tp1442 +a(g123 +Vtagged +p1443 +tp1444 +a(g185 +V +tp1445 +a(g185 +V\u000a +tp1446 +a(g185 +V +p1447 +tp1448 +a(g123 +Vrecord +p1449 +tp1450 +a(g185 +V\u000a +tp1451 +a(g185 +V +p1452 +tp1453 +a(g73 +VName +p1454 +tp1455 +a(g185 +V +p1456 +tp1457 +a(g198 +V: +p1458 +tp1459 +a(g135 +VString +p1460 +tp1461 +a(g185 +V +tp1462 +a(g198 +V( +tp1463 +a(g314 +V1 +tp1464 +a(g185 +V +tp1465 +a(g198 +V. +tp1466 +a(g198 +V. +tp1467 +a(g185 +V +tp1468 +a(g314 +V10 +p1469 +tp1470 +a(g198 +V) +tp1471 +a(g198 +V; +tp1472 +a(g185 +V\u000a +tp1473 +a(g185 +V +p1474 +tp1475 +a(g18 +VGender +p1476 +tp1477 +a(g185 +V +tp1478 +a(g198 +V: +tp1479 +a(g185 +V +tp1480 +a(g18 +VGender_Type +p1481 +tp1482 +a(g198 +V; +tp1483 +a(g185 +V\u000a +tp1484 +a(g185 +V +p1485 +tp1486 +a(g123 +Vend +p1487 +tp1488 +a(g185 +V +tp1489 +a(g123 +Vrecord +p1490 +tp1491 +a(g198 +V; +tp1492 +a(g185 +V\u000a +tp1493 +a(g185 +V +p1494 +tp1495 +a(g185 +V\u000a +tp1496 +a(g185 +V +p1497 +tp1498 +a(g127 +Vtype +p1499 +tp1500 +a(g185 +V +tp1501 +a(g135 +VProgrammer +p1502 +tp1503 +a(g185 +V +tp1504 +a(g123 +Vis +p1505 +tp1506 +a(g185 +V +tp1507 +a(g123 +Vnew +p1508 +tp1509 +a(g185 +V +tp1510 +a(g18 +VPerson +p1511 +tp1512 +a(g185 +V +tp1513 +a(g123 +Vwith +p1514 +tp1515 +a(g185 +V\u000a +tp1516 +a(g185 +V +p1517 +tp1518 +a(g123 +Vrecord +p1519 +tp1520 +a(g185 +V\u000a +tp1521 +a(g185 +V +p1522 +tp1523 +a(g73 +VSkilled_In +p1524 +tp1525 +a(g185 +V +tp1526 +a(g198 +V: +p1527 +tp1528 +a(g18 +VLanguage_List +p1529 +tp1530 +a(g198 +V; +tp1531 +a(g185 +V\u000a +tp1532 +a(g185 +V +p1533 +tp1534 +a(g73 +VFavorite_Langauge +p1535 +tp1536 +a(g185 +V +tp1537 +a(g198 +V: +p1538 +tp1539 +a(g18 +VPython_Type +p1540 +tp1541 +a(g198 +V; +tp1542 +a(g185 +V\u000a +tp1543 +a(g185 +V +p1544 +tp1545 +a(g123 +Vend +p1546 +tp1547 +a(g185 +V +tp1548 +a(g123 +Vrecord +p1549 +tp1550 +a(g198 +V; +tp1551 +a(g185 +V\u000a +tp1552 +a(g185 +V +p1553 +tp1554 +a(g185 +V\u000a +tp1555 +a(g185 +V +p1556 +tp1557 +a(g127 +Vtype +p1558 +tp1559 +a(g185 +V +tp1560 +a(g135 +VProgrammer +p1561 +tp1562 +a(g185 +V +tp1563 +a(g123 +Vis +p1564 +tp1565 +a(g185 +V +tp1566 +a(g123 +Vnew +p1567 +tp1568 +a(g185 +V +tp1569 +a(g18 +VPerson +p1570 +tp1571 +a(g185 +V +tp1572 +a(g185 +V\u000a +tp1573 +a(g185 +V +p1574 +tp1575 +a(g123 +Vand +p1576 +tp1577 +a(g185 +V +tp1578 +a(g18 +VPrintable +p1579 +tp1580 +a(g185 +V +tp1581 +a(g185 +V\u000a +tp1582 +a(g185 +V +p1583 +tp1584 +a(g123 +Vwith +p1585 +tp1586 +a(g185 +V +tp1587 +a(g185 +V\u000a +tp1588 +a(g185 +V +p1589 +tp1590 +a(g123 +Vrecord +p1591 +tp1592 +a(g185 +V\u000a +tp1593 +a(g185 +V +p1594 +tp1595 +a(g73 +VSkilled_In +p1596 +tp1597 +a(g185 +V +tp1598 +a(g198 +V: +p1599 +tp1600 +a(g18 +VLanguage_List +p1601 +tp1602 +a(g198 +V; +tp1603 +a(g185 +V\u000a +tp1604 +a(g185 +V +p1605 +tp1606 +a(g73 +VBlah +p1607 +tp1608 +a(g185 +V +tp1609 +a(g198 +V: +p1610 +tp1611 +a(g123 +Valiased +p1612 +tp1613 +a(g185 +V +tp1614 +a(g135 +VInteger +p1615 +tp1616 +a(g198 +V; +tp1617 +a(g185 +V\u000a +tp1618 +a(g185 +V +p1619 +tp1620 +a(g123 +Vend +p1621 +tp1622 +a(g185 +V +tp1623 +a(g123 +Vrecord +p1624 +tp1625 +a(g198 +V; +tp1626 +a(g185 +V\u000a +tp1627 +a(g185 +V +p1628 +tp1629 +a(g185 +V\u000a +tp1630 +a(g185 +V +p1631 +tp1632 +a(g7 +V---------------------\u000a +p1633 +tp1634 +a(g185 +V +p1635 +tp1636 +a(g7 +V-- Scan_Next_Token --\u000a +p1637 +tp1638 +a(g185 +V +p1639 +tp1640 +a(g7 +V---------------------\u000a +p1641 +tp1642 +a(g185 +V +p1643 +tp1644 +a(g185 +V\u000a +tp1645 +a(g185 +V +p1646 +tp1647 +a(g127 +Vtask +p1648 +tp1649 +a(g185 +V +tp1650 +a(g18 +VCyclic_Buffer_Task_Type +p1651 +tp1652 +a(g185 +V +tp1653 +a(g123 +Vis +p1654 +tp1655 +a(g185 +V\u000a +tp1656 +a(g185 +V +p1657 +tp1658 +a(g127 +Ventry +p1659 +tp1660 +a(g185 +V +tp1661 +a(g21 +VInsert +p1662 +tp1663 +a(g185 +V +tp1664 +a(g198 +V( +tp1665 +a(g73 +VAn_Item +p1666 +tp1667 +a(g185 +V +tp1668 +a(g198 +V: +p1669 +tp1670 +a(g123 +Vin +p1671 +tp1672 +a(g185 +V +p1673 +tp1674 +a(g18 +VItem +p1675 +tp1676 +a(g198 +V) +tp1677 +a(g198 +V; +tp1678 +a(g185 +V\u000a +tp1679 +a(g185 +V +p1680 +tp1681 +a(g127 +Ventry +p1682 +tp1683 +a(g185 +V +tp1684 +a(g21 +VRemove +p1685 +tp1686 +a(g185 +V +tp1687 +a(g198 +V( +tp1688 +a(g73 +VAn_Item +p1689 +tp1690 +a(g185 +V +tp1691 +a(g198 +V: +p1692 +tp1693 +a(g123 +Vout +p1694 +tp1695 +a(g185 +V +tp1696 +a(g18 +VItem +p1697 +tp1698 +a(g198 +V) +tp1699 +a(g198 +V; +tp1700 +a(g185 +V\u000a +tp1701 +a(g185 +V +p1702 +tp1703 +a(g123 +Vend +p1704 +tp1705 +a(g185 +V +tp1706 +a(g21 +VCyclic_Buffer_Task_Type +p1707 +tp1708 +a(g198 +V; +tp1709 +a(g185 +V\u000a +tp1710 +a(g185 +V +p1711 +tp1712 +a(g185 +V\u000a +tp1713 +a(g185 +V +p1714 +tp1715 +a(g127 +Vtask +p1716 +tp1717 +a(g185 +V +tp1718 +a(g123 +Vbody +p1719 +tp1720 +a(g185 +V +tp1721 +a(g18 +VCyclic_Buffer_Task_Type +p1722 +tp1723 +a(g185 +V +tp1724 +a(g123 +Vis +p1725 +tp1726 +a(g185 +V\u000a +tp1727 +a(g185 +V +p1728 +tp1729 +a(g73 +VQ_Size +p1730 +tp1731 +a(g185 +V +tp1732 +a(g198 +V: +p1733 +tp1734 +a(g123 +Vconstant +p1735 +tp1736 +a(g185 +V +tp1737 +a(g198 +V:= +p1738 +tp1739 +a(g185 +V +tp1740 +a(g314 +V100 +p1741 +tp1742 +a(g198 +V; +tp1743 +a(g185 +V\u000a +tp1744 +a(g185 +V +p1745 +tp1746 +a(g127 +Vsubtype +p1747 +tp1748 +a(g185 +V +tp1749 +a(g135 +VQ_Range +p1750 +tp1751 +a(g185 +V +tp1752 +a(g123 +Vis +p1753 +tp1754 +a(g185 +V +tp1755 +a(g135 +VPositive +p1756 +tp1757 +a(g185 +V +tp1758 +a(g123 +Vrange +p1759 +tp1760 +a(g185 +V +tp1761 +a(g314 +V1 +tp1762 +a(g185 +V +tp1763 +a(g198 +V. +tp1764 +a(g198 +V. +tp1765 +a(g185 +V +tp1766 +a(g18 +VQ_Size +p1767 +tp1768 +a(g198 +V; +tp1769 +a(g185 +V\u000a +tp1770 +a(g185 +V +p1771 +tp1772 +a(g73 +VLength +p1773 +tp1774 +a(g185 +V +tp1775 +a(g198 +V: +p1776 +tp1777 +a(g135 +VNatural +p1778 +tp1779 +a(g185 +V +tp1780 +a(g123 +Vrange +p1781 +tp1782 +a(g185 +V +tp1783 +a(g314 +V0 +tp1784 +a(g185 +V +tp1785 +a(g198 +V. +tp1786 +a(g198 +V. +tp1787 +a(g185 +V +tp1788 +a(g18 +VQ_Size +p1789 +tp1790 +a(g185 +V +tp1791 +a(g198 +V:= +p1792 +tp1793 +a(g185 +V +tp1794 +a(g314 +V0 +tp1795 +a(g198 +V; +tp1796 +a(g185 +V\u000a +tp1797 +a(g185 +V +p1798 +tp1799 +a(g73 +VHead +p1800 +tp1801 +a(g198 +V, +tp1802 +a(g185 +V +tp1803 +a(g73 +VTail +p1804 +tp1805 +a(g185 +V +tp1806 +a(g198 +V: +p1807 +tp1808 +a(g18 +VQ_Range +p1809 +tp1810 +a(g185 +V +tp1811 +a(g198 +V:= +p1812 +tp1813 +a(g185 +V +tp1814 +a(g314 +V1 +tp1815 +a(g198 +V; +tp1816 +a(g185 +V\u000a +tp1817 +a(g185 +V +p1818 +tp1819 +a(g73 +VData +p1820 +tp1821 +a(g185 +V +tp1822 +a(g198 +V: +p1823 +tp1824 +a(g123 +Varray +p1825 +tp1826 +a(g185 +V +tp1827 +a(g198 +V( +tp1828 +a(g18 +VQ_Range +p1829 +tp1830 +a(g198 +V) +tp1831 +a(g185 +V +tp1832 +a(g123 +Vof +p1833 +tp1834 +a(g185 +V +tp1835 +a(g18 +VItem +p1836 +tp1837 +a(g198 +V; +tp1838 +a(g185 +V\u000a +tp1839 +a(g185 +V +p1840 +tp1841 +a(g123 +Vbegin +p1842 +tp1843 +a(g185 +V\u000a +tp1844 +a(g185 +V +p1845 +tp1846 +a(g123 +Vloop +p1847 +tp1848 +a(g185 +V\u000a +tp1849 +a(g185 +V +p1850 +tp1851 +a(g123 +Vselect +p1852 +tp1853 +a(g185 +V\u000a +tp1854 +a(g185 +V +p1855 +tp1856 +a(g123 +Vwhen +p1857 +tp1858 +a(g185 +V +tp1859 +a(g18 +VLength +p1860 +tp1861 +a(g185 +V +tp1862 +a(g339 +V< +tp1863 +a(g185 +V +tp1864 +a(g18 +VQ_Size +p1865 +tp1866 +a(g185 +V +tp1867 +a(g198 +V=> +p1868 +tp1869 +a(g185 +V\u000a +tp1870 +a(g185 +V +p1871 +tp1872 +a(g123 +Vaccept +p1873 +tp1874 +a(g185 +V +tp1875 +a(g18 +VInsert +p1876 +tp1877 +a(g185 +V +tp1878 +a(g198 +V( +tp1879 +a(g73 +VAn_Item +p1880 +tp1881 +a(g185 +V +tp1882 +a(g198 +V: +p1883 +tp1884 +a(g123 +Vin +p1885 +tp1886 +a(g185 +V +p1887 +tp1888 +a(g18 +VItem +p1889 +tp1890 +a(g198 +V) +tp1891 +a(g185 +V +tp1892 +a(g123 +Vdo +p1893 +tp1894 +a(g185 +V\u000a +tp1895 +a(g185 +V +p1896 +tp1897 +a(g18 +VData +p1898 +tp1899 +a(g198 +V( +tp1900 +a(g18 +VTail +p1901 +tp1902 +a(g198 +V) +tp1903 +a(g185 +V +tp1904 +a(g198 +V:= +p1905 +tp1906 +a(g185 +V +tp1907 +a(g18 +VAn_Item +p1908 +tp1909 +a(g198 +V; +tp1910 +a(g185 +V\u000a +tp1911 +a(g185 +V +p1912 +tp1913 +a(g123 +Vend +p1914 +tp1915 +a(g185 +V +tp1916 +a(g21 +VInsert +p1917 +tp1918 +a(g198 +V; +tp1919 +a(g185 +V\u000a +tp1920 +a(g185 +V +p1921 +tp1922 +a(g18 +VTail +p1923 +tp1924 +a(g185 +V +tp1925 +a(g198 +V:= +p1926 +tp1927 +a(g185 +V +tp1928 +a(g18 +VTail +p1929 +tp1930 +a(g185 +V +tp1931 +a(g343 +Vmod +p1932 +tp1933 +a(g185 +V +tp1934 +a(g18 +VQ_Size +p1935 +tp1936 +a(g185 +V +tp1937 +a(g339 +V+ +tp1938 +a(g185 +V +tp1939 +a(g314 +V1 +tp1940 +a(g198 +V; +tp1941 +a(g185 +V\u000a +tp1942 +a(g185 +V +p1943 +tp1944 +a(g18 +VLength +p1945 +tp1946 +a(g185 +V +tp1947 +a(g198 +V:= +p1948 +tp1949 +a(g185 +V +tp1950 +a(g18 +VLength +p1951 +tp1952 +a(g185 +V +tp1953 +a(g339 +V+ +tp1954 +a(g185 +V +tp1955 +a(g314 +V1 +tp1956 +a(g198 +V; +tp1957 +a(g185 +V\u000a +tp1958 +a(g185 +V +p1959 +tp1960 +a(g123 +Vor +p1961 +tp1962 +a(g185 +V\u000a +tp1963 +a(g185 +V +p1964 +tp1965 +a(g123 +Vwhen +p1966 +tp1967 +a(g185 +V +tp1968 +a(g18 +VLength +p1969 +tp1970 +a(g185 +V +tp1971 +a(g339 +V> +tp1972 +a(g185 +V +tp1973 +a(g314 +V0 +tp1974 +a(g185 +V +tp1975 +a(g198 +V=> +p1976 +tp1977 +a(g185 +V\u000a +tp1978 +a(g185 +V +p1979 +tp1980 +a(g123 +Vaccept +p1981 +tp1982 +a(g185 +V +tp1983 +a(g18 +VRemove +p1984 +tp1985 +a(g185 +V +tp1986 +a(g198 +V( +tp1987 +a(g73 +VAn_Item +p1988 +tp1989 +a(g185 +V +tp1990 +a(g198 +V: +p1991 +tp1992 +a(g123 +Vout +p1993 +tp1994 +a(g185 +V +tp1995 +a(g18 +VItem +p1996 +tp1997 +a(g198 +V) +tp1998 +a(g185 +V +tp1999 +a(g123 +Vdo +p2000 +tp2001 +a(g185 +V\u000a +tp2002 +a(g185 +V +p2003 +tp2004 +a(g18 +VAn_Item +p2005 +tp2006 +a(g185 +V +tp2007 +a(g198 +V:= +p2008 +tp2009 +a(g185 +V +tp2010 +a(g18 +VData +p2011 +tp2012 +a(g198 +V( +tp2013 +a(g18 +VHead +p2014 +tp2015 +a(g198 +V) +tp2016 +a(g198 +V; +tp2017 +a(g185 +V\u000a +tp2018 +a(g185 +V +p2019 +tp2020 +a(g123 +Vend +p2021 +tp2022 +a(g185 +V +tp2023 +a(g21 +VRemove +p2024 +tp2025 +a(g198 +V; +tp2026 +a(g185 +V\u000a +tp2027 +a(g185 +V +p2028 +tp2029 +a(g18 +VHead +p2030 +tp2031 +a(g185 +V +tp2032 +a(g198 +V:= +p2033 +tp2034 +a(g185 +V +tp2035 +a(g18 +VHead +p2036 +tp2037 +a(g185 +V +tp2038 +a(g343 +Vmod +p2039 +tp2040 +a(g185 +V +tp2041 +a(g18 +VQ_Size +p2042 +tp2043 +a(g185 +V +tp2044 +a(g339 +V+ +tp2045 +a(g185 +V +tp2046 +a(g314 +V1 +tp2047 +a(g198 +V; +tp2048 +a(g185 +V\u000a +tp2049 +a(g185 +V +p2050 +tp2051 +a(g18 +VLength +p2052 +tp2053 +a(g185 +V +tp2054 +a(g198 +V:= +p2055 +tp2056 +a(g185 +V +tp2057 +a(g18 +VLength +p2058 +tp2059 +a(g185 +V +tp2060 +a(g339 +V- +tp2061 +a(g185 +V +tp2062 +a(g314 +V1 +tp2063 +a(g198 +V; +tp2064 +a(g185 +V\u000a +tp2065 +a(g185 +V +p2066 +tp2067 +a(g123 +Vend +p2068 +tp2069 +a(g185 +V +tp2070 +a(g123 +Vselect +p2071 +tp2072 +a(g198 +V; +tp2073 +a(g185 +V\u000a +tp2074 +a(g185 +V +p2075 +tp2076 +a(g123 +Vend +p2077 +tp2078 +a(g185 +V +tp2079 +a(g123 +Vloop +p2080 +tp2081 +a(g198 +V; +tp2082 +a(g185 +V\u000a +tp2083 +a(g185 +V +p2084 +tp2085 +a(g123 +Vend +p2086 +tp2087 +a(g185 +V +tp2088 +a(g21 +VCyclic_Buffer_Task_Type +p2089 +tp2090 +a(g198 +V; +tp2091 +a(g185 +V\u000a +tp2092 +a(g185 +V +p2093 +tp2094 +a(g185 +V\u000a +tp2095 +a(g185 +V +p2096 +tp2097 +a(g185 +V\u000a +tp2098 +a(g185 +V +p2099 +tp2100 +a(g185 +V\u000a +tp2101 +a(g185 +V +p2102 +tp2103 +a(g127 +Vprocedure +p2104 +tp2105 +a(g185 +V +tp2106 +a(g21 +VScan_Next_Token +p2107 +tp2108 +a(g185 +V\u000a +tp2109 +a(g185 +V +p2110 +tp2111 +a(g198 +V( +tp2112 +a(g73 +VS +tp2113 +a(g185 +V +p2114 +tp2115 +a(g198 +V: +p2116 +tp2117 +a(g123 +Vin +p2118 +tp2119 +a(g185 +V +p2120 +tp2121 +a(g135 +VString +p2122 +tp2123 +a(g198 +V; +tp2124 +a(g185 +V\u000a +tp2125 +a(g185 +V +p2126 +tp2127 +a(g73 +VStart_Index +p2128 +tp2129 +a(g185 +V +tp2130 +a(g198 +V: +p2131 +tp2132 +a(g185 +V +p2133 +tp2134 +a(g123 +Vout +p2135 +tp2136 +a(g185 +V +tp2137 +a(g135 +VPositive +p2138 +tp2139 +a(g198 +V; +tp2140 +a(g185 +V\u000a +tp2141 +a(g185 +V +p2142 +tp2143 +a(g73 +VEnd_Index +p2144 +tp2145 +a(g185 +V +p2146 +tp2147 +a(g198 +V: +p2148 +tp2149 +a(g123 +Vin +p2150 +tp2151 +a(g185 +V +tp2152 +a(g123 +Vout +p2153 +tp2154 +a(g185 +V +tp2155 +a(g135 +VNatural +p2156 +tp2157 +a(g198 +V; +tp2158 +a(g185 +V +p2159 +tp2160 +a(g7 +V-- Tricky comment\u000a +p2161 +tp2162 +a(g185 +V +p2163 +tp2164 +a(g73 +VLine_Number +p2165 +tp2166 +a(g185 +V +tp2167 +a(g198 +V: +p2168 +tp2169 +a(g123 +Vin +p2170 +tp2171 +a(g185 +V +tp2172 +a(g123 +Vout +p2173 +tp2174 +a(g185 +V +tp2175 +a(g135 +VPositive +p2176 +tp2177 +a(g198 +V; +tp2178 +a(g185 +V\u000a +tp2179 +a(g185 +V +p2180 +tp2181 +a(g73 +VToken +p2182 +tp2183 +a(g185 +V +p2184 +tp2185 +a(g198 +V: +p2186 +tp2187 +a(g185 +V +p2188 +tp2189 +a(g123 +Vout +p2190 +tp2191 +a(g185 +V +tp2192 +a(g18 +VToken_T +p2193 +tp2194 +a(g198 +V) +tp2195 +a(g198 +V; +tp2196 +a(g185 +V\u000a +tp2197 +a(g185 +V +p2198 +tp2199 +a(g185 +V\u000a +tp2200 +a(g185 +V +p2201 +tp2202 +a(g127 +Vprocedure +p2203 +tp2204 +a(g185 +V +tp2205 +a(g21 +VScan_Next_Token +p2206 +tp2207 +a(g185 +V\u000a +tp2208 +a(g185 +V +p2209 +tp2210 +a(g198 +V( +tp2211 +a(g73 +VS +tp2212 +a(g185 +V +p2213 +tp2214 +a(g198 +V: +p2215 +tp2216 +a(g123 +Vin +p2217 +tp2218 +a(g185 +V +p2219 +tp2220 +a(g135 +VString +p2221 +tp2222 +a(g198 +V; +tp2223 +a(g185 +V\u000a +tp2224 +a(g185 +V +p2225 +tp2226 +a(g73 +VStart_Index +p2227 +tp2228 +a(g185 +V +tp2229 +a(g198 +V: +p2230 +tp2231 +a(g185 +V +p2232 +tp2233 +a(g123 +Vout +p2234 +tp2235 +a(g185 +V +tp2236 +a(g135 +VPositive +p2237 +tp2238 +a(g198 +V; +tp2239 +a(g185 +V\u000a +tp2240 +a(g185 +V +p2241 +tp2242 +a(g73 +VEnd_Index +p2243 +tp2244 +a(g185 +V +p2245 +tp2246 +a(g198 +V: +p2247 +tp2248 +a(g123 +Vin +p2249 +tp2250 +a(g185 +V +tp2251 +a(g123 +Vout +p2252 +tp2253 +a(g185 +V +tp2254 +a(g135 +VNatural +p2255 +tp2256 +a(g198 +V; +tp2257 +a(g185 +V +p2258 +tp2259 +a(g7 +V-- Another comment\u000a +p2260 +tp2261 +a(g185 +V +p2262 +tp2263 +a(g73 +VLine_Number +p2264 +tp2265 +a(g185 +V +tp2266 +a(g198 +V: +p2267 +tp2268 +a(g123 +Vin +p2269 +tp2270 +a(g185 +V +tp2271 +a(g123 +Vout +p2272 +tp2273 +a(g185 +V +tp2274 +a(g135 +VPositive +p2275 +tp2276 +a(g198 +V; +tp2277 +a(g185 +V\u000a +tp2278 +a(g185 +V +p2279 +tp2280 +a(g73 +VToken +p2281 +tp2282 +a(g185 +V +p2283 +tp2284 +a(g198 +V: +p2285 +tp2286 +a(g185 +V +p2287 +tp2288 +a(g123 +Vout +p2289 +tp2290 +a(g185 +V +tp2291 +a(g18 +VToken_T +p2292 +tp2293 +a(g198 +V) +tp2294 +a(g185 +V\u000a +tp2295 +a(g185 +V +p2296 +tp2297 +a(g123 +Vis +p2298 +tp2299 +a(g185 +V\u000a +tp2300 +a(g185 +V +p2301 +tp2302 +a(g123 +Vbegin +p2303 +tp2304 +a(g185 +V\u000a +tp2305 +a(g185 +V +p2306 +tp2307 +a(g45 +VScanner_Loop +p2308 +tp2309 +a(g198 +V: +tp2310 +a(g185 +V\u000a +p2311 +tp2312 +a(g123 +Vloop +p2313 +tp2314 +a(g185 +V\u000a +tp2315 +a(g185 +V +p2316 +tp2317 +a(g123 +Vif +p2318 +tp2319 +a(g185 +V +tp2320 +a(g18 +VNew_State +p2321 +tp2322 +a(g185 +V +tp2323 +a(g339 +V= +tp2324 +a(g185 +V +tp2325 +a(g18 +VEnd_Error +p2326 +tp2327 +a(g185 +V +tp2328 +a(g123 +Vthen +p2329 +tp2330 +a(g185 +V\u000a +tp2331 +a(g185 +V +p2332 +tp2333 +a(g123 +Vexit +p2334 +tp2335 +a(g185 +V +tp2336 +a(g18 +VScanner_Loop +p2337 +tp2338 +a(g198 +V; +tp2339 +a(g185 +V\u000a +tp2340 +a(g185 +V +p2341 +tp2342 +a(g123 +Vend +p2343 +tp2344 +a(g185 +V +tp2345 +a(g123 +Vif +p2346 +tp2347 +a(g198 +V; +tp2348 +a(g185 +V\u000a\u000a +p2349 +tp2350 +a(g185 +V +p2351 +tp2352 +a(g123 +Vif +p2353 +tp2354 +a(g185 +V +tp2355 +a(g18 +VState +p2356 +tp2357 +a(g185 +V +tp2358 +a(g339 +V= +tp2359 +a(g185 +V +tp2360 +a(g18 +VStart +p2361 +tp2362 +a(g185 +V +tp2363 +a(g343 +Vand +p2364 +tp2365 +a(g185 +V +tp2366 +a(g18 +VNew_State +p2367 +tp2368 +a(g185 +V +tp2369 +a(g339 +V/ +tp2370 +a(g339 +V= +tp2371 +a(g185 +V +tp2372 +a(g18 +VStart +p2373 +tp2374 +a(g185 +V +tp2375 +a(g123 +Vthen +p2376 +tp2377 +a(g185 +V\u000a +tp2378 +a(g185 +V +p2379 +tp2380 +a(g18 +VStart_Index +p2381 +tp2382 +a(g185 +V +tp2383 +a(g198 +V:= +p2384 +tp2385 +a(g185 +V +tp2386 +a(g18 +VPeek_Index +p2387 +tp2388 +a(g198 +V; +tp2389 +a(g185 +V\u000a +tp2390 +a(g185 +V +p2391 +tp2392 +a(g123 +Vend +p2393 +tp2394 +a(g185 +V +tp2395 +a(g123 +Vif +p2396 +tp2397 +a(g198 +V; +tp2398 +a(g185 +V\u000a +tp2399 +a(g185 +V +p2400 +tp2401 +a(g123 +Vend +p2402 +tp2403 +a(g185 +V +tp2404 +a(g123 +Vloop +p2405 +tp2406 +a(g185 +V +tp2407 +a(g21 +VScanner_Loop +p2408 +tp2409 +a(g198 +V; +tp2410 +a(g185 +V\u000a +tp2411 +a(g185 +V +p2412 +tp2413 +a(g123 +Vend +p2414 +tp2415 +a(g185 +V +tp2416 +a(g21 +VScan_Next_Token +p2417 +tp2418 +a(g198 +V; +tp2419 +a(g185 +V\u000a +tp2420 +a(g185 +V +p2421 +tp2422 +a(g185 +V\u000a +tp2423 +a(g185 +V +p2424 +tp2425 +a(g127 +Vprocedure +p2426 +tp2427 +a(g185 +V +tp2428 +a(g21 +VAdvance +p2429 +tp2430 +a(g185 +V +tp2431 +a(g123 +Vis +p2432 +tp2433 +a(g185 +V\u000a +tp2434 +a(g185 +V +p2435 +tp2436 +a(g123 +Vbegin +p2437 +tp2438 +a(g185 +V\u000a +tp2439 +a(g185 +V +p2440 +tp2441 +a(g18 +VPeek_Index +p2442 +tp2443 +a(g185 +V +tp2444 +a(g198 +V:= +p2445 +tp2446 +a(g185 +V +tp2447 +a(g18 +VPeek_Index +p2448 +tp2449 +a(g185 +V +tp2450 +a(g339 +V+ +tp2451 +a(g185 +V +tp2452 +a(g314 +V1 +tp2453 +a(g198 +V; +tp2454 +a(g185 +V\u000a +tp2455 +a(g185 +V +p2456 +tp2457 +a(g123 +Vend +p2458 +tp2459 +a(g185 +V +tp2460 +a(g21 +VAdvance +p2461 +tp2462 +a(g198 +V; +tp2463 +a(g185 +V\u000a +tp2464 +a(g185 +V +p2465 +tp2466 +a(g185 +V\u000a\u000a +p2467 +tp2468 +a(g185 +V +p2469 +tp2470 +a(g7 +V-- Eliminate the leading space that Ada puts in front of positive\u000a +p2471 +tp2472 +a(g185 +V +p2473 +tp2474 +a(g7 +V-- integer images.\u000a +p2475 +tp2476 +a(g185 +V +p2477 +tp2478 +a(g127 +Vfunction +p2479 +tp2480 +a(g185 +V +tp2481 +a(g21 +VImage +p2482 +tp2483 +a(g198 +V( +tp2484 +a(g73 +VN +tp2485 +a(g185 +V +tp2486 +a(g198 +V: +p2487 +tp2488 +a(g123 +Vin +p2489 +tp2490 +a(g185 +V +tp2491 +a(g135 +VInteger +p2492 +tp2493 +a(g198 +V) +tp2494 +a(g185 +V +tp2495 +a(g123 +Vreturn +p2496 +tp2497 +a(g185 +V +tp2498 +a(g135 +VString +p2499 +tp2500 +a(g185 +V +tp2501 +a(g123 +Vis +p2502 +tp2503 +a(g185 +V\u000a +tp2504 +a(g185 +V +p2505 +tp2506 +a(g18 +VS +tp2507 +a(g185 +V +tp2508 +a(g198 +V: +tp2509 +a(g185 +V +tp2510 +a(g135 +VString +p2511 +tp2512 +a(g185 +V +tp2513 +a(g198 +V:= +p2514 +tp2515 +a(g185 +V +tp2516 +a(g135 +VInteger +p2517 +tp2518 +a(g198 +V' +tp2519 +a(g41 +VImage +p2520 +tp2521 +a(g198 +V( +tp2522 +a(g18 +VN +tp2523 +a(g198 +V) +tp2524 +a(g198 +V; +tp2525 +a(g185 +V\u000a +tp2526 +a(g185 +V +p2527 +tp2528 +a(g123 +Vbegin +p2529 +tp2530 +a(g185 +V\u000a +tp2531 +a(g185 +V +p2532 +tp2533 +a(g123 +Vif +p2534 +tp2535 +a(g185 +V +tp2536 +a(g18 +VS +tp2537 +a(g198 +V( +tp2538 +a(g314 +V1 +tp2539 +a(g198 +V) +tp2540 +a(g185 +V +tp2541 +a(g339 +V= +tp2542 +a(g185 +V +tp2543 +a(g252 +V' ' +p2544 +tp2545 +a(g185 +V +tp2546 +a(g123 +Vthen +p2547 +tp2548 +a(g185 +V\u000a +tp2549 +a(g185 +V +p2550 +tp2551 +a(g123 +Vreturn +p2552 +tp2553 +a(g185 +V +tp2554 +a(g18 +VS +tp2555 +a(g198 +V( +tp2556 +a(g314 +V2 +tp2557 +a(g185 +V +tp2558 +a(g198 +V. +tp2559 +a(g198 +V. +tp2560 +a(g185 +V +tp2561 +a(g18 +VS +tp2562 +a(g198 +V' +tp2563 +a(g41 +VLast +p2564 +tp2565 +a(g198 +V) +tp2566 +a(g198 +V; +tp2567 +a(g185 +V\u000a +tp2568 +a(g185 +V +p2569 +tp2570 +a(g123 +Vend +p2571 +tp2572 +a(g185 +V +tp2573 +a(g123 +Vif +p2574 +tp2575 +a(g198 +V; +tp2576 +a(g185 +V\u000a +tp2577 +a(g185 +V +p2578 +tp2579 +a(g123 +Vreturn +p2580 +tp2581 +a(g185 +V +tp2582 +a(g18 +VS +tp2583 +a(g198 +V; +tp2584 +a(g185 +V\u000a +tp2585 +a(g185 +V +p2586 +tp2587 +a(g123 +Vend +p2588 +tp2589 +a(g185 +V +tp2590 +a(g21 +VImage +p2591 +tp2592 +a(g198 +V; +tp2593 +a(g185 +V\u000a\u000a +p2594 +tp2595 +a(g123 +Vend +p2596 +tp2597 +a(g185 +V +tp2598 +a(g21 +VScanner +p2599 +tp2600 +a(g198 +V; +tp2601 +a(g185 +V\u000a +tp2602 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.asy b/tests/examplefiles/output/test.asy new file mode 100644 index 0000000..aa5952d --- /dev/null +++ b/tests/examplefiles/output/test.asy @@ -0,0 +1,8079 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +g28 +g2 +(g3 +g4 +(g106 +g28 +ttRp109 +(dp110 +g17 +g18 +((ltRp111 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp112 +(dp113 +g17 +g18 +((ltRp114 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp115 +(dp116 +g17 +g18 +((ltRp117 +sg8 +g107 +sbsS'Reserved' +p118 +g2 +(g3 +g4 +(g106 +g118 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Declaration' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp126 +(dp127 +g17 +g18 +((ltRp128 +sg8 +g107 +sbsg17 +g18 +((lp129 +g109 +ag119 +ag2 +(g3 +g4 +(g106 +S'Type' +p130 +ttRp131 +(dp132 +g17 +g18 +((ltRp133 +sg8 +g107 +sbag123 +ag126 +ag112 +ag115 +atRp134 +sg130 +g131 +sbsS'Generic' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +S'Prompt' +p138 +g2 +(g3 +g4 +(g135 +g138 +ttRp139 +(dp140 +g17 +g18 +((ltRp141 +sg8 +g136 +sbsg8 +g9 +sS'Deleted' +p142 +g2 +(g3 +g4 +(g135 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g136 +sbsS'Traceback' +p146 +g2 +(g3 +g4 +(g135 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g136 +sbsS'Emph' +p150 +g2 +(g3 +g4 +(g135 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g136 +sbsS'Output' +p154 +g2 +(g3 +g4 +(g135 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g136 +sbsS'Subheading' +p158 +g2 +(g3 +g4 +(g135 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g136 +sbsS'Error' +p162 +g2 +(g3 +g4 +(g135 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g136 +sbsg17 +g18 +((lp166 +g155 +ag151 +ag163 +ag159 +ag147 +ag143 +ag2 +(g3 +g4 +(g135 +S'Heading' +p167 +ttRp168 +(dp169 +g17 +g18 +((ltRp170 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Inserted' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Strong' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g136 +sbag139 +atRp179 +sg175 +g176 +sg171 +g172 +sg167 +g168 +sbsS'Text' +p180 +g2 +(g3 +g4 +(g180 +ttRp181 +(dp182 +g17 +g18 +((lp183 +g2 +(g3 +g4 +(g180 +S'Symbol' +p184 +ttRp185 +(dp186 +g17 +g18 +((ltRp187 +sg8 +g181 +sbag2 +(g3 +g4 +(g180 +S'Whitespace' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g181 +sbatRp192 +sg184 +g185 +sg188 +g189 +sg8 +g9 +sbsS'Punctuation' +p193 +g2 +(g3 +g4 +(g193 +ttRp194 +(dp195 +g17 +g18 +((lp196 +g2 +(g3 +g4 +(g193 +S'Indicator' +p197 +ttRp198 +(dp199 +g17 +g18 +((ltRp200 +sg8 +g194 +sbatRp201 +sg197 +g198 +sg8 +g9 +sbsS'Token' +p202 +g9 +sS'Number' +p203 +g2 +(g3 +g4 +(S'Literal' +p204 +g203 +ttRp205 +(dp206 +S'Bin' +p207 +g2 +(g3 +g4 +(g204 +g203 +g207 +ttRp208 +(dp209 +g17 +g18 +((ltRp210 +sg8 +g205 +sbsS'Binary' +p211 +g2 +(g3 +g4 +(g204 +g203 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g205 +sbsg8 +g2 +(g3 +g4 +(g204 +ttRp215 +(dp216 +S'String' +p217 +g2 +(g3 +g4 +(g204 +g217 +ttRp218 +(dp219 +S'Regex' +p220 +g2 +(g3 +g4 +(g204 +g217 +g220 +ttRp221 +(dp222 +g17 +g18 +((ltRp223 +sg8 +g218 +sbsS'Interpol' +p224 +g2 +(g3 +g4 +(g204 +g217 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g218 +sbsS'Regexp' +p228 +g2 +(g3 +g4 +(g204 +g217 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g218 +sbsg8 +g215 +sS'Heredoc' +p232 +g2 +(g3 +g4 +(g204 +g217 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g218 +sbsS'Double' +p236 +g2 +(g3 +g4 +(g204 +g217 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g218 +sbsg184 +g2 +(g3 +g4 +(g204 +g217 +g184 +ttRp240 +(dp241 +g17 +g18 +((ltRp242 +sg8 +g218 +sbsS'Escape' +p243 +g2 +(g3 +g4 +(g204 +g217 +g243 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g218 +sbsS'Character' +p247 +g2 +(g3 +g4 +(g204 +g217 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g218 +sbsS'Interp' +p251 +g2 +(g3 +g4 +(g204 +g217 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g218 +sbsS'Backtick' +p255 +g2 +(g3 +g4 +(g204 +g217 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g218 +sbsS'Char' +p259 +g2 +(g3 +g4 +(g204 +g217 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g218 +sbsS'Single' +p263 +g2 +(g3 +g4 +(g204 +g217 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g218 +sbsg60 +g2 +(g3 +g4 +(g204 +g217 +g60 +ttRp267 +(dp268 +g17 +g18 +((ltRp269 +sg8 +g218 +sbsS'Doc' +p270 +g2 +(g3 +g4 +(g204 +g217 +g270 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g218 +sbsg17 +g18 +((lp274 +g267 +ag2 +(g3 +g4 +(g204 +g217 +S'Atom' +p275 +ttRp276 +(dp277 +g17 +g18 +((ltRp278 +sg8 +g218 +sbag237 +ag260 +ag252 +ag271 +ag233 +ag256 +ag225 +ag240 +ag229 +ag221 +ag264 +ag248 +ag244 +atRp279 +sg275 +g276 +sbsg8 +g9 +sg203 +g205 +sS'Scalar' +p280 +g2 +(g3 +g4 +(g204 +g280 +ttRp281 +(dp282 +g17 +g18 +((lp283 +g2 +(g3 +g4 +(g204 +g280 +S'Plain' +p284 +ttRp285 +(dp286 +g17 +g18 +((ltRp287 +sg8 +g281 +sbatRp288 +sg8 +g215 +sg284 +g285 +sbsg60 +g2 +(g3 +g4 +(g204 +g60 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g215 +sbsS'Date' +p292 +g2 +(g3 +g4 +(g204 +g292 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g215 +sbsg17 +g18 +((lp296 +g293 +ag218 +ag289 +ag205 +ag281 +atRp297 +sbsS'Decimal' +p298 +g2 +(g3 +g4 +(g204 +g203 +g298 +ttRp299 +(dp300 +g17 +g18 +((ltRp301 +sg8 +g205 +sbsS'Float' +p302 +g2 +(g3 +g4 +(g204 +g203 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g205 +sbsS'Hex' +p306 +g2 +(g3 +g4 +(g204 +g203 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g205 +sbsS'Integer' +p310 +g2 +(g3 +g4 +(g204 +g203 +g310 +ttRp311 +(dp312 +g17 +g18 +((lp313 +g2 +(g3 +g4 +(g204 +g203 +g310 +S'Long' +p314 +ttRp315 +(dp316 +g17 +g18 +((ltRp317 +sg8 +g311 +sbatRp318 +sg314 +g315 +sg8 +g205 +sbsS'Octal' +p319 +g2 +(g3 +g4 +(g204 +g203 +g319 +ttRp320 +(dp321 +g17 +g18 +((ltRp322 +sg8 +g205 +sbsg17 +g18 +((lp323 +g208 +ag212 +ag320 +ag299 +ag2 +(g3 +g4 +(g204 +g203 +S'Oct' +p324 +ttRp325 +(dp326 +g17 +g18 +((ltRp327 +sg8 +g205 +sbag311 +ag303 +ag307 +atRp328 +sg324 +g325 +sbsg204 +g215 +sg60 +g2 +(g3 +g4 +(g60 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g9 +sbsg162 +g2 +(g3 +g4 +(g162 +ttRp332 +(dp333 +g17 +g18 +((ltRp334 +sg8 +g9 +sbsS'Operator' +p335 +g2 +(g3 +g4 +(g335 +ttRp336 +(dp337 +g17 +g18 +((lp338 +g2 +(g3 +g4 +(g335 +S'Word' +p339 +ttRp340 +(dp341 +g17 +g18 +((ltRp342 +sg8 +g336 +sbatRp343 +sg339 +g340 +sg8 +g9 +sbsg17 +g18 +((lp344 +g6 +ag332 +ag136 +ag181 +ag12 +ag194 +ag107 +ag215 +ag336 +ag329 +atRp345 +sg217 +g218 +sbsS'Preproc' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g17 +g18 +((ltRp349 +sg8 +g6 +sbsg263 +g2 +(g3 +g4 +(g5 +g263 +ttRp350 +(dp351 +g17 +g18 +((ltRp352 +sg8 +g6 +sbsS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsg17 +g18 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g17 +g18 +((ltRp361 +sg8 +g6 +sbag347 +ag350 +ag354 +atRp362 +sg358 +g359 +sbV// example file for roundedpath() in roundedpath.asy\u000a +p363 +tp364 +a(g6 +V// written by stefan knorr\u000a +p365 +tp366 +a(g181 +V\u000a +tp367 +a(g181 +V\u000a +tp368 +a(g6 +V// import needed packages\u000a +p369 +tp370 +a(g181 +V +tp371 +a(g107 +Vimport +p372 +tp373 +a(g181 +V +tp374 +a(g15 +Vroundedpath +p375 +tp376 +a(g194 +V; +tp377 +a(g181 +V\u000a +tp378 +a(g181 +V\u000a +tp379 +a(g6 +V// function definition\u000a +p380 +tp381 +a(g181 +V +tp382 +a(g131 +Vpicture +p383 +tp384 +a(g181 +V +tp385 +a(g15 +VCreateKOOS +p386 +tp387 +a(g181 +V +tp388 +a(g194 +V( +tp389 +a(g131 +Vreal +p390 +tp391 +a(g181 +V +tp392 +a(g15 +VScale +p393 +tp394 +a(g194 +V, +tp395 +a(g181 +V +tp396 +a(g131 +Vstring +p397 +tp398 +a(g181 +V +tp399 +a(g15 +Vlegend +p400 +tp401 +a(g194 +V) +tp402 +a(g181 +V +p403 +tp404 +a(g6 +V// draw labeled coordinate system as picture\u000a +p405 +tp406 +a(g181 +V +tp407 +a(g194 +V{ +tp408 +a(g181 +V\u000a +tp409 +a(g181 +V +p410 +tp411 +a(g131 +Vpicture +p412 +tp413 +a(g181 +V +tp414 +a(g12 +VReturnPic +p415 +tp416 +a(g194 +V; +tp417 +a(g181 +V\u000a +tp418 +a(g181 +V +p419 +tp420 +a(g131 +Vreal +p421 +tp422 +a(g181 +V +tp423 +a(g69 +VS +tp424 +a(g181 +V +tp425 +a(g336 +V= +tp426 +a(g181 +V +tp427 +a(g303 +V1.2 +p428 +tp429 +a(g336 +V* +tp430 +a(g15 +VScale +p431 +tp432 +a(g194 +V; +tp433 +a(g181 +V\u000a +tp434 +a(g181 +V +p435 +tp436 +a(g15 +Vdraw +p437 +tp438 +a(g194 +V( +tp439 +a(g12 +VReturnPic +p440 +tp441 +a(g194 +V, +tp442 +a(g181 +V +tp443 +a(g194 +V( +tp444 +a(g194 +V( +tp445 +a(g336 +V- +tp446 +a(g69 +VS +tp447 +a(g194 +V, +tp448 +a(g311 +V0 +tp449 +a(g194 +V) +tp450 +a(g336 +V- +tp451 +a(g336 +V- +tp452 +a(g194 +V( +tp453 +a(g69 +VS +tp454 +a(g194 +V, +tp455 +a(g311 +V0 +tp456 +a(g194 +V) +tp457 +a(g194 +V) +tp458 +a(g194 +V, +tp459 +a(g181 +V +tp460 +a(g15 +Vbar +p461 +tp462 +a(g181 +V +tp463 +a(g336 +V= +tp464 +a(g181 +V +tp465 +a(g15 +VEndArrow +p466 +tp467 +a(g194 +V) +tp468 +a(g194 +V; +tp469 +a(g181 +V +p470 +tp471 +a(g6 +V// x axis\u000a +p472 +tp473 +a(g181 +V +p474 +tp475 +a(g15 +Vdraw +p476 +tp477 +a(g194 +V( +tp478 +a(g12 +VReturnPic +p479 +tp480 +a(g194 +V, +tp481 +a(g181 +V +tp482 +a(g194 +V( +tp483 +a(g194 +V( +tp484 +a(g311 +V0 +tp485 +a(g194 +V, +tp486 +a(g336 +V- +tp487 +a(g69 +VS +tp488 +a(g194 +V) +tp489 +a(g336 +V- +tp490 +a(g336 +V- +tp491 +a(g194 +V( +tp492 +a(g311 +V0 +tp493 +a(g194 +V, +tp494 +a(g69 +VS +tp495 +a(g194 +V) +tp496 +a(g194 +V) +tp497 +a(g194 +V, +tp498 +a(g181 +V +tp499 +a(g15 +Vbar +p500 +tp501 +a(g181 +V +tp502 +a(g336 +V= +tp503 +a(g181 +V +tp504 +a(g15 +VEndArrow +p505 +tp506 +a(g194 +V) +tp507 +a(g194 +V; +tp508 +a(g181 +V +p509 +tp510 +a(g6 +V// y axis\u000a +p511 +tp512 +a(g181 +V +p513 +tp514 +a(g15 +Vlabel +p515 +tp516 +a(g194 +V( +tp517 +a(g12 +VReturnPic +p518 +tp519 +a(g194 +V, +tp520 +a(g181 +V +tp521 +a(g218 +V"$\u005cvarepsilon$" +p522 +tp523 +a(g194 +V, +tp524 +a(g181 +V +tp525 +a(g194 +V( +tp526 +a(g69 +VS +tp527 +a(g194 +V, +tp528 +a(g311 +V0 +tp529 +a(g194 +V) +tp530 +a(g194 +V, +tp531 +a(g181 +V +tp532 +a(g69 +VSW +p533 +tp534 +a(g194 +V) +tp535 +a(g194 +V; +tp536 +a(g181 +V +p537 +tp538 +a(g6 +V// x axis label\u000a +p539 +tp540 +a(g181 +V +p541 +tp542 +a(g15 +Vlabel +p543 +tp544 +a(g194 +V( +tp545 +a(g12 +VReturnPic +p546 +tp547 +a(g194 +V, +tp548 +a(g181 +V +tp549 +a(g218 +V"$\u005csigma$" +p550 +tp551 +a(g194 +V, +tp552 +a(g181 +V +tp553 +a(g194 +V( +tp554 +a(g311 +V0 +tp555 +a(g194 +V, +tp556 +a(g69 +VS +tp557 +a(g194 +V) +tp558 +a(g194 +V, +tp559 +a(g181 +V +tp560 +a(g69 +VSW +p561 +tp562 +a(g194 +V) +tp563 +a(g194 +V; +tp564 +a(g181 +V +p565 +tp566 +a(g6 +V// y axis label\u000a +p567 +tp568 +a(g181 +V +p569 +tp570 +a(g15 +Vlabel +p571 +tp572 +a(g194 +V( +tp573 +a(g12 +VReturnPic +p574 +tp575 +a(g194 +V, +tp576 +a(g181 +V +tp577 +a(g15 +Vlegend +p578 +tp579 +a(g194 +V, +tp580 +a(g181 +V +tp581 +a(g194 +V( +tp582 +a(g303 +V0.7 +p583 +tp584 +a(g69 +VS +tp585 +a(g194 +V, +tp586 +a(g181 +V +tp587 +a(g336 +V- +tp588 +a(g69 +VS +tp589 +a(g194 +V) +tp590 +a(g194 +V, +tp591 +a(g181 +V +tp592 +a(g69 +VNW +p593 +tp594 +a(g194 +V) +tp595 +a(g194 +V; +tp596 +a(g181 +V +p597 +tp598 +a(g6 +V// add label 'legend' \u000a +p599 +tp600 +a(g181 +V +p601 +tp602 +a(g107 +Vreturn +p603 +tp604 +a(g181 +V +tp605 +a(g12 +VReturnPic +p606 +tp607 +a(g194 +V; +tp608 +a(g181 +V +p609 +tp610 +a(g6 +V// return picture\u000a +p611 +tp612 +a(g194 +V} +tp613 +a(g181 +V\u000a +tp614 +a(g181 +V\u000a +tp615 +a(g181 +V\u000a +tp616 +a(g6 +V// some global definitions\u000a +p617 +tp618 +a(g181 +V +tp619 +a(g131 +Vreal +p620 +tp621 +a(g181 +V +tp622 +a(g69 +VS +tp623 +a(g181 +V +tp624 +a(g336 +V= +tp625 +a(g181 +V +tp626 +a(g311 +V13 +p627 +tp628 +a(g69 +Vmm +p629 +tp630 +a(g194 +V; +tp631 +a(g181 +V +p632 +tp633 +a(g6 +V// universal scale factor for the whole file\u000a +p634 +tp635 +a(g181 +V +tp636 +a(g131 +Vreal +p637 +tp638 +a(g181 +V +tp639 +a(g12 +Vgrad +p640 +tp641 +a(g181 +V +tp642 +a(g336 +V= +tp643 +a(g181 +V +tp644 +a(g303 +V0.25 +p645 +tp646 +a(g194 +V; +tp647 +a(g181 +V +p648 +tp649 +a(g6 +V// gradient for lines\u000a +p650 +tp651 +a(g181 +V +tp652 +a(g131 +Vreal +p653 +tp654 +a(g181 +V +tp655 +a(g15 +Vradius +p656 +tp657 +a(g181 +V +tp658 +a(g336 +V= +tp659 +a(g181 +V +tp660 +a(g303 +V0.04 +p661 +tp662 +a(g194 +V; +tp663 +a(g181 +V +p664 +tp665 +a(g6 +V// radius for the rounded path'\u000a +p666 +tp667 +a(g181 +V +tp668 +a(g131 +Vreal +p669 +tp670 +a(g181 +V +tp671 +a(g12 +Vlw +p672 +tp673 +a(g181 +V +tp674 +a(g336 +V= +tp675 +a(g181 +V +tp676 +a(g311 +V2 +tp677 +a(g194 +V; +tp678 +a(g181 +V +p679 +tp680 +a(g6 +V// linewidth\u000a +p681 +tp682 +a(g181 +V +tp683 +a(g131 +Vpair +p684 +tp685 +a(g181 +V +tp686 +a(g12 +VA +tp687 +a(g181 +V +tp688 +a(g336 +V= +tp689 +a(g181 +V +tp690 +a(g194 +V( +tp691 +a(g336 +V- +tp692 +a(g311 +V1 +tp693 +a(g194 +V, +tp694 +a(g181 +V +tp695 +a(g336 +V- +tp696 +a(g311 +V1 +tp697 +a(g194 +V) +tp698 +a(g194 +V; +tp699 +a(g181 +V +p700 +tp701 +a(g6 +V// start point for graphs\u000a +p702 +tp703 +a(g181 +V +tp704 +a(g131 +Vpair +p705 +tp706 +a(g181 +V +tp707 +a(g69 +VE +tp708 +a(g181 +V +tp709 +a(g336 +V= +tp710 +a(g181 +V +tp711 +a(g194 +V( +tp712 +a(g181 +V +tp713 +a(g311 +V1 +tp714 +a(g194 +V, +tp715 +a(g181 +V +p716 +tp717 +a(g311 +V1 +tp718 +a(g194 +V) +tp719 +a(g194 +V; +tp720 +a(g181 +V +p721 +tp722 +a(g6 +V// end point for graphs\u000a +p723 +tp724 +a(g181 +V +tp725 +a(g131 +Vpath +p726 +tp727 +a(g181 +V +tp728 +a(g15 +Vgraph +p729 +tp730 +a(g194 +V; +tp731 +a(g181 +V +p732 +tp733 +a(g6 +V// local graph\u000a +p734 +tp735 +a(g181 +V +tp736 +a(g131 +Vpen +p737 +tp738 +a(g181 +V +tp739 +a(g12 +VActPen +p740 +tp741 +a(g194 +V; +tp742 +a(g181 +V +p743 +tp744 +a(g6 +V// actual pen for each drawing\u000a +p745 +tp746 +a(g181 +V +tp747 +a(g131 +Vpicture +p748 +tp749 +a(g181 +V +tp750 +a(g12 +VT +tp751 +a(g194 +V[ +tp752 +a(g194 +V] +tp753 +a(g194 +V; +tp754 +a(g181 +V +p755 +tp756 +a(g6 +V// vector of all four diagrams\u000a +p757 +tp758 +a(g181 +V +tp759 +a(g131 +Vreal +p760 +tp761 +a(g181 +V +tp762 +a(g12 +Vinc +p763 +tp764 +a(g181 +V +tp765 +a(g336 +V= +tp766 +a(g181 +V +tp767 +a(g303 +V2.8 +p768 +tp769 +a(g194 +V; +tp770 +a(g181 +V +p771 +tp772 +a(g6 +V// increment-offset for combining pictures\u000a +p773 +tp774 +a(g181 +V\u000a +tp775 +a(g6 +V//////////////////////////////////////// 1st diagram\u000a +p776 +tp777 +a(g181 +V +tp778 +a(g12 +VT +tp779 +a(g194 +V[ +tp780 +a(g311 +V1 +tp781 +a(g194 +V] +tp782 +a(g181 +V +tp783 +a(g336 +V= +tp784 +a(g181 +V +tp785 +a(g12 +VCreateKOOS +p786 +tp787 +a(g194 +V( +tp788 +a(g69 +VS +tp789 +a(g194 +V, +tp790 +a(g181 +V +tp791 +a(g218 +V"$T_1$" +p792 +tp793 +a(g194 +V) +tp794 +a(g194 +V; +tp795 +a(g181 +V +p796 +tp797 +a(g6 +V// initialise T[1] as empty diagram with label $T_1$ \u000a +p798 +tp799 +a(g181 +V +tp800 +a(g15 +Vgraph +p801 +tp802 +a(g181 +V +tp803 +a(g336 +V= +tp804 +a(g181 +V +tp805 +a(g12 +VA +tp806 +a(g194 +V; +tp807 +a(g181 +V +p808 +tp809 +a(g6 +V// # pointwise definition of current path 'graph' \u000a +p810 +tp811 +a(g181 +V +tp812 +a(g15 +Vgraph +p813 +tp814 +a(g181 +V +tp815 +a(g336 +V= +tp816 +a(g181 +V +tp817 +a(g15 +Vgraph +p818 +tp819 +a(g181 +V +tp820 +a(g336 +V- +tp821 +a(g336 +V- +tp822 +a(g181 +V +tp823 +a(g194 +V( +tp824 +a(g12 +VA +tp825 +a(g194 +V. +tp826 +a(g12 +Vx +tp827 +a(g181 +V +tp828 +a(g336 +V+ +tp829 +a(g181 +V +tp830 +a(g12 +Vgrad +p831 +tp832 +a(g336 +V* +tp833 +a(g303 +V1.6 +p834 +tp835 +a(g194 +V, +tp836 +a(g181 +V +tp837 +a(g12 +VA +tp838 +a(g194 +V. +tp839 +a(g12 +Vy +tp840 +a(g181 +V +tp841 +a(g336 +V+ +tp842 +a(g181 +V +tp843 +a(g303 +V1.6 +p844 +tp845 +a(g194 +V) +tp846 +a(g194 +V; +tp847 +a(g181 +V +p848 +tp849 +a(g6 +V// # \u000a +p850 +tp851 +a(g181 +V +tp852 +a(g15 +Vgraph +p853 +tp854 +a(g181 +V +tp855 +a(g336 +V= +tp856 +a(g181 +V +tp857 +a(g15 +Vgraph +p858 +tp859 +a(g181 +V +tp860 +a(g336 +V- +tp861 +a(g336 +V- +tp862 +a(g181 +V +tp863 +a(g194 +V( +tp864 +a(g69 +VE +tp865 +a(g194 +V. +tp866 +a(g12 +Vx +tp867 +a(g181 +V +tp868 +a(g336 +V- +tp869 +a(g181 +V +tp870 +a(g12 +Vgrad +p871 +tp872 +a(g336 +V* +tp873 +a(g303 +V0.4 +p874 +tp875 +a(g194 +V, +tp876 +a(g181 +V +tp877 +a(g69 +VE +tp878 +a(g194 +V. +tp879 +a(g12 +Vy +tp880 +a(g181 +V +tp881 +a(g336 +V- +tp882 +a(g181 +V +tp883 +a(g303 +V0.4 +p884 +tp885 +a(g194 +V) +tp886 +a(g194 +V; +tp887 +a(g181 +V +p888 +tp889 +a(g6 +V// # \u000a +p890 +tp891 +a(g181 +V +tp892 +a(g15 +Vgraph +p893 +tp894 +a(g181 +V +tp895 +a(g336 +V= +tp896 +a(g181 +V +tp897 +a(g15 +Vgraph +p898 +tp899 +a(g181 +V +tp900 +a(g336 +V- +tp901 +a(g336 +V- +tp902 +a(g181 +V +tp903 +a(g69 +VE +tp904 +a(g194 +V; +tp905 +a(g181 +V +p906 +tp907 +a(g6 +V// #\u000a +p908 +tp909 +a(g181 +V\u000a +tp910 +a(g181 +V +tp911 +a(g15 +Vgraph +p912 +tp913 +a(g181 +V +tp914 +a(g336 +V= +tp915 +a(g181 +V +tp916 +a(g15 +Vroundedpath +p917 +tp918 +a(g194 +V( +tp919 +a(g15 +Vgraph +p920 +tp921 +a(g194 +V, +tp922 +a(g181 +V +tp923 +a(g15 +Vradius +p924 +tp925 +a(g194 +V, +tp926 +a(g181 +V +tp927 +a(g69 +VS +tp928 +a(g194 +V) +tp929 +a(g194 +V; +tp930 +a(g181 +V +p931 +tp932 +a(g6 +V// round edges of 'graph' using roundedpath() in roundedpath.asy \u000a +p933 +tp934 +a(g181 +V +tp935 +a(g12 +VActPen +p936 +tp937 +a(g181 +V +tp938 +a(g336 +V= +tp939 +a(g181 +V +p940 +tp941 +a(g15 +Vrgb +p942 +tp943 +a(g194 +V( +tp944 +a(g311 +V0 +tp945 +a(g194 +V, +tp946 +a(g311 +V0 +tp947 +a(g194 +V, +tp948 +a(g303 +V0.6 +p949 +tp950 +a(g194 +V) +tp951 +a(g181 +V +tp952 +a(g336 +V+ +tp953 +a(g181 +V +tp954 +a(g15 +Vlinewidth +p955 +tp956 +a(g194 +V( +tp957 +a(g12 +Vlw +p958 +tp959 +a(g194 +V) +tp960 +a(g194 +V; +tp961 +a(g181 +V +p962 +tp963 +a(g6 +V// define pen for drawing in 1st diagram \u000a +p964 +tp965 +a(g181 +V +tp966 +a(g15 +Vdraw +p967 +tp968 +a(g194 +V( +tp969 +a(g12 +VT +tp970 +a(g194 +V[ +tp971 +a(g311 +V1 +tp972 +a(g194 +V] +tp973 +a(g194 +V, +tp974 +a(g181 +V +p975 +tp976 +a(g15 +Vgraph +p977 +tp978 +a(g194 +V, +tp979 +a(g181 +V +tp980 +a(g12 +VActPen +p981 +tp982 +a(g194 +V) +tp983 +a(g194 +V; +tp984 +a(g181 +V +p985 +tp986 +a(g6 +V// draw 'graph' with 'ActPen' into 'T[1]' (1st hysteresis branch) \u000a +p987 +tp988 +a(g181 +V +tp989 +a(g15 +Vdraw +p990 +tp991 +a(g194 +V( +tp992 +a(g12 +VT +tp993 +a(g194 +V[ +tp994 +a(g311 +V1 +tp995 +a(g194 +V] +tp996 +a(g194 +V, +tp997 +a(g181 +V +tp998 +a(g15 +Vrotate +p999 +tp1000 +a(g194 +V( +tp1001 +a(g311 +V180 +p1002 +tp1003 +a(g194 +V, +tp1004 +a(g194 +V( +tp1005 +a(g311 +V0 +tp1006 +a(g194 +V, +tp1007 +a(g311 +V0 +tp1008 +a(g194 +V) +tp1009 +a(g194 +V) +tp1010 +a(g336 +V* +tp1011 +a(g15 +Vgraph +p1012 +tp1013 +a(g194 +V, +tp1014 +a(g181 +V +tp1015 +a(g12 +VActPen +p1016 +tp1017 +a(g194 +V) +tp1018 +a(g194 +V; +tp1019 +a(g181 +V +p1020 +tp1021 +a(g6 +V// draw rotated 'graph' (2nd hysteresis branch) \u000a +p1022 +tp1023 +a(g181 +V\u000a +tp1024 +a(g181 +V +tp1025 +a(g15 +Vgraph +p1026 +tp1027 +a(g181 +V +tp1028 +a(g336 +V= +tp1029 +a(g181 +V +tp1030 +a(g194 +V( +tp1031 +a(g311 +V0 +tp1032 +a(g194 +V, +tp1033 +a(g311 +V0 +tp1034 +a(g194 +V) +tp1035 +a(g181 +V +tp1036 +a(g336 +V- +tp1037 +a(g336 +V- +tp1038 +a(g181 +V +tp1039 +a(g194 +V( +tp1040 +a(g12 +Vgrad +p1041 +tp1042 +a(g336 +V* +tp1043 +a(g303 +V0.6 +p1044 +tp1045 +a(g194 +V, +tp1046 +a(g181 +V +tp1047 +a(g303 +V0.6 +p1048 +tp1049 +a(g194 +V) +tp1050 +a(g181 +V +tp1051 +a(g336 +V- +tp1052 +a(g336 +V- +tp1053 +a(g181 +V +tp1054 +a(g194 +V( +tp1055 +a(g181 +V +tp1056 +a(g194 +V( +tp1057 +a(g12 +Vgrad +p1058 +tp1059 +a(g336 +V* +tp1060 +a(g303 +V0.6 +p1061 +tp1062 +a(g194 +V, +tp1063 +a(g181 +V +tp1064 +a(g303 +V0.6 +p1065 +tp1066 +a(g194 +V) +tp1067 +a(g181 +V +tp1068 +a(g336 +V+ +tp1069 +a(g181 +V +tp1070 +a(g194 +V( +tp1071 +a(g303 +V0.1 +p1072 +tp1073 +a(g194 +V, +tp1074 +a(g181 +V +tp1075 +a(g311 +V0 +tp1076 +a(g194 +V) +tp1077 +a(g181 +V +tp1078 +a(g194 +V) +tp1079 +a(g194 +V; +tp1080 +a(g181 +V +p1081 +tp1082 +a(g6 +V// define branch from origin to hysteresis\u000a +p1083 +tp1084 +a(g181 +V +tp1085 +a(g15 +Vgraph +p1086 +tp1087 +a(g181 +V +tp1088 +a(g336 +V= +tp1089 +a(g181 +V +tp1090 +a(g15 +Vroundedpath +p1091 +tp1092 +a(g194 +V( +tp1093 +a(g15 +Vgraph +p1094 +tp1095 +a(g194 +V, +tp1096 +a(g181 +V +tp1097 +a(g15 +Vradius +p1098 +tp1099 +a(g194 +V, +tp1100 +a(g181 +V +tp1101 +a(g69 +VS +tp1102 +a(g194 +V) +tp1103 +a(g194 +V; +tp1104 +a(g181 +V +p1105 +tp1106 +a(g6 +V// round this path \u000a +p1107 +tp1108 +a(g181 +V +tp1109 +a(g15 +Vdraw +p1110 +tp1111 +a(g194 +V( +tp1112 +a(g12 +VT +tp1113 +a(g194 +V[ +tp1114 +a(g311 +V1 +tp1115 +a(g194 +V] +tp1116 +a(g194 +V, +tp1117 +a(g181 +V +tp1118 +a(g15 +Vgraph +p1119 +tp1120 +a(g194 +V, +tp1121 +a(g181 +V +tp1122 +a(g12 +VActPen +p1123 +tp1124 +a(g194 +V) +tp1125 +a(g194 +V; +tp1126 +a(g181 +V +p1127 +tp1128 +a(g6 +V// draw this path into 'T[1]' \u000a +p1129 +tp1130 +a(g181 +V\u000a +tp1131 +a(g181 +V\u000a +tp1132 +a(g6 +V//////////////////////////////////////// 2nd diagram\u000a +p1133 +tp1134 +a(g181 +V +tp1135 +a(g12 +VT +tp1136 +a(g194 +V[ +tp1137 +a(g311 +V2 +tp1138 +a(g194 +V] +tp1139 +a(g181 +V +tp1140 +a(g336 +V= +tp1141 +a(g181 +V +tp1142 +a(g12 +VCreateKOOS +p1143 +tp1144 +a(g194 +V( +tp1145 +a(g69 +VS +tp1146 +a(g194 +V, +tp1147 +a(g181 +V +tp1148 +a(g218 +V"$T_2$" +p1149 +tp1150 +a(g194 +V) +tp1151 +a(g194 +V; +tp1152 +a(g181 +V +p1153 +tp1154 +a(g6 +V// initialise T[2] as empty diagram with label $T_2$ \u000a +p1155 +tp1156 +a(g181 +V +tp1157 +a(g15 +Vgraph +p1158 +tp1159 +a(g181 +V +tp1160 +a(g336 +V= +tp1161 +a(g181 +V +tp1162 +a(g12 +VA +tp1163 +a(g194 +V; +tp1164 +a(g181 +V +p1165 +tp1166 +a(g6 +V// # pointwise definition of current path 'graph' \u000a +p1167 +tp1168 +a(g181 +V +tp1169 +a(g15 +Vgraph +p1170 +tp1171 +a(g181 +V +tp1172 +a(g336 +V= +tp1173 +a(g181 +V +tp1174 +a(g15 +Vgraph +p1175 +tp1176 +a(g181 +V +tp1177 +a(g336 +V- +tp1178 +a(g336 +V- +tp1179 +a(g181 +V +tp1180 +a(g194 +V( +tp1181 +a(g12 +VA +tp1182 +a(g194 +V. +tp1183 +a(g12 +Vx +tp1184 +a(g181 +V +tp1185 +a(g336 +V+ +tp1186 +a(g181 +V +tp1187 +a(g12 +Vgrad +p1188 +tp1189 +a(g336 +V* +tp1190 +a(g303 +V1.3 +p1191 +tp1192 +a(g194 +V, +tp1193 +a(g181 +V +tp1194 +a(g12 +VA +tp1195 +a(g194 +V. +tp1196 +a(g12 +Vy +tp1197 +a(g181 +V +tp1198 +a(g336 +V+ +tp1199 +a(g181 +V +tp1200 +a(g303 +V1.3 +p1201 +tp1202 +a(g194 +V) +tp1203 +a(g194 +V; +tp1204 +a(g181 +V +p1205 +tp1206 +a(g6 +V// # \u000a +p1207 +tp1208 +a(g181 +V +tp1209 +a(g15 +Vgraph +p1210 +tp1211 +a(g181 +V +tp1212 +a(g336 +V= +tp1213 +a(g181 +V +tp1214 +a(g15 +Vgraph +p1215 +tp1216 +a(g181 +V +tp1217 +a(g336 +V- +tp1218 +a(g336 +V- +tp1219 +a(g181 +V +tp1220 +a(g194 +V( +tp1221 +a(g69 +VE +tp1222 +a(g194 +V. +tp1223 +a(g12 +Vx +tp1224 +a(g181 +V +tp1225 +a(g336 +V- +tp1226 +a(g181 +V +tp1227 +a(g12 +Vgrad +p1228 +tp1229 +a(g336 +V* +tp1230 +a(g303 +V0.7 +p1231 +tp1232 +a(g181 +V +tp1233 +a(g194 +V, +tp1234 +a(g181 +V +tp1235 +a(g69 +VE +tp1236 +a(g194 +V. +tp1237 +a(g12 +Vy +tp1238 +a(g181 +V +tp1239 +a(g336 +V- +tp1240 +a(g181 +V +tp1241 +a(g303 +V0.7 +p1242 +tp1243 +a(g194 +V) +tp1244 +a(g194 +V; +tp1245 +a(g181 +V +p1246 +tp1247 +a(g6 +V// # \u000a +p1248 +tp1249 +a(g181 +V +tp1250 +a(g15 +Vgraph +p1251 +tp1252 +a(g181 +V +tp1253 +a(g336 +V= +tp1254 +a(g181 +V +tp1255 +a(g15 +Vgraph +p1256 +tp1257 +a(g181 +V +tp1258 +a(g336 +V- +tp1259 +a(g336 +V- +tp1260 +a(g181 +V +tp1261 +a(g69 +VE +tp1262 +a(g194 +V; +tp1263 +a(g181 +V +p1264 +tp1265 +a(g6 +V// #\u000a +p1266 +tp1267 +a(g181 +V \u000a +p1268 +tp1269 +a(g181 +V +tp1270 +a(g15 +Vgraph +p1271 +tp1272 +a(g181 +V +tp1273 +a(g336 +V= +tp1274 +a(g181 +V +tp1275 +a(g15 +Vroundedpath +p1276 +tp1277 +a(g194 +V( +tp1278 +a(g15 +Vgraph +p1279 +tp1280 +a(g194 +V, +tp1281 +a(g181 +V +tp1282 +a(g15 +Vradius +p1283 +tp1284 +a(g194 +V, +tp1285 +a(g181 +V +tp1286 +a(g69 +VS +tp1287 +a(g194 +V) +tp1288 +a(g194 +V; +tp1289 +a(g181 +V +p1290 +tp1291 +a(g6 +V// round edges of 'graph' using roundedpath() in roundedpath.asy \u000a +p1292 +tp1293 +a(g181 +V +tp1294 +a(g12 +VActPen +p1295 +tp1296 +a(g181 +V +tp1297 +a(g336 +V= +tp1298 +a(g181 +V +p1299 +tp1300 +a(g15 +Vrgb +p1301 +tp1302 +a(g194 +V( +tp1303 +a(g303 +V0.2 +p1304 +tp1305 +a(g194 +V, +tp1306 +a(g311 +V0 +tp1307 +a(g194 +V, +tp1308 +a(g303 +V0.4 +p1309 +tp1310 +a(g194 +V) +tp1311 +a(g181 +V +tp1312 +a(g336 +V+ +tp1313 +a(g181 +V +tp1314 +a(g15 +Vlinewidth +p1315 +tp1316 +a(g194 +V( +tp1317 +a(g12 +Vlw +p1318 +tp1319 +a(g194 +V) +tp1320 +a(g194 +V; +tp1321 +a(g181 +V +p1322 +tp1323 +a(g6 +V// define pen for drawing in 2nd diagram \u000a +p1324 +tp1325 +a(g181 +V +tp1326 +a(g15 +Vdraw +p1327 +tp1328 +a(g194 +V( +tp1329 +a(g12 +VT +tp1330 +a(g194 +V[ +tp1331 +a(g311 +V2 +tp1332 +a(g194 +V] +tp1333 +a(g194 +V, +tp1334 +a(g181 +V +p1335 +tp1336 +a(g15 +Vgraph +p1337 +tp1338 +a(g194 +V, +tp1339 +a(g181 +V +tp1340 +a(g12 +VActPen +p1341 +tp1342 +a(g194 +V) +tp1343 +a(g194 +V; +tp1344 +a(g181 +V +p1345 +tp1346 +a(g6 +V// draw 'graph' with 'ActPen' into 'T[2]' (1st hysteresis branch) \u000a +p1347 +tp1348 +a(g181 +V +tp1349 +a(g15 +Vdraw +p1350 +tp1351 +a(g194 +V( +tp1352 +a(g12 +VT +tp1353 +a(g194 +V[ +tp1354 +a(g311 +V2 +tp1355 +a(g194 +V] +tp1356 +a(g194 +V, +tp1357 +a(g181 +V +tp1358 +a(g15 +Vrotate +p1359 +tp1360 +a(g194 +V( +tp1361 +a(g311 +V180 +p1362 +tp1363 +a(g194 +V, +tp1364 +a(g194 +V( +tp1365 +a(g311 +V0 +tp1366 +a(g194 +V, +tp1367 +a(g311 +V0 +tp1368 +a(g194 +V) +tp1369 +a(g194 +V) +tp1370 +a(g336 +V* +tp1371 +a(g15 +Vgraph +p1372 +tp1373 +a(g194 +V, +tp1374 +a(g181 +V +tp1375 +a(g12 +VActPen +p1376 +tp1377 +a(g194 +V) +tp1378 +a(g194 +V; +tp1379 +a(g181 +V +p1380 +tp1381 +a(g6 +V// draw rotated 'graph' (2nd hysteresis branch) \u000a +p1382 +tp1383 +a(g181 +V\u000a +tp1384 +a(g181 +V +tp1385 +a(g15 +Vgraph +p1386 +tp1387 +a(g181 +V +tp1388 +a(g336 +V= +tp1389 +a(g181 +V +tp1390 +a(g194 +V( +tp1391 +a(g311 +V0 +tp1392 +a(g194 +V, +tp1393 +a(g311 +V0 +tp1394 +a(g194 +V) +tp1395 +a(g181 +V +tp1396 +a(g336 +V- +tp1397 +a(g336 +V- +tp1398 +a(g181 +V +tp1399 +a(g194 +V( +tp1400 +a(g12 +Vgrad +p1401 +tp1402 +a(g336 +V* +tp1403 +a(g303 +V0.3 +p1404 +tp1405 +a(g194 +V, +tp1406 +a(g181 +V +tp1407 +a(g303 +V0.3 +p1408 +tp1409 +a(g194 +V) +tp1410 +a(g181 +V +tp1411 +a(g336 +V- +tp1412 +a(g336 +V- +tp1413 +a(g181 +V +tp1414 +a(g194 +V( +tp1415 +a(g181 +V +tp1416 +a(g194 +V( +tp1417 +a(g12 +Vgrad +p1418 +tp1419 +a(g336 +V* +tp1420 +a(g303 +V0.3 +p1421 +tp1422 +a(g194 +V, +tp1423 +a(g181 +V +tp1424 +a(g303 +V0.3 +p1425 +tp1426 +a(g194 +V) +tp1427 +a(g181 +V +tp1428 +a(g336 +V+ +tp1429 +a(g181 +V +tp1430 +a(g194 +V( +tp1431 +a(g303 +V0.1 +p1432 +tp1433 +a(g194 +V, +tp1434 +a(g181 +V +tp1435 +a(g311 +V0 +tp1436 +a(g194 +V) +tp1437 +a(g181 +V +tp1438 +a(g194 +V) +tp1439 +a(g194 +V; +tp1440 +a(g181 +V +p1441 +tp1442 +a(g6 +V// define branch from origin to hysteresis\u000a +p1443 +tp1444 +a(g181 +V +tp1445 +a(g15 +Vgraph +p1446 +tp1447 +a(g181 +V +tp1448 +a(g336 +V= +tp1449 +a(g181 +V +tp1450 +a(g15 +Vroundedpath +p1451 +tp1452 +a(g194 +V( +tp1453 +a(g15 +Vgraph +p1454 +tp1455 +a(g194 +V, +tp1456 +a(g181 +V +tp1457 +a(g15 +Vradius +p1458 +tp1459 +a(g194 +V, +tp1460 +a(g181 +V +tp1461 +a(g69 +VS +tp1462 +a(g194 +V) +tp1463 +a(g194 +V; +tp1464 +a(g181 +V +p1465 +tp1466 +a(g6 +V// round this path \u000a +p1467 +tp1468 +a(g181 +V +tp1469 +a(g15 +Vdraw +p1470 +tp1471 +a(g194 +V( +tp1472 +a(g12 +VT +tp1473 +a(g194 +V[ +tp1474 +a(g311 +V2 +tp1475 +a(g194 +V] +tp1476 +a(g194 +V, +tp1477 +a(g181 +V +tp1478 +a(g15 +Vgraph +p1479 +tp1480 +a(g194 +V, +tp1481 +a(g181 +V +tp1482 +a(g12 +VActPen +p1483 +tp1484 +a(g194 +V) +tp1485 +a(g194 +V; +tp1486 +a(g181 +V +p1487 +tp1488 +a(g6 +V// draw this path into 'T[2]' \u000a +p1489 +tp1490 +a(g181 +V\u000a +tp1491 +a(g181 +V\u000a +tp1492 +a(g6 +V//////////////////////////////////////// 3rd diagram\u000a +p1493 +tp1494 +a(g181 +V +tp1495 +a(g12 +VT +tp1496 +a(g194 +V[ +tp1497 +a(g311 +V3 +tp1498 +a(g194 +V] +tp1499 +a(g181 +V +tp1500 +a(g336 +V= +tp1501 +a(g181 +V +tp1502 +a(g12 +VCreateKOOS +p1503 +tp1504 +a(g194 +V( +tp1505 +a(g69 +VS +tp1506 +a(g194 +V, +tp1507 +a(g181 +V +tp1508 +a(g218 +V"$T_3$" +p1509 +tp1510 +a(g194 +V) +tp1511 +a(g194 +V; +tp1512 +a(g181 +V +p1513 +tp1514 +a(g6 +V// initialise T[3] as empty diagram with label $T_3$ \u000a +p1515 +tp1516 +a(g181 +V +tp1517 +a(g15 +Vgraph +p1518 +tp1519 +a(g181 +V +tp1520 +a(g336 +V= +tp1521 +a(g181 +V +tp1522 +a(g12 +VA +tp1523 +a(g194 +V; +tp1524 +a(g181 +V +p1525 +tp1526 +a(g6 +V// # pointwise definition of current path 'graph' \u000a +p1527 +tp1528 +a(g181 +V +tp1529 +a(g15 +Vgraph +p1530 +tp1531 +a(g181 +V +tp1532 +a(g336 +V= +tp1533 +a(g181 +V +tp1534 +a(g15 +Vgraph +p1535 +tp1536 +a(g181 +V +tp1537 +a(g336 +V- +tp1538 +a(g336 +V- +tp1539 +a(g181 +V +tp1540 +a(g194 +V( +tp1541 +a(g12 +VA +tp1542 +a(g194 +V. +tp1543 +a(g12 +Vx +tp1544 +a(g181 +V +tp1545 +a(g336 +V+ +tp1546 +a(g181 +V +tp1547 +a(g12 +Vgrad +p1548 +tp1549 +a(g336 +V* +tp1550 +a(g303 +V0.7 +p1551 +tp1552 +a(g194 +V, +tp1553 +a(g181 +V +tp1554 +a(g12 +VA +tp1555 +a(g194 +V. +tp1556 +a(g12 +Vy +tp1557 +a(g181 +V +tp1558 +a(g336 +V+ +tp1559 +a(g181 +V +tp1560 +a(g303 +V0.7 +p1561 +tp1562 +a(g194 +V) +tp1563 +a(g194 +V; +tp1564 +a(g181 +V +p1565 +tp1566 +a(g6 +V// # \u000a +p1567 +tp1568 +a(g181 +V +tp1569 +a(g15 +Vgraph +p1570 +tp1571 +a(g181 +V +tp1572 +a(g336 +V= +tp1573 +a(g181 +V +tp1574 +a(g15 +Vgraph +p1575 +tp1576 +a(g181 +V +tp1577 +a(g336 +V- +tp1578 +a(g336 +V- +tp1579 +a(g181 +V +tp1580 +a(g194 +V( +tp1581 +a(g181 +V +tp1582 +a(g336 +V- +tp1583 +a(g181 +V +tp1584 +a(g12 +Vgrad +p1585 +tp1586 +a(g336 +V* +tp1587 +a(g303 +V0.3 +p1588 +tp1589 +a(g181 +V +tp1590 +a(g194 +V, +tp1591 +a(g181 +V +tp1592 +a(g336 +V- +tp1593 +a(g181 +V +tp1594 +a(g303 +V0.3 +p1595 +tp1596 +a(g194 +V) +tp1597 +a(g194 +V; +tp1598 +a(g181 +V +p1599 +tp1600 +a(g6 +V// # \u000a +p1601 +tp1602 +a(g181 +V +tp1603 +a(g15 +Vgraph +p1604 +tp1605 +a(g181 +V +tp1606 +a(g336 +V= +tp1607 +a(g181 +V +tp1608 +a(g15 +Vgraph +p1609 +tp1610 +a(g181 +V +tp1611 +a(g336 +V- +tp1612 +a(g336 +V- +tp1613 +a(g181 +V +tp1614 +a(g194 +V( +tp1615 +a(g311 +V0 +tp1616 +a(g194 +V, +tp1617 +a(g311 +V0 +tp1618 +a(g194 +V) +tp1619 +a(g194 +V; +tp1620 +a(g181 +V +p1621 +tp1622 +a(g6 +V// # \u000a +p1623 +tp1624 +a(g181 +V +tp1625 +a(g15 +Vgraph +p1626 +tp1627 +a(g181 +V +tp1628 +a(g336 +V= +tp1629 +a(g181 +V +tp1630 +a(g15 +Vgraph +p1631 +tp1632 +a(g181 +V +tp1633 +a(g336 +V- +tp1634 +a(g336 +V- +tp1635 +a(g181 +V +tp1636 +a(g194 +V( +tp1637 +a(g12 +Vgrad +p1638 +tp1639 +a(g336 +V* +tp1640 +a(g303 +V0.6 +p1641 +tp1642 +a(g194 +V, +tp1643 +a(g181 +V +tp1644 +a(g303 +V0.6 +p1645 +tp1646 +a(g194 +V) +tp1647 +a(g194 +V; +tp1648 +a(g181 +V +p1649 +tp1650 +a(g6 +V// # \u000a +p1651 +tp1652 +a(g181 +V +tp1653 +a(g15 +Vgraph +p1654 +tp1655 +a(g181 +V +tp1656 +a(g336 +V= +tp1657 +a(g181 +V +tp1658 +a(g15 +Vgraph +p1659 +tp1660 +a(g181 +V +tp1661 +a(g336 +V- +tp1662 +a(g336 +V- +tp1663 +a(g181 +V +tp1664 +a(g194 +V( +tp1665 +a(g69 +VE +tp1666 +a(g194 +V. +tp1667 +a(g12 +Vx +tp1668 +a(g181 +V +tp1669 +a(g336 +V- +tp1670 +a(g181 +V +tp1671 +a(g12 +Vgrad +p1672 +tp1673 +a(g336 +V* +tp1674 +a(g303 +V0.4 +p1675 +tp1676 +a(g194 +V, +tp1677 +a(g181 +V +tp1678 +a(g69 +VE +tp1679 +a(g194 +V. +tp1680 +a(g12 +Vy +tp1681 +a(g181 +V +tp1682 +a(g336 +V- +tp1683 +a(g181 +V +tp1684 +a(g303 +V0.4 +p1685 +tp1686 +a(g194 +V) +tp1687 +a(g194 +V; +tp1688 +a(g181 +V +p1689 +tp1690 +a(g6 +V// # \u000a +p1691 +tp1692 +a(g181 +V +tp1693 +a(g15 +Vgraph +p1694 +tp1695 +a(g181 +V +tp1696 +a(g336 +V= +tp1697 +a(g181 +V +tp1698 +a(g15 +Vgraph +p1699 +tp1700 +a(g181 +V +tp1701 +a(g336 +V- +tp1702 +a(g336 +V- +tp1703 +a(g181 +V +tp1704 +a(g69 +VE +tp1705 +a(g194 +V; +tp1706 +a(g181 +V +p1707 +tp1708 +a(g6 +V// # \u000a +p1709 +tp1710 +a(g181 +V \u000a +p1711 +tp1712 +a(g181 +V +tp1713 +a(g15 +Vgraph +p1714 +tp1715 +a(g181 +V +tp1716 +a(g336 +V= +tp1717 +a(g181 +V +tp1718 +a(g15 +Vroundedpath +p1719 +tp1720 +a(g194 +V( +tp1721 +a(g15 +Vgraph +p1722 +tp1723 +a(g194 +V, +tp1724 +a(g181 +V +tp1725 +a(g15 +Vradius +p1726 +tp1727 +a(g194 +V, +tp1728 +a(g181 +V +tp1729 +a(g69 +VS +tp1730 +a(g194 +V) +tp1731 +a(g194 +V; +tp1732 +a(g181 +V +p1733 +tp1734 +a(g6 +V// round edges of 'graph' using roundedpath() in roundedpath.asy \u000a +p1735 +tp1736 +a(g181 +V +tp1737 +a(g12 +VActPen +p1738 +tp1739 +a(g181 +V +tp1740 +a(g336 +V= +tp1741 +a(g181 +V +p1742 +tp1743 +a(g15 +Vrgb +p1744 +tp1745 +a(g194 +V( +tp1746 +a(g303 +V0.6 +p1747 +tp1748 +a(g194 +V, +tp1749 +a(g311 +V0 +tp1750 +a(g194 +V, +tp1751 +a(g303 +V0.2 +p1752 +tp1753 +a(g194 +V) +tp1754 +a(g181 +V +tp1755 +a(g336 +V+ +tp1756 +a(g181 +V +tp1757 +a(g15 +Vlinewidth +p1758 +tp1759 +a(g194 +V( +tp1760 +a(g12 +Vlw +p1761 +tp1762 +a(g194 +V) +tp1763 +a(g194 +V; +tp1764 +a(g181 +V +p1765 +tp1766 +a(g6 +V// define pen for drawing in 3rd diagram \u000a +p1767 +tp1768 +a(g181 +V +tp1769 +a(g15 +Vdraw +p1770 +tp1771 +a(g194 +V( +tp1772 +a(g12 +VT +tp1773 +a(g194 +V[ +tp1774 +a(g311 +V3 +tp1775 +a(g194 +V] +tp1776 +a(g194 +V, +tp1777 +a(g181 +V +p1778 +tp1779 +a(g15 +Vgraph +p1780 +tp1781 +a(g194 +V, +tp1782 +a(g181 +V +tp1783 +a(g12 +VActPen +p1784 +tp1785 +a(g194 +V) +tp1786 +a(g194 +V; +tp1787 +a(g181 +V +p1788 +tp1789 +a(g6 +V// draw 'graph' with 'ActPen' into 'T[3]' (1st hysteresis branch) \u000a +p1790 +tp1791 +a(g181 +V +tp1792 +a(g15 +Vdraw +p1793 +tp1794 +a(g194 +V( +tp1795 +a(g12 +VT +tp1796 +a(g194 +V[ +tp1797 +a(g311 +V3 +tp1798 +a(g194 +V] +tp1799 +a(g194 +V, +tp1800 +a(g181 +V +tp1801 +a(g15 +Vrotate +p1802 +tp1803 +a(g194 +V( +tp1804 +a(g311 +V180 +p1805 +tp1806 +a(g194 +V, +tp1807 +a(g194 +V( +tp1808 +a(g311 +V0 +tp1809 +a(g194 +V, +tp1810 +a(g311 +V0 +tp1811 +a(g194 +V) +tp1812 +a(g194 +V) +tp1813 +a(g336 +V* +tp1814 +a(g15 +Vgraph +p1815 +tp1816 +a(g194 +V, +tp1817 +a(g181 +V +tp1818 +a(g12 +VActPen +p1819 +tp1820 +a(g194 +V) +tp1821 +a(g194 +V; +tp1822 +a(g181 +V +p1823 +tp1824 +a(g6 +V// draw rotated 'graph' (2nd hysteresis branch) \u000a +p1825 +tp1826 +a(g181 +V\u000a +tp1827 +a(g181 +V\u000a +tp1828 +a(g6 +V//////////////////////////////////////// 4th diagram\u000a +p1829 +tp1830 +a(g181 +V +tp1831 +a(g12 +VT +tp1832 +a(g194 +V[ +tp1833 +a(g311 +V4 +tp1834 +a(g194 +V] +tp1835 +a(g181 +V +tp1836 +a(g336 +V= +tp1837 +a(g181 +V +tp1838 +a(g12 +VCreateKOOS +p1839 +tp1840 +a(g194 +V( +tp1841 +a(g69 +VS +tp1842 +a(g194 +V, +tp1843 +a(g181 +V +tp1844 +a(g218 +V"$T_4$" +p1845 +tp1846 +a(g194 +V) +tp1847 +a(g194 +V; +tp1848 +a(g181 +V +p1849 +tp1850 +a(g6 +V// initialise T[4] as empty diagram with label $T_4$ \u000a +p1851 +tp1852 +a(g181 +V +tp1853 +a(g15 +Vgraph +p1854 +tp1855 +a(g181 +V +tp1856 +a(g336 +V= +tp1857 +a(g181 +V +tp1858 +a(g12 +VA +tp1859 +a(g194 +V; +tp1860 +a(g181 +V +p1861 +tp1862 +a(g6 +V// # pointwise definition of current path 'graph' \u000a +p1863 +tp1864 +a(g181 +V +tp1865 +a(g15 +Vgraph +p1866 +tp1867 +a(g181 +V +tp1868 +a(g336 +V= +tp1869 +a(g181 +V +tp1870 +a(g15 +Vgraph +p1871 +tp1872 +a(g181 +V +tp1873 +a(g336 +V- +tp1874 +a(g336 +V- +tp1875 +a(g181 +V +tp1876 +a(g194 +V( +tp1877 +a(g12 +VA +tp1878 +a(g194 +V. +tp1879 +a(g12 +Vx +tp1880 +a(g181 +V +tp1881 +a(g336 +V+ +tp1882 +a(g181 +V +tp1883 +a(g12 +Vgrad +p1884 +tp1885 +a(g336 +V* +tp1886 +a(g303 +V0.4 +p1887 +tp1888 +a(g194 +V, +tp1889 +a(g181 +V +tp1890 +a(g12 +VA +tp1891 +a(g194 +V. +tp1892 +a(g12 +Vy +tp1893 +a(g181 +V +tp1894 +a(g336 +V+ +tp1895 +a(g181 +V +tp1896 +a(g303 +V0.4 +p1897 +tp1898 +a(g194 +V) +tp1899 +a(g194 +V; +tp1900 +a(g181 +V +p1901 +tp1902 +a(g6 +V// # \u000a +p1903 +tp1904 +a(g181 +V +tp1905 +a(g15 +Vgraph +p1906 +tp1907 +a(g181 +V +tp1908 +a(g336 +V= +tp1909 +a(g181 +V +tp1910 +a(g15 +Vgraph +p1911 +tp1912 +a(g181 +V +tp1913 +a(g336 +V- +tp1914 +a(g336 +V- +tp1915 +a(g181 +V +tp1916 +a(g194 +V( +tp1917 +a(g181 +V +tp1918 +a(g336 +V- +tp1919 +a(g181 +V +tp1920 +a(g12 +Vgrad +p1921 +tp1922 +a(g336 +V* +tp1923 +a(g303 +V0.6 +p1924 +tp1925 +a(g181 +V +tp1926 +a(g194 +V, +tp1927 +a(g181 +V +tp1928 +a(g336 +V- +tp1929 +a(g181 +V +tp1930 +a(g303 +V0.6 +p1931 +tp1932 +a(g194 +V) +tp1933 +a(g194 +V; +tp1934 +a(g181 +V +p1935 +tp1936 +a(g6 +V// # \u000a +p1937 +tp1938 +a(g181 +V +tp1939 +a(g15 +Vgraph +p1940 +tp1941 +a(g181 +V +tp1942 +a(g336 +V= +tp1943 +a(g181 +V +tp1944 +a(g15 +Vgraph +p1945 +tp1946 +a(g181 +V +tp1947 +a(g336 +V- +tp1948 +a(g336 +V- +tp1949 +a(g181 +V +tp1950 +a(g194 +V( +tp1951 +a(g311 +V0 +tp1952 +a(g194 +V, +tp1953 +a(g311 +V0 +tp1954 +a(g194 +V) +tp1955 +a(g194 +V; +tp1956 +a(g181 +V +p1957 +tp1958 +a(g6 +V// # \u000a +p1959 +tp1960 +a(g181 +V +tp1961 +a(g15 +Vgraph +p1962 +tp1963 +a(g181 +V +tp1964 +a(g336 +V= +tp1965 +a(g181 +V +tp1966 +a(g15 +Vgraph +p1967 +tp1968 +a(g181 +V +tp1969 +a(g336 +V- +tp1970 +a(g336 +V- +tp1971 +a(g181 +V +tp1972 +a(g194 +V( +tp1973 +a(g12 +Vgrad +p1974 +tp1975 +a(g336 +V* +tp1976 +a(g303 +V0.9 +p1977 +tp1978 +a(g194 +V, +tp1979 +a(g181 +V +tp1980 +a(g303 +V0.9 +p1981 +tp1982 +a(g194 +V) +tp1983 +a(g194 +V; +tp1984 +a(g181 +V +p1985 +tp1986 +a(g6 +V// # \u000a +p1987 +tp1988 +a(g181 +V +tp1989 +a(g15 +Vgraph +p1990 +tp1991 +a(g181 +V +tp1992 +a(g336 +V= +tp1993 +a(g181 +V +tp1994 +a(g15 +Vgraph +p1995 +tp1996 +a(g181 +V +tp1997 +a(g336 +V- +tp1998 +a(g336 +V- +tp1999 +a(g181 +V +tp2000 +a(g194 +V( +tp2001 +a(g69 +VE +tp2002 +a(g194 +V. +tp2003 +a(g12 +Vx +tp2004 +a(g181 +V +tp2005 +a(g336 +V- +tp2006 +a(g181 +V +tp2007 +a(g12 +Vgrad +p2008 +tp2009 +a(g336 +V* +tp2010 +a(g303 +V0.1 +p2011 +tp2012 +a(g194 +V, +tp2013 +a(g181 +V +tp2014 +a(g69 +VE +tp2015 +a(g194 +V. +tp2016 +a(g12 +Vy +tp2017 +a(g181 +V +tp2018 +a(g336 +V- +tp2019 +a(g181 +V +tp2020 +a(g303 +V0.1 +p2021 +tp2022 +a(g194 +V) +tp2023 +a(g194 +V; +tp2024 +a(g181 +V +p2025 +tp2026 +a(g6 +V// # \u000a +p2027 +tp2028 +a(g181 +V +tp2029 +a(g15 +Vgraph +p2030 +tp2031 +a(g181 +V +tp2032 +a(g336 +V= +tp2033 +a(g181 +V +tp2034 +a(g15 +Vgraph +p2035 +tp2036 +a(g181 +V +tp2037 +a(g336 +V- +tp2038 +a(g336 +V- +tp2039 +a(g181 +V +tp2040 +a(g69 +VE +tp2041 +a(g194 +V; +tp2042 +a(g181 +V +p2043 +tp2044 +a(g6 +V// # \u000a +p2045 +tp2046 +a(g181 +V \u000a +p2047 +tp2048 +a(g181 +V +tp2049 +a(g15 +Vgraph +p2050 +tp2051 +a(g181 +V +tp2052 +a(g336 +V= +tp2053 +a(g181 +V +tp2054 +a(g15 +Vroundedpath +p2055 +tp2056 +a(g194 +V( +tp2057 +a(g15 +Vgraph +p2058 +tp2059 +a(g194 +V, +tp2060 +a(g181 +V +tp2061 +a(g15 +Vradius +p2062 +tp2063 +a(g194 +V, +tp2064 +a(g181 +V +tp2065 +a(g69 +VS +tp2066 +a(g194 +V) +tp2067 +a(g194 +V; +tp2068 +a(g181 +V +p2069 +tp2070 +a(g6 +V// round edges of 'graph' using roundedpath() in roundedpath.asy \u000a +p2071 +tp2072 +a(g181 +V +tp2073 +a(g12 +VActPen +p2074 +tp2075 +a(g181 +V +tp2076 +a(g336 +V= +tp2077 +a(g181 +V +p2078 +tp2079 +a(g15 +Vrgb +p2080 +tp2081 +a(g194 +V( +tp2082 +a(g303 +V0.6 +p2083 +tp2084 +a(g194 +V, +tp2085 +a(g311 +V0 +tp2086 +a(g194 +V, +tp2087 +a(g311 +V0 +tp2088 +a(g194 +V) +tp2089 +a(g181 +V +tp2090 +a(g336 +V+ +tp2091 +a(g181 +V +tp2092 +a(g15 +Vlinewidth +p2093 +tp2094 +a(g194 +V( +tp2095 +a(g12 +Vlw +p2096 +tp2097 +a(g194 +V) +tp2098 +a(g194 +V; +tp2099 +a(g181 +V +p2100 +tp2101 +a(g6 +V// define pen for drawing in 4th diagram \u000a +p2102 +tp2103 +a(g181 +V +tp2104 +a(g15 +Vdraw +p2105 +tp2106 +a(g194 +V( +tp2107 +a(g12 +VT +tp2108 +a(g194 +V[ +tp2109 +a(g311 +V4 +tp2110 +a(g194 +V] +tp2111 +a(g194 +V, +tp2112 +a(g181 +V +p2113 +tp2114 +a(g15 +Vgraph +p2115 +tp2116 +a(g194 +V, +tp2117 +a(g181 +V +tp2118 +a(g12 +VActPen +p2119 +tp2120 +a(g194 +V) +tp2121 +a(g194 +V; +tp2122 +a(g181 +V +p2123 +tp2124 +a(g6 +V// draw 'graph' with 'ActPen' into 'T[4]' (1st hysteresis branch) \u000a +p2125 +tp2126 +a(g181 +V +tp2127 +a(g15 +Vdraw +p2128 +tp2129 +a(g194 +V( +tp2130 +a(g12 +VT +tp2131 +a(g194 +V[ +tp2132 +a(g311 +V4 +tp2133 +a(g194 +V] +tp2134 +a(g194 +V, +tp2135 +a(g181 +V +tp2136 +a(g15 +Vrotate +p2137 +tp2138 +a(g194 +V( +tp2139 +a(g311 +V180 +p2140 +tp2141 +a(g194 +V, +tp2142 +a(g194 +V( +tp2143 +a(g311 +V0 +tp2144 +a(g194 +V, +tp2145 +a(g311 +V0 +tp2146 +a(g194 +V) +tp2147 +a(g194 +V) +tp2148 +a(g336 +V* +tp2149 +a(g15 +Vgraph +p2150 +tp2151 +a(g194 +V, +tp2152 +a(g181 +V +tp2153 +a(g12 +VActPen +p2154 +tp2155 +a(g194 +V) +tp2156 +a(g194 +V; +tp2157 +a(g181 +V +p2158 +tp2159 +a(g6 +V// draw rotated 'graph' (3nd hysteresis branch) \u000a +p2160 +tp2161 +a(g181 +V\u000a +tp2162 +a(g181 +V\u000a +tp2163 +a(g6 +V// add some labels and black dots to the first two pictures\u000a +p2164 +tp2165 +a(g181 +V +tp2166 +a(g131 +Vpair +p2167 +tp2168 +a(g181 +V +tp2169 +a(g12 +VSWW +p2170 +tp2171 +a(g181 +V +tp2172 +a(g336 +V= +tp2173 +a(g181 +V +tp2174 +a(g194 +V( +tp2175 +a(g336 +V- +tp2176 +a(g303 +V0.8 +p2177 +tp2178 +a(g194 +V, +tp2179 +a(g181 +V +tp2180 +a(g336 +V- +tp2181 +a(g303 +V0.6 +p2182 +tp2183 +a(g194 +V) +tp2184 +a(g194 +V; +tp2185 +a(g181 +V\u000a +tp2186 +a(g181 +V +tp2187 +a(g15 +Vlabel +p2188 +tp2189 +a(g194 +V( +tp2190 +a(g12 +VT +tp2191 +a(g194 +V[ +tp2192 +a(g311 +V1 +tp2193 +a(g194 +V] +tp2194 +a(g194 +V, +tp2195 +a(g181 +V +tp2196 +a(g218 +V"$\u005csigma_f$" +p2197 +tp2198 +a(g194 +V, +tp2199 +a(g181 +V +tp2200 +a(g194 +V( +tp2201 +a(g311 +V0 +tp2202 +a(g194 +V, +tp2203 +a(g181 +V +tp2204 +a(g303 +V0.6 +p2205 +tp2206 +a(g69 +VS +tp2207 +a(g194 +V) +tp2208 +a(g194 +V, +tp2209 +a(g181 +V +tp2210 +a(g69 +VNE +p2211 +tp2212 +a(g194 +V) +tp2213 +a(g194 +V; +tp2214 +a(g181 +V +p2215 +tp2216 +a(g6 +V// sigma_f\u000a +p2217 +tp2218 +a(g181 +V +tp2219 +a(g15 +Vdraw +p2220 +tp2221 +a(g194 +V( +tp2222 +a(g12 +VT +tp2223 +a(g194 +V[ +tp2224 +a(g311 +V1 +tp2225 +a(g194 +V] +tp2226 +a(g194 +V, +tp2227 +a(g181 +V +tp2228 +a(g194 +V( +tp2229 +a(g311 +V0 +tp2230 +a(g194 +V, +tp2231 +a(g181 +V +tp2232 +a(g303 +V0.6 +p2233 +tp2234 +a(g69 +VS +tp2235 +a(g194 +V) +tp2236 +a(g194 +V, +tp2237 +a(g181 +V +tp2238 +a(g15 +Vlinewidth +p2239 +tp2240 +a(g194 +V( +tp2241 +a(g311 +V3 +tp2242 +a(g194 +V) +tp2243 +a(g181 +V +tp2244 +a(g336 +V+ +tp2245 +a(g181 +V +tp2246 +a(g69 +Vblack +p2247 +tp2248 +a(g194 +V) +tp2249 +a(g194 +V; +tp2250 +a(g181 +V\u000a +tp2251 +a(g181 +V +tp2252 +a(g15 +Vlabel +p2253 +tp2254 +a(g194 +V( +tp2255 +a(g12 +VT +tp2256 +a(g194 +V[ +tp2257 +a(g311 +V2 +tp2258 +a(g194 +V] +tp2259 +a(g194 +V, +tp2260 +a(g181 +V +tp2261 +a(g218 +V"$\u005csigma_f$" +p2262 +tp2263 +a(g194 +V, +tp2264 +a(g181 +V +tp2265 +a(g194 +V( +tp2266 +a(g311 +V0 +tp2267 +a(g194 +V, +tp2268 +a(g181 +V +tp2269 +a(g303 +V0.3 +p2270 +tp2271 +a(g69 +VS +tp2272 +a(g194 +V) +tp2273 +a(g194 +V, +tp2274 +a(g181 +V +tp2275 +a(g69 +VNE +p2276 +tp2277 +a(g194 +V) +tp2278 +a(g194 +V; +tp2279 +a(g181 +V +p2280 +tp2281 +a(g6 +V// sigma_f\u000a +p2282 +tp2283 +a(g181 +V +tp2284 +a(g15 +Vdraw +p2285 +tp2286 +a(g194 +V( +tp2287 +a(g12 +VT +tp2288 +a(g194 +V[ +tp2289 +a(g311 +V2 +tp2290 +a(g194 +V] +tp2291 +a(g194 +V, +tp2292 +a(g181 +V +tp2293 +a(g194 +V( +tp2294 +a(g311 +V0 +tp2295 +a(g194 +V, +tp2296 +a(g181 +V +tp2297 +a(g303 +V0.3 +p2298 +tp2299 +a(g69 +VS +tp2300 +a(g194 +V) +tp2301 +a(g194 +V, +tp2302 +a(g181 +V +tp2303 +a(g15 +Vlinewidth +p2304 +tp2305 +a(g194 +V( +tp2306 +a(g311 +V3 +tp2307 +a(g194 +V) +tp2308 +a(g181 +V +tp2309 +a(g336 +V+ +tp2310 +a(g181 +V +tp2311 +a(g69 +Vblack +p2312 +tp2313 +a(g194 +V) +tp2314 +a(g194 +V; +tp2315 +a(g181 +V\u000a +tp2316 +a(g181 +V +tp2317 +a(g15 +Vlabel +p2318 +tp2319 +a(g194 +V( +tp2320 +a(g12 +VT +tp2321 +a(g194 +V[ +tp2322 +a(g311 +V1 +tp2323 +a(g194 +V] +tp2324 +a(g194 +V, +tp2325 +a(g181 +V +tp2326 +a(g218 +V"$\u005cvarepsilon_p$" +p2327 +tp2328 +a(g194 +V, +tp2329 +a(g181 +V +tp2330 +a(g194 +V( +tp2331 +a(g303 +V0.7 +p2332 +tp2333 +a(g69 +VS +tp2334 +a(g194 +V, +tp2335 +a(g181 +V +tp2336 +a(g311 +V0 +tp2337 +a(g194 +V) +tp2338 +a(g194 +V, +tp2339 +a(g181 +V +tp2340 +a(g12 +VSWW +p2341 +tp2342 +a(g194 +V) +tp2343 +a(g194 +V; +tp2344 +a(g181 +V +p2345 +tp2346 +a(g6 +V// epsilon_p\u000a +p2347 +tp2348 +a(g181 +V +tp2349 +a(g15 +Vdraw +p2350 +tp2351 +a(g194 +V( +tp2352 +a(g12 +VT +tp2353 +a(g194 +V[ +tp2354 +a(g311 +V1 +tp2355 +a(g194 +V] +tp2356 +a(g194 +V, +tp2357 +a(g181 +V +tp2358 +a(g194 +V( +tp2359 +a(g303 +V0.75 +p2360 +tp2361 +a(g69 +VS +tp2362 +a(g194 +V, +tp2363 +a(g181 +V +tp2364 +a(g311 +V0 +tp2365 +a(g194 +V) +tp2366 +a(g194 +V, +tp2367 +a(g181 +V +tp2368 +a(g15 +Vlinewidth +p2369 +tp2370 +a(g194 +V( +tp2371 +a(g311 +V3 +tp2372 +a(g194 +V) +tp2373 +a(g181 +V +tp2374 +a(g336 +V+ +tp2375 +a(g181 +V +tp2376 +a(g69 +Vblack +p2377 +tp2378 +a(g194 +V) +tp2379 +a(g194 +V; +tp2380 +a(g181 +V\u000a +tp2381 +a(g181 +V +tp2382 +a(g15 +Vlabel +p2383 +tp2384 +a(g194 +V( +tp2385 +a(g12 +VT +tp2386 +a(g194 +V[ +tp2387 +a(g311 +V2 +tp2388 +a(g194 +V] +tp2389 +a(g194 +V, +tp2390 +a(g181 +V +tp2391 +a(g218 +V"$\u005cvarepsilon_p$" +p2392 +tp2393 +a(g194 +V, +tp2394 +a(g181 +V +tp2395 +a(g194 +V( +tp2396 +a(g303 +V0.7 +p2397 +tp2398 +a(g69 +VS +tp2399 +a(g194 +V, +tp2400 +a(g181 +V +tp2401 +a(g311 +V0 +tp2402 +a(g194 +V) +tp2403 +a(g194 +V, +tp2404 +a(g181 +V +tp2405 +a(g12 +VSWW +p2406 +tp2407 +a(g194 +V) +tp2408 +a(g194 +V; +tp2409 +a(g181 +V +p2410 +tp2411 +a(g6 +V// epsilon_p\u000a +p2412 +tp2413 +a(g181 +V +tp2414 +a(g15 +Vdraw +p2415 +tp2416 +a(g194 +V( +tp2417 +a(g12 +VT +tp2418 +a(g194 +V[ +tp2419 +a(g311 +V2 +tp2420 +a(g194 +V] +tp2421 +a(g194 +V, +tp2422 +a(g181 +V +tp2423 +a(g194 +V( +tp2424 +a(g303 +V0.75 +p2425 +tp2426 +a(g69 +VS +tp2427 +a(g194 +V, +tp2428 +a(g181 +V +tp2429 +a(g311 +V0 +tp2430 +a(g194 +V) +tp2431 +a(g194 +V, +tp2432 +a(g181 +V +tp2433 +a(g15 +Vlinewidth +p2434 +tp2435 +a(g194 +V( +tp2436 +a(g311 +V3 +tp2437 +a(g194 +V) +tp2438 +a(g181 +V +tp2439 +a(g336 +V+ +tp2440 +a(g181 +V +tp2441 +a(g69 +Vblack +p2442 +tp2443 +a(g194 +V) +tp2444 +a(g194 +V; +tp2445 +a(g181 +V\u000a +tp2446 +a(g181 +V\u000a +tp2447 +a(g181 +V\u000a +tp2448 +a(g6 +V// add all pictures T[1...4] to the current one\u000a +p2449 +tp2450 +a(g181 +V +tp2451 +a(g15 +Vadd +p2452 +tp2453 +a(g194 +V( +tp2454 +a(g12 +VT +tp2455 +a(g194 +V[ +tp2456 +a(g311 +V1 +tp2457 +a(g194 +V] +tp2458 +a(g194 +V, +tp2459 +a(g194 +V( +tp2460 +a(g311 +V0 +tp2461 +a(g194 +V, +tp2462 +a(g311 +V0 +tp2463 +a(g194 +V) +tp2464 +a(g194 +V) +tp2465 +a(g194 +V; +tp2466 +a(g181 +V\u000a +tp2467 +a(g181 +V +tp2468 +a(g15 +Vadd +p2469 +tp2470 +a(g194 +V( +tp2471 +a(g12 +VT +tp2472 +a(g194 +V[ +tp2473 +a(g311 +V2 +tp2474 +a(g194 +V] +tp2475 +a(g194 +V, +tp2476 +a(g194 +V( +tp2477 +a(g311 +V1 +tp2478 +a(g336 +V* +tp2479 +a(g12 +Vinc +p2480 +tp2481 +a(g336 +V* +tp2482 +a(g69 +VS +tp2483 +a(g194 +V, +tp2484 +a(g311 +V0 +tp2485 +a(g194 +V) +tp2486 +a(g194 +V) +tp2487 +a(g194 +V; +tp2488 +a(g181 +V\u000a +tp2489 +a(g181 +V +tp2490 +a(g15 +Vadd +p2491 +tp2492 +a(g194 +V( +tp2493 +a(g12 +VT +tp2494 +a(g194 +V[ +tp2495 +a(g311 +V3 +tp2496 +a(g194 +V] +tp2497 +a(g194 +V, +tp2498 +a(g194 +V( +tp2499 +a(g311 +V2 +tp2500 +a(g336 +V* +tp2501 +a(g12 +Vinc +p2502 +tp2503 +a(g336 +V* +tp2504 +a(g69 +VS +tp2505 +a(g194 +V, +tp2506 +a(g311 +V0 +tp2507 +a(g194 +V) +tp2508 +a(g194 +V) +tp2509 +a(g194 +V; +tp2510 +a(g181 +V\u000a +tp2511 +a(g181 +V +tp2512 +a(g15 +Vadd +p2513 +tp2514 +a(g194 +V( +tp2515 +a(g12 +VT +tp2516 +a(g194 +V[ +tp2517 +a(g311 +V4 +tp2518 +a(g194 +V] +tp2519 +a(g194 +V, +tp2520 +a(g194 +V( +tp2521 +a(g311 +V3 +tp2522 +a(g336 +V* +tp2523 +a(g12 +Vinc +p2524 +tp2525 +a(g336 +V* +tp2526 +a(g69 +VS +tp2527 +a(g194 +V, +tp2528 +a(g311 +V0 +tp2529 +a(g194 +V) +tp2530 +a(g194 +V) +tp2531 +a(g194 +V; +tp2532 +a(g181 +V\u000a +tp2533 +a(g181 +V\u000a +tp2534 +a(g181 +V\u000a +tp2535 +a(g6 +V// draw line of constant \u005csigma and all intersection points with the graphs in T[1...4]\u000a +p2536 +tp2537 +a(g181 +V +tp2538 +a(g12 +VActPen +p2539 +tp2540 +a(g181 +V +tp2541 +a(g336 +V= +tp2542 +a(g181 +V +tp2543 +a(g15 +Vlinewidth +p2544 +tp2545 +a(g194 +V( +tp2546 +a(g311 +V1 +tp2547 +a(g194 +V) +tp2548 +a(g181 +V +tp2549 +a(g336 +V+ +tp2550 +a(g181 +V +tp2551 +a(g69 +Vdashed +p2552 +tp2553 +a(g181 +V +tp2554 +a(g336 +V+ +tp2555 +a(g181 +V +tp2556 +a(g15 +Vgray +p2557 +tp2558 +a(g194 +V( +tp2559 +a(g303 +V0.5 +p2560 +tp2561 +a(g194 +V) +tp2562 +a(g194 +V; +tp2563 +a(g181 +V +p2564 +tp2565 +a(g6 +V// pen definition\u000a +p2566 +tp2567 +a(g181 +V +tp2568 +a(g15 +Vdraw +p2569 +tp2570 +a(g194 +V( +tp2571 +a(g194 +V( +tp2572 +a(g336 +V- +tp2573 +a(g69 +VS +tp2574 +a(g194 +V, +tp2575 +a(g181 +V +tp2576 +a(g303 +V0.45 +p2577 +tp2578 +a(g336 +V* +tp2579 +a(g69 +VS +tp2580 +a(g194 +V) +tp2581 +a(g336 +V- +tp2582 +a(g336 +V- +tp2583 +a(g194 +V( +tp2584 +a(g194 +V( +tp2585 +a(g311 +V3 +tp2586 +a(g336 +V* +tp2587 +a(g12 +Vinc +p2588 +tp2589 +a(g336 +V+ +tp2590 +a(g311 +V1 +tp2591 +a(g194 +V) +tp2592 +a(g336 +V* +tp2593 +a(g69 +VS +tp2594 +a(g194 +V, +tp2595 +a(g181 +V +tp2596 +a(g303 +V0.45 +p2597 +tp2598 +a(g336 +V* +tp2599 +a(g69 +VS +tp2600 +a(g194 +V) +tp2601 +a(g194 +V, +tp2602 +a(g181 +V +tp2603 +a(g12 +VActPen +p2604 +tp2605 +a(g194 +V) +tp2606 +a(g194 +V; +tp2607 +a(g181 +V +p2608 +tp2609 +a(g6 +V// draw backgoundline\u000a +p2610 +tp2611 +a(g181 +V +tp2612 +a(g15 +Vlabel +p2613 +tp2614 +a(g194 +V( +tp2615 +a(g218 +V"$\u005csigma_s$" +p2616 +tp2617 +a(g194 +V, +tp2618 +a(g181 +V +tp2619 +a(g194 +V( +tp2620 +a(g336 +V- +tp2621 +a(g69 +VS +tp2622 +a(g194 +V, +tp2623 +a(g181 +V +tp2624 +a(g303 +V0.45 +p2625 +tp2626 +a(g69 +VS +tp2627 +a(g194 +V) +tp2628 +a(g194 +V, +tp2629 +a(g181 +V +tp2630 +a(g69 +VW +tp2631 +a(g194 +V) +tp2632 +a(g194 +V; +tp2633 +a(g181 +V +p2634 +tp2635 +a(g6 +V// label 'sigma_s'\u000a +p2636 +tp2637 +a(g181 +V\u000a +tp2638 +a(g181 +V +tp2639 +a(g131 +Vpath +p2640 +tp2641 +a(g181 +V +tp2642 +a(g12 +Vmark +p2643 +tp2644 +a(g181 +V +tp2645 +a(g336 +V= +tp2646 +a(g181 +V +tp2647 +a(g15 +Vscale +p2648 +tp2649 +a(g194 +V( +tp2650 +a(g311 +V2 +tp2651 +a(g194 +V) +tp2652 +a(g336 +V* +tp2653 +a(g69 +Vunitcircle +p2654 +tp2655 +a(g194 +V; +tp2656 +a(g181 +V +p2657 +tp2658 +a(g6 +V// define mark-symbol to be used for intersections\u000a +p2659 +tp2660 +a(g181 +V +tp2661 +a(g12 +VActPen +p2662 +tp2663 +a(g181 +V +tp2664 +a(g336 +V= +tp2665 +a(g181 +V +tp2666 +a(g15 +Vlinewidth +p2667 +tp2668 +a(g194 +V( +tp2669 +a(g311 +V1 +tp2670 +a(g194 +V) +tp2671 +a(g181 +V +tp2672 +a(g336 +V+ +tp2673 +a(g181 +V +tp2674 +a(g15 +Vgray +p2675 +tp2676 +a(g194 +V( +tp2677 +a(g303 +V0.5 +p2678 +tp2679 +a(g194 +V) +tp2680 +a(g194 +V; +tp2681 +a(g181 +V +p2682 +tp2683 +a(g6 +V// define pen for intersection mark\u000a +p2684 +tp2685 +a(g181 +V +tp2686 +a(g15 +Vdraw +p2687 +tp2688 +a(g194 +V( +tp2689 +a(g15 +Vshift +p2690 +tp2691 +a(g194 +V( +tp2692 +a(g194 +V( +tp2693 +a(g181 +V +tp2694 +a(g311 +V1 +tp2695 +a(g181 +V +tp2696 +a(g336 +V- +tp2697 +a(g181 +V +tp2698 +a(g12 +Vgrad +p2699 +tp2700 +a(g336 +V* +tp2701 +a(g303 +V0.55 +p2702 +tp2703 +a(g181 +V +tp2704 +a(g336 +V+ +tp2705 +a(g181 +V +tp2706 +a(g311 +V0 +tp2707 +a(g336 +V* +tp2708 +a(g12 +Vinc +p2709 +tp2710 +a(g194 +V) +tp2711 +a(g336 +V* +tp2712 +a(g69 +VS +tp2713 +a(g194 +V, +tp2714 +a(g181 +V +tp2715 +a(g303 +V0.45 +p2716 +tp2717 +a(g336 +V* +tp2718 +a(g69 +VS +tp2719 +a(g194 +V) +tp2720 +a(g336 +V* +tp2721 +a(g12 +Vmark +p2722 +tp2723 +a(g194 +V, +tp2724 +a(g181 +V +tp2725 +a(g12 +VActPen +p2726 +tp2727 +a(g194 +V) +tp2728 +a(g194 +V; +tp2729 +a(g181 +V +p2730 +tp2731 +a(g6 +V// # draw all intersections\u000a +p2732 +tp2733 +a(g181 +V +tp2734 +a(g15 +Vdraw +p2735 +tp2736 +a(g194 +V( +tp2737 +a(g15 +Vshift +p2738 +tp2739 +a(g194 +V( +tp2740 +a(g194 +V( +tp2741 +a(g336 +V- +tp2742 +a(g311 +V1 +tp2743 +a(g181 +V +tp2744 +a(g336 +V+ +tp2745 +a(g181 +V +tp2746 +a(g12 +Vgrad +p2747 +tp2748 +a(g336 +V* +tp2749 +a(g303 +V1.45 +p2750 +tp2751 +a(g181 +V +tp2752 +a(g336 +V+ +tp2753 +a(g181 +V +tp2754 +a(g311 +V0 +tp2755 +a(g336 +V* +tp2756 +a(g12 +Vinc +p2757 +tp2758 +a(g194 +V) +tp2759 +a(g336 +V* +tp2760 +a(g69 +VS +tp2761 +a(g194 +V, +tp2762 +a(g181 +V +tp2763 +a(g303 +V0.45 +p2764 +tp2765 +a(g336 +V* +tp2766 +a(g69 +VS +tp2767 +a(g194 +V) +tp2768 +a(g336 +V* +tp2769 +a(g12 +Vmark +p2770 +tp2771 +a(g194 +V, +tp2772 +a(g181 +V +tp2773 +a(g12 +VActPen +p2774 +tp2775 +a(g194 +V) +tp2776 +a(g194 +V; +tp2777 +a(g181 +V +p2778 +tp2779 +a(g6 +V// #\u000a +p2780 +tp2781 +a(g181 +V +tp2782 +a(g15 +Vdraw +p2783 +tp2784 +a(g194 +V( +tp2785 +a(g15 +Vshift +p2786 +tp2787 +a(g194 +V( +tp2788 +a(g194 +V( +tp2789 +a(g181 +V +tp2790 +a(g311 +V1 +tp2791 +a(g181 +V +tp2792 +a(g336 +V- +tp2793 +a(g181 +V +tp2794 +a(g12 +Vgrad +p2795 +tp2796 +a(g336 +V* +tp2797 +a(g303 +V0.55 +p2798 +tp2799 +a(g181 +V +tp2800 +a(g336 +V+ +tp2801 +a(g181 +V +tp2802 +a(g311 +V1 +tp2803 +a(g336 +V* +tp2804 +a(g12 +Vinc +p2805 +tp2806 +a(g194 +V) +tp2807 +a(g336 +V* +tp2808 +a(g69 +VS +tp2809 +a(g194 +V, +tp2810 +a(g181 +V +tp2811 +a(g303 +V0.45 +p2812 +tp2813 +a(g336 +V* +tp2814 +a(g69 +VS +tp2815 +a(g194 +V) +tp2816 +a(g336 +V* +tp2817 +a(g12 +Vmark +p2818 +tp2819 +a(g194 +V, +tp2820 +a(g181 +V +tp2821 +a(g12 +VActPen +p2822 +tp2823 +a(g194 +V) +tp2824 +a(g194 +V; +tp2825 +a(g181 +V +p2826 +tp2827 +a(g6 +V// #\u000a +p2828 +tp2829 +a(g181 +V +tp2830 +a(g15 +Vdraw +p2831 +tp2832 +a(g194 +V( +tp2833 +a(g15 +Vshift +p2834 +tp2835 +a(g194 +V( +tp2836 +a(g194 +V( +tp2837 +a(g181 +V +tp2838 +a(g311 +V1 +tp2839 +a(g181 +V +tp2840 +a(g336 +V- +tp2841 +a(g181 +V +tp2842 +a(g12 +Vgrad +p2843 +tp2844 +a(g336 +V* +tp2845 +a(g303 +V0.55 +p2846 +tp2847 +a(g181 +V +tp2848 +a(g336 +V+ +tp2849 +a(g181 +V +tp2850 +a(g311 +V2 +tp2851 +a(g336 +V* +tp2852 +a(g12 +Vinc +p2853 +tp2854 +a(g194 +V) +tp2855 +a(g336 +V* +tp2856 +a(g69 +VS +tp2857 +a(g194 +V, +tp2858 +a(g181 +V +tp2859 +a(g303 +V0.45 +p2860 +tp2861 +a(g336 +V* +tp2862 +a(g69 +VS +tp2863 +a(g194 +V) +tp2864 +a(g336 +V* +tp2865 +a(g12 +Vmark +p2866 +tp2867 +a(g194 +V, +tp2868 +a(g181 +V +tp2869 +a(g12 +VActPen +p2870 +tp2871 +a(g194 +V) +tp2872 +a(g194 +V; +tp2873 +a(g181 +V +p2874 +tp2875 +a(g6 +V// # \u000a +p2876 +tp2877 +a(g181 +V +tp2878 +a(g15 +Vdraw +p2879 +tp2880 +a(g194 +V( +tp2881 +a(g15 +Vshift +p2882 +tp2883 +a(g194 +V( +tp2884 +a(g194 +V( +tp2885 +a(g181 +V +p2886 +tp2887 +a(g12 +Vgrad +p2888 +tp2889 +a(g336 +V* +tp2890 +a(g303 +V0.45 +p2891 +tp2892 +a(g181 +V +tp2893 +a(g336 +V+ +tp2894 +a(g181 +V +tp2895 +a(g311 +V2 +tp2896 +a(g336 +V* +tp2897 +a(g12 +Vinc +p2898 +tp2899 +a(g194 +V) +tp2900 +a(g336 +V* +tp2901 +a(g69 +VS +tp2902 +a(g194 +V, +tp2903 +a(g181 +V +tp2904 +a(g303 +V0.45 +p2905 +tp2906 +a(g336 +V* +tp2907 +a(g69 +VS +tp2908 +a(g194 +V) +tp2909 +a(g336 +V* +tp2910 +a(g12 +Vmark +p2911 +tp2912 +a(g194 +V, +tp2913 +a(g181 +V +tp2914 +a(g12 +VActPen +p2915 +tp2916 +a(g194 +V) +tp2917 +a(g194 +V; +tp2918 +a(g181 +V +p2919 +tp2920 +a(g6 +V// # \u000a +p2921 +tp2922 +a(g181 +V +tp2923 +a(g15 +Vdraw +p2924 +tp2925 +a(g194 +V( +tp2926 +a(g15 +Vshift +p2927 +tp2928 +a(g194 +V( +tp2929 +a(g194 +V( +tp2930 +a(g181 +V +p2931 +tp2932 +a(g12 +Vgrad +p2933 +tp2934 +a(g336 +V* +tp2935 +a(g303 +V0.45 +p2936 +tp2937 +a(g181 +V +tp2938 +a(g336 +V+ +tp2939 +a(g181 +V +tp2940 +a(g311 +V3 +tp2941 +a(g336 +V* +tp2942 +a(g12 +Vinc +p2943 +tp2944 +a(g194 +V) +tp2945 +a(g336 +V* +tp2946 +a(g69 +VS +tp2947 +a(g194 +V, +tp2948 +a(g181 +V +tp2949 +a(g303 +V0.45 +p2950 +tp2951 +a(g336 +V* +tp2952 +a(g69 +VS +tp2953 +a(g194 +V) +tp2954 +a(g336 +V* +tp2955 +a(g12 +Vmark +p2956 +tp2957 +a(g194 +V, +tp2958 +a(g181 +V +tp2959 +a(g12 +VActPen +p2960 +tp2961 +a(g194 +V) +tp2962 +a(g194 +V; +tp2963 +a(g181 +V +p2964 +tp2965 +a(g6 +V// #\u000a +p2966 +tp2967 +a(g181 +V +tp2968 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.bas b/tests/examplefiles/output/test.bas new file mode 100644 index 0000000..5d62d99 --- /dev/null +++ b/tests/examplefiles/output/test.bas @@ -0,0 +1,2169 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Constant' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp15 +(dp16 +S'Comment' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +g14 +g15 +sS'Preproc' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g11 +g12 +((ltRp23 +sg14 +g18 +sbsS'Single' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g18 +sbsS'Multiline' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g18 +sbsg11 +g12 +((lp32 +g2 +(g3 +g4 +(g17 +S'Special' +p33 +ttRp34 +(dp35 +g11 +g12 +((ltRp36 +sg14 +g18 +sbag21 +ag25 +ag29 +atRp37 +sg33 +g34 +sbsS'Name' +p38 +g2 +(g3 +g4 +(g38 +ttRp39 +(dp40 +S'Function' +p41 +g2 +(g3 +g4 +(g38 +g41 +ttRp42 +(dp43 +g11 +g12 +((ltRp44 +sg14 +g39 +sbsS'Exception' +p45 +g2 +(g3 +g4 +(g38 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g39 +sbsS'Tag' +p49 +g2 +(g3 +g4 +(g38 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g39 +sbsg8 +g2 +(g3 +g4 +(g38 +g8 +ttRp53 +(dp54 +g11 +g12 +((ltRp55 +sg14 +g39 +sbsg14 +g15 +sS'Pseudo' +p56 +g2 +(g3 +g4 +(g38 +g56 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g39 +sbsS'Attribute' +p60 +g2 +(g3 +g4 +(g38 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g39 +sbsS'Label' +p64 +g2 +(g3 +g4 +(g38 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g39 +sbsS'Blubb' +p68 +g2 +(g3 +g4 +(g38 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g39 +sbsS'Entity' +p72 +g2 +(g3 +g4 +(g38 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g39 +sbsS'Builtin' +p76 +g2 +(g3 +g4 +(g38 +g76 +ttRp77 +(dp78 +g11 +g12 +((lp79 +g2 +(g3 +g4 +(g38 +g76 +g56 +ttRp80 +(dp81 +g11 +g12 +((ltRp82 +sg14 +g77 +sbatRp83 +sg56 +g80 +sg14 +g39 +sbsS'Other' +p84 +g2 +(g3 +g4 +(g38 +g84 +ttRp85 +(dp86 +g11 +g12 +((ltRp87 +sg14 +g39 +sbsS'Identifier' +p88 +g2 +(g3 +g4 +(g38 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g39 +sbsS'Variable' +p92 +g2 +(g3 +g4 +(g38 +g92 +ttRp93 +(dp94 +g14 +g39 +sS'Global' +p95 +g2 +(g3 +g4 +(g38 +g92 +g95 +ttRp96 +(dp97 +g11 +g12 +((ltRp98 +sg14 +g93 +sbsS'Instance' +p99 +g2 +(g3 +g4 +(g38 +g92 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g93 +sbsS'Anonymous' +p103 +g2 +(g3 +g4 +(g38 +g92 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g93 +sbsg11 +g12 +((lp107 +g104 +ag100 +ag96 +ag2 +(g3 +g4 +(g38 +g92 +S'Class' +p108 +ttRp109 +(dp110 +g11 +g12 +((ltRp111 +sg14 +g93 +sbatRp112 +sg108 +g109 +sbsg11 +g12 +((lp113 +g2 +(g3 +g4 +(g38 +S'Decorator' +p114 +ttRp115 +(dp116 +g11 +g12 +((ltRp117 +sg14 +g39 +sbag61 +ag53 +ag57 +ag2 +(g3 +g4 +(g38 +S'Namespace' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g39 +sbag89 +ag77 +ag93 +ag85 +ag69 +ag73 +ag42 +ag2 +(g3 +g4 +(g38 +S'Property' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g39 +sbag65 +ag50 +ag46 +ag2 +(g3 +g4 +(g38 +g108 +ttRp126 +(dp127 +g11 +g12 +((ltRp128 +sg14 +g39 +sbatRp129 +sg122 +g123 +sg108 +g126 +sg114 +g115 +sg118 +g119 +sbsg5 +g6 +sS'Generic' +p130 +g2 +(g3 +g4 +(g130 +ttRp131 +(dp132 +S'Prompt' +p133 +g2 +(g3 +g4 +(g130 +g133 +ttRp134 +(dp135 +g11 +g12 +((ltRp136 +sg14 +g131 +sbsg14 +g15 +sS'Deleted' +p137 +g2 +(g3 +g4 +(g130 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g131 +sbsS'Traceback' +p141 +g2 +(g3 +g4 +(g130 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g131 +sbsS'Emph' +p145 +g2 +(g3 +g4 +(g130 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g131 +sbsS'Output' +p149 +g2 +(g3 +g4 +(g130 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g131 +sbsS'Subheading' +p153 +g2 +(g3 +g4 +(g130 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g131 +sbsS'Error' +p157 +g2 +(g3 +g4 +(g130 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g131 +sbsg11 +g12 +((lp161 +g150 +ag146 +ag158 +ag154 +ag142 +ag138 +ag2 +(g3 +g4 +(g130 +S'Heading' +p162 +ttRp163 +(dp164 +g11 +g12 +((ltRp165 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Inserted' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Strong' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g131 +sbag134 +atRp174 +sg170 +g171 +sg166 +g167 +sg162 +g163 +sbsS'Text' +p175 +g2 +(g3 +g4 +(g175 +ttRp176 +(dp177 +g11 +g12 +((lp178 +g2 +(g3 +g4 +(g175 +S'Symbol' +p179 +ttRp180 +(dp181 +g11 +g12 +((ltRp182 +sg14 +g176 +sbag2 +(g3 +g4 +(g175 +S'Whitespace' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g176 +sbatRp187 +sg179 +g180 +sg183 +g184 +sg14 +g15 +sbsS'Punctuation' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g11 +g12 +((lp191 +g2 +(g3 +g4 +(g188 +S'Indicator' +p192 +ttRp193 +(dp194 +g11 +g12 +((ltRp195 +sg14 +g189 +sbatRp196 +sg192 +g193 +sg14 +g15 +sbsS'Token' +p197 +g15 +sS'Number' +p198 +g2 +(g3 +g4 +(S'Literal' +p199 +g198 +ttRp200 +(dp201 +S'Bin' +p202 +g2 +(g3 +g4 +(g199 +g198 +g202 +ttRp203 +(dp204 +g11 +g12 +((ltRp205 +sg14 +g200 +sbsS'Binary' +p206 +g2 +(g3 +g4 +(g199 +g198 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g200 +sbsg14 +g2 +(g3 +g4 +(g199 +ttRp210 +(dp211 +S'String' +p212 +g2 +(g3 +g4 +(g199 +g212 +ttRp213 +(dp214 +S'Regex' +p215 +g2 +(g3 +g4 +(g199 +g212 +g215 +ttRp216 +(dp217 +g11 +g12 +((ltRp218 +sg14 +g213 +sbsS'Interpol' +p219 +g2 +(g3 +g4 +(g199 +g212 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g213 +sbsS'Regexp' +p223 +g2 +(g3 +g4 +(g199 +g212 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g213 +sbsg14 +g210 +sS'Heredoc' +p227 +g2 +(g3 +g4 +(g199 +g212 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g213 +sbsS'Double' +p231 +g2 +(g3 +g4 +(g199 +g212 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g213 +sbsg179 +g2 +(g3 +g4 +(g199 +g212 +g179 +ttRp235 +(dp236 +g11 +g12 +((ltRp237 +sg14 +g213 +sbsS'Escape' +p238 +g2 +(g3 +g4 +(g199 +g212 +g238 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g213 +sbsS'Character' +p242 +g2 +(g3 +g4 +(g199 +g212 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g213 +sbsS'Interp' +p246 +g2 +(g3 +g4 +(g199 +g212 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g213 +sbsS'Backtick' +p250 +g2 +(g3 +g4 +(g199 +g212 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g213 +sbsS'Char' +p254 +g2 +(g3 +g4 +(g199 +g212 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g213 +sbsg24 +g2 +(g3 +g4 +(g199 +g212 +g24 +ttRp258 +(dp259 +g11 +g12 +((ltRp260 +sg14 +g213 +sbsg84 +g2 +(g3 +g4 +(g199 +g212 +g84 +ttRp261 +(dp262 +g11 +g12 +((ltRp263 +sg14 +g213 +sbsS'Doc' +p264 +g2 +(g3 +g4 +(g199 +g212 +g264 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g213 +sbsg11 +g12 +((lp268 +g261 +ag2 +(g3 +g4 +(g199 +g212 +S'Atom' +p269 +ttRp270 +(dp271 +g11 +g12 +((ltRp272 +sg14 +g213 +sbag232 +ag255 +ag247 +ag265 +ag228 +ag251 +ag220 +ag235 +ag224 +ag216 +ag258 +ag243 +ag239 +atRp273 +sg269 +g270 +sbsg14 +g15 +sg198 +g200 +sS'Scalar' +p274 +g2 +(g3 +g4 +(g199 +g274 +ttRp275 +(dp276 +g11 +g12 +((lp277 +g2 +(g3 +g4 +(g199 +g274 +S'Plain' +p278 +ttRp279 +(dp280 +g11 +g12 +((ltRp281 +sg14 +g275 +sbatRp282 +sg14 +g210 +sg278 +g279 +sbsg84 +g2 +(g3 +g4 +(g199 +g84 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g210 +sbsS'Date' +p286 +g2 +(g3 +g4 +(g199 +g286 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g210 +sbsg11 +g12 +((lp290 +g287 +ag213 +ag283 +ag200 +ag275 +atRp291 +sbsS'Decimal' +p292 +g2 +(g3 +g4 +(g199 +g198 +g292 +ttRp293 +(dp294 +g11 +g12 +((ltRp295 +sg14 +g200 +sbsS'Float' +p296 +g2 +(g3 +g4 +(g199 +g198 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g200 +sbsS'Hex' +p300 +g2 +(g3 +g4 +(g199 +g198 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g200 +sbsS'Integer' +p304 +g2 +(g3 +g4 +(g199 +g198 +g304 +ttRp305 +(dp306 +g11 +g12 +((lp307 +g2 +(g3 +g4 +(g199 +g198 +g304 +S'Long' +p308 +ttRp309 +(dp310 +g11 +g12 +((ltRp311 +sg14 +g305 +sbatRp312 +sg308 +g309 +sg14 +g200 +sbsS'Octal' +p313 +g2 +(g3 +g4 +(g199 +g198 +g313 +ttRp314 +(dp315 +g11 +g12 +((ltRp316 +sg14 +g200 +sbsg11 +g12 +((lp317 +g203 +ag207 +ag314 +ag293 +ag2 +(g3 +g4 +(g199 +g198 +S'Oct' +p318 +ttRp319 +(dp320 +g11 +g12 +((ltRp321 +sg14 +g200 +sbag305 +ag297 +ag301 +atRp322 +sg318 +g319 +sbsg199 +g210 +sg84 +g2 +(g3 +g4 +(g84 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g15 +sbsg157 +g2 +(g3 +g4 +(g157 +ttRp326 +(dp327 +g11 +g12 +((ltRp328 +sg14 +g15 +sbsS'Operator' +p329 +g2 +(g3 +g4 +(g329 +ttRp330 +(dp331 +g11 +g12 +((lp332 +g2 +(g3 +g4 +(g329 +S'Word' +p333 +ttRp334 +(dp335 +g11 +g12 +((ltRp336 +sg14 +g330 +sbatRp337 +sg333 +g334 +sg14 +g15 +sbsg11 +g12 +((lp338 +g18 +ag326 +ag131 +ag176 +ag39 +ag189 +ag6 +ag210 +ag330 +ag323 +atRp339 +sg212 +g213 +sbsg118 +g2 +(g3 +g4 +(g5 +g118 +ttRp340 +(dp341 +g11 +g12 +((ltRp342 +sg14 +g6 +sbsg56 +g2 +(g3 +g4 +(g5 +g56 +ttRp343 +(dp344 +g11 +g12 +((ltRp345 +sg14 +g6 +sbsS'Reserved' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Declaration' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsg92 +g2 +(g3 +g4 +(g5 +g92 +ttRp354 +(dp355 +g11 +g12 +((ltRp356 +sg14 +g6 +sbsg11 +g12 +((lp357 +g9 +ag347 +ag2 +(g3 +g4 +(g5 +S'Type' +p358 +ttRp359 +(dp360 +g11 +g12 +((ltRp361 +sg14 +g6 +sbag351 +ag354 +ag340 +ag343 +atRp362 +sg358 +g359 +sbVPublic +p363 +tp364 +a(g176 +V +tp365 +a(g6 +VClass +p366 +tp367 +a(g176 +V +tp368 +a(g126 +VForm1 +p369 +tp370 +a(g176 +V\u000a +p371 +tp372 +a(g6 +VInherits +p373 +tp374 +a(g176 +V +tp375 +a(g39 +VSystem +p376 +tp377 +a(g189 +V. +tp378 +a(g39 +VWindows +p379 +tp380 +a(g189 +V. +tp381 +a(g39 +VForms +p382 +tp383 +a(g189 +V. +tp384 +a(g39 +VForm +p385 +tp386 +a(g176 +V\u000a\u000a +p387 +tp388 +a(g6 +VPrivate +p389 +tp390 +a(g176 +V +tp391 +a(g39 +Vt +tp392 +a(g176 +V +tp393 +a(g334 +VAs +p394 +tp395 +a(g176 +V +tp396 +a(g6 +VNew +p397 +tp398 +a(g176 +V +tp399 +a(g39 +VSystem +p400 +tp401 +a(g189 +V. +tp402 +a(g39 +VTimers +p403 +tp404 +a(g189 +V. +tp405 +a(g39 +VTimer +p406 +tp407 +a(g189 +V( +tp408 +a(g305 +V2000 +p409 +tp410 +a(g189 +V) +tp411 +a(g176 +V\u000a\u000a +p412 +tp413 +a(g6 +VPrivate +p414 +tp415 +a(g176 +V +tp416 +a(g6 +VSub +p417 +tp418 +a(g176 +V +tp419 +a(g39 +VForm1_Load +p420 +tp421 +a(g189 +V( +tp422 +a(g6 +VByVal +p423 +tp424 +a(g176 +V +tp425 +a(g39 +Vsender +p426 +tp427 +a(g176 +V +tp428 +a(g334 +VAs +p429 +tp430 +a(g176 +V +tp431 +a(g359 +VObject +p432 +tp433 +a(g189 +V, +tp434 +a(g176 +V +tp435 +a(g39 +V_ +tp436 +a(g176 +V\u000a +p437 +tp438 +a(g6 +VByVal +p439 +tp440 +a(g176 +V +tp441 +a(g39 +Ve +tp442 +a(g176 +V +tp443 +a(g334 +VAs +p444 +tp445 +a(g176 +V +tp446 +a(g39 +VSystem +p447 +tp448 +a(g189 +V. +tp449 +a(g39 +VEventArgs +p450 +tp451 +a(g189 +V) +tp452 +a(g176 +V +tp453 +a(g6 +VHandles +p454 +tp455 +a(g176 +V +tp456 +a(g6 +VMyBase +p457 +tp458 +a(g189 +V. +tp459 +a(g39 +VLoad +p460 +tp461 +a(g176 +V\u000a \u000a +p462 +tp463 +a(g6 +VAddHandler +p464 +tp465 +a(g176 +V +tp466 +a(g39 +Vt +tp467 +a(g189 +V. +tp468 +a(g39 +VElapsed +p469 +tp470 +a(g189 +V, +tp471 +a(g176 +V +tp472 +a(g334 +VAddressOf +p473 +tp474 +a(g176 +V +tp475 +a(g39 +VTimerFired +p476 +tp477 +a(g176 +V\u000a +p478 +tp479 +a(g6 +VEnd +p480 +tp481 +a(g176 +V +tp482 +a(g6 +VSub +p483 +tp484 +a(g176 +V\u000a\u000a +p485 +tp486 +a(g6 +VPrivate +p487 +tp488 +a(g176 +V +tp489 +a(g6 +VSub +p490 +tp491 +a(g176 +V +tp492 +a(g39 +VbtnStart_Click +p493 +tp494 +a(g189 +V( +tp495 +a(g6 +VByVal +p496 +tp497 +a(g176 +V +tp498 +a(g39 +Vsender +p499 +tp500 +a(g176 +V +tp501 +a(g334 +VAs +p502 +tp503 +a(g176 +V +tp504 +a(g39 +VSystem +p505 +tp506 +a(g189 +V. +tp507 +a(g39 +VObject +p508 +tp509 +a(g189 +V, +tp510 +a(g176 +V +tp511 +a(g39 +V_ +tp512 +a(g176 +V \u000a +p513 +tp514 +a(g6 +VByVal +p515 +tp516 +a(g176 +V +tp517 +a(g39 +Ve +tp518 +a(g176 +V +tp519 +a(g334 +VAs +p520 +tp521 +a(g176 +V +tp522 +a(g39 +VSystem +p523 +tp524 +a(g189 +V. +tp525 +a(g39 +VEventArgs +p526 +tp527 +a(g189 +V) +tp528 +a(g176 +V +tp529 +a(g6 +VHandles +p530 +tp531 +a(g176 +V +tp532 +a(g39 +VbtnStart +p533 +tp534 +a(g189 +V. +tp535 +a(g39 +VClick +p536 +tp537 +a(g176 +V\u000a \u000a +p538 +tp539 +a(g39 +Vt +tp540 +a(g189 +V. +tp541 +a(g39 +VEnabled +p542 +tp543 +a(g176 +V +tp544 +a(g330 +V= +tp545 +a(g176 +V +tp546 +a(g6 +VTrue +p547 +tp548 +a(g176 +V\u000a +p549 +tp550 +a(g6 +VEnd +p551 +tp552 +a(g176 +V +tp553 +a(g6 +VSub +p554 +tp555 +a(g176 +V\u000a\u000a +p556 +tp557 +a(g6 +VPrivate +p558 +tp559 +a(g176 +V +tp560 +a(g6 +VSub +p561 +tp562 +a(g176 +V +tp563 +a(g39 +VbtnStop_Click +p564 +tp565 +a(g189 +V( +tp566 +a(g6 +VByVal +p567 +tp568 +a(g176 +V +tp569 +a(g39 +Vsender +p570 +tp571 +a(g176 +V +tp572 +a(g334 +VAs +p573 +tp574 +a(g176 +V +tp575 +a(g39 +VSystem +p576 +tp577 +a(g189 +V. +tp578 +a(g39 +VObject +p579 +tp580 +a(g189 +V, +tp581 +a(g176 +V +tp582 +a(g39 +V_ +tp583 +a(g176 +V\u000a +p584 +tp585 +a(g6 +VByVal +p586 +tp587 +a(g176 +V +tp588 +a(g39 +Ve +tp589 +a(g176 +V +tp590 +a(g334 +VAs +p591 +tp592 +a(g176 +V +tp593 +a(g39 +VSystem +p594 +tp595 +a(g189 +V. +tp596 +a(g39 +VEventArgs +p597 +tp598 +a(g189 +V) +tp599 +a(g176 +V +tp600 +a(g6 +VHandles +p601 +tp602 +a(g176 +V +tp603 +a(g39 +VbtnStop +p604 +tp605 +a(g189 +V. +tp606 +a(g39 +VClick +p607 +tp608 +a(g176 +V\u000a \u000a +p609 +tp610 +a(g39 +Vt +tp611 +a(g189 +V. +tp612 +a(g39 +VEnabled +p613 +tp614 +a(g176 +V +tp615 +a(g330 +V= +tp616 +a(g176 +V +tp617 +a(g6 +VFalse +p618 +tp619 +a(g176 +V\u000a +p620 +tp621 +a(g6 +VEnd +p622 +tp623 +a(g176 +V +tp624 +a(g6 +VSub +p625 +tp626 +a(g176 +V\u000a\u000a +p627 +tp628 +a(g6 +VPublic +p629 +tp630 +a(g176 +V +tp631 +a(g6 +VSub +p632 +tp633 +a(g176 +V +tp634 +a(g39 +VTimerFired +p635 +tp636 +a(g189 +V( +tp637 +a(g6 +VByVal +p638 +tp639 +a(g176 +V +tp640 +a(g39 +Vsender +p641 +tp642 +a(g176 +V +tp643 +a(g334 +VAs +p644 +tp645 +a(g176 +V +tp646 +a(g359 +VObject +p647 +tp648 +a(g189 +V, +tp649 +a(g176 +V +tp650 +a(g39 +V_ +tp651 +a(g176 +V \u000a +p652 +tp653 +a(g6 +VByVal +p654 +tp655 +a(g176 +V +tp656 +a(g39 +Ve +tp657 +a(g176 +V +tp658 +a(g334 +VAs +p659 +tp660 +a(g176 +V +tp661 +a(g39 +VSystem +p662 +tp663 +a(g189 +V. +tp664 +a(g39 +VTimers +p665 +tp666 +a(g189 +V. +tp667 +a(g39 +VElapsedEventArgs +p668 +tp669 +a(g189 +V) +tp670 +a(g176 +V\u000a \u000a +p671 +tp672 +a(g39 +VLabel1 +p673 +tp674 +a(g189 +V. +tp675 +a(g39 +VText +p676 +tp677 +a(g176 +V +tp678 +a(g330 +V= +tp679 +a(g176 +V +tp680 +a(g213 +V" +tp681 +a(g213 +VSignal Time = +p682 +tp683 +a(g213 +V" +tp684 +a(g176 +V +tp685 +a(g330 +V& +tp686 +a(g176 +V +tp687 +a(g39 +Ve +tp688 +a(g189 +V. +tp689 +a(g39 +VSignalTime +p690 +tp691 +a(g189 +V. +tp692 +a(g39 +VToString +p693 +tp694 +a(g176 +V\u000a +p695 +tp696 +a(g6 +VEnd +p697 +tp698 +a(g176 +V +tp699 +a(g6 +VSub +p700 +tp701 +a(g176 +V\u000a +tp702 +a(g6 +VEnd +p703 +tp704 +a(g176 +V +tp705 +a(g6 +VClass +p706 +tp707 +a(g176 +V\u000a +tp708 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.boo b/tests/examplefiles/output/test.boo new file mode 100644 index 0000000..b5a47a9 --- /dev/null +++ b/tests/examplefiles/output/test.boo @@ -0,0 +1,2298 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Constant' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp15 +(dp16 +S'Comment' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +g14 +g15 +sS'Preproc' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g11 +g12 +((ltRp23 +sg14 +g18 +sbsS'Single' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g18 +sbsS'Multiline' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g18 +sbsg11 +g12 +((lp32 +g2 +(g3 +g4 +(g17 +S'Special' +p33 +ttRp34 +(dp35 +g11 +g12 +((ltRp36 +sg14 +g18 +sbag21 +ag25 +ag29 +atRp37 +sg33 +g34 +sbsS'Name' +p38 +g2 +(g3 +g4 +(g38 +ttRp39 +(dp40 +S'Function' +p41 +g2 +(g3 +g4 +(g38 +g41 +ttRp42 +(dp43 +g11 +g12 +((ltRp44 +sg14 +g39 +sbsS'Exception' +p45 +g2 +(g3 +g4 +(g38 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g39 +sbsS'Tag' +p49 +g2 +(g3 +g4 +(g38 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g39 +sbsg8 +g2 +(g3 +g4 +(g38 +g8 +ttRp53 +(dp54 +g11 +g12 +((ltRp55 +sg14 +g39 +sbsg14 +g15 +sS'Pseudo' +p56 +g2 +(g3 +g4 +(g38 +g56 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g39 +sbsS'Attribute' +p60 +g2 +(g3 +g4 +(g38 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g39 +sbsS'Label' +p64 +g2 +(g3 +g4 +(g38 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g39 +sbsS'Blubb' +p68 +g2 +(g3 +g4 +(g38 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g39 +sbsS'Entity' +p72 +g2 +(g3 +g4 +(g38 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g39 +sbsS'Builtin' +p76 +g2 +(g3 +g4 +(g38 +g76 +ttRp77 +(dp78 +g11 +g12 +((lp79 +g2 +(g3 +g4 +(g38 +g76 +g56 +ttRp80 +(dp81 +g11 +g12 +((ltRp82 +sg14 +g77 +sbatRp83 +sg56 +g80 +sg14 +g39 +sbsS'Other' +p84 +g2 +(g3 +g4 +(g38 +g84 +ttRp85 +(dp86 +g11 +g12 +((ltRp87 +sg14 +g39 +sbsS'Identifier' +p88 +g2 +(g3 +g4 +(g38 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g39 +sbsS'Variable' +p92 +g2 +(g3 +g4 +(g38 +g92 +ttRp93 +(dp94 +g14 +g39 +sS'Global' +p95 +g2 +(g3 +g4 +(g38 +g92 +g95 +ttRp96 +(dp97 +g11 +g12 +((ltRp98 +sg14 +g93 +sbsS'Instance' +p99 +g2 +(g3 +g4 +(g38 +g92 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g93 +sbsS'Anonymous' +p103 +g2 +(g3 +g4 +(g38 +g92 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g93 +sbsg11 +g12 +((lp107 +g104 +ag100 +ag96 +ag2 +(g3 +g4 +(g38 +g92 +S'Class' +p108 +ttRp109 +(dp110 +g11 +g12 +((ltRp111 +sg14 +g93 +sbatRp112 +sg108 +g109 +sbsg11 +g12 +((lp113 +g2 +(g3 +g4 +(g38 +S'Decorator' +p114 +ttRp115 +(dp116 +g11 +g12 +((ltRp117 +sg14 +g39 +sbag61 +ag53 +ag57 +ag2 +(g3 +g4 +(g38 +S'Namespace' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g39 +sbag89 +ag77 +ag93 +ag85 +ag69 +ag73 +ag42 +ag2 +(g3 +g4 +(g38 +S'Property' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g39 +sbag65 +ag50 +ag46 +ag2 +(g3 +g4 +(g38 +g108 +ttRp126 +(dp127 +g11 +g12 +((ltRp128 +sg14 +g39 +sbatRp129 +sg122 +g123 +sg108 +g126 +sg114 +g115 +sg118 +g119 +sbsg5 +g6 +sS'Generic' +p130 +g2 +(g3 +g4 +(g130 +ttRp131 +(dp132 +S'Prompt' +p133 +g2 +(g3 +g4 +(g130 +g133 +ttRp134 +(dp135 +g11 +g12 +((ltRp136 +sg14 +g131 +sbsg14 +g15 +sS'Deleted' +p137 +g2 +(g3 +g4 +(g130 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g131 +sbsS'Traceback' +p141 +g2 +(g3 +g4 +(g130 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g131 +sbsS'Emph' +p145 +g2 +(g3 +g4 +(g130 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g131 +sbsS'Output' +p149 +g2 +(g3 +g4 +(g130 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g131 +sbsS'Subheading' +p153 +g2 +(g3 +g4 +(g130 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g131 +sbsS'Error' +p157 +g2 +(g3 +g4 +(g130 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g131 +sbsg11 +g12 +((lp161 +g150 +ag146 +ag158 +ag154 +ag142 +ag138 +ag2 +(g3 +g4 +(g130 +S'Heading' +p162 +ttRp163 +(dp164 +g11 +g12 +((ltRp165 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Inserted' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Strong' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g131 +sbag134 +atRp174 +sg170 +g171 +sg166 +g167 +sg162 +g163 +sbsS'Text' +p175 +g2 +(g3 +g4 +(g175 +ttRp176 +(dp177 +g11 +g12 +((lp178 +g2 +(g3 +g4 +(g175 +S'Symbol' +p179 +ttRp180 +(dp181 +g11 +g12 +((ltRp182 +sg14 +g176 +sbag2 +(g3 +g4 +(g175 +S'Whitespace' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g176 +sbatRp187 +sg179 +g180 +sg183 +g184 +sg14 +g15 +sbsS'Punctuation' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g11 +g12 +((lp191 +g2 +(g3 +g4 +(g188 +S'Indicator' +p192 +ttRp193 +(dp194 +g11 +g12 +((ltRp195 +sg14 +g189 +sbatRp196 +sg192 +g193 +sg14 +g15 +sbsS'Token' +p197 +g15 +sS'Number' +p198 +g2 +(g3 +g4 +(S'Literal' +p199 +g198 +ttRp200 +(dp201 +S'Bin' +p202 +g2 +(g3 +g4 +(g199 +g198 +g202 +ttRp203 +(dp204 +g11 +g12 +((ltRp205 +sg14 +g200 +sbsS'Binary' +p206 +g2 +(g3 +g4 +(g199 +g198 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g200 +sbsg14 +g2 +(g3 +g4 +(g199 +ttRp210 +(dp211 +S'String' +p212 +g2 +(g3 +g4 +(g199 +g212 +ttRp213 +(dp214 +S'Regex' +p215 +g2 +(g3 +g4 +(g199 +g212 +g215 +ttRp216 +(dp217 +g11 +g12 +((ltRp218 +sg14 +g213 +sbsS'Interpol' +p219 +g2 +(g3 +g4 +(g199 +g212 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g213 +sbsS'Regexp' +p223 +g2 +(g3 +g4 +(g199 +g212 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g213 +sbsg14 +g210 +sS'Heredoc' +p227 +g2 +(g3 +g4 +(g199 +g212 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g213 +sbsS'Double' +p231 +g2 +(g3 +g4 +(g199 +g212 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g213 +sbsg179 +g2 +(g3 +g4 +(g199 +g212 +g179 +ttRp235 +(dp236 +g11 +g12 +((ltRp237 +sg14 +g213 +sbsS'Escape' +p238 +g2 +(g3 +g4 +(g199 +g212 +g238 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g213 +sbsS'Character' +p242 +g2 +(g3 +g4 +(g199 +g212 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g213 +sbsS'Interp' +p246 +g2 +(g3 +g4 +(g199 +g212 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g213 +sbsS'Backtick' +p250 +g2 +(g3 +g4 +(g199 +g212 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g213 +sbsS'Char' +p254 +g2 +(g3 +g4 +(g199 +g212 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g213 +sbsg24 +g2 +(g3 +g4 +(g199 +g212 +g24 +ttRp258 +(dp259 +g11 +g12 +((ltRp260 +sg14 +g213 +sbsg84 +g2 +(g3 +g4 +(g199 +g212 +g84 +ttRp261 +(dp262 +g11 +g12 +((ltRp263 +sg14 +g213 +sbsS'Doc' +p264 +g2 +(g3 +g4 +(g199 +g212 +g264 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g213 +sbsg11 +g12 +((lp268 +g261 +ag2 +(g3 +g4 +(g199 +g212 +S'Atom' +p269 +ttRp270 +(dp271 +g11 +g12 +((ltRp272 +sg14 +g213 +sbag232 +ag255 +ag247 +ag265 +ag228 +ag251 +ag220 +ag235 +ag224 +ag216 +ag258 +ag243 +ag239 +atRp273 +sg269 +g270 +sbsg14 +g15 +sg198 +g200 +sS'Scalar' +p274 +g2 +(g3 +g4 +(g199 +g274 +ttRp275 +(dp276 +g11 +g12 +((lp277 +g2 +(g3 +g4 +(g199 +g274 +S'Plain' +p278 +ttRp279 +(dp280 +g11 +g12 +((ltRp281 +sg14 +g275 +sbatRp282 +sg14 +g210 +sg278 +g279 +sbsg84 +g2 +(g3 +g4 +(g199 +g84 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g210 +sbsS'Date' +p286 +g2 +(g3 +g4 +(g199 +g286 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g210 +sbsg11 +g12 +((lp290 +g287 +ag213 +ag283 +ag200 +ag275 +atRp291 +sbsS'Decimal' +p292 +g2 +(g3 +g4 +(g199 +g198 +g292 +ttRp293 +(dp294 +g11 +g12 +((ltRp295 +sg14 +g200 +sbsS'Float' +p296 +g2 +(g3 +g4 +(g199 +g198 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g200 +sbsS'Hex' +p300 +g2 +(g3 +g4 +(g199 +g198 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g200 +sbsS'Integer' +p304 +g2 +(g3 +g4 +(g199 +g198 +g304 +ttRp305 +(dp306 +g11 +g12 +((lp307 +g2 +(g3 +g4 +(g199 +g198 +g304 +S'Long' +p308 +ttRp309 +(dp310 +g11 +g12 +((ltRp311 +sg14 +g305 +sbatRp312 +sg308 +g309 +sg14 +g200 +sbsS'Octal' +p313 +g2 +(g3 +g4 +(g199 +g198 +g313 +ttRp314 +(dp315 +g11 +g12 +((ltRp316 +sg14 +g200 +sbsg11 +g12 +((lp317 +g203 +ag207 +ag314 +ag293 +ag2 +(g3 +g4 +(g199 +g198 +S'Oct' +p318 +ttRp319 +(dp320 +g11 +g12 +((ltRp321 +sg14 +g200 +sbag305 +ag297 +ag301 +atRp322 +sg318 +g319 +sbsg199 +g210 +sg84 +g2 +(g3 +g4 +(g84 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g15 +sbsg157 +g2 +(g3 +g4 +(g157 +ttRp326 +(dp327 +g11 +g12 +((ltRp328 +sg14 +g15 +sbsS'Operator' +p329 +g2 +(g3 +g4 +(g329 +ttRp330 +(dp331 +g11 +g12 +((lp332 +g2 +(g3 +g4 +(g329 +S'Word' +p333 +ttRp334 +(dp335 +g11 +g12 +((ltRp336 +sg14 +g330 +sbatRp337 +sg333 +g334 +sg14 +g15 +sbsg11 +g12 +((lp338 +g18 +ag326 +ag131 +ag176 +ag39 +ag189 +ag6 +ag210 +ag330 +ag323 +atRp339 +sg212 +g213 +sbsg118 +g2 +(g3 +g4 +(g5 +g118 +ttRp340 +(dp341 +g11 +g12 +((ltRp342 +sg14 +g6 +sbsg56 +g2 +(g3 +g4 +(g5 +g56 +ttRp343 +(dp344 +g11 +g12 +((ltRp345 +sg14 +g6 +sbsS'Reserved' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Declaration' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsg92 +g2 +(g3 +g4 +(g5 +g92 +ttRp354 +(dp355 +g11 +g12 +((ltRp356 +sg14 +g6 +sbsg11 +g12 +((lp357 +g9 +ag347 +ag2 +(g3 +g4 +(g5 +S'Type' +p358 +ttRp359 +(dp360 +g11 +g12 +((ltRp361 +sg14 +g6 +sbag351 +ag354 +ag340 +ag343 +atRp362 +sg358 +g359 +sbVimport +p363 +tp364 +a(g176 +V +tp365 +a(g39 +VSystem +p366 +tp367 +a(g176 +V\u000a +tp368 +a(g6 +Vimport +p369 +tp370 +a(g176 +V +tp371 +a(g39 +VBoo +p372 +tp373 +a(g189 +V. +tp374 +a(g39 +VLang +p375 +tp376 +a(g189 +V. +tp377 +a(g39 +VInterpreter +p378 +tp379 +a(g176 +V +tp380 +a(g6 +Vfrom +p381 +tp382 +a(g176 +V +tp383 +a(g39 +VBoo +p384 +tp385 +a(g189 +V. +tp386 +a(g39 +VLang +p387 +tp388 +a(g189 +V. +tp389 +a(g39 +VInterpreter +p390 +tp391 +a(g176 +V\u000a\u000a +p392 +tp393 +a(g6 +Vclass +p394 +tp395 +a(g176 +V +tp396 +a(g126 +VObjectInterpreter +p397 +tp398 +a(g189 +V( +tp399 +a(g39 +VAbstractInterpreter +p400 +tp401 +a(g189 +V) +tp402 +a(g189 +V: +tp403 +a(g176 +V\u000a\u000a +p404 +tp405 +a(g39 +V_context +p406 +tp407 +a(g176 +V +tp408 +a(g6 +Vas +p409 +tp410 +a(g176 +V +tp411 +a(g39 +Vobject +p412 +tp413 +a(g176 +V\u000a\u000a +p414 +tp415 +a(g189 +V[ +tp416 +a(g77 +Vgetter +p417 +tp418 +a(g189 +V( +tp419 +a(g39 +VValue +p420 +tp421 +a(g189 +V) +tp422 +a(g189 +V] +tp423 +a(g176 +V\u000a +p424 +tp425 +a(g39 +V_value +p426 +tp427 +a(g176 +V +tp428 +a(g6 +Vas +p429 +tp430 +a(g176 +V +tp431 +a(g39 +Vobject +p432 +tp433 +a(g176 +V\u000a\u000a +p434 +tp435 +a(g6 +Vdef +p436 +tp437 +a(g176 +V +tp438 +a(g42 +Vconstructor +p439 +tp440 +a(g189 +V( +tp441 +a(g39 +Vcontext +p442 +tp443 +a(g189 +V) +tp444 +a(g189 +V: +tp445 +a(g176 +V\u000a +p446 +tp447 +a(g39 +V_context +p448 +tp449 +a(g176 +V +tp450 +a(g330 +V= +tp451 +a(g176 +V +tp452 +a(g39 +Vcontext +p453 +tp454 +a(g176 +V\u000a +p455 +tp456 +a(g77 +Vself +p457 +tp458 +a(g189 +V. +tp459 +a(g39 +VRememberLastValue +p460 +tp461 +a(g176 +V +tp462 +a(g330 +V= +tp463 +a(g176 +V +tp464 +a(g77 +Vtrue +p465 +tp466 +a(g176 +V\u000a\u000a +p467 +tp468 +a(g6 +Voverride +p469 +tp470 +a(g176 +V +tp471 +a(g6 +Vdef +p472 +tp473 +a(g176 +V +tp474 +a(g42 +VLookup +p475 +tp476 +a(g189 +V( +tp477 +a(g39 +Vname +p478 +tp479 +a(g176 +V +tp480 +a(g6 +Vas +p481 +tp482 +a(g176 +V +tp483 +a(g39 +Vstring +p484 +tp485 +a(g189 +V) +tp486 +a(g189 +V: +tp487 +a(g176 +V\u000a +p488 +tp489 +a(g77 +Vproperty +p490 +tp491 +a(g176 +V +tp492 +a(g330 +V= +tp493 +a(g176 +V +tp494 +a(g39 +V_context +p495 +tp496 +a(g189 +V. +tp497 +a(g39 +VGetType +p498 +tp499 +a(g189 +V( +tp500 +a(g189 +V) +tp501 +a(g189 +V. +tp502 +a(g39 +VGetProperty +p503 +tp504 +a(g189 +V( +tp505 +a(g39 +Vname +p506 +tp507 +a(g189 +V) +tp508 +a(g176 +V\u000a +p509 +tp510 +a(g6 +Vreturn +p511 +tp512 +a(g176 +V +tp513 +a(g77 +Vproperty +p514 +tp515 +a(g189 +V. +tp516 +a(g39 +VPropertyType +p517 +tp518 +a(g176 +V +tp519 +a(g6 +Vif +p520 +tp521 +a(g176 +V +tp522 +a(g77 +Vproperty +p523 +tp524 +a(g176 +V +tp525 +a(g334 +Vis +p526 +tp527 +a(g176 +V +tp528 +a(g334 +Vnot +p529 +tp530 +a(g176 +V +tp531 +a(g77 +Vnull +p532 +tp533 +a(g176 +V\u000a\u000a +p534 +tp535 +a(g6 +Voverride +p536 +tp537 +a(g176 +V +tp538 +a(g6 +Vdef +p539 +tp540 +a(g176 +V +tp541 +a(g42 +VGetValue +p542 +tp543 +a(g189 +V( +tp544 +a(g39 +Vname +p545 +tp546 +a(g176 +V +tp547 +a(g6 +Vas +p548 +tp549 +a(g176 +V +tp550 +a(g39 +Vstring +p551 +tp552 +a(g189 +V) +tp553 +a(g189 +V: +tp554 +a(g176 +V\u000a +p555 +tp556 +a(g6 +Vreturn +p557 +tp558 +a(g176 +V +tp559 +a(g39 +V_context +p560 +tp561 +a(g189 +V. +tp562 +a(g39 +VGetType +p563 +tp564 +a(g189 +V( +tp565 +a(g189 +V) +tp566 +a(g189 +V. +tp567 +a(g39 +VGetProperty +p568 +tp569 +a(g189 +V( +tp570 +a(g39 +Vname +p571 +tp572 +a(g189 +V) +tp573 +a(g189 +V. +tp574 +a(g39 +VGetValue +p575 +tp576 +a(g189 +V( +tp577 +a(g176 +V\u000a +p578 +tp579 +a(g39 +V_context +p580 +tp581 +a(g189 +V, +tp582 +a(g176 +V +tp583 +a(g77 +Vnull +p584 +tp585 +a(g189 +V) +tp586 +a(g176 +V\u000a\u000a +p587 +tp588 +a(g6 +Voverride +p589 +tp590 +a(g176 +V +tp591 +a(g6 +Vdef +p592 +tp593 +a(g176 +V +tp594 +a(g42 +VSetLastValue +p595 +tp596 +a(g189 +V( +tp597 +a(g39 +Vvalue +p598 +tp599 +a(g189 +V) +tp600 +a(g189 +V: +tp601 +a(g176 +V\u000a +p602 +tp603 +a(g39 +V_value +p604 +tp605 +a(g176 +V +tp606 +a(g330 +V= +tp607 +a(g176 +V +tp608 +a(g39 +Vvalue +p609 +tp610 +a(g176 +V\u000a\u000a +p611 +tp612 +a(g6 +Voverride +p613 +tp614 +a(g176 +V +tp615 +a(g6 +Vdef +p616 +tp617 +a(g176 +V +tp618 +a(g42 +VSetValue +p619 +tp620 +a(g189 +V( +tp621 +a(g39 +Vname +p622 +tp623 +a(g176 +V +tp624 +a(g6 +Vas +p625 +tp626 +a(g176 +V +tp627 +a(g39 +Vstring +p628 +tp629 +a(g189 +V, +tp630 +a(g176 +V +tp631 +a(g39 +Vvalue +p632 +tp633 +a(g189 +V) +tp634 +a(g189 +V: +tp635 +a(g176 +V\u000a +p636 +tp637 +a(g6 +Vraise +p638 +tp639 +a(g176 +V +tp640 +a(g39 +VInvalidOperationException +p641 +tp642 +a(g189 +V( +tp643 +a(g189 +V) +tp644 +a(g176 +V\u000a\u000a +p645 +tp646 +a(g6 +Voverride +p647 +tp648 +a(g176 +V +tp649 +a(g6 +Vdef +p650 +tp651 +a(g176 +V +tp652 +a(g42 +VDeclare +p653 +tp654 +a(g189 +V( +tp655 +a(g39 +Vname +p656 +tp657 +a(g176 +V +tp658 +a(g6 +Vas +p659 +tp660 +a(g176 +V +tp661 +a(g39 +Vstring +p662 +tp663 +a(g189 +V, +tp664 +a(g176 +V +tp665 +a(g39 +Vtype +p666 +tp667 +a(g176 +V +tp668 +a(g6 +Vas +p669 +tp670 +a(g176 +V +tp671 +a(g39 +VType +p672 +tp673 +a(g189 +V) +tp674 +a(g189 +V: +tp675 +a(g176 +V\u000a +p676 +tp677 +a(g6 +Vraise +p678 +tp679 +a(g176 +V +tp680 +a(g39 +VInvalidOperationException +p681 +tp682 +a(g189 +V( +tp683 +a(g189 +V) +tp684 +a(g176 +V\u000a\u000a +p685 +tp686 +a(g6 +Vclass +p687 +tp688 +a(g176 +V +tp689 +a(g126 +VPerson +p690 +tp691 +a(g189 +V: +tp692 +a(g176 +V\u000a +p693 +tp694 +a(g189 +V[ +tp695 +a(g77 +Vproperty +p696 +tp697 +a(g189 +V( +tp698 +a(g39 +VFirstName +p699 +tp700 +a(g189 +V) +tp701 +a(g189 +V] +tp702 +a(g176 +V\u000a +p703 +tp704 +a(g39 +V_fname +p705 +tp706 +a(g176 +V +tp707 +a(g6 +Vas +p708 +tp709 +a(g176 +V +tp710 +a(g39 +Vstring +p711 +tp712 +a(g176 +V +tp713 +a(g330 +V= +tp714 +a(g176 +V +tp715 +a(g232 +V"" +p716 +tp717 +a(g176 +V\u000a\u000a +p718 +tp719 +a(g39 +Vp +tp720 +a(g176 +V +tp721 +a(g330 +V= +tp722 +a(g176 +V +tp723 +a(g39 +VPerson +p724 +tp725 +a(g189 +V( +tp726 +a(g39 +VFirstName +p727 +tp728 +a(g189 +V: +tp729 +a(g176 +V +tp730 +a(g232 +V"Homer" +p731 +tp732 +a(g189 +V) +tp733 +a(g176 +V\u000a +tp734 +a(g39 +Vi +tp735 +a(g176 +V +tp736 +a(g330 +V= +tp737 +a(g176 +V +tp738 +a(g39 +VObjectInterpreter +p739 +tp740 +a(g189 +V( +tp741 +a(g39 +Vp +tp742 +a(g189 +V) +tp743 +a(g176 +V\u000a +tp744 +a(g39 +Vi +tp745 +a(g189 +V. +tp746 +a(g39 +VEval +p747 +tp748 +a(g189 +V( +tp749 +a(g258 +V'"Hello, ${FirstName.ToUpper()}!"' +p750 +tp751 +a(g189 +V) +tp752 +a(g176 +V\u000a +tp753 +a(g77 +Vprint +p754 +tp755 +a(g176 +V +tp756 +a(g39 +Vi +tp757 +a(g189 +V. +tp758 +a(g39 +VValue +p759 +tp760 +a(g176 +V\u000a +tp761 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.cs b/tests/examplefiles/output/test.cs new file mode 100644 index 0000000..45ac78b --- /dev/null +++ b/tests/examplefiles/output/test.cs @@ -0,0 +1,8843 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV////////////////////////////////////////////////////////////////////////////////\u000a +p367 +tp368 +a(g7 +V// //\u000a +p369 +tp370 +a(g7 +V// MIT X11 license, Copyright (c) 2005-2006 by: //\u000a +p371 +tp372 +a(g7 +V// //\u000a +p373 +tp374 +a(g7 +V// Authors: //\u000a +p375 +tp376 +a(g7 +V// Michael Dominic K. //\u000a +p377 +tp378 +a(g7 +V// //\u000a +p379 +tp380 +a(g7 +V// Permission is hereby granted, free of charge, to any person obtaining a //\u000a +p381 +tp382 +a(g7 +V// copy of this software and associated documentation files (the "Software"), //\u000a +p383 +tp384 +a(g7 +V// to deal in the Software without restriction, including without limitation //\u000a +p385 +tp386 +a(g7 +V// the rights to use, copy, modify, merge, publish, distribute, sublicense, //\u000a +p387 +tp388 +a(g7 +V// and/or sell copies of the Software, and to permit persons to whom the //\u000a +p389 +tp390 +a(g7 +V// Software is furnished to do so, subject to the following conditions: //\u000a +p391 +tp392 +a(g7 +V// //\u000a +p393 +tp394 +a(g7 +V// The above copyright notice and this permission notice shall be included //\u000a +p395 +tp396 +a(g7 +V// in all copies or substantial portions of the Software. //\u000a +p397 +tp398 +a(g7 +V// //\u000a +p399 +tp400 +a(g7 +V// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS //\u000a +p401 +tp402 +a(g7 +V// OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF //\u000a +p403 +tp404 +a(g7 +V// MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN //\u000a +p405 +tp406 +a(g7 +V// NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, //\u000a +p407 +tp408 +a(g7 +V// DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR //\u000a +p409 +tp410 +a(g7 +V// OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE //\u000a +p411 +tp412 +a(g7 +V// USE OR OTHER DEALINGS IN THE SOFTWARE. //\u000a +p413 +tp414 +a(g7 +V// //\u000a +p415 +tp416 +a(g7 +V////////////////////////////////////////////////////////////////////////////////\u000a +p417 +tp418 +a(g189 +V\u000a +tp419 +a(g111 +Vnamespace +p420 +tp421 +a(g189 +V +tp422 +a(g99 +VDiva.Core +p423 +tp424 +a(g189 +V +tp425 +a(g202 +V{ +tp426 +a(g189 +V\u000a +tp427 +a(g189 +V +p428 +tp429 +a(g189 +V\u000a +tp430 +a(g189 +V +p431 +tp432 +a(g111 +Vusing +p433 +tp434 +a(g189 +V +tp435 +a(g99 +VSystem +p436 +tp437 +a(g202 +V; +tp438 +a(g189 +V\u000a +tp439 +a(g189 +V +p440 +tp441 +a(g111 +Vusing +p442 +tp443 +a(g189 +V +tp444 +a(g99 +VWidgets +p445 +tp446 +a(g202 +V; +tp447 +a(g189 +V\u000a +tp448 +a(g189 +V +p449 +tp450 +a(g111 +Vusing +p451 +tp452 +a(g189 +V +tp453 +a(g99 +VSystem.Xml +p454 +tp455 +a(g202 +V; +tp456 +a(g189 +V\u000a +tp457 +a(g189 +V +p458 +tp459 +a(g111 +Vusing +p460 +tp461 +a(g189 +V +tp462 +a(g99 +VUtil +p463 +tp464 +a(g202 +V; +tp465 +a(g189 +V\u000a +tp466 +a(g189 +V +p467 +tp468 +a(g111 +Vusing +p469 +tp470 +a(g189 +V +tp471 +a(g99 +VSystem.Collections.Generic +p472 +tp473 +a(g202 +V; +tp474 +a(g189 +V\u000a +tp475 +a(g189 +V +p476 +tp477 +a(g111 +Vusing +p478 +tp479 +a(g189 +V +tp480 +a(g99 +VSystem.Collections +p481 +tp482 +a(g202 +V; +tp483 +a(g189 +V\u000a +tp484 +a(g189 +V +p485 +tp486 +a(g111 +Vusing +p487 +tp488 +a(g189 +V +tp489 +a(g99 +VBasics +p490 +tp491 +a(g202 +V; +tp492 +a(g189 +V\u000a +tp493 +a(g189 +V\u000a +tp494 +a(g189 +V +p495 +tp496 +a(g111 +Vpublic +p497 +tp498 +a(g189 +V +tp499 +a(g111 +Vclass +p500 +tp501 +a(g189 +V +tp502 +a(g106 +VOpenerTask +p503 +tp504 +a(g189 +V +tp505 +a(g202 +V: +tp506 +a(g189 +V +tp507 +a(g18 +VTask +p508 +tp509 +a(g202 +V, +tp510 +a(g189 +V +tp511 +a(g18 +VIBoilProvider +p512 +tp513 +a(g189 +V +tp514 +a(g202 +V{ +tp515 +a(g189 +V\u000a +tp516 +a(g189 +V\u000a +tp517 +a(g189 +V +p518 +tp519 +a(g7 +V// Private structs ////////////////////////////////////////////\u000a +p520 +tp521 +a(g189 +V +p522 +tp523 +a(g189 +V\u000a +tp524 +a(g189 +V +p525 +tp526 +a(g111 +Vstruct +p527 +tp528 +a(g189 +V +tp529 +a(g106 +VObjectInfo +p530 +tp531 +a(g189 +V +tp532 +a(g202 +V{ +tp533 +a(g189 +V\u000a +tp534 +a(g189 +V\u000a +tp535 +a(g189 +V +p536 +tp537 +a(g111 +Vpublic +p538 +tp539 +a(g189 +V +tp540 +a(g18 +VObjectContainer +p541 +tp542 +a(g189 +V +tp543 +a(g18 +VContainer +p544 +tp545 +a(g202 +V; +tp546 +a(g189 +V\u000a +tp547 +a(g189 +V +p548 +tp549 +a(g111 +Vpublic +p550 +tp551 +a(g189 +V +tp552 +a(g139 +Vint +p553 +tp554 +a(g202 +V[ +tp555 +a(g202 +V] +tp556 +a(g189 +V +tp557 +a(g18 +VDepends +p558 +tp559 +a(g202 +V; +tp560 +a(g189 +V\u000a +tp561 +a(g189 +V +p562 +tp563 +a(g111 +Vpublic +p564 +tp565 +a(g189 +V +tp566 +a(g139 +Vstring +p567 +tp568 +a(g189 +V +tp569 +a(g18 +VSystemType +p570 +tp571 +a(g202 +V; +tp572 +a(g189 +V\u000a +tp573 +a(g189 +V +p574 +tp575 +a(g111 +Vpublic +p576 +tp577 +a(g189 +V +tp578 +a(g139 +Vint +p579 +tp580 +a(g189 +V +tp581 +a(g18 +VRefId +p582 +tp583 +a(g202 +V; +tp584 +a(g189 +V\u000a +tp585 +a(g189 +V +p586 +tp587 +a(g189 +V\u000a +tp588 +a(g189 +V +p589 +tp590 +a(g358 +V/* CONSTRUCTOR */ +p591 +tp592 +a(g189 +V\u000a +tp593 +a(g189 +V +p594 +tp595 +a(g111 +Vpublic +p596 +tp597 +a(g189 +V +tp598 +a(g21 +VObjectInfo +p599 +tp600 +a(g189 +V +tp601 +a(g202 +V( +tp602 +a(g18 +VObjectContainer +p603 +tp604 +a(g189 +V +tp605 +a(g18 +Vcontainer +p606 +tp607 +a(g202 +V) +tp608 +a(g189 +V\u000a +tp609 +a(g189 +V +p610 +tp611 +a(g202 +V{ +tp612 +a(g189 +V\u000a +tp613 +a(g189 +V +p614 +tp615 +a(g18 +VContainer +p616 +tp617 +a(g189 +V +tp618 +a(g202 +V= +tp619 +a(g189 +V +tp620 +a(g18 +Vcontainer +p621 +tp622 +a(g202 +V; +tp623 +a(g189 +V\u000a +tp624 +a(g189 +V +p625 +tp626 +a(g18 +VDepends +p627 +tp628 +a(g189 +V +tp629 +a(g202 +V= +tp630 +a(g189 +V +tp631 +a(g18 +Vcontainer +p632 +tp633 +a(g202 +V. +tp634 +a(g18 +VDepends +p635 +tp636 +a(g202 +V. +tp637 +a(g18 +VToArray +p638 +tp639 +a(g189 +V +tp640 +a(g202 +V( +tp641 +a(g202 +V) +tp642 +a(g202 +V; +tp643 +a(g189 +V\u000a +tp644 +a(g189 +V +p645 +tp646 +a(g18 +VSystemType +p647 +tp648 +a(g189 +V +tp649 +a(g202 +V= +tp650 +a(g189 +V +tp651 +a(g18 +Vcontainer +p652 +tp653 +a(g202 +V. +tp654 +a(g18 +VSystemType +p655 +tp656 +a(g202 +V; +tp657 +a(g189 +V\u000a +tp658 +a(g189 +V +p659 +tp660 +a(g18 +VRefId +p661 +tp662 +a(g189 +V +tp663 +a(g202 +V= +tp664 +a(g189 +V +tp665 +a(g18 +Vcontainer +p666 +tp667 +a(g202 +V. +tp668 +a(g18 +VRefId +p669 +tp670 +a(g202 +V; +tp671 +a(g189 +V\u000a +tp672 +a(g189 +V +p673 +tp674 +a(g202 +V} +tp675 +a(g189 +V\u000a +tp676 +a(g189 +V +p677 +tp678 +a(g189 +V\u000a +tp679 +a(g189 +V +p680 +tp681 +a(g111 +Vpublic +p682 +tp683 +a(g189 +V +tp684 +a(g111 +Voverride +p685 +tp686 +a(g189 +V +tp687 +a(g139 +Vstring +p688 +tp689 +a(g189 +V +tp690 +a(g21 +VToString +p691 +tp692 +a(g189 +V +tp693 +a(g202 +V( +tp694 +a(g202 +V) +tp695 +a(g189 +V\u000a +tp696 +a(g189 +V +p697 +tp698 +a(g202 +V{ +tp699 +a(g189 +V\u000a +tp700 +a(g189 +V +p701 +tp702 +a(g111 +Vreturn +p703 +tp704 +a(g189 +V +tp705 +a(g18 +VString +p706 +tp707 +a(g202 +V. +tp708 +a(g18 +VFormat +p709 +tp710 +a(g189 +V +tp711 +a(g202 +V( +tp712 +a(g226 +V"Type: {0} Deps count: {1} Id: {2}" +p713 +tp714 +a(g202 +V, +tp715 +a(g189 +V\u000a +tp716 +a(g189 +V +p717 +tp718 +a(g18 +VSystemType +p719 +tp720 +a(g202 +V, +tp721 +a(g189 +V +tp722 +a(g18 +VDepends +p723 +tp724 +a(g202 +V. +tp725 +a(g18 +VLength +p726 +tp727 +a(g202 +V, +tp728 +a(g189 +V +tp729 +a(g18 +VRefId +p730 +tp731 +a(g202 +V) +tp732 +a(g202 +V; +tp733 +a(g189 +V\u000a +tp734 +a(g189 +V +p735 +tp736 +a(g202 +V} +tp737 +a(g189 +V\u000a +tp738 +a(g189 +V +p739 +tp740 +a(g189 +V\u000a +tp741 +a(g189 +V +p742 +tp743 +a(g111 +Vpublic +p744 +tp745 +a(g189 +V +tp746 +a(g139 +Vbool +p747 +tp748 +a(g189 +V +tp749 +a(g21 +VIsUnBoilable +p750 +tp751 +a(g189 +V +tp752 +a(g202 +V( +tp753 +a(g18 +VIBoilProvider +p754 +tp755 +a(g189 +V +tp756 +a(g18 +Vprovider +p757 +tp758 +a(g202 +V) +tp759 +a(g189 +V\u000a +tp760 +a(g189 +V +p761 +tp762 +a(g202 +V{ +tp763 +a(g189 +V\u000a +tp764 +a(g189 +V +p765 +tp766 +a(g111 +Vif +p767 +tp768 +a(g189 +V +tp769 +a(g202 +V( +tp770 +a(g18 +VDepends +p771 +tp772 +a(g202 +V. +tp773 +a(g18 +VLength +p774 +tp775 +a(g189 +V +tp776 +a(g202 +V= +tp777 +a(g202 +V= +tp778 +a(g189 +V +tp779 +a(g213 +V0 +tp780 +a(g202 +V) +tp781 +a(g189 +V\u000a +tp782 +a(g189 +V +p783 +tp784 +a(g111 +Vreturn +p785 +tp786 +a(g189 +V +tp787 +a(g111 +Vtrue +p788 +tp789 +a(g202 +V; +tp790 +a(g189 +V\u000a +tp791 +a(g189 +V +p792 +tp793 +a(g189 +V\u000a +tp794 +a(g189 +V +p795 +tp796 +a(g111 +Vforeach +p797 +tp798 +a(g189 +V +tp799 +a(g202 +V( +tp800 +a(g139 +Vint +p801 +tp802 +a(g189 +V +tp803 +a(g18 +Vid +p804 +tp805 +a(g189 +V +tp806 +a(g111 +Vin +p807 +tp808 +a(g189 +V +tp809 +a(g18 +VDepends +p810 +tp811 +a(g202 +V) +tp812 +a(g189 +V\u000a +tp813 +a(g189 +V +p814 +tp815 +a(g111 +Vif +p816 +tp817 +a(g189 +V +tp818 +a(g202 +V( +tp819 +a(g202 +V! +tp820 +a(g189 +V +tp821 +a(g202 +V( +tp822 +a(g18 +Vprovider +p823 +tp824 +a(g202 +V. +tp825 +a(g18 +VContains +p826 +tp827 +a(g189 +V +tp828 +a(g202 +V( +tp829 +a(g18 +Vid +p830 +tp831 +a(g202 +V) +tp832 +a(g202 +V) +tp833 +a(g202 +V) +tp834 +a(g189 +V\u000a +tp835 +a(g189 +V +p836 +tp837 +a(g111 +Vreturn +p838 +tp839 +a(g189 +V +tp840 +a(g111 +Vfalse +p841 +tp842 +a(g202 +V; +tp843 +a(g189 +V\u000a +tp844 +a(g189 +V +p845 +tp846 +a(g189 +V\u000a +tp847 +a(g189 +V +p848 +tp849 +a(g111 +Vreturn +p850 +tp851 +a(g189 +V +tp852 +a(g111 +Vtrue +p853 +tp854 +a(g202 +V; +tp855 +a(g189 +V\u000a +tp856 +a(g189 +V +p857 +tp858 +a(g202 +V} +tp859 +a(g189 +V\u000a +tp860 +a(g189 +V +p861 +tp862 +a(g189 +V\u000a +tp863 +a(g189 +V +p864 +tp865 +a(g202 +V} +tp866 +a(g189 +V\u000a +tp867 +a(g189 +V +p868 +tp869 +a(g189 +V\u000a +tp870 +a(g189 +V +p871 +tp872 +a(g7 +V// Enums //////////////////////////////////////////////////////\u000a +p873 +tp874 +a(g189 +V +p875 +tp876 +a(g189 +V\u000a +tp877 +a(g189 +V +p878 +tp879 +a(g111 +Venum +p880 +tp881 +a(g189 +V +tp882 +a(g18 +VOpenerTaskStep +p883 +tp884 +a(g189 +V +tp885 +a(g202 +V{ +tp886 +a(g189 +V +tp887 +a(g18 +VInit +p888 +tp889 +a(g202 +V, +tp890 +a(g189 +V +tp891 +a(g18 +VHeader +p892 +tp893 +a(g202 +V, +tp894 +a(g189 +V +tp895 +a(g18 +VProjectInfoRead +p896 +tp897 +a(g202 +V, +tp898 +a(g189 +V +tp899 +a(g18 +VObjectListRead +p900 +tp901 +a(g202 +V, +tp902 +a(g189 +V\u000a +tp903 +a(g189 +V +p904 +tp905 +a(g18 +VObjectListParse +p906 +tp907 +a(g202 +V, +tp908 +a(g189 +V +tp909 +a(g18 +VObjectListUnBoil +p910 +tp911 +a(g202 +V, +tp912 +a(g189 +V +tp913 +a(g18 +VFindRoots +p914 +tp915 +a(g202 +V, +tp916 +a(g189 +V\u000a +tp917 +a(g189 +V +p918 +tp919 +a(g18 +VFinished +p920 +tp921 +a(g189 +V +tp922 +a(g202 +V} +tp923 +a(g202 +V; +tp924 +a(g189 +V\u000a +tp925 +a(g189 +V +p926 +tp927 +a(g189 +V\u000a +tp928 +a(g189 +V +p929 +tp930 +a(g7 +V// Fields /////////////////////////////////////////////////////\u000a +p931 +tp932 +a(g189 +V +p933 +tp934 +a(g189 +V\u000a +tp935 +a(g189 +V +p936 +tp937 +a(g139 +Vstring +p938 +tp939 +a(g189 +V +tp940 +a(g18 +VfileName +p941 +tp942 +a(g202 +V; +tp943 +a(g189 +V +p944 +tp945 +a(g7 +V// Filename we're reading\u000a +p946 +tp947 +a(g189 +V +p948 +tp949 +a(g18 +VXmlDocument +p950 +tp951 +a(g189 +V +tp952 +a(g18 +VxmlDocument +p953 +tp954 +a(g202 +V; +tp955 +a(g189 +V +p956 +tp957 +a(g7 +V// Our document\u000a +p958 +tp959 +a(g189 +V +p960 +tp961 +a(g7 +V//XmlNode projectInfoNode; // node\u000a +p962 +tp963 +a(g189 +V +p964 +tp965 +a(g18 +VIEnumerator +p966 +tp967 +a(g189 +V +tp968 +a(g18 +VobjectsEnumerator +p969 +tp970 +a(g202 +V; +tp971 +a(g189 +V +p972 +tp973 +a(g7 +V// Enumerator\u000a +p974 +tp975 +a(g189 +V +p976 +tp977 +a(g18 +VList +p978 +tp979 +a(g189 +V +tp980 +a(g202 +V< +tp981 +a(g18 +VObjectInfo +p982 +tp983 +a(g202 +V> +tp984 +a(g189 +V +tp985 +a(g18 +VobjectsList +p986 +tp987 +a(g202 +V; +tp988 +a(g189 +V +p989 +tp990 +a(g7 +V// Objects list\u000a +p991 +tp992 +a(g189 +V +p993 +tp994 +a(g18 +VObjectListContainer +p995 +tp996 +a(g189 +V +tp997 +a(g18 +VobjectListContainer +p998 +tp999 +a(g202 +V; +tp1000 +a(g189 +V\u000a +tp1001 +a(g189 +V +p1002 +tp1003 +a(g18 +VOpenerTaskStep +p1004 +tp1005 +a(g189 +V +tp1006 +a(g18 +VcurrentStep +p1007 +tp1008 +a(g202 +V; +tp1009 +a(g189 +V +p1010 +tp1011 +a(g7 +V// Our current step\u000a +p1012 +tp1013 +a(g189 +V +p1014 +tp1015 +a(g189 +V\u000a +tp1016 +a(g189 +V +p1017 +tp1018 +a(g18 +VDictionary +p1019 +tp1020 +a(g189 +V +tp1021 +a(g202 +V< +tp1022 +a(g139 +Vint +p1023 +tp1024 +a(g202 +V, +tp1025 +a(g189 +V +tp1026 +a(g139 +Vobject +p1027 +tp1028 +a(g202 +V> +tp1029 +a(g189 +V +tp1030 +a(g18 +VidToObject +p1031 +tp1032 +a(g202 +V; +tp1033 +a(g189 +V +p1034 +tp1035 +a(g7 +V// Id -> object\u000a +p1036 +tp1037 +a(g189 +V +p1038 +tp1039 +a(g18 +VDictionary +p1040 +tp1041 +a(g189 +V +tp1042 +a(g202 +V< +tp1043 +a(g139 +Vobject +p1044 +tp1045 +a(g202 +V, +tp1046 +a(g189 +V +tp1047 +a(g139 +Vint +p1048 +tp1049 +a(g202 +V> +tp1050 +a(g189 +V +tp1051 +a(g18 +VobjectToId +p1052 +tp1053 +a(g202 +V; +tp1054 +a(g189 +V +p1055 +tp1056 +a(g7 +V// Object -> Id\u000a +p1057 +tp1058 +a(g189 +V\u000a +tp1059 +a(g189 +V +p1060 +tp1061 +a(g139 +Vstring +p1062 +tp1063 +a(g189 +V +tp1064 +a(g18 +VprojectName +p1065 +tp1066 +a(g189 +V +tp1067 +a(g202 +V= +tp1068 +a(g189 +V +tp1069 +a(g18 +VString +p1070 +tp1071 +a(g202 +V. +tp1072 +a(g18 +VEmpty +p1073 +tp1074 +a(g202 +V; +tp1075 +a(g189 +V\u000a +tp1076 +a(g189 +V +p1077 +tp1078 +a(g139 +Vstring +p1079 +tp1080 +a(g189 +V +tp1081 +a(g18 +VprojectDirectory +p1082 +tp1083 +a(g189 +V +tp1084 +a(g202 +V= +tp1085 +a(g189 +V +tp1086 +a(g18 +VString +p1087 +tp1088 +a(g202 +V. +tp1089 +a(g18 +VEmpty +p1090 +tp1091 +a(g202 +V; +tp1092 +a(g189 +V\u000a +tp1093 +a(g189 +V +p1094 +tp1095 +a(g18 +VTagList +p1096 +tp1097 +a(g189 +V +tp1098 +a(g18 +VprojectTagList +p1099 +tp1100 +a(g202 +V; +tp1101 +a(g189 +V\u000a +tp1102 +a(g189 +V +p1103 +tp1104 +a(g18 +VStuffList +p1105 +tp1106 +a(g189 +V +tp1107 +a(g18 +VprojectStuffList +p1108 +tp1109 +a(g202 +V; +tp1110 +a(g189 +V\u000a +tp1111 +a(g189 +V +p1112 +tp1113 +a(g18 +VTrackList +p1114 +tp1115 +a(g189 +V +tp1116 +a(g18 +VprojectTrackList +p1117 +tp1118 +a(g202 +V; +tp1119 +a(g189 +V\u000a +tp1120 +a(g189 +V +p1121 +tp1122 +a(g18 +VClipList +p1123 +tp1124 +a(g189 +V +tp1125 +a(g18 +VprojectClipList +p1126 +tp1127 +a(g202 +V; +tp1128 +a(g189 +V\u000a +tp1129 +a(g189 +V +p1130 +tp1131 +a(g18 +VMediaItemList +p1132 +tp1133 +a(g189 +V +tp1134 +a(g18 +VprojectMediaItemList +p1135 +tp1136 +a(g202 +V; +tp1137 +a(g189 +V\u000a +tp1138 +a(g189 +V +p1139 +tp1140 +a(g18 +VCommander +p1141 +tp1142 +a(g189 +V +tp1143 +a(g18 +VprojectCommander +p1144 +tp1145 +a(g202 +V; +tp1146 +a(g189 +V\u000a +tp1147 +a(g189 +V +p1148 +tp1149 +a(g18 +VGdv +p1150 +tp1151 +a(g202 +V. +tp1152 +a(g18 +VPipeline +p1153 +tp1154 +a(g189 +V +tp1155 +a(g18 +VprojectPipeline +p1156 +tp1157 +a(g202 +V; +tp1158 +a(g189 +V\u000a +tp1159 +a(g189 +V +p1160 +tp1161 +a(g18 +VGdv +p1162 +tp1163 +a(g202 +V. +tp1164 +a(g18 +VProjectFormat +p1165 +tp1166 +a(g189 +V +tp1167 +a(g18 +VprojectFormat +p1168 +tp1169 +a(g202 +V; +tp1170 +a(g189 +V\u000a +tp1171 +a(g189 +V +p1172 +tp1173 +a(g189 +V\u000a +tp1174 +a(g189 +V +p1175 +tp1176 +a(g7 +V// Properties /////////////////////////////////////////////////\u000a +p1177 +tp1178 +a(g189 +V +p1179 +tp1180 +a(g189 +V\u000a +tp1181 +a(g189 +V +p1182 +tp1183 +a(g111 +Vpublic +p1184 +tp1185 +a(g189 +V +tp1186 +a(g139 +Vstring +p1187 +tp1188 +a(g189 +V +tp1189 +a(g18 +VProjectName +p1190 +tp1191 +a(g189 +V +tp1192 +a(g202 +V{ +tp1193 +a(g189 +V\u000a +tp1194 +a(g189 +V +p1195 +tp1196 +a(g111 +Vget +p1197 +tp1198 +a(g189 +V +tp1199 +a(g202 +V{ +tp1200 +a(g189 +V +tp1201 +a(g111 +Vreturn +p1202 +tp1203 +a(g189 +V +tp1204 +a(g18 +VprojectName +p1205 +tp1206 +a(g202 +V; +tp1207 +a(g189 +V +tp1208 +a(g202 +V} +tp1209 +a(g189 +V\u000a +tp1210 +a(g189 +V +p1211 +tp1212 +a(g202 +V} +tp1213 +a(g189 +V\u000a +tp1214 +a(g189 +V +p1215 +tp1216 +a(g189 +V\u000a +tp1217 +a(g189 +V +p1218 +tp1219 +a(g111 +Vpublic +p1220 +tp1221 +a(g189 +V +tp1222 +a(g139 +Vstring +p1223 +tp1224 +a(g189 +V +tp1225 +a(g18 +VProjectDirectory +p1226 +tp1227 +a(g189 +V +tp1228 +a(g202 +V{ +tp1229 +a(g189 +V\u000a +tp1230 +a(g189 +V +p1231 +tp1232 +a(g111 +Vget +p1233 +tp1234 +a(g189 +V +tp1235 +a(g202 +V{ +tp1236 +a(g189 +V +tp1237 +a(g111 +Vreturn +p1238 +tp1239 +a(g189 +V +tp1240 +a(g18 +VprojectDirectory +p1241 +tp1242 +a(g202 +V; +tp1243 +a(g189 +V +tp1244 +a(g202 +V} +tp1245 +a(g189 +V\u000a +tp1246 +a(g189 +V +p1247 +tp1248 +a(g202 +V} +tp1249 +a(g189 +V\u000a +tp1250 +a(g189 +V +p1251 +tp1252 +a(g189 +V\u000a +tp1253 +a(g189 +V +p1254 +tp1255 +a(g111 +Vpublic +p1256 +tp1257 +a(g189 +V +tp1258 +a(g18 +VTagList +p1259 +tp1260 +a(g189 +V +tp1261 +a(g18 +VProjectTagList +p1262 +tp1263 +a(g189 +V +tp1264 +a(g202 +V{ +tp1265 +a(g189 +V\u000a +tp1266 +a(g189 +V +p1267 +tp1268 +a(g111 +Vget +p1269 +tp1270 +a(g189 +V +tp1271 +a(g202 +V{ +tp1272 +a(g189 +V +tp1273 +a(g111 +Vreturn +p1274 +tp1275 +a(g189 +V +tp1276 +a(g18 +VprojectTagList +p1277 +tp1278 +a(g202 +V; +tp1279 +a(g189 +V +tp1280 +a(g202 +V} +tp1281 +a(g189 +V\u000a +tp1282 +a(g189 +V +p1283 +tp1284 +a(g202 +V} +tp1285 +a(g189 +V\u000a +tp1286 +a(g189 +V +p1287 +tp1288 +a(g189 +V\u000a +tp1289 +a(g189 +V +p1290 +tp1291 +a(g111 +Vpublic +p1292 +tp1293 +a(g189 +V +tp1294 +a(g18 +VStuffList +p1295 +tp1296 +a(g189 +V +tp1297 +a(g18 +VProjectStuffList +p1298 +tp1299 +a(g189 +V +tp1300 +a(g202 +V{ +tp1301 +a(g189 +V\u000a +tp1302 +a(g189 +V +p1303 +tp1304 +a(g111 +Vget +p1305 +tp1306 +a(g189 +V +tp1307 +a(g202 +V{ +tp1308 +a(g189 +V +tp1309 +a(g111 +Vreturn +p1310 +tp1311 +a(g189 +V +tp1312 +a(g18 +VprojectStuffList +p1313 +tp1314 +a(g202 +V; +tp1315 +a(g189 +V +tp1316 +a(g202 +V} +tp1317 +a(g189 +V\u000a +tp1318 +a(g189 +V +p1319 +tp1320 +a(g202 +V} +tp1321 +a(g189 +V\u000a +tp1322 +a(g189 +V +p1323 +tp1324 +a(g189 +V\u000a +tp1325 +a(g189 +V +p1326 +tp1327 +a(g111 +Vpublic +p1328 +tp1329 +a(g189 +V +tp1330 +a(g18 +VTrackList +p1331 +tp1332 +a(g189 +V +tp1333 +a(g18 +VProjectTrackList +p1334 +tp1335 +a(g189 +V +tp1336 +a(g202 +V{ +tp1337 +a(g189 +V\u000a +tp1338 +a(g189 +V +p1339 +tp1340 +a(g111 +Vget +p1341 +tp1342 +a(g189 +V +tp1343 +a(g202 +V{ +tp1344 +a(g189 +V +tp1345 +a(g111 +Vreturn +p1346 +tp1347 +a(g189 +V +tp1348 +a(g18 +VprojectTrackList +p1349 +tp1350 +a(g202 +V; +tp1351 +a(g189 +V +tp1352 +a(g202 +V} +tp1353 +a(g189 +V\u000a +tp1354 +a(g189 +V +p1355 +tp1356 +a(g202 +V} +tp1357 +a(g189 +V\u000a +tp1358 +a(g189 +V\u000a +tp1359 +a(g189 +V +p1360 +tp1361 +a(g111 +Vpublic +p1362 +tp1363 +a(g189 +V +tp1364 +a(g18 +VClipList +p1365 +tp1366 +a(g189 +V +tp1367 +a(g18 +VProjectClipList +p1368 +tp1369 +a(g189 +V +tp1370 +a(g202 +V{ +tp1371 +a(g189 +V\u000a +tp1372 +a(g189 +V +p1373 +tp1374 +a(g111 +Vget +p1375 +tp1376 +a(g189 +V +tp1377 +a(g202 +V{ +tp1378 +a(g189 +V +tp1379 +a(g111 +Vreturn +p1380 +tp1381 +a(g189 +V +tp1382 +a(g18 +VprojectClipList +p1383 +tp1384 +a(g202 +V; +tp1385 +a(g189 +V +tp1386 +a(g202 +V} +tp1387 +a(g189 +V\u000a +tp1388 +a(g189 +V +p1389 +tp1390 +a(g202 +V} +tp1391 +a(g189 +V\u000a +tp1392 +a(g189 +V +p1393 +tp1394 +a(g189 +V\u000a +tp1395 +a(g189 +V +p1396 +tp1397 +a(g111 +Vpublic +p1398 +tp1399 +a(g189 +V +tp1400 +a(g18 +VMediaItemList +p1401 +tp1402 +a(g189 +V +tp1403 +a(g18 +VProjectMediaItemList +p1404 +tp1405 +a(g189 +V +tp1406 +a(g202 +V{ +tp1407 +a(g189 +V\u000a +tp1408 +a(g189 +V +p1409 +tp1410 +a(g111 +Vget +p1411 +tp1412 +a(g189 +V +tp1413 +a(g202 +V{ +tp1414 +a(g189 +V +tp1415 +a(g111 +Vreturn +p1416 +tp1417 +a(g189 +V +tp1418 +a(g18 +VprojectMediaItemList +p1419 +tp1420 +a(g202 +V; +tp1421 +a(g189 +V +tp1422 +a(g202 +V} +tp1423 +a(g189 +V\u000a +tp1424 +a(g189 +V +p1425 +tp1426 +a(g202 +V} +tp1427 +a(g189 +V\u000a +tp1428 +a(g189 +V +p1429 +tp1430 +a(g189 +V\u000a +tp1431 +a(g189 +V +p1432 +tp1433 +a(g111 +Vpublic +p1434 +tp1435 +a(g189 +V +tp1436 +a(g18 +VCommander +p1437 +tp1438 +a(g189 +V +tp1439 +a(g18 +VProjectCommander +p1440 +tp1441 +a(g189 +V +tp1442 +a(g202 +V{ +tp1443 +a(g189 +V\u000a +tp1444 +a(g189 +V +p1445 +tp1446 +a(g111 +Vget +p1447 +tp1448 +a(g189 +V +tp1449 +a(g202 +V{ +tp1450 +a(g189 +V +tp1451 +a(g111 +Vreturn +p1452 +tp1453 +a(g189 +V +tp1454 +a(g18 +VprojectCommander +p1455 +tp1456 +a(g202 +V; +tp1457 +a(g189 +V +tp1458 +a(g202 +V} +tp1459 +a(g189 +V\u000a +tp1460 +a(g189 +V +p1461 +tp1462 +a(g202 +V} +tp1463 +a(g189 +V\u000a +tp1464 +a(g189 +V +p1465 +tp1466 +a(g189 +V\u000a +tp1467 +a(g189 +V +p1468 +tp1469 +a(g111 +Vpublic +p1470 +tp1471 +a(g189 +V +tp1472 +a(g18 +VGdv +p1473 +tp1474 +a(g202 +V. +tp1475 +a(g18 +VPipeline +p1476 +tp1477 +a(g189 +V +tp1478 +a(g18 +VProjectPipeline +p1479 +tp1480 +a(g189 +V +tp1481 +a(g202 +V{ +tp1482 +a(g189 +V\u000a +tp1483 +a(g189 +V +p1484 +tp1485 +a(g111 +Vget +p1486 +tp1487 +a(g189 +V +tp1488 +a(g202 +V{ +tp1489 +a(g189 +V +tp1490 +a(g111 +Vreturn +p1491 +tp1492 +a(g189 +V +tp1493 +a(g18 +VprojectPipeline +p1494 +tp1495 +a(g202 +V; +tp1496 +a(g189 +V +tp1497 +a(g202 +V} +tp1498 +a(g189 +V\u000a +tp1499 +a(g189 +V +p1500 +tp1501 +a(g202 +V} +tp1502 +a(g189 +V\u000a +tp1503 +a(g189 +V\u000a +tp1504 +a(g189 +V +p1505 +tp1506 +a(g111 +Vpublic +p1507 +tp1508 +a(g189 +V +tp1509 +a(g18 +VGdv +p1510 +tp1511 +a(g202 +V. +tp1512 +a(g18 +VProjectFormat +p1513 +tp1514 +a(g189 +V +tp1515 +a(g18 +VProjectFormat +p1516 +tp1517 +a(g189 +V +tp1518 +a(g202 +V{ +tp1519 +a(g189 +V\u000a +tp1520 +a(g189 +V +p1521 +tp1522 +a(g111 +Vget +p1523 +tp1524 +a(g189 +V +tp1525 +a(g202 +V{ +tp1526 +a(g189 +V +tp1527 +a(g111 +Vreturn +p1528 +tp1529 +a(g189 +V +tp1530 +a(g18 +VprojectFormat +p1531 +tp1532 +a(g202 +V; +tp1533 +a(g189 +V +tp1534 +a(g202 +V} +tp1535 +a(g189 +V\u000a +tp1536 +a(g189 +V +p1537 +tp1538 +a(g202 +V} +tp1539 +a(g189 +V\u000a +tp1540 +a(g189 +V\u000a +tp1541 +a(g189 +V +p1542 +tp1543 +a(g7 +V// Public methods /////////////////////////////////////////////\u000a +p1544 +tp1545 +a(g189 +V +p1546 +tp1547 +a(g189 +V\u000a +tp1548 +a(g189 +V +p1549 +tp1550 +a(g358 +V/* CONSTRUCTOR */ +p1551 +tp1552 +a(g189 +V\u000a +tp1553 +a(g189 +V +p1554 +tp1555 +a(g111 +Vpublic +p1556 +tp1557 +a(g189 +V +tp1558 +a(g21 +VOpenerTask +p1559 +tp1560 +a(g189 +V +tp1561 +a(g202 +V( +tp1562 +a(g139 +Vstring +p1563 +tp1564 +a(g189 +V +tp1565 +a(g18 +VfileName +p1566 +tp1567 +a(g202 +V) +tp1568 +a(g189 +V\u000a +tp1569 +a(g189 +V +p1570 +tp1571 +a(g202 +V{ +tp1572 +a(g189 +V\u000a +tp1573 +a(g189 +V +p1574 +tp1575 +a(g111 +Vthis +p1576 +tp1577 +a(g202 +V. +tp1578 +a(g18 +VfileName +p1579 +tp1580 +a(g189 +V +tp1581 +a(g202 +V= +tp1582 +a(g189 +V +tp1583 +a(g18 +VfileName +p1584 +tp1585 +a(g202 +V; +tp1586 +a(g189 +V\u000a +tp1587 +a(g189 +V +p1588 +tp1589 +a(g202 +V} +tp1590 +a(g189 +V\u000a +tp1591 +a(g189 +V +p1592 +tp1593 +a(g189 +V\u000a +tp1594 +a(g189 +V +p1595 +tp1596 +a(g111 +Vpublic +p1597 +tp1598 +a(g189 +V +tp1599 +a(g111 +Voverride +p1600 +tp1601 +a(g189 +V +tp1602 +a(g111 +Vvoid +p1603 +tp1604 +a(g189 +V +tp1605 +a(g21 +VReset +p1606 +tp1607 +a(g189 +V +tp1608 +a(g202 +V( +tp1609 +a(g202 +V) +tp1610 +a(g189 +V\u000a +tp1611 +a(g189 +V +p1612 +tp1613 +a(g202 +V{ +tp1614 +a(g189 +V\u000a +tp1615 +a(g189 +V +p1616 +tp1617 +a(g18 +VobjectToId +p1618 +tp1619 +a(g189 +V +tp1620 +a(g202 +V= +tp1621 +a(g189 +V +tp1622 +a(g111 +Vnew +p1623 +tp1624 +a(g189 +V +tp1625 +a(g18 +VDictionary +p1626 +tp1627 +a(g189 +V +tp1628 +a(g202 +V< +tp1629 +a(g139 +Vobject +p1630 +tp1631 +a(g202 +V, +tp1632 +a(g189 +V +tp1633 +a(g139 +Vint +p1634 +tp1635 +a(g202 +V> +tp1636 +a(g189 +V +tp1637 +a(g202 +V( +tp1638 +a(g202 +V) +tp1639 +a(g202 +V; +tp1640 +a(g189 +V\u000a +tp1641 +a(g189 +V +p1642 +tp1643 +a(g18 +VidToObject +p1644 +tp1645 +a(g189 +V +tp1646 +a(g202 +V= +tp1647 +a(g189 +V +tp1648 +a(g111 +Vnew +p1649 +tp1650 +a(g189 +V +tp1651 +a(g18 +VDictionary +p1652 +tp1653 +a(g189 +V +tp1654 +a(g202 +V< +tp1655 +a(g139 +Vint +p1656 +tp1657 +a(g202 +V, +tp1658 +a(g189 +V +tp1659 +a(g139 +Vobject +p1660 +tp1661 +a(g202 +V> +tp1662 +a(g189 +V +tp1663 +a(g202 +V( +tp1664 +a(g202 +V) +tp1665 +a(g202 +V; +tp1666 +a(g189 +V\u000a +tp1667 +a(g189 +V +p1668 +tp1669 +a(g189 +V\u000a +tp1670 +a(g189 +V +p1671 +tp1672 +a(g18 +VxmlDocument +p1673 +tp1674 +a(g189 +V +tp1675 +a(g202 +V= +tp1676 +a(g189 +V +tp1677 +a(g111 +Vnull +p1678 +tp1679 +a(g202 +V; +tp1680 +a(g189 +V\u000a +tp1681 +a(g189 +V +p1682 +tp1683 +a(g7 +V//projectInfoNode = null;\u000a +p1684 +tp1685 +a(g189 +V +p1686 +tp1687 +a(g189 +V\u000a +tp1688 +a(g189 +V +p1689 +tp1690 +a(g18 +VcurrentStep +p1691 +tp1692 +a(g189 +V +tp1693 +a(g202 +V= +tp1694 +a(g189 +V +tp1695 +a(g18 +VOpenerTaskStep +p1696 +tp1697 +a(g202 +V. +tp1698 +a(g18 +VInit +p1699 +tp1700 +a(g202 +V; +tp1701 +a(g189 +V\u000a +tp1702 +a(g189 +V +p1703 +tp1704 +a(g189 +V\u000a +tp1705 +a(g189 +V +p1706 +tp1707 +a(g111 +Vbase +p1708 +tp1709 +a(g202 +V. +tp1710 +a(g18 +VReset +p1711 +tp1712 +a(g189 +V +tp1713 +a(g202 +V( +tp1714 +a(g202 +V) +tp1715 +a(g202 +V; +tp1716 +a(g189 +V\u000a +tp1717 +a(g189 +V +p1718 +tp1719 +a(g202 +V} +tp1720 +a(g189 +V\u000a +tp1721 +a(g189 +V +p1722 +tp1723 +a(g189 +V\u000a +tp1724 +a(g189 +V +p1725 +tp1726 +a(g111 +Vpublic +p1727 +tp1728 +a(g189 +V +tp1729 +a(g139 +Vint +p1730 +tp1731 +a(g189 +V +tp1732 +a(g21 +VGetIdForObject +p1733 +tp1734 +a(g189 +V +tp1735 +a(g202 +V( +tp1736 +a(g139 +Vobject +p1737 +tp1738 +a(g189 +V +tp1739 +a(g18 +Vo +tp1740 +a(g202 +V) +tp1741 +a(g189 +V\u000a +tp1742 +a(g189 +V +p1743 +tp1744 +a(g202 +V{ +tp1745 +a(g189 +V\u000a +tp1746 +a(g189 +V +p1747 +tp1748 +a(g111 +Vreturn +p1749 +tp1750 +a(g189 +V +tp1751 +a(g18 +VobjectToId +p1752 +tp1753 +a(g189 +V +tp1754 +a(g202 +V[ +tp1755 +a(g18 +Vo +tp1756 +a(g202 +V] +tp1757 +a(g202 +V; +tp1758 +a(g189 +V\u000a +tp1759 +a(g189 +V +p1760 +tp1761 +a(g202 +V} +tp1762 +a(g189 +V\u000a +tp1763 +a(g189 +V +p1764 +tp1765 +a(g189 +V\u000a +tp1766 +a(g189 +V +p1767 +tp1768 +a(g111 +Vpublic +p1769 +tp1770 +a(g189 +V +tp1771 +a(g139 +Vobject +p1772 +tp1773 +a(g189 +V +tp1774 +a(g21 +VGetObjectForId +p1775 +tp1776 +a(g189 +V +tp1777 +a(g202 +V( +tp1778 +a(g139 +Vint +p1779 +tp1780 +a(g189 +V +tp1781 +a(g18 +Vid +p1782 +tp1783 +a(g202 +V) +tp1784 +a(g189 +V\u000a +tp1785 +a(g189 +V +p1786 +tp1787 +a(g202 +V{ +tp1788 +a(g189 +V\u000a +tp1789 +a(g189 +V +p1790 +tp1791 +a(g111 +Vreturn +p1792 +tp1793 +a(g189 +V +tp1794 +a(g18 +VidToObject +p1795 +tp1796 +a(g189 +V +tp1797 +a(g202 +V[ +tp1798 +a(g18 +Vid +p1799 +tp1800 +a(g202 +V] +tp1801 +a(g202 +V; +tp1802 +a(g189 +V\u000a +tp1803 +a(g189 +V +p1804 +tp1805 +a(g202 +V} +tp1806 +a(g189 +V\u000a +tp1807 +a(g189 +V +p1808 +tp1809 +a(g189 +V\u000a +tp1810 +a(g189 +V +p1811 +tp1812 +a(g111 +Vpublic +p1813 +tp1814 +a(g189 +V +tp1815 +a(g139 +Vbool +p1816 +tp1817 +a(g189 +V +tp1818 +a(g21 +VContains +p1819 +tp1820 +a(g189 +V +tp1821 +a(g202 +V( +tp1822 +a(g139 +Vint +p1823 +tp1824 +a(g189 +V +tp1825 +a(g18 +Vid +p1826 +tp1827 +a(g202 +V) +tp1828 +a(g189 +V\u000a +tp1829 +a(g189 +V +p1830 +tp1831 +a(g202 +V{ +tp1832 +a(g189 +V\u000a +tp1833 +a(g189 +V +p1834 +tp1835 +a(g111 +Vreturn +p1836 +tp1837 +a(g189 +V +tp1838 +a(g18 +VidToObject +p1839 +tp1840 +a(g202 +V. +tp1841 +a(g18 +VContainsKey +p1842 +tp1843 +a(g189 +V +tp1844 +a(g202 +V( +tp1845 +a(g18 +Vid +p1846 +tp1847 +a(g202 +V) +tp1848 +a(g202 +V; +tp1849 +a(g189 +V\u000a +tp1850 +a(g189 +V +p1851 +tp1852 +a(g202 +V} +tp1853 +a(g189 +V\u000a +tp1854 +a(g189 +V +p1855 +tp1856 +a(g189 +V\u000a +tp1857 +a(g189 +V +p1858 +tp1859 +a(g7 +V// Private methods ////////////////////////////////////////////\u000a +p1860 +tp1861 +a(g189 +V +p1862 +tp1863 +a(g189 +V\u000a +tp1864 +a(g189 +V +p1865 +tp1866 +a(g111 +Vprotected +p1867 +tp1868 +a(g189 +V +tp1869 +a(g111 +Voverride +p1870 +tp1871 +a(g189 +V +tp1872 +a(g18 +VTaskStatus +p1873 +tp1874 +a(g189 +V +tp1875 +a(g21 +VExecuteStep +p1876 +tp1877 +a(g189 +V +tp1878 +a(g202 +V( +tp1879 +a(g139 +Vint +p1880 +tp1881 +a(g189 +V +tp1882 +a(g18 +Vs +tp1883 +a(g202 +V) +tp1884 +a(g189 +V\u000a +tp1885 +a(g189 +V +p1886 +tp1887 +a(g202 +V{ +tp1888 +a(g189 +V\u000a +tp1889 +a(g189 +V +p1890 +tp1891 +a(g139 +Vbool +p1892 +tp1893 +a(g189 +V +tp1894 +a(g18 +Vcont +p1895 +tp1896 +a(g189 +V +tp1897 +a(g202 +V= +tp1898 +a(g189 +V +tp1899 +a(g111 +Vtrue +p1900 +tp1901 +a(g202 +V; +tp1902 +a(g189 +V\u000a +tp1903 +a(g189 +V +p1904 +tp1905 +a(g189 +V\u000a +tp1906 +a(g189 +V +p1907 +tp1908 +a(g7 +V// Main\u000a +p1909 +tp1910 +a(g189 +V +p1911 +tp1912 +a(g111 +Vswitch +p1913 +tp1914 +a(g189 +V +tp1915 +a(g202 +V( +tp1916 +a(g18 +VcurrentStep +p1917 +tp1918 +a(g202 +V) +tp1919 +a(g189 +V +tp1920 +a(g202 +V{ +tp1921 +a(g189 +V\u000a +tp1922 +a(g189 +V +p1923 +tp1924 +a(g189 +V\u000a +tp1925 +a(g189 +V +p1926 +tp1927 +a(g111 +Vcase +p1928 +tp1929 +a(g189 +V +tp1930 +a(g18 +VOpenerTaskStep +p1931 +tp1932 +a(g202 +V. +tp1933 +a(g18 +VInit +p1934 +tp1935 +a(g202 +V: +tp1936 +a(g189 +V\u000a +tp1937 +a(g189 +V +p1938 +tp1939 +a(g18 +VobjectsList +p1940 +tp1941 +a(g189 +V +tp1942 +a(g202 +V= +tp1943 +a(g189 +V +tp1944 +a(g111 +Vnew +p1945 +tp1946 +a(g189 +V +tp1947 +a(g18 +VList +p1948 +tp1949 +a(g189 +V +tp1950 +a(g202 +V< +tp1951 +a(g18 +VObjectInfo +p1952 +tp1953 +a(g202 +V> +tp1954 +a(g189 +V +tp1955 +a(g202 +V( +tp1956 +a(g202 +V) +tp1957 +a(g202 +V; +tp1958 +a(g189 +V\u000a +tp1959 +a(g189 +V +p1960 +tp1961 +a(g18 +VxmlDocument +p1962 +tp1963 +a(g189 +V +tp1964 +a(g202 +V= +tp1965 +a(g189 +V +tp1966 +a(g111 +Vnew +p1967 +tp1968 +a(g189 +V +tp1969 +a(g18 +VXmlDocument +p1970 +tp1971 +a(g189 +V +tp1972 +a(g202 +V( +tp1973 +a(g202 +V) +tp1974 +a(g202 +V; +tp1975 +a(g189 +V\u000a +tp1976 +a(g189 +V +p1977 +tp1978 +a(g18 +VxmlDocument +p1979 +tp1980 +a(g202 +V. +tp1981 +a(g18 +VLoad +p1982 +tp1983 +a(g189 +V +tp1984 +a(g202 +V( +tp1985 +a(g18 +VfileName +p1986 +tp1987 +a(g202 +V) +tp1988 +a(g202 +V; +tp1989 +a(g189 +V\u000a +tp1990 +a(g189 +V +p1991 +tp1992 +a(g18 +VcurrentStep +p1993 +tp1994 +a(g189 +V +tp1995 +a(g202 +V= +tp1996 +a(g189 +V +tp1997 +a(g18 +VOpenerTaskStep +p1998 +tp1999 +a(g202 +V. +tp2000 +a(g18 +VHeader +p2001 +tp2002 +a(g202 +V; +tp2003 +a(g189 +V\u000a +tp2004 +a(g189 +V +p2005 +tp2006 +a(g111 +Vbreak +p2007 +tp2008 +a(g202 +V; +tp2009 +a(g189 +V\u000a +tp2010 +a(g189 +V +p2011 +tp2012 +a(g189 +V\u000a +tp2013 +a(g189 +V +p2014 +tp2015 +a(g111 +Vcase +p2016 +tp2017 +a(g189 +V +tp2018 +a(g18 +VOpenerTaskStep +p2019 +tp2020 +a(g202 +V. +tp2021 +a(g18 +VHeader +p2022 +tp2023 +a(g202 +V: +tp2024 +a(g189 +V\u000a +tp2025 +a(g189 +V +p2026 +tp2027 +a(g7 +V//ReadHeader ();\u000a +p2028 +tp2029 +a(g189 +V +p2030 +tp2031 +a(g18 +VcurrentStep +p2032 +tp2033 +a(g189 +V +tp2034 +a(g202 +V= +tp2035 +a(g189 +V +tp2036 +a(g18 +VOpenerTaskStep +p2037 +tp2038 +a(g202 +V. +tp2039 +a(g18 +VProjectInfoRead +p2040 +tp2041 +a(g202 +V; +tp2042 +a(g189 +V\u000a +tp2043 +a(g189 +V +p2044 +tp2045 +a(g111 +Vbreak +p2046 +tp2047 +a(g202 +V; +tp2048 +a(g189 +V\u000a +tp2049 +a(g189 +V\u000a +tp2050 +a(g189 +V +p2051 +tp2052 +a(g111 +Vcase +p2053 +tp2054 +a(g189 +V +tp2055 +a(g18 +VOpenerTaskStep +p2056 +tp2057 +a(g202 +V. +tp2058 +a(g18 +VProjectInfoRead +p2059 +tp2060 +a(g202 +V: +tp2061 +a(g189 +V\u000a +tp2062 +a(g189 +V +p2063 +tp2064 +a(g111 +Vforeach +p2065 +tp2066 +a(g189 +V +tp2067 +a(g202 +V( +tp2068 +a(g18 +VXmlNode +p2069 +tp2070 +a(g189 +V +tp2071 +a(g18 +Vnode +p2072 +tp2073 +a(g189 +V +tp2074 +a(g111 +Vin +p2075 +tp2076 +a(g189 +V +tp2077 +a(g18 +VxmlDocument +p2078 +tp2079 +a(g202 +V. +tp2080 +a(g18 +VDocumentElement +p2081 +tp2082 +a(g202 +V. +tp2083 +a(g18 +VChildNodes +p2084 +tp2085 +a(g202 +V) +tp2086 +a(g189 +V\u000a +tp2087 +a(g189 +V +p2088 +tp2089 +a(g111 +Vif +p2090 +tp2091 +a(g189 +V +tp2092 +a(g202 +V( +tp2093 +a(g18 +Vnode +p2094 +tp2095 +a(g202 +V. +tp2096 +a(g18 +VName +p2097 +tp2098 +a(g189 +V +tp2099 +a(g202 +V= +tp2100 +a(g202 +V= +tp2101 +a(g189 +V +tp2102 +a(g226 +V"projectinfo" +p2103 +tp2104 +a(g202 +V) +tp2105 +a(g189 +V +tp2106 +a(g189 +V\u000a +tp2107 +a(g189 +V +p2108 +tp2109 +a(g18 +VResolveProjectInfoNode +p2110 +tp2111 +a(g189 +V +tp2112 +a(g202 +V( +tp2113 +a(g18 +Vnode +p2114 +tp2115 +a(g202 +V) +tp2116 +a(g202 +V; +tp2117 +a(g189 +V\u000a +tp2118 +a(g189 +V\u000a +tp2119 +a(g189 +V +p2120 +tp2121 +a(g7 +V// FIXME: Fail if not found/not resolved\u000a +p2122 +tp2123 +a(g189 +V +p2124 +tp2125 +a(g18 +VcurrentStep +p2126 +tp2127 +a(g189 +V +tp2128 +a(g202 +V= +tp2129 +a(g189 +V +tp2130 +a(g18 +VOpenerTaskStep +p2131 +tp2132 +a(g202 +V. +tp2133 +a(g18 +VObjectListRead +p2134 +tp2135 +a(g202 +V; +tp2136 +a(g189 +V\u000a +tp2137 +a(g189 +V +p2138 +tp2139 +a(g111 +Vbreak +p2140 +tp2141 +a(g202 +V; +tp2142 +a(g189 +V\u000a +tp2143 +a(g189 +V +p2144 +tp2145 +a(g189 +V\u000a +tp2146 +a(g189 +V +p2147 +tp2148 +a(g111 +Vcase +p2149 +tp2150 +a(g189 +V +tp2151 +a(g18 +VOpenerTaskStep +p2152 +tp2153 +a(g202 +V. +tp2154 +a(g18 +VObjectListRead +p2155 +tp2156 +a(g202 +V: +tp2157 +a(g189 +V\u000a +tp2158 +a(g189 +V +p2159 +tp2160 +a(g111 +Vforeach +p2161 +tp2162 +a(g189 +V +tp2163 +a(g202 +V( +tp2164 +a(g18 +VXmlNode +p2165 +tp2166 +a(g189 +V +tp2167 +a(g18 +Vnode +p2168 +tp2169 +a(g189 +V +tp2170 +a(g111 +Vin +p2171 +tp2172 +a(g189 +V +tp2173 +a(g18 +VxmlDocument +p2174 +tp2175 +a(g202 +V. +tp2176 +a(g18 +VDocumentElement +p2177 +tp2178 +a(g202 +V. +tp2179 +a(g18 +VChildNodes +p2180 +tp2181 +a(g202 +V) +tp2182 +a(g189 +V\u000a +tp2183 +a(g189 +V +p2184 +tp2185 +a(g111 +Vif +p2186 +tp2187 +a(g189 +V +tp2188 +a(g202 +V( +tp2189 +a(g18 +Vnode +p2190 +tp2191 +a(g202 +V. +tp2192 +a(g18 +VName +p2193 +tp2194 +a(g189 +V +tp2195 +a(g202 +V= +tp2196 +a(g202 +V= +tp2197 +a(g189 +V +tp2198 +a(g226 +V"objectlist" +p2199 +tp2200 +a(g202 +V) +tp2201 +a(g189 +V +tp2202 +a(g189 +V\u000a +tp2203 +a(g189 +V +p2204 +tp2205 +a(g18 +VobjectListContainer +p2206 +tp2207 +a(g189 +V +tp2208 +a(g202 +V= +tp2209 +a(g189 +V +tp2210 +a(g202 +V( +tp2211 +a(g18 +VObjectListContainer +p2212 +tp2213 +a(g202 +V) +tp2214 +a(g189 +V\u000a +tp2215 +a(g189 +V +p2216 +tp2217 +a(g18 +VDataFactory +p2218 +tp2219 +a(g202 +V. +tp2220 +a(g18 +VMakeDataElement +p2221 +tp2222 +a(g189 +V +p2223 +tp2224 +a(g202 +V( +tp2225 +a(g18 +Vnode +p2226 +tp2227 +a(g189 +V +tp2228 +a(g111 +Vas +p2229 +tp2230 +a(g189 +V +tp2231 +a(g18 +VXmlElement +p2232 +tp2233 +a(g202 +V) +tp2234 +a(g202 +V; +tp2235 +a(g189 +V\u000a +tp2236 +a(g189 +V +p2237 +tp2238 +a(g189 +V\u000a +tp2239 +a(g189 +V +p2240 +tp2241 +a(g111 +Vif +p2242 +tp2243 +a(g189 +V +tp2244 +a(g202 +V( +tp2245 +a(g18 +VobjectListContainer +p2246 +tp2247 +a(g189 +V +tp2248 +a(g202 +V= +tp2249 +a(g202 +V= +tp2250 +a(g189 +V +tp2251 +a(g111 +Vnull +p2252 +tp2253 +a(g202 +V) +tp2254 +a(g189 +V\u000a +tp2255 +a(g189 +V +p2256 +tp2257 +a(g111 +Vthrow +p2258 +tp2259 +a(g189 +V +tp2260 +a(g111 +Vnew +p2261 +tp2262 +a(g189 +V +tp2263 +a(g21 +VException +p2264 +tp2265 +a(g189 +V +tp2266 +a(g202 +V( +tp2267 +a(g226 +V"ObjectListContainer not found!" +p2268 +tp2269 +a(g202 +V) +tp2270 +a(g202 +V; +tp2271 +a(g189 +V\u000a +tp2272 +a(g189 +V\u000a +tp2273 +a(g189 +V +p2274 +tp2275 +a(g18 +VcurrentStep +p2276 +tp2277 +a(g189 +V +tp2278 +a(g202 +V= +tp2279 +a(g189 +V +tp2280 +a(g18 +VOpenerTaskStep +p2281 +tp2282 +a(g202 +V. +tp2283 +a(g18 +VObjectListParse +p2284 +tp2285 +a(g202 +V; +tp2286 +a(g189 +V\u000a +tp2287 +a(g189 +V +p2288 +tp2289 +a(g111 +Vbreak +p2290 +tp2291 +a(g202 +V; +tp2292 +a(g189 +V\u000a +tp2293 +a(g189 +V\u000a +tp2294 +a(g189 +V +p2295 +tp2296 +a(g111 +Vcase +p2297 +tp2298 +a(g189 +V +tp2299 +a(g18 +VOpenerTaskStep +p2300 +tp2301 +a(g202 +V. +tp2302 +a(g18 +VObjectListParse +p2303 +tp2304 +a(g202 +V: +tp2305 +a(g189 +V\u000a +tp2306 +a(g189 +V +p2307 +tp2308 +a(g139 +Vbool +p2309 +tp2310 +a(g189 +V +tp2311 +a(g18 +Vflush +p2312 +tp2313 +a(g189 +V +tp2314 +a(g202 +V= +tp2315 +a(g189 +V +tp2316 +a(g18 +VEnumerateSomeObjects +p2317 +tp2318 +a(g189 +V +tp2319 +a(g202 +V( +tp2320 +a(g202 +V) +tp2321 +a(g202 +V; +tp2322 +a(g189 +V\u000a +tp2323 +a(g189 +V +p2324 +tp2325 +a(g111 +Vif +p2326 +tp2327 +a(g189 +V +tp2328 +a(g202 +V( +tp2329 +a(g18 +Vflush +p2330 +tp2331 +a(g202 +V) +tp2332 +a(g189 +V\u000a +tp2333 +a(g189 +V +p2334 +tp2335 +a(g18 +VcurrentStep +p2336 +tp2337 +a(g189 +V +tp2338 +a(g202 +V= +tp2339 +a(g189 +V +tp2340 +a(g18 +VOpenerTaskStep +p2341 +tp2342 +a(g202 +V. +tp2343 +a(g18 +VObjectListUnBoil +p2344 +tp2345 +a(g202 +V; +tp2346 +a(g189 +V\u000a +tp2347 +a(g189 +V +p2348 +tp2349 +a(g111 +Vbreak +p2350 +tp2351 +a(g202 +V; +tp2352 +a(g189 +V\u000a +tp2353 +a(g189 +V\u000a +tp2354 +a(g189 +V +p2355 +tp2356 +a(g111 +Vcase +p2357 +tp2358 +a(g189 +V +tp2359 +a(g18 +VOpenerTaskStep +p2360 +tp2361 +a(g202 +V. +tp2362 +a(g18 +VObjectListUnBoil +p2363 +tp2364 +a(g202 +V: +tp2365 +a(g189 +V\u000a +tp2366 +a(g189 +V +p2367 +tp2368 +a(g139 +Vbool +p2369 +tp2370 +a(g189 +V +tp2371 +a(g18 +Vdone +p2372 +tp2373 +a(g189 +V +tp2374 +a(g202 +V= +tp2375 +a(g189 +V +tp2376 +a(g18 +VUnBoilSomeObjects +p2377 +tp2378 +a(g189 +V +tp2379 +a(g202 +V( +tp2380 +a(g202 +V) +tp2381 +a(g202 +V; +tp2382 +a(g189 +V\u000a +tp2383 +a(g189 +V +p2384 +tp2385 +a(g111 +Vif +p2386 +tp2387 +a(g189 +V +tp2388 +a(g202 +V( +tp2389 +a(g18 +Vdone +p2390 +tp2391 +a(g202 +V) +tp2392 +a(g189 +V\u000a +tp2393 +a(g189 +V +p2394 +tp2395 +a(g18 +VcurrentStep +p2396 +tp2397 +a(g189 +V +tp2398 +a(g202 +V= +tp2399 +a(g189 +V +tp2400 +a(g18 +VOpenerTaskStep +p2401 +tp2402 +a(g202 +V. +tp2403 +a(g18 +VFindRoots +p2404 +tp2405 +a(g202 +V; +tp2406 +a(g189 +V\u000a +tp2407 +a(g189 +V +p2408 +tp2409 +a(g111 +Vbreak +p2410 +tp2411 +a(g202 +V; +tp2412 +a(g189 +V\u000a +tp2413 +a(g189 +V +p2414 +tp2415 +a(g189 +V\u000a +tp2416 +a(g189 +V +p2417 +tp2418 +a(g189 +V\u000a +tp2419 +a(g189 +V +p2420 +tp2421 +a(g111 +Vcase +p2422 +tp2423 +a(g189 +V +tp2424 +a(g18 +VOpenerTaskStep +p2425 +tp2426 +a(g202 +V. +tp2427 +a(g18 +VFindRoots +p2428 +tp2429 +a(g202 +V: +tp2430 +a(g189 +V\u000a +tp2431 +a(g189 +V +p2432 +tp2433 +a(g18 +VprojectTrackList +p2434 +tp2435 +a(g189 +V +tp2436 +a(g202 +V= +tp2437 +a(g189 +V +tp2438 +a(g202 +V( +tp2439 +a(g18 +VTrackList +p2440 +tp2441 +a(g202 +V) +tp2442 +a(g189 +V +tp2443 +a(g18 +VFindRoot +p2444 +tp2445 +a(g189 +V +tp2446 +a(g202 +V( +tp2447 +a(g226 +V"tracklist" +p2448 +tp2449 +a(g202 +V) +tp2450 +a(g202 +V; +tp2451 +a(g189 +V\u000a +tp2452 +a(g189 +V +p2453 +tp2454 +a(g18 +VprojectTagList +p2455 +tp2456 +a(g189 +V +tp2457 +a(g202 +V= +tp2458 +a(g189 +V +tp2459 +a(g202 +V( +tp2460 +a(g18 +VTagList +p2461 +tp2462 +a(g202 +V) +tp2463 +a(g189 +V +tp2464 +a(g18 +VFindRoot +p2465 +tp2466 +a(g189 +V +tp2467 +a(g202 +V( +tp2468 +a(g226 +V"taglist" +p2469 +tp2470 +a(g202 +V) +tp2471 +a(g202 +V; +tp2472 +a(g189 +V\u000a +tp2473 +a(g189 +V +p2474 +tp2475 +a(g18 +VprojectStuffList +p2476 +tp2477 +a(g189 +V +tp2478 +a(g202 +V= +tp2479 +a(g189 +V +tp2480 +a(g202 +V( +tp2481 +a(g18 +VStuffList +p2482 +tp2483 +a(g202 +V) +tp2484 +a(g189 +V +tp2485 +a(g18 +VFindRoot +p2486 +tp2487 +a(g189 +V +tp2488 +a(g202 +V( +tp2489 +a(g226 +V"stufflist" +p2490 +tp2491 +a(g202 +V) +tp2492 +a(g202 +V; +tp2493 +a(g189 +V\u000a +tp2494 +a(g189 +V +p2495 +tp2496 +a(g18 +VprojectClipList +p2497 +tp2498 +a(g189 +V +tp2499 +a(g202 +V= +tp2500 +a(g189 +V +tp2501 +a(g202 +V( +tp2502 +a(g18 +VClipList +p2503 +tp2504 +a(g202 +V) +tp2505 +a(g189 +V +tp2506 +a(g18 +VFindRoot +p2507 +tp2508 +a(g189 +V +tp2509 +a(g202 +V( +tp2510 +a(g226 +V"cliplist" +p2511 +tp2512 +a(g202 +V) +tp2513 +a(g202 +V; +tp2514 +a(g189 +V\u000a +tp2515 +a(g189 +V +p2516 +tp2517 +a(g18 +VprojectMediaItemList +p2518 +tp2519 +a(g189 +V +tp2520 +a(g202 +V= +tp2521 +a(g189 +V +tp2522 +a(g202 +V( +tp2523 +a(g18 +VMediaItemList +p2524 +tp2525 +a(g202 +V) +tp2526 +a(g189 +V +tp2527 +a(g18 +VFindRoot +p2528 +tp2529 +a(g189 +V +tp2530 +a(g202 +V( +tp2531 +a(g226 +V"mediaitemlist" +p2532 +tp2533 +a(g202 +V) +tp2534 +a(g202 +V; +tp2535 +a(g189 +V\u000a +tp2536 +a(g189 +V +p2537 +tp2538 +a(g18 +VprojectPipeline +p2539 +tp2540 +a(g189 +V +tp2541 +a(g202 +V= +tp2542 +a(g189 +V +tp2543 +a(g202 +V( +tp2544 +a(g18 +VGdv +p2545 +tp2546 +a(g202 +V. +tp2547 +a(g18 +VPipeline +p2548 +tp2549 +a(g202 +V) +tp2550 +a(g189 +V +tp2551 +a(g18 +VFindRoot +p2552 +tp2553 +a(g189 +V +tp2554 +a(g202 +V( +tp2555 +a(g226 +V"pipeline" +p2556 +tp2557 +a(g202 +V) +tp2558 +a(g202 +V; +tp2559 +a(g189 +V\u000a +tp2560 +a(g189 +V +p2561 +tp2562 +a(g18 +VprojectCommander +p2563 +tp2564 +a(g189 +V +tp2565 +a(g202 +V= +tp2566 +a(g189 +V +tp2567 +a(g202 +V( +tp2568 +a(g18 +VCommander +p2569 +tp2570 +a(g202 +V) +tp2571 +a(g189 +V +tp2572 +a(g18 +VFindRoot +p2573 +tp2574 +a(g189 +V +tp2575 +a(g202 +V( +tp2576 +a(g226 +V"commander" +p2577 +tp2578 +a(g202 +V) +tp2579 +a(g202 +V; +tp2580 +a(g189 +V\u000a +tp2581 +a(g189 +V +p2582 +tp2583 +a(g18 +VprojectFormat +p2584 +tp2585 +a(g189 +V +tp2586 +a(g202 +V= +tp2587 +a(g189 +V +tp2588 +a(g202 +V( +tp2589 +a(g18 +VGdv +p2590 +tp2591 +a(g202 +V. +tp2592 +a(g18 +VProjectFormat +p2593 +tp2594 +a(g202 +V) +tp2595 +a(g189 +V +tp2596 +a(g18 +VFindRoot +p2597 +tp2598 +a(g189 +V +tp2599 +a(g202 +V( +tp2600 +a(g226 +V"projectformat" +p2601 +tp2602 +a(g202 +V) +tp2603 +a(g202 +V; +tp2604 +a(g189 +V\u000a +tp2605 +a(g189 +V +p2606 +tp2607 +a(g189 +V\u000a +tp2608 +a(g189 +V +p2609 +tp2610 +a(g18 +VcurrentStep +p2611 +tp2612 +a(g189 +V +tp2613 +a(g202 +V= +tp2614 +a(g189 +V +tp2615 +a(g18 +VOpenerTaskStep +p2616 +tp2617 +a(g202 +V. +tp2618 +a(g18 +VFinished +p2619 +tp2620 +a(g202 +V; +tp2621 +a(g189 +V\u000a +tp2622 +a(g189 +V +p2623 +tp2624 +a(g111 +Vbreak +p2625 +tp2626 +a(g202 +V; +tp2627 +a(g189 +V\u000a +tp2628 +a(g189 +V +p2629 +tp2630 +a(g189 +V\u000a +tp2631 +a(g189 +V +p2632 +tp2633 +a(g111 +Vcase +p2634 +tp2635 +a(g189 +V +tp2636 +a(g18 +VOpenerTaskStep +p2637 +tp2638 +a(g202 +V. +tp2639 +a(g18 +VFinished +p2640 +tp2641 +a(g202 +V: +tp2642 +a(g189 +V\u000a +tp2643 +a(g189 +V +p2644 +tp2645 +a(g18 +Vcont +p2646 +tp2647 +a(g189 +V +tp2648 +a(g202 +V= +tp2649 +a(g189 +V +tp2650 +a(g111 +Vfalse +p2651 +tp2652 +a(g202 +V; +tp2653 +a(g189 +V\u000a +tp2654 +a(g189 +V +p2655 +tp2656 +a(g111 +Vbreak +p2657 +tp2658 +a(g202 +V; +tp2659 +a(g189 +V\u000a +tp2660 +a(g189 +V +p2661 +tp2662 +a(g189 +V\u000a +tp2663 +a(g189 +V +p2664 +tp2665 +a(g111 +Vdefault +p2666 +tp2667 +a(g202 +V: +tp2668 +a(g189 +V\u000a +tp2669 +a(g189 +V +p2670 +tp2671 +a(g111 +Vbreak +p2672 +tp2673 +a(g202 +V; +tp2674 +a(g189 +V\u000a +tp2675 +a(g189 +V +p2676 +tp2677 +a(g202 +V} +tp2678 +a(g189 +V\u000a +tp2679 +a(g189 +V +p2680 +tp2681 +a(g189 +V\u000a +tp2682 +a(g189 +V +p2683 +tp2684 +a(g7 +V// Post \u000a +p2685 +tp2686 +a(g189 +V +p2687 +tp2688 +a(g111 +Vif +p2689 +tp2690 +a(g189 +V +tp2691 +a(g202 +V( +tp2692 +a(g18 +Vcont +p2693 +tp2694 +a(g202 +V) +tp2695 +a(g189 +V +tp2696 +a(g189 +V\u000a +tp2697 +a(g189 +V +p2698 +tp2699 +a(g111 +Vreturn +p2700 +tp2701 +a(g189 +V +tp2702 +a(g18 +VTaskStatus +p2703 +tp2704 +a(g202 +V. +tp2705 +a(g18 +VRunning +p2706 +tp2707 +a(g202 +V; +tp2708 +a(g189 +V\u000a +tp2709 +a(g189 +V +p2710 +tp2711 +a(g111 +Velse +p2712 +tp2713 +a(g189 +V\u000a +tp2714 +a(g189 +V +p2715 +tp2716 +a(g111 +Vreturn +p2717 +tp2718 +a(g189 +V +tp2719 +a(g18 +VTaskStatus +p2720 +tp2721 +a(g202 +V. +tp2722 +a(g18 +VDone +p2723 +tp2724 +a(g202 +V; +tp2725 +a(g189 +V\u000a +tp2726 +a(g189 +V +p2727 +tp2728 +a(g202 +V} +tp2729 +a(g189 +V\u000a +tp2730 +a(g189 +V\u000a +tp2731 +a(g189 +V +p2732 +tp2733 +a(g358 +V/*\u000a void ReadHeader ()\u000a {\u000a // FIXME: Read all the attributes from the element\u000a }*/ +p2734 +tp2735 +a(g189 +V\u000a +tp2736 +a(g189 +V\u000a +tp2737 +a(g189 +V +p2738 +tp2739 +a(g111 +Vvoid +p2740 +tp2741 +a(g189 +V +tp2742 +a(g21 +VResolveProjectInfoNode +p2743 +tp2744 +a(g189 +V +tp2745 +a(g202 +V( +tp2746 +a(g18 +VXmlNode +p2747 +tp2748 +a(g189 +V +tp2749 +a(g18 +Vnode +p2750 +tp2751 +a(g202 +V) +tp2752 +a(g189 +V\u000a +tp2753 +a(g189 +V +p2754 +tp2755 +a(g202 +V{ +tp2756 +a(g189 +V\u000a +tp2757 +a(g189 +V +p2758 +tp2759 +a(g111 +Vforeach +p2760 +tp2761 +a(g189 +V +tp2762 +a(g202 +V( +tp2763 +a(g18 +VXmlNode +p2764 +tp2765 +a(g189 +V +tp2766 +a(g18 +VchildNode +p2767 +tp2768 +a(g189 +V +tp2769 +a(g111 +Vin +p2770 +tp2771 +a(g189 +V +tp2772 +a(g18 +Vnode +p2773 +tp2774 +a(g202 +V) +tp2775 +a(g189 +V +tp2776 +a(g202 +V{ +tp2777 +a(g189 +V\u000a +tp2778 +a(g189 +V +p2779 +tp2780 +a(g189 +V\u000a +tp2781 +a(g189 +V +p2782 +tp2783 +a(g111 +Vswitch +p2784 +tp2785 +a(g189 +V +tp2786 +a(g202 +V( +tp2787 +a(g18 +VchildNode +p2788 +tp2789 +a(g202 +V. +tp2790 +a(g18 +VName +p2791 +tp2792 +a(g202 +V) +tp2793 +a(g189 +V +tp2794 +a(g202 +V{ +tp2795 +a(g189 +V\u000a +tp2796 +a(g189 +V +p2797 +tp2798 +a(g189 +V\u000a +tp2799 +a(g189 +V +p2800 +tp2801 +a(g111 +Vcase +p2802 +tp2803 +a(g189 +V +tp2804 +a(g226 +V"name" +p2805 +tp2806 +a(g202 +V: +tp2807 +a(g189 +V\u000a +tp2808 +a(g189 +V +p2809 +tp2810 +a(g18 +VprojectName +p2811 +tp2812 +a(g189 +V +tp2813 +a(g202 +V= +tp2814 +a(g189 +V +tp2815 +a(g18 +VchildNode +p2816 +tp2817 +a(g202 +V. +tp2818 +a(g18 +VFirstChild +p2819 +tp2820 +a(g202 +V. +tp2821 +a(g18 +VValue +p2822 +tp2823 +a(g202 +V; +tp2824 +a(g189 +V\u000a +tp2825 +a(g189 +V +p2826 +tp2827 +a(g111 +Vbreak +p2828 +tp2829 +a(g202 +V; +tp2830 +a(g189 +V\u000a +tp2831 +a(g189 +V +p2832 +tp2833 +a(g189 +V\u000a +tp2834 +a(g189 +V +p2835 +tp2836 +a(g111 +Vcase +p2837 +tp2838 +a(g189 +V +tp2839 +a(g226 +V"directory" +p2840 +tp2841 +a(g202 +V: +tp2842 +a(g189 +V\u000a +tp2843 +a(g189 +V +p2844 +tp2845 +a(g18 +VprojectDirectory +p2846 +tp2847 +a(g189 +V +tp2848 +a(g202 +V= +tp2849 +a(g189 +V +tp2850 +a(g18 +VchildNode +p2851 +tp2852 +a(g202 +V. +tp2853 +a(g18 +VFirstChild +p2854 +tp2855 +a(g202 +V. +tp2856 +a(g18 +VValue +p2857 +tp2858 +a(g202 +V; +tp2859 +a(g189 +V\u000a +tp2860 +a(g189 +V +p2861 +tp2862 +a(g111 +Vbreak +p2863 +tp2864 +a(g202 +V; +tp2865 +a(g189 +V\u000a +tp2866 +a(g189 +V\u000a +tp2867 +a(g189 +V +p2868 +tp2869 +a(g7 +V// FIXME: Duration etc.\u000a +p2870 +tp2871 +a(g189 +V +p2872 +tp2873 +a(g202 +V} +tp2874 +a(g189 +V\u000a +tp2875 +a(g189 +V +p2876 +tp2877 +a(g202 +V} +tp2878 +a(g189 +V\u000a +tp2879 +a(g189 +V +p2880 +tp2881 +a(g202 +V} +tp2882 +a(g189 +V\u000a +tp2883 +a(g189 +V +p2884 +tp2885 +a(g189 +V\u000a +tp2886 +a(g189 +V +p2887 +tp2888 +a(g139 +Vbool +p2889 +tp2890 +a(g189 +V +tp2891 +a(g21 +VEnumerateSomeObjects +p2892 +tp2893 +a(g189 +V +tp2894 +a(g202 +V( +tp2895 +a(g202 +V) +tp2896 +a(g189 +V\u000a +tp2897 +a(g189 +V +p2898 +tp2899 +a(g202 +V{ +tp2900 +a(g189 +V\u000a +tp2901 +a(g189 +V +p2902 +tp2903 +a(g111 +Vif +p2904 +tp2905 +a(g189 +V +tp2906 +a(g202 +V( +tp2907 +a(g18 +VobjectsEnumerator +p2908 +tp2909 +a(g189 +V +tp2910 +a(g202 +V= +tp2911 +a(g202 +V= +tp2912 +a(g189 +V +tp2913 +a(g111 +Vnull +p2914 +tp2915 +a(g202 +V) +tp2916 +a(g189 +V\u000a +tp2917 +a(g189 +V +p2918 +tp2919 +a(g18 +VobjectsEnumerator +p2920 +tp2921 +a(g189 +V +tp2922 +a(g202 +V= +tp2923 +a(g189 +V +tp2924 +a(g18 +VobjectListContainer +p2925 +tp2926 +a(g202 +V. +tp2927 +a(g18 +VFindAllObjects +p2928 +tp2929 +a(g189 +V +tp2930 +a(g202 +V( +tp2931 +a(g202 +V) +tp2932 +a(g202 +V. +tp2933 +a(g18 +VGetEnumerator +p2934 +tp2935 +a(g189 +V +tp2936 +a(g202 +V( +tp2937 +a(g202 +V) +tp2938 +a(g202 +V; +tp2939 +a(g189 +V\u000a +tp2940 +a(g189 +V +p2941 +tp2942 +a(g189 +V\u000a +tp2943 +a(g189 +V +p2944 +tp2945 +a(g111 +Vfor +p2946 +tp2947 +a(g189 +V +tp2948 +a(g202 +V( +tp2949 +a(g139 +Vint +p2950 +tp2951 +a(g189 +V +tp2952 +a(g18 +Vi +tp2953 +a(g189 +V +tp2954 +a(g202 +V= +tp2955 +a(g189 +V +tp2956 +a(g213 +V0 +tp2957 +a(g202 +V; +tp2958 +a(g189 +V +tp2959 +a(g18 +Vi +tp2960 +a(g189 +V +tp2961 +a(g202 +V< +tp2962 +a(g189 +V +tp2963 +a(g213 +V1 +tp2964 +a(g213 +V0 +tp2965 +a(g202 +V; +tp2966 +a(g189 +V +tp2967 +a(g18 +Vi +tp2968 +a(g202 +V+ +tp2969 +a(g202 +V+ +tp2970 +a(g202 +V) +tp2971 +a(g189 +V +tp2972 +a(g202 +V{ +tp2973 +a(g189 +V\u000a +tp2974 +a(g189 +V +p2975 +tp2976 +a(g111 +Vif +p2977 +tp2978 +a(g189 +V +tp2979 +a(g202 +V( +tp2980 +a(g18 +VobjectsEnumerator +p2981 +tp2982 +a(g202 +V. +tp2983 +a(g18 +VMoveNext +p2984 +tp2985 +a(g189 +V +tp2986 +a(g202 +V( +tp2987 +a(g202 +V) +tp2988 +a(g189 +V +tp2989 +a(g202 +V= +tp2990 +a(g202 +V= +tp2991 +a(g189 +V +tp2992 +a(g111 +Vfalse +p2993 +tp2994 +a(g202 +V) +tp2995 +a(g189 +V\u000a +tp2996 +a(g189 +V +p2997 +tp2998 +a(g111 +Vreturn +p2999 +tp3000 +a(g189 +V +tp3001 +a(g111 +Vtrue +p3002 +tp3003 +a(g202 +V; +tp3004 +a(g189 +V\u000a +tp3005 +a(g189 +V\u000a +tp3006 +a(g189 +V +p3007 +tp3008 +a(g18 +VObjectContainer +p3009 +tp3010 +a(g189 +V +tp3011 +a(g18 +Vcontainer +p3012 +tp3013 +a(g189 +V +tp3014 +a(g202 +V= +tp3015 +a(g189 +V +tp3016 +a(g202 +V( +tp3017 +a(g18 +VObjectContainer +p3018 +tp3019 +a(g202 +V) +tp3020 +a(g189 +V\u000a +tp3021 +a(g189 +V +p3022 +tp3023 +a(g18 +VobjectsEnumerator +p3024 +tp3025 +a(g202 +V. +tp3026 +a(g18 +VCurrent +p3027 +tp3028 +a(g202 +V; +tp3029 +a(g189 +V\u000a +tp3030 +a(g189 +V +p3031 +tp3032 +a(g189 +V\u000a +tp3033 +a(g189 +V +p3034 +tp3035 +a(g18 +VObjectInfo +p3036 +tp3037 +a(g189 +V +tp3038 +a(g18 +VnewInfo +p3039 +tp3040 +a(g189 +V +tp3041 +a(g202 +V= +tp3042 +a(g189 +V +tp3043 +a(g111 +Vnew +p3044 +tp3045 +a(g189 +V +tp3046 +a(g18 +VObjectInfo +p3047 +tp3048 +a(g189 +V +tp3049 +a(g202 +V( +tp3050 +a(g18 +Vcontainer +p3051 +tp3052 +a(g202 +V) +tp3053 +a(g202 +V; +tp3054 +a(g189 +V\u000a +tp3055 +a(g189 +V +p3056 +tp3057 +a(g18 +VobjectsList +p3058 +tp3059 +a(g202 +V. +tp3060 +a(g18 +VAdd +p3061 +tp3062 +a(g189 +V +tp3063 +a(g202 +V( +tp3064 +a(g18 +VnewInfo +p3065 +tp3066 +a(g202 +V) +tp3067 +a(g202 +V; +tp3068 +a(g189 +V\u000a +tp3069 +a(g189 +V +p3070 +tp3071 +a(g202 +V} +tp3072 +a(g189 +V\u000a +tp3073 +a(g189 +V +p3074 +tp3075 +a(g189 +V\u000a +tp3076 +a(g189 +V +p3077 +tp3078 +a(g111 +Vreturn +p3079 +tp3080 +a(g189 +V +tp3081 +a(g111 +Vfalse +p3082 +tp3083 +a(g202 +V; +tp3084 +a(g189 +V\u000a +tp3085 +a(g189 +V +p3086 +tp3087 +a(g202 +V} +tp3088 +a(g189 +V\u000a +tp3089 +a(g189 +V\u000a +tp3090 +a(g189 +V +p3091 +tp3092 +a(g18 +VObjectInfo +p3093 +tp3094 +a(g189 +V +tp3095 +a(g21 +VGetNextCandidate +p3096 +tp3097 +a(g189 +V +tp3098 +a(g202 +V( +tp3099 +a(g202 +V) +tp3100 +a(g189 +V\u000a +tp3101 +a(g189 +V +p3102 +tp3103 +a(g202 +V{ +tp3104 +a(g189 +V\u000a +tp3105 +a(g189 +V +p3106 +tp3107 +a(g111 +Vforeach +p3108 +tp3109 +a(g189 +V +tp3110 +a(g202 +V( +tp3111 +a(g18 +VObjectInfo +p3112 +tp3113 +a(g189 +V +tp3114 +a(g18 +VobjInfo +p3115 +tp3116 +a(g189 +V +tp3117 +a(g111 +Vin +p3118 +tp3119 +a(g189 +V +tp3120 +a(g18 +VobjectsList +p3121 +tp3122 +a(g202 +V) +tp3123 +a(g189 +V\u000a +tp3124 +a(g189 +V +p3125 +tp3126 +a(g111 +Vif +p3127 +tp3128 +a(g189 +V +tp3129 +a(g202 +V( +tp3130 +a(g18 +VobjInfo +p3131 +tp3132 +a(g202 +V. +tp3133 +a(g18 +VIsUnBoilable +p3134 +tp3135 +a(g189 +V +tp3136 +a(g202 +V( +tp3137 +a(g111 +Vthis +p3138 +tp3139 +a(g202 +V) +tp3140 +a(g202 +V) +tp3141 +a(g189 +V\u000a +tp3142 +a(g189 +V +p3143 +tp3144 +a(g111 +Vreturn +p3145 +tp3146 +a(g189 +V +tp3147 +a(g18 +VobjInfo +p3148 +tp3149 +a(g202 +V; +tp3150 +a(g189 +V\u000a +tp3151 +a(g189 +V +p3152 +tp3153 +a(g189 +V\u000a +tp3154 +a(g189 +V +p3155 +tp3156 +a(g111 +Vthrow +p3157 +tp3158 +a(g189 +V +tp3159 +a(g111 +Vnew +p3160 +tp3161 +a(g189 +V +tp3162 +a(g21 +VException +p3163 +tp3164 +a(g189 +V +tp3165 +a(g202 +V( +tp3166 +a(g226 +V"FIXME: No more unboilable objects found. Recursive?" +p3167 +tp3168 +a(g202 +V) +tp3169 +a(g202 +V; +tp3170 +a(g189 +V\u000a +tp3171 +a(g189 +V +p3172 +tp3173 +a(g202 +V} +tp3174 +a(g189 +V\u000a +tp3175 +a(g189 +V +p3176 +tp3177 +a(g189 +V\u000a +tp3178 +a(g189 +V +p3179 +tp3180 +a(g139 +Vbool +p3181 +tp3182 +a(g189 +V +tp3183 +a(g21 +VUnBoilSomeObjects +p3184 +tp3185 +a(g189 +V +tp3186 +a(g202 +V( +tp3187 +a(g202 +V) +tp3188 +a(g189 +V\u000a +tp3189 +a(g189 +V +p3190 +tp3191 +a(g202 +V{ +tp3192 +a(g189 +V\u000a +tp3193 +a(g189 +V +p3194 +tp3195 +a(g111 +Vfor +p3196 +tp3197 +a(g189 +V +tp3198 +a(g202 +V( +tp3199 +a(g139 +Vint +p3200 +tp3201 +a(g189 +V +tp3202 +a(g18 +Vi +tp3203 +a(g189 +V +tp3204 +a(g202 +V= +tp3205 +a(g189 +V +tp3206 +a(g213 +V0 +tp3207 +a(g202 +V; +tp3208 +a(g189 +V +tp3209 +a(g18 +Vi +tp3210 +a(g189 +V +tp3211 +a(g202 +V< +tp3212 +a(g189 +V +tp3213 +a(g213 +V5 +tp3214 +a(g202 +V; +tp3215 +a(g189 +V +tp3216 +a(g18 +Vi +tp3217 +a(g202 +V+ +tp3218 +a(g202 +V+ +tp3219 +a(g202 +V) +tp3220 +a(g189 +V +tp3221 +a(g202 +V{ +tp3222 +a(g189 +V\u000a +tp3223 +a(g189 +V +p3224 +tp3225 +a(g7 +V// All unboiled\u000a +p3226 +tp3227 +a(g189 +V +p3228 +tp3229 +a(g111 +Vif +p3230 +tp3231 +a(g189 +V +tp3232 +a(g202 +V( +tp3233 +a(g18 +VobjectsList +p3234 +tp3235 +a(g202 +V. +tp3236 +a(g18 +VCount +p3237 +tp3238 +a(g189 +V +tp3239 +a(g202 +V= +tp3240 +a(g202 +V= +tp3241 +a(g189 +V +tp3242 +a(g213 +V0 +tp3243 +a(g202 +V) +tp3244 +a(g189 +V\u000a +tp3245 +a(g189 +V +p3246 +tp3247 +a(g111 +Vreturn +p3248 +tp3249 +a(g189 +V +tp3250 +a(g111 +Vtrue +p3251 +tp3252 +a(g202 +V; +tp3253 +a(g189 +V\u000a +tp3254 +a(g189 +V +p3255 +tp3256 +a(g189 +V\u000a +tp3257 +a(g189 +V +p3258 +tp3259 +a(g18 +VObjectInfo +p3260 +tp3261 +a(g189 +V +tp3262 +a(g18 +VobjInfo +p3263 +tp3264 +a(g189 +V +tp3265 +a(g202 +V= +tp3266 +a(g189 +V +tp3267 +a(g18 +VGetNextCandidate +p3268 +tp3269 +a(g189 +V +tp3270 +a(g202 +V( +tp3271 +a(g202 +V) +tp3272 +a(g202 +V; +tp3273 +a(g189 +V\u000a +tp3274 +a(g189 +V\u000a +tp3275 +a(g189 +V +p3276 +tp3277 +a(g139 +Vobject +p3278 +tp3279 +a(g189 +V +tp3280 +a(g18 +Vo +tp3281 +a(g189 +V +tp3282 +a(g202 +V= +tp3283 +a(g189 +V +tp3284 +a(g18 +VBoilFactory +p3285 +tp3286 +a(g202 +V. +tp3287 +a(g18 +VUnBoil +p3288 +tp3289 +a(g189 +V +tp3290 +a(g202 +V( +tp3291 +a(g18 +VobjInfo +p3292 +tp3293 +a(g202 +V. +tp3294 +a(g18 +VContainer +p3295 +tp3296 +a(g202 +V, +tp3297 +a(g189 +V +tp3298 +a(g111 +Vthis +p3299 +tp3300 +a(g202 +V) +tp3301 +a(g202 +V; +tp3302 +a(g189 +V\u000a +tp3303 +a(g189 +V +p3304 +tp3305 +a(g18 +VobjectsList +p3306 +tp3307 +a(g202 +V. +tp3308 +a(g18 +VRemove +p3309 +tp3310 +a(g189 +V +tp3311 +a(g202 +V( +tp3312 +a(g18 +VobjInfo +p3313 +tp3314 +a(g202 +V) +tp3315 +a(g202 +V; +tp3316 +a(g189 +V\u000a +tp3317 +a(g189 +V\u000a +tp3318 +a(g189 +V +p3319 +tp3320 +a(g7 +V// Add\u000a +p3321 +tp3322 +a(g189 +V +p3323 +tp3324 +a(g18 +VidToObject +p3325 +tp3326 +a(g189 +V +tp3327 +a(g202 +V[ +tp3328 +a(g18 +VobjInfo +p3329 +tp3330 +a(g202 +V. +tp3331 +a(g18 +VRefId +p3332 +tp3333 +a(g202 +V] +tp3334 +a(g189 +V +tp3335 +a(g202 +V= +tp3336 +a(g189 +V +tp3337 +a(g18 +Vo +tp3338 +a(g202 +V; +tp3339 +a(g189 +V\u000a +tp3340 +a(g189 +V +p3341 +tp3342 +a(g18 +VobjectToId +p3343 +tp3344 +a(g189 +V +tp3345 +a(g202 +V[ +tp3346 +a(g18 +Vo +tp3347 +a(g202 +V] +tp3348 +a(g189 +V +tp3349 +a(g202 +V= +tp3350 +a(g189 +V +tp3351 +a(g18 +VobjInfo +p3352 +tp3353 +a(g202 +V. +tp3354 +a(g18 +VRefId +p3355 +tp3356 +a(g202 +V; +tp3357 +a(g189 +V\u000a +tp3358 +a(g189 +V\u000a +tp3359 +a(g189 +V +p3360 +tp3361 +a(g202 +V} +tp3362 +a(g189 +V\u000a +tp3363 +a(g189 +V +p3364 +tp3365 +a(g189 +V\u000a +tp3366 +a(g189 +V +p3367 +tp3368 +a(g111 +Vreturn +p3369 +tp3370 +a(g189 +V +tp3371 +a(g111 +Vfalse +p3372 +tp3373 +a(g202 +V; +tp3374 +a(g189 +V\u000a +tp3375 +a(g189 +V +p3376 +tp3377 +a(g202 +V} +tp3378 +a(g189 +V\u000a +tp3379 +a(g189 +V\u000a +tp3380 +a(g189 +V +p3381 +tp3382 +a(g139 +Vobject +p3383 +tp3384 +a(g189 +V +tp3385 +a(g21 +VFindRoot +p3386 +tp3387 +a(g189 +V +tp3388 +a(g202 +V( +tp3389 +a(g139 +Vstring +p3390 +tp3391 +a(g189 +V +tp3392 +a(g18 +VrootString +p3393 +tp3394 +a(g202 +V) +tp3395 +a(g189 +V\u000a +tp3396 +a(g189 +V +p3397 +tp3398 +a(g202 +V{ +tp3399 +a(g189 +V\u000a +tp3400 +a(g189 +V +p3401 +tp3402 +a(g18 +VObjectContainer +p3403 +tp3404 +a(g189 +V +tp3405 +a(g18 +Vcontainer +p3406 +tp3407 +a(g189 +V +tp3408 +a(g202 +V= +tp3409 +a(g189 +V +tp3410 +a(g18 +VobjectListContainer +p3411 +tp3412 +a(g202 +V. +tp3413 +a(g18 +VFindObjectContainer +p3414 +tp3415 +a(g189 +V +tp3416 +a(g202 +V( +tp3417 +a(g18 +VrootString +p3418 +tp3419 +a(g202 +V) +tp3420 +a(g202 +V; +tp3421 +a(g189 +V\u000a +tp3422 +a(g189 +V +p3423 +tp3424 +a(g111 +Vreturn +p3425 +tp3426 +a(g189 +V +tp3427 +a(g18 +VidToObject +p3428 +tp3429 +a(g189 +V +tp3430 +a(g202 +V[ +tp3431 +a(g18 +Vcontainer +p3432 +tp3433 +a(g202 +V. +tp3434 +a(g18 +VRefId +p3435 +tp3436 +a(g202 +V] +tp3437 +a(g202 +V; +tp3438 +a(g189 +V\u000a +tp3439 +a(g189 +V +p3440 +tp3441 +a(g202 +V} +tp3442 +a(g189 +V\u000a +tp3443 +a(g189 +V +p3444 +tp3445 +a(g189 +V\u000a +tp3446 +a(g189 +V +p3447 +tp3448 +a(g202 +V} +tp3449 +a(g189 +V\u000a +tp3450 +a(g189 +V +p3451 +tp3452 +a(g189 +V\u000a +tp3453 +a(g202 +V} +tp3454 +a(g189 +V\u000a +tp3455 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.css b/tests/examplefiles/output/test.css new file mode 100644 index 0000000..5629be1 --- /dev/null +++ b/tests/examplefiles/output/test.css @@ -0,0 +1,2260 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Name' +p5 +S'Tag' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Function' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsS'Exception' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g9 +g10 +((ltRp22 +sg12 +g13 +sbsg6 +g7 +sS'Constant' +p23 +g2 +(g3 +g4 +(g5 +g23 +ttRp24 +(dp25 +g9 +g10 +((ltRp26 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp27 +(dp28 +S'Comment' +p29 +g2 +(g3 +g4 +(g29 +ttRp30 +(dp31 +g12 +g27 +sS'Preproc' +p32 +g2 +(g3 +g4 +(g29 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g30 +sbsS'Single' +p36 +g2 +(g3 +g4 +(g29 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g30 +sbsS'Multiline' +p40 +g2 +(g3 +g4 +(g29 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g30 +sbsg9 +g10 +((lp44 +g2 +(g3 +g4 +(g29 +S'Special' +p45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g30 +sbag33 +ag37 +ag41 +atRp49 +sg45 +g46 +sbsg5 +g13 +sS'Keyword' +p50 +g2 +(g3 +g4 +(g50 +ttRp51 +(dp52 +g23 +g2 +(g3 +g4 +(g50 +g23 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g51 +sbsg12 +g27 +sS'Namespace' +p56 +g2 +(g3 +g4 +(g50 +g56 +ttRp57 +(dp58 +g9 +g10 +((ltRp59 +sg12 +g51 +sbsS'Pseudo' +p60 +g2 +(g3 +g4 +(g50 +g60 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g51 +sbsS'Reserved' +p64 +g2 +(g3 +g4 +(g50 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g51 +sbsS'Declaration' +p68 +g2 +(g3 +g4 +(g50 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g51 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g50 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g51 +sbsg9 +g10 +((lp76 +g53 +ag65 +ag2 +(g3 +g4 +(g50 +S'Type' +p77 +ttRp78 +(dp79 +g9 +g10 +((ltRp80 +sg12 +g51 +sbag69 +ag73 +ag57 +ag61 +atRp81 +sg77 +g78 +sbsS'Generic' +p82 +g2 +(g3 +g4 +(g82 +ttRp83 +(dp84 +S'Prompt' +p85 +g2 +(g3 +g4 +(g82 +g85 +ttRp86 +(dp87 +g9 +g10 +((ltRp88 +sg12 +g83 +sbsg12 +g27 +sS'Deleted' +p89 +g2 +(g3 +g4 +(g82 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g83 +sbsS'Traceback' +p93 +g2 +(g3 +g4 +(g82 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g83 +sbsS'Emph' +p97 +g2 +(g3 +g4 +(g82 +g97 +ttRp98 +(dp99 +g9 +g10 +((ltRp100 +sg12 +g83 +sbsS'Output' +p101 +g2 +(g3 +g4 +(g82 +g101 +ttRp102 +(dp103 +g9 +g10 +((ltRp104 +sg12 +g83 +sbsS'Subheading' +p105 +g2 +(g3 +g4 +(g82 +g105 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g83 +sbsS'Error' +p109 +g2 +(g3 +g4 +(g82 +g109 +ttRp110 +(dp111 +g9 +g10 +((ltRp112 +sg12 +g83 +sbsg9 +g10 +((lp113 +g102 +ag98 +ag110 +ag106 +ag94 +ag90 +ag2 +(g3 +g4 +(g82 +S'Heading' +p114 +ttRp115 +(dp116 +g9 +g10 +((ltRp117 +sg12 +g83 +sbag2 +(g3 +g4 +(g82 +S'Inserted' +p118 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g83 +sbag2 +(g3 +g4 +(g82 +S'Strong' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g83 +sbag86 +atRp126 +sg122 +g123 +sg118 +g119 +sg114 +g115 +sbsS'Text' +p127 +g2 +(g3 +g4 +(g127 +ttRp128 +(dp129 +g9 +g10 +((lp130 +g2 +(g3 +g4 +(g127 +S'Symbol' +p131 +ttRp132 +(dp133 +g9 +g10 +((ltRp134 +sg12 +g128 +sbag2 +(g3 +g4 +(g127 +S'Whitespace' +p135 +ttRp136 +(dp137 +g9 +g10 +((ltRp138 +sg12 +g128 +sbatRp139 +sg131 +g132 +sg135 +g136 +sg12 +g27 +sbsS'Punctuation' +p140 +g2 +(g3 +g4 +(g140 +ttRp141 +(dp142 +g9 +g10 +((lp143 +g2 +(g3 +g4 +(g140 +S'Indicator' +p144 +ttRp145 +(dp146 +g9 +g10 +((ltRp147 +sg12 +g141 +sbatRp148 +sg144 +g145 +sg12 +g27 +sbsS'Token' +p149 +g27 +sS'Number' +p150 +g2 +(g3 +g4 +(S'Literal' +p151 +g150 +ttRp152 +(dp153 +S'Bin' +p154 +g2 +(g3 +g4 +(g151 +g150 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g152 +sbsS'Binary' +p158 +g2 +(g3 +g4 +(g151 +g150 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g152 +sbsg12 +g2 +(g3 +g4 +(g151 +ttRp162 +(dp163 +S'String' +p164 +g2 +(g3 +g4 +(g151 +g164 +ttRp165 +(dp166 +S'Regex' +p167 +g2 +(g3 +g4 +(g151 +g164 +g167 +ttRp168 +(dp169 +g9 +g10 +((ltRp170 +sg12 +g165 +sbsS'Interpol' +p171 +g2 +(g3 +g4 +(g151 +g164 +g171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g165 +sbsS'Regexp' +p175 +g2 +(g3 +g4 +(g151 +g164 +g175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g165 +sbsg12 +g162 +sS'Heredoc' +p179 +g2 +(g3 +g4 +(g151 +g164 +g179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g165 +sbsS'Double' +p183 +g2 +(g3 +g4 +(g151 +g164 +g183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g165 +sbsg131 +g2 +(g3 +g4 +(g151 +g164 +g131 +ttRp187 +(dp188 +g9 +g10 +((ltRp189 +sg12 +g165 +sbsS'Escape' +p190 +g2 +(g3 +g4 +(g151 +g164 +g190 +ttRp191 +(dp192 +g9 +g10 +((ltRp193 +sg12 +g165 +sbsS'Character' +p194 +g2 +(g3 +g4 +(g151 +g164 +g194 +ttRp195 +(dp196 +g9 +g10 +((ltRp197 +sg12 +g165 +sbsS'Interp' +p198 +g2 +(g3 +g4 +(g151 +g164 +g198 +ttRp199 +(dp200 +g9 +g10 +((ltRp201 +sg12 +g165 +sbsS'Backtick' +p202 +g2 +(g3 +g4 +(g151 +g164 +g202 +ttRp203 +(dp204 +g9 +g10 +((ltRp205 +sg12 +g165 +sbsS'Char' +p206 +g2 +(g3 +g4 +(g151 +g164 +g206 +ttRp207 +(dp208 +g9 +g10 +((ltRp209 +sg12 +g165 +sbsg36 +g2 +(g3 +g4 +(g151 +g164 +g36 +ttRp210 +(dp211 +g9 +g10 +((ltRp212 +sg12 +g165 +sbsS'Other' +p213 +g2 +(g3 +g4 +(g151 +g164 +g213 +ttRp214 +(dp215 +g9 +g10 +((ltRp216 +sg12 +g165 +sbsS'Doc' +p217 +g2 +(g3 +g4 +(g151 +g164 +g217 +ttRp218 +(dp219 +g9 +g10 +((ltRp220 +sg12 +g165 +sbsg9 +g10 +((lp221 +g214 +ag2 +(g3 +g4 +(g151 +g164 +S'Atom' +p222 +ttRp223 +(dp224 +g9 +g10 +((ltRp225 +sg12 +g165 +sbag184 +ag207 +ag199 +ag218 +ag180 +ag203 +ag172 +ag187 +ag176 +ag168 +ag210 +ag195 +ag191 +atRp226 +sg222 +g223 +sbsg12 +g27 +sg150 +g152 +sS'Scalar' +p227 +g2 +(g3 +g4 +(g151 +g227 +ttRp228 +(dp229 +g9 +g10 +((lp230 +g2 +(g3 +g4 +(g151 +g227 +S'Plain' +p231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g228 +sbatRp235 +sg12 +g162 +sg231 +g232 +sbsg213 +g2 +(g3 +g4 +(g151 +g213 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g162 +sbsS'Date' +p239 +g2 +(g3 +g4 +(g151 +g239 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g162 +sbsg9 +g10 +((lp243 +g240 +ag165 +ag236 +ag152 +ag228 +atRp244 +sbsS'Decimal' +p245 +g2 +(g3 +g4 +(g151 +g150 +g245 +ttRp246 +(dp247 +g9 +g10 +((ltRp248 +sg12 +g152 +sbsS'Float' +p249 +g2 +(g3 +g4 +(g151 +g150 +g249 +ttRp250 +(dp251 +g9 +g10 +((ltRp252 +sg12 +g152 +sbsS'Hex' +p253 +g2 +(g3 +g4 +(g151 +g150 +g253 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g152 +sbsS'Integer' +p257 +g2 +(g3 +g4 +(g151 +g150 +g257 +ttRp258 +(dp259 +g9 +g10 +((lp260 +g2 +(g3 +g4 +(g151 +g150 +g257 +S'Long' +p261 +ttRp262 +(dp263 +g9 +g10 +((ltRp264 +sg12 +g258 +sbatRp265 +sg261 +g262 +sg12 +g152 +sbsS'Octal' +p266 +g2 +(g3 +g4 +(g151 +g150 +g266 +ttRp267 +(dp268 +g9 +g10 +((ltRp269 +sg12 +g152 +sbsg9 +g10 +((lp270 +g155 +ag159 +ag267 +ag246 +ag2 +(g3 +g4 +(g151 +g150 +S'Oct' +p271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g152 +sbag258 +ag250 +ag254 +atRp275 +sg271 +g272 +sbsg151 +g162 +sg213 +g2 +(g3 +g4 +(g213 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g27 +sbsg109 +g2 +(g3 +g4 +(g109 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g27 +sbsS'Operator' +p282 +g2 +(g3 +g4 +(g282 +ttRp283 +(dp284 +g9 +g10 +((lp285 +g2 +(g3 +g4 +(g282 +S'Word' +p286 +ttRp287 +(dp288 +g9 +g10 +((ltRp289 +sg12 +g283 +sbatRp290 +sg286 +g287 +sg12 +g27 +sbsg9 +g10 +((lp291 +g30 +ag279 +ag83 +ag128 +ag13 +ag141 +ag51 +ag162 +ag283 +ag276 +atRp292 +sg164 +g165 +sbsg60 +g2 +(g3 +g4 +(g5 +g60 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g13 +sbsS'Attribute' +p296 +g2 +(g3 +g4 +(g5 +g296 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g13 +sbsS'Label' +p300 +g2 +(g3 +g4 +(g5 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g13 +sbsS'Blubb' +p304 +g2 +(g3 +g4 +(g5 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g13 +sbsS'Entity' +p308 +g2 +(g3 +g4 +(g5 +g308 +ttRp309 +(dp310 +g9 +g10 +((ltRp311 +sg12 +g13 +sbsS'Builtin' +p312 +g2 +(g3 +g4 +(g5 +g312 +ttRp313 +(dp314 +g9 +g10 +((lp315 +g2 +(g3 +g4 +(g5 +g312 +g60 +ttRp316 +(dp317 +g9 +g10 +((ltRp318 +sg12 +g313 +sbatRp319 +sg60 +g316 +sg12 +g13 +sbsg213 +g2 +(g3 +g4 +(g5 +g213 +ttRp320 +(dp321 +g9 +g10 +((ltRp322 +sg12 +g13 +sbsS'Identifier' +p323 +g2 +(g3 +g4 +(g5 +g323 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g13 +sbsg72 +g2 +(g3 +g4 +(g5 +g72 +ttRp327 +(dp328 +g12 +g13 +sS'Global' +p329 +g2 +(g3 +g4 +(g5 +g72 +g329 +ttRp330 +(dp331 +g9 +g10 +((ltRp332 +sg12 +g327 +sbsS'Instance' +p333 +g2 +(g3 +g4 +(g5 +g72 +g333 +ttRp334 +(dp335 +g9 +g10 +((ltRp336 +sg12 +g327 +sbsS'Anonymous' +p337 +g2 +(g3 +g4 +(g5 +g72 +g337 +ttRp338 +(dp339 +g9 +g10 +((ltRp340 +sg12 +g327 +sbsg9 +g10 +((lp341 +g338 +ag334 +ag330 +ag2 +(g3 +g4 +(g5 +g72 +S'Class' +p342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g327 +sbatRp346 +sg342 +g343 +sbsg9 +g10 +((lp347 +g2 +(g3 +g4 +(g5 +S'Decorator' +p348 +ttRp349 +(dp350 +g9 +g10 +((ltRp351 +sg12 +g13 +sbag297 +ag24 +ag293 +ag2 +(g3 +g4 +(g5 +g56 +ttRp352 +(dp353 +g9 +g10 +((ltRp354 +sg12 +g13 +sbag324 +ag313 +ag327 +ag320 +ag305 +ag309 +ag16 +ag2 +(g3 +g4 +(g5 +S'Property' +p355 +ttRp356 +(dp357 +g9 +g10 +((ltRp358 +sg12 +g13 +sbag301 +ag7 +ag20 +ag2 +(g3 +g4 +(g5 +g342 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbatRp362 +sg355 +g356 +sg342 +g359 +sg348 +g349 +sg56 +g352 +sbsbVbody +p363 +tp364 +a(g128 +V +tp365 +a(g141 +V{ +tp366 +a(g128 +V\u000a +p367 +tp368 +a(g51 +Vfont-size +p369 +tp370 +a(g283 +V: +tp371 +a(g128 +V +tp372 +a(g152 +V12pt +p373 +tp374 +a(g141 +V; +tp375 +a(g128 +V\u000a +p376 +tp377 +a(g51 +Vbackground +p378 +tp379 +a(g283 +V: +tp380 +a(g128 +V +tp381 +a(g152 +V#fff +p382 +tp383 +a(g128 +V +tp384 +a(g214 +Vurl(temp.png) +p385 +tp386 +a(g128 +V +tp387 +a(g51 +Vtop +p388 +tp389 +a(g128 +V +tp390 +a(g51 +Vleft +p391 +tp392 +a(g128 +V +tp393 +a(g51 +Vno-repeat +p394 +tp395 +a(g141 +V; +tp396 +a(g128 +V\u000a +tp397 +a(g141 +V} +tp398 +a(g128 +V\u000a\u000a +p399 +tp400 +a(g283 +V* +tp401 +a(g128 +V +tp402 +a(g7 +Vhtml +p403 +tp404 +a(g128 +V +tp405 +a(g7 +Vbody +p406 +tp407 +a(g128 +V +tp408 +a(g141 +V{ +tp409 +a(g128 +V\u000a +p410 +tp411 +a(g51 +Vfont-size +p412 +tp413 +a(g283 +V: +tp414 +a(g128 +V +tp415 +a(g152 +V14pt +p416 +tp417 +a(g141 +V; +tp418 +a(g128 +V\u000a +tp419 +a(g141 +V} +tp420 +a(g128 +V\u000a\u000a +p421 +tp422 +a(g16 +V#nav +p423 +tp424 +a(g128 +V +tp425 +a(g359 +V.new +p426 +tp427 +a(g128 +V +tp428 +a(g141 +V{ +tp429 +a(g128 +V\u000a +p430 +tp431 +a(g51 +Vdisplay +p432 +tp433 +a(g283 +V: +tp434 +a(g128 +V +tp435 +a(g51 +Vblock +p436 +tp437 +a(g141 +V; +tp438 +a(g128 +V\u000a +tp439 +a(g141 +V} +tp440 +a(g128 +V\u000a\u000a +p441 +tp442 +a(g7 +Vul +p443 +tp444 +a(g16 +V#nav +p445 +tp446 +a(g128 +V +tp447 +a(g7 +Vli +p448 +tp449 +a(g359 +V.new +p450 +tp451 +a(g128 +V +tp452 +a(g141 +V{ +tp453 +a(g128 +V\u000a +p454 +tp455 +a(g51 +Vfont-weight +p456 +tp457 +a(g283 +V: +tp458 +a(g128 +V +tp459 +a(g51 +Vbold +p460 +tp461 +a(g141 +V; +tp462 +a(g128 +V\u000a +tp463 +a(g141 +V} +tp464 +a(g128 +V\u000a\u000a +p465 +tp466 +a(g349 +V:link +p467 +tp468 +a(g128 +V +tp469 +a(g141 +V{ +tp470 +a(g128 +V\u000a +p471 +tp472 +a(g51 +Vcolor +p473 +tp474 +a(g283 +V: +tp475 +a(g128 +V +tp476 +a(g152 +V#f00 +p477 +tp478 +a(g141 +V; +tp479 +a(g128 +V\u000a +tp480 +a(g141 +V} +tp481 +a(g128 +V\u000a\u000a +p482 +tp483 +a(g349 +V:link +p484 +tp485 +a(g349 +V:hover +p486 +tp487 +a(g128 +V +tp488 +a(g141 +V{ +tp489 +a(g128 +V\u000a +p490 +tp491 +a(g51 +Vcolor +p492 +tp493 +a(g283 +V: +tp494 +a(g128 +V +tp495 +a(g152 +V#0f0 +p496 +tp497 +a(g141 +V; +tp498 +a(g128 +V\u000a +tp499 +a(g141 +V} +tp500 +a(g128 +V\u000a\u000a +p501 +tp502 +a(g51 +V@media +p503 +tp504 +a(g128 +V +tp505 +a(g7 +Vscreen +p506 +tp507 +a(g128 +V +tp508 +a(g141 +V{ +tp509 +a(g128 +V\u000a +p510 +tp511 +a(g7 +Vbody +p512 +tp513 +a(g128 +V +tp514 +a(g141 +V{ +tp515 +a(g128 +V\u000a +p516 +tp517 +a(g51 +Vbackground +p518 +tp519 +a(g283 +V: +tp520 +a(g128 +V +tp521 +a(g152 +V#ccc +p522 +tp523 +a(g141 +V; +tp524 +a(g128 +V\u000a +p525 +tp526 +a(g141 +V} +tp527 +a(g128 +V\u000a +tp528 +a(g141 +V} +tp529 +a(g128 +V\u000a\u000a +p530 +tp531 +a(g51 +V@namespace +p532 +tp533 +a(g128 +V +tp534 +a(g184 +V"http://www.w3.org/1999/xhtml" +p535 +tp536 +a(g141 +V; +tp537 +a(g128 +V\u000a\u000a +p538 +tp539 +a(g51 +V@import +p540 +tp541 +a(g128 +V +tp542 +a(g7 +Vurl +p543 +tp544 +a(g283 +V( +tp545 +a(g184 +V"mystyle.css" +p546 +tp547 +a(g283 +V) +tp548 +a(g141 +V; +tp549 +a(g128 +V\u000a\u000a +p550 +tp551 +a(g51 +V@charset +p552 +tp553 +a(g128 +V +tp554 +a(g184 +V"ISO-8859-1" +p555 +tp556 +a(g141 +V; +tp557 +a(g128 +V\u000a\u000a +p558 +tp559 +a(g51 +V@font-face +p560 +tp561 +a(g128 +V +tp562 +a(g141 +V{ +tp563 +a(g128 +V +tp564 +a(g7 +Vfont-family +p565 +tp566 +a(g283 +V: +tp567 +a(g128 +V +tp568 +a(g184 +V"Example Font" +p569 +tp570 +a(g283 +V; +tp571 +a(g128 +V +tp572 +a(g7 +Vsrc +p573 +tp574 +a(g283 +V: +tp575 +a(g128 +V +tp576 +a(g7 +Vurl +p577 +tp578 +a(g283 +V( +tp579 +a(g184 +V"http://www.example.com/fonts/example" +p580 +tp581 +a(g283 +V) +tp582 +a(g283 +V; +tp583 +a(g128 +V +tp584 +a(g141 +V} +tp585 +a(g128 +V\u000a\u000a +p586 +tp587 +a(g51 +V@media +p588 +tp589 +a(g128 +V +tp590 +a(g7 +Vscreen +p591 +tp592 +a(g128 +V +tp593 +a(g141 +V{ +tp594 +a(g128 +V +tp595 +a(g7 +Vbody +p596 +tp597 +a(g128 +V +tp598 +a(g141 +V{ +tp599 +a(g128 +V +tp600 +a(g51 +Vfont-size +p601 +tp602 +a(g283 +V: +tp603 +a(g128 +V +tp604 +a(g152 +V16px +p605 +tp606 +a(g128 +V +tp607 +a(g141 +V} +tp608 +a(g128 +V +tp609 +a(g141 +V} +tp610 +a(g128 +V +tp611 +a(g51 +V@media +p612 +tp613 +a(g128 +V +tp614 +a(g7 +Vprint +p615 +tp616 +a(g128 +V +tp617 +a(g141 +V{ +tp618 +a(g128 +V +tp619 +a(g7 +Vbody +p620 +tp621 +a(g128 +V +tp622 +a(g141 +V{ +tp623 +a(g128 +V +tp624 +a(g51 +Vfont-size +p625 +tp626 +a(g283 +V: +tp627 +a(g128 +V +tp628 +a(g152 +V12pt +p629 +tp630 +a(g128 +V +tp631 +a(g141 +V} +tp632 +a(g128 +V +tp633 +a(g141 +V} +tp634 +a(g128 +V\u000a\u000a\u000a +p635 +tp636 +a(g51 +V@page +p637 +tp638 +a(g128 +V +tp639 +a(g141 +V{ +tp640 +a(g128 +V +tp641 +a(g7 +Vbody +p642 +tp643 +a(g128 +V +tp644 +a(g141 +V{ +tp645 +a(g128 +V +tp646 +a(g51 +Vmargin +p647 +tp648 +a(g283 +V: +tp649 +a(g128 +V +tp650 +a(g152 +V1in +p651 +tp652 +a(g128 +V +tp653 +a(g152 +V1.5in +p654 +tp655 +a(g141 +V; +tp656 +a(g128 +V +tp657 +a(g141 +V} +tp658 +a(g128 +V +tp659 +a(g141 +V} +tp660 +a(g128 +V\u000a\u000a +p661 +tp662 +a(g51 +V@page +p663 +tp664 +a(g128 +V +tp665 +a(g7 +Vlinke-seite +p666 +tp667 +a(g349 +V:left +p668 +tp669 +a(g128 +V +tp670 +a(g141 +V{ +tp671 +a(g128 +V +tp672 +a(g7 +Vbody +p673 +tp674 +a(g128 +V +tp675 +a(g141 +V{ +tp676 +a(g128 +V +tp677 +a(g51 +Vmargin +p678 +tp679 +a(g283 +V: +tp680 +a(g152 +V20mm +p681 +tp682 +a(g141 +V; +tp683 +a(g128 +V +tp684 +a(g51 +Vmargin-right +p685 +tp686 +a(g283 +V: +tp687 +a(g152 +V25mm +p688 +tp689 +a(g141 +V; +tp690 +a(g128 +V +tp691 +a(g141 +V} +tp692 +a(g128 +V +tp693 +a(g141 +V} +tp694 +a(g128 +V\u000a\u000a +p695 +tp696 +a(g51 +V@-moz-document +p697 +tp698 +a(g128 +V +tp699 +a(g7 +Vurl-prefix +p700 +tp701 +a(g283 +V( +tp702 +a(g7 +Vhttp +p703 +tp704 +a(g283 +V: +tp705 +a(g283 +V/ +tp706 +a(g283 +V/ +tp707 +a(g7 +Vpygments +p708 +tp709 +a(g359 +V.org +p710 +tp711 +a(g283 +V) +tp712 +a(g128 +V +tp713 +a(g141 +V{ +tp714 +a(g128 +V +p715 +tp716 +a(g7 +Va +tp717 +a(g128 +V +tp718 +a(g141 +V{ +tp719 +a(g51 +Vfont-style +p720 +tp721 +a(g283 +V: +tp722 +a(g128 +V +tp723 +a(g51 +Vnormal +p724 +tp725 +a(g128 +V +tp726 +a(g33 +V!important +p727 +tp728 +a(g141 +V; +tp729 +a(g141 +V} +tp730 +a(g128 +V +tp731 +a(g141 +V} +tp732 +a(g128 +V\u000a +tp733 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.d b/tests/examplefiles/output/test.d new file mode 100644 index 0000000..69399b7 --- /dev/null +++ b/tests/examplefiles/output/test.d @@ -0,0 +1,4475 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Single' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsg6 +g2 +(g3 +g4 +(g212 +g225 +g6 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp274 +(dp275 +g9 +g10 +((ltRp276 +sg12 +g226 +sbsS'Doc' +p277 +g2 +(g3 +g4 +(g212 +g225 +g277 +ttRp278 +(dp279 +g9 +g10 +((ltRp280 +sg12 +g226 +sbsg9 +g10 +((lp281 +g274 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p282 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g226 +sbag245 +ag268 +ag260 +ag278 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag271 +ag256 +ag252 +atRp286 +sg282 +g283 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p287 +g2 +(g3 +g4 +(g212 +g287 +ttRp288 +(dp289 +g9 +g10 +((lp290 +g2 +(g3 +g4 +(g212 +g287 +S'Plain' +p291 +ttRp292 +(dp293 +g9 +g10 +((ltRp294 +sg12 +g288 +sbatRp295 +sg12 +g223 +sg291 +g292 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp296 +(dp297 +g9 +g10 +((ltRp298 +sg12 +g223 +sbsS'Date' +p299 +g2 +(g3 +g4 +(g212 +g299 +ttRp300 +(dp301 +g9 +g10 +((ltRp302 +sg12 +g223 +sbsg9 +g10 +((lp303 +g300 +ag226 +ag296 +ag213 +ag288 +atRp304 +sbsS'Decimal' +p305 +g2 +(g3 +g4 +(g212 +g211 +g305 +ttRp306 +(dp307 +g9 +g10 +((ltRp308 +sg12 +g213 +sbsS'Float' +p309 +g2 +(g3 +g4 +(g212 +g211 +g309 +ttRp310 +(dp311 +g9 +g10 +((ltRp312 +sg12 +g213 +sbsS'Hex' +p313 +g2 +(g3 +g4 +(g212 +g211 +g313 +ttRp314 +(dp315 +g9 +g10 +((ltRp316 +sg12 +g213 +sbsS'Integer' +p317 +g2 +(g3 +g4 +(g212 +g211 +g317 +ttRp318 +(dp319 +g9 +g10 +((lp320 +g2 +(g3 +g4 +(g212 +g211 +g317 +S'Long' +p321 +ttRp322 +(dp323 +g9 +g10 +((ltRp324 +sg12 +g318 +sbatRp325 +sg321 +g322 +sg12 +g213 +sbsS'Octal' +p326 +g2 +(g3 +g4 +(g212 +g211 +g326 +ttRp327 +(dp328 +g9 +g10 +((ltRp329 +sg12 +g213 +sbsg9 +g10 +((lp330 +g216 +ag220 +ag327 +ag306 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p331 +ttRp332 +(dp333 +g9 +g10 +((ltRp334 +sg12 +g213 +sbag318 +ag310 +ag314 +atRp335 +sg331 +g332 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp336 +(dp337 +g9 +g10 +((ltRp338 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g15 +sbsS'Operator' +p342 +g2 +(g3 +g4 +(g342 +ttRp343 +(dp344 +g9 +g10 +((lp345 +g2 +(g3 +g4 +(g342 +S'Word' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g343 +sbatRp350 +sg346 +g347 +sg12 +g15 +sbsg9 +g10 +((lp351 +g13 +ag339 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag343 +ag336 +atRp352 +sg225 +g226 +sbsS'Preproc' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsg6 +g7 +sS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag354 +ag7 +ag358 +atRp366 +sg362 +g363 +sbsbV// Created by Lionello Lunesu and placed in the public domain.\u000a +p367 +tp368 +a(g7 +V// This file has been modified from its original version.\u000a +p369 +tp370 +a(g7 +V// It has been formatted to fit your screen.\u000a +p371 +tp372 +a(g111 +Vmodule +p373 +tp374 +a(g189 +V +tp375 +a(g18 +Vphoneno +p376 +tp377 +a(g202 +V; +tp378 +a(g189 +V +p379 +tp380 +a(g7 +V// optional\u000a +p381 +tp382 +a(g111 +Vimport +p383 +tp384 +a(g189 +V +tp385 +a(g18 +Vstd +p386 +tp387 +a(g202 +V. +tp388 +a(g18 +Vstdio +p389 +tp390 +a(g202 +V; +tp391 +a(g189 +V +p392 +tp393 +a(g7 +V// writefln \u000a +p394 +tp395 +a(g111 +Vimport +p396 +tp397 +a(g189 +V +tp398 +a(g18 +Vstd +p399 +tp400 +a(g202 +V. +tp401 +a(g18 +Vctype +p402 +tp403 +a(g202 +V; +tp404 +a(g189 +V +p405 +tp406 +a(g7 +V// isdigit \u000a +p407 +tp408 +a(g111 +Vimport +p409 +tp410 +a(g189 +V +tp411 +a(g18 +Vstd +p412 +tp413 +a(g202 +V. +tp414 +a(g18 +Vstream +p415 +tp416 +a(g202 +V; +tp417 +a(g189 +V +p418 +tp419 +a(g7 +V// BufferedFile\u000a +p420 +tp421 +a(g189 +V\u000a +tp422 +a(g7 +V// Just for readability (imagine char[][][char[]]) \u000a +p423 +tp424 +a(g111 +Valias +p425 +tp426 +a(g189 +V +tp427 +a(g139 +Vchar +p428 +tp429 +a(g202 +V[ +tp430 +a(g202 +V] +tp431 +a(g189 +V +tp432 +a(g57 +Vstring +p433 +tp434 +a(g202 +V; +tp435 +a(g189 +V\u000a +tp436 +a(g111 +Valias +p437 +tp438 +a(g189 +V +tp439 +a(g57 +Vstring +p440 +tp441 +a(g202 +V[ +tp442 +a(g202 +V] +tp443 +a(g189 +V +tp444 +a(g18 +Vstringarray +p445 +tp446 +a(g202 +V; +tp447 +a(g189 +V\u000a +tp448 +a(g189 +V\u000a +tp449 +a(g7 +V/// Strips non-digit characters from the string (COW)\u000a +p450 +tp451 +a(g57 +Vstring +p452 +tp453 +a(g189 +V +tp454 +a(g18 +VstripNonDigit +p455 +tp456 +a(g202 +V( +tp457 +a(g189 +V +tp458 +a(g111 +Vin +p459 +tp460 +a(g189 +V +tp461 +a(g57 +Vstring +p462 +tp463 +a(g189 +V +tp464 +a(g18 +Vline +p465 +tp466 +a(g189 +V +tp467 +a(g202 +V) +tp468 +a(g189 +V \u000a +p469 +tp470 +a(g202 +V{ +tp471 +a(g189 +V\u000a +tp472 +a(g189 +V +p473 +tp474 +a(g57 +Vstring +p475 +tp476 +a(g189 +V +tp477 +a(g18 +Vret +p478 +tp479 +a(g202 +V; +tp480 +a(g189 +V\u000a +tp481 +a(g189 +V +p482 +tp483 +a(g111 +Vforeach +p484 +tp485 +a(g202 +V( +tp486 +a(g139 +Vuint +p487 +tp488 +a(g189 +V +tp489 +a(g18 +Vi +tp490 +a(g202 +V, +tp491 +a(g189 +V +tp492 +a(g18 +Vc +tp493 +a(g202 +V; +tp494 +a(g189 +V +tp495 +a(g18 +Vline +p496 +tp497 +a(g202 +V) +tp498 +a(g189 +V +tp499 +a(g202 +V{ +tp500 +a(g189 +V\u000a +tp501 +a(g189 +V +p502 +tp503 +a(g7 +V// Error: std.ctype.isdigit at C:\u005cdmd\u005csrc\u005cphobos\u005cstd\u005cctype.d(37) \u000a +p504 +tp505 +a(g189 +V +p506 +tp507 +a(g7 +V// conflicts with std.stream.isdigit at C:\u005cdmd\u005csrc\u005cphobos\u005cstd\u005cstream.d(2924)\u000a +p508 +tp509 +a(g189 +V +p510 +tp511 +a(g111 +Vif +p512 +tp513 +a(g189 +V +tp514 +a(g202 +V( +tp515 +a(g202 +V! +tp516 +a(g18 +Vstd +p517 +tp518 +a(g202 +V. +tp519 +a(g18 +Vctype +p520 +tp521 +a(g202 +V. +tp522 +a(g18 +Visdigit +p523 +tp524 +a(g202 +V( +tp525 +a(g18 +Vc +tp526 +a(g202 +V) +tp527 +a(g202 +V) +tp528 +a(g189 +V +tp529 +a(g202 +V{ +tp530 +a(g189 +V\u000a +tp531 +a(g189 +V +p532 +tp533 +a(g111 +Vif +p534 +tp535 +a(g189 +V +tp536 +a(g202 +V( +tp537 +a(g202 +V! +tp538 +a(g18 +Vret +p539 +tp540 +a(g202 +V) +tp541 +a(g189 +V\u000a +tp542 +a(g189 +V +p543 +tp544 +a(g18 +Vret +p545 +tp546 +a(g189 +V +tp547 +a(g202 +V= +tp548 +a(g189 +V +tp549 +a(g18 +Vline +p550 +tp551 +a(g202 +V[ +tp552 +a(g310 +V0. +p553 +tp554 +a(g202 +V. +tp555 +a(g18 +Vi +tp556 +a(g202 +V] +tp557 +a(g202 +V; +tp558 +a(g189 +V \u000a +p559 +tp560 +a(g202 +V} +tp561 +a(g189 +V \u000a +p562 +tp563 +a(g111 +Velse +p564 +tp565 +a(g189 +V +tp566 +a(g111 +Vif +p567 +tp568 +a(g189 +V +tp569 +a(g202 +V( +tp570 +a(g18 +Vret +p571 +tp572 +a(g202 +V) +tp573 +a(g189 +V\u000a +tp574 +a(g189 +V +p575 +tp576 +a(g18 +Vret +p577 +tp578 +a(g189 +V +tp579 +a(g202 +V~= +p580 +tp581 +a(g189 +V +tp582 +a(g18 +Vc +tp583 +a(g202 +V; +tp584 +a(g189 +V \u000a +p585 +tp586 +a(g202 +V} +tp587 +a(g189 +V \u000a +p588 +tp589 +a(g111 +Vreturn +p590 +tp591 +a(g189 +V +tp592 +a(g18 +Vret +p593 +tp594 +a(g202 +V? +tp595 +a(g18 +Vret +p596 +tp597 +a(g202 +V: +tp598 +a(g18 +Vline +p599 +tp600 +a(g202 +V; +tp601 +a(g189 +V\u000a +tp602 +a(g202 +V} +tp603 +a(g189 +V\u000a +tp604 +a(g189 +V\u000a +tp605 +a(g111 +Vunittest +p606 +tp607 +a(g189 +V +tp608 +a(g202 +V{ +tp609 +a(g189 +V\u000a +tp610 +a(g189 +V +p611 +tp612 +a(g111 +Vassert +p613 +tp614 +a(g202 +V( +tp615 +a(g189 +V +tp616 +a(g18 +VstripNonDigit +p617 +tp618 +a(g202 +V( +tp619 +a(g226 +V"asdf" +p620 +tp621 +a(g202 +V) +tp622 +a(g189 +V +tp623 +a(g202 +V== +p624 +tp625 +a(g189 +V +tp626 +a(g226 +V"" +p627 +tp628 +a(g189 +V +p629 +tp630 +a(g202 +V) +tp631 +a(g202 +V; +tp632 +a(g189 +V\u000a +tp633 +a(g189 +V +p634 +tp635 +a(g111 +Vassert +p636 +tp637 +a(g202 +V( +tp638 +a(g189 +V +tp639 +a(g18 +VstripNonDigit +p640 +tp641 +a(g202 +V( +tp642 +a(g226 +V"\u005c'13-=2 4kop" +p643 +tp644 +a(g202 +V) +tp645 +a(g189 +V +tp646 +a(g202 +V== +p647 +tp648 +a(g189 +V +p649 +tp650 +a(g226 +V"1324" +p651 +tp652 +a(g189 +V +p653 +tp654 +a(g202 +V) +tp655 +a(g202 +V; +tp656 +a(g189 +V\u000a +tp657 +a(g202 +V} +tp658 +a(g189 +V\u000a +tp659 +a(g189 +V\u000a +tp660 +a(g7 +V/// Converts a word into a number, ignoring all non alpha characters \u000a +p661 +tp662 +a(g57 +Vstring +p663 +tp664 +a(g189 +V +tp665 +a(g18 +VwordToNum +p666 +tp667 +a(g202 +V( +tp668 +a(g189 +V +tp669 +a(g111 +Vin +p670 +tp671 +a(g189 +V +tp672 +a(g57 +Vstring +p673 +tp674 +a(g189 +V +tp675 +a(g18 +Vword +p676 +tp677 +a(g189 +V +tp678 +a(g202 +V) +tp679 +a(g189 +V\u000a +tp680 +a(g202 +V{ +tp681 +a(g189 +V\u000a +tp682 +a(g7 +V// translation table for the task at hand\u000a +p683 +tp684 +a(g111 +Vconst +p685 +tp686 +a(g189 +V +tp687 +a(g139 +Vchar +p688 +tp689 +a(g202 +V[ +tp690 +a(g318 +V256 +p691 +tp692 +a(g202 +V] +tp693 +a(g189 +V +tp694 +a(g18 +VTRANSLATE +p695 +tp696 +a(g189 +V +tp697 +a(g202 +V= +tp698 +a(g189 +V \u000a +p699 +tp700 +a(g226 +V" " +p701 +tp702 +a(g189 +V +p703 +tp704 +a(g7 +V// 0 \u000a +p705 +tp706 +a(g189 +V +p707 +tp708 +a(g226 +V" 0123456789 " +p709 +tp710 +a(g189 +V +p711 +tp712 +a(g7 +V// 32 \u000a +p713 +tp714 +a(g189 +V +p715 +tp716 +a(g226 +V" 57630499617851881234762239 " +p717 +tp718 +a(g189 +V +p719 +tp720 +a(g7 +V// 64 \u000a +p721 +tp722 +a(g189 +V +p723 +tp724 +a(g226 +V" 57630499617851881234762239 " +p725 +tp726 +a(g189 +V\u000a +tp727 +a(g189 +V +p728 +tp729 +a(g226 +V" " +p730 +tp731 +a(g189 +V\u000a +tp732 +a(g189 +V +p733 +tp734 +a(g226 +V" " +p735 +tp736 +a(g189 +V\u000a +tp737 +a(g189 +V +p738 +tp739 +a(g226 +V" " +p740 +tp741 +a(g189 +V \u000a +p742 +tp743 +a(g226 +V" " +p744 +tp745 +a(g202 +V; +tp746 +a(g189 +V\u000a +tp747 +a(g189 +V +p748 +tp749 +a(g57 +Vstring +p750 +tp751 +a(g189 +V +tp752 +a(g18 +Vret +p753 +tp754 +a(g202 +V; +tp755 +a(g189 +V\u000a +tp756 +a(g189 +V +p757 +tp758 +a(g111 +Vforeach +p759 +tp760 +a(g202 +V( +tp761 +a(g18 +Vc +tp762 +a(g202 +V; +tp763 +a(g189 +V +tp764 +a(g111 +Vcast +p765 +tp766 +a(g202 +V( +tp767 +a(g139 +Vubyte +p768 +tp769 +a(g202 +V[ +tp770 +a(g202 +V] +tp771 +a(g202 +V) +tp772 +a(g18 +Vword +p773 +tp774 +a(g202 +V) +tp775 +a(g189 +V\u000a +tp776 +a(g189 +V +p777 +tp778 +a(g111 +Vif +p779 +tp780 +a(g189 +V +tp781 +a(g202 +V( +tp782 +a(g18 +VTRANSLATE +p783 +tp784 +a(g202 +V[ +tp785 +a(g18 +Vc +tp786 +a(g202 +V] +tp787 +a(g189 +V +tp788 +a(g202 +V!= +p789 +tp790 +a(g189 +V +tp791 +a(g268 +V' ' +p792 +tp793 +a(g202 +V) +tp794 +a(g189 +V\u000a +tp795 +a(g189 +V +p796 +tp797 +a(g18 +Vret +p798 +tp799 +a(g189 +V +tp800 +a(g202 +V~= +p801 +tp802 +a(g189 +V +tp803 +a(g18 +VTRANSLATE +p804 +tp805 +a(g202 +V[ +tp806 +a(g18 +Vc +tp807 +a(g202 +V] +tp808 +a(g202 +V; +tp809 +a(g189 +V\u000a +tp810 +a(g189 +V +p811 +tp812 +a(g111 +Vreturn +p813 +tp814 +a(g189 +V +tp815 +a(g18 +Vret +p816 +tp817 +a(g202 +V; +tp818 +a(g189 +V\u000a +tp819 +a(g202 +V} +tp820 +a(g189 +V\u000a +tp821 +a(g189 +V\u000a +tp822 +a(g111 +Vunittest +p823 +tp824 +a(g189 +V +tp825 +a(g202 +V{ +tp826 +a(g189 +V\u000a +tp827 +a(g189 +V +tp828 +a(g7 +V// Test wordToNum using the table from the task description.\u000a +p829 +tp830 +a(g189 +V +tp831 +a(g111 +Vassert +p832 +tp833 +a(g202 +V( +tp834 +a(g189 +V +tp835 +a(g226 +V"01112223334455666777888999" +p836 +tp837 +a(g189 +V +tp838 +a(g202 +V== +p839 +tp840 +a(g189 +V\u000a +tp841 +a(g189 +V +p842 +tp843 +a(g18 +VwordToNum +p844 +tp845 +a(g202 +V( +tp846 +a(g226 +V"E | J N Q | R W X | D S Y | F T | A M | C I V | B K U | L O P | G H Z" +p847 +tp848 +a(g202 +V) +tp849 +a(g202 +V) +tp850 +a(g202 +V; +tp851 +a(g189 +V\u000a +tp852 +a(g189 +V +tp853 +a(g111 +Vassert +p854 +tp855 +a(g202 +V( +tp856 +a(g189 +V +tp857 +a(g226 +V"01112223334455666777888999" +p858 +tp859 +a(g189 +V +tp860 +a(g202 +V== +p861 +tp862 +a(g189 +V \u000a +p863 +tp864 +a(g18 +VwordToNum +p865 +tp866 +a(g202 +V( +tp867 +a(g226 +V"e | j n q | r w x | d s y | f t | a m | c i v | b k u | l o p | g h z" +p868 +tp869 +a(g202 +V) +tp870 +a(g202 +V) +tp871 +a(g202 +V; +tp872 +a(g189 +V\u000a +tp873 +a(g189 +V +tp874 +a(g111 +Vassert +p875 +tp876 +a(g202 +V( +tp877 +a(g189 +V +tp878 +a(g226 +V"0123456789" +p879 +tp880 +a(g189 +V +tp881 +a(g202 +V== +p882 +tp883 +a(g189 +V \u000a +p884 +tp885 +a(g18 +VwordToNum +p886 +tp887 +a(g202 +V( +tp888 +a(g226 +V"0 | 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 | 9" +p889 +tp890 +a(g202 +V) +tp891 +a(g202 +V) +tp892 +a(g202 +V; +tp893 +a(g189 +V\u000a +tp894 +a(g202 +V} +tp895 +a(g189 +V\u000a +tp896 +a(g189 +V\u000a +tp897 +a(g139 +Vvoid +p898 +tp899 +a(g189 +V +tp900 +a(g18 +Vmain +p901 +tp902 +a(g202 +V( +tp903 +a(g189 +V +tp904 +a(g57 +Vstring +p905 +tp906 +a(g202 +V[ +tp907 +a(g202 +V] +tp908 +a(g189 +V +tp909 +a(g18 +Vargs +p910 +tp911 +a(g189 +V +tp912 +a(g202 +V) +tp913 +a(g189 +V\u000a +tp914 +a(g202 +V{ +tp915 +a(g189 +V\u000a +tp916 +a(g189 +V +p917 +tp918 +a(g7 +V// This associative array maps a number to an array of words. \u000a +p919 +tp920 +a(g189 +V +p921 +tp922 +a(g18 +Vstringarray +p923 +tp924 +a(g202 +V[ +tp925 +a(g57 +Vstring +p926 +tp927 +a(g202 +V] +tp928 +a(g189 +V +p929 +tp930 +a(g18 +Vnum2words +p931 +tp932 +a(g202 +V; +tp933 +a(g189 +V\u000a +tp934 +a(g189 +V\u000a +tp935 +a(g189 +V +p936 +tp937 +a(g111 +Vforeach +p938 +tp939 +a(g202 +V( +tp940 +a(g57 +Vstring +p941 +tp942 +a(g189 +V +tp943 +a(g18 +Vword +p944 +tp945 +a(g202 +V; +tp946 +a(g189 +V +tp947 +a(g111 +Vnew +p948 +tp949 +a(g189 +V +tp950 +a(g18 +VBufferedFile +p951 +tp952 +a(g202 +V( +tp953 +a(g226 +V"dictionary.txt" +p954 +tp955 +a(g189 +V +tp956 +a(g202 +V) +tp957 +a(g189 +V +tp958 +a(g202 +V) +tp959 +a(g189 +V\u000a +tp960 +a(g189 +V +p961 +tp962 +a(g18 +Vnum2words +p963 +tp964 +a(g202 +V[ +tp965 +a(g189 +V +tp966 +a(g18 +VwordToNum +p967 +tp968 +a(g202 +V( +tp969 +a(g18 +Vword +p970 +tp971 +a(g202 +V) +tp972 +a(g189 +V +tp973 +a(g202 +V] +tp974 +a(g189 +V +tp975 +a(g202 +V~= +p976 +tp977 +a(g189 +V +tp978 +a(g18 +Vword +p979 +tp980 +a(g202 +V. +tp981 +a(g18 +Vdup +p982 +tp983 +a(g202 +V; +tp984 +a(g189 +V +p985 +tp986 +a(g7 +V// must dup\u000a +p987 +tp988 +a(g189 +V\u000a +tp989 +a(g189 +V +p990 +tp991 +a(g7 +V/// Finds all alternatives for the given number\u000a +p992 +tp993 +a(g189 +V +p994 +tp995 +a(g7 +V/// (should have been stripped from non-digit characters)\u000a +p996 +tp997 +a(g189 +V +p998 +tp999 +a(g18 +Vstringarray +p1000 +tp1001 +a(g189 +V +tp1002 +a(g18 +V_FindWords +p1003 +tp1004 +a(g202 +V( +tp1005 +a(g189 +V +tp1006 +a(g57 +Vstring +p1007 +tp1008 +a(g189 +V +tp1009 +a(g18 +Vnumbers +p1010 +tp1011 +a(g202 +V, +tp1012 +a(g189 +V +tp1013 +a(g139 +Vbool +p1014 +tp1015 +a(g189 +V +tp1016 +a(g18 +Vdigitok +p1017 +tp1018 +a(g189 +V +tp1019 +a(g202 +V) +tp1020 +a(g189 +V\u000a +tp1021 +a(g189 +V +p1022 +tp1023 +a(g111 +Vin +p1024 +tp1025 +a(g189 +V +tp1026 +a(g202 +V{ +tp1027 +a(g189 +V\u000a +tp1028 +a(g189 +V +p1029 +tp1030 +a(g111 +Vassert +p1031 +tp1032 +a(g202 +V( +tp1033 +a(g18 +Vnumbers +p1034 +tp1035 +a(g202 +V. +tp1036 +a(g18 +Vlength +p1037 +tp1038 +a(g189 +V +tp1039 +a(g202 +V> +tp1040 +a(g189 +V +p1041 +tp1042 +a(g318 +V0 +tp1043 +a(g202 +V) +tp1044 +a(g202 +V; +tp1045 +a(g189 +V \u000a +p1046 +tp1047 +a(g202 +V} +tp1048 +a(g189 +V \u000a +p1049 +tp1050 +a(g111 +Vout +p1051 +tp1052 +a(g202 +V( +tp1053 +a(g18 +Vresult +p1054 +tp1055 +a(g202 +V) +tp1056 +a(g189 +V +tp1057 +a(g202 +V{ +tp1058 +a(g189 +V\u000a +tp1059 +a(g189 +V +p1060 +tp1061 +a(g111 +Vforeach +p1062 +tp1063 +a(g189 +V +tp1064 +a(g202 +V( +tp1065 +a(g18 +Va +tp1066 +a(g202 +V; +tp1067 +a(g189 +V +tp1068 +a(g18 +Vresult +p1069 +tp1070 +a(g202 +V) +tp1071 +a(g189 +V\u000a +tp1072 +a(g189 +V +p1073 +tp1074 +a(g111 +Vassert +p1075 +tp1076 +a(g202 +V( +tp1077 +a(g189 +V +tp1078 +a(g18 +VwordToNum +p1079 +tp1080 +a(g202 +V( +tp1081 +a(g18 +Va +tp1082 +a(g202 +V) +tp1083 +a(g189 +V +tp1084 +a(g202 +V== +p1085 +tp1086 +a(g189 +V +tp1087 +a(g18 +Vnumbers +p1088 +tp1089 +a(g189 +V +tp1090 +a(g202 +V) +tp1091 +a(g202 +V; +tp1092 +a(g189 +V\u000a +tp1093 +a(g189 +V +p1094 +tp1095 +a(g202 +V} +tp1096 +a(g189 +V \u000a +p1097 +tp1098 +a(g111 +Vbody +p1099 +tp1100 +a(g189 +V +tp1101 +a(g202 +V{ +tp1102 +a(g189 +V\u000a +tp1103 +a(g189 +V +p1104 +tp1105 +a(g18 +Vstringarray +p1106 +tp1107 +a(g189 +V +tp1108 +a(g18 +Vret +p1109 +tp1110 +a(g202 +V; +tp1111 +a(g189 +V\u000a +tp1112 +a(g189 +V +p1113 +tp1114 +a(g139 +Vbool +p1115 +tp1116 +a(g189 +V +tp1117 +a(g18 +Vfoundword +p1118 +tp1119 +a(g189 +V +tp1120 +a(g202 +V= +tp1121 +a(g189 +V +tp1122 +a(g117 +Vfalse +p1123 +tp1124 +a(g202 +V; +tp1125 +a(g189 +V\u000a +tp1126 +a(g189 +V +p1127 +tp1128 +a(g111 +Vfor +p1129 +tp1130 +a(g189 +V +tp1131 +a(g202 +V( +tp1132 +a(g139 +Vuint +p1133 +tp1134 +a(g189 +V +tp1135 +a(g18 +Vt +tp1136 +a(g202 +V= +tp1137 +a(g318 +V1 +tp1138 +a(g202 +V; +tp1139 +a(g189 +V +tp1140 +a(g18 +Vt +tp1141 +a(g202 +V<= +p1142 +tp1143 +a(g18 +Vnumbers +p1144 +tp1145 +a(g202 +V. +tp1146 +a(g18 +Vlength +p1147 +tp1148 +a(g202 +V; +tp1149 +a(g189 +V +tp1150 +a(g202 +V++ +p1151 +tp1152 +a(g18 +Vt +tp1153 +a(g202 +V) +tp1154 +a(g189 +V +tp1155 +a(g202 +V{ +tp1156 +a(g189 +V\u000a +tp1157 +a(g189 +V +p1158 +tp1159 +a(g111 +Vauto +p1160 +tp1161 +a(g189 +V +tp1162 +a(g18 +Valternatives +p1163 +tp1164 +a(g189 +V +tp1165 +a(g202 +V= +tp1166 +a(g189 +V +tp1167 +a(g18 +Vnumbers +p1168 +tp1169 +a(g202 +V[ +tp1170 +a(g310 +V0. +p1171 +tp1172 +a(g202 +V. +tp1173 +a(g18 +Vt +tp1174 +a(g202 +V] +tp1175 +a(g189 +V +tp1176 +a(g111 +Vin +p1177 +tp1178 +a(g189 +V +tp1179 +a(g18 +Vnum2words +p1180 +tp1181 +a(g202 +V; +tp1182 +a(g189 +V\u000a +tp1183 +a(g189 +V +p1184 +tp1185 +a(g111 +Vif +p1186 +tp1187 +a(g189 +V +tp1188 +a(g202 +V( +tp1189 +a(g202 +V! +tp1190 +a(g18 +Valternatives +p1191 +tp1192 +a(g202 +V) +tp1193 +a(g189 +V\u000a +tp1194 +a(g189 +V +p1195 +tp1196 +a(g111 +Vcontinue +p1197 +tp1198 +a(g202 +V; +tp1199 +a(g189 +V\u000a +tp1200 +a(g189 +V +p1201 +tp1202 +a(g18 +Vfoundword +p1203 +tp1204 +a(g189 +V +tp1205 +a(g202 +V= +tp1206 +a(g189 +V +tp1207 +a(g117 +Vtrue +p1208 +tp1209 +a(g202 +V; +tp1210 +a(g189 +V\u000a +tp1211 +a(g189 +V +p1212 +tp1213 +a(g111 +Vif +p1214 +tp1215 +a(g189 +V +tp1216 +a(g202 +V( +tp1217 +a(g18 +Vnumbers +p1218 +tp1219 +a(g202 +V. +tp1220 +a(g18 +Vlength +p1221 +tp1222 +a(g189 +V +tp1223 +a(g202 +V> +tp1224 +a(g189 +V +p1225 +tp1226 +a(g18 +Vt +tp1227 +a(g202 +V) +tp1228 +a(g189 +V +tp1229 +a(g202 +V{ +tp1230 +a(g189 +V\u000a +tp1231 +a(g189 +V +p1232 +tp1233 +a(g7 +V// Combine all current alternatives with all alternatives \u000a +p1234 +tp1235 +a(g189 +V +p1236 +tp1237 +a(g7 +V// of the rest (next piece can start with a digit) \u000a +p1238 +tp1239 +a(g189 +V +p1240 +tp1241 +a(g111 +Vforeach +p1242 +tp1243 +a(g189 +V +tp1244 +a(g202 +V( +tp1245 +a(g18 +Va2 +p1246 +tp1247 +a(g202 +V; +tp1248 +a(g189 +V +tp1249 +a(g18 +V_FindWords +p1250 +tp1251 +a(g202 +V( +tp1252 +a(g189 +V +tp1253 +a(g18 +Vnumbers +p1254 +tp1255 +a(g202 +V[ +tp1256 +a(g18 +Vt +tp1257 +a(g202 +V.. +p1258 +tp1259 +a(g202 +V$ +tp1260 +a(g202 +V] +tp1261 +a(g202 +V, +tp1262 +a(g189 +V +tp1263 +a(g117 +Vtrue +p1264 +tp1265 +a(g189 +V +p1266 +tp1267 +a(g202 +V) +tp1268 +a(g189 +V +tp1269 +a(g202 +V) +tp1270 +a(g189 +V\u000a +tp1271 +a(g189 +V +p1272 +tp1273 +a(g111 +Vforeach +p1274 +tp1275 +a(g202 +V( +tp1276 +a(g18 +Va1 +p1277 +tp1278 +a(g202 +V; +tp1279 +a(g189 +V +tp1280 +a(g202 +V* +tp1281 +a(g18 +Valternatives +p1282 +tp1283 +a(g202 +V) +tp1284 +a(g189 +V\u000a +tp1285 +a(g189 +V +p1286 +tp1287 +a(g18 +Vret +p1288 +tp1289 +a(g189 +V +tp1290 +a(g202 +V~= +p1291 +tp1292 +a(g189 +V +tp1293 +a(g18 +Va1 +p1294 +tp1295 +a(g189 +V +tp1296 +a(g202 +V~ +tp1297 +a(g189 +V +tp1298 +a(g226 +V" " +p1299 +tp1300 +a(g189 +V +tp1301 +a(g202 +V~ +tp1302 +a(g189 +V +tp1303 +a(g18 +Va2 +p1304 +tp1305 +a(g202 +V; +tp1306 +a(g189 +V\u000a +tp1307 +a(g189 +V +p1308 +tp1309 +a(g202 +V} +tp1310 +a(g189 +V\u000a +tp1311 +a(g189 +V +p1312 +tp1313 +a(g111 +Velse +p1314 +tp1315 +a(g189 +V \u000a +p1316 +tp1317 +a(g18 +Vret +p1318 +tp1319 +a(g189 +V +tp1320 +a(g202 +V~= +p1321 +tp1322 +a(g189 +V +tp1323 +a(g202 +V* +tp1324 +a(g18 +Valternatives +p1325 +tp1326 +a(g202 +V; +tp1327 +a(g189 +V +p1328 +tp1329 +a(g7 +V// append these alternatives\u000a +p1330 +tp1331 +a(g189 +V +p1332 +tp1333 +a(g202 +V} +tp1334 +a(g189 +V\u000a +tp1335 +a(g189 +V +p1336 +tp1337 +a(g7 +V// Try to keep 1 digit, only if we're allowed and no other\u000a +p1338 +tp1339 +a(g189 +V +p1340 +tp1341 +a(g7 +V// alternatives were found\u000a +p1342 +tp1343 +a(g189 +V +p1344 +tp1345 +a(g7 +V// Testing "ret.length" makes more sense than testing "foundword",\u000a +p1346 +tp1347 +a(g189 +V +p1348 +tp1349 +a(g7 +V// but the other implementations seem to do just this.\u000a +p1350 +tp1351 +a(g189 +V +p1352 +tp1353 +a(g111 +Vif +p1354 +tp1355 +a(g189 +V +tp1356 +a(g202 +V( +tp1357 +a(g18 +Vdigitok +p1358 +tp1359 +a(g189 +V +tp1360 +a(g202 +V&& +p1361 +tp1362 +a(g189 +V +tp1363 +a(g202 +V! +tp1364 +a(g18 +Vfoundword +p1365 +tp1366 +a(g202 +V) +tp1367 +a(g189 +V +tp1368 +a(g202 +V{ +tp1369 +a(g189 +V +tp1370 +a(g7 +V//ret.length == 0 \u000a +p1371 +tp1372 +a(g189 +V +p1373 +tp1374 +a(g111 +Vif +p1375 +tp1376 +a(g202 +V( +tp1377 +a(g18 +Vnumbers +p1378 +tp1379 +a(g202 +V. +tp1380 +a(g18 +Vlength +p1381 +tp1382 +a(g189 +V +tp1383 +a(g202 +V> +tp1384 +a(g189 +V +p1385 +tp1386 +a(g318 +V1 +tp1387 +a(g202 +V) +tp1388 +a(g189 +V +tp1389 +a(g202 +V{ +tp1390 +a(g189 +V\u000a +tp1391 +a(g189 +V +p1392 +tp1393 +a(g7 +V// Combine 1 digit with all altenatives from the rest \u000a +p1394 +tp1395 +a(g189 +V +p1396 +tp1397 +a(g7 +V// (next piece can not start with a digit) \u000a +p1398 +tp1399 +a(g189 +V +p1400 +tp1401 +a(g111 +Vforeach +p1402 +tp1403 +a(g189 +V +tp1404 +a(g202 +V( +tp1405 +a(g18 +Va +tp1406 +a(g202 +V; +tp1407 +a(g189 +V +tp1408 +a(g18 +V_FindWords +p1409 +tp1410 +a(g202 +V( +tp1411 +a(g189 +V +tp1412 +a(g18 +Vnumbers +p1413 +tp1414 +a(g202 +V[ +tp1415 +a(g310 +V1. +p1416 +tp1417 +a(g202 +V. +tp1418 +a(g202 +V$ +tp1419 +a(g202 +V] +tp1420 +a(g202 +V, +tp1421 +a(g189 +V +tp1422 +a(g117 +Vfalse +p1423 +tp1424 +a(g189 +V +tp1425 +a(g202 +V) +tp1426 +a(g189 +V +tp1427 +a(g202 +V) +tp1428 +a(g189 +V\u000a +tp1429 +a(g189 +V +p1430 +tp1431 +a(g18 +Vret +p1432 +tp1433 +a(g189 +V +tp1434 +a(g202 +V~= +p1435 +tp1436 +a(g189 +V +tp1437 +a(g18 +Vnumbers +p1438 +tp1439 +a(g202 +V[ +tp1440 +a(g310 +V0. +p1441 +tp1442 +a(g310 +V.1 +p1443 +tp1444 +a(g202 +V] +tp1445 +a(g189 +V +tp1446 +a(g202 +V~ +tp1447 +a(g189 +V +tp1448 +a(g226 +V" " +p1449 +tp1450 +a(g189 +V +tp1451 +a(g202 +V~ +tp1452 +a(g189 +V +tp1453 +a(g18 +Va +tp1454 +a(g202 +V; +tp1455 +a(g189 +V\u000a +tp1456 +a(g189 +V +p1457 +tp1458 +a(g202 +V} +tp1459 +a(g189 +V \u000a +p1460 +tp1461 +a(g111 +Velse +p1462 +tp1463 +a(g189 +V \u000a +p1464 +tp1465 +a(g18 +Vret +p1466 +tp1467 +a(g189 +V +tp1468 +a(g202 +V~= +p1469 +tp1470 +a(g189 +V +tp1471 +a(g18 +Vnumbers +p1472 +tp1473 +a(g202 +V[ +tp1474 +a(g310 +V0. +p1475 +tp1476 +a(g310 +V.1 +p1477 +tp1478 +a(g202 +V] +tp1479 +a(g202 +V; +tp1480 +a(g189 +V +p1481 +tp1482 +a(g7 +V// just append this digit \u000a +p1483 +tp1484 +a(g189 +V +p1485 +tp1486 +a(g202 +V} +tp1487 +a(g189 +V \u000a +p1488 +tp1489 +a(g111 +Vreturn +p1490 +tp1491 +a(g189 +V +tp1492 +a(g18 +Vret +p1493 +tp1494 +a(g202 +V; +tp1495 +a(g189 +V\u000a +tp1496 +a(g189 +V +p1497 +tp1498 +a(g202 +V} +tp1499 +a(g189 +V\u000a +tp1500 +a(g189 +V\u000a +tp1501 +a(g189 +V +p1502 +tp1503 +a(g7 +V/// (This function was inlined in the original program) \u000a +p1504 +tp1505 +a(g189 +V +p1506 +tp1507 +a(g7 +V/// Finds all alternatives for the given phone number \u000a +p1508 +tp1509 +a(g189 +V +p1510 +tp1511 +a(g7 +V/// Returns: array of strings \u000a +p1512 +tp1513 +a(g189 +V +p1514 +tp1515 +a(g18 +Vstringarray +p1516 +tp1517 +a(g189 +V +tp1518 +a(g18 +VFindWords +p1519 +tp1520 +a(g202 +V( +tp1521 +a(g189 +V +tp1522 +a(g57 +Vstring +p1523 +tp1524 +a(g189 +V +tp1525 +a(g18 +Vphone_number +p1526 +tp1527 +a(g189 +V +tp1528 +a(g202 +V) +tp1529 +a(g189 +V\u000a +tp1530 +a(g189 +V +p1531 +tp1532 +a(g202 +V{ +tp1533 +a(g189 +V\u000a +tp1534 +a(g189 +V +p1535 +tp1536 +a(g111 +Vif +p1537 +tp1538 +a(g189 +V +tp1539 +a(g202 +V( +tp1540 +a(g202 +V! +tp1541 +a(g18 +Vphone_number +p1542 +tp1543 +a(g202 +V. +tp1544 +a(g18 +Vlength +p1545 +tp1546 +a(g202 +V) +tp1547 +a(g189 +V\u000a +tp1548 +a(g189 +V +p1549 +tp1550 +a(g111 +Vreturn +p1551 +tp1552 +a(g189 +V +tp1553 +a(g117 +Vnull +p1554 +tp1555 +a(g202 +V; +tp1556 +a(g189 +V\u000a +tp1557 +a(g189 +V +p1558 +tp1559 +a(g7 +V// Strip the non-digit characters from the phone number, and\u000a +p1560 +tp1561 +a(g189 +V +p1562 +tp1563 +a(g7 +V// pass it to the recursive function (leading digit is allowed)\u000a +p1564 +tp1565 +a(g189 +V +p1566 +tp1567 +a(g111 +Vreturn +p1568 +tp1569 +a(g189 +V +tp1570 +a(g18 +V_FindWords +p1571 +tp1572 +a(g202 +V( +tp1573 +a(g189 +V +tp1574 +a(g18 +VstripNonDigit +p1575 +tp1576 +a(g202 +V( +tp1577 +a(g18 +Vphone_number +p1578 +tp1579 +a(g202 +V) +tp1580 +a(g202 +V, +tp1581 +a(g189 +V +tp1582 +a(g117 +Vtrue +p1583 +tp1584 +a(g189 +V +tp1585 +a(g202 +V) +tp1586 +a(g202 +V; +tp1587 +a(g189 +V \u000a +p1588 +tp1589 +a(g202 +V} +tp1590 +a(g189 +V \u000a \u000a +p1591 +tp1592 +a(g7 +V// Read the phone numbers \u000a +p1593 +tp1594 +a(g189 +V +p1595 +tp1596 +a(g111 +Vforeach +p1597 +tp1598 +a(g202 +V( +tp1599 +a(g57 +Vstring +p1600 +tp1601 +a(g189 +V +tp1602 +a(g18 +Vphone +p1603 +tp1604 +a(g202 +V; +tp1605 +a(g189 +V +tp1606 +a(g111 +Vnew +p1607 +tp1608 +a(g189 +V +tp1609 +a(g18 +VBufferedFile +p1610 +tp1611 +a(g202 +V( +tp1612 +a(g226 +V"input.txt" +p1613 +tp1614 +a(g189 +V +p1615 +tp1616 +a(g202 +V) +tp1617 +a(g189 +V +tp1618 +a(g202 +V) +tp1619 +a(g189 +V\u000a +tp1620 +a(g189 +V +p1621 +tp1622 +a(g111 +Vforeach +p1623 +tp1624 +a(g202 +V( +tp1625 +a(g18 +Valternative +p1626 +tp1627 +a(g202 +V; +tp1628 +a(g189 +V +tp1629 +a(g18 +VFindWords +p1630 +tp1631 +a(g202 +V( +tp1632 +a(g189 +V +tp1633 +a(g18 +Vphone +p1634 +tp1635 +a(g189 +V +tp1636 +a(g202 +V) +tp1637 +a(g189 +V +tp1638 +a(g202 +V) +tp1639 +a(g189 +V\u000a +tp1640 +a(g189 +V +p1641 +tp1642 +a(g18 +Vwritefln +p1643 +tp1644 +a(g202 +V( +tp1645 +a(g18 +Vphone +p1646 +tp1647 +a(g202 +V, +tp1648 +a(g189 +V +tp1649 +a(g226 +V": " +p1650 +tp1651 +a(g202 +V, +tp1652 +a(g189 +V +tp1653 +a(g18 +Valternative +p1654 +tp1655 +a(g189 +V +tp1656 +a(g202 +V) +tp1657 +a(g202 +V; +tp1658 +a(g189 +V\u000a +tp1659 +a(g202 +V} +tp1660 +a(g189 +V\u000a +tp1661 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.erl b/tests/examplefiles/output/test.erl new file mode 100644 index 0000000..ee1c1ac --- /dev/null +++ b/tests/examplefiles/output/test.erl @@ -0,0 +1,5597 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Punctuation' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Indicator' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbatRp16 +sg11 +g12 +sg15 +g2 +(g3 +g4 +(ttRp17 +(dp18 +S'Comment' +p19 +g2 +(g3 +g4 +(g19 +ttRp20 +(dp21 +g15 +g17 +sS'Preproc' +p22 +g2 +(g3 +g4 +(g19 +g22 +ttRp23 +(dp24 +g8 +g9 +((ltRp25 +sg15 +g20 +sbsS'Single' +p26 +g2 +(g3 +g4 +(g19 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g20 +sbsS'Multiline' +p30 +g2 +(g3 +g4 +(g19 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g20 +sbsg8 +g9 +((lp34 +g2 +(g3 +g4 +(g19 +S'Special' +p35 +ttRp36 +(dp37 +g8 +g9 +((ltRp38 +sg15 +g20 +sbag23 +ag27 +ag31 +atRp39 +sg35 +g36 +sbsS'Name' +p40 +g2 +(g3 +g4 +(g40 +ttRp41 +(dp42 +S'Function' +p43 +g2 +(g3 +g4 +(g40 +g43 +ttRp44 +(dp45 +g8 +g9 +((ltRp46 +sg15 +g41 +sbsS'Exception' +p47 +g2 +(g3 +g4 +(g40 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g41 +sbsS'Tag' +p51 +g2 +(g3 +g4 +(g40 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g41 +sbsS'Constant' +p55 +g2 +(g3 +g4 +(g40 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g41 +sbsg15 +g17 +sS'Pseudo' +p59 +g2 +(g3 +g4 +(g40 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g41 +sbsS'Attribute' +p63 +g2 +(g3 +g4 +(g40 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g41 +sbsS'Label' +p67 +g2 +(g3 +g4 +(g40 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g41 +sbsS'Blubb' +p71 +g2 +(g3 +g4 +(g40 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g41 +sbsS'Entity' +p75 +g2 +(g3 +g4 +(g40 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g41 +sbsS'Builtin' +p79 +g2 +(g3 +g4 +(g40 +g79 +ttRp80 +(dp81 +g8 +g9 +((lp82 +g2 +(g3 +g4 +(g40 +g79 +g59 +ttRp83 +(dp84 +g8 +g9 +((ltRp85 +sg15 +g80 +sbatRp86 +sg59 +g83 +sg15 +g41 +sbsS'Other' +p87 +g2 +(g3 +g4 +(g40 +g87 +ttRp88 +(dp89 +g8 +g9 +((ltRp90 +sg15 +g41 +sbsS'Identifier' +p91 +g2 +(g3 +g4 +(g40 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g41 +sbsS'Variable' +p95 +g2 +(g3 +g4 +(g40 +g95 +ttRp96 +(dp97 +g15 +g41 +sS'Global' +p98 +g2 +(g3 +g4 +(g40 +g95 +g98 +ttRp99 +(dp100 +g8 +g9 +((ltRp101 +sg15 +g96 +sbsS'Instance' +p102 +g2 +(g3 +g4 +(g40 +g95 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g96 +sbsS'Anonymous' +p106 +g2 +(g3 +g4 +(g40 +g95 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g96 +sbsg8 +g9 +((lp110 +g107 +ag103 +ag99 +ag2 +(g3 +g4 +(g40 +g95 +S'Class' +p111 +ttRp112 +(dp113 +g8 +g9 +((ltRp114 +sg15 +g96 +sbatRp115 +sg111 +g112 +sbsg8 +g9 +((lp116 +g2 +(g3 +g4 +(g40 +S'Decorator' +p117 +ttRp118 +(dp119 +g8 +g9 +((ltRp120 +sg15 +g41 +sbag64 +ag56 +ag60 +ag2 +(g3 +g4 +(g40 +S'Namespace' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g41 +sbag92 +ag80 +ag96 +ag88 +ag72 +ag76 +ag44 +ag2 +(g3 +g4 +(g40 +S'Property' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g41 +sbag68 +ag52 +ag48 +ag2 +(g3 +g4 +(g40 +g111 +ttRp129 +(dp130 +g8 +g9 +((ltRp131 +sg15 +g41 +sbatRp132 +sg125 +g126 +sg111 +g129 +sg117 +g118 +sg121 +g122 +sbsS'Keyword' +p133 +g2 +(g3 +g4 +(g133 +ttRp134 +(dp135 +g55 +g2 +(g3 +g4 +(g133 +g55 +ttRp136 +(dp137 +g8 +g9 +((ltRp138 +sg15 +g134 +sbsg15 +g17 +sg121 +g2 +(g3 +g4 +(g133 +g121 +ttRp139 +(dp140 +g8 +g9 +((ltRp141 +sg15 +g134 +sbsg59 +g2 +(g3 +g4 +(g133 +g59 +ttRp142 +(dp143 +g8 +g9 +((ltRp144 +sg15 +g134 +sbsS'Reserved' +p145 +g2 +(g3 +g4 +(g133 +g145 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g134 +sbsS'Declaration' +p149 +g2 +(g3 +g4 +(g133 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g134 +sbsg95 +g2 +(g3 +g4 +(g133 +g95 +ttRp153 +(dp154 +g8 +g9 +((ltRp155 +sg15 +g134 +sbsg8 +g9 +((lp156 +g136 +ag146 +ag2 +(g3 +g4 +(g133 +S'Type' +p157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g134 +sbag150 +ag153 +ag139 +ag142 +atRp161 +sg157 +g158 +sbsS'Generic' +p162 +g2 +(g3 +g4 +(g162 +ttRp163 +(dp164 +S'Prompt' +p165 +g2 +(g3 +g4 +(g162 +g165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g163 +sbsg15 +g17 +sS'Deleted' +p169 +g2 +(g3 +g4 +(g162 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g163 +sbsS'Traceback' +p173 +g2 +(g3 +g4 +(g162 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g163 +sbsS'Emph' +p177 +g2 +(g3 +g4 +(g162 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g163 +sbsS'Output' +p181 +g2 +(g3 +g4 +(g162 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g163 +sbsS'Subheading' +p185 +g2 +(g3 +g4 +(g162 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g163 +sbsS'Error' +p189 +g2 +(g3 +g4 +(g162 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g163 +sbsg8 +g9 +((lp193 +g182 +ag178 +ag190 +ag186 +ag174 +ag170 +ag2 +(g3 +g4 +(g162 +S'Heading' +p194 +ttRp195 +(dp196 +g8 +g9 +((ltRp197 +sg15 +g163 +sbag2 +(g3 +g4 +(g162 +S'Inserted' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g163 +sbag2 +(g3 +g4 +(g162 +S'Strong' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g163 +sbag166 +atRp206 +sg202 +g203 +sg198 +g199 +sg194 +g195 +sbsS'Text' +p207 +g2 +(g3 +g4 +(g207 +ttRp208 +(dp209 +g8 +g9 +((lp210 +g2 +(g3 +g4 +(g207 +S'Symbol' +p211 +ttRp212 +(dp213 +g8 +g9 +((ltRp214 +sg15 +g208 +sbag2 +(g3 +g4 +(g207 +S'Whitespace' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g208 +sbatRp219 +sg211 +g212 +sg215 +g216 +sg15 +g17 +sbsg5 +g6 +sS'Token' +p220 +g17 +sS'Number' +p221 +g2 +(g3 +g4 +(S'Literal' +p222 +g221 +ttRp223 +(dp224 +S'Bin' +p225 +g2 +(g3 +g4 +(g222 +g221 +g225 +ttRp226 +(dp227 +g8 +g9 +((ltRp228 +sg15 +g223 +sbsS'Binary' +p229 +g2 +(g3 +g4 +(g222 +g221 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g223 +sbsg15 +g2 +(g3 +g4 +(g222 +ttRp233 +(dp234 +S'String' +p235 +g2 +(g3 +g4 +(g222 +g235 +ttRp236 +(dp237 +S'Regex' +p238 +g2 +(g3 +g4 +(g222 +g235 +g238 +ttRp239 +(dp240 +g8 +g9 +((ltRp241 +sg15 +g236 +sbsS'Interpol' +p242 +g2 +(g3 +g4 +(g222 +g235 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g236 +sbsS'Regexp' +p246 +g2 +(g3 +g4 +(g222 +g235 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g236 +sbsg15 +g233 +sS'Heredoc' +p250 +g2 +(g3 +g4 +(g222 +g235 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g236 +sbsS'Double' +p254 +g2 +(g3 +g4 +(g222 +g235 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g236 +sbsg211 +g2 +(g3 +g4 +(g222 +g235 +g211 +ttRp258 +(dp259 +g8 +g9 +((ltRp260 +sg15 +g236 +sbsS'Escape' +p261 +g2 +(g3 +g4 +(g222 +g235 +g261 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g236 +sbsS'Character' +p265 +g2 +(g3 +g4 +(g222 +g235 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g236 +sbsS'Interp' +p269 +g2 +(g3 +g4 +(g222 +g235 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g236 +sbsS'Backtick' +p273 +g2 +(g3 +g4 +(g222 +g235 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g236 +sbsS'Char' +p277 +g2 +(g3 +g4 +(g222 +g235 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g236 +sbsg26 +g2 +(g3 +g4 +(g222 +g235 +g26 +ttRp281 +(dp282 +g8 +g9 +((ltRp283 +sg15 +g236 +sbsg87 +g2 +(g3 +g4 +(g222 +g235 +g87 +ttRp284 +(dp285 +g8 +g9 +((ltRp286 +sg15 +g236 +sbsS'Doc' +p287 +g2 +(g3 +g4 +(g222 +g235 +g287 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g236 +sbsg8 +g9 +((lp291 +g284 +ag2 +(g3 +g4 +(g222 +g235 +S'Atom' +p292 +ttRp293 +(dp294 +g8 +g9 +((ltRp295 +sg15 +g236 +sbag255 +ag278 +ag270 +ag288 +ag251 +ag274 +ag243 +ag258 +ag247 +ag239 +ag281 +ag266 +ag262 +atRp296 +sg292 +g293 +sbsg15 +g17 +sg221 +g223 +sS'Scalar' +p297 +g2 +(g3 +g4 +(g222 +g297 +ttRp298 +(dp299 +g8 +g9 +((lp300 +g2 +(g3 +g4 +(g222 +g297 +S'Plain' +p301 +ttRp302 +(dp303 +g8 +g9 +((ltRp304 +sg15 +g298 +sbatRp305 +sg15 +g233 +sg301 +g302 +sbsg87 +g2 +(g3 +g4 +(g222 +g87 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g233 +sbsS'Date' +p309 +g2 +(g3 +g4 +(g222 +g309 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g233 +sbsg8 +g9 +((lp313 +g310 +ag236 +ag306 +ag223 +ag298 +atRp314 +sbsS'Decimal' +p315 +g2 +(g3 +g4 +(g222 +g221 +g315 +ttRp316 +(dp317 +g8 +g9 +((ltRp318 +sg15 +g223 +sbsS'Float' +p319 +g2 +(g3 +g4 +(g222 +g221 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g223 +sbsS'Hex' +p323 +g2 +(g3 +g4 +(g222 +g221 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g223 +sbsS'Integer' +p327 +g2 +(g3 +g4 +(g222 +g221 +g327 +ttRp328 +(dp329 +g8 +g9 +((lp330 +g2 +(g3 +g4 +(g222 +g221 +g327 +S'Long' +p331 +ttRp332 +(dp333 +g8 +g9 +((ltRp334 +sg15 +g328 +sbatRp335 +sg331 +g332 +sg15 +g223 +sbsS'Octal' +p336 +g2 +(g3 +g4 +(g222 +g221 +g336 +ttRp337 +(dp338 +g8 +g9 +((ltRp339 +sg15 +g223 +sbsg8 +g9 +((lp340 +g226 +ag230 +ag337 +ag316 +ag2 +(g3 +g4 +(g222 +g221 +S'Oct' +p341 +ttRp342 +(dp343 +g8 +g9 +((ltRp344 +sg15 +g223 +sbag328 +ag320 +ag324 +atRp345 +sg341 +g342 +sbsg222 +g233 +sg87 +g2 +(g3 +g4 +(g87 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g17 +sbsg189 +g2 +(g3 +g4 +(g189 +ttRp349 +(dp350 +g8 +g9 +((ltRp351 +sg15 +g17 +sbsS'Operator' +p352 +g2 +(g3 +g4 +(g352 +ttRp353 +(dp354 +g8 +g9 +((lp355 +g2 +(g3 +g4 +(g352 +S'Word' +p356 +ttRp357 +(dp358 +g8 +g9 +((ltRp359 +sg15 +g353 +sbatRp360 +sg356 +g357 +sg15 +g17 +sbsg8 +g9 +((lp361 +g20 +ag349 +ag163 +ag208 +ag41 +ag6 +ag134 +ag233 +ag353 +ag346 +atRp362 +sg235 +g236 +sbsbV- +tp363 +a(g76 +Vmodule +p364 +tp365 +a(g6 +V( +tp366 +a(g41 +Vtest +p367 +tp368 +a(g6 +V) +tp369 +a(g6 +V. +tp370 +a(g208 +V\u000a +tp371 +a(g6 +V- +tp372 +a(g76 +Vexport +p373 +tp374 +a(g6 +V( +tp375 +a(g6 +V[ +tp376 +a(g41 +Vlisten +p377 +tp378 +a(g353 +V/ +tp379 +a(g328 +V1 +tp380 +a(g6 +V, +tp381 +a(g208 +V\u000a +p382 +tp383 +a(g41 +Vhandle_client +p384 +tp385 +a(g353 +V/ +tp386 +a(g328 +V1 +tp387 +a(g6 +V, +tp388 +a(g208 +V\u000a +p389 +tp390 +a(g41 +Vmaintain_clients +p391 +tp392 +a(g353 +V/ +tp393 +a(g328 +V1 +tp394 +a(g6 +V, +tp395 +a(g208 +V\u000a +p396 +tp397 +a(g41 +Vstart +p398 +tp399 +a(g353 +V/ +tp400 +a(g328 +V1 +tp401 +a(g6 +V, +tp402 +a(g208 +V\u000a +p403 +tp404 +a(g41 +Vstop +p405 +tp406 +a(g353 +V/ +tp407 +a(g328 +V0 +tp408 +a(g6 +V, +tp409 +a(g208 +V\u000a +p410 +tp411 +a(g41 +Vcontroller +p412 +tp413 +a(g353 +V/ +tp414 +a(g328 +V1 +tp415 +a(g6 +V] +tp416 +a(g6 +V) +tp417 +a(g6 +V. +tp418 +a(g208 +V\u000a\u000a +p419 +tp420 +a(g6 +V- +tp421 +a(g76 +Vauthor +p422 +tp423 +a(g6 +V( +tp424 +a(g236 +V" +tp425 +a(g236 +Vjerith +p426 +tp427 +a(g236 +V" +tp428 +a(g6 +V) +tp429 +a(g6 +V. +tp430 +a(g208 +V\u000a\u000a +p431 +tp432 +a(g6 +V- +tp433 +a(g76 +Vdefine +p434 +tp435 +a(g6 +V( +tp436 +a(g56 +VTCP_OPTIONS +p437 +tp438 +a(g6 +V, +tp439 +a(g6 +V[ +tp440 +a(g41 +Vlist +p441 +tp442 +a(g6 +V, +tp443 +a(g208 +V +tp444 +a(g6 +V{ +tp445 +a(g41 +Vpacket +p446 +tp447 +a(g6 +V, +tp448 +a(g208 +V +tp449 +a(g328 +V0 +tp450 +a(g6 +V} +tp451 +a(g6 +V, +tp452 +a(g208 +V +tp453 +a(g6 +V{ +tp454 +a(g41 +Vactive +p455 +tp456 +a(g6 +V, +tp457 +a(g208 +V +tp458 +a(g41 +Vfalse +p459 +tp460 +a(g6 +V} +tp461 +a(g6 +V, +tp462 +a(g208 +V +tp463 +a(g6 +V{ +tp464 +a(g41 +Vreuseaddr +p465 +tp466 +a(g6 +V, +tp467 +a(g208 +V +tp468 +a(g41 +Vtrue +p469 +tp470 +a(g6 +V} +tp471 +a(g6 +V] +tp472 +a(g6 +V) +tp473 +a(g6 +V. +tp474 +a(g208 +V\u000a\u000a +p475 +tp476 +a(g6 +V- +tp477 +a(g76 +Vrecord +p478 +tp479 +a(g6 +V( +tp480 +a(g68 +Vplayer +p481 +tp482 +a(g6 +V, +tp483 +a(g208 +V +tp484 +a(g6 +V{ +tp485 +a(g41 +Vname +p486 +tp487 +a(g353 +V= +tp488 +a(g41 +Vnone +p489 +tp490 +a(g6 +V, +tp491 +a(g208 +V +tp492 +a(g41 +Vsocket +p493 +tp494 +a(g6 +V, +tp495 +a(g208 +V +tp496 +a(g41 +Vmode +p497 +tp498 +a(g6 +V} +tp499 +a(g6 +V) +tp500 +a(g6 +V. +tp501 +a(g208 +V\u000a\u000a +p502 +tp503 +a(g20 +V%% To allow incoming connections, we need to listen on a TCP port.\u000a +p504 +tp505 +a(g20 +V%% This is also the entry point for our server as a whole, so it\u000a +p506 +tp507 +a(g20 +V%% starts the client_manager process and gives it a name so the rest\u000a +p508 +tp509 +a(g20 +V%% of the code can get to it easily.\u000a +p510 +tp511 +a(g208 +V\u000a +tp512 +a(g44 +Vlisten +p513 +tp514 +a(g6 +V( +tp515 +a(g96 +VPort +p516 +tp517 +a(g6 +V) +tp518 +a(g208 +V +tp519 +a(g353 +V- +tp520 +a(g353 +V> +tp521 +a(g208 +V\u000a +p522 +tp523 +a(g6 +V{ +tp524 +a(g41 +Vok +p525 +tp526 +a(g6 +V, +tp527 +a(g208 +V +tp528 +a(g96 +VLSocket +p529 +tp530 +a(g6 +V} +tp531 +a(g208 +V +tp532 +a(g353 +V= +tp533 +a(g208 +V +tp534 +a(g122 +Vgen_tcp +p535 +tp536 +a(g6 +V: +tp537 +a(g41 +Vlisten +p538 +tp539 +a(g6 +V( +tp540 +a(g96 +VPort +p541 +tp542 +a(g6 +V, +tp543 +a(g208 +V +tp544 +a(g56 +V?TCP_OPTIONS +p545 +tp546 +a(g6 +V) +tp547 +a(g6 +V, +tp548 +a(g208 +V\u000a +p549 +tp550 +a(g80 +Vregister +p551 +tp552 +a(g6 +V( +tp553 +a(g41 +Vclient_manager +p554 +tp555 +a(g6 +V, +tp556 +a(g208 +V +tp557 +a(g80 +Vspawn +p558 +tp559 +a(g6 +V( +tp560 +a(g56 +V?MODULE +p561 +tp562 +a(g6 +V, +tp563 +a(g208 +V +tp564 +a(g41 +Vmaintain_clients +p565 +tp566 +a(g6 +V, +tp567 +a(g208 +V +tp568 +a(g6 +V[ +tp569 +a(g6 +V[ +tp570 +a(g6 +V] +tp571 +a(g6 +V] +tp572 +a(g6 +V) +tp573 +a(g6 +V) +tp574 +a(g6 +V, +tp575 +a(g208 +V\u000a +p576 +tp577 +a(g41 +Vdo_accept +p578 +tp579 +a(g6 +V( +tp580 +a(g96 +VLSocket +p581 +tp582 +a(g6 +V) +tp583 +a(g6 +V. +tp584 +a(g208 +V\u000a\u000a +p585 +tp586 +a(g20 +V%% Accepting a connection gives us a connection socket with the\u000a +p587 +tp588 +a(g20 +V%% newly-connected client on the other end. Since we want to accept\u000a +p589 +tp590 +a(g20 +V%% more than one client, we spawn a new process for each and then wait\u000a +p591 +tp592 +a(g20 +V%% for another connection on our listening socket.\u000a +p593 +tp594 +a(g208 +V\u000a +tp595 +a(g44 +Vdo_accept +p596 +tp597 +a(g6 +V( +tp598 +a(g96 +VLSocket +p599 +tp600 +a(g6 +V) +tp601 +a(g208 +V +tp602 +a(g353 +V- +tp603 +a(g353 +V> +tp604 +a(g208 +V\u000a +p605 +tp606 +a(g134 +Vcase +p607 +tp608 +a(g208 +V +tp609 +a(g122 +Vgen_tcp +p610 +tp611 +a(g6 +V: +tp612 +a(g41 +Vaccept +p613 +tp614 +a(g6 +V( +tp615 +a(g96 +VLSocket +p616 +tp617 +a(g6 +V) +tp618 +a(g208 +V +tp619 +a(g134 +Vof +p620 +tp621 +a(g208 +V\u000a +p622 +tp623 +a(g6 +V{ +tp624 +a(g41 +Vok +p625 +tp626 +a(g6 +V, +tp627 +a(g208 +V +tp628 +a(g96 +VSocket +p629 +tp630 +a(g6 +V} +tp631 +a(g208 +V +tp632 +a(g353 +V- +tp633 +a(g353 +V> +tp634 +a(g208 +V\u000a +p635 +tp636 +a(g80 +Vspawn +p637 +tp638 +a(g6 +V( +tp639 +a(g56 +V?MODULE +p640 +tp641 +a(g6 +V, +tp642 +a(g208 +V +tp643 +a(g41 +Vhandle_client +p644 +tp645 +a(g6 +V, +tp646 +a(g208 +V +tp647 +a(g6 +V[ +tp648 +a(g96 +VSocket +p649 +tp650 +a(g6 +V] +tp651 +a(g6 +V) +tp652 +a(g6 +V, +tp653 +a(g208 +V\u000a +p654 +tp655 +a(g41 +Vclient_manager +p656 +tp657 +a(g208 +V +tp658 +a(g353 +V! +tp659 +a(g208 +V +tp660 +a(g6 +V{ +tp661 +a(g41 +Vconnect +p662 +tp663 +a(g6 +V, +tp664 +a(g208 +V +tp665 +a(g96 +VSocket +p666 +tp667 +a(g6 +V} +tp668 +a(g6 +V; +tp669 +a(g208 +V\u000a +p670 +tp671 +a(g6 +V{ +tp672 +a(g41 +Verror +p673 +tp674 +a(g6 +V, +tp675 +a(g208 +V +tp676 +a(g96 +VReason +p677 +tp678 +a(g6 +V} +tp679 +a(g208 +V +tp680 +a(g353 +V- +tp681 +a(g353 +V> +tp682 +a(g208 +V\u000a +p683 +tp684 +a(g122 +Vio +p685 +tp686 +a(g6 +V: +tp687 +a(g41 +Vformat +p688 +tp689 +a(g6 +V( +tp690 +a(g236 +V" +tp691 +a(g236 +VSocket accept error: +p692 +tp693 +a(g243 +V~s +p694 +tp695 +a(g243 +V~n +p696 +tp697 +a(g236 +V" +tp698 +a(g6 +V, +tp699 +a(g208 +V +tp700 +a(g6 +V[ +tp701 +a(g96 +VReason +p702 +tp703 +a(g6 +V] +tp704 +a(g6 +V) +tp705 +a(g208 +V\u000a +p706 +tp707 +a(g134 +Vend +p708 +tp709 +a(g6 +V, +tp710 +a(g208 +V\u000a +p711 +tp712 +a(g41 +Vdo_accept +p713 +tp714 +a(g6 +V( +tp715 +a(g96 +VLSocket +p716 +tp717 +a(g6 +V) +tp718 +a(g6 +V. +tp719 +a(g208 +V\u000a\u000a +p720 +tp721 +a(g20 +V%% All the client-socket process needs to do is wait for data and\u000a +p722 +tp723 +a(g20 +V%% forward it to the client_manager process which decides what to do\u000a +p724 +tp725 +a(g20 +V%% with it. If the client disconnects, we let client_manager know and\u000a +p726 +tp727 +a(g20 +V%% then quietly go away.\u000a +p728 +tp729 +a(g208 +V\u000a +tp730 +a(g44 +Vhandle_client +p731 +tp732 +a(g6 +V( +tp733 +a(g96 +VSocket +p734 +tp735 +a(g6 +V) +tp736 +a(g208 +V +tp737 +a(g353 +V- +tp738 +a(g353 +V> +tp739 +a(g208 +V\u000a +p740 +tp741 +a(g134 +Vcase +p742 +tp743 +a(g208 +V +tp744 +a(g122 +Vgen_tcp +p745 +tp746 +a(g6 +V: +tp747 +a(g41 +Vrecv +p748 +tp749 +a(g6 +V( +tp750 +a(g96 +VSocket +p751 +tp752 +a(g6 +V, +tp753 +a(g208 +V +tp754 +a(g328 +V0 +tp755 +a(g6 +V) +tp756 +a(g208 +V +tp757 +a(g134 +Vof +p758 +tp759 +a(g208 +V\u000a +p760 +tp761 +a(g6 +V{ +tp762 +a(g41 +Vok +p763 +tp764 +a(g6 +V, +tp765 +a(g208 +V +tp766 +a(g96 +VData +p767 +tp768 +a(g6 +V} +tp769 +a(g208 +V +tp770 +a(g353 +V- +tp771 +a(g353 +V> +tp772 +a(g208 +V\u000a +p773 +tp774 +a(g41 +Vclient_manager +p775 +tp776 +a(g208 +V +tp777 +a(g353 +V! +tp778 +a(g208 +V +tp779 +a(g6 +V{ +tp780 +a(g41 +Vdata +p781 +tp782 +a(g6 +V, +tp783 +a(g208 +V +tp784 +a(g96 +VSocket +p785 +tp786 +a(g6 +V, +tp787 +a(g208 +V +tp788 +a(g96 +VData +p789 +tp790 +a(g6 +V} +tp791 +a(g6 +V, +tp792 +a(g208 +V\u000a +p793 +tp794 +a(g41 +Vhandle_client +p795 +tp796 +a(g6 +V( +tp797 +a(g96 +VSocket +p798 +tp799 +a(g6 +V) +tp800 +a(g6 +V; +tp801 +a(g208 +V\u000a +p802 +tp803 +a(g6 +V{ +tp804 +a(g41 +Verror +p805 +tp806 +a(g6 +V, +tp807 +a(g208 +V +tp808 +a(g41 +Vclosed +p809 +tp810 +a(g6 +V} +tp811 +a(g208 +V +tp812 +a(g353 +V- +tp813 +a(g353 +V> +tp814 +a(g208 +V\u000a +p815 +tp816 +a(g41 +Vclient_manager +p817 +tp818 +a(g208 +V +tp819 +a(g353 +V! +tp820 +a(g208 +V +tp821 +a(g6 +V{ +tp822 +a(g41 +Vdisconnect +p823 +tp824 +a(g6 +V, +tp825 +a(g208 +V +tp826 +a(g96 +VSocket +p827 +tp828 +a(g6 +V} +tp829 +a(g208 +V\u000a +p830 +tp831 +a(g134 +Vend +p832 +tp833 +a(g6 +V. +tp834 +a(g208 +V\u000a\u000a +p835 +tp836 +a(g20 +V%% This is the main loop of the client_manager process. It maintains\u000a +p837 +tp838 +a(g20 +V%% the list of "players" and calls the handler for client input.\u000a +p839 +tp840 +a(g208 +V\u000a +tp841 +a(g44 +Vmaintain_clients +p842 +tp843 +a(g6 +V( +tp844 +a(g96 +VPlayers +p845 +tp846 +a(g6 +V) +tp847 +a(g208 +V +tp848 +a(g353 +V- +tp849 +a(g353 +V> +tp850 +a(g208 +V\u000a +p851 +tp852 +a(g122 +Vio +p853 +tp854 +a(g6 +V: +tp855 +a(g41 +Vformat +p856 +tp857 +a(g6 +V( +tp858 +a(g236 +V" +tp859 +a(g236 +VPlayers: +p860 +tp861 +a(g243 +V~n +p862 +tp863 +a(g236 +V" +tp864 +a(g6 +V, +tp865 +a(g208 +V +tp866 +a(g6 +V[ +tp867 +a(g6 +V] +tp868 +a(g6 +V) +tp869 +a(g6 +V, +tp870 +a(g208 +V\u000a +p871 +tp872 +a(g122 +Vlists +p873 +tp874 +a(g6 +V: +tp875 +a(g41 +Vforeach +p876 +tp877 +a(g6 +V( +tp878 +a(g134 +Vfun +p879 +tp880 +a(g6 +V( +tp881 +a(g96 +VP +tp882 +a(g6 +V) +tp883 +a(g208 +V +tp884 +a(g353 +V- +tp885 +a(g353 +V> +tp886 +a(g208 +V +tp887 +a(g122 +Vio +p888 +tp889 +a(g6 +V: +tp890 +a(g41 +Vformat +p891 +tp892 +a(g6 +V( +tp893 +a(g236 +V" +tp894 +a(g236 +V>>> +p895 +tp896 +a(g243 +V~w +p897 +tp898 +a(g243 +V~n +p899 +tp900 +a(g236 +V" +tp901 +a(g6 +V, +tp902 +a(g208 +V +tp903 +a(g6 +V[ +tp904 +a(g96 +VP +tp905 +a(g6 +V] +tp906 +a(g6 +V) +tp907 +a(g208 +V +tp908 +a(g134 +Vend +p909 +tp910 +a(g6 +V, +tp911 +a(g208 +V +tp912 +a(g96 +VPlayers +p913 +tp914 +a(g6 +V) +tp915 +a(g6 +V, +tp916 +a(g208 +V\u000a +p917 +tp918 +a(g134 +Vreceive +p919 +tp920 +a(g208 +V\u000a +p921 +tp922 +a(g6 +V{ +tp923 +a(g41 +Vconnect +p924 +tp925 +a(g6 +V, +tp926 +a(g208 +V +tp927 +a(g96 +VSocket +p928 +tp929 +a(g6 +V} +tp930 +a(g208 +V +tp931 +a(g353 +V- +tp932 +a(g353 +V> +tp933 +a(g208 +V\u000a +p934 +tp935 +a(g96 +VPlayer +p936 +tp937 +a(g208 +V +tp938 +a(g353 +V= +tp939 +a(g208 +V +tp940 +a(g68 +V#player +p941 +tp942 +a(g6 +V{ +tp943 +a(g41 +Vsocket +p944 +tp945 +a(g353 +V= +tp946 +a(g96 +VSocket +p947 +tp948 +a(g6 +V, +tp949 +a(g208 +V +tp950 +a(g41 +Vmode +p951 +tp952 +a(g353 +V= +tp953 +a(g41 +Vconnect +p954 +tp955 +a(g6 +V} +tp956 +a(g6 +V, +tp957 +a(g208 +V\u000a +p958 +tp959 +a(g41 +Vsend_prompt +p960 +tp961 +a(g6 +V( +tp962 +a(g96 +VPlayer +p963 +tp964 +a(g6 +V) +tp965 +a(g6 +V, +tp966 +a(g208 +V\u000a +p967 +tp968 +a(g122 +Vio +p969 +tp970 +a(g6 +V: +tp971 +a(g41 +Vformat +p972 +tp973 +a(g6 +V( +tp974 +a(g236 +V" +tp975 +a(g236 +Vclient connected: +p976 +tp977 +a(g243 +V~w +p978 +tp979 +a(g243 +V~n +p980 +tp981 +a(g236 +V" +tp982 +a(g6 +V, +tp983 +a(g208 +V +tp984 +a(g6 +V[ +tp985 +a(g96 +VPlayer +p986 +tp987 +a(g6 +V] +tp988 +a(g6 +V) +tp989 +a(g6 +V, +tp990 +a(g208 +V\u000a +p991 +tp992 +a(g96 +VNewPlayers +p993 +tp994 +a(g208 +V +tp995 +a(g353 +V= +tp996 +a(g208 +V +p997 +tp998 +a(g6 +V[ +tp999 +a(g96 +VPlayer +p1000 +tp1001 +a(g208 +V +tp1002 +a(g6 +V| +tp1003 +a(g208 +V +tp1004 +a(g96 +VPlayers +p1005 +tp1006 +a(g6 +V] +tp1007 +a(g6 +V; +tp1008 +a(g208 +V\u000a +p1009 +tp1010 +a(g6 +V{ +tp1011 +a(g41 +Vdisconnect +p1012 +tp1013 +a(g6 +V, +tp1014 +a(g208 +V +tp1015 +a(g96 +VSocket +p1016 +tp1017 +a(g6 +V} +tp1018 +a(g208 +V +tp1019 +a(g353 +V- +tp1020 +a(g353 +V> +tp1021 +a(g208 +V\u000a +p1022 +tp1023 +a(g96 +VPlayer +p1024 +tp1025 +a(g208 +V +tp1026 +a(g353 +V= +tp1027 +a(g208 +V +tp1028 +a(g41 +Vfind_player +p1029 +tp1030 +a(g6 +V( +tp1031 +a(g96 +VSocket +p1032 +tp1033 +a(g6 +V, +tp1034 +a(g208 +V +tp1035 +a(g96 +VPlayers +p1036 +tp1037 +a(g6 +V) +tp1038 +a(g6 +V, +tp1039 +a(g208 +V\u000a +p1040 +tp1041 +a(g122 +Vio +p1042 +tp1043 +a(g6 +V: +tp1044 +a(g41 +Vformat +p1045 +tp1046 +a(g6 +V( +tp1047 +a(g236 +V" +tp1048 +a(g236 +Vclient disconnected: +p1049 +tp1050 +a(g243 +V~w +p1051 +tp1052 +a(g243 +V~n +p1053 +tp1054 +a(g236 +V" +tp1055 +a(g6 +V, +tp1056 +a(g208 +V +tp1057 +a(g6 +V[ +tp1058 +a(g96 +VPlayer +p1059 +tp1060 +a(g6 +V] +tp1061 +a(g6 +V) +tp1062 +a(g6 +V, +tp1063 +a(g208 +V\u000a +p1064 +tp1065 +a(g96 +VNewPlayers +p1066 +tp1067 +a(g208 +V +tp1068 +a(g353 +V= +tp1069 +a(g208 +V +tp1070 +a(g122 +Vlists +p1071 +tp1072 +a(g6 +V: +tp1073 +a(g41 +Vdelete +p1074 +tp1075 +a(g6 +V( +tp1076 +a(g96 +VPlayer +p1077 +tp1078 +a(g6 +V, +tp1079 +a(g208 +V +tp1080 +a(g96 +VPlayers +p1081 +tp1082 +a(g6 +V) +tp1083 +a(g6 +V; +tp1084 +a(g208 +V\u000a +p1085 +tp1086 +a(g6 +V{ +tp1087 +a(g41 +Vdata +p1088 +tp1089 +a(g6 +V, +tp1090 +a(g208 +V +tp1091 +a(g96 +VSocket +p1092 +tp1093 +a(g6 +V, +tp1094 +a(g208 +V +tp1095 +a(g96 +VData +p1096 +tp1097 +a(g6 +V} +tp1098 +a(g208 +V +tp1099 +a(g353 +V- +tp1100 +a(g353 +V> +tp1101 +a(g208 +V\u000a +p1102 +tp1103 +a(g96 +VPlayer +p1104 +tp1105 +a(g208 +V +tp1106 +a(g353 +V= +tp1107 +a(g208 +V +tp1108 +a(g41 +Vfind_player +p1109 +tp1110 +a(g6 +V( +tp1111 +a(g96 +VSocket +p1112 +tp1113 +a(g6 +V, +tp1114 +a(g208 +V +tp1115 +a(g96 +VPlayers +p1116 +tp1117 +a(g6 +V) +tp1118 +a(g6 +V, +tp1119 +a(g208 +V\u000a +p1120 +tp1121 +a(g96 +VNewPlayers +p1122 +tp1123 +a(g208 +V +tp1124 +a(g353 +V= +tp1125 +a(g208 +V +tp1126 +a(g41 +Vparse_data +p1127 +tp1128 +a(g6 +V( +tp1129 +a(g96 +VPlayer +p1130 +tp1131 +a(g6 +V, +tp1132 +a(g208 +V +tp1133 +a(g96 +VPlayers +p1134 +tp1135 +a(g6 +V, +tp1136 +a(g208 +V +tp1137 +a(g96 +VData +p1138 +tp1139 +a(g6 +V) +tp1140 +a(g6 +V, +tp1141 +a(g208 +V\u000a +p1142 +tp1143 +a(g96 +VNewPlayer +p1144 +tp1145 +a(g208 +V +tp1146 +a(g353 +V= +tp1147 +a(g208 +V +tp1148 +a(g41 +Vfind_player +p1149 +tp1150 +a(g6 +V( +tp1151 +a(g96 +VSocket +p1152 +tp1153 +a(g6 +V, +tp1154 +a(g208 +V +tp1155 +a(g96 +VNewPlayers +p1156 +tp1157 +a(g6 +V) +tp1158 +a(g6 +V, +tp1159 +a(g208 +V\u000a +p1160 +tp1161 +a(g41 +Vsend_prompt +p1162 +tp1163 +a(g6 +V( +tp1164 +a(g96 +VNewPlayer +p1165 +tp1166 +a(g6 +V) +tp1167 +a(g208 +V\u000a +p1168 +tp1169 +a(g134 +Vend +p1170 +tp1171 +a(g6 +V, +tp1172 +a(g208 +V\u000a +p1173 +tp1174 +a(g41 +Vmaintain_clients +p1175 +tp1176 +a(g6 +V( +tp1177 +a(g96 +VNewPlayers +p1178 +tp1179 +a(g6 +V) +tp1180 +a(g6 +V. +tp1181 +a(g208 +V\u000a\u000a +p1182 +tp1183 +a(g20 +V%% find_player is a utility function to get a player record associated\u000a +p1184 +tp1185 +a(g20 +V%% with a particular socket out of the player list.\u000a +p1186 +tp1187 +a(g208 +V\u000a +tp1188 +a(g44 +Vfind_player +p1189 +tp1190 +a(g6 +V( +tp1191 +a(g96 +VSocket +p1192 +tp1193 +a(g6 +V, +tp1194 +a(g208 +V +tp1195 +a(g96 +VPlayers +p1196 +tp1197 +a(g6 +V) +tp1198 +a(g208 +V +tp1199 +a(g353 +V- +tp1200 +a(g353 +V> +tp1201 +a(g208 +V\u000a +p1202 +tp1203 +a(g6 +V{ +tp1204 +a(g41 +Vvalue +p1205 +tp1206 +a(g6 +V, +tp1207 +a(g208 +V +tp1208 +a(g96 +VPlayer +p1209 +tp1210 +a(g6 +V} +tp1211 +a(g208 +V +tp1212 +a(g353 +V= +tp1213 +a(g208 +V +tp1214 +a(g122 +Vlists +p1215 +tp1216 +a(g6 +V: +tp1217 +a(g41 +Vkeysearch +p1218 +tp1219 +a(g6 +V( +tp1220 +a(g96 +VSocket +p1221 +tp1222 +a(g6 +V, +tp1223 +a(g208 +V +tp1224 +a(g68 +V#player.socket +p1225 +tp1226 +a(g6 +V, +tp1227 +a(g208 +V +tp1228 +a(g96 +VPlayers +p1229 +tp1230 +a(g6 +V) +tp1231 +a(g6 +V, +tp1232 +a(g208 +V\u000a +p1233 +tp1234 +a(g96 +VPlayer +p1235 +tp1236 +a(g6 +V. +tp1237 +a(g208 +V\u000a\u000a +p1238 +tp1239 +a(g20 +V%% delete_player returns the player list without the given player. It\u000a +p1240 +tp1241 +a(g20 +V%% deletes the player from the list based on the socket rather than\u000a +p1242 +tp1243 +a(g20 +V%% the whole record because the list might hold a different version.\u000a +p1244 +tp1245 +a(g208 +V\u000a +tp1246 +a(g44 +Vdelete_player +p1247 +tp1248 +a(g6 +V( +tp1249 +a(g96 +VPlayer +p1250 +tp1251 +a(g6 +V, +tp1252 +a(g208 +V +tp1253 +a(g96 +VPlayers +p1254 +tp1255 +a(g6 +V) +tp1256 +a(g208 +V +tp1257 +a(g353 +V- +tp1258 +a(g353 +V> +tp1259 +a(g208 +V\u000a +p1260 +tp1261 +a(g122 +Vlists +p1262 +tp1263 +a(g6 +V: +tp1264 +a(g41 +Vkeydelete +p1265 +tp1266 +a(g6 +V( +tp1267 +a(g96 +VPlayer +p1268 +tp1269 +a(g68 +V#player.socket +p1270 +tp1271 +a(g6 +V, +tp1272 +a(g208 +V +tp1273 +a(g68 +V#player.socket +p1274 +tp1275 +a(g6 +V, +tp1276 +a(g208 +V +tp1277 +a(g96 +VPlayers +p1278 +tp1279 +a(g6 +V) +tp1280 +a(g6 +V. +tp1281 +a(g208 +V\u000a\u000a +p1282 +tp1283 +a(g20 +V%% Sends an appropriate prompt to the player. Currently the only\u000a +p1284 +tp1285 +a(g20 +V%% prompt we send is the initial "Name: " when the player connects.\u000a +p1286 +tp1287 +a(g208 +V\u000a +tp1288 +a(g44 +Vsend_prompt +p1289 +tp1290 +a(g6 +V( +tp1291 +a(g96 +VPlayer +p1292 +tp1293 +a(g6 +V) +tp1294 +a(g208 +V +tp1295 +a(g353 +V- +tp1296 +a(g353 +V> +tp1297 +a(g208 +V\u000a +p1298 +tp1299 +a(g134 +Vcase +p1300 +tp1301 +a(g208 +V +tp1302 +a(g96 +VPlayer +p1303 +tp1304 +a(g68 +V#player.mode +p1305 +tp1306 +a(g208 +V +tp1307 +a(g134 +Vof +p1308 +tp1309 +a(g208 +V\u000a +p1310 +tp1311 +a(g41 +Vconnect +p1312 +tp1313 +a(g208 +V +tp1314 +a(g353 +V- +tp1315 +a(g353 +V> +tp1316 +a(g208 +V\u000a +p1317 +tp1318 +a(g122 +Vgen_tcp +p1319 +tp1320 +a(g6 +V: +tp1321 +a(g80 +Vsend +p1322 +tp1323 +a(g6 +V( +tp1324 +a(g96 +VPlayer +p1325 +tp1326 +a(g68 +V#player.socket +p1327 +tp1328 +a(g6 +V, +tp1329 +a(g208 +V +tp1330 +a(g236 +V" +tp1331 +a(g236 +VName: +p1332 +tp1333 +a(g236 +V" +tp1334 +a(g6 +V) +tp1335 +a(g6 +V; +tp1336 +a(g208 +V\u000a +p1337 +tp1338 +a(g41 +Vactive +p1339 +tp1340 +a(g208 +V +tp1341 +a(g353 +V- +tp1342 +a(g353 +V> +tp1343 +a(g208 +V\u000a +p1344 +tp1345 +a(g41 +Vok +p1346 +tp1347 +a(g208 +V\u000a +p1348 +tp1349 +a(g134 +Vend +p1350 +tp1351 +a(g6 +V. +tp1352 +a(g208 +V\u000a\u000a +p1353 +tp1354 +a(g20 +V%% Sends the given data to all players in active mode.\u000a +p1355 +tp1356 +a(g208 +V\u000a +tp1357 +a(g44 +Vsend_to_active +p1358 +tp1359 +a(g6 +V( +tp1360 +a(g96 +VPrefix +p1361 +tp1362 +a(g6 +V, +tp1363 +a(g208 +V +tp1364 +a(g96 +VPlayers +p1365 +tp1366 +a(g6 +V, +tp1367 +a(g208 +V +tp1368 +a(g96 +VData +p1369 +tp1370 +a(g6 +V) +tp1371 +a(g208 +V +tp1372 +a(g353 +V- +tp1373 +a(g353 +V> +tp1374 +a(g208 +V\u000a +p1375 +tp1376 +a(g96 +VActivePlayers +p1377 +tp1378 +a(g208 +V +tp1379 +a(g353 +V= +tp1380 +a(g208 +V +tp1381 +a(g122 +Vlists +p1382 +tp1383 +a(g6 +V: +tp1384 +a(g41 +Vfilter +p1385 +tp1386 +a(g6 +V( +tp1387 +a(g134 +Vfun +p1388 +tp1389 +a(g6 +V( +tp1390 +a(g96 +VP +tp1391 +a(g6 +V) +tp1392 +a(g208 +V +tp1393 +a(g353 +V- +tp1394 +a(g353 +V> +tp1395 +a(g208 +V +tp1396 +a(g96 +VP +tp1397 +a(g68 +V#player.mode +p1398 +tp1399 +a(g208 +V +tp1400 +a(g353 +V= +tp1401 +a(g353 +V= +tp1402 +a(g208 +V +tp1403 +a(g41 +Vactive +p1404 +tp1405 +a(g208 +V +tp1406 +a(g134 +Vend +p1407 +tp1408 +a(g6 +V, +tp1409 +a(g208 +V\u000a +p1410 +tp1411 +a(g96 +VPlayers +p1412 +tp1413 +a(g6 +V) +tp1414 +a(g6 +V, +tp1415 +a(g208 +V\u000a +p1416 +tp1417 +a(g122 +Vlists +p1418 +tp1419 +a(g6 +V: +tp1420 +a(g41 +Vforeach +p1421 +tp1422 +a(g6 +V( +tp1423 +a(g134 +Vfun +p1424 +tp1425 +a(g6 +V( +tp1426 +a(g96 +VP +tp1427 +a(g6 +V) +tp1428 +a(g208 +V +tp1429 +a(g353 +V- +tp1430 +a(g353 +V> +tp1431 +a(g208 +V +tp1432 +a(g122 +Vgen_tcp +p1433 +tp1434 +a(g6 +V: +tp1435 +a(g80 +Vsend +p1436 +tp1437 +a(g6 +V( +tp1438 +a(g96 +VP +tp1439 +a(g68 +V#player.socket +p1440 +tp1441 +a(g6 +V, +tp1442 +a(g208 +V +tp1443 +a(g96 +VPrefix +p1444 +tp1445 +a(g208 +V +tp1446 +a(g353 +V+ +tp1447 +a(g353 +V+ +tp1448 +a(g208 +V +tp1449 +a(g96 +VData +p1450 +tp1451 +a(g6 +V) +tp1452 +a(g208 +V +tp1453 +a(g134 +Vend +p1454 +tp1455 +a(g6 +V, +tp1456 +a(g208 +V\u000a +p1457 +tp1458 +a(g96 +VActivePlayers +p1459 +tp1460 +a(g6 +V) +tp1461 +a(g6 +V, +tp1462 +a(g208 +V\u000a +p1463 +tp1464 +a(g41 +Vok +p1465 +tp1466 +a(g6 +V. +tp1467 +a(g208 +V\u000a\u000a +p1468 +tp1469 +a(g20 +V%% We don't really do much parsing, but that will probably change as\u000a +p1470 +tp1471 +a(g20 +V%% more features are added. Currently this handles naming the player\u000a +p1472 +tp1473 +a(g20 +V%% when he first connects and treats everything else as a message to\u000a +p1474 +tp1475 +a(g20 +V%% send.\u000a +p1476 +tp1477 +a(g208 +V\u000a +tp1478 +a(g44 +Vparse_data +p1479 +tp1480 +a(g6 +V( +tp1481 +a(g96 +VPlayer +p1482 +tp1483 +a(g6 +V, +tp1484 +a(g208 +V +tp1485 +a(g96 +VPlayers +p1486 +tp1487 +a(g6 +V, +tp1488 +a(g208 +V +tp1489 +a(g96 +VData +p1490 +tp1491 +a(g6 +V) +tp1492 +a(g208 +V +tp1493 +a(g353 +V- +tp1494 +a(g353 +V> +tp1495 +a(g208 +V\u000a +p1496 +tp1497 +a(g134 +Vcase +p1498 +tp1499 +a(g208 +V +tp1500 +a(g96 +VPlayer +p1501 +tp1502 +a(g68 +V#player.mode +p1503 +tp1504 +a(g208 +V +tp1505 +a(g134 +Vof +p1506 +tp1507 +a(g208 +V\u000a +p1508 +tp1509 +a(g41 +Vactive +p1510 +tp1511 +a(g208 +V +tp1512 +a(g353 +V- +tp1513 +a(g353 +V> +tp1514 +a(g208 +V\u000a +p1515 +tp1516 +a(g41 +Vsend_to_active +p1517 +tp1518 +a(g6 +V( +tp1519 +a(g96 +VPlayer +p1520 +tp1521 +a(g68 +V#player.name +p1522 +tp1523 +a(g208 +V +tp1524 +a(g353 +V+ +tp1525 +a(g353 +V+ +tp1526 +a(g208 +V +tp1527 +a(g236 +V" +tp1528 +a(g236 +V: +p1529 +tp1530 +a(g236 +V" +tp1531 +a(g6 +V, +tp1532 +a(g208 +V\u000a +p1533 +tp1534 +a(g41 +Vdelete_player +p1535 +tp1536 +a(g6 +V( +tp1537 +a(g96 +VPlayer +p1538 +tp1539 +a(g6 +V, +tp1540 +a(g208 +V +tp1541 +a(g96 +VPlayers +p1542 +tp1543 +a(g6 +V) +tp1544 +a(g6 +V, +tp1545 +a(g208 +V +tp1546 +a(g96 +VData +p1547 +tp1548 +a(g6 +V) +tp1549 +a(g6 +V, +tp1550 +a(g208 +V\u000a +p1551 +tp1552 +a(g96 +VPlayers +p1553 +tp1554 +a(g6 +V; +tp1555 +a(g208 +V\u000a +p1556 +tp1557 +a(g41 +Vconnect +p1558 +tp1559 +a(g208 +V +tp1560 +a(g353 +V- +tp1561 +a(g353 +V> +tp1562 +a(g208 +V\u000a +p1563 +tp1564 +a(g96 +VUPlayer +p1565 +tp1566 +a(g208 +V +tp1567 +a(g353 +V= +tp1568 +a(g208 +V +tp1569 +a(g96 +VPlayer +p1570 +tp1571 +a(g68 +V#player +p1572 +tp1573 +a(g6 +V{ +tp1574 +a(g41 +Vname +p1575 +tp1576 +a(g353 +V= +tp1577 +a(g41 +Vbogostrip +p1578 +tp1579 +a(g6 +V( +tp1580 +a(g96 +VData +p1581 +tp1582 +a(g6 +V) +tp1583 +a(g6 +V, +tp1584 +a(g208 +V +tp1585 +a(g41 +Vmode +p1586 +tp1587 +a(g353 +V= +tp1588 +a(g41 +Vactive +p1589 +tp1590 +a(g6 +V} +tp1591 +a(g6 +V, +tp1592 +a(g208 +V\u000a +p1593 +tp1594 +a(g6 +V[ +tp1595 +a(g96 +VUPlayer +p1596 +tp1597 +a(g208 +V +tp1598 +a(g6 +V| +tp1599 +a(g208 +V +tp1600 +a(g41 +Vdelete_player +p1601 +tp1602 +a(g6 +V( +tp1603 +a(g96 +VPlayer +p1604 +tp1605 +a(g6 +V, +tp1606 +a(g208 +V +tp1607 +a(g96 +VPlayers +p1608 +tp1609 +a(g6 +V) +tp1610 +a(g6 +V] +tp1611 +a(g208 +V\u000a +p1612 +tp1613 +a(g134 +Vend +p1614 +tp1615 +a(g6 +V. +tp1616 +a(g208 +V\u000a\u000a +p1617 +tp1618 +a(g20 +V%% Utility methods to clean up the name before we apply it. Called\u000a +p1619 +tp1620 +a(g20 +V%% bogostrip rather than strip because it returns the first continuous\u000a +p1621 +tp1622 +a(g20 +V%% block of non-matching characters rather stripping matching\u000a +p1623 +tp1624 +a(g20 +V%% characters off the front and back.\u000a +p1625 +tp1626 +a(g208 +V\u000a +tp1627 +a(g44 +Vbogostrip +p1628 +tp1629 +a(g6 +V( +tp1630 +a(g96 +VString +p1631 +tp1632 +a(g6 +V) +tp1633 +a(g208 +V +tp1634 +a(g353 +V- +tp1635 +a(g353 +V> +tp1636 +a(g208 +V\u000a +p1637 +tp1638 +a(g41 +Vbogostrip +p1639 +tp1640 +a(g6 +V( +tp1641 +a(g96 +VString +p1642 +tp1643 +a(g6 +V, +tp1644 +a(g208 +V +tp1645 +a(g236 +V" +tp1646 +a(g262 +V\u005cr +p1647 +tp1648 +a(g262 +V\u005cn +p1649 +tp1650 +a(g262 +V\u005ct +p1651 +tp1652 +a(g236 +V +tp1653 +a(g236 +V" +tp1654 +a(g6 +V) +tp1655 +a(g6 +V. +tp1656 +a(g208 +V\u000a\u000a +p1657 +tp1658 +a(g44 +Vbogostrip +p1659 +tp1660 +a(g6 +V( +tp1661 +a(g96 +VString +p1662 +tp1663 +a(g6 +V, +tp1664 +a(g208 +V +tp1665 +a(g96 +VChars +p1666 +tp1667 +a(g6 +V) +tp1668 +a(g208 +V +tp1669 +a(g353 +V- +tp1670 +a(g353 +V> +tp1671 +a(g208 +V\u000a +p1672 +tp1673 +a(g96 +VLStripped +p1674 +tp1675 +a(g208 +V +tp1676 +a(g353 +V= +tp1677 +a(g208 +V +tp1678 +a(g122 +Vstring +p1679 +tp1680 +a(g6 +V: +tp1681 +a(g41 +Vsubstr +p1682 +tp1683 +a(g6 +V( +tp1684 +a(g96 +VString +p1685 +tp1686 +a(g6 +V, +tp1687 +a(g208 +V +tp1688 +a(g122 +Vstring +p1689 +tp1690 +a(g6 +V: +tp1691 +a(g41 +Vspan +p1692 +tp1693 +a(g6 +V( +tp1694 +a(g96 +VString +p1695 +tp1696 +a(g6 +V, +tp1697 +a(g208 +V +tp1698 +a(g96 +VChars +p1699 +tp1700 +a(g6 +V) +tp1701 +a(g353 +V+ +tp1702 +a(g328 +V1 +tp1703 +a(g6 +V) +tp1704 +a(g6 +V, +tp1705 +a(g208 +V\u000a +p1706 +tp1707 +a(g122 +Vstring +p1708 +tp1709 +a(g6 +V: +tp1710 +a(g41 +Vsubstr +p1711 +tp1712 +a(g6 +V( +tp1713 +a(g96 +VLStripped +p1714 +tp1715 +a(g6 +V, +tp1716 +a(g208 +V +tp1717 +a(g328 +V1 +tp1718 +a(g6 +V, +tp1719 +a(g208 +V +tp1720 +a(g122 +Vstring +p1721 +tp1722 +a(g6 +V: +tp1723 +a(g41 +Vcspan +p1724 +tp1725 +a(g6 +V( +tp1726 +a(g96 +VLStripped +p1727 +tp1728 +a(g6 +V, +tp1729 +a(g208 +V +tp1730 +a(g96 +VChars +p1731 +tp1732 +a(g6 +V) +tp1733 +a(g6 +V) +tp1734 +a(g6 +V. +tp1735 +a(g208 +V\u000a\u000a +p1736 +tp1737 +a(g20 +V%% Here we have some extra code to test other bits of pygments' Erlang\u000a +p1738 +tp1739 +a(g20 +V%% lexer.\u000a +p1740 +tp1741 +a(g208 +V\u000a +tp1742 +a(g44 +Vget_timestamp +p1743 +tp1744 +a(g6 +V( +tp1745 +a(g6 +V) +tp1746 +a(g208 +V +tp1747 +a(g353 +V- +tp1748 +a(g353 +V> +tp1749 +a(g208 +V\u000a +p1750 +tp1751 +a(g6 +V{ +tp1752 +a(g6 +V{ +tp1753 +a(g96 +VYear +p1754 +tp1755 +a(g6 +V, +tp1756 +a(g96 +VMonth +p1757 +tp1758 +a(g6 +V, +tp1759 +a(g96 +VDay +p1760 +tp1761 +a(g6 +V} +tp1762 +a(g6 +V, +tp1763 +a(g6 +V{ +tp1764 +a(g96 +VHour +p1765 +tp1766 +a(g6 +V, +tp1767 +a(g96 +VMin +p1768 +tp1769 +a(g6 +V, +tp1770 +a(g96 +VSec +p1771 +tp1772 +a(g6 +V} +tp1773 +a(g6 +V} +tp1774 +a(g208 +V +tp1775 +a(g353 +V= +tp1776 +a(g208 +V +tp1777 +a(g122 +Verlang +p1778 +tp1779 +a(g6 +V: +tp1780 +a(g41 +Vuniversaltime +p1781 +tp1782 +a(g6 +V( +tp1783 +a(g6 +V) +tp1784 +a(g6 +V, +tp1785 +a(g208 +V\u000a +p1786 +tp1787 +a(g122 +Vlists +p1788 +tp1789 +a(g6 +V: +tp1790 +a(g41 +Vflatten +p1791 +tp1792 +a(g6 +V( +tp1793 +a(g122 +Vio_lib +p1794 +tp1795 +a(g6 +V: +tp1796 +a(g41 +Vformat +p1797 +tp1798 +a(g6 +V( +tp1799 +a(g208 +V\u000a +p1800 +tp1801 +a(g236 +V" +tp1802 +a(g243 +V~4.10.0B +p1803 +tp1804 +a(g236 +V- +tp1805 +a(g243 +V~2.10.0B +p1806 +tp1807 +a(g236 +V- +tp1808 +a(g243 +V~2.10.0B +p1809 +tp1810 +a(g236 +VT +tp1811 +a(g243 +V~2.10.0B +p1812 +tp1813 +a(g236 +V: +tp1814 +a(g243 +V~2.10.0B +p1815 +tp1816 +a(g236 +V: +tp1817 +a(g243 +V~2.10.0B +p1818 +tp1819 +a(g236 +VZ +tp1820 +a(g236 +V" +tp1821 +a(g6 +V, +tp1822 +a(g208 +V\u000a +p1823 +tp1824 +a(g6 +V[ +tp1825 +a(g96 +VYear +p1826 +tp1827 +a(g6 +V, +tp1828 +a(g208 +V +tp1829 +a(g96 +VMonth +p1830 +tp1831 +a(g6 +V, +tp1832 +a(g208 +V +tp1833 +a(g96 +VDay +p1834 +tp1835 +a(g6 +V, +tp1836 +a(g208 +V +tp1837 +a(g96 +VHour +p1838 +tp1839 +a(g6 +V, +tp1840 +a(g208 +V +tp1841 +a(g96 +VMin +p1842 +tp1843 +a(g6 +V, +tp1844 +a(g208 +V +tp1845 +a(g96 +VSec +p1846 +tp1847 +a(g6 +V] +tp1848 +a(g6 +V) +tp1849 +a(g6 +V) +tp1850 +a(g6 +V. +tp1851 +a(g208 +V\u000a\u000a +p1852 +tp1853 +a(g44 +Va_binary +p1854 +tp1855 +a(g6 +V( +tp1856 +a(g6 +V) +tp1857 +a(g208 +V +tp1858 +a(g353 +V- +tp1859 +a(g353 +V> +tp1860 +a(g208 +V\u000a +p1861 +tp1862 +a(g353 +V< +tp1863 +a(g353 +V< +tp1864 +a(g208 +V +tp1865 +a(g328 +V100 +p1866 +tp1867 +a(g6 +V: +tp1868 +a(g328 +V16 +p1869 +tp1870 +a(g353 +V/ +tp1871 +a(g41 +Vinteger +p1872 +tp1873 +a(g6 +V, +tp1874 +a(g208 +V +tp1875 +a(g328 +V16#7f +p1876 +tp1877 +a(g208 +V +tp1878 +a(g353 +V> +tp1879 +a(g353 +V> +tp1880 +a(g6 +V. +tp1881 +a(g208 +V\u000a\u000a +p1882 +tp1883 +a(g44 +Va_list_comprehension +p1884 +tp1885 +a(g6 +V( +tp1886 +a(g6 +V) +tp1887 +a(g208 +V +tp1888 +a(g353 +V- +tp1889 +a(g353 +V> +tp1890 +a(g208 +V\u000a +p1891 +tp1892 +a(g6 +V[ +tp1893 +a(g96 +VX +tp1894 +a(g353 +V* +tp1895 +a(g328 +V2 +tp1896 +a(g208 +V +tp1897 +a(g6 +V| +tp1898 +a(g6 +V| +tp1899 +a(g208 +V +tp1900 +a(g96 +VX +tp1901 +a(g208 +V +tp1902 +a(g353 +V< +tp1903 +a(g353 +V- +tp1904 +a(g208 +V +tp1905 +a(g6 +V[ +tp1906 +a(g328 +V1 +tp1907 +a(g6 +V, +tp1908 +a(g328 +V2 +tp1909 +a(g6 +V, +tp1910 +a(g328 +V3 +tp1911 +a(g6 +V] +tp1912 +a(g6 +V] +tp1913 +a(g6 +V. +tp1914 +a(g208 +V\u000a\u000a +p1915 +tp1916 +a(g44 +Vmap +p1917 +tp1918 +a(g6 +V( +tp1919 +a(g96 +VFun +p1920 +tp1921 +a(g6 +V, +tp1922 +a(g208 +V +tp1923 +a(g6 +V[ +tp1924 +a(g96 +VH +tp1925 +a(g6 +V| +tp1926 +a(g96 +VT +tp1927 +a(g6 +V] +tp1928 +a(g6 +V) +tp1929 +a(g208 +V +tp1930 +a(g353 +V- +tp1931 +a(g353 +V> +tp1932 +a(g208 +V\u000a +p1933 +tp1934 +a(g6 +V[ +tp1935 +a(g96 +VFun +p1936 +tp1937 +a(g6 +V( +tp1938 +a(g96 +VH +tp1939 +a(g6 +V) +tp1940 +a(g208 +V +tp1941 +a(g6 +V| +tp1942 +a(g208 +V +tp1943 +a(g41 +Vmap +p1944 +tp1945 +a(g6 +V( +tp1946 +a(g96 +VFun +p1947 +tp1948 +a(g6 +V, +tp1949 +a(g208 +V +tp1950 +a(g96 +VT +tp1951 +a(g6 +V) +tp1952 +a(g6 +V] +tp1953 +a(g6 +V; +tp1954 +a(g208 +V\u000a\u000a +p1955 +tp1956 +a(g44 +Vmap +p1957 +tp1958 +a(g6 +V( +tp1959 +a(g96 +VFun +p1960 +tp1961 +a(g6 +V, +tp1962 +a(g208 +V +tp1963 +a(g6 +V[ +tp1964 +a(g6 +V] +tp1965 +a(g6 +V) +tp1966 +a(g208 +V +tp1967 +a(g353 +V- +tp1968 +a(g353 +V> +tp1969 +a(g208 +V\u000a +p1970 +tp1971 +a(g6 +V[ +tp1972 +a(g6 +V] +tp1973 +a(g6 +V. +tp1974 +a(g208 +V\u000a\u000a +p1975 +tp1976 +a(g20 +V%% pmap, just because it's cool.\u000a +p1977 +tp1978 +a(g208 +V\u000a +tp1979 +a(g44 +Vpmap +p1980 +tp1981 +a(g6 +V( +tp1982 +a(g96 +VF +tp1983 +a(g6 +V, +tp1984 +a(g208 +V +tp1985 +a(g96 +VL +tp1986 +a(g6 +V) +tp1987 +a(g208 +V +tp1988 +a(g353 +V- +tp1989 +a(g353 +V> +tp1990 +a(g208 +V\u000a +p1991 +tp1992 +a(g96 +VParent +p1993 +tp1994 +a(g208 +V +tp1995 +a(g353 +V= +tp1996 +a(g208 +V +tp1997 +a(g41 +Vself +p1998 +tp1999 +a(g6 +V( +tp2000 +a(g6 +V) +tp2001 +a(g6 +V, +tp2002 +a(g208 +V\u000a +p2003 +tp2004 +a(g6 +V[ +tp2005 +a(g134 +Vreceive +p2006 +tp2007 +a(g208 +V +tp2008 +a(g6 +V{ +tp2009 +a(g96 +VPid +p2010 +tp2011 +a(g6 +V, +tp2012 +a(g208 +V +tp2013 +a(g96 +VResult +p2014 +tp2015 +a(g6 +V} +tp2016 +a(g208 +V +tp2017 +a(g353 +V- +tp2018 +a(g353 +V> +tp2019 +a(g208 +V\u000a +p2020 +tp2021 +a(g96 +VResult +p2022 +tp2023 +a(g208 +V\u000a +p2024 +tp2025 +a(g134 +Vend +p2026 +tp2027 +a(g208 +V +tp2028 +a(g6 +V| +tp2029 +a(g6 +V| +tp2030 +a(g208 +V +tp2031 +a(g96 +VPid +p2032 +tp2033 +a(g208 +V +tp2034 +a(g353 +V< +tp2035 +a(g353 +V- +tp2036 +a(g208 +V +tp2037 +a(g6 +V[ +tp2038 +a(g80 +Vspawn +p2039 +tp2040 +a(g6 +V( +tp2041 +a(g134 +Vfun +p2042 +tp2043 +a(g6 +V( +tp2044 +a(g6 +V) +tp2045 +a(g208 +V +tp2046 +a(g353 +V- +tp2047 +a(g353 +V> +tp2048 +a(g208 +V\u000a +p2049 +tp2050 +a(g96 +VParent +p2051 +tp2052 +a(g208 +V +tp2053 +a(g353 +V! +tp2054 +a(g208 +V +tp2055 +a(g6 +V{ +tp2056 +a(g41 +Vself +p2057 +tp2058 +a(g6 +V( +tp2059 +a(g6 +V) +tp2060 +a(g6 +V, +tp2061 +a(g208 +V +tp2062 +a(g96 +VF +tp2063 +a(g6 +V( +tp2064 +a(g96 +VX +tp2065 +a(g6 +V) +tp2066 +a(g6 +V} +tp2067 +a(g208 +V \u000a +p2068 +tp2069 +a(g134 +Vend +p2070 +tp2071 +a(g6 +V) +tp2072 +a(g208 +V +tp2073 +a(g6 +V| +tp2074 +a(g6 +V| +tp2075 +a(g208 +V +tp2076 +a(g96 +VX +tp2077 +a(g208 +V +tp2078 +a(g353 +V< +tp2079 +a(g353 +V- +tp2080 +a(g208 +V +tp2081 +a(g96 +VL +tp2082 +a(g6 +V] +tp2083 +a(g6 +V] +tp2084 +a(g6 +V. +tp2085 +a(g208 +V\u000a +tp2086 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.evoque b/tests/examplefiles/output/test.evoque new file mode 100644 index 0000000..e977347 --- /dev/null +++ b/tests/examplefiles/output/test.evoque @@ -0,0 +1,2287 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Punctuation' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Indicator' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbatRp16 +sg11 +g12 +sg15 +g2 +(g3 +g4 +(ttRp17 +(dp18 +S'Comment' +p19 +g2 +(g3 +g4 +(g19 +ttRp20 +(dp21 +g15 +g17 +sS'Preproc' +p22 +g2 +(g3 +g4 +(g19 +g22 +ttRp23 +(dp24 +g8 +g9 +((ltRp25 +sg15 +g20 +sbsS'Single' +p26 +g2 +(g3 +g4 +(g19 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g20 +sbsS'Multiline' +p30 +g2 +(g3 +g4 +(g19 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g20 +sbsg8 +g9 +((lp34 +g2 +(g3 +g4 +(g19 +S'Special' +p35 +ttRp36 +(dp37 +g8 +g9 +((ltRp38 +sg15 +g20 +sbag23 +ag27 +ag31 +atRp39 +sg35 +g36 +sbsS'Name' +p40 +g2 +(g3 +g4 +(g40 +ttRp41 +(dp42 +S'Function' +p43 +g2 +(g3 +g4 +(g40 +g43 +ttRp44 +(dp45 +g8 +g9 +((ltRp46 +sg15 +g41 +sbsS'Exception' +p47 +g2 +(g3 +g4 +(g40 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g41 +sbsS'Tag' +p51 +g2 +(g3 +g4 +(g40 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g41 +sbsS'Constant' +p55 +g2 +(g3 +g4 +(g40 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g41 +sbsg15 +g17 +sS'Pseudo' +p59 +g2 +(g3 +g4 +(g40 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g41 +sbsS'Attribute' +p63 +g2 +(g3 +g4 +(g40 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g41 +sbsS'Label' +p67 +g2 +(g3 +g4 +(g40 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g41 +sbsS'Blubb' +p71 +g2 +(g3 +g4 +(g40 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g41 +sbsS'Entity' +p75 +g2 +(g3 +g4 +(g40 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g41 +sbsS'Builtin' +p79 +g2 +(g3 +g4 +(g40 +g79 +ttRp80 +(dp81 +g8 +g9 +((lp82 +g2 +(g3 +g4 +(g40 +g79 +g59 +ttRp83 +(dp84 +g8 +g9 +((ltRp85 +sg15 +g80 +sbatRp86 +sg59 +g83 +sg15 +g41 +sbsS'Other' +p87 +g2 +(g3 +g4 +(g40 +g87 +ttRp88 +(dp89 +g8 +g9 +((ltRp90 +sg15 +g41 +sbsS'Identifier' +p91 +g2 +(g3 +g4 +(g40 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g41 +sbsS'Variable' +p95 +g2 +(g3 +g4 +(g40 +g95 +ttRp96 +(dp97 +g15 +g41 +sS'Global' +p98 +g2 +(g3 +g4 +(g40 +g95 +g98 +ttRp99 +(dp100 +g8 +g9 +((ltRp101 +sg15 +g96 +sbsS'Instance' +p102 +g2 +(g3 +g4 +(g40 +g95 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g96 +sbsS'Anonymous' +p106 +g2 +(g3 +g4 +(g40 +g95 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g96 +sbsg8 +g9 +((lp110 +g107 +ag103 +ag99 +ag2 +(g3 +g4 +(g40 +g95 +S'Class' +p111 +ttRp112 +(dp113 +g8 +g9 +((ltRp114 +sg15 +g96 +sbatRp115 +sg111 +g112 +sbsg8 +g9 +((lp116 +g2 +(g3 +g4 +(g40 +S'Decorator' +p117 +ttRp118 +(dp119 +g8 +g9 +((ltRp120 +sg15 +g41 +sbag64 +ag56 +ag60 +ag2 +(g3 +g4 +(g40 +S'Namespace' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g41 +sbag92 +ag80 +ag96 +ag88 +ag72 +ag76 +ag44 +ag2 +(g3 +g4 +(g40 +S'Property' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g41 +sbag68 +ag52 +ag48 +ag2 +(g3 +g4 +(g40 +g111 +ttRp129 +(dp130 +g8 +g9 +((ltRp131 +sg15 +g41 +sbatRp132 +sg125 +g126 +sg111 +g129 +sg117 +g118 +sg121 +g122 +sbsS'Keyword' +p133 +g2 +(g3 +g4 +(g133 +ttRp134 +(dp135 +g55 +g2 +(g3 +g4 +(g133 +g55 +ttRp136 +(dp137 +g8 +g9 +((ltRp138 +sg15 +g134 +sbsg15 +g17 +sg121 +g2 +(g3 +g4 +(g133 +g121 +ttRp139 +(dp140 +g8 +g9 +((ltRp141 +sg15 +g134 +sbsg59 +g2 +(g3 +g4 +(g133 +g59 +ttRp142 +(dp143 +g8 +g9 +((ltRp144 +sg15 +g134 +sbsS'Reserved' +p145 +g2 +(g3 +g4 +(g133 +g145 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g134 +sbsS'Declaration' +p149 +g2 +(g3 +g4 +(g133 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g134 +sbsg95 +g2 +(g3 +g4 +(g133 +g95 +ttRp153 +(dp154 +g8 +g9 +((ltRp155 +sg15 +g134 +sbsg8 +g9 +((lp156 +g136 +ag146 +ag2 +(g3 +g4 +(g133 +S'Type' +p157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g134 +sbag150 +ag153 +ag139 +ag142 +atRp161 +sg157 +g158 +sbsS'Generic' +p162 +g2 +(g3 +g4 +(g162 +ttRp163 +(dp164 +S'Prompt' +p165 +g2 +(g3 +g4 +(g162 +g165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g163 +sbsg15 +g17 +sS'Deleted' +p169 +g2 +(g3 +g4 +(g162 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g163 +sbsS'Traceback' +p173 +g2 +(g3 +g4 +(g162 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g163 +sbsS'Emph' +p177 +g2 +(g3 +g4 +(g162 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g163 +sbsS'Output' +p181 +g2 +(g3 +g4 +(g162 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g163 +sbsS'Subheading' +p185 +g2 +(g3 +g4 +(g162 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g163 +sbsS'Error' +p189 +g2 +(g3 +g4 +(g162 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g163 +sbsg8 +g9 +((lp193 +g182 +ag178 +ag190 +ag186 +ag174 +ag170 +ag2 +(g3 +g4 +(g162 +S'Heading' +p194 +ttRp195 +(dp196 +g8 +g9 +((ltRp197 +sg15 +g163 +sbag2 +(g3 +g4 +(g162 +S'Inserted' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g163 +sbag2 +(g3 +g4 +(g162 +S'Strong' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g163 +sbag166 +atRp206 +sg202 +g203 +sg198 +g199 +sg194 +g195 +sbsS'Text' +p207 +g2 +(g3 +g4 +(g207 +ttRp208 +(dp209 +g8 +g9 +((lp210 +g2 +(g3 +g4 +(g207 +S'Symbol' +p211 +ttRp212 +(dp213 +g8 +g9 +((ltRp214 +sg15 +g208 +sbag2 +(g3 +g4 +(g207 +S'Whitespace' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g208 +sbatRp219 +sg211 +g212 +sg215 +g216 +sg15 +g17 +sbsg5 +g6 +sS'Token' +p220 +g17 +sS'Number' +p221 +g2 +(g3 +g4 +(S'Literal' +p222 +g221 +ttRp223 +(dp224 +S'Bin' +p225 +g2 +(g3 +g4 +(g222 +g221 +g225 +ttRp226 +(dp227 +g8 +g9 +((ltRp228 +sg15 +g223 +sbsS'Binary' +p229 +g2 +(g3 +g4 +(g222 +g221 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g223 +sbsg15 +g2 +(g3 +g4 +(g222 +ttRp233 +(dp234 +S'String' +p235 +g2 +(g3 +g4 +(g222 +g235 +ttRp236 +(dp237 +S'Regex' +p238 +g2 +(g3 +g4 +(g222 +g235 +g238 +ttRp239 +(dp240 +g8 +g9 +((ltRp241 +sg15 +g236 +sbsS'Interpol' +p242 +g2 +(g3 +g4 +(g222 +g235 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g236 +sbsS'Regexp' +p246 +g2 +(g3 +g4 +(g222 +g235 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g236 +sbsg15 +g233 +sS'Heredoc' +p250 +g2 +(g3 +g4 +(g222 +g235 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g236 +sbsS'Double' +p254 +g2 +(g3 +g4 +(g222 +g235 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g236 +sbsg211 +g2 +(g3 +g4 +(g222 +g235 +g211 +ttRp258 +(dp259 +g8 +g9 +((ltRp260 +sg15 +g236 +sbsS'Escape' +p261 +g2 +(g3 +g4 +(g222 +g235 +g261 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g236 +sbsS'Character' +p265 +g2 +(g3 +g4 +(g222 +g235 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g236 +sbsS'Interp' +p269 +g2 +(g3 +g4 +(g222 +g235 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g236 +sbsS'Backtick' +p273 +g2 +(g3 +g4 +(g222 +g235 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g236 +sbsS'Char' +p277 +g2 +(g3 +g4 +(g222 +g235 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g236 +sbsg26 +g2 +(g3 +g4 +(g222 +g235 +g26 +ttRp281 +(dp282 +g8 +g9 +((ltRp283 +sg15 +g236 +sbsg87 +g2 +(g3 +g4 +(g222 +g235 +g87 +ttRp284 +(dp285 +g8 +g9 +((ltRp286 +sg15 +g236 +sbsS'Doc' +p287 +g2 +(g3 +g4 +(g222 +g235 +g287 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g236 +sbsg8 +g9 +((lp291 +g284 +ag2 +(g3 +g4 +(g222 +g235 +S'Atom' +p292 +ttRp293 +(dp294 +g8 +g9 +((ltRp295 +sg15 +g236 +sbag255 +ag278 +ag270 +ag288 +ag251 +ag274 +ag243 +ag258 +ag247 +ag239 +ag281 +ag266 +ag262 +atRp296 +sg292 +g293 +sbsg15 +g17 +sg221 +g223 +sS'Scalar' +p297 +g2 +(g3 +g4 +(g222 +g297 +ttRp298 +(dp299 +g8 +g9 +((lp300 +g2 +(g3 +g4 +(g222 +g297 +S'Plain' +p301 +ttRp302 +(dp303 +g8 +g9 +((ltRp304 +sg15 +g298 +sbatRp305 +sg15 +g233 +sg301 +g302 +sbsg87 +g2 +(g3 +g4 +(g222 +g87 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g233 +sbsS'Date' +p309 +g2 +(g3 +g4 +(g222 +g309 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g233 +sbsg8 +g9 +((lp313 +g310 +ag236 +ag306 +ag223 +ag298 +atRp314 +sbsS'Decimal' +p315 +g2 +(g3 +g4 +(g222 +g221 +g315 +ttRp316 +(dp317 +g8 +g9 +((ltRp318 +sg15 +g223 +sbsS'Float' +p319 +g2 +(g3 +g4 +(g222 +g221 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g223 +sbsS'Hex' +p323 +g2 +(g3 +g4 +(g222 +g221 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g223 +sbsS'Integer' +p327 +g2 +(g3 +g4 +(g222 +g221 +g327 +ttRp328 +(dp329 +g8 +g9 +((lp330 +g2 +(g3 +g4 +(g222 +g221 +g327 +S'Long' +p331 +ttRp332 +(dp333 +g8 +g9 +((ltRp334 +sg15 +g328 +sbatRp335 +sg331 +g332 +sg15 +g223 +sbsS'Octal' +p336 +g2 +(g3 +g4 +(g222 +g221 +g336 +ttRp337 +(dp338 +g8 +g9 +((ltRp339 +sg15 +g223 +sbsg8 +g9 +((lp340 +g226 +ag230 +ag337 +ag316 +ag2 +(g3 +g4 +(g222 +g221 +S'Oct' +p341 +ttRp342 +(dp343 +g8 +g9 +((ltRp344 +sg15 +g223 +sbag328 +ag320 +ag324 +atRp345 +sg341 +g342 +sbsg222 +g233 +sg87 +g2 +(g3 +g4 +(g87 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g17 +sbsg189 +g2 +(g3 +g4 +(g189 +ttRp349 +(dp350 +g8 +g9 +((ltRp351 +sg15 +g17 +sbsS'Operator' +p352 +g2 +(g3 +g4 +(g352 +ttRp353 +(dp354 +g8 +g9 +((lp355 +g2 +(g3 +g4 +(g352 +S'Word' +p356 +ttRp357 +(dp358 +g8 +g9 +((ltRp359 +sg15 +g353 +sbatRp360 +sg356 +g357 +sg15 +g17 +sbsg8 +g9 +((lp361 +g20 +ag349 +ag163 +ag208 +ag41 +ag6 +ag134 +ag233 +ag353 +ag346 +atRp362 +sg235 +g236 +sbsbV$ +tp363 +a(g80 +Voverlay +p364 +tp365 +a(g6 +V{ +tp366 +a(g236 +Vname +p367 +tp368 +a(g353 +V= +tp369 +a(g41 +Vsite_base +p370 +tp371 +a(g6 +V} +tp372 +a(g346 +V\u000a\u000a +p373 +tp374 +a(g6 +V$ +tp375 +a(g80 +Vbegin +p376 +tp377 +a(g6 +V{ +tp378 +a(g236 +Vtable_row +p379 +tp380 +a(g6 +V} +tp381 +a(g346 +V\u000a +p382 +tp383 +a(g6 +V$ +tp384 +a(g80 +Vfor +p385 +tp386 +a(g6 +V{ +tp387 +a(g208 +V +tp388 +a(g41 +Vcol +p389 +tp390 +a(g208 +V +tp391 +a(g357 +Vin +p392 +tp393 +a(g208 +V +tp394 +a(g41 +Vrow +p395 +tp396 +a(g208 +V +tp397 +a(g6 +V} +tp398 +a(g346 +V\u000a +p399 +tp400 +a(g6 +V${ +p401 +tp402 +a(g41 +Vcol +p403 +tp404 +a(g6 +V} +tp405 +a(g346 +V\u005c\u000a +p406 +tp407 +a(g6 +V$ +tp408 +a(g80 +Velse +p409 +tp410 +a(g346 +V\u000a empty row\u000a +p411 +tp412 +a(g6 +V$ +tp413 +a(g80 +Vrof +p414 +tp415 +a(g346 +V\u000a +tp416 +a(g6 +V$ +tp417 +a(g80 +Vend +p418 +tp419 +a(g6 +V{ +tp420 +a(g236 +Vtable_row +p421 +tp422 +a(g6 +V} +tp423 +a(g346 +V\u000a\u000a\u000a +p424 +tp425 +a(g6 +V$ +tp426 +a(g80 +Vfor +p427 +tp428 +a(g6 +V{ +tp429 +a(g208 +V +tp430 +a(g41 +Vi +tp431 +a(g6 +V, +tp432 +a(g208 +V +tp433 +a(g41 +Vrow +p434 +tp435 +a(g208 +V +tp436 +a(g357 +Vin +p437 +tp438 +a(g208 +V +tp439 +a(g80 +Venumerate +p440 +tp441 +a(g6 +V( +tp442 +a(g41 +Vrows +p443 +tp444 +a(g6 +V) +tp445 +a(g208 +V +tp446 +a(g6 +V} +tp447 +a(g346 +V\u000a +p463 +tp464 +a(g31 +V#[ +p465 +tp466 +a(g31 +V +tp467 +a(g31 +V" +tp468 +a(g31 +Vo +tp469 +a(g31 +Vd +tp470 +a(g31 +Vd +tp471 +a(g31 +V" +tp472 +a(g31 +V +tp473 +a(g31 +Vr +tp474 +a(g31 +Vo +tp475 +a(g31 +Vw +tp476 +a(g31 +Vs +tp477 +a(g31 +V +tp478 +a(g31 +Vg +tp479 +a(g31 +Ve +tp480 +a(g31 +Vt +tp481 +a(g31 +V +tp482 +a(g31 +Va +tp483 +a(g31 +V +tp484 +a(g31 +Vs +tp485 +a(g31 +Vp +tp486 +a(g31 +Ve +tp487 +a(g31 +Vc +tp488 +a(g31 +Vi +tp489 +a(g31 +Va +tp490 +a(g31 +Vl +tp491 +a(g31 +V +tp492 +a(g31 +Vs +tp493 +a(g31 +Vt +tp494 +a(g31 +Vy +tp495 +a(g31 +Vl +tp496 +a(g31 +Ve +tp497 +a(g31 +V +tp498 +a(g31 +V]# +p499 +tp500 +a(g346 +V\u000a +p501 +tp502 +a(g6 +V$ +tp503 +a(g80 +Vevoque +p504 +tp505 +a(g6 +V{ +tp506 +a(g236 +V#table_row +p507 +tp508 +a(g6 +V} +tp509 +a(g346 +V\u000a +p510 +tp511 +a(g6 +V$ +tp512 +a(g80 +Vevoque +p513 +tp514 +a(g6 +V{ +tp515 +a(g236 +V \u000a #table_row +p516 +tp517 +a(g208 +V\u000a +tp518 +a(g208 +V +p519 +tp520 +a(g6 +V} +tp521 +a(g346 +V\u000a +p522 +tp523 +a(g6 +V$ +tp524 +a(g80 +Vevoque +p525 +tp526 +a(g6 +V{ +tp527 +a(g236 +V'#table_row' +p528 +tp529 +a(g6 +V} +tp530 +a(g346 +V\u000a +p531 +tp532 +a(g6 +V$ +tp533 +a(g80 +Vevoque +p534 +tp535 +a(g6 +V{ +tp536 +a(g236 +V '#table_row' +p537 +tp538 +a(g6 +V, +tp539 +a(g208 +V +tp540 +a(g41 +Vcollection +p541 +tp542 +a(g353 +V= +tp543 +a(g83 +VNone +p544 +tp545 +a(g6 +V, +tp546 +a(g208 +V +tp547 +a(g41 +Vquoting +p548 +tp549 +a(g353 +V= +tp550 +a(g236 +V" +tp551 +a(g236 +Vstr +p552 +tp553 +a(g236 +V" +tp554 +a(g6 +V} +tp555 +a(g346 +V\u000a +p556 +tp557 +a(g6 +V$ +tp558 +a(g80 +Vevoque +p559 +tp560 +a(g6 +V{ +tp561 +a(g236 +Vname +p562 +tp563 +a(g353 +V= +tp564 +a(g236 +V" +tp565 +a(g236 +V#table_row +p566 +tp567 +a(g236 +V" +tp568 +a(g6 +V} +tp569 +a(g346 +V\u000a +p570 +tp571 +a(g6 +V$ +tp572 +a(g80 +Vevoque +p573 +tp574 +a(g6 +V{ +tp575 +a(g236 +Vname +p576 +tp577 +a(g353 +V= +tp578 +a(g41 +Vvar_table_row +p579 +tp580 +a(g6 +V} +tp581 +a(g346 +V\u000a +p582 +tp583 +a(g6 +V$ +tp584 +a(g80 +Vevoque +p585 +tp586 +a(g6 +V{% +p587 +tp588 +a(g236 +V#table_row +p589 +tp590 +a(g6 +V%} +p591 +tp592 +a(g346 +V\u000a +p593 +tp594 +a(g6 +V$ +tp595 +a(g80 +Vevoque +p596 +tp597 +a(g6 +V{% +p598 +tp599 +a(g236 +V #table_row +p600 +tp601 +a(g6 +V%} +p602 +tp603 +a(g346 +V\u000a \u000a +p604 +tp605 +a(g6 +V$ +tp606 +a(g80 +Vrof +p607 +tp608 +a(g346 +V\u000a
    \u000a\u000a +p609 +tp610 +a(g6 +V$ +tp611 +a(g80 +Vevoque +p612 +tp613 +a(g6 +V{ +tp614 +a(g236 +Vdisclaimer +p615 +tp616 +a(g6 +V, +tp617 +a(g208 +V +tp618 +a(g41 +Vcollection +p619 +tp620 +a(g353 +V= +tp621 +a(g236 +V" +tp622 +a(g236 +Vlegals +p623 +tp624 +a(g236 +V" +tp625 +a(g6 +V} +tp626 +a(g346 +V\u000a +tp627 +a(g6 +V$ +tp628 +a(g80 +Vevoque +p629 +tp630 +a(g6 +V{ +tp631 +a(g236 +V disclaimer +p632 +tp633 +a(g6 +V, +tp634 +a(g208 +V +tp635 +a(g41 +Vcollection +p636 +tp637 +a(g353 +V= +tp638 +a(g236 +V" +tp639 +a(g236 +Vlegals +p640 +tp641 +a(g236 +V" +tp642 +a(g6 +V, +tp643 +a(g208 +V +tp644 +a(g41 +Vabc +p645 +tp646 +a(g353 +V= +tp647 +a(g328 +V123 +p648 +tp649 +a(g6 +V} +tp650 +a(g346 +V\u000a +tp651 +a(g6 +V$ +tp652 +a(g80 +Vevoque +p653 +tp654 +a(g6 +V{% +p655 +tp656 +a(g236 +V disclaimer +p657 +tp658 +a(g6 +V, +tp659 +a(g208 +V +tp660 +a(g41 +Vcollection +p661 +tp662 +a(g353 +V= +tp663 +a(g236 +V" +tp664 +a(g236 +Vlegals +p665 +tp666 +a(g236 +V" +tp667 +a(g6 +V%} +p668 +tp669 +a(g346 +V\u000a\u000a +p670 +tp671 +a(g6 +V$ +tp672 +a(g80 +Vtest +p673 +tp674 +a(g6 +V{% +p675 +tp676 +a(g208 +V +tp677 +a(g41 +Vsite_base +p678 +tp679 +a(g353 +V= +tp680 +a(g236 +V" +tp681 +a(g236 +Vsite.html +p682 +tp683 +a(g236 +V" +tp684 +a(g6 +V, +tp685 +a(g208 +V +tp686 +a(g208 +V\u000a +tp687 +a(g208 +V +p688 +tp689 +a(g41 +Vrows +p690 +tp691 +a(g353 +V= +tp692 +a(g6 +V[ +tp693 +a(g6 +V( +tp694 +a(g236 +V" +tp695 +a(g236 +Va +tp696 +a(g236 +V" +tp697 +a(g6 +V, +tp698 +a(g208 +V +tp699 +a(g236 +V" +tp700 +a(g236 +Vb +tp701 +a(g236 +V" +tp702 +a(g6 +V, +tp703 +a(g208 +V +tp704 +a(g320 +V3.0 +p705 +tp706 +a(g6 +V, +tp707 +a(g208 +V +tp708 +a(g6 +V{ +tp709 +a(g236 +V" +tp710 +a(g236 +Vone +p711 +tp712 +a(g236 +V" +tp713 +a(g6 +V: +tp714 +a(g328 +V1 +tp715 +a(g6 +V} +tp716 +a(g6 +V, +tp717 +a(g208 +V +tp718 +a(g236 +V" +tp719 +a(g236 +V +p720 +tp721 +a(g236 +V" +tp722 +a(g6 +V, +tp723 +a(g208 +V +tp724 +a(g236 +V" +tp725 +a(g236 +Vi +tp726 +a(g236 +V" +tp727 +a(g6 +V, +tp728 +a(g208 +V +tp729 +a(g236 +V" +tp730 +a(g236 +Vj +tp731 +a(g236 +V" +tp732 +a(g6 +V) +tp733 +a(g6 +V] +tp734 +a(g208 +V +tp735 +a(g6 +V%} +p736 +tp737 +a(g346 +V\u000a +tp738 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.flx b/tests/examplefiles/output/test.flx new file mode 100644 index 0000000..444ed44 --- /dev/null +++ b/tests/examplefiles/output/test.flx @@ -0,0 +1,3162 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Constant' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp15 +(dp16 +S'Comment' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +g14 +g15 +sS'Preproc' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g11 +g12 +((ltRp23 +sg14 +g18 +sbsS'Single' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g18 +sbsS'Multiline' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g18 +sbsg11 +g12 +((lp32 +g2 +(g3 +g4 +(g17 +S'Special' +p33 +ttRp34 +(dp35 +g11 +g12 +((ltRp36 +sg14 +g18 +sbag21 +ag25 +ag29 +atRp37 +sg33 +g34 +sbsS'Name' +p38 +g2 +(g3 +g4 +(g38 +ttRp39 +(dp40 +S'Function' +p41 +g2 +(g3 +g4 +(g38 +g41 +ttRp42 +(dp43 +g11 +g12 +((ltRp44 +sg14 +g39 +sbsS'Exception' +p45 +g2 +(g3 +g4 +(g38 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g39 +sbsS'Tag' +p49 +g2 +(g3 +g4 +(g38 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g39 +sbsg8 +g2 +(g3 +g4 +(g38 +g8 +ttRp53 +(dp54 +g11 +g12 +((ltRp55 +sg14 +g39 +sbsg14 +g15 +sS'Pseudo' +p56 +g2 +(g3 +g4 +(g38 +g56 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g39 +sbsS'Attribute' +p60 +g2 +(g3 +g4 +(g38 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g39 +sbsS'Label' +p64 +g2 +(g3 +g4 +(g38 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g39 +sbsS'Blubb' +p68 +g2 +(g3 +g4 +(g38 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g39 +sbsS'Entity' +p72 +g2 +(g3 +g4 +(g38 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g39 +sbsS'Builtin' +p76 +g2 +(g3 +g4 +(g38 +g76 +ttRp77 +(dp78 +g11 +g12 +((lp79 +g2 +(g3 +g4 +(g38 +g76 +g56 +ttRp80 +(dp81 +g11 +g12 +((ltRp82 +sg14 +g77 +sbatRp83 +sg56 +g80 +sg14 +g39 +sbsS'Other' +p84 +g2 +(g3 +g4 +(g38 +g84 +ttRp85 +(dp86 +g11 +g12 +((ltRp87 +sg14 +g39 +sbsS'Identifier' +p88 +g2 +(g3 +g4 +(g38 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g39 +sbsS'Variable' +p92 +g2 +(g3 +g4 +(g38 +g92 +ttRp93 +(dp94 +g14 +g39 +sS'Global' +p95 +g2 +(g3 +g4 +(g38 +g92 +g95 +ttRp96 +(dp97 +g11 +g12 +((ltRp98 +sg14 +g93 +sbsS'Instance' +p99 +g2 +(g3 +g4 +(g38 +g92 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g93 +sbsS'Anonymous' +p103 +g2 +(g3 +g4 +(g38 +g92 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g93 +sbsg11 +g12 +((lp107 +g104 +ag100 +ag96 +ag2 +(g3 +g4 +(g38 +g92 +S'Class' +p108 +ttRp109 +(dp110 +g11 +g12 +((ltRp111 +sg14 +g93 +sbatRp112 +sg108 +g109 +sbsg11 +g12 +((lp113 +g2 +(g3 +g4 +(g38 +S'Decorator' +p114 +ttRp115 +(dp116 +g11 +g12 +((ltRp117 +sg14 +g39 +sbag61 +ag53 +ag57 +ag2 +(g3 +g4 +(g38 +S'Namespace' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g39 +sbag89 +ag77 +ag93 +ag85 +ag69 +ag73 +ag42 +ag2 +(g3 +g4 +(g38 +S'Property' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g39 +sbag65 +ag50 +ag46 +ag2 +(g3 +g4 +(g38 +g108 +ttRp126 +(dp127 +g11 +g12 +((ltRp128 +sg14 +g39 +sbatRp129 +sg122 +g123 +sg108 +g126 +sg114 +g115 +sg118 +g119 +sbsg5 +g6 +sS'Generic' +p130 +g2 +(g3 +g4 +(g130 +ttRp131 +(dp132 +S'Prompt' +p133 +g2 +(g3 +g4 +(g130 +g133 +ttRp134 +(dp135 +g11 +g12 +((ltRp136 +sg14 +g131 +sbsg14 +g15 +sS'Deleted' +p137 +g2 +(g3 +g4 +(g130 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g131 +sbsS'Traceback' +p141 +g2 +(g3 +g4 +(g130 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g131 +sbsS'Emph' +p145 +g2 +(g3 +g4 +(g130 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g131 +sbsS'Output' +p149 +g2 +(g3 +g4 +(g130 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g131 +sbsS'Subheading' +p153 +g2 +(g3 +g4 +(g130 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g131 +sbsS'Error' +p157 +g2 +(g3 +g4 +(g130 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g131 +sbsg11 +g12 +((lp161 +g150 +ag146 +ag158 +ag154 +ag142 +ag138 +ag2 +(g3 +g4 +(g130 +S'Heading' +p162 +ttRp163 +(dp164 +g11 +g12 +((ltRp165 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Inserted' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g131 +sbag2 +(g3 +g4 +(g130 +S'Strong' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g131 +sbag134 +atRp174 +sg170 +g171 +sg166 +g167 +sg162 +g163 +sbsS'Text' +p175 +g2 +(g3 +g4 +(g175 +ttRp176 +(dp177 +g11 +g12 +((lp178 +g2 +(g3 +g4 +(g175 +S'Symbol' +p179 +ttRp180 +(dp181 +g11 +g12 +((ltRp182 +sg14 +g176 +sbag2 +(g3 +g4 +(g175 +S'Whitespace' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g176 +sbatRp187 +sg179 +g180 +sg183 +g184 +sg14 +g15 +sbsS'Punctuation' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g11 +g12 +((lp191 +g2 +(g3 +g4 +(g188 +S'Indicator' +p192 +ttRp193 +(dp194 +g11 +g12 +((ltRp195 +sg14 +g189 +sbatRp196 +sg192 +g193 +sg14 +g15 +sbsS'Token' +p197 +g15 +sS'Number' +p198 +g2 +(g3 +g4 +(S'Literal' +p199 +g198 +ttRp200 +(dp201 +S'Bin' +p202 +g2 +(g3 +g4 +(g199 +g198 +g202 +ttRp203 +(dp204 +g11 +g12 +((ltRp205 +sg14 +g200 +sbsS'Binary' +p206 +g2 +(g3 +g4 +(g199 +g198 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g200 +sbsg14 +g2 +(g3 +g4 +(g199 +ttRp210 +(dp211 +S'String' +p212 +g2 +(g3 +g4 +(g199 +g212 +ttRp213 +(dp214 +S'Regex' +p215 +g2 +(g3 +g4 +(g199 +g212 +g215 +ttRp216 +(dp217 +g11 +g12 +((ltRp218 +sg14 +g213 +sbsS'Interpol' +p219 +g2 +(g3 +g4 +(g199 +g212 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g213 +sbsS'Regexp' +p223 +g2 +(g3 +g4 +(g199 +g212 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g213 +sbsg14 +g210 +sS'Heredoc' +p227 +g2 +(g3 +g4 +(g199 +g212 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g213 +sbsS'Double' +p231 +g2 +(g3 +g4 +(g199 +g212 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g213 +sbsg179 +g2 +(g3 +g4 +(g199 +g212 +g179 +ttRp235 +(dp236 +g11 +g12 +((ltRp237 +sg14 +g213 +sbsS'Escape' +p238 +g2 +(g3 +g4 +(g199 +g212 +g238 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g213 +sbsS'Character' +p242 +g2 +(g3 +g4 +(g199 +g212 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g213 +sbsS'Interp' +p246 +g2 +(g3 +g4 +(g199 +g212 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g213 +sbsS'Backtick' +p250 +g2 +(g3 +g4 +(g199 +g212 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g213 +sbsS'Char' +p254 +g2 +(g3 +g4 +(g199 +g212 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g213 +sbsg24 +g2 +(g3 +g4 +(g199 +g212 +g24 +ttRp258 +(dp259 +g11 +g12 +((ltRp260 +sg14 +g213 +sbsg84 +g2 +(g3 +g4 +(g199 +g212 +g84 +ttRp261 +(dp262 +g11 +g12 +((ltRp263 +sg14 +g213 +sbsS'Doc' +p264 +g2 +(g3 +g4 +(g199 +g212 +g264 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g213 +sbsg11 +g12 +((lp268 +g261 +ag2 +(g3 +g4 +(g199 +g212 +S'Atom' +p269 +ttRp270 +(dp271 +g11 +g12 +((ltRp272 +sg14 +g213 +sbag232 +ag255 +ag247 +ag265 +ag228 +ag251 +ag220 +ag235 +ag224 +ag216 +ag258 +ag243 +ag239 +atRp273 +sg269 +g270 +sbsg14 +g15 +sg198 +g200 +sS'Scalar' +p274 +g2 +(g3 +g4 +(g199 +g274 +ttRp275 +(dp276 +g11 +g12 +((lp277 +g2 +(g3 +g4 +(g199 +g274 +S'Plain' +p278 +ttRp279 +(dp280 +g11 +g12 +((ltRp281 +sg14 +g275 +sbatRp282 +sg14 +g210 +sg278 +g279 +sbsg84 +g2 +(g3 +g4 +(g199 +g84 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g210 +sbsS'Date' +p286 +g2 +(g3 +g4 +(g199 +g286 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g210 +sbsg11 +g12 +((lp290 +g287 +ag213 +ag283 +ag200 +ag275 +atRp291 +sbsS'Decimal' +p292 +g2 +(g3 +g4 +(g199 +g198 +g292 +ttRp293 +(dp294 +g11 +g12 +((ltRp295 +sg14 +g200 +sbsS'Float' +p296 +g2 +(g3 +g4 +(g199 +g198 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g200 +sbsS'Hex' +p300 +g2 +(g3 +g4 +(g199 +g198 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g200 +sbsS'Integer' +p304 +g2 +(g3 +g4 +(g199 +g198 +g304 +ttRp305 +(dp306 +g11 +g12 +((lp307 +g2 +(g3 +g4 +(g199 +g198 +g304 +S'Long' +p308 +ttRp309 +(dp310 +g11 +g12 +((ltRp311 +sg14 +g305 +sbatRp312 +sg308 +g309 +sg14 +g200 +sbsS'Octal' +p313 +g2 +(g3 +g4 +(g199 +g198 +g313 +ttRp314 +(dp315 +g11 +g12 +((ltRp316 +sg14 +g200 +sbsg11 +g12 +((lp317 +g203 +ag207 +ag314 +ag293 +ag2 +(g3 +g4 +(g199 +g198 +S'Oct' +p318 +ttRp319 +(dp320 +g11 +g12 +((ltRp321 +sg14 +g200 +sbag305 +ag297 +ag301 +atRp322 +sg318 +g319 +sbsg199 +g210 +sg84 +g2 +(g3 +g4 +(g84 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g15 +sbsg157 +g2 +(g3 +g4 +(g157 +ttRp326 +(dp327 +g11 +g12 +((ltRp328 +sg14 +g15 +sbsS'Operator' +p329 +g2 +(g3 +g4 +(g329 +ttRp330 +(dp331 +g11 +g12 +((lp332 +g2 +(g3 +g4 +(g329 +S'Word' +p333 +ttRp334 +(dp335 +g11 +g12 +((ltRp336 +sg14 +g330 +sbatRp337 +sg333 +g334 +sg14 +g15 +sbsg11 +g12 +((lp338 +g18 +ag326 +ag131 +ag176 +ag39 +ag189 +ag6 +ag210 +ag330 +ag323 +atRp339 +sg212 +g213 +sbsg118 +g2 +(g3 +g4 +(g5 +g118 +ttRp340 +(dp341 +g11 +g12 +((ltRp342 +sg14 +g6 +sbsg56 +g2 +(g3 +g4 +(g5 +g56 +ttRp343 +(dp344 +g11 +g12 +((ltRp345 +sg14 +g6 +sbsS'Reserved' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Declaration' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsg92 +g2 +(g3 +g4 +(g5 +g92 +ttRp354 +(dp355 +g11 +g12 +((ltRp356 +sg14 +g6 +sbsg11 +g12 +((lp357 +g9 +ag347 +ag2 +(g3 +g4 +(g5 +S'Type' +p358 +ttRp359 +(dp360 +g11 +g12 +((ltRp361 +sg14 +g6 +sbag351 +ag354 +ag340 +ag343 +atRp362 +sg358 +g359 +sbVtype +p363 +tp364 +a(g176 +V +tp365 +a(g359 +Vtiny +p366 +tp367 +a(g176 +V +tp368 +a(g330 +V= +tp369 +a(g176 +V +tp370 +a(g213 +V" +tp371 +a(g220 +V%i +p372 +tp373 +a(g213 +V8 +tp374 +a(g213 +V" +tp375 +a(g189 +V; +tp376 +a(g176 +V\u000a +tp377 +a(g6 +Vtype +p378 +tp379 +a(g176 +V +tp380 +a(g359 +Vint +p381 +tp382 +a(g176 +V +tp383 +a(g330 +V= +tp384 +a(g176 +V +tp385 +a(g213 +V" +tp386 +a(g220 +V%i +p387 +tp388 +a(g213 +V32 +p389 +tp390 +a(g213 +V" +tp391 +a(g189 +V; +tp392 +a(g176 +V\u000a +tp393 +a(g6 +Vtypedef +p394 +tp395 +a(g176 +V +tp396 +a(g359 +Vbool +p397 +tp398 +a(g176 +V +tp399 +a(g330 +V= +tp400 +a(g176 +V +tp401 +a(g305 +V2 +tp402 +a(g189 +V; +tp403 +a(g176 +V\u000a +tp404 +a(g6 +Vfun +p405 +tp406 +a(g176 +V +tp407 +a(g42 +Vadd +p408 +tp409 +a(g176 +V +tp410 +a(g189 +V: +tp411 +a(g176 +V +tp412 +a(g359 +Vint +p413 +tp414 +a(g330 +V* +tp415 +a(g359 +Vint +p416 +tp417 +a(g176 +V +tp418 +a(g330 +V- +tp419 +a(g330 +V> +tp420 +a(g176 +V +tp421 +a(g359 +Vint +p422 +tp423 +a(g176 +V +tp424 +a(g330 +V= +tp425 +a(g176 +V +tp426 +a(g213 +V" +tp427 +a(g213 +V% +tp428 +a(g213 +Vadd +p429 +tp430 +a(g213 +V" +tp431 +a(g189 +V; +tp432 +a(g176 +V\u000a +tp433 +a(g6 +Vfun +p434 +tp435 +a(g176 +V +tp436 +a(g42 +Vsub +p437 +tp438 +a(g176 +V +tp439 +a(g189 +V: +tp440 +a(g176 +V +tp441 +a(g359 +Vint +p442 +tp443 +a(g330 +V* +tp444 +a(g359 +Vint +p445 +tp446 +a(g176 +V +tp447 +a(g330 +V- +tp448 +a(g330 +V> +tp449 +a(g176 +V +tp450 +a(g359 +Vint +p451 +tp452 +a(g176 +V +tp453 +a(g330 +V= +tp454 +a(g176 +V +tp455 +a(g213 +V" +tp456 +a(g220 +V%s +p457 +tp458 +a(g213 +Vub +p459 +tp460 +a(g213 +V" +tp461 +a(g189 +V; +tp462 +a(g176 +V\u000a +tp463 +a(g6 +Vfun +p464 +tp465 +a(g176 +V +tp466 +a(g42 +Veq +p467 +tp468 +a(g176 +V +tp469 +a(g189 +V: +tp470 +a(g176 +V +tp471 +a(g359 +Vint +p472 +tp473 +a(g330 +V* +tp474 +a(g359 +Vint +p475 +tp476 +a(g176 +V +tp477 +a(g330 +V- +tp478 +a(g330 +V> +tp479 +a(g176 +V +tp480 +a(g359 +Vbool +p481 +tp482 +a(g176 +V +tp483 +a(g330 +V= +tp484 +a(g176 +V +tp485 +a(g213 +V" +tp486 +a(g220 +V%e +p487 +tp488 +a(g213 +Vq +tp489 +a(g213 +V" +tp490 +a(g189 +V; +tp491 +a(g176 +V\u000a +tp492 +a(g6 +Vfun +p493 +tp494 +a(g176 +V +tp495 +a(g42 +Vlnot +p496 +tp497 +a(g176 +V +tp498 +a(g189 +V: +tp499 +a(g176 +V +tp500 +a(g359 +Vbool +p501 +tp502 +a(g176 +V +tp503 +a(g330 +V- +tp504 +a(g330 +V> +tp505 +a(g176 +V +tp506 +a(g359 +Vbool +p507 +tp508 +a(g176 +V +tp509 +a(g330 +V= +tp510 +a(g176 +V +tp511 +a(g213 +V" +tp512 +a(g213 +V% +tp513 +a(g213 +Vlnot +p514 +tp515 +a(g213 +V" +tp516 +a(g189 +V; +tp517 +a(g176 +V\u000a +tp518 +a(g6 +Vproc +p519 +tp520 +a(g176 +V +tp521 +a(g42 +Vexit +p522 +tp523 +a(g176 +V +tp524 +a(g189 +V: +tp525 +a(g176 +V +tp526 +a(g359 +Vint +p527 +tp528 +a(g176 +V +tp529 +a(g330 +V= +tp530 +a(g176 +V +tp531 +a(g213 +V" +tp532 +a(g213 +Vexit +p533 +tp534 +a(g213 +V" +tp535 +a(g189 +V; +tp536 +a(g176 +V\u000a +tp537 +a(g176 +V\u000a +tp538 +a(g25 +V// comment 1\u000a +p539 +tp540 +a(g29 +V/* +p541 +tp542 +a(g29 +V\u000a +tp543 +a(g29 +V +tp544 +a(g29 +V +tp545 +a(g29 +V/* +p546 +tp547 +a(g29 +V\u000a +tp548 +a(g29 +V +tp549 +a(g29 +V +tp550 +a(g29 +Vf +tp551 +a(g29 +Vo +tp552 +a(g29 +Vo +tp553 +a(g29 +V +tp554 +a(g29 +Vb +tp555 +a(g29 +Va +tp556 +a(g29 +Vr +tp557 +a(g29 +V\u000a +tp558 +a(g29 +V +tp559 +a(g29 +V +tp560 +a(g29 +V*/ +p561 +tp562 +a(g29 +V\u000a +tp563 +a(g29 +Va +tp564 +a(g29 +Vs +tp565 +a(g29 +Vd +tp566 +a(g29 +Va +tp567 +a(g29 +Vs +tp568 +a(g29 +V\u000a +tp569 +a(g29 +V*/ +p570 +tp571 +a(g176 +V\u000a +tp572 +a(g176 +V\u000a +tp573 +a(g115 +Vnoinline +p574 +tp575 +a(g176 +V +tp576 +a(g6 +Vfun +p577 +tp578 +a(g176 +V +tp579 +a(g42 +Vfoo +p580 +tp581 +a(g176 +V +tp582 +a(g189 +V( +tp583 +a(g39 +Vx +tp584 +a(g189 +V: +tp585 +a(g359 +Vint +p586 +tp587 +a(g189 +V) +tp588 +a(g176 +V +tp589 +a(g330 +V= +tp590 +a(g176 +V +tp591 +a(g189 +V{ +tp592 +a(g176 +V\u000a +tp593 +a(g176 +V +p594 +tp595 +a(g351 +Vval +p596 +tp597 +a(g176 +V +tp598 +a(g39 +Vy +tp599 +a(g176 +V +tp600 +a(g330 +V= +tp601 +a(g176 +V +tp602 +a(g305 +V6 +tp603 +a(g189 +V; +tp604 +a(g176 +V\u000a +tp605 +a(g176 +V +p606 +tp607 +a(g6 +Vreturn +p608 +tp609 +a(g176 +V +tp610 +a(g39 +Vx +tp611 +a(g176 +V +tp612 +a(g330 +V+ +tp613 +a(g176 +V +tp614 +a(g39 +Vy +tp615 +a(g189 +V; +tp616 +a(g176 +V\u000a +tp617 +a(g189 +V} +tp618 +a(g176 +V\u000a +tp619 +a(g176 +V\u000a +tp620 +a(g115 +Vnoinline +p621 +tp622 +a(g176 +V +tp623 +a(g6 +Vproc +p624 +tp625 +a(g176 +V +tp626 +a(g42 +Vfake_exit +p627 +tp628 +a(g176 +V +tp629 +a(g189 +V( +tp630 +a(g39 +Vx +tp631 +a(g189 +V: +tp632 +a(g359 +Vint +p633 +tp634 +a(g189 +V) +tp635 +a(g176 +V +tp636 +a(g189 +V{ +tp637 +a(g176 +V\u000a +tp638 +a(g176 +V +p639 +tp640 +a(g39 +Vexit +p641 +tp642 +a(g176 +V +tp643 +a(g39 +Vx +tp644 +a(g189 +V; +tp645 +a(g176 +V\u000a +tp646 +a(g176 +V +p647 +tp648 +a(g6 +Vreturn +p649 +tp650 +a(g189 +V; +tp651 +a(g176 +V\u000a +tp652 +a(g189 +V} +tp653 +a(g176 +V\u000a +tp654 +a(g176 +V\u000a +tp655 +a(g115 +Vnoinline +p656 +tp657 +a(g176 +V +tp658 +a(g6 +Vfun +p659 +tp660 +a(g176 +V +tp661 +a(g42 +Vbar +p662 +tp663 +a(g176 +V +tp664 +a(g189 +V( +tp665 +a(g39 +Vx +tp666 +a(g189 +V: +tp667 +a(g359 +Vint +p668 +tp669 +a(g189 +V) +tp670 +a(g176 +V +tp671 +a(g330 +V= +tp672 +a(g176 +V +tp673 +a(g189 +V{ +tp674 +a(g176 +V\u000a +tp675 +a(g176 +V +p676 +tp677 +a(g351 +Vvar +p678 +tp679 +a(g176 +V +tp680 +a(g39 +Vy +tp681 +a(g176 +V +tp682 +a(g330 +V= +tp683 +a(g176 +V +tp684 +a(g305 +V10 +p685 +tp686 +a(g189 +V; +tp687 +a(g176 +V\u000a +tp688 +a(g176 +V +p689 +tp690 +a(g115 +Vnoinline +p691 +tp692 +a(g176 +V +tp693 +a(g6 +Vproc +p694 +tp695 +a(g176 +V +tp696 +a(g42 +Vbaz +p697 +tp698 +a(g176 +V +tp699 +a(g189 +V( +tp700 +a(g189 +V) +tp701 +a(g176 +V +tp702 +a(g189 +V{ +tp703 +a(g176 +V\u000a +tp704 +a(g176 +V +p705 +tp706 +a(g39 +Vy +tp707 +a(g176 +V +tp708 +a(g330 +V= +tp709 +a(g176 +V +tp710 +a(g305 +V20 +p711 +tp712 +a(g189 +V; +tp713 +a(g176 +V\u000a +tp714 +a(g176 +V +p715 +tp716 +a(g6 +Vreturn +p717 +tp718 +a(g189 +V; +tp719 +a(g176 +V\u000a +tp720 +a(g176 +V +p721 +tp722 +a(g189 +V} +tp723 +a(g176 +V\u000a +tp724 +a(g176 +V +p725 +tp726 +a(g39 +Vbaz +p727 +tp728 +a(g176 +V +tp729 +a(g189 +V( +tp730 +a(g189 +V) +tp731 +a(g189 +V; +tp732 +a(g176 +V\u000a +tp733 +a(g176 +V +p734 +tp735 +a(g6 +Vreturn +p736 +tp737 +a(g176 +V +tp738 +a(g39 +Vx +tp739 +a(g176 +V +tp740 +a(g330 +V+ +tp741 +a(g176 +V +tp742 +a(g39 +Vy +tp743 +a(g189 +V; +tp744 +a(g176 +V\u000a +tp745 +a(g189 +V} +tp746 +a(g176 +V\u000a +tp747 +a(g176 +V\u000a +tp748 +a(g115 +Vnoinline +p749 +tp750 +a(g176 +V +tp751 +a(g6 +Vfun +p752 +tp753 +a(g176 +V +tp754 +a(g42 +Vx +tp755 +a(g176 +V +tp756 +a(g189 +V( +tp757 +a(g39 +Va +tp758 +a(g189 +V: +tp759 +a(g359 +Vint +p760 +tp761 +a(g189 +V, +tp762 +a(g176 +V +tp763 +a(g39 +Vb +tp764 +a(g189 +V: +tp765 +a(g359 +Vint +p766 +tp767 +a(g189 +V, +tp768 +a(g176 +V +tp769 +a(g39 +Vc +tp770 +a(g189 +V: +tp771 +a(g359 +Vtiny +p772 +tp773 +a(g189 +V) +tp774 +a(g176 +V +tp775 +a(g330 +V= +tp776 +a(g176 +V +tp777 +a(g189 +V{ +tp778 +a(g176 +V\u000a +tp779 +a(g176 +V +p780 +tp781 +a(g351 +Vval +p782 +tp783 +a(g176 +V +tp784 +a(g39 +Vx1 +p785 +tp786 +a(g176 +V +tp787 +a(g330 +V= +tp788 +a(g176 +V +tp789 +a(g39 +Va +tp790 +a(g189 +V; +tp791 +a(g176 +V\u000a +tp792 +a(g176 +V +p793 +tp794 +a(g351 +Vval +p795 +tp796 +a(g176 +V +tp797 +a(g39 +Vx2 +p798 +tp799 +a(g176 +V +tp800 +a(g330 +V= +tp801 +a(g176 +V +tp802 +a(g39 +Vb +tp803 +a(g189 +V; +tp804 +a(g176 +V\u000a +tp805 +a(g176 +V +p806 +tp807 +a(g351 +Vval +p808 +tp809 +a(g176 +V +tp810 +a(g39 +Vx3 +p811 +tp812 +a(g176 +V +tp813 +a(g330 +V= +tp814 +a(g176 +V +tp815 +a(g39 +Vc +tp816 +a(g189 +V; +tp817 +a(g176 +V\u000a +tp818 +a(g176 +V +p819 +tp820 +a(g115 +Vnoinline +p821 +tp822 +a(g176 +V +tp823 +a(g6 +Vfun +p824 +tp825 +a(g176 +V +tp826 +a(g42 +Vy +tp827 +a(g176 +V +tp828 +a(g189 +V( +tp829 +a(g39 +Vd +tp830 +a(g189 +V: +tp831 +a(g359 +Vint +p832 +tp833 +a(g189 +V, +tp834 +a(g176 +V +tp835 +a(g39 +Ve +tp836 +a(g189 +V: +tp837 +a(g359 +Vint +p838 +tp839 +a(g189 +V, +tp840 +a(g176 +V +tp841 +a(g39 +Vf +tp842 +a(g189 +V: +tp843 +a(g359 +Vtiny +p844 +tp845 +a(g189 +V) +tp846 +a(g176 +V +tp847 +a(g330 +V= +tp848 +a(g176 +V +tp849 +a(g189 +V{ +tp850 +a(g176 +V\u000a +tp851 +a(g176 +V +p852 +tp853 +a(g351 +Vval +p854 +tp855 +a(g176 +V +tp856 +a(g39 +Vy1 +p857 +tp858 +a(g176 +V +tp859 +a(g330 +V= +tp860 +a(g176 +V +tp861 +a(g39 +Vx1 +p862 +tp863 +a(g189 +V; +tp864 +a(g176 +V\u000a +tp865 +a(g176 +V +p866 +tp867 +a(g351 +Vval +p868 +tp869 +a(g176 +V +tp870 +a(g39 +Vy2 +p871 +tp872 +a(g176 +V +tp873 +a(g330 +V= +tp874 +a(g176 +V +tp875 +a(g39 +Vx2 +p876 +tp877 +a(g189 +V; +tp878 +a(g176 +V\u000a +tp879 +a(g176 +V +p880 +tp881 +a(g351 +Vval +p882 +tp883 +a(g176 +V +tp884 +a(g39 +Vy3 +p885 +tp886 +a(g176 +V +tp887 +a(g330 +V= +tp888 +a(g176 +V +tp889 +a(g39 +Vf +tp890 +a(g189 +V; +tp891 +a(g176 +V\u000a +tp892 +a(g176 +V +p893 +tp894 +a(g115 +Vnoinline +p895 +tp896 +a(g176 +V +tp897 +a(g6 +Vfun +p898 +tp899 +a(g176 +V +tp900 +a(g42 +Vz +tp901 +a(g176 +V +tp902 +a(g189 +V( +tp903 +a(g39 +Vg +tp904 +a(g189 +V: +tp905 +a(g359 +Vint +p906 +tp907 +a(g189 +V, +tp908 +a(g176 +V +tp909 +a(g39 +Vh +tp910 +a(g189 +V: +tp911 +a(g359 +Vint +p912 +tp913 +a(g189 +V, +tp914 +a(g176 +V +tp915 +a(g39 +Vi +tp916 +a(g189 +V: +tp917 +a(g359 +Vtiny +p918 +tp919 +a(g189 +V) +tp920 +a(g176 +V +tp921 +a(g330 +V= +tp922 +a(g176 +V +tp923 +a(g189 +V{ +tp924 +a(g176 +V\u000a +tp925 +a(g176 +V +p926 +tp927 +a(g351 +Vval +p928 +tp929 +a(g176 +V +tp930 +a(g39 +Vz1 +p931 +tp932 +a(g176 +V +tp933 +a(g330 +V= +tp934 +a(g176 +V +tp935 +a(g39 +Vx1 +p936 +tp937 +a(g189 +V; +tp938 +a(g176 +V\u000a +tp939 +a(g176 +V +p940 +tp941 +a(g351 +Vval +p942 +tp943 +a(g176 +V +tp944 +a(g39 +Vz2 +p945 +tp946 +a(g176 +V +tp947 +a(g330 +V= +tp948 +a(g176 +V +tp949 +a(g39 +Vx2 +p950 +tp951 +a(g189 +V; +tp952 +a(g176 +V\u000a +tp953 +a(g176 +V +p954 +tp955 +a(g351 +Vval +p956 +tp957 +a(g176 +V +tp958 +a(g39 +Vz3 +p959 +tp960 +a(g176 +V +tp961 +a(g330 +V= +tp962 +a(g176 +V +tp963 +a(g39 +Vi +tp964 +a(g189 +V; +tp965 +a(g176 +V\u000a +tp966 +a(g176 +V +p967 +tp968 +a(g6 +Vreturn +p969 +tp970 +a(g176 +V +tp971 +a(g39 +Vz1 +p972 +tp973 +a(g189 +V; +tp974 +a(g176 +V\u000a +tp975 +a(g176 +V +p976 +tp977 +a(g189 +V} +tp978 +a(g176 +V\u000a +tp979 +a(g176 +V +p980 +tp981 +a(g6 +Vreturn +p982 +tp983 +a(g176 +V +tp984 +a(g39 +Vz +tp985 +a(g176 +V +tp986 +a(g189 +V( +tp987 +a(g39 +Vy1 +p988 +tp989 +a(g189 +V, +tp990 +a(g39 +Vy2 +p991 +tp992 +a(g189 +V, +tp993 +a(g39 +Vy3 +p994 +tp995 +a(g189 +V) +tp996 +a(g189 +V; +tp997 +a(g176 +V\u000a +tp998 +a(g176 +V +p999 +tp1000 +a(g189 +V} +tp1001 +a(g176 +V\u000a +tp1002 +a(g176 +V +p1003 +tp1004 +a(g6 +Vreturn +p1005 +tp1006 +a(g176 +V +tp1007 +a(g39 +Vy +tp1008 +a(g176 +V +tp1009 +a(g189 +V( +tp1010 +a(g39 +Vx1 +p1011 +tp1012 +a(g189 +V, +tp1013 +a(g39 +Vx2 +p1014 +tp1015 +a(g189 +V, +tp1016 +a(g39 +Vx3 +p1017 +tp1018 +a(g189 +V) +tp1019 +a(g189 +V; +tp1020 +a(g176 +V\u000a +tp1021 +a(g189 +V} +tp1022 +a(g176 +V\u000a +tp1023 +a(g176 +V\u000a +tp1024 +a(g39 +Vfake_exit +p1025 +tp1026 +a(g176 +V +tp1027 +a(g330 +V$ +tp1028 +a(g176 +V +tp1029 +a(g189 +V( +tp1030 +a(g39 +Vfoo +p1031 +tp1032 +a(g176 +V +tp1033 +a(g305 +V2 +tp1034 +a(g189 +V) +tp1035 +a(g176 +V +tp1036 +a(g330 +V+ +tp1037 +a(g176 +V +tp1038 +a(g189 +V( +tp1039 +a(g39 +Vbar +p1040 +tp1041 +a(g176 +V +tp1042 +a(g305 +V3 +tp1043 +a(g189 +V) +tp1044 +a(g176 +V +tp1045 +a(g330 +V+ +tp1046 +a(g176 +V +tp1047 +a(g189 +V( +tp1048 +a(g39 +Vx +tp1049 +a(g176 +V +tp1050 +a(g189 +V( +tp1051 +a(g305 +V1 +tp1052 +a(g189 +V, +tp1053 +a(g305 +V2 +tp1054 +a(g189 +V, +tp1055 +a(g305 +V3t +p1056 +tp1057 +a(g189 +V) +tp1058 +a(g189 +V) +tp1059 +a(g189 +V; +tp1060 +a(g176 +V\u000a +tp1061 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.html b/tests/examplefiles/output/test.html new file mode 100644 index 0000000..ddf6bd3 --- /dev/null +++ b/tests/examplefiles/output/test.html @@ -0,0 +1,29276 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Name' +p5 +S'Tag' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Function' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsS'Exception' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g9 +g10 +((ltRp22 +sg12 +g13 +sbsg6 +g7 +sS'Constant' +p23 +g2 +(g3 +g4 +(g5 +g23 +ttRp24 +(dp25 +g9 +g10 +((ltRp26 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp27 +(dp28 +S'Comment' +p29 +g2 +(g3 +g4 +(g29 +ttRp30 +(dp31 +g12 +g27 +sS'Preproc' +p32 +g2 +(g3 +g4 +(g29 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g30 +sbsS'Single' +p36 +g2 +(g3 +g4 +(g29 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g30 +sbsS'Multiline' +p40 +g2 +(g3 +g4 +(g29 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g30 +sbsg9 +g10 +((lp44 +g2 +(g3 +g4 +(g29 +S'Special' +p45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g30 +sbag33 +ag37 +ag41 +atRp49 +sg45 +g46 +sbsg5 +g13 +sS'Keyword' +p50 +g2 +(g3 +g4 +(g50 +ttRp51 +(dp52 +S'Pervasive' +p53 +g2 +(g3 +g4 +(g50 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g51 +sbsg23 +g2 +(g3 +g4 +(g50 +g23 +ttRp57 +(dp58 +g9 +g10 +((ltRp59 +sg12 +g51 +sbsg12 +g27 +sS'Namespace' +p60 +g2 +(g3 +g4 +(g50 +g60 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g51 +sbsS'Pseudo' +p64 +g2 +(g3 +g4 +(g50 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g51 +sbsS'Reserved' +p68 +g2 +(g3 +g4 +(g50 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g51 +sbsS'Declaration' +p72 +g2 +(g3 +g4 +(g50 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g51 +sbsS'Variable' +p76 +g2 +(g3 +g4 +(g50 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g51 +sbsg9 +g10 +((lp80 +g57 +ag69 +ag2 +(g3 +g4 +(g50 +S'Type' +p81 +ttRp82 +(dp83 +g9 +g10 +((ltRp84 +sg12 +g51 +sbag54 +ag73 +ag77 +ag61 +ag65 +atRp85 +sg81 +g82 +sbsS'Generic' +p86 +g2 +(g3 +g4 +(g86 +ttRp87 +(dp88 +S'Prompt' +p89 +g2 +(g3 +g4 +(g86 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g87 +sbsg12 +g27 +sS'Deleted' +p93 +g2 +(g3 +g4 +(g86 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g87 +sbsS'Traceback' +p97 +g2 +(g3 +g4 +(g86 +g97 +ttRp98 +(dp99 +g9 +g10 +((ltRp100 +sg12 +g87 +sbsS'Emph' +p101 +g2 +(g3 +g4 +(g86 +g101 +ttRp102 +(dp103 +g9 +g10 +((ltRp104 +sg12 +g87 +sbsS'Output' +p105 +g2 +(g3 +g4 +(g86 +g105 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g87 +sbsS'Subheading' +p109 +g2 +(g3 +g4 +(g86 +g109 +ttRp110 +(dp111 +g9 +g10 +((ltRp112 +sg12 +g87 +sbsS'Error' +p113 +g2 +(g3 +g4 +(g86 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g87 +sbsg9 +g10 +((lp117 +g106 +ag102 +ag114 +ag110 +ag98 +ag94 +ag2 +(g3 +g4 +(g86 +S'Heading' +p118 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g87 +sbag2 +(g3 +g4 +(g86 +S'Inserted' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g87 +sbag2 +(g3 +g4 +(g86 +S'Strong' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g87 +sbag90 +atRp130 +sg126 +g127 +sg122 +g123 +sg118 +g119 +sbsS'Text' +p131 +g2 +(g3 +g4 +(g131 +ttRp132 +(dp133 +g9 +g10 +((lp134 +g2 +(g3 +g4 +(g131 +S'Symbol' +p135 +ttRp136 +(dp137 +g9 +g10 +((ltRp138 +sg12 +g132 +sbag2 +(g3 +g4 +(g131 +S'Whitespace' +p139 +ttRp140 +(dp141 +g9 +g10 +((ltRp142 +sg12 +g132 +sbatRp143 +sg135 +g136 +sg139 +g140 +sg12 +g27 +sbsS'Punctuation' +p144 +g2 +(g3 +g4 +(g144 +ttRp145 +(dp146 +g9 +g10 +((lp147 +g2 +(g3 +g4 +(g144 +S'Indicator' +p148 +ttRp149 +(dp150 +g9 +g10 +((ltRp151 +sg12 +g145 +sbatRp152 +sg148 +g149 +sg12 +g27 +sbsS'Token' +p153 +g27 +sS'Number' +p154 +g2 +(g3 +g4 +(S'Literal' +p155 +g154 +ttRp156 +(dp157 +S'Bin' +p158 +g2 +(g3 +g4 +(g155 +g154 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g156 +sbsS'Binary' +p162 +g2 +(g3 +g4 +(g155 +g154 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g156 +sbsg12 +g2 +(g3 +g4 +(g155 +ttRp166 +(dp167 +S'String' +p168 +g2 +(g3 +g4 +(g155 +g168 +ttRp169 +(dp170 +S'Regex' +p171 +g2 +(g3 +g4 +(g155 +g168 +g171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g169 +sbsS'Interpol' +p175 +g2 +(g3 +g4 +(g155 +g168 +g175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g169 +sbsS'Regexp' +p179 +g2 +(g3 +g4 +(g155 +g168 +g179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g169 +sbsg12 +g166 +sS'Heredoc' +p183 +g2 +(g3 +g4 +(g155 +g168 +g183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g169 +sbsS'Double' +p187 +g2 +(g3 +g4 +(g155 +g168 +g187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg12 +g169 +sbsg135 +g2 +(g3 +g4 +(g155 +g168 +g135 +ttRp191 +(dp192 +g9 +g10 +((ltRp193 +sg12 +g169 +sbsS'Escape' +p194 +g2 +(g3 +g4 +(g155 +g168 +g194 +ttRp195 +(dp196 +g9 +g10 +((ltRp197 +sg12 +g169 +sbsS'Character' +p198 +g2 +(g3 +g4 +(g155 +g168 +g198 +ttRp199 +(dp200 +g9 +g10 +((ltRp201 +sg12 +g169 +sbsS'Interp' +p202 +g2 +(g3 +g4 +(g155 +g168 +g202 +ttRp203 +(dp204 +g9 +g10 +((ltRp205 +sg12 +g169 +sbsS'Backtick' +p206 +g2 +(g3 +g4 +(g155 +g168 +g206 +ttRp207 +(dp208 +g9 +g10 +((ltRp209 +sg12 +g169 +sbsS'Char' +p210 +g2 +(g3 +g4 +(g155 +g168 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg12 +g169 +sbsg36 +g2 +(g3 +g4 +(g155 +g168 +g36 +ttRp214 +(dp215 +g9 +g10 +((ltRp216 +sg12 +g169 +sbsS'Other' +p217 +g2 +(g3 +g4 +(g155 +g168 +g217 +ttRp218 +(dp219 +g9 +g10 +((ltRp220 +sg12 +g169 +sbsS'Doc' +p221 +g2 +(g3 +g4 +(g155 +g168 +g221 +ttRp222 +(dp223 +g9 +g10 +((ltRp224 +sg12 +g169 +sbsg9 +g10 +((lp225 +g218 +ag2 +(g3 +g4 +(g155 +g168 +S'Atom' +p226 +ttRp227 +(dp228 +g9 +g10 +((ltRp229 +sg12 +g169 +sbag188 +ag211 +ag203 +ag222 +ag184 +ag207 +ag176 +ag191 +ag180 +ag172 +ag214 +ag199 +ag195 +atRp230 +sg226 +g227 +sbsg12 +g27 +sg154 +g156 +sS'Scalar' +p231 +g2 +(g3 +g4 +(g155 +g231 +ttRp232 +(dp233 +g9 +g10 +((lp234 +g2 +(g3 +g4 +(g155 +g231 +S'Plain' +p235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g232 +sbatRp239 +sg12 +g166 +sg235 +g236 +sbsg217 +g2 +(g3 +g4 +(g155 +g217 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g166 +sbsS'Date' +p243 +g2 +(g3 +g4 +(g155 +g243 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g166 +sbsg9 +g10 +((lp247 +g244 +ag169 +ag240 +ag156 +ag232 +atRp248 +sbsS'Decimal' +p249 +g2 +(g3 +g4 +(g155 +g154 +g249 +ttRp250 +(dp251 +g9 +g10 +((ltRp252 +sg12 +g156 +sbsS'Float' +p253 +g2 +(g3 +g4 +(g155 +g154 +g253 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g156 +sbsS'Hex' +p257 +g2 +(g3 +g4 +(g155 +g154 +g257 +ttRp258 +(dp259 +g9 +g10 +((ltRp260 +sg12 +g156 +sbsS'Integer' +p261 +g2 +(g3 +g4 +(g155 +g154 +g261 +ttRp262 +(dp263 +g9 +g10 +((lp264 +g2 +(g3 +g4 +(g155 +g154 +g261 +S'Long' +p265 +ttRp266 +(dp267 +g9 +g10 +((ltRp268 +sg12 +g262 +sbatRp269 +sg265 +g266 +sg12 +g156 +sbsS'Octal' +p270 +g2 +(g3 +g4 +(g155 +g154 +g270 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g156 +sbsg9 +g10 +((lp274 +g159 +ag163 +ag271 +ag250 +ag2 +(g3 +g4 +(g155 +g154 +S'Oct' +p275 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g156 +sbag262 +ag254 +ag258 +atRp279 +sg275 +g276 +sbsg155 +g166 +sg217 +g2 +(g3 +g4 +(g217 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g27 +sbsg113 +g2 +(g3 +g4 +(g113 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g27 +sbsS'Operator' +p286 +g2 +(g3 +g4 +(g286 +ttRp287 +(dp288 +g9 +g10 +((lp289 +g2 +(g3 +g4 +(g286 +S'Word' +p290 +ttRp291 +(dp292 +g9 +g10 +((ltRp293 +sg12 +g287 +sbatRp294 +sg290 +g291 +sg12 +g27 +sbsg9 +g10 +((lp295 +g30 +ag283 +ag87 +ag132 +ag13 +ag145 +ag51 +ag166 +ag287 +ag280 +atRp296 +sg168 +g169 +sbsg64 +g2 +(g3 +g4 +(g5 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g13 +sbsS'Attribute' +p300 +g2 +(g3 +g4 +(g5 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g13 +sbsS'Label' +p304 +g2 +(g3 +g4 +(g5 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g13 +sbsS'Blubb' +p308 +g2 +(g3 +g4 +(g5 +g308 +ttRp309 +(dp310 +g9 +g10 +((ltRp311 +sg12 +g13 +sbsS'Entity' +p312 +g2 +(g3 +g4 +(g5 +g312 +ttRp313 +(dp314 +g9 +g10 +((ltRp315 +sg12 +g13 +sbsS'Builtin' +p316 +g2 +(g3 +g4 +(g5 +g316 +ttRp317 +(dp318 +g9 +g10 +((lp319 +g2 +(g3 +g4 +(g5 +g316 +g64 +ttRp320 +(dp321 +g9 +g10 +((ltRp322 +sg12 +g317 +sbatRp323 +sg64 +g320 +sg12 +g13 +sbsg217 +g2 +(g3 +g4 +(g5 +g217 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g13 +sbsS'Identifier' +p327 +g2 +(g3 +g4 +(g5 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g13 +sbsg76 +g2 +(g3 +g4 +(g5 +g76 +ttRp331 +(dp332 +g12 +g13 +sS'Global' +p333 +g2 +(g3 +g4 +(g5 +g76 +g333 +ttRp334 +(dp335 +g9 +g10 +((ltRp336 +sg12 +g331 +sbsS'Instance' +p337 +g2 +(g3 +g4 +(g5 +g76 +g337 +ttRp338 +(dp339 +g9 +g10 +((ltRp340 +sg12 +g331 +sbsS'Anonymous' +p341 +g2 +(g3 +g4 +(g5 +g76 +g341 +ttRp342 +(dp343 +g9 +g10 +((ltRp344 +sg12 +g331 +sbsg9 +g10 +((lp345 +g342 +ag338 +ag334 +ag2 +(g3 +g4 +(g5 +g76 +S'Class' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g331 +sbatRp350 +sg346 +g347 +sbsg9 +g10 +((lp351 +g2 +(g3 +g4 +(g5 +S'Decorator' +p352 +ttRp353 +(dp354 +g9 +g10 +((ltRp355 +sg12 +g13 +sbag301 +ag24 +ag297 +ag2 +(g3 +g4 +(g5 +g60 +ttRp356 +(dp357 +g9 +g10 +((ltRp358 +sg12 +g13 +sbag328 +ag317 +ag331 +ag324 +ag309 +ag313 +ag16 +ag2 +(g3 +g4 +(g5 +S'Property' +p359 +ttRp360 +(dp361 +g9 +g10 +((ltRp362 +sg12 +g13 +sbag305 +ag7 +ag20 +ag2 +(g3 +g4 +(g5 +g346 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbatRp366 +sg359 +g360 +sg346 +g363 +sg352 +g353 +sg60 +g356 +sbsbV +tp369 +a(g132 +V\u000a +tp370 +a(g7 +V +tp373 +a(g132 +V\u000a +tp374 +a(g7 +V +p426 +tp427 +a(g132 +V\u000a +tp428 +a(g7 +V +p1386 +tp1387 +a(g132 +V\u000a +tp1388 +a(g7 +V +p1389 +tp1390 +a(g132 +V\u000a +tp1391 +a(g7 +V +tp1394 +a(g132 +V\u000a +tp1395 +a(g7 +V
    +tp1408
    +a(g7
    +V
    +tp1416
    +a(g132
    +V# -*- coding: utf-8 -*-
    +p1417
    +tp1418
    +a(g7
    +V
    +p1419
    +tp1420
    +a(g132
    +V\u000a
    +tp1421
    +a(g7
    +V
    +tp1429
    +a(g313
    +V"
    +p1430
    +tp1431
    +a(g313
    +V"
    +p1432
    +tp1433
    +a(g313
    +V"
    +p1434
    +tp1435
    +a(g7
    +V
    +p1436
    +tp1437
    +a(g7
    +V
    +tp1445
    +a(g132
    +V\u000a    pocoo.pkg.core.acl\u000a    ~~~~~~~~~~~~~~~~~~\u000a\u000a    Pocoo ACL System.\u000a\u000a
    +p1446
    +tp1447
    +a(g7
    +V
    +p1448
    +tp1449
    +a(g7
    +V
    +tp1457
    +a(g313
    +V"
    +p1458
    +tp1459
    +a(g313
    +V"
    +p1460
    +tp1461
    +a(g313
    +V"
    +p1462
    +tp1463
    +a(g7
    +V
    +p1464
    +tp1465
    +a(g132
    +V\u000a\u000a
    +p1466
    +tp1467
    +a(g7
    +V
    +tp1475
    +a(g132
    +Vfrom 
    +p1476
    +tp1477
    +a(g7
    +V
    +p1478
    +tp1479
    +a(g7
    +V
    +tp1487
    +a(g132
    +Vpocoo.db
    +p1488
    +tp1489
    +a(g7
    +V
    +p1490
    +tp1491
    +a(g7
    +V
    +tp1499
    +a(g132
    +V import
    +p1500
    +tp1501
    +a(g7
    +V
    +p1502
    +tp1503
    +a(g132
    +V 
    +tp1504
    +a(g7
    +V
    +tp1512
    +a(g132
    +Vmeta
    +p1513
    +tp1514
    +a(g7
    +V
    +p1515
    +tp1516
    +a(g132
    +V\u000a\u000a
    +p1517
    +tp1518
    +a(g7
    +V
    +tp1526
    +a(g132
    +Vfrom 
    +p1527
    +tp1528
    +a(g7
    +V
    +p1529
    +tp1530
    +a(g7
    +V
    +tp1538
    +a(g132
    +Vpocoo.pkg.core.forum
    +p1539
    +tp1540
    +a(g7
    +V
    +p1541
    +tp1542
    +a(g7
    +V
    +tp1550
    +a(g132
    +V import
    +p1551
    +tp1552
    +a(g7
    +V
    +p1553
    +tp1554
    +a(g132
    +V 
    +tp1555
    +a(g7
    +V
    +tp1563
    +a(g132
    +VSite
    +p1564
    +tp1565
    +a(g7
    +V
    +p1566
    +tp1567
    +a(g132
    +V, 
    +p1568
    +tp1569
    +a(g7
    +V
    +tp1577
    +a(g132
    +VForum
    +p1578
    +tp1579
    +a(g7
    +V
    +p1580
    +tp1581
    +a(g132
    +V, 
    +p1582
    +tp1583
    +a(g7
    +V
    +tp1591
    +a(g132
    +VThread
    +p1592
    +tp1593
    +a(g7
    +V
    +p1594
    +tp1595
    +a(g132
    +V\u000a
    +tp1596
    +a(g7
    +V
    +tp1604
    +a(g132
    +Vfrom 
    +p1605
    +tp1606
    +a(g7
    +V
    +p1607
    +tp1608
    +a(g7
    +V
    +tp1616
    +a(g132
    +Vpocoo.pkg.core.user
    +p1617
    +tp1618
    +a(g7
    +V
    +p1619
    +tp1620
    +a(g7
    +V
    +tp1628
    +a(g132
    +V import
    +p1629
    +tp1630
    +a(g7
    +V
    +p1631
    +tp1632
    +a(g132
    +V 
    +tp1633
    +a(g7
    +V
    +tp1641
    +a(g132
    +VUser
    +p1642
    +tp1643
    +a(g7
    +V
    +p1644
    +tp1645
    +a(g132
    +V, 
    +p1646
    +tp1647
    +a(g7
    +V
    +tp1655
    +a(g132
    +VGroup
    +p1656
    +tp1657
    +a(g7
    +V
    +p1658
    +tp1659
    +a(g132
    +V\u000a\u000a
    +p1660
    +tp1661
    +a(g7
    +V
    +tp1669
    +a(g132
    +Vfrom 
    +p1670
    +tp1671
    +a(g7
    +V
    +p1672
    +tp1673
    +a(g7
    +V
    +tp1681
    +a(g132
    +Vpocoo.pkg.core.db
    +p1682
    +tp1683
    +a(g7
    +V
    +p1684
    +tp1685
    +a(g7
    +V
    +tp1693
    +a(g132
    +V import
    +p1694
    +tp1695
    +a(g7
    +V
    +p1696
    +tp1697
    +a(g132
    +V 
    +tp1698
    +a(g7
    +V
    +tp1706
    +a(g132
    +Vusers
    +p1707
    +tp1708
    +a(g7
    +V
    +p1709
    +tp1710
    +a(g132
    +V, 
    +p1711
    +tp1712
    +a(g7
    +V
    +tp1720
    +a(g132
    +Vgroups
    +p1721
    +tp1722
    +a(g7
    +V
    +p1723
    +tp1724
    +a(g132
    +V, 
    +p1725
    +tp1726
    +a(g7
    +V
    +tp1734
    +a(g132
    +Vgroup_members
    +p1735
    +tp1736
    +a(g7
    +V
    +p1737
    +tp1738
    +a(g132
    +V, 
    +p1739
    +tp1740
    +a(g7
    +V
    +tp1748
    +a(g132
    +Vprivileges
    +p1749
    +tp1750
    +a(g7
    +V
    +p1751
    +tp1752
    +a(g132
    +V, \u005c\u000a     
    +p1753
    +tp1754
    +a(g7
    +V
    +tp1762
    +a(g132
    +Vforums
    +p1763
    +tp1764
    +a(g7
    +V
    +p1765
    +tp1766
    +a(g132
    +V, 
    +p1767
    +tp1768
    +a(g7
    +V
    +tp1776
    +a(g132
    +Vposts
    +p1777
    +tp1778
    +a(g7
    +V
    +p1779
    +tp1780
    +a(g132
    +V, 
    +p1781
    +tp1782
    +a(g7
    +V
    +tp1790
    +a(g132
    +Vacl_mapping
    +p1791
    +tp1792
    +a(g7
    +V
    +p1793
    +tp1794
    +a(g132
    +V, 
    +p1795
    +tp1796
    +a(g7
    +V
    +tp1804
    +a(g132
    +Vacl_subjects
    +p1805
    +tp1806
    +a(g7
    +V
    +p1807
    +tp1808
    +a(g132
    +V, 
    +p1809
    +tp1810
    +a(g7
    +V
    +tp1818
    +a(g132
    +Vacl_objects
    +p1819
    +tp1820
    +a(g7
    +V
    +p1821
    +tp1822
    +a(g132
    +V\u000a\u000a\u000a
    +p1823
    +tp1824
    +a(g7
    +V
    +tp1832
    +a(g132
    +Vclass 
    +p1833
    +tp1834
    +a(g7
    +V
    +p1835
    +tp1836
    +a(g7
    +V
    +tp1844
    +a(g132
    +VAclManager
    +p1845
    +tp1846
    +a(g7
    +V
    +p1847
    +tp1848
    +a(g132
    +V(
    +tp1849
    +a(g7
    +V
    +tp1857
    +a(g132
    +Vobject
    +p1858
    +tp1859
    +a(g7
    +V
    +p1860
    +tp1861
    +a(g132
    +V):\u000a    
    +p1862
    +tp1863
    +a(g7
    +V
    +tp1871
    +a(g313
    +V"
    +p1872
    +tp1873
    +a(g313
    +V"
    +p1874
    +tp1875
    +a(g313
    +V"
    +p1876
    +tp1877
    +a(g7
    +V
    +p1878
    +tp1879
    +a(g7
    +V
    +tp1887
    +a(g132
    +V\u000a    Manager object to manage ALCs.\u000a    
    +p1888
    +tp1889
    +a(g7
    +V
    +p1890
    +tp1891
    +a(g7
    +V
    +tp1899
    +a(g313
    +V"
    +p1900
    +tp1901
    +a(g313
    +V"
    +p1902
    +tp1903
    +a(g313
    +V"
    +p1904
    +tp1905
    +a(g7
    +V
    +p1906
    +tp1907
    +a(g132
    +V\u000a    
    +p1908
    +tp1909
    +a(g7
    +V
    +tp1917
    +a(g132
    +VSTRONG_NO
    +p1918
    +tp1919
    +a(g7
    +V
    +p1920
    +tp1921
    +a(g132
    +V 
    +tp1922
    +a(g7
    +V
    +tp1930
    +a(g132
    +V=
    +tp1931
    +a(g7
    +V
    +p1932
    +tp1933
    +a(g132
    +V 
    +tp1934
    +a(g7
    +V
    +tp1942
    +a(g132
    +V-
    +tp1943
    +a(g7
    +V
    +p1944
    +tp1945
    +a(g7
    +V
    +tp1953
    +a(g132
    +V1
    +tp1954
    +a(g7
    +V
    +p1955
    +tp1956
    +a(g132
    +V\u000a\u000a    
    +p1957
    +tp1958
    +a(g7
    +V
    +tp1966
    +a(g132
    +VWEAK_NO
    +p1967
    +tp1968
    +a(g7
    +V
    +p1969
    +tp1970
    +a(g132
    +V 
    +tp1971
    +a(g7
    +V
    +tp1979
    +a(g132
    +V=
    +tp1980
    +a(g7
    +V
    +p1981
    +tp1982
    +a(g132
    +V 
    +tp1983
    +a(g7
    +V
    +tp1991
    +a(g132
    +V0
    +tp1992
    +a(g7
    +V
    +p1993
    +tp1994
    +a(g132
    +V\u000a    
    +p1995
    +tp1996
    +a(g7
    +V
    +tp2004
    +a(g132
    +VWEAK_YES
    +p2005
    +tp2006
    +a(g7
    +V
    +p2007
    +tp2008
    +a(g132
    +V 
    +tp2009
    +a(g7
    +V
    +tp2017
    +a(g132
    +V=
    +tp2018
    +a(g7
    +V
    +p2019
    +tp2020
    +a(g132
    +V 
    +tp2021
    +a(g7
    +V
    +tp2029
    +a(g132
    +V1
    +tp2030
    +a(g7
    +V
    +p2031
    +tp2032
    +a(g132
    +V\u000a    
    +p2033
    +tp2034
    +a(g7
    +V
    +tp2042
    +a(g132
    +VSTRONG_YES
    +p2043
    +tp2044
    +a(g7
    +V
    +p2045
    +tp2046
    +a(g132
    +V 
    +tp2047
    +a(g7
    +V
    +tp2055
    +a(g132
    +V=
    +tp2056
    +a(g7
    +V
    +p2057
    +tp2058
    +a(g132
    +V 
    +tp2059
    +a(g7
    +V
    +tp2067
    +a(g132
    +V2
    +tp2068
    +a(g7
    +V
    +p2069
    +tp2070
    +a(g132
    +V\u000a\u000a    
    +p2071
    +tp2072
    +a(g7
    +V
    +tp2080
    +a(g132
    +Vdef 
    +p2081
    +tp2082
    +a(g7
    +V
    +p2083
    +tp2084
    +a(g7
    +V
    +tp2092
    +a(g132
    +V__init__
    +p2093
    +tp2094
    +a(g7
    +V
    +p2095
    +tp2096
    +a(g132
    +V(
    +tp2097
    +a(g7
    +V
    +tp2105
    +a(g132
    +Vself
    +p2106
    +tp2107
    +a(g7
    +V
    +p2108
    +tp2109
    +a(g132
    +V, 
    +p2110
    +tp2111
    +a(g7
    +V
    +tp2119
    +a(g132
    +Vctx
    +p2120
    +tp2121
    +a(g7
    +V
    +p2122
    +tp2123
    +a(g132
    +V, 
    +p2124
    +tp2125
    +a(g7
    +V
    +tp2133
    +a(g132
    +Vsubject
    +p2134
    +tp2135
    +a(g7
    +V
    +p2136
    +tp2137
    +a(g132
    +V):\u000a        
    +p2138
    +tp2139
    +a(g7
    +V
    +tp2147
    +a(g132
    +Vself
    +p2148
    +tp2149
    +a(g7
    +V
    +p2150
    +tp2151
    +a(g132
    +V.
    +tp2152
    +a(g7
    +V
    +tp2160
    +a(g132
    +Vctx
    +p2161
    +tp2162
    +a(g7
    +V
    +p2163
    +tp2164
    +a(g132
    +V 
    +tp2165
    +a(g7
    +V
    +tp2173
    +a(g132
    +V=
    +tp2174
    +a(g7
    +V
    +p2175
    +tp2176
    +a(g132
    +V 
    +tp2177
    +a(g7
    +V
    +tp2185
    +a(g132
    +Vctx
    +p2186
    +tp2187
    +a(g7
    +V
    +p2188
    +tp2189
    +a(g132
    +V\u000a\u000a        
    +p2190
    +tp2191
    +a(g7
    +V
    +tp2199
    +a(g132
    +Vself
    +p2200
    +tp2201
    +a(g7
    +V
    +p2202
    +tp2203
    +a(g132
    +V.
    +tp2204
    +a(g7
    +V
    +tp2212
    +a(g132
    +Vsubject
    +p2213
    +tp2214
    +a(g7
    +V
    +p2215
    +tp2216
    +a(g132
    +V 
    +tp2217
    +a(g7
    +V
    +tp2225
    +a(g132
    +V=
    +tp2226
    +a(g7
    +V
    +p2227
    +tp2228
    +a(g132
    +V 
    +tp2229
    +a(g7
    +V
    +tp2237
    +a(g132
    +Vsubject
    +p2238
    +tp2239
    +a(g7
    +V
    +p2240
    +tp2241
    +a(g132
    +V\u000a        
    +p2242
    +tp2243
    +a(g7
    +V
    +tp2251
    +a(g132
    +Vif
    +p2252
    +tp2253
    +a(g7
    +V
    +p2254
    +tp2255
    +a(g132
    +V 
    +tp2256
    +a(g7
    +V
    +tp2264
    +a(g132
    +Visinstance
    +p2265
    +tp2266
    +a(g7
    +V
    +p2267
    +tp2268
    +a(g132
    +V(
    +tp2269
    +a(g7
    +V
    +tp2277
    +a(g132
    +Vsubject
    +p2278
    +tp2279
    +a(g7
    +V
    +p2280
    +tp2281
    +a(g132
    +V, 
    +p2282
    +tp2283
    +a(g7
    +V
    +tp2291
    +a(g132
    +VUser
    +p2292
    +tp2293
    +a(g7
    +V
    +p2294
    +tp2295
    +a(g132
    +V):\u000a            
    +p2296
    +tp2297
    +a(g7
    +V
    +tp2305
    +a(g132
    +Vself
    +p2306
    +tp2307
    +a(g7
    +V
    +p2308
    +tp2309
    +a(g132
    +V.
    +tp2310
    +a(g7
    +V
    +tp2318
    +a(g132
    +V_type
    +p2319
    +tp2320
    +a(g7
    +V
    +p2321
    +tp2322
    +a(g132
    +V 
    +tp2323
    +a(g7
    +V
    +tp2331
    +a(g132
    +V=
    +tp2332
    +a(g7
    +V
    +p2333
    +tp2334
    +a(g132
    +V 
    +tp2335
    +a(g7
    +V
    +tp2343
    +a(g313
    +V'
    +p2344
    +tp2345
    +a(g7
    +V
    +p2346
    +tp2347
    +a(g7
    +V
    +tp2355
    +a(g132
    +Vuser
    +p2356
    +tp2357
    +a(g7
    +V
    +p2358
    +tp2359
    +a(g7
    +V
    +tp2367
    +a(g313
    +V'
    +p2368
    +tp2369
    +a(g7
    +V
    +p2370
    +tp2371
    +a(g132
    +V\u000a\u000a        
    +p2372
    +tp2373
    +a(g7
    +V
    +tp2381
    +a(g132
    +Velif
    +p2382
    +tp2383
    +a(g7
    +V
    +p2384
    +tp2385
    +a(g132
    +V 
    +tp2386
    +a(g7
    +V
    +tp2394
    +a(g132
    +Visinstance
    +p2395
    +tp2396
    +a(g7
    +V
    +p2397
    +tp2398
    +a(g132
    +V(
    +tp2399
    +a(g7
    +V
    +tp2407
    +a(g132
    +Vsubject
    +p2408
    +tp2409
    +a(g7
    +V
    +p2410
    +tp2411
    +a(g132
    +V, 
    +p2412
    +tp2413
    +a(g7
    +V
    +tp2421
    +a(g132
    +VGroup
    +p2422
    +tp2423
    +a(g7
    +V
    +p2424
    +tp2425
    +a(g132
    +V):\u000a            
    +p2426
    +tp2427
    +a(g7
    +V
    +tp2435
    +a(g132
    +Vself
    +p2436
    +tp2437
    +a(g7
    +V
    +p2438
    +tp2439
    +a(g132
    +V.
    +tp2440
    +a(g7
    +V
    +tp2448
    +a(g132
    +V_type
    +p2449
    +tp2450
    +a(g7
    +V
    +p2451
    +tp2452
    +a(g132
    +V 
    +tp2453
    +a(g7
    +V
    +tp2461
    +a(g132
    +V=
    +tp2462
    +a(g7
    +V
    +p2463
    +tp2464
    +a(g132
    +V 
    +tp2465
    +a(g7
    +V
    +tp2473
    +a(g313
    +V'
    +p2474
    +tp2475
    +a(g7
    +V
    +p2476
    +tp2477
    +a(g7
    +V
    +tp2485
    +a(g132
    +Vgroup
    +p2486
    +tp2487
    +a(g7
    +V
    +p2488
    +tp2489
    +a(g7
    +V
    +tp2497
    +a(g313
    +V'
    +p2498
    +tp2499
    +a(g7
    +V
    +p2500
    +tp2501
    +a(g132
    +V\u000a\u000a        
    +p2502
    +tp2503
    +a(g7
    +V
    +tp2511
    +a(g132
    +Velse
    +p2512
    +tp2513
    +a(g7
    +V
    +p2514
    +tp2515
    +a(g132
    +V:\u000a            
    +p2516
    +tp2517
    +a(g7
    +V
    +tp2525
    +a(g132
    +Vraise
    +p2526
    +tp2527
    +a(g7
    +V
    +p2528
    +tp2529
    +a(g132
    +V 
    +tp2530
    +a(g7
    +V
    +tp2538
    +a(g132
    +VValueError
    +p2539
    +tp2540
    +a(g7
    +V
    +p2541
    +tp2542
    +a(g132
    +V(
    +tp2543
    +a(g7
    +V
    +tp2551
    +a(g313
    +V'
    +p2552
    +tp2553
    +a(g7
    +V
    +p2554
    +tp2555
    +a(g7
    +V
    +tp2563
    +a(g132
    +Vneither user or group specified
    +p2564
    +tp2565
    +a(g7
    +V
    +p2566
    +tp2567
    +a(g7
    +V
    +tp2575
    +a(g313
    +V'
    +p2576
    +tp2577
    +a(g7
    +V
    +p2578
    +tp2579
    +a(g132
    +V)\u000a\u000a    
    +p2580
    +tp2581
    +a(g7
    +V
    +tp2589
    +a(g132
    +Vdef 
    +p2590
    +tp2591
    +a(g7
    +V
    +p2592
    +tp2593
    +a(g7
    +V
    +tp2601
    +a(g132
    +Vallow
    +p2602
    +tp2603
    +a(g7
    +V
    +p2604
    +tp2605
    +a(g132
    +V(
    +tp2606
    +a(g7
    +V
    +tp2614
    +a(g132
    +Vself
    +p2615
    +tp2616
    +a(g7
    +V
    +p2617
    +tp2618
    +a(g132
    +V, 
    +p2619
    +tp2620
    +a(g7
    +V
    +tp2628
    +a(g132
    +Vprivilege
    +p2629
    +tp2630
    +a(g7
    +V
    +p2631
    +tp2632
    +a(g132
    +V, 
    +p2633
    +tp2634
    +a(g7
    +V
    +tp2642
    +a(g132
    +Vobj
    +p2643
    +tp2644
    +a(g7
    +V
    +p2645
    +tp2646
    +a(g132
    +V, 
    +p2647
    +tp2648
    +a(g7
    +V
    +tp2656
    +a(g132
    +Vforce
    +p2657
    +tp2658
    +a(g7
    +V
    +p2659
    +tp2660
    +a(g7
    +V
    +tp2668
    +a(g132
    +V=
    +tp2669
    +a(g7
    +V
    +p2670
    +tp2671
    +a(g7
    +V
    +tp2679
    +a(g132
    +VFalse
    +p2680
    +tp2681
    +a(g7
    +V
    +p2682
    +tp2683
    +a(g132
    +V):\u000a        
    +p2684
    +tp2685
    +a(g7
    +V
    +tp2693
    +a(g313
    +V"
    +p2694
    +tp2695
    +a(g313
    +V"
    +p2696
    +tp2697
    +a(g313
    +V"
    +p2698
    +tp2699
    +a(g7
    +V
    +p2700
    +tp2701
    +a(g7
    +V
    +tp2709
    +a(g132
    +VAllows the subject privilege on obj.
    +p2710
    +tp2711
    +a(g7
    +V
    +p2712
    +tp2713
    +a(g7
    +V
    +tp2721
    +a(g313
    +V"
    +p2722
    +tp2723
    +a(g313
    +V"
    +p2724
    +tp2725
    +a(g313
    +V"
    +p2726
    +tp2727
    +a(g7
    +V
    +p2728
    +tp2729
    +a(g132
    +V\u000a\u000a        
    +p2730
    +tp2731
    +a(g7
    +V
    +tp2739
    +a(g132
    +Vreturn
    +p2740
    +tp2741
    +a(g7
    +V
    +p2742
    +tp2743
    +a(g132
    +V 
    +tp2744
    +a(g7
    +V
    +tp2752
    +a(g132
    +Vself
    +p2753
    +tp2754
    +a(g7
    +V
    +p2755
    +tp2756
    +a(g132
    +V.
    +tp2757
    +a(g7
    +V
    +tp2765
    +a(g132
    +V_set
    +p2766
    +tp2767
    +a(g7
    +V
    +p2768
    +tp2769
    +a(g132
    +V(
    +tp2770
    +a(g7
    +V
    +tp2778
    +a(g132
    +Vprivilege
    +p2779
    +tp2780
    +a(g7
    +V
    +p2781
    +tp2782
    +a(g132
    +V, 
    +p2783
    +tp2784
    +a(g7
    +V
    +tp2792
    +a(g132
    +Vobj
    +p2793
    +tp2794
    +a(g7
    +V
    +p2795
    +tp2796
    +a(g132
    +V, 
    +p2797
    +tp2798
    +a(g7
    +V
    +tp2806
    +a(g132
    +V1
    +tp2807
    +a(g7
    +V
    +p2808
    +tp2809
    +a(g132
    +V 
    +tp2810
    +a(g7
    +V
    +tp2818
    +a(g132
    +V+
    +tp2819
    +a(g7
    +V
    +p2820
    +tp2821
    +a(g132
    +V 
    +tp2822
    +a(g7
    +V
    +tp2830
    +a(g132
    +Vbool
    +p2831
    +tp2832
    +a(g7
    +V
    +p2833
    +tp2834
    +a(g132
    +V(
    +tp2835
    +a(g7
    +V
    +tp2843
    +a(g132
    +Vforce
    +p2844
    +tp2845
    +a(g7
    +V
    +p2846
    +tp2847
    +a(g132
    +V))\u000a\u000a    
    +p2848
    +tp2849
    +a(g7
    +V
    +tp2857
    +a(g132
    +Vdef 
    +p2858
    +tp2859
    +a(g7
    +V
    +p2860
    +tp2861
    +a(g7
    +V
    +tp2869
    +a(g132
    +Vdefault
    +p2870
    +tp2871
    +a(g7
    +V
    +p2872
    +tp2873
    +a(g132
    +V(
    +tp2874
    +a(g7
    +V
    +tp2882
    +a(g132
    +Vself
    +p2883
    +tp2884
    +a(g7
    +V
    +p2885
    +tp2886
    +a(g132
    +V, 
    +p2887
    +tp2888
    +a(g7
    +V
    +tp2896
    +a(g132
    +Vprivilege
    +p2897
    +tp2898
    +a(g7
    +V
    +p2899
    +tp2900
    +a(g132
    +V, 
    +p2901
    +tp2902
    +a(g7
    +V
    +tp2910
    +a(g132
    +Vobj
    +p2911
    +tp2912
    +a(g7
    +V
    +p2913
    +tp2914
    +a(g132
    +V):\u000a        
    +p2915
    +tp2916
    +a(g7
    +V
    +tp2924
    +a(g313
    +V"
    +p2925
    +tp2926
    +a(g313
    +V"
    +p2927
    +tp2928
    +a(g313
    +V"
    +p2929
    +tp2930
    +a(g7
    +V
    +p2931
    +tp2932
    +a(g7
    +V
    +tp2940
    +a(g132
    +VSets the state for privilege on obj back to weak yes.
    +p2941
    +tp2942
    +a(g7
    +V
    +p2943
    +tp2944
    +a(g7
    +V
    +tp2952
    +a(g313
    +V"
    +p2953
    +tp2954
    +a(g313
    +V"
    +p2955
    +tp2956
    +a(g313
    +V"
    +p2957
    +tp2958
    +a(g7
    +V
    +p2959
    +tp2960
    +a(g132
    +V\u000a\u000a        
    +p2961
    +tp2962
    +a(g7
    +V
    +tp2970
    +a(g132
    +Vreturn
    +p2971
    +tp2972
    +a(g7
    +V
    +p2973
    +tp2974
    +a(g132
    +V 
    +tp2975
    +a(g7
    +V
    +tp2983
    +a(g132
    +Vself
    +p2984
    +tp2985
    +a(g7
    +V
    +p2986
    +tp2987
    +a(g132
    +V.
    +tp2988
    +a(g7
    +V
    +tp2996
    +a(g132
    +V_set
    +p2997
    +tp2998
    +a(g7
    +V
    +p2999
    +tp3000
    +a(g132
    +V(
    +tp3001
    +a(g7
    +V
    +tp3009
    +a(g132
    +Vprivilege
    +p3010
    +tp3011
    +a(g7
    +V
    +p3012
    +tp3013
    +a(g132
    +V, 
    +p3014
    +tp3015
    +a(g7
    +V
    +tp3023
    +a(g132
    +Vobj
    +p3024
    +tp3025
    +a(g7
    +V
    +p3026
    +tp3027
    +a(g132
    +V, 
    +p3028
    +tp3029
    +a(g7
    +V
    +tp3037
    +a(g132
    +V0
    +tp3038
    +a(g7
    +V
    +p3039
    +tp3040
    +a(g132
    +V)\u000a\u000a    
    +p3041
    +tp3042
    +a(g7
    +V
    +tp3050
    +a(g132
    +Vdef 
    +p3051
    +tp3052
    +a(g7
    +V
    +p3053
    +tp3054
    +a(g7
    +V
    +tp3062
    +a(g132
    +Vdeny
    +p3063
    +tp3064
    +a(g7
    +V
    +p3065
    +tp3066
    +a(g132
    +V(
    +tp3067
    +a(g7
    +V
    +tp3075
    +a(g132
    +Vself
    +p3076
    +tp3077
    +a(g7
    +V
    +p3078
    +tp3079
    +a(g132
    +V, 
    +p3080
    +tp3081
    +a(g7
    +V
    +tp3089
    +a(g132
    +Vprivilege
    +p3090
    +tp3091
    +a(g7
    +V
    +p3092
    +tp3093
    +a(g132
    +V, 
    +p3094
    +tp3095
    +a(g7
    +V
    +tp3103
    +a(g132
    +Vobj
    +p3104
    +tp3105
    +a(g7
    +V
    +p3106
    +tp3107
    +a(g132
    +V, 
    +p3108
    +tp3109
    +a(g7
    +V
    +tp3117
    +a(g132
    +Vforce
    +p3118
    +tp3119
    +a(g7
    +V
    +p3120
    +tp3121
    +a(g7
    +V
    +tp3129
    +a(g132
    +V=
    +tp3130
    +a(g7
    +V
    +p3131
    +tp3132
    +a(g7
    +V
    +tp3140
    +a(g132
    +VFalse
    +p3141
    +tp3142
    +a(g7
    +V
    +p3143
    +tp3144
    +a(g132
    +V):\u000a        
    +p3145
    +tp3146
    +a(g7
    +V
    +tp3154
    +a(g313
    +V"
    +p3155
    +tp3156
    +a(g313
    +V"
    +p3157
    +tp3158
    +a(g313
    +V"
    +p3159
    +tp3160
    +a(g7
    +V
    +p3161
    +tp3162
    +a(g7
    +V
    +tp3170
    +a(g132
    +VDenies the subject privilege on obj.
    +p3171
    +tp3172
    +a(g7
    +V
    +p3173
    +tp3174
    +a(g7
    +V
    +tp3182
    +a(g313
    +V"
    +p3183
    +tp3184
    +a(g313
    +V"
    +p3185
    +tp3186
    +a(g313
    +V"
    +p3187
    +tp3188
    +a(g7
    +V
    +p3189
    +tp3190
    +a(g132
    +V\u000a\u000a        
    +p3191
    +tp3192
    +a(g7
    +V
    +tp3200
    +a(g132
    +Vreturn
    +p3201
    +tp3202
    +a(g7
    +V
    +p3203
    +tp3204
    +a(g132
    +V 
    +tp3205
    +a(g7
    +V
    +tp3213
    +a(g132
    +Vself
    +p3214
    +tp3215
    +a(g7
    +V
    +p3216
    +tp3217
    +a(g132
    +V.
    +tp3218
    +a(g7
    +V
    +tp3226
    +a(g132
    +V_set
    +p3227
    +tp3228
    +a(g7
    +V
    +p3229
    +tp3230
    +a(g132
    +V(
    +tp3231
    +a(g7
    +V
    +tp3239
    +a(g132
    +Vprivilege
    +p3240
    +tp3241
    +a(g7
    +V
    +p3242
    +tp3243
    +a(g132
    +V, 
    +p3244
    +tp3245
    +a(g7
    +V
    +tp3253
    +a(g132
    +Vobj
    +p3254
    +tp3255
    +a(g7
    +V
    +p3256
    +tp3257
    +a(g132
    +V, 
    +p3258
    +tp3259
    +a(g7
    +V
    +tp3267
    +a(g132
    +V-
    +tp3268
    +a(g7
    +V
    +p3269
    +tp3270
    +a(g7
    +V
    +tp3278
    +a(g132
    +V1
    +tp3279
    +a(g7
    +V
    +p3280
    +tp3281
    +a(g132
    +V 
    +tp3282
    +a(g7
    +V
    +tp3290
    +a(g132
    +V-
    +tp3291
    +a(g7
    +V
    +p3292
    +tp3293
    +a(g132
    +V 
    +tp3294
    +a(g7
    +V
    +tp3302
    +a(g132
    +Vbool
    +p3303
    +tp3304
    +a(g7
    +V
    +p3305
    +tp3306
    +a(g132
    +V(
    +tp3307
    +a(g7
    +V
    +tp3315
    +a(g132
    +Vforce
    +p3316
    +tp3317
    +a(g7
    +V
    +p3318
    +tp3319
    +a(g132
    +V))\u000a\u000a    
    +p3320
    +tp3321
    +a(g7
    +V
    +tp3329
    +a(g132
    +Vdef 
    +p3330
    +tp3331
    +a(g7
    +V
    +p3332
    +tp3333
    +a(g7
    +V
    +tp3341
    +a(g132
    +Vcan_access
    +p3342
    +tp3343
    +a(g7
    +V
    +p3344
    +tp3345
    +a(g132
    +V(
    +tp3346
    +a(g7
    +V
    +tp3354
    +a(g132
    +Vself
    +p3355
    +tp3356
    +a(g7
    +V
    +p3357
    +tp3358
    +a(g132
    +V, 
    +p3359
    +tp3360
    +a(g7
    +V
    +tp3368
    +a(g132
    +Vprivilege
    +p3369
    +tp3370
    +a(g7
    +V
    +p3371
    +tp3372
    +a(g132
    +V, 
    +p3373
    +tp3374
    +a(g7
    +V
    +tp3382
    +a(g132
    +Vobj
    +p3383
    +tp3384
    +a(g7
    +V
    +p3385
    +tp3386
    +a(g132
    +V):\u000a        
    +p3387
    +tp3388
    +a(g7
    +V
    +tp3396
    +a(g313
    +V"
    +p3397
    +tp3398
    +a(g313
    +V"
    +p3399
    +tp3400
    +a(g313
    +V"
    +p3401
    +tp3402
    +a(g7
    +V
    +p3403
    +tp3404
    +a(g7
    +V
    +tp3412
    +a(g132
    +VChecks if the current subject with the required privilege\u000a        somehow. Either directly or when the subject is a user and\u000a        one of its groups can access it.
    +p3413
    +tp3414
    +a(g7
    +V
    +p3415
    +tp3416
    +a(g7
    +V
    +tp3424
    +a(g313
    +V"
    +p3425
    +tp3426
    +a(g313
    +V"
    +p3427
    +tp3428
    +a(g313
    +V"
    +p3429
    +tp3430
    +a(g7
    +V
    +p3431
    +tp3432
    +a(g132
    +V\u000a\u000a        
    +p3433
    +tp3434
    +a(g7
    +V
    +tp3442
    +a(g132
    +V#XXX: maybe this could be one big query instead of 4
    +p3443
    +tp3444
    +a(g7
    +V
    +p3445
    +tp3446
    +a(g132
    +V\u000a        
    +p3447
    +tp3448
    +a(g7
    +V
    +tp3456
    +a(g132
    +V#XXX: this currently does not work correctly, therefore return True
    +p3457
    +tp3458
    +a(g7
    +V
    +p3459
    +tp3460
    +a(g132
    +V\u000a        
    +p3461
    +tp3462
    +a(g7
    +V
    +tp3470
    +a(g132
    +Vreturn
    +p3471
    +tp3472
    +a(g7
    +V
    +p3473
    +tp3474
    +a(g132
    +V 
    +tp3475
    +a(g7
    +V
    +tp3483
    +a(g132
    +VTrue
    +p3484
    +tp3485
    +a(g7
    +V
    +p3486
    +tp3487
    +a(g132
    +V\u000a\u000a        
    +p3488
    +tp3489
    +a(g7
    +V
    +tp3497
    +a(g132
    +Vif
    +p3498
    +tp3499
    +a(g7
    +V
    +p3500
    +tp3501
    +a(g132
    +V 
    +tp3502
    +a(g7
    +V
    +tp3510
    +a(g132
    +Vnot
    +p3511
    +tp3512
    +a(g7
    +V
    +p3513
    +tp3514
    +a(g132
    +V 
    +tp3515
    +a(g7
    +V
    +tp3523
    +a(g132
    +Visinstance
    +p3524
    +tp3525
    +a(g7
    +V
    +p3526
    +tp3527
    +a(g132
    +V(
    +tp3528
    +a(g7
    +V
    +tp3536
    +a(g132
    +Vobj
    +p3537
    +tp3538
    +a(g7
    +V
    +p3539
    +tp3540
    +a(g132
    +V, (
    +p3541
    +tp3542
    +a(g7
    +V
    +tp3550
    +a(g132
    +VForum
    +p3551
    +tp3552
    +a(g7
    +V
    +p3553
    +tp3554
    +a(g132
    +V, 
    +p3555
    +tp3556
    +a(g7
    +V
    +tp3564
    +a(g132
    +VThread
    +p3565
    +tp3566
    +a(g7
    +V
    +p3567
    +tp3568
    +a(g132
    +V, 
    +p3569
    +tp3570
    +a(g7
    +V
    +tp3578
    +a(g132
    +VSite
    +p3579
    +tp3580
    +a(g7
    +V
    +p3581
    +tp3582
    +a(g132
    +V.
    +tp3583
    +a(g7
    +V
    +tp3591
    +a(g132
    +V__class__
    +p3592
    +tp3593
    +a(g7
    +V
    +p3594
    +tp3595
    +a(g132
    +V)):\u000a            
    +p3596
    +tp3597
    +a(g7
    +V
    +tp3605
    +a(g132
    +Vraise
    +p3606
    +tp3607
    +a(g7
    +V
    +p3608
    +tp3609
    +a(g132
    +V 
    +tp3610
    +a(g7
    +V
    +tp3618
    +a(g132
    +VTypeError
    +p3619
    +tp3620
    +a(g7
    +V
    +p3621
    +tp3622
    +a(g132
    +V(
    +tp3623
    +a(g7
    +V
    +tp3631
    +a(g313
    +V'
    +p3632
    +tp3633
    +a(g7
    +V
    +p3634
    +tp3635
    +a(g7
    +V
    +tp3643
    +a(g132
    +Vobj must be a forum, thread or site
    +p3644
    +tp3645
    +a(g7
    +V
    +p3646
    +tp3647
    +a(g7
    +V
    +tp3655
    +a(g313
    +V'
    +p3656
    +tp3657
    +a(g7
    +V
    +p3658
    +tp3659
    +a(g132
    +V)\u000a        
    +p3660
    +tp3661
    +a(g7
    +V
    +tp3669
    +a(g132
    +Vprivilege
    +p3670
    +tp3671
    +a(g7
    +V
    +p3672
    +tp3673
    +a(g132
    +V 
    +tp3674
    +a(g7
    +V
    +tp3682
    +a(g132
    +V=
    +tp3683
    +a(g7
    +V
    +p3684
    +tp3685
    +a(g132
    +V 
    +tp3686
    +a(g7
    +V
    +tp3694
    +a(g132
    +Vprivilege
    +p3695
    +tp3696
    +a(g7
    +V
    +p3697
    +tp3698
    +a(g132
    +V.
    +tp3699
    +a(g7
    +V
    +tp3707
    +a(g132
    +Vupper
    +p3708
    +tp3709
    +a(g7
    +V
    +p3710
    +tp3711
    +a(g132
    +V()\u000a        
    +p3712
    +tp3713
    +a(g7
    +V
    +tp3721
    +a(g132
    +Vs
    +tp3722
    +a(g7
    +V
    +p3723
    +tp3724
    +a(g132
    +V 
    +tp3725
    +a(g7
    +V
    +tp3733
    +a(g132
    +V=
    +tp3734
    +a(g7
    +V
    +p3735
    +tp3736
    +a(g132
    +V 
    +tp3737
    +a(g7
    +V
    +tp3745
    +a(g132
    +Vself
    +p3746
    +tp3747
    +a(g7
    +V
    +p3748
    +tp3749
    +a(g132
    +V.
    +tp3750
    +a(g7
    +V
    +tp3758
    +a(g132
    +V_get_subject_join
    +p3759
    +tp3760
    +a(g7
    +V
    +p3761
    +tp3762
    +a(g132
    +V().
    +p3763
    +tp3764
    +a(g7
    +V
    +tp3772
    +a(g132
    +Valias
    +p3773
    +tp3774
    +a(g7
    +V
    +p3775
    +tp3776
    +a(g132
    +V(
    +tp3777
    +a(g7
    +V
    +tp3785
    +a(g313
    +V'
    +p3786
    +tp3787
    +a(g7
    +V
    +p3788
    +tp3789
    +a(g7
    +V
    +tp3797
    +a(g132
    +Vs
    +tp3798
    +a(g7
    +V
    +p3799
    +tp3800
    +a(g7
    +V
    +tp3808
    +a(g313
    +V'
    +p3809
    +tp3810
    +a(g7
    +V
    +p3811
    +tp3812
    +a(g132
    +V).
    +p3813
    +tp3814
    +a(g7
    +V
    +tp3822
    +a(g132
    +Vc
    +tp3823
    +a(g7
    +V
    +p3824
    +tp3825
    +a(g132
    +V\u000a\u000a        
    +p3826
    +tp3827
    +a(g7
    +V
    +tp3835
    +a(g132
    +Vdef 
    +p3836
    +tp3837
    +a(g7
    +V
    +p3838
    +tp3839
    +a(g7
    +V
    +tp3847
    +a(g132
    +Vdo_check
    +p3848
    +tp3849
    +a(g7
    +V
    +p3850
    +tp3851
    +a(g132
    +V(
    +tp3852
    +a(g7
    +V
    +tp3860
    +a(g132
    +Vobj
    +p3861
    +tp3862
    +a(g7
    +V
    +p3863
    +tp3864
    +a(g132
    +V, 
    +p3865
    +tp3866
    +a(g7
    +V
    +tp3874
    +a(g132
    +Vtendency
    +p3875
    +tp3876
    +a(g7
    +V
    +p3877
    +tp3878
    +a(g132
    +V):\u000a            
    +p3879
    +tp3880
    +a(g7
    +V
    +tp3888
    +a(g132
    +Vdb
    +p3889
    +tp3890
    +a(g7
    +V
    +p3891
    +tp3892
    +a(g132
    +V 
    +tp3893
    +a(g7
    +V
    +tp3901
    +a(g132
    +V=
    +tp3902
    +a(g7
    +V
    +p3903
    +tp3904
    +a(g132
    +V 
    +tp3905
    +a(g7
    +V
    +tp3913
    +a(g132
    +Vself
    +p3914
    +tp3915
    +a(g7
    +V
    +p3916
    +tp3917
    +a(g132
    +V.
    +tp3918
    +a(g7
    +V
    +tp3926
    +a(g132
    +Vctx
    +p3927
    +tp3928
    +a(g7
    +V
    +p3929
    +tp3930
    +a(g132
    +V.
    +tp3931
    +a(g7
    +V
    +tp3939
    +a(g132
    +Vengine
    +p3940
    +tp3941
    +a(g7
    +V
    +p3942
    +tp3943
    +a(g132
    +V\u000a\u000a            
    +p3944
    +tp3945
    +a(g7
    +V
    +tp3953
    +a(g132
    +Vo
    +tp3954
    +a(g7
    +V
    +p3955
    +tp3956
    +a(g132
    +V 
    +tp3957
    +a(g7
    +V
    +tp3965
    +a(g132
    +V=
    +tp3966
    +a(g7
    +V
    +p3967
    +tp3968
    +a(g132
    +V 
    +tp3969
    +a(g7
    +V
    +tp3977
    +a(g132
    +Vself
    +p3978
    +tp3979
    +a(g7
    +V
    +p3980
    +tp3981
    +a(g132
    +V.
    +tp3982
    +a(g7
    +V
    +tp3990
    +a(g132
    +V_get_object_join
    +p3991
    +tp3992
    +a(g7
    +V
    +p3993
    +tp3994
    +a(g132
    +V(
    +tp3995
    +a(g7
    +V
    +tp4003
    +a(g132
    +Vobj
    +p4004
    +tp4005
    +a(g7
    +V
    +p4006
    +tp4007
    +a(g132
    +V).
    +p4008
    +tp4009
    +a(g7
    +V
    +tp4017
    +a(g132
    +Valias
    +p4018
    +tp4019
    +a(g7
    +V
    +p4020
    +tp4021
    +a(g132
    +V(
    +tp4022
    +a(g7
    +V
    +tp4030
    +a(g313
    +V'
    +p4031
    +tp4032
    +a(g7
    +V
    +p4033
    +tp4034
    +a(g7
    +V
    +tp4042
    +a(g132
    +Vo
    +tp4043
    +a(g7
    +V
    +p4044
    +tp4045
    +a(g7
    +V
    +tp4053
    +a(g313
    +V'
    +p4054
    +tp4055
    +a(g7
    +V
    +p4056
    +tp4057
    +a(g132
    +V).
    +p4058
    +tp4059
    +a(g7
    +V
    +tp4067
    +a(g132
    +Vc
    +tp4068
    +a(g7
    +V
    +p4069
    +tp4070
    +a(g132
    +V\u000a\u000a            
    +p4071
    +tp4072
    +a(g7
    +V
    +tp4080
    +a(g132
    +V# self check
    +p4081
    +tp4082
    +a(g7
    +V
    +p4083
    +tp4084
    +a(g132
    +V\u000a            
    +p4085
    +tp4086
    +a(g7
    +V
    +tp4094
    +a(g132
    +Vr
    +tp4095
    +a(g7
    +V
    +p4096
    +tp4097
    +a(g132
    +V 
    +tp4098
    +a(g7
    +V
    +tp4106
    +a(g132
    +V=
    +tp4107
    +a(g7
    +V
    +p4108
    +tp4109
    +a(g132
    +V 
    +tp4110
    +a(g7
    +V
    +tp4118
    +a(g132
    +Vdb
    +p4119
    +tp4120
    +a(g7
    +V
    +p4121
    +tp4122
    +a(g132
    +V.
    +tp4123
    +a(g7
    +V
    +tp4131
    +a(g132
    +Vexecute
    +p4132
    +tp4133
    +a(g7
    +V
    +p4134
    +tp4135
    +a(g132
    +V(
    +tp4136
    +a(g7
    +V
    +tp4144
    +a(g132
    +Vmeta
    +p4145
    +tp4146
    +a(g7
    +V
    +p4147
    +tp4148
    +a(g132
    +V.
    +tp4149
    +a(g7
    +V
    +tp4157
    +a(g132
    +Vselect
    +p4158
    +tp4159
    +a(g7
    +V
    +p4160
    +tp4161
    +a(g132
    +V([
    +p4162
    +tp4163
    +a(g7
    +V
    +tp4171
    +a(g132
    +Vacl_mapping
    +p4172
    +tp4173
    +a(g7
    +V
    +p4174
    +tp4175
    +a(g132
    +V.
    +tp4176
    +a(g7
    +V
    +tp4184
    +a(g132
    +Vc
    +tp4185
    +a(g7
    +V
    +p4186
    +tp4187
    +a(g132
    +V.
    +tp4188
    +a(g7
    +V
    +tp4196
    +a(g132
    +Vstate
    +p4197
    +tp4198
    +a(g7
    +V
    +p4199
    +tp4200
    +a(g132
    +V],\u000a                (
    +p4201
    +tp4202
    +a(g7
    +V
    +tp4210
    +a(g132
    +Vacl_mapping
    +p4211
    +tp4212
    +a(g7
    +V
    +p4213
    +tp4214
    +a(g132
    +V.
    +tp4215
    +a(g7
    +V
    +tp4223
    +a(g132
    +Vc
    +tp4224
    +a(g7
    +V
    +p4225
    +tp4226
    +a(g132
    +V.
    +tp4227
    +a(g7
    +V
    +tp4235
    +a(g132
    +Vpriv_id
    +p4236
    +tp4237
    +a(g7
    +V
    +p4238
    +tp4239
    +a(g132
    +V 
    +tp4240
    +a(g7
    +V
    +tp4248
    +a(g132
    +V==
    +p4249
    +tp4250
    +a(g7
    +V
    +p4251
    +tp4252
    +a(g132
    +V 
    +tp4253
    +a(g7
    +V
    +tp4261
    +a(g132
    +Vprivileges
    +p4262
    +tp4263
    +a(g7
    +V
    +p4264
    +tp4265
    +a(g132
    +V.
    +tp4266
    +a(g7
    +V
    +tp4274
    +a(g132
    +Vc
    +tp4275
    +a(g7
    +V
    +p4276
    +tp4277
    +a(g132
    +V.
    +tp4278
    +a(g7
    +V
    +tp4286
    +a(g132
    +Vpriv_id
    +p4287
    +tp4288
    +a(g7
    +V
    +p4289
    +tp4290
    +a(g132
    +V) 
    +p4291
    +tp4292
    +a(g7
    +V
    +tp4300
    +a(g313
    +V&
    +p4301
    +tp4302
    +a(g7
    +V
    +p4303
    +tp4304
    +a(g132
    +V\u000a\u000a                (
    +p4305
    +tp4306
    +a(g7
    +V
    +tp4314
    +a(g132
    +Vacl_mapping
    +p4315
    +tp4316
    +a(g7
    +V
    +p4317
    +tp4318
    +a(g132
    +V.
    +tp4319
    +a(g7
    +V
    +tp4327
    +a(g132
    +Vc
    +tp4328
    +a(g7
    +V
    +p4329
    +tp4330
    +a(g132
    +V.
    +tp4331
    +a(g7
    +V
    +tp4339
    +a(g132
    +Vsubject_id
    +p4340
    +tp4341
    +a(g7
    +V
    +p4342
    +tp4343
    +a(g132
    +V 
    +tp4344
    +a(g7
    +V
    +tp4352
    +a(g132
    +V==
    +p4353
    +tp4354
    +a(g7
    +V
    +p4355
    +tp4356
    +a(g132
    +V 
    +tp4357
    +a(g7
    +V
    +tp4365
    +a(g132
    +Vs
    +tp4366
    +a(g7
    +V
    +p4367
    +tp4368
    +a(g132
    +V.
    +tp4369
    +a(g7
    +V
    +tp4377
    +a(g132
    +Vsubject_id
    +p4378
    +tp4379
    +a(g7
    +V
    +p4380
    +tp4381
    +a(g132
    +V) 
    +p4382
    +tp4383
    +a(g7
    +V
    +tp4391
    +a(g313
    +V&
    +p4392
    +tp4393
    +a(g7
    +V
    +p4394
    +tp4395
    +a(g132
    +V\u000a                (
    +p4396
    +tp4397
    +a(g7
    +V
    +tp4405
    +a(g132
    +Vacl_mapping
    +p4406
    +tp4407
    +a(g7
    +V
    +p4408
    +tp4409
    +a(g132
    +V.
    +tp4410
    +a(g7
    +V
    +tp4418
    +a(g132
    +Vc
    +tp4419
    +a(g7
    +V
    +p4420
    +tp4421
    +a(g132
    +V.
    +tp4422
    +a(g7
    +V
    +tp4430
    +a(g132
    +Vobject_id
    +p4431
    +tp4432
    +a(g7
    +V
    +p4433
    +tp4434
    +a(g132
    +V 
    +tp4435
    +a(g7
    +V
    +tp4443
    +a(g132
    +V==
    +p4444
    +tp4445
    +a(g7
    +V
    +p4446
    +tp4447
    +a(g132
    +V 
    +tp4448
    +a(g7
    +V
    +tp4456
    +a(g132
    +Vo
    +tp4457
    +a(g7
    +V
    +p4458
    +tp4459
    +a(g132
    +V.
    +tp4460
    +a(g7
    +V
    +tp4468
    +a(g132
    +Vobject_id
    +p4469
    +tp4470
    +a(g7
    +V
    +p4471
    +tp4472
    +a(g132
    +V) 
    +p4473
    +tp4474
    +a(g7
    +V
    +tp4482
    +a(g313
    +V&
    +p4483
    +tp4484
    +a(g7
    +V
    +p4485
    +tp4486
    +a(g132
    +V\u000a\u000a                (
    +p4487
    +tp4488
    +a(g7
    +V
    +tp4496
    +a(g132
    +Vprivileges
    +p4497
    +tp4498
    +a(g7
    +V
    +p4499
    +tp4500
    +a(g132
    +V.
    +tp4501
    +a(g7
    +V
    +tp4509
    +a(g132
    +Vc
    +tp4510
    +a(g7
    +V
    +p4511
    +tp4512
    +a(g132
    +V.
    +tp4513
    +a(g7
    +V
    +tp4521
    +a(g132
    +Vname
    +p4522
    +tp4523
    +a(g7
    +V
    +p4524
    +tp4525
    +a(g132
    +V 
    +tp4526
    +a(g7
    +V
    +tp4534
    +a(g132
    +V==
    +p4535
    +tp4536
    +a(g7
    +V
    +p4537
    +tp4538
    +a(g132
    +V 
    +tp4539
    +a(g7
    +V
    +tp4547
    +a(g132
    +Vprivilege
    +p4548
    +tp4549
    +a(g7
    +V
    +p4550
    +tp4551
    +a(g132
    +V)\u000a            ))\u000a            
    +p4552
    +tp4553
    +a(g7
    +V
    +tp4561
    +a(g132
    +Vrow
    +p4562
    +tp4563
    +a(g7
    +V
    +p4564
    +tp4565
    +a(g132
    +V 
    +tp4566
    +a(g7
    +V
    +tp4574
    +a(g132
    +V=
    +tp4575
    +a(g7
    +V
    +p4576
    +tp4577
    +a(g132
    +V 
    +tp4578
    +a(g7
    +V
    +tp4586
    +a(g132
    +Vr
    +tp4587
    +a(g7
    +V
    +p4588
    +tp4589
    +a(g132
    +V.
    +tp4590
    +a(g7
    +V
    +tp4598
    +a(g132
    +Vfetchone
    +p4599
    +tp4600
    +a(g7
    +V
    +p4601
    +tp4602
    +a(g132
    +V()\u000a            
    +p4603
    +tp4604
    +a(g7
    +V
    +tp4612
    +a(g132
    +Vif
    +p4613
    +tp4614
    +a(g7
    +V
    +p4615
    +tp4616
    +a(g132
    +V 
    +tp4617
    +a(g7
    +V
    +tp4625
    +a(g132
    +Vrow
    +p4626
    +tp4627
    +a(g7
    +V
    +p4628
    +tp4629
    +a(g132
    +V 
    +tp4630
    +a(g7
    +V
    +tp4638
    +a(g132
    +Vis
    +p4639
    +tp4640
    +a(g7
    +V
    +p4641
    +tp4642
    +a(g132
    +V 
    +tp4643
    +a(g7
    +V
    +tp4651
    +a(g132
    +Vnot
    +p4652
    +tp4653
    +a(g7
    +V
    +p4654
    +tp4655
    +a(g132
    +V 
    +tp4656
    +a(g7
    +V
    +tp4664
    +a(g132
    +VNone
    +p4665
    +tp4666
    +a(g7
    +V
    +p4667
    +tp4668
    +a(g132
    +V:\u000a                
    +p4669
    +tp4670
    +a(g7
    +V
    +tp4678
    +a(g132
    +Vif
    +p4679
    +tp4680
    +a(g7
    +V
    +p4681
    +tp4682
    +a(g132
    +V 
    +tp4683
    +a(g7
    +V
    +tp4691
    +a(g132
    +Vrow
    +p4692
    +tp4693
    +a(g7
    +V
    +p4694
    +tp4695
    +a(g132
    +V[
    +tp4696
    +a(g7
    +V
    +tp4704
    +a(g313
    +V'
    +p4705
    +tp4706
    +a(g7
    +V
    +p4707
    +tp4708
    +a(g7
    +V
    +tp4716
    +a(g132
    +Vstate
    +p4717
    +tp4718
    +a(g7
    +V
    +p4719
    +tp4720
    +a(g7
    +V
    +tp4728
    +a(g313
    +V'
    +p4729
    +tp4730
    +a(g7
    +V
    +p4731
    +tp4732
    +a(g132
    +V] 
    +p4733
    +tp4734
    +a(g7
    +V
    +tp4742
    +a(g132
    +Vin
    +p4743
    +tp4744
    +a(g7
    +V
    +p4745
    +tp4746
    +a(g132
    +V (
    +p4747
    +tp4748
    +a(g7
    +V
    +tp4756
    +a(g132
    +Vself
    +p4757
    +tp4758
    +a(g7
    +V
    +p4759
    +tp4760
    +a(g132
    +V.
    +tp4761
    +a(g7
    +V
    +tp4769
    +a(g132
    +VSTRONG_NO
    +p4770
    +tp4771
    +a(g7
    +V
    +p4772
    +tp4773
    +a(g132
    +V, 
    +p4774
    +tp4775
    +a(g7
    +V
    +tp4783
    +a(g132
    +Vself
    +p4784
    +tp4785
    +a(g7
    +V
    +p4786
    +tp4787
    +a(g132
    +V.
    +tp4788
    +a(g7
    +V
    +tp4796
    +a(g132
    +VSTRONG_YES
    +p4797
    +tp4798
    +a(g7
    +V
    +p4799
    +tp4800
    +a(g132
    +V):\u000a                    
    +p4801
    +tp4802
    +a(g7
    +V
    +tp4810
    +a(g132
    +Vreturn
    +p4811
    +tp4812
    +a(g7
    +V
    +p4813
    +tp4814
    +a(g132
    +V 
    +tp4815
    +a(g7
    +V
    +tp4823
    +a(g132
    +Vrow
    +p4824
    +tp4825
    +a(g7
    +V
    +p4826
    +tp4827
    +a(g132
    +V[
    +tp4828
    +a(g7
    +V
    +tp4836
    +a(g313
    +V'
    +p4837
    +tp4838
    +a(g7
    +V
    +p4839
    +tp4840
    +a(g7
    +V
    +tp4848
    +a(g132
    +Vstate
    +p4849
    +tp4850
    +a(g7
    +V
    +p4851
    +tp4852
    +a(g7
    +V
    +tp4860
    +a(g313
    +V'
    +p4861
    +tp4862
    +a(g7
    +V
    +p4863
    +tp4864
    +a(g132
    +V] 
    +p4865
    +tp4866
    +a(g7
    +V
    +tp4874
    +a(g132
    +V==
    +p4875
    +tp4876
    +a(g7
    +V
    +p4877
    +tp4878
    +a(g132
    +V 
    +tp4879
    +a(g7
    +V
    +tp4887
    +a(g132
    +Vself
    +p4888
    +tp4889
    +a(g7
    +V
    +p4890
    +tp4891
    +a(g132
    +V.
    +tp4892
    +a(g7
    +V
    +tp4900
    +a(g132
    +VSTRONG_YES
    +p4901
    +tp4902
    +a(g7
    +V
    +p4903
    +tp4904
    +a(g132
    +V\u000a\u000a                
    +p4905
    +tp4906
    +a(g7
    +V
    +tp4914
    +a(g132
    +Vtendency
    +p4915
    +tp4916
    +a(g7
    +V
    +p4917
    +tp4918
    +a(g132
    +V 
    +tp4919
    +a(g7
    +V
    +tp4927
    +a(g132
    +V=
    +tp4928
    +a(g7
    +V
    +p4929
    +tp4930
    +a(g132
    +V 
    +tp4931
    +a(g7
    +V
    +tp4939
    +a(g132
    +Vrow
    +p4940
    +tp4941
    +a(g7
    +V
    +p4942
    +tp4943
    +a(g132
    +V[
    +tp4944
    +a(g7
    +V
    +tp4952
    +a(g313
    +V'
    +p4953
    +tp4954
    +a(g7
    +V
    +p4955
    +tp4956
    +a(g7
    +V
    +tp4964
    +a(g132
    +Vstate
    +p4965
    +tp4966
    +a(g7
    +V
    +p4967
    +tp4968
    +a(g7
    +V
    +tp4976
    +a(g313
    +V'
    +p4977
    +tp4978
    +a(g7
    +V
    +p4979
    +tp4980
    +a(g132
    +V]\u000a\u000a            
    +p4981
    +tp4982
    +a(g7
    +V
    +tp4990
    +a(g132
    +V# if the controlled subject is a user check all groups
    +p4991
    +tp4992
    +a(g7
    +V
    +p4993
    +tp4994
    +a(g132
    +V\u000a            
    +p4995
    +tp4996
    +a(g7
    +V
    +tp5004
    +a(g132
    +Vif
    +p5005
    +tp5006
    +a(g7
    +V
    +p5007
    +tp5008
    +a(g132
    +V 
    +tp5009
    +a(g7
    +V
    +tp5017
    +a(g132
    +Visinstance
    +p5018
    +tp5019
    +a(g7
    +V
    +p5020
    +tp5021
    +a(g132
    +V(
    +tp5022
    +a(g7
    +V
    +tp5030
    +a(g132
    +Vself
    +p5031
    +tp5032
    +a(g7
    +V
    +p5033
    +tp5034
    +a(g132
    +V.
    +tp5035
    +a(g7
    +V
    +tp5043
    +a(g132
    +Vsubject
    +p5044
    +tp5045
    +a(g7
    +V
    +p5046
    +tp5047
    +a(g132
    +V, 
    +p5048
    +tp5049
    +a(g7
    +V
    +tp5057
    +a(g132
    +VUser
    +p5058
    +tp5059
    +a(g7
    +V
    +p5060
    +tp5061
    +a(g132
    +V):\u000a                
    +p5062
    +tp5063
    +a(g7
    +V
    +tp5071
    +a(g132
    +Vr
    +tp5072
    +a(g7
    +V
    +p5073
    +tp5074
    +a(g132
    +V 
    +tp5075
    +a(g7
    +V
    +tp5083
    +a(g132
    +V=
    +tp5084
    +a(g7
    +V
    +p5085
    +tp5086
    +a(g132
    +V 
    +tp5087
    +a(g7
    +V
    +tp5095
    +a(g132
    +Vdb
    +p5096
    +tp5097
    +a(g7
    +V
    +p5098
    +tp5099
    +a(g132
    +V.
    +tp5100
    +a(g7
    +V
    +tp5108
    +a(g132
    +Vexecute
    +p5109
    +tp5110
    +a(g7
    +V
    +p5111
    +tp5112
    +a(g132
    +V(
    +tp5113
    +a(g7
    +V
    +tp5121
    +a(g132
    +Vmeta
    +p5122
    +tp5123
    +a(g7
    +V
    +p5124
    +tp5125
    +a(g132
    +V.
    +tp5126
    +a(g7
    +V
    +tp5134
    +a(g132
    +Vselect
    +p5135
    +tp5136
    +a(g7
    +V
    +p5137
    +tp5138
    +a(g132
    +V([
    +p5139
    +tp5140
    +a(g7
    +V
    +tp5148
    +a(g132
    +Vacl_mapping
    +p5149
    +tp5150
    +a(g7
    +V
    +p5151
    +tp5152
    +a(g132
    +V.
    +tp5153
    +a(g7
    +V
    +tp5161
    +a(g132
    +Vc
    +tp5162
    +a(g7
    +V
    +p5163
    +tp5164
    +a(g132
    +V.
    +tp5165
    +a(g7
    +V
    +tp5173
    +a(g132
    +Vstate
    +p5174
    +tp5175
    +a(g7
    +V
    +p5176
    +tp5177
    +a(g132
    +V],\u000a                    (
    +p5178
    +tp5179
    +a(g7
    +V
    +tp5187
    +a(g132
    +Vacl_mapping
    +p5188
    +tp5189
    +a(g7
    +V
    +p5190
    +tp5191
    +a(g132
    +V.
    +tp5192
    +a(g7
    +V
    +tp5200
    +a(g132
    +Vc
    +tp5201
    +a(g7
    +V
    +p5202
    +tp5203
    +a(g132
    +V.
    +tp5204
    +a(g7
    +V
    +tp5212
    +a(g132
    +Vobject_id
    +p5213
    +tp5214
    +a(g7
    +V
    +p5215
    +tp5216
    +a(g132
    +V 
    +tp5217
    +a(g7
    +V
    +tp5225
    +a(g132
    +V==
    +p5226
    +tp5227
    +a(g7
    +V
    +p5228
    +tp5229
    +a(g132
    +V 
    +tp5230
    +a(g7
    +V
    +tp5238
    +a(g132
    +Vo
    +tp5239
    +a(g7
    +V
    +p5240
    +tp5241
    +a(g132
    +V.
    +tp5242
    +a(g7
    +V
    +tp5250
    +a(g132
    +Vobject_id
    +p5251
    +tp5252
    +a(g7
    +V
    +p5253
    +tp5254
    +a(g132
    +V) 
    +p5255
    +tp5256
    +a(g7
    +V
    +tp5264
    +a(g313
    +V&
    +p5265
    +tp5266
    +a(g7
    +V
    +p5267
    +tp5268
    +a(g132
    +V\u000a\u000a                    (
    +p5269
    +tp5270
    +a(g7
    +V
    +tp5278
    +a(g132
    +Vacl_mapping
    +p5279
    +tp5280
    +a(g7
    +V
    +p5281
    +tp5282
    +a(g132
    +V.
    +tp5283
    +a(g7
    +V
    +tp5291
    +a(g132
    +Vc
    +tp5292
    +a(g7
    +V
    +p5293
    +tp5294
    +a(g132
    +V.
    +tp5295
    +a(g7
    +V
    +tp5303
    +a(g132
    +Vsubject_id
    +p5304
    +tp5305
    +a(g7
    +V
    +p5306
    +tp5307
    +a(g132
    +V 
    +tp5308
    +a(g7
    +V
    +tp5316
    +a(g132
    +V==
    +p5317
    +tp5318
    +a(g7
    +V
    +p5319
    +tp5320
    +a(g132
    +V 
    +tp5321
    +a(g7
    +V
    +tp5329
    +a(g132
    +Vgroups
    +p5330
    +tp5331
    +a(g7
    +V
    +p5332
    +tp5333
    +a(g132
    +V.
    +tp5334
    +a(g7
    +V
    +tp5342
    +a(g132
    +Vc
    +tp5343
    +a(g7
    +V
    +p5344
    +tp5345
    +a(g132
    +V.
    +tp5346
    +a(g7
    +V
    +tp5354
    +a(g132
    +Vsubject_id
    +p5355
    +tp5356
    +a(g7
    +V
    +p5357
    +tp5358
    +a(g132
    +V) 
    +p5359
    +tp5360
    +a(g7
    +V
    +tp5368
    +a(g313
    +V&
    +p5369
    +tp5370
    +a(g7
    +V
    +p5371
    +tp5372
    +a(g132
    +V\u000a\u000a                    (
    +p5373
    +tp5374
    +a(g7
    +V
    +tp5382
    +a(g132
    +Vgroups
    +p5383
    +tp5384
    +a(g7
    +V
    +p5385
    +tp5386
    +a(g132
    +V.
    +tp5387
    +a(g7
    +V
    +tp5395
    +a(g132
    +Vc
    +tp5396
    +a(g7
    +V
    +p5397
    +tp5398
    +a(g132
    +V.
    +tp5399
    +a(g7
    +V
    +tp5407
    +a(g132
    +Vgroup_id
    +p5408
    +tp5409
    +a(g7
    +V
    +p5410
    +tp5411
    +a(g132
    +V 
    +tp5412
    +a(g7
    +V
    +tp5420
    +a(g132
    +V==
    +p5421
    +tp5422
    +a(g7
    +V
    +p5423
    +tp5424
    +a(g132
    +V 
    +tp5425
    +a(g7
    +V
    +tp5433
    +a(g132
    +Vgroup_members
    +p5434
    +tp5435
    +a(g7
    +V
    +p5436
    +tp5437
    +a(g132
    +V.
    +tp5438
    +a(g7
    +V
    +tp5446
    +a(g132
    +Vc
    +tp5447
    +a(g7
    +V
    +p5448
    +tp5449
    +a(g132
    +V.
    +tp5450
    +a(g7
    +V
    +tp5458
    +a(g132
    +Vgroup_id
    +p5459
    +tp5460
    +a(g7
    +V
    +p5461
    +tp5462
    +a(g132
    +V) 
    +p5463
    +tp5464
    +a(g7
    +V
    +tp5472
    +a(g313
    +V&
    +p5473
    +tp5474
    +a(g7
    +V
    +p5475
    +tp5476
    +a(g132
    +V\u000a\u000a                    (
    +p5477
    +tp5478
    +a(g7
    +V
    +tp5486
    +a(g132
    +Vgroup_members
    +p5487
    +tp5488
    +a(g7
    +V
    +p5489
    +tp5490
    +a(g132
    +V.
    +tp5491
    +a(g7
    +V
    +tp5499
    +a(g132
    +Vc
    +tp5500
    +a(g7
    +V
    +p5501
    +tp5502
    +a(g132
    +V.
    +tp5503
    +a(g7
    +V
    +tp5511
    +a(g132
    +Vuser_id
    +p5512
    +tp5513
    +a(g7
    +V
    +p5514
    +tp5515
    +a(g132
    +V 
    +tp5516
    +a(g7
    +V
    +tp5524
    +a(g132
    +V==
    +p5525
    +tp5526
    +a(g7
    +V
    +p5527
    +tp5528
    +a(g132
    +V 
    +tp5529
    +a(g7
    +V
    +tp5537
    +a(g132
    +Vself
    +p5538
    +tp5539
    +a(g7
    +V
    +p5540
    +tp5541
    +a(g132
    +V.
    +tp5542
    +a(g7
    +V
    +tp5550
    +a(g132
    +Vsubject
    +p5551
    +tp5552
    +a(g7
    +V
    +p5553
    +tp5554
    +a(g132
    +V.
    +tp5555
    +a(g7
    +V
    +tp5563
    +a(g132
    +Vuser_id
    +p5564
    +tp5565
    +a(g7
    +V
    +p5566
    +tp5567
    +a(g132
    +V)\u000a                ))\u000a                
    +p5568
    +tp5569
    +a(g7
    +V
    +tp5577
    +a(g132
    +Vwhile
    +p5578
    +tp5579
    +a(g7
    +V
    +p5580
    +tp5581
    +a(g132
    +V 
    +tp5582
    +a(g7
    +V
    +tp5590
    +a(g132
    +VTrue
    +p5591
    +tp5592
    +a(g7
    +V
    +p5593
    +tp5594
    +a(g132
    +V:\u000a                    
    +p5595
    +tp5596
    +a(g7
    +V
    +tp5604
    +a(g132
    +Vrow
    +p5605
    +tp5606
    +a(g7
    +V
    +p5607
    +tp5608
    +a(g132
    +V 
    +tp5609
    +a(g7
    +V
    +tp5617
    +a(g132
    +V=
    +tp5618
    +a(g7
    +V
    +p5619
    +tp5620
    +a(g132
    +V 
    +tp5621
    +a(g7
    +V
    +tp5629
    +a(g132
    +Vr
    +tp5630
    +a(g7
    +V
    +p5631
    +tp5632
    +a(g132
    +V.
    +tp5633
    +a(g7
    +V
    +tp5641
    +a(g132
    +Vfetchone
    +p5642
    +tp5643
    +a(g7
    +V
    +p5644
    +tp5645
    +a(g132
    +V()\u000a                    
    +p5646
    +tp5647
    +a(g7
    +V
    +tp5655
    +a(g132
    +Vif
    +p5656
    +tp5657
    +a(g7
    +V
    +p5658
    +tp5659
    +a(g132
    +V 
    +tp5660
    +a(g7
    +V
    +tp5668
    +a(g132
    +Vrow
    +p5669
    +tp5670
    +a(g7
    +V
    +p5671
    +tp5672
    +a(g132
    +V 
    +tp5673
    +a(g7
    +V
    +tp5681
    +a(g132
    +Vis
    +p5682
    +tp5683
    +a(g7
    +V
    +p5684
    +tp5685
    +a(g132
    +V 
    +tp5686
    +a(g7
    +V
    +tp5694
    +a(g132
    +VNone
    +p5695
    +tp5696
    +a(g7
    +V
    +p5697
    +tp5698
    +a(g132
    +V:\u000a                        
    +p5699
    +tp5700
    +a(g7
    +V
    +tp5708
    +a(g132
    +Vbreak
    +p5709
    +tp5710
    +a(g7
    +V
    +p5711
    +tp5712
    +a(g132
    +V\u000a\u000a                    
    +p5713
    +tp5714
    +a(g7
    +V
    +tp5722
    +a(g132
    +Vstate
    +p5723
    +tp5724
    +a(g7
    +V
    +p5725
    +tp5726
    +a(g132
    +V 
    +tp5727
    +a(g7
    +V
    +tp5735
    +a(g132
    +V=
    +tp5736
    +a(g7
    +V
    +p5737
    +tp5738
    +a(g132
    +V 
    +tp5739
    +a(g7
    +V
    +tp5747
    +a(g132
    +Vrow
    +p5748
    +tp5749
    +a(g7
    +V
    +p5750
    +tp5751
    +a(g132
    +V[
    +tp5752
    +a(g7
    +V
    +tp5760
    +a(g132
    +V0
    +tp5761
    +a(g7
    +V
    +p5762
    +tp5763
    +a(g132
    +V]\u000a                    
    +p5764
    +tp5765
    +a(g7
    +V
    +tp5773
    +a(g132
    +Vif
    +p5774
    +tp5775
    +a(g7
    +V
    +p5776
    +tp5777
    +a(g132
    +V 
    +tp5778
    +a(g7
    +V
    +tp5786
    +a(g132
    +Vstate
    +p5787
    +tp5788
    +a(g7
    +V
    +p5789
    +tp5790
    +a(g132
    +V 
    +tp5791
    +a(g7
    +V
    +tp5799
    +a(g132
    +Vin
    +p5800
    +tp5801
    +a(g7
    +V
    +p5802
    +tp5803
    +a(g132
    +V (
    +p5804
    +tp5805
    +a(g7
    +V
    +tp5813
    +a(g132
    +Vself
    +p5814
    +tp5815
    +a(g7
    +V
    +p5816
    +tp5817
    +a(g132
    +V.
    +tp5818
    +a(g7
    +V
    +tp5826
    +a(g132
    +VSTRONG_YES
    +p5827
    +tp5828
    +a(g7
    +V
    +p5829
    +tp5830
    +a(g132
    +V, 
    +p5831
    +tp5832
    +a(g7
    +V
    +tp5840
    +a(g132
    +Vself
    +p5841
    +tp5842
    +a(g7
    +V
    +p5843
    +tp5844
    +a(g132
    +V.
    +tp5845
    +a(g7
    +V
    +tp5853
    +a(g132
    +VSTRONG_NO
    +p5854
    +tp5855
    +a(g7
    +V
    +p5856
    +tp5857
    +a(g132
    +V):\u000a                        
    +p5858
    +tp5859
    +a(g7
    +V
    +tp5867
    +a(g132
    +Vreturn
    +p5868
    +tp5869
    +a(g7
    +V
    +p5870
    +tp5871
    +a(g132
    +V 
    +tp5872
    +a(g7
    +V
    +tp5880
    +a(g132
    +Vstate
    +p5881
    +tp5882
    +a(g7
    +V
    +p5883
    +tp5884
    +a(g132
    +V 
    +tp5885
    +a(g7
    +V
    +tp5893
    +a(g132
    +V==
    +p5894
    +tp5895
    +a(g7
    +V
    +p5896
    +tp5897
    +a(g132
    +V 
    +tp5898
    +a(g7
    +V
    +tp5906
    +a(g132
    +Vself
    +p5907
    +tp5908
    +a(g7
    +V
    +p5909
    +tp5910
    +a(g132
    +V.
    +tp5911
    +a(g7
    +V
    +tp5919
    +a(g132
    +VSTRONG_YES
    +p5920
    +tp5921
    +a(g7
    +V
    +p5922
    +tp5923
    +a(g132
    +V\u000a\u000a                    
    +p5924
    +tp5925
    +a(g7
    +V
    +tp5933
    +a(g132
    +Vif
    +p5934
    +tp5935
    +a(g7
    +V
    +p5936
    +tp5937
    +a(g132
    +V 
    +tp5938
    +a(g7
    +V
    +tp5946
    +a(g132
    +Vtendency
    +p5947
    +tp5948
    +a(g7
    +V
    +p5949
    +tp5950
    +a(g132
    +V 
    +tp5951
    +a(g7
    +V
    +tp5959
    +a(g132
    +Vis
    +p5960
    +tp5961
    +a(g7
    +V
    +p5962
    +tp5963
    +a(g132
    +V 
    +tp5964
    +a(g7
    +V
    +tp5972
    +a(g132
    +VNone
    +p5973
    +tp5974
    +a(g7
    +V
    +p5975
    +tp5976
    +a(g132
    +V:\u000a                        
    +p5977
    +tp5978
    +a(g7
    +V
    +tp5986
    +a(g132
    +Vtendency
    +p5987
    +tp5988
    +a(g7
    +V
    +p5989
    +tp5990
    +a(g132
    +V 
    +tp5991
    +a(g7
    +V
    +tp5999
    +a(g132
    +V=
    +tp6000
    +a(g7
    +V
    +p6001
    +tp6002
    +a(g132
    +V 
    +tp6003
    +a(g7
    +V
    +tp6011
    +a(g132
    +Vstate
    +p6012
    +tp6013
    +a(g7
    +V
    +p6014
    +tp6015
    +a(g132
    +V\u000a                    
    +p6016
    +tp6017
    +a(g7
    +V
    +tp6025
    +a(g132
    +Velif
    +p6026
    +tp6027
    +a(g7
    +V
    +p6028
    +tp6029
    +a(g132
    +V 
    +tp6030
    +a(g7
    +V
    +tp6038
    +a(g132
    +Vtendency
    +p6039
    +tp6040
    +a(g7
    +V
    +p6041
    +tp6042
    +a(g132
    +V 
    +tp6043
    +a(g7
    +V
    +tp6051
    +a(g132
    +V==
    +p6052
    +tp6053
    +a(g7
    +V
    +p6054
    +tp6055
    +a(g132
    +V 
    +tp6056
    +a(g7
    +V
    +tp6064
    +a(g132
    +Vself
    +p6065
    +tp6066
    +a(g7
    +V
    +p6067
    +tp6068
    +a(g132
    +V.
    +tp6069
    +a(g7
    +V
    +tp6077
    +a(g132
    +VWEAK_NO
    +p6078
    +tp6079
    +a(g7
    +V
    +p6080
    +tp6081
    +a(g132
    +V 
    +tp6082
    +a(g7
    +V
    +tp6090
    +a(g132
    +Vand
    +p6091
    +tp6092
    +a(g7
    +V
    +p6093
    +tp6094
    +a(g132
    +V 
    +tp6095
    +a(g7
    +V
    +tp6103
    +a(g132
    +Vstate
    +p6104
    +tp6105
    +a(g7
    +V
    +p6106
    +tp6107
    +a(g132
    +V 
    +tp6108
    +a(g7
    +V
    +tp6116
    +a(g132
    +V==
    +p6117
    +tp6118
    +a(g7
    +V
    +p6119
    +tp6120
    +a(g132
    +V 
    +tp6121
    +a(g7
    +V
    +tp6129
    +a(g132
    +Vself
    +p6130
    +tp6131
    +a(g7
    +V
    +p6132
    +tp6133
    +a(g132
    +V.
    +tp6134
    +a(g7
    +V
    +tp6142
    +a(g132
    +VWEAK_YES
    +p6143
    +tp6144
    +a(g7
    +V
    +p6145
    +tp6146
    +a(g132
    +V:\u000a                        
    +p6147
    +tp6148
    +a(g7
    +V
    +tp6156
    +a(g132
    +Vtendency
    +p6157
    +tp6158
    +a(g7
    +V
    +p6159
    +tp6160
    +a(g132
    +V 
    +tp6161
    +a(g7
    +V
    +tp6169
    +a(g132
    +V=
    +tp6170
    +a(g7
    +V
    +p6171
    +tp6172
    +a(g132
    +V 
    +tp6173
    +a(g7
    +V
    +tp6181
    +a(g132
    +Vself
    +p6182
    +tp6183
    +a(g7
    +V
    +p6184
    +tp6185
    +a(g132
    +V.
    +tp6186
    +a(g7
    +V
    +tp6194
    +a(g132
    +VWEAK_YES
    +p6195
    +tp6196
    +a(g7
    +V
    +p6197
    +tp6198
    +a(g132
    +V\u000a\u000a            
    +p6199
    +tp6200
    +a(g7
    +V
    +tp6208
    +a(g132
    +V# check related objects
    +p6209
    +tp6210
    +a(g7
    +V
    +p6211
    +tp6212
    +a(g132
    +V\u000a            
    +p6213
    +tp6214
    +a(g7
    +V
    +tp6222
    +a(g132
    +Vif
    +p6223
    +tp6224
    +a(g7
    +V
    +p6225
    +tp6226
    +a(g132
    +V 
    +tp6227
    +a(g7
    +V
    +tp6235
    +a(g132
    +Visinstance
    +p6236
    +tp6237
    +a(g7
    +V
    +p6238
    +tp6239
    +a(g132
    +V(
    +tp6240
    +a(g7
    +V
    +tp6248
    +a(g132
    +Vobj
    +p6249
    +tp6250
    +a(g7
    +V
    +p6251
    +tp6252
    +a(g132
    +V, 
    +p6253
    +tp6254
    +a(g7
    +V
    +tp6262
    +a(g132
    +VThread
    +p6263
    +tp6264
    +a(g7
    +V
    +p6265
    +tp6266
    +a(g132
    +V):\u000a                
    +p6267
    +tp6268
    +a(g7
    +V
    +tp6276
    +a(g132
    +Vreturn
    +p6277
    +tp6278
    +a(g7
    +V
    +p6279
    +tp6280
    +a(g132
    +V 
    +tp6281
    +a(g7
    +V
    +tp6289
    +a(g132
    +Vdo_check
    +p6290
    +tp6291
    +a(g7
    +V
    +p6292
    +tp6293
    +a(g132
    +V(
    +tp6294
    +a(g7
    +V
    +tp6302
    +a(g132
    +Vobj
    +p6303
    +tp6304
    +a(g7
    +V
    +p6305
    +tp6306
    +a(g132
    +V.
    +tp6307
    +a(g7
    +V
    +tp6315
    +a(g132
    +Vforum
    +p6316
    +tp6317
    +a(g7
    +V
    +p6318
    +tp6319
    +a(g132
    +V, 
    +p6320
    +tp6321
    +a(g7
    +V
    +tp6329
    +a(g132
    +Vtendency
    +p6330
    +tp6331
    +a(g7
    +V
    +p6332
    +tp6333
    +a(g132
    +V)\u000a            
    +p6334
    +tp6335
    +a(g7
    +V
    +tp6343
    +a(g132
    +Velif
    +p6344
    +tp6345
    +a(g7
    +V
    +p6346
    +tp6347
    +a(g132
    +V 
    +tp6348
    +a(g7
    +V
    +tp6356
    +a(g132
    +Visinstance
    +p6357
    +tp6358
    +a(g7
    +V
    +p6359
    +tp6360
    +a(g132
    +V(
    +tp6361
    +a(g7
    +V
    +tp6369
    +a(g132
    +Vobj
    +p6370
    +tp6371
    +a(g7
    +V
    +p6372
    +tp6373
    +a(g132
    +V, 
    +p6374
    +tp6375
    +a(g7
    +V
    +tp6383
    +a(g132
    +VForum
    +p6384
    +tp6385
    +a(g7
    +V
    +p6386
    +tp6387
    +a(g132
    +V):\u000a                
    +p6388
    +tp6389
    +a(g7
    +V
    +tp6397
    +a(g132
    +Vreturn
    +p6398
    +tp6399
    +a(g7
    +V
    +p6400
    +tp6401
    +a(g132
    +V 
    +tp6402
    +a(g7
    +V
    +tp6410
    +a(g132
    +Vdo_check
    +p6411
    +tp6412
    +a(g7
    +V
    +p6413
    +tp6414
    +a(g132
    +V(
    +tp6415
    +a(g7
    +V
    +tp6423
    +a(g132
    +VSite
    +p6424
    +tp6425
    +a(g7
    +V
    +p6426
    +tp6427
    +a(g132
    +V, 
    +p6428
    +tp6429
    +a(g7
    +V
    +tp6437
    +a(g132
    +Vtendency
    +p6438
    +tp6439
    +a(g7
    +V
    +p6440
    +tp6441
    +a(g132
    +V)\u000a            
    +p6442
    +tp6443
    +a(g7
    +V
    +tp6451
    +a(g132
    +Velse
    +p6452
    +tp6453
    +a(g7
    +V
    +p6454
    +tp6455
    +a(g132
    +V:\u000a                
    +p6456
    +tp6457
    +a(g7
    +V
    +tp6465
    +a(g132
    +Vreturn
    +p6466
    +tp6467
    +a(g7
    +V
    +p6468
    +tp6469
    +a(g132
    +V 
    +tp6470
    +a(g7
    +V
    +tp6478
    +a(g132
    +Vtendency
    +p6479
    +tp6480
    +a(g7
    +V
    +p6481
    +tp6482
    +a(g132
    +V\u000a\u000a        
    +p6483
    +tp6484
    +a(g7
    +V
    +tp6492
    +a(g132
    +Vreturn
    +p6493
    +tp6494
    +a(g7
    +V
    +p6495
    +tp6496
    +a(g132
    +V 
    +tp6497
    +a(g7
    +V
    +tp6505
    +a(g132
    +Vdo_check
    +p6506
    +tp6507
    +a(g7
    +V
    +p6508
    +tp6509
    +a(g132
    +V(
    +tp6510
    +a(g7
    +V
    +tp6518
    +a(g132
    +Vobj
    +p6519
    +tp6520
    +a(g7
    +V
    +p6521
    +tp6522
    +a(g132
    +V, 
    +p6523
    +tp6524
    +a(g7
    +V
    +tp6532
    +a(g132
    +VNone
    +p6533
    +tp6534
    +a(g7
    +V
    +p6535
    +tp6536
    +a(g132
    +V) 
    +p6537
    +tp6538
    +a(g7
    +V
    +tp6546
    +a(g132
    +Vin
    +p6547
    +tp6548
    +a(g7
    +V
    +p6549
    +tp6550
    +a(g132
    +V (
    +p6551
    +tp6552
    +a(g7
    +V
    +tp6560
    +a(g132
    +Vself
    +p6561
    +tp6562
    +a(g7
    +V
    +p6563
    +tp6564
    +a(g132
    +V.
    +tp6565
    +a(g7
    +V
    +tp6573
    +a(g132
    +VWEAK_YES
    +p6574
    +tp6575
    +a(g7
    +V
    +p6576
    +tp6577
    +a(g132
    +V, 
    +p6578
    +tp6579
    +a(g7
    +V
    +tp6587
    +a(g132
    +Vself
    +p6588
    +tp6589
    +a(g7
    +V
    +p6590
    +tp6591
    +a(g132
    +V.
    +tp6592
    +a(g7
    +V
    +tp6600
    +a(g132
    +VSTRONG_YES
    +p6601
    +tp6602
    +a(g7
    +V
    +p6603
    +tp6604
    +a(g132
    +V)\u000a\u000a    
    +p6605
    +tp6606
    +a(g7
    +V
    +tp6614
    +a(g132
    +Vdef 
    +p6615
    +tp6616
    +a(g7
    +V
    +p6617
    +tp6618
    +a(g7
    +V
    +tp6626
    +a(g132
    +V_set
    +p6627
    +tp6628
    +a(g7
    +V
    +p6629
    +tp6630
    +a(g132
    +V(
    +tp6631
    +a(g7
    +V
    +tp6639
    +a(g132
    +Vself
    +p6640
    +tp6641
    +a(g7
    +V
    +p6642
    +tp6643
    +a(g132
    +V, 
    +p6644
    +tp6645
    +a(g7
    +V
    +tp6653
    +a(g132
    +Vprivilege
    +p6654
    +tp6655
    +a(g7
    +V
    +p6656
    +tp6657
    +a(g132
    +V, 
    +p6658
    +tp6659
    +a(g7
    +V
    +tp6667
    +a(g132
    +Vobj
    +p6668
    +tp6669
    +a(g7
    +V
    +p6670
    +tp6671
    +a(g132
    +V, 
    +p6672
    +tp6673
    +a(g7
    +V
    +tp6681
    +a(g132
    +Vstate
    +p6682
    +tp6683
    +a(g7
    +V
    +p6684
    +tp6685
    +a(g132
    +V):\u000a        
    +p6686
    +tp6687
    +a(g7
    +V
    +tp6695
    +a(g313
    +V"
    +p6696
    +tp6697
    +a(g313
    +V"
    +p6698
    +tp6699
    +a(g313
    +V"
    +p6700
    +tp6701
    +a(g7
    +V
    +p6702
    +tp6703
    +a(g7
    +V
    +tp6711
    +a(g132
    +VHelper functions for settings privileges.
    +p6712
    +tp6713
    +a(g7
    +V
    +p6714
    +tp6715
    +a(g7
    +V
    +tp6723
    +a(g313
    +V"
    +p6724
    +tp6725
    +a(g313
    +V"
    +p6726
    +tp6727
    +a(g313
    +V"
    +p6728
    +tp6729
    +a(g7
    +V
    +p6730
    +tp6731
    +a(g132
    +V\u000a\u000a        
    +p6732
    +tp6733
    +a(g7
    +V
    +tp6741
    +a(g132
    +Vprivilege
    +p6742
    +tp6743
    +a(g7
    +V
    +p6744
    +tp6745
    +a(g132
    +V 
    +tp6746
    +a(g7
    +V
    +tp6754
    +a(g132
    +V=
    +tp6755
    +a(g7
    +V
    +p6756
    +tp6757
    +a(g132
    +V 
    +tp6758
    +a(g7
    +V
    +tp6766
    +a(g132
    +Vprivilege
    +p6767
    +tp6768
    +a(g7
    +V
    +p6769
    +tp6770
    +a(g132
    +V.
    +tp6771
    +a(g7
    +V
    +tp6779
    +a(g132
    +Vupper
    +p6780
    +tp6781
    +a(g7
    +V
    +p6782
    +tp6783
    +a(g132
    +V()\u000a        
    +p6784
    +tp6785
    +a(g7
    +V
    +tp6793
    +a(g132
    +Vif
    +p6794
    +tp6795
    +a(g7
    +V
    +p6796
    +tp6797
    +a(g132
    +V 
    +tp6798
    +a(g7
    +V
    +tp6806
    +a(g132
    +Vself
    +p6807
    +tp6808
    +a(g7
    +V
    +p6809
    +tp6810
    +a(g132
    +V.
    +tp6811
    +a(g7
    +V
    +tp6819
    +a(g132
    +Vsubject
    +p6820
    +tp6821
    +a(g7
    +V
    +p6822
    +tp6823
    +a(g132
    +V.
    +tp6824
    +a(g7
    +V
    +tp6832
    +a(g132
    +Vsubject_id
    +p6833
    +tp6834
    +a(g7
    +V
    +p6835
    +tp6836
    +a(g132
    +V 
    +tp6837
    +a(g7
    +V
    +tp6845
    +a(g132
    +Vis
    +p6846
    +tp6847
    +a(g7
    +V
    +p6848
    +tp6849
    +a(g132
    +V 
    +tp6850
    +a(g7
    +V
    +tp6858
    +a(g132
    +VNone
    +p6859
    +tp6860
    +a(g7
    +V
    +p6861
    +tp6862
    +a(g132
    +V:\u000a            
    +p6863
    +tp6864
    +a(g7
    +V
    +tp6872
    +a(g132
    +Vself
    +p6873
    +tp6874
    +a(g7
    +V
    +p6875
    +tp6876
    +a(g132
    +V.
    +tp6877
    +a(g7
    +V
    +tp6885
    +a(g132
    +V_bootstrap
    +p6886
    +tp6887
    +a(g7
    +V
    +p6888
    +tp6889
    +a(g132
    +V()\u000a        
    +p6890
    +tp6891
    +a(g7
    +V
    +tp6899
    +a(g132
    +Vif
    +p6900
    +tp6901
    +a(g7
    +V
    +p6902
    +tp6903
    +a(g132
    +V 
    +tp6904
    +a(g7
    +V
    +tp6912
    +a(g132
    +Vobj
    +p6913
    +tp6914
    +a(g7
    +V
    +p6915
    +tp6916
    +a(g132
    +V.
    +tp6917
    +a(g7
    +V
    +tp6925
    +a(g132
    +Vobject_id
    +p6926
    +tp6927
    +a(g7
    +V
    +p6928
    +tp6929
    +a(g132
    +V 
    +tp6930
    +a(g7
    +V
    +tp6938
    +a(g132
    +Vis
    +p6939
    +tp6940
    +a(g7
    +V
    +p6941
    +tp6942
    +a(g132
    +V 
    +tp6943
    +a(g7
    +V
    +tp6951
    +a(g132
    +VNone
    +p6952
    +tp6953
    +a(g7
    +V
    +p6954
    +tp6955
    +a(g132
    +V:\u000a            
    +p6956
    +tp6957
    +a(g7
    +V
    +tp6965
    +a(g132
    +Vself
    +p6966
    +tp6967
    +a(g7
    +V
    +p6968
    +tp6969
    +a(g132
    +V.
    +tp6970
    +a(g7
    +V
    +tp6978
    +a(g132
    +V_bootstrap_object
    +p6979
    +tp6980
    +a(g7
    +V
    +p6981
    +tp6982
    +a(g132
    +V(
    +tp6983
    +a(g7
    +V
    +tp6991
    +a(g132
    +Vobj
    +p6992
    +tp6993
    +a(g7
    +V
    +p6994
    +tp6995
    +a(g132
    +V)\u000a        
    +p6996
    +tp6997
    +a(g7
    +V
    +tp7005
    +a(g132
    +V# special state 
    +p7006
    +tp7007
    +a(g313
    +V"
    +p7008
    +tp7009
    +a(g132
    +V0
    +tp7010
    +a(g313
    +V"
    +p7011
    +tp7012
    +a(g132
    +V which means delete
    +p7013
    +tp7014
    +a(g7
    +V
    +p7015
    +tp7016
    +a(g132
    +V\u000a\u000a        
    +p7017
    +tp7018
    +a(g7
    +V
    +tp7026
    +a(g132
    +Vif
    +p7027
    +tp7028
    +a(g7
    +V
    +p7029
    +tp7030
    +a(g132
    +V 
    +tp7031
    +a(g7
    +V
    +tp7039
    +a(g132
    +Vnot
    +p7040
    +tp7041
    +a(g7
    +V
    +p7042
    +tp7043
    +a(g132
    +V 
    +tp7044
    +a(g7
    +V
    +tp7052
    +a(g132
    +Vstate
    +p7053
    +tp7054
    +a(g7
    +V
    +p7055
    +tp7056
    +a(g132
    +V:\u000a            
    +p7057
    +tp7058
    +a(g7
    +V
    +tp7066
    +a(g132
    +Vp
    +tp7067
    +a(g7
    +V
    +p7068
    +tp7069
    +a(g132
    +V 
    +tp7070
    +a(g7
    +V
    +tp7078
    +a(g132
    +V=
    +tp7079
    +a(g7
    +V
    +p7080
    +tp7081
    +a(g132
    +V 
    +tp7082
    +a(g7
    +V
    +tp7090
    +a(g132
    +Vmeta
    +p7091
    +tp7092
    +a(g7
    +V
    +p7093
    +tp7094
    +a(g132
    +V.
    +tp7095
    +a(g7
    +V
    +tp7103
    +a(g132
    +Vselect
    +p7104
    +tp7105
    +a(g7
    +V
    +p7106
    +tp7107
    +a(g132
    +V([
    +p7108
    +tp7109
    +a(g7
    +V
    +tp7117
    +a(g132
    +Vprivileges
    +p7118
    +tp7119
    +a(g7
    +V
    +p7120
    +tp7121
    +a(g132
    +V.
    +tp7122
    +a(g7
    +V
    +tp7130
    +a(g132
    +Vc
    +tp7131
    +a(g7
    +V
    +p7132
    +tp7133
    +a(g132
    +V.
    +tp7134
    +a(g7
    +V
    +tp7142
    +a(g132
    +Vpriv_id
    +p7143
    +tp7144
    +a(g7
    +V
    +p7145
    +tp7146
    +a(g132
    +V], 
    +p7147
    +tp7148
    +a(g7
    +V
    +tp7156
    +a(g132
    +Vprivileges
    +p7157
    +tp7158
    +a(g7
    +V
    +p7159
    +tp7160
    +a(g132
    +V.
    +tp7161
    +a(g7
    +V
    +tp7169
    +a(g132
    +Vc
    +tp7170
    +a(g7
    +V
    +p7171
    +tp7172
    +a(g132
    +V.
    +tp7173
    +a(g7
    +V
    +tp7181
    +a(g132
    +Vname
    +p7182
    +tp7183
    +a(g7
    +V
    +p7184
    +tp7185
    +a(g132
    +V 
    +tp7186
    +a(g7
    +V
    +tp7194
    +a(g132
    +V==
    +p7195
    +tp7196
    +a(g7
    +V
    +p7197
    +tp7198
    +a(g132
    +V 
    +tp7199
    +a(g7
    +V
    +tp7207
    +a(g132
    +Vprivilege
    +p7208
    +tp7209
    +a(g7
    +V
    +p7210
    +tp7211
    +a(g132
    +V)\u000a            
    +p7212
    +tp7213
    +a(g7
    +V
    +tp7221
    +a(g132
    +Vself
    +p7222
    +tp7223
    +a(g7
    +V
    +p7224
    +tp7225
    +a(g132
    +V.
    +tp7226
    +a(g7
    +V
    +tp7234
    +a(g132
    +Vctx
    +p7235
    +tp7236
    +a(g7
    +V
    +p7237
    +tp7238
    +a(g132
    +V.
    +tp7239
    +a(g7
    +V
    +tp7247
    +a(g132
    +Vengine
    +p7248
    +tp7249
    +a(g7
    +V
    +p7250
    +tp7251
    +a(g132
    +V.
    +tp7252
    +a(g7
    +V
    +tp7260
    +a(g132
    +Vexecute
    +p7261
    +tp7262
    +a(g7
    +V
    +p7263
    +tp7264
    +a(g132
    +V(
    +tp7265
    +a(g7
    +V
    +tp7273
    +a(g132
    +Vacl_mapping
    +p7274
    +tp7275
    +a(g7
    +V
    +p7276
    +tp7277
    +a(g132
    +V.
    +tp7278
    +a(g7
    +V
    +tp7286
    +a(g132
    +Vdelete
    +p7287
    +tp7288
    +a(g7
    +V
    +p7289
    +tp7290
    +a(g132
    +V(\u000a                (
    +p7291
    +tp7292
    +a(g7
    +V
    +tp7300
    +a(g132
    +Vacl_mapping
    +p7301
    +tp7302
    +a(g7
    +V
    +p7303
    +tp7304
    +a(g132
    +V.
    +tp7305
    +a(g7
    +V
    +tp7313
    +a(g132
    +Vc
    +tp7314
    +a(g7
    +V
    +p7315
    +tp7316
    +a(g132
    +V.
    +tp7317
    +a(g7
    +V
    +tp7325
    +a(g132
    +Vpriv_id
    +p7326
    +tp7327
    +a(g7
    +V
    +p7328
    +tp7329
    +a(g132
    +V 
    +tp7330
    +a(g7
    +V
    +tp7338
    +a(g132
    +V==
    +p7339
    +tp7340
    +a(g7
    +V
    +p7341
    +tp7342
    +a(g132
    +V 
    +tp7343
    +a(g7
    +V
    +tp7351
    +a(g132
    +Vp
    +tp7352
    +a(g7
    +V
    +p7353
    +tp7354
    +a(g132
    +V.
    +tp7355
    +a(g7
    +V
    +tp7363
    +a(g132
    +Vc
    +tp7364
    +a(g7
    +V
    +p7365
    +tp7366
    +a(g132
    +V.
    +tp7367
    +a(g7
    +V
    +tp7375
    +a(g132
    +Vpriv_id
    +p7376
    +tp7377
    +a(g7
    +V
    +p7378
    +tp7379
    +a(g132
    +V) 
    +p7380
    +tp7381
    +a(g7
    +V
    +tp7389
    +a(g313
    +V&
    +p7390
    +tp7391
    +a(g7
    +V
    +p7392
    +tp7393
    +a(g132
    +V\u000a\u000a                (
    +p7394
    +tp7395
    +a(g7
    +V
    +tp7403
    +a(g132
    +Vacl_mapping
    +p7404
    +tp7405
    +a(g7
    +V
    +p7406
    +tp7407
    +a(g132
    +V.
    +tp7408
    +a(g7
    +V
    +tp7416
    +a(g132
    +Vc
    +tp7417
    +a(g7
    +V
    +p7418
    +tp7419
    +a(g132
    +V.
    +tp7420
    +a(g7
    +V
    +tp7428
    +a(g132
    +Vsubject_id
    +p7429
    +tp7430
    +a(g7
    +V
    +p7431
    +tp7432
    +a(g132
    +V 
    +tp7433
    +a(g7
    +V
    +tp7441
    +a(g132
    +V==
    +p7442
    +tp7443
    +a(g7
    +V
    +p7444
    +tp7445
    +a(g132
    +V 
    +tp7446
    +a(g7
    +V
    +tp7454
    +a(g132
    +Vself
    +p7455
    +tp7456
    +a(g7
    +V
    +p7457
    +tp7458
    +a(g132
    +V.
    +tp7459
    +a(g7
    +V
    +tp7467
    +a(g132
    +Vsubject
    +p7468
    +tp7469
    +a(g7
    +V
    +p7470
    +tp7471
    +a(g132
    +V.
    +tp7472
    +a(g7
    +V
    +tp7480
    +a(g132
    +Vsubject_id
    +p7481
    +tp7482
    +a(g7
    +V
    +p7483
    +tp7484
    +a(g132
    +V) 
    +p7485
    +tp7486
    +a(g7
    +V
    +tp7494
    +a(g313
    +V&
    +p7495
    +tp7496
    +a(g7
    +V
    +p7497
    +tp7498
    +a(g132
    +V\u000a\u000a                (
    +p7499
    +tp7500
    +a(g7
    +V
    +tp7508
    +a(g132
    +Vacl_mapping
    +p7509
    +tp7510
    +a(g7
    +V
    +p7511
    +tp7512
    +a(g132
    +V.
    +tp7513
    +a(g7
    +V
    +tp7521
    +a(g132
    +Vc
    +tp7522
    +a(g7
    +V
    +p7523
    +tp7524
    +a(g132
    +V.
    +tp7525
    +a(g7
    +V
    +tp7533
    +a(g132
    +Vobject_id
    +p7534
    +tp7535
    +a(g7
    +V
    +p7536
    +tp7537
    +a(g132
    +V 
    +tp7538
    +a(g7
    +V
    +tp7546
    +a(g132
    +V==
    +p7547
    +tp7548
    +a(g7
    +V
    +p7549
    +tp7550
    +a(g132
    +V 
    +tp7551
    +a(g7
    +V
    +tp7559
    +a(g132
    +Vobj
    +p7560
    +tp7561
    +a(g7
    +V
    +p7562
    +tp7563
    +a(g132
    +V.
    +tp7564
    +a(g7
    +V
    +tp7572
    +a(g132
    +Vobject_id
    +p7573
    +tp7574
    +a(g7
    +V
    +p7575
    +tp7576
    +a(g132
    +V)\u000a            ))\u000a            
    +p7577
    +tp7578
    +a(g7
    +V
    +tp7586
    +a(g132
    +Vreturn
    +p7587
    +tp7588
    +a(g7
    +V
    +p7589
    +tp7590
    +a(g132
    +V\u000a        
    +p7591
    +tp7592
    +a(g7
    +V
    +tp7600
    +a(g132
    +V# touch privilege and check existing mapping
    +p7601
    +tp7602
    +a(g7
    +V
    +p7603
    +tp7604
    +a(g132
    +V\u000a\u000a        
    +p7605
    +tp7606
    +a(g7
    +V
    +tp7614
    +a(g132
    +Vpriv_id
    +p7615
    +tp7616
    +a(g7
    +V
    +p7617
    +tp7618
    +a(g132
    +V 
    +tp7619
    +a(g7
    +V
    +tp7627
    +a(g132
    +V=
    +tp7628
    +a(g7
    +V
    +p7629
    +tp7630
    +a(g132
    +V 
    +tp7631
    +a(g7
    +V
    +tp7639
    +a(g132
    +Vself
    +p7640
    +tp7641
    +a(g7
    +V
    +p7642
    +tp7643
    +a(g132
    +V.
    +tp7644
    +a(g7
    +V
    +tp7652
    +a(g132
    +V_fetch_privilege
    +p7653
    +tp7654
    +a(g7
    +V
    +p7655
    +tp7656
    +a(g132
    +V(
    +tp7657
    +a(g7
    +V
    +tp7665
    +a(g132
    +Vprivilege
    +p7666
    +tp7667
    +a(g7
    +V
    +p7668
    +tp7669
    +a(g132
    +V)\u000a        
    +p7670
    +tp7671
    +a(g7
    +V
    +tp7679
    +a(g132
    +Vr
    +tp7680
    +a(g7
    +V
    +p7681
    +tp7682
    +a(g132
    +V 
    +tp7683
    +a(g7
    +V
    +tp7691
    +a(g132
    +V=
    +tp7692
    +a(g7
    +V
    +p7693
    +tp7694
    +a(g132
    +V 
    +tp7695
    +a(g7
    +V
    +tp7703
    +a(g132
    +Vself
    +p7704
    +tp7705
    +a(g7
    +V
    +p7706
    +tp7707
    +a(g132
    +V.
    +tp7708
    +a(g7
    +V
    +tp7716
    +a(g132
    +Vctx
    +p7717
    +tp7718
    +a(g7
    +V
    +p7719
    +tp7720
    +a(g132
    +V.
    +tp7721
    +a(g7
    +V
    +tp7729
    +a(g132
    +Vengine
    +p7730
    +tp7731
    +a(g7
    +V
    +p7732
    +tp7733
    +a(g132
    +V.
    +tp7734
    +a(g7
    +V
    +tp7742
    +a(g132
    +Vexecute
    +p7743
    +tp7744
    +a(g7
    +V
    +p7745
    +tp7746
    +a(g132
    +V(
    +tp7747
    +a(g7
    +V
    +tp7755
    +a(g132
    +Vmeta
    +p7756
    +tp7757
    +a(g7
    +V
    +p7758
    +tp7759
    +a(g132
    +V.
    +tp7760
    +a(g7
    +V
    +tp7768
    +a(g132
    +Vselect
    +p7769
    +tp7770
    +a(g7
    +V
    +p7771
    +tp7772
    +a(g132
    +V([
    +p7773
    +tp7774
    +a(g7
    +V
    +tp7782
    +a(g132
    +Vacl_mapping
    +p7783
    +tp7784
    +a(g7
    +V
    +p7785
    +tp7786
    +a(g132
    +V.
    +tp7787
    +a(g7
    +V
    +tp7795
    +a(g132
    +Vc
    +tp7796
    +a(g7
    +V
    +p7797
    +tp7798
    +a(g132
    +V.
    +tp7799
    +a(g7
    +V
    +tp7807
    +a(g132
    +Vstate
    +p7808
    +tp7809
    +a(g7
    +V
    +p7810
    +tp7811
    +a(g132
    +V],\u000a            (
    +p7812
    +tp7813
    +a(g7
    +V
    +tp7821
    +a(g132
    +Vacl_mapping
    +p7822
    +tp7823
    +a(g7
    +V
    +p7824
    +tp7825
    +a(g132
    +V.
    +tp7826
    +a(g7
    +V
    +tp7834
    +a(g132
    +Vc
    +tp7835
    +a(g7
    +V
    +p7836
    +tp7837
    +a(g132
    +V.
    +tp7838
    +a(g7
    +V
    +tp7846
    +a(g132
    +Vpriv_id
    +p7847
    +tp7848
    +a(g7
    +V
    +p7849
    +tp7850
    +a(g132
    +V 
    +tp7851
    +a(g7
    +V
    +tp7859
    +a(g132
    +V==
    +p7860
    +tp7861
    +a(g7
    +V
    +p7862
    +tp7863
    +a(g132
    +V 
    +tp7864
    +a(g7
    +V
    +tp7872
    +a(g132
    +Vpriv_id
    +p7873
    +tp7874
    +a(g7
    +V
    +p7875
    +tp7876
    +a(g132
    +V) 
    +p7877
    +tp7878
    +a(g7
    +V
    +tp7886
    +a(g313
    +V&
    +p7887
    +tp7888
    +a(g7
    +V
    +p7889
    +tp7890
    +a(g132
    +V\u000a\u000a            (
    +p7891
    +tp7892
    +a(g7
    +V
    +tp7900
    +a(g132
    +Vacl_mapping
    +p7901
    +tp7902
    +a(g7
    +V
    +p7903
    +tp7904
    +a(g132
    +V.
    +tp7905
    +a(g7
    +V
    +tp7913
    +a(g132
    +Vc
    +tp7914
    +a(g7
    +V
    +p7915
    +tp7916
    +a(g132
    +V.
    +tp7917
    +a(g7
    +V
    +tp7925
    +a(g132
    +Vsubject_id
    +p7926
    +tp7927
    +a(g7
    +V
    +p7928
    +tp7929
    +a(g132
    +V 
    +tp7930
    +a(g7
    +V
    +tp7938
    +a(g132
    +V==
    +p7939
    +tp7940
    +a(g7
    +V
    +p7941
    +tp7942
    +a(g132
    +V 
    +tp7943
    +a(g7
    +V
    +tp7951
    +a(g132
    +Vself
    +p7952
    +tp7953
    +a(g7
    +V
    +p7954
    +tp7955
    +a(g132
    +V.
    +tp7956
    +a(g7
    +V
    +tp7964
    +a(g132
    +Vsubject
    +p7965
    +tp7966
    +a(g7
    +V
    +p7967
    +tp7968
    +a(g132
    +V.
    +tp7969
    +a(g7
    +V
    +tp7977
    +a(g132
    +Vsubject_id
    +p7978
    +tp7979
    +a(g7
    +V
    +p7980
    +tp7981
    +a(g132
    +V) 
    +p7982
    +tp7983
    +a(g7
    +V
    +tp7991
    +a(g313
    +V&
    +p7992
    +tp7993
    +a(g7
    +V
    +p7994
    +tp7995
    +a(g132
    +V\u000a\u000a            (
    +p7996
    +tp7997
    +a(g7
    +V
    +tp8005
    +a(g132
    +Vacl_mapping
    +p8006
    +tp8007
    +a(g7
    +V
    +p8008
    +tp8009
    +a(g132
    +V.
    +tp8010
    +a(g7
    +V
    +tp8018
    +a(g132
    +Vc
    +tp8019
    +a(g7
    +V
    +p8020
    +tp8021
    +a(g132
    +V.
    +tp8022
    +a(g7
    +V
    +tp8030
    +a(g132
    +Vobject_id
    +p8031
    +tp8032
    +a(g7
    +V
    +p8033
    +tp8034
    +a(g132
    +V 
    +tp8035
    +a(g7
    +V
    +tp8043
    +a(g132
    +V==
    +p8044
    +tp8045
    +a(g7
    +V
    +p8046
    +tp8047
    +a(g132
    +V 
    +tp8048
    +a(g7
    +V
    +tp8056
    +a(g132
    +Vobj
    +p8057
    +tp8058
    +a(g7
    +V
    +p8059
    +tp8060
    +a(g132
    +V.
    +tp8061
    +a(g7
    +V
    +tp8069
    +a(g132
    +Vobject_id
    +p8070
    +tp8071
    +a(g7
    +V
    +p8072
    +tp8073
    +a(g132
    +V)\u000a        ))\u000a        
    +p8074
    +tp8075
    +a(g7
    +V
    +tp8083
    +a(g132
    +Vrow
    +p8084
    +tp8085
    +a(g7
    +V
    +p8086
    +tp8087
    +a(g132
    +V 
    +tp8088
    +a(g7
    +V
    +tp8096
    +a(g132
    +V=
    +tp8097
    +a(g7
    +V
    +p8098
    +tp8099
    +a(g132
    +V 
    +tp8100
    +a(g7
    +V
    +tp8108
    +a(g132
    +Vr
    +tp8109
    +a(g7
    +V
    +p8110
    +tp8111
    +a(g132
    +V.
    +tp8112
    +a(g7
    +V
    +tp8120
    +a(g132
    +Vfetchone
    +p8121
    +tp8122
    +a(g7
    +V
    +p8123
    +tp8124
    +a(g132
    +V()\u000a        
    +p8125
    +tp8126
    +a(g7
    +V
    +tp8134
    +a(g132
    +Vif
    +p8135
    +tp8136
    +a(g7
    +V
    +p8137
    +tp8138
    +a(g132
    +V 
    +tp8139
    +a(g7
    +V
    +tp8147
    +a(g132
    +Vrow
    +p8148
    +tp8149
    +a(g7
    +V
    +p8150
    +tp8151
    +a(g132
    +V 
    +tp8152
    +a(g7
    +V
    +tp8160
    +a(g132
    +Vis
    +p8161
    +tp8162
    +a(g7
    +V
    +p8163
    +tp8164
    +a(g132
    +V 
    +tp8165
    +a(g7
    +V
    +tp8173
    +a(g132
    +Vnot
    +p8174
    +tp8175
    +a(g7
    +V
    +p8176
    +tp8177
    +a(g132
    +V 
    +tp8178
    +a(g7
    +V
    +tp8186
    +a(g132
    +VNone
    +p8187
    +tp8188
    +a(g7
    +V
    +p8189
    +tp8190
    +a(g132
    +V:\u000a            
    +p8191
    +tp8192
    +a(g7
    +V
    +tp8200
    +a(g132
    +V# this rule exists already
    +p8201
    +tp8202
    +a(g7
    +V
    +p8203
    +tp8204
    +a(g132
    +V\u000a\u000a            
    +p8205
    +tp8206
    +a(g7
    +V
    +tp8214
    +a(g132
    +Vif
    +p8215
    +tp8216
    +a(g7
    +V
    +p8217
    +tp8218
    +a(g132
    +V 
    +tp8219
    +a(g7
    +V
    +tp8227
    +a(g132
    +Vrow
    +p8228
    +tp8229
    +a(g7
    +V
    +p8230
    +tp8231
    +a(g132
    +V[
    +tp8232
    +a(g7
    +V
    +tp8240
    +a(g313
    +V'
    +p8241
    +tp8242
    +a(g7
    +V
    +p8243
    +tp8244
    +a(g7
    +V
    +tp8252
    +a(g132
    +Vstate
    +p8253
    +tp8254
    +a(g7
    +V
    +p8255
    +tp8256
    +a(g7
    +V
    +tp8264
    +a(g313
    +V'
    +p8265
    +tp8266
    +a(g7
    +V
    +p8267
    +tp8268
    +a(g132
    +V] 
    +p8269
    +tp8270
    +a(g7
    +V
    +tp8278
    +a(g132
    +V==
    +p8279
    +tp8280
    +a(g7
    +V
    +p8281
    +tp8282
    +a(g132
    +V 
    +tp8283
    +a(g7
    +V
    +tp8291
    +a(g132
    +Vstate
    +p8292
    +tp8293
    +a(g7
    +V
    +p8294
    +tp8295
    +a(g132
    +V:\u000a                
    +p8296
    +tp8297
    +a(g7
    +V
    +tp8305
    +a(g132
    +Vreturn
    +p8306
    +tp8307
    +a(g7
    +V
    +p8308
    +tp8309
    +a(g132
    +V\u000a            
    +p8310
    +tp8311
    +a(g7
    +V
    +tp8319
    +a(g132
    +V# goddamn, same rule - different state, delete old first
    +p8320
    +tp8321
    +a(g7
    +V
    +p8322
    +tp8323
    +a(g132
    +V\u000a            
    +p8324
    +tp8325
    +a(g7
    +V
    +tp8333
    +a(g132
    +Vself
    +p8334
    +tp8335
    +a(g7
    +V
    +p8336
    +tp8337
    +a(g132
    +V.
    +tp8338
    +a(g7
    +V
    +tp8346
    +a(g132
    +V_set
    +p8347
    +tp8348
    +a(g7
    +V
    +p8349
    +tp8350
    +a(g132
    +V(
    +tp8351
    +a(g7
    +V
    +tp8359
    +a(g132
    +Vprivilege
    +p8360
    +tp8361
    +a(g7
    +V
    +p8362
    +tp8363
    +a(g132
    +V, 
    +p8364
    +tp8365
    +a(g7
    +V
    +tp8373
    +a(g132
    +Vobj
    +p8374
    +tp8375
    +a(g7
    +V
    +p8376
    +tp8377
    +a(g132
    +V, 
    +p8378
    +tp8379
    +a(g7
    +V
    +tp8387
    +a(g132
    +V0
    +tp8388
    +a(g7
    +V
    +p8389
    +tp8390
    +a(g132
    +V)\u000a        
    +p8391
    +tp8392
    +a(g7
    +V
    +tp8400
    +a(g132
    +V# insert new rule
    +p8401
    +tp8402
    +a(g7
    +V
    +p8403
    +tp8404
    +a(g132
    +V\u000a\u000a        
    +p8405
    +tp8406
    +a(g7
    +V
    +tp8414
    +a(g132
    +Vself
    +p8415
    +tp8416
    +a(g7
    +V
    +p8417
    +tp8418
    +a(g132
    +V.
    +tp8419
    +a(g7
    +V
    +tp8427
    +a(g132
    +Vctx
    +p8428
    +tp8429
    +a(g7
    +V
    +p8430
    +tp8431
    +a(g132
    +V.
    +tp8432
    +a(g7
    +V
    +tp8440
    +a(g132
    +Vengine
    +p8441
    +tp8442
    +a(g7
    +V
    +p8443
    +tp8444
    +a(g132
    +V.
    +tp8445
    +a(g7
    +V
    +tp8453
    +a(g132
    +Vexecute
    +p8454
    +tp8455
    +a(g7
    +V
    +p8456
    +tp8457
    +a(g132
    +V(
    +tp8458
    +a(g7
    +V
    +tp8466
    +a(g132
    +Vacl_mapping
    +p8467
    +tp8468
    +a(g7
    +V
    +p8469
    +tp8470
    +a(g132
    +V.
    +tp8471
    +a(g7
    +V
    +tp8479
    +a(g132
    +Vinsert
    +p8480
    +tp8481
    +a(g7
    +V
    +p8482
    +tp8483
    +a(g132
    +V(),\u000a            
    +p8484
    +tp8485
    +a(g7
    +V
    +tp8493
    +a(g132
    +Vpriv_id
    +p8494
    +tp8495
    +a(g7
    +V
    +p8496
    +tp8497
    +a(g132
    +V 
    +tp8498
    +a(g7
    +V
    +tp8506
    +a(g132
    +V=
    +tp8507
    +a(g7
    +V
    +p8508
    +tp8509
    +a(g132
    +V 
    +tp8510
    +a(g7
    +V
    +tp8518
    +a(g132
    +Vpriv_id
    +p8519
    +tp8520
    +a(g7
    +V
    +p8521
    +tp8522
    +a(g132
    +V,\u000a            
    +p8523
    +tp8524
    +a(g7
    +V
    +tp8532
    +a(g132
    +Vsubject_id
    +p8533
    +tp8534
    +a(g7
    +V
    +p8535
    +tp8536
    +a(g132
    +V 
    +tp8537
    +a(g7
    +V
    +tp8545
    +a(g132
    +V=
    +tp8546
    +a(g7
    +V
    +p8547
    +tp8548
    +a(g132
    +V 
    +tp8549
    +a(g7
    +V
    +tp8557
    +a(g132
    +Vself
    +p8558
    +tp8559
    +a(g7
    +V
    +p8560
    +tp8561
    +a(g132
    +V.
    +tp8562
    +a(g7
    +V
    +tp8570
    +a(g132
    +Vsubject
    +p8571
    +tp8572
    +a(g7
    +V
    +p8573
    +tp8574
    +a(g132
    +V.
    +tp8575
    +a(g7
    +V
    +tp8583
    +a(g132
    +Vsubject_id
    +p8584
    +tp8585
    +a(g7
    +V
    +p8586
    +tp8587
    +a(g132
    +V,\u000a            
    +p8588
    +tp8589
    +a(g7
    +V
    +tp8597
    +a(g132
    +Vobject_id
    +p8598
    +tp8599
    +a(g7
    +V
    +p8600
    +tp8601
    +a(g132
    +V 
    +tp8602
    +a(g7
    +V
    +tp8610
    +a(g132
    +V=
    +tp8611
    +a(g7
    +V
    +p8612
    +tp8613
    +a(g132
    +V 
    +tp8614
    +a(g7
    +V
    +tp8622
    +a(g132
    +Vobj
    +p8623
    +tp8624
    +a(g7
    +V
    +p8625
    +tp8626
    +a(g132
    +V.
    +tp8627
    +a(g7
    +V
    +tp8635
    +a(g132
    +Vobject_id
    +p8636
    +tp8637
    +a(g7
    +V
    +p8638
    +tp8639
    +a(g132
    +V,\u000a            
    +p8640
    +tp8641
    +a(g7
    +V
    +tp8649
    +a(g132
    +Vstate
    +p8650
    +tp8651
    +a(g7
    +V
    +p8652
    +tp8653
    +a(g132
    +V 
    +tp8654
    +a(g7
    +V
    +tp8662
    +a(g132
    +V=
    +tp8663
    +a(g7
    +V
    +p8664
    +tp8665
    +a(g132
    +V 
    +tp8666
    +a(g7
    +V
    +tp8674
    +a(g132
    +Vstate
    +p8675
    +tp8676
    +a(g7
    +V
    +p8677
    +tp8678
    +a(g132
    +V\u000a\u000a        )\u000a\u000a    
    +p8679
    +tp8680
    +a(g7
    +V
    +tp8688
    +a(g132
    +Vdef 
    +p8689
    +tp8690
    +a(g7
    +V
    +p8691
    +tp8692
    +a(g7
    +V
    +tp8700
    +a(g132
    +V_bootstrap
    +p8701
    +tp8702
    +a(g7
    +V
    +p8703
    +tp8704
    +a(g132
    +V(
    +tp8705
    +a(g7
    +V
    +tp8713
    +a(g132
    +Vself
    +p8714
    +tp8715
    +a(g7
    +V
    +p8716
    +tp8717
    +a(g132
    +V):\u000a        
    +p8718
    +tp8719
    +a(g7
    +V
    +tp8727
    +a(g313
    +V"
    +p8728
    +tp8729
    +a(g313
    +V"
    +p8730
    +tp8731
    +a(g313
    +V"
    +p8732
    +tp8733
    +a(g7
    +V
    +p8734
    +tp8735
    +a(g7
    +V
    +tp8743
    +a(g132
    +VThis method is automatically called when subject_id is\u000a        None and an subject_id is required.
    +p8744
    +tp8745
    +a(g7
    +V
    +p8746
    +tp8747
    +a(g7
    +V
    +tp8755
    +a(g313
    +V"
    +p8756
    +tp8757
    +a(g313
    +V"
    +p8758
    +tp8759
    +a(g313
    +V"
    +p8760
    +tp8761
    +a(g7
    +V
    +p8762
    +tp8763
    +a(g132
    +V\u000a        
    +p8764
    +tp8765
    +a(g7
    +V
    +tp8773
    +a(g132
    +Vr
    +tp8774
    +a(g7
    +V
    +p8775
    +tp8776
    +a(g132
    +V 
    +tp8777
    +a(g7
    +V
    +tp8785
    +a(g132
    +V=
    +tp8786
    +a(g7
    +V
    +p8787
    +tp8788
    +a(g132
    +V 
    +tp8789
    +a(g7
    +V
    +tp8797
    +a(g132
    +Vself
    +p8798
    +tp8799
    +a(g7
    +V
    +p8800
    +tp8801
    +a(g132
    +V.
    +tp8802
    +a(g7
    +V
    +tp8810
    +a(g132
    +Vctx
    +p8811
    +tp8812
    +a(g7
    +V
    +p8813
    +tp8814
    +a(g132
    +V.
    +tp8815
    +a(g7
    +V
    +tp8823
    +a(g132
    +Vengine
    +p8824
    +tp8825
    +a(g7
    +V
    +p8826
    +tp8827
    +a(g132
    +V.
    +tp8828
    +a(g7
    +V
    +tp8836
    +a(g132
    +Vexecute
    +p8837
    +tp8838
    +a(g7
    +V
    +p8839
    +tp8840
    +a(g132
    +V(
    +tp8841
    +a(g7
    +V
    +tp8849
    +a(g132
    +Vacl_subjects
    +p8850
    +tp8851
    +a(g7
    +V
    +p8852
    +tp8853
    +a(g132
    +V.
    +tp8854
    +a(g7
    +V
    +tp8862
    +a(g132
    +Vinsert
    +p8863
    +tp8864
    +a(g7
    +V
    +p8865
    +tp8866
    +a(g132
    +V(),\u000a            
    +p8867
    +tp8868
    +a(g7
    +V
    +tp8876
    +a(g132
    +Vsubject_type
    +p8877
    +tp8878
    +a(g7
    +V
    +p8879
    +tp8880
    +a(g132
    +V 
    +tp8881
    +a(g7
    +V
    +tp8889
    +a(g132
    +V=
    +tp8890
    +a(g7
    +V
    +p8891
    +tp8892
    +a(g132
    +V 
    +tp8893
    +a(g7
    +V
    +tp8901
    +a(g132
    +Vself
    +p8902
    +tp8903
    +a(g7
    +V
    +p8904
    +tp8905
    +a(g132
    +V.
    +tp8906
    +a(g7
    +V
    +tp8914
    +a(g132
    +V_type
    +p8915
    +tp8916
    +a(g7
    +V
    +p8917
    +tp8918
    +a(g132
    +V\u000a\u000a        )\u000a        
    +p8919
    +tp8920
    +a(g7
    +V
    +tp8928
    +a(g132
    +Vself
    +p8929
    +tp8930
    +a(g7
    +V
    +p8931
    +tp8932
    +a(g132
    +V.
    +tp8933
    +a(g7
    +V
    +tp8941
    +a(g132
    +Vsubject
    +p8942
    +tp8943
    +a(g7
    +V
    +p8944
    +tp8945
    +a(g132
    +V.
    +tp8946
    +a(g7
    +V
    +tp8954
    +a(g132
    +Vsubject_id
    +p8955
    +tp8956
    +a(g7
    +V
    +p8957
    +tp8958
    +a(g132
    +V 
    +tp8959
    +a(g7
    +V
    +tp8967
    +a(g132
    +V=
    +tp8968
    +a(g7
    +V
    +p8969
    +tp8970
    +a(g132
    +V 
    +tp8971
    +a(g7
    +V
    +tp8979
    +a(g132
    +Vr
    +tp8980
    +a(g7
    +V
    +p8981
    +tp8982
    +a(g132
    +V.
    +tp8983
    +a(g7
    +V
    +tp8991
    +a(g132
    +Vlast_inserted_ids
    +p8992
    +tp8993
    +a(g7
    +V
    +p8994
    +tp8995
    +a(g132
    +V()[
    +p8996
    +tp8997
    +a(g7
    +V
    +tp9005
    +a(g132
    +V0
    +tp9006
    +a(g7
    +V
    +p9007
    +tp9008
    +a(g132
    +V]\u000a        
    +p9009
    +tp9010
    +a(g7
    +V
    +tp9018
    +a(g132
    +Vself
    +p9019
    +tp9020
    +a(g7
    +V
    +p9021
    +tp9022
    +a(g132
    +V.
    +tp9023
    +a(g7
    +V
    +tp9031
    +a(g132
    +Vsubject
    +p9032
    +tp9033
    +a(g7
    +V
    +p9034
    +tp9035
    +a(g132
    +V.
    +tp9036
    +a(g7
    +V
    +tp9044
    +a(g132
    +Vsave
    +p9045
    +tp9046
    +a(g7
    +V
    +p9047
    +tp9048
    +a(g132
    +V()\u000a\u000a    
    +p9049
    +tp9050
    +a(g7
    +V
    +tp9058
    +a(g132
    +Vdef 
    +p9059
    +tp9060
    +a(g7
    +V
    +p9061
    +tp9062
    +a(g7
    +V
    +tp9070
    +a(g132
    +V_bootstrap_object
    +p9071
    +tp9072
    +a(g7
    +V
    +p9073
    +tp9074
    +a(g132
    +V(
    +tp9075
    +a(g7
    +V
    +tp9083
    +a(g132
    +Vself
    +p9084
    +tp9085
    +a(g7
    +V
    +p9086
    +tp9087
    +a(g132
    +V, 
    +p9088
    +tp9089
    +a(g7
    +V
    +tp9097
    +a(g132
    +Vobj
    +p9098
    +tp9099
    +a(g7
    +V
    +p9100
    +tp9101
    +a(g132
    +V):\u000a        
    +p9102
    +tp9103
    +a(g7
    +V
    +tp9111
    +a(g313
    +V"
    +p9112
    +tp9113
    +a(g313
    +V"
    +p9114
    +tp9115
    +a(g313
    +V"
    +p9116
    +tp9117
    +a(g7
    +V
    +p9118
    +tp9119
    +a(g7
    +V
    +tp9127
    +a(g132
    +VLike _bootstrap but works for objects.
    +p9128
    +tp9129
    +a(g7
    +V
    +p9130
    +tp9131
    +a(g7
    +V
    +tp9139
    +a(g313
    +V"
    +p9140
    +tp9141
    +a(g313
    +V"
    +p9142
    +tp9143
    +a(g313
    +V"
    +p9144
    +tp9145
    +a(g7
    +V
    +p9146
    +tp9147
    +a(g132
    +V\u000a\u000a        
    +p9148
    +tp9149
    +a(g7
    +V
    +tp9157
    +a(g132
    +Vobjtype
    +p9158
    +tp9159
    +a(g7
    +V
    +p9160
    +tp9161
    +a(g132
    +V 
    +tp9162
    +a(g7
    +V
    +tp9170
    +a(g132
    +V=
    +tp9171
    +a(g7
    +V
    +p9172
    +tp9173
    +a(g132
    +V 
    +tp9174
    +a(g7
    +V
    +tp9182
    +a(g132
    +Vself
    +p9183
    +tp9184
    +a(g7
    +V
    +p9185
    +tp9186
    +a(g132
    +V.
    +tp9187
    +a(g7
    +V
    +tp9195
    +a(g132
    +V_get_object_type
    +p9196
    +tp9197
    +a(g7
    +V
    +p9198
    +tp9199
    +a(g132
    +V(
    +tp9200
    +a(g7
    +V
    +tp9208
    +a(g132
    +Vobj
    +p9209
    +tp9210
    +a(g7
    +V
    +p9211
    +tp9212
    +a(g132
    +V)\u000a        
    +p9213
    +tp9214
    +a(g7
    +V
    +tp9222
    +a(g132
    +Vr
    +tp9223
    +a(g7
    +V
    +p9224
    +tp9225
    +a(g132
    +V 
    +tp9226
    +a(g7
    +V
    +tp9234
    +a(g132
    +V=
    +tp9235
    +a(g7
    +V
    +p9236
    +tp9237
    +a(g132
    +V 
    +tp9238
    +a(g7
    +V
    +tp9246
    +a(g132
    +Vself
    +p9247
    +tp9248
    +a(g7
    +V
    +p9249
    +tp9250
    +a(g132
    +V.
    +tp9251
    +a(g7
    +V
    +tp9259
    +a(g132
    +Vctx
    +p9260
    +tp9261
    +a(g7
    +V
    +p9262
    +tp9263
    +a(g132
    +V.
    +tp9264
    +a(g7
    +V
    +tp9272
    +a(g132
    +Vengine
    +p9273
    +tp9274
    +a(g7
    +V
    +p9275
    +tp9276
    +a(g132
    +V.
    +tp9277
    +a(g7
    +V
    +tp9285
    +a(g132
    +Vexecute
    +p9286
    +tp9287
    +a(g7
    +V
    +p9288
    +tp9289
    +a(g132
    +V(
    +tp9290
    +a(g7
    +V
    +tp9298
    +a(g132
    +Vacl_objects
    +p9299
    +tp9300
    +a(g7
    +V
    +p9301
    +tp9302
    +a(g132
    +V.
    +tp9303
    +a(g7
    +V
    +tp9311
    +a(g132
    +Vinsert
    +p9312
    +tp9313
    +a(g7
    +V
    +p9314
    +tp9315
    +a(g132
    +V(),\u000a            
    +p9316
    +tp9317
    +a(g7
    +V
    +tp9325
    +a(g132
    +Vobject_type
    +p9326
    +tp9327
    +a(g7
    +V
    +p9328
    +tp9329
    +a(g132
    +V 
    +tp9330
    +a(g7
    +V
    +tp9338
    +a(g132
    +V=
    +tp9339
    +a(g7
    +V
    +p9340
    +tp9341
    +a(g132
    +V 
    +tp9342
    +a(g7
    +V
    +tp9350
    +a(g132
    +Vobjtype
    +p9351
    +tp9352
    +a(g7
    +V
    +p9353
    +tp9354
    +a(g132
    +V\u000a\u000a        )\u000a        
    +p9355
    +tp9356
    +a(g7
    +V
    +tp9364
    +a(g132
    +Vobj
    +p9365
    +tp9366
    +a(g7
    +V
    +p9367
    +tp9368
    +a(g132
    +V.
    +tp9369
    +a(g7
    +V
    +tp9377
    +a(g132
    +Vobject_id
    +p9378
    +tp9379
    +a(g7
    +V
    +p9380
    +tp9381
    +a(g132
    +V 
    +tp9382
    +a(g7
    +V
    +tp9390
    +a(g132
    +V=
    +tp9391
    +a(g7
    +V
    +p9392
    +tp9393
    +a(g132
    +V 
    +tp9394
    +a(g7
    +V
    +tp9402
    +a(g132
    +Vr
    +tp9403
    +a(g7
    +V
    +p9404
    +tp9405
    +a(g132
    +V.
    +tp9406
    +a(g7
    +V
    +tp9414
    +a(g132
    +Vlast_inserted_ids
    +p9415
    +tp9416
    +a(g7
    +V
    +p9417
    +tp9418
    +a(g132
    +V()[
    +p9419
    +tp9420
    +a(g7
    +V
    +tp9428
    +a(g132
    +V0
    +tp9429
    +a(g7
    +V
    +p9430
    +tp9431
    +a(g132
    +V]\u000a        
    +p9432
    +tp9433
    +a(g7
    +V
    +tp9441
    +a(g132
    +Vobj
    +p9442
    +tp9443
    +a(g7
    +V
    +p9444
    +tp9445
    +a(g132
    +V.
    +tp9446
    +a(g7
    +V
    +tp9454
    +a(g132
    +Vsave
    +p9455
    +tp9456
    +a(g7
    +V
    +p9457
    +tp9458
    +a(g132
    +V()\u000a\u000a    
    +p9459
    +tp9460
    +a(g7
    +V
    +tp9468
    +a(g132
    +Vdef 
    +p9469
    +tp9470
    +a(g7
    +V
    +p9471
    +tp9472
    +a(g7
    +V
    +tp9480
    +a(g132
    +V_get_object_type
    +p9481
    +tp9482
    +a(g7
    +V
    +p9483
    +tp9484
    +a(g132
    +V(
    +tp9485
    +a(g7
    +V
    +tp9493
    +a(g132
    +Vself
    +p9494
    +tp9495
    +a(g7
    +V
    +p9496
    +tp9497
    +a(g132
    +V, 
    +p9498
    +tp9499
    +a(g7
    +V
    +tp9507
    +a(g132
    +Vobj
    +p9508
    +tp9509
    +a(g7
    +V
    +p9510
    +tp9511
    +a(g132
    +V):\u000a        
    +p9512
    +tp9513
    +a(g7
    +V
    +tp9521
    +a(g132
    +Vif
    +p9522
    +tp9523
    +a(g7
    +V
    +p9524
    +tp9525
    +a(g132
    +V 
    +tp9526
    +a(g7
    +V
    +tp9534
    +a(g132
    +Visinstance
    +p9535
    +tp9536
    +a(g7
    +V
    +p9537
    +tp9538
    +a(g132
    +V(
    +tp9539
    +a(g7
    +V
    +tp9547
    +a(g132
    +Vobj
    +p9548
    +tp9549
    +a(g7
    +V
    +p9550
    +tp9551
    +a(g132
    +V, 
    +p9552
    +tp9553
    +a(g7
    +V
    +tp9561
    +a(g132
    +VForum
    +p9562
    +tp9563
    +a(g7
    +V
    +p9564
    +tp9565
    +a(g132
    +V):\u000a            
    +p9566
    +tp9567
    +a(g7
    +V
    +tp9575
    +a(g132
    +Vreturn
    +p9576
    +tp9577
    +a(g7
    +V
    +p9578
    +tp9579
    +a(g132
    +V 
    +tp9580
    +a(g7
    +V
    +tp9588
    +a(g313
    +V'
    +p9589
    +tp9590
    +a(g7
    +V
    +p9591
    +tp9592
    +a(g7
    +V
    +tp9600
    +a(g132
    +Vforum
    +p9601
    +tp9602
    +a(g7
    +V
    +p9603
    +tp9604
    +a(g7
    +V
    +tp9612
    +a(g313
    +V'
    +p9613
    +tp9614
    +a(g7
    +V
    +p9615
    +tp9616
    +a(g132
    +V\u000a\u000a        
    +p9617
    +tp9618
    +a(g7
    +V
    +tp9626
    +a(g132
    +Velif
    +p9627
    +tp9628
    +a(g7
    +V
    +p9629
    +tp9630
    +a(g132
    +V 
    +tp9631
    +a(g7
    +V
    +tp9639
    +a(g132
    +Visinstance
    +p9640
    +tp9641
    +a(g7
    +V
    +p9642
    +tp9643
    +a(g132
    +V(
    +tp9644
    +a(g7
    +V
    +tp9652
    +a(g132
    +Vobj
    +p9653
    +tp9654
    +a(g7
    +V
    +p9655
    +tp9656
    +a(g132
    +V, 
    +p9657
    +tp9658
    +a(g7
    +V
    +tp9666
    +a(g132
    +VThread
    +p9667
    +tp9668
    +a(g7
    +V
    +p9669
    +tp9670
    +a(g132
    +V):\u000a            
    +p9671
    +tp9672
    +a(g7
    +V
    +tp9680
    +a(g132
    +Vreturn
    +p9681
    +tp9682
    +a(g7
    +V
    +p9683
    +tp9684
    +a(g132
    +V 
    +tp9685
    +a(g7
    +V
    +tp9693
    +a(g313
    +V'
    +p9694
    +tp9695
    +a(g7
    +V
    +p9696
    +tp9697
    +a(g7
    +V
    +tp9705
    +a(g132
    +Vthread
    +p9706
    +tp9707
    +a(g7
    +V
    +p9708
    +tp9709
    +a(g7
    +V
    +tp9717
    +a(g313
    +V'
    +p9718
    +tp9719
    +a(g7
    +V
    +p9720
    +tp9721
    +a(g132
    +V\u000a        
    +p9722
    +tp9723
    +a(g7
    +V
    +tp9731
    +a(g132
    +Velif
    +p9732
    +tp9733
    +a(g7
    +V
    +p9734
    +tp9735
    +a(g132
    +V 
    +tp9736
    +a(g7
    +V
    +tp9744
    +a(g132
    +Vobj
    +p9745
    +tp9746
    +a(g7
    +V
    +p9747
    +tp9748
    +a(g132
    +V 
    +tp9749
    +a(g7
    +V
    +tp9757
    +a(g132
    +Vis
    +p9758
    +tp9759
    +a(g7
    +V
    +p9760
    +tp9761
    +a(g132
    +V 
    +tp9762
    +a(g7
    +V
    +tp9770
    +a(g132
    +VSite
    +p9771
    +tp9772
    +a(g7
    +V
    +p9773
    +tp9774
    +a(g132
    +V:\u000a            
    +p9775
    +tp9776
    +a(g7
    +V
    +tp9784
    +a(g132
    +Vreturn
    +p9785
    +tp9786
    +a(g7
    +V
    +p9787
    +tp9788
    +a(g132
    +V 
    +tp9789
    +a(g7
    +V
    +tp9797
    +a(g313
    +V'
    +p9798
    +tp9799
    +a(g7
    +V
    +p9800
    +tp9801
    +a(g7
    +V
    +tp9809
    +a(g132
    +Vsite
    +p9810
    +tp9811
    +a(g7
    +V
    +p9812
    +tp9813
    +a(g7
    +V
    +tp9821
    +a(g313
    +V'
    +p9822
    +tp9823
    +a(g7
    +V
    +p9824
    +tp9825
    +a(g132
    +V\u000a\u000a        
    +p9826
    +tp9827
    +a(g7
    +V
    +tp9835
    +a(g132
    +Vraise
    +p9836
    +tp9837
    +a(g7
    +V
    +p9838
    +tp9839
    +a(g132
    +V 
    +tp9840
    +a(g7
    +V
    +tp9848
    +a(g132
    +VTypeError
    +p9849
    +tp9850
    +a(g7
    +V
    +p9851
    +tp9852
    +a(g132
    +V(
    +tp9853
    +a(g7
    +V
    +tp9861
    +a(g313
    +V'
    +p9862
    +tp9863
    +a(g7
    +V
    +p9864
    +tp9865
    +a(g7
    +V
    +tp9873
    +a(g132
    +Vobj isn
    +p9874
    +tp9875
    +a(g7
    +V
    +p9876
    +tp9877
    +a(g7
    +V
    +tp9885
    +a(g132
    +V\u005c
    +tp9886
    +a(g313
    +V'
    +p9887
    +tp9888
    +a(g7
    +V
    +p9889
    +tp9890
    +a(g7
    +V
    +tp9898
    +a(g132
    +Vt a forum or thread
    +p9899
    +tp9900
    +a(g7
    +V
    +p9901
    +tp9902
    +a(g7
    +V
    +tp9910
    +a(g313
    +V'
    +p9911
    +tp9912
    +a(g7
    +V
    +p9913
    +tp9914
    +a(g132
    +V)\u000a\u000a    
    +p9915
    +tp9916
    +a(g7
    +V
    +tp9924
    +a(g132
    +Vdef 
    +p9925
    +tp9926
    +a(g7
    +V
    +p9927
    +tp9928
    +a(g7
    +V
    +tp9936
    +a(g132
    +V_get_object_join
    +p9937
    +tp9938
    +a(g7
    +V
    +p9939
    +tp9940
    +a(g132
    +V(
    +tp9941
    +a(g7
    +V
    +tp9949
    +a(g132
    +Vself
    +p9950
    +tp9951
    +a(g7
    +V
    +p9952
    +tp9953
    +a(g132
    +V, 
    +p9954
    +tp9955
    +a(g7
    +V
    +tp9963
    +a(g132
    +Vobj
    +p9964
    +tp9965
    +a(g7
    +V
    +p9966
    +tp9967
    +a(g132
    +V):\u000a        
    +p9968
    +tp9969
    +a(g7
    +V
    +tp9977
    +a(g313
    +V"
    +p9978
    +tp9979
    +a(g313
    +V"
    +p9980
    +tp9981
    +a(g313
    +V"
    +p9982
    +tp9983
    +a(g7
    +V
    +p9984
    +tp9985
    +a(g7
    +V
    +tp9993
    +a(g132
    +VReturns a subjoin for the object id.
    +p9994
    +tp9995
    +a(g7
    +V
    +p9996
    +tp9997
    +a(g7
    +V
    +tp10005
    +a(g313
    +V"
    +p10006
    +tp10007
    +a(g313
    +V"
    +p10008
    +tp10009
    +a(g313
    +V"
    +p10010
    +tp10011
    +a(g7
    +V
    +p10012
    +tp10013
    +a(g132
    +V\u000a\u000a        
    +p10014
    +tp10015
    +a(g7
    +V
    +tp10023
    +a(g132
    +Vt
    +tp10024
    +a(g7
    +V
    +p10025
    +tp10026
    +a(g132
    +V 
    +tp10027
    +a(g7
    +V
    +tp10035
    +a(g132
    +V=
    +tp10036
    +a(g7
    +V
    +p10037
    +tp10038
    +a(g132
    +V 
    +tp10039
    +a(g7
    +V
    +tp10047
    +a(g132
    +Vself
    +p10048
    +tp10049
    +a(g7
    +V
    +p10050
    +tp10051
    +a(g132
    +V.
    +tp10052
    +a(g7
    +V
    +tp10060
    +a(g132
    +V_get_object_type
    +p10061
    +tp10062
    +a(g7
    +V
    +p10063
    +tp10064
    +a(g132
    +V(
    +tp10065
    +a(g7
    +V
    +tp10073
    +a(g132
    +Vobj
    +p10074
    +tp10075
    +a(g7
    +V
    +p10076
    +tp10077
    +a(g132
    +V)\u000a        
    +p10078
    +tp10079
    +a(g7
    +V
    +tp10087
    +a(g132
    +Vif
    +p10088
    +tp10089
    +a(g7
    +V
    +p10090
    +tp10091
    +a(g132
    +V 
    +tp10092
    +a(g7
    +V
    +tp10100
    +a(g132
    +Vt
    +tp10101
    +a(g7
    +V
    +p10102
    +tp10103
    +a(g132
    +V 
    +tp10104
    +a(g7
    +V
    +tp10112
    +a(g132
    +V==
    +p10113
    +tp10114
    +a(g7
    +V
    +p10115
    +tp10116
    +a(g132
    +V 
    +tp10117
    +a(g7
    +V
    +tp10125
    +a(g313
    +V'
    +p10126
    +tp10127
    +a(g7
    +V
    +p10128
    +tp10129
    +a(g7
    +V
    +tp10137
    +a(g132
    +Vforum
    +p10138
    +tp10139
    +a(g7
    +V
    +p10140
    +tp10141
    +a(g7
    +V
    +tp10149
    +a(g313
    +V'
    +p10150
    +tp10151
    +a(g7
    +V
    +p10152
    +tp10153
    +a(g132
    +V:\u000a            
    +p10154
    +tp10155
    +a(g7
    +V
    +tp10163
    +a(g132
    +Vreturn
    +p10164
    +tp10165
    +a(g7
    +V
    +p10166
    +tp10167
    +a(g132
    +V 
    +tp10168
    +a(g7
    +V
    +tp10176
    +a(g132
    +Vmeta
    +p10177
    +tp10178
    +a(g7
    +V
    +p10179
    +tp10180
    +a(g132
    +V.
    +tp10181
    +a(g7
    +V
    +tp10189
    +a(g132
    +Vselect
    +p10190
    +tp10191
    +a(g7
    +V
    +p10192
    +tp10193
    +a(g132
    +V([
    +p10194
    +tp10195
    +a(g7
    +V
    +tp10203
    +a(g132
    +Vforums
    +p10204
    +tp10205
    +a(g7
    +V
    +p10206
    +tp10207
    +a(g132
    +V.
    +tp10208
    +a(g7
    +V
    +tp10216
    +a(g132
    +Vc
    +tp10217
    +a(g7
    +V
    +p10218
    +tp10219
    +a(g132
    +V.
    +tp10220
    +a(g7
    +V
    +tp10228
    +a(g132
    +Vobject_id
    +p10229
    +tp10230
    +a(g7
    +V
    +p10231
    +tp10232
    +a(g132
    +V],\u000a                
    +p10233
    +tp10234
    +a(g7
    +V
    +tp10242
    +a(g132
    +Vforums
    +p10243
    +tp10244
    +a(g7
    +V
    +p10245
    +tp10246
    +a(g132
    +V.
    +tp10247
    +a(g7
    +V
    +tp10255
    +a(g132
    +Vc
    +tp10256
    +a(g7
    +V
    +p10257
    +tp10258
    +a(g132
    +V.
    +tp10259
    +a(g7
    +V
    +tp10267
    +a(g132
    +Vforum_id
    +p10268
    +tp10269
    +a(g7
    +V
    +p10270
    +tp10271
    +a(g132
    +V 
    +tp10272
    +a(g7
    +V
    +tp10280
    +a(g132
    +V==
    +p10281
    +tp10282
    +a(g7
    +V
    +p10283
    +tp10284
    +a(g132
    +V 
    +tp10285
    +a(g7
    +V
    +tp10293
    +a(g132
    +Vobj
    +p10294
    +tp10295
    +a(g7
    +V
    +p10296
    +tp10297
    +a(g132
    +V.
    +tp10298
    +a(g7
    +V
    +tp10306
    +a(g132
    +Vforum_id
    +p10307
    +tp10308
    +a(g7
    +V
    +p10309
    +tp10310
    +a(g132
    +V\u000a\u000a            )\u000a        
    +p10311
    +tp10312
    +a(g7
    +V
    +tp10320
    +a(g132
    +Velif
    +p10321
    +tp10322
    +a(g7
    +V
    +p10323
    +tp10324
    +a(g132
    +V 
    +tp10325
    +a(g7
    +V
    +tp10333
    +a(g132
    +Vt
    +tp10334
    +a(g7
    +V
    +p10335
    +tp10336
    +a(g132
    +V 
    +tp10337
    +a(g7
    +V
    +tp10345
    +a(g132
    +V==
    +p10346
    +tp10347
    +a(g7
    +V
    +p10348
    +tp10349
    +a(g132
    +V 
    +tp10350
    +a(g7
    +V
    +tp10358
    +a(g313
    +V'
    +p10359
    +tp10360
    +a(g7
    +V
    +p10361
    +tp10362
    +a(g7
    +V
    +tp10370
    +a(g132
    +Vthread
    +p10371
    +tp10372
    +a(g7
    +V
    +p10373
    +tp10374
    +a(g7
    +V
    +tp10382
    +a(g313
    +V'
    +p10383
    +tp10384
    +a(g7
    +V
    +p10385
    +tp10386
    +a(g132
    +V:\u000a            
    +p10387
    +tp10388
    +a(g7
    +V
    +tp10396
    +a(g132
    +Vreturn
    +p10397
    +tp10398
    +a(g7
    +V
    +p10399
    +tp10400
    +a(g132
    +V 
    +tp10401
    +a(g7
    +V
    +tp10409
    +a(g132
    +Vmeta
    +p10410
    +tp10411
    +a(g7
    +V
    +p10412
    +tp10413
    +a(g132
    +V.
    +tp10414
    +a(g7
    +V
    +tp10422
    +a(g132
    +Vselect
    +p10423
    +tp10424
    +a(g7
    +V
    +p10425
    +tp10426
    +a(g132
    +V([
    +p10427
    +tp10428
    +a(g7
    +V
    +tp10436
    +a(g132
    +Vposts
    +p10437
    +tp10438
    +a(g7
    +V
    +p10439
    +tp10440
    +a(g132
    +V.
    +tp10441
    +a(g7
    +V
    +tp10449
    +a(g132
    +Vc
    +tp10450
    +a(g7
    +V
    +p10451
    +tp10452
    +a(g132
    +V.
    +tp10453
    +a(g7
    +V
    +tp10461
    +a(g132
    +Vobject_id
    +p10462
    +tp10463
    +a(g7
    +V
    +p10464
    +tp10465
    +a(g132
    +V],\u000a                
    +p10466
    +tp10467
    +a(g7
    +V
    +tp10475
    +a(g132
    +Vposts
    +p10476
    +tp10477
    +a(g7
    +V
    +p10478
    +tp10479
    +a(g132
    +V.
    +tp10480
    +a(g7
    +V
    +tp10488
    +a(g132
    +Vc
    +tp10489
    +a(g7
    +V
    +p10490
    +tp10491
    +a(g132
    +V.
    +tp10492
    +a(g7
    +V
    +tp10500
    +a(g132
    +Vpost_id
    +p10501
    +tp10502
    +a(g7
    +V
    +p10503
    +tp10504
    +a(g132
    +V 
    +tp10505
    +a(g7
    +V
    +tp10513
    +a(g132
    +V==
    +p10514
    +tp10515
    +a(g7
    +V
    +p10516
    +tp10517
    +a(g132
    +V 
    +tp10518
    +a(g7
    +V
    +tp10526
    +a(g132
    +Vobj
    +p10527
    +tp10528
    +a(g7
    +V
    +p10529
    +tp10530
    +a(g132
    +V.
    +tp10531
    +a(g7
    +V
    +tp10539
    +a(g132
    +Vpost_id
    +p10540
    +tp10541
    +a(g7
    +V
    +p10542
    +tp10543
    +a(g132
    +V\u000a\u000a            )\u000a        
    +p10544
    +tp10545
    +a(g7
    +V
    +tp10553
    +a(g132
    +Velse
    +p10554
    +tp10555
    +a(g7
    +V
    +p10556
    +tp10557
    +a(g132
    +V:\u000a            
    +p10558
    +tp10559
    +a(g7
    +V
    +tp10567
    +a(g132
    +V# XXX: it works ^^
    +p10568
    +tp10569
    +a(g7
    +V
    +p10570
    +tp10571
    +a(g132
    +V\u000a            
    +p10572
    +tp10573
    +a(g7
    +V
    +tp10581
    +a(g132
    +V# i really want something like meta.select(
    +p10582
    +tp10583
    +a(g313
    +V'
    +p10584
    +tp10585
    +a(g132
    +V0 as group_id
    +p10586
    +tp10587
    +a(g313
    +V'
    +p10588
    +tp10589
    +a(g132
    +V)
    +tp10590
    +a(g7
    +V
    +p10591
    +tp10592
    +a(g132
    +V\u000a            
    +p10593
    +tp10594
    +a(g7
    +V
    +tp10602
    +a(g132
    +Vclass 
    +p10603
    +tp10604
    +a(g7
    +V
    +p10605
    +tp10606
    +a(g7
    +V
    +tp10614
    +a(g132
    +VFake
    +p10615
    +tp10616
    +a(g7
    +V
    +p10617
    +tp10618
    +a(g132
    +V(
    +tp10619
    +a(g7
    +V
    +tp10627
    +a(g132
    +Vobject
    +p10628
    +tp10629
    +a(g7
    +V
    +p10630
    +tp10631
    +a(g132
    +V):\u000a                
    +p10632
    +tp10633
    +a(g7
    +V
    +tp10641
    +a(g132
    +Vdef 
    +p10642
    +tp10643
    +a(g7
    +V
    +p10644
    +tp10645
    +a(g7
    +V
    +tp10653
    +a(g132
    +Valias
    +p10654
    +tp10655
    +a(g7
    +V
    +p10656
    +tp10657
    +a(g132
    +V(
    +tp10658
    +a(g7
    +V
    +tp10666
    +a(g132
    +Vself
    +p10667
    +tp10668
    +a(g7
    +V
    +p10669
    +tp10670
    +a(g132
    +V, 
    +p10671
    +tp10672
    +a(g7
    +V
    +tp10680
    +a(g132
    +Vn
    +tp10681
    +a(g7
    +V
    +p10682
    +tp10683
    +a(g132
    +V):\u000a                    
    +p10684
    +tp10685
    +a(g7
    +V
    +tp10693
    +a(g132
    +Vclass 
    +p10694
    +tp10695
    +a(g7
    +V
    +p10696
    +tp10697
    +a(g7
    +V
    +tp10705
    +a(g132
    +V_C
    +p10706
    +tp10707
    +a(g7
    +V
    +p10708
    +tp10709
    +a(g132
    +V(
    +tp10710
    +a(g7
    +V
    +tp10718
    +a(g132
    +Vobject
    +p10719
    +tp10720
    +a(g7
    +V
    +p10721
    +tp10722
    +a(g132
    +V):\u000a                        
    +p10723
    +tp10724
    +a(g7
    +V
    +tp10732
    +a(g132
    +Vclass 
    +p10733
    +tp10734
    +a(g7
    +V
    +p10735
    +tp10736
    +a(g7
    +V
    +tp10744
    +a(g132
    +Vc
    +tp10745
    +a(g7
    +V
    +p10746
    +tp10747
    +a(g132
    +V(
    +tp10748
    +a(g7
    +V
    +tp10756
    +a(g132
    +Vobject
    +p10757
    +tp10758
    +a(g7
    +V
    +p10759
    +tp10760
    +a(g132
    +V):\u000a                            
    +p10761
    +tp10762
    +a(g7
    +V
    +tp10770
    +a(g132
    +Vobject_id
    +p10771
    +tp10772
    +a(g7
    +V
    +p10773
    +tp10774
    +a(g132
    +V 
    +tp10775
    +a(g7
    +V
    +tp10783
    +a(g132
    +V=
    +tp10784
    +a(g7
    +V
    +p10785
    +tp10786
    +a(g132
    +V 
    +tp10787
    +a(g7
    +V
    +tp10795
    +a(g132
    +V0
    +tp10796
    +a(g7
    +V
    +p10797
    +tp10798
    +a(g132
    +V\u000a\u000a                    
    +p10799
    +tp10800
    +a(g7
    +V
    +tp10808
    +a(g132
    +Vreturn
    +p10809
    +tp10810
    +a(g7
    +V
    +p10811
    +tp10812
    +a(g132
    +V 
    +tp10813
    +a(g7
    +V
    +tp10821
    +a(g132
    +V_C
    +p10822
    +tp10823
    +a(g7
    +V
    +p10824
    +tp10825
    +a(g132
    +V\u000a            
    +p10826
    +tp10827
    +a(g7
    +V
    +tp10835
    +a(g132
    +Vreturn
    +p10836
    +tp10837
    +a(g7
    +V
    +p10838
    +tp10839
    +a(g132
    +V 
    +tp10840
    +a(g7
    +V
    +tp10848
    +a(g132
    +VFake
    +p10849
    +tp10850
    +a(g7
    +V
    +p10851
    +tp10852
    +a(g132
    +V()\u000a\u000a    
    +p10853
    +tp10854
    +a(g7
    +V
    +tp10862
    +a(g132
    +Vdef 
    +p10863
    +tp10864
    +a(g7
    +V
    +p10865
    +tp10866
    +a(g7
    +V
    +tp10874
    +a(g132
    +V_get_subject_join
    +p10875
    +tp10876
    +a(g7
    +V
    +p10877
    +tp10878
    +a(g132
    +V(
    +tp10879
    +a(g7
    +V
    +tp10887
    +a(g132
    +Vself
    +p10888
    +tp10889
    +a(g7
    +V
    +p10890
    +tp10891
    +a(g132
    +V):\u000a        
    +p10892
    +tp10893
    +a(g7
    +V
    +tp10901
    +a(g313
    +V"
    +p10902
    +tp10903
    +a(g313
    +V"
    +p10904
    +tp10905
    +a(g313
    +V"
    +p10906
    +tp10907
    +a(g7
    +V
    +p10908
    +tp10909
    +a(g7
    +V
    +tp10917
    +a(g132
    +VReturns a subjoin for the subject id.
    +p10918
    +tp10919
    +a(g7
    +V
    +p10920
    +tp10921
    +a(g7
    +V
    +tp10929
    +a(g313
    +V"
    +p10930
    +tp10931
    +a(g313
    +V"
    +p10932
    +tp10933
    +a(g313
    +V"
    +p10934
    +tp10935
    +a(g7
    +V
    +p10936
    +tp10937
    +a(g132
    +V\u000a\u000a        
    +p10938
    +tp10939
    +a(g7
    +V
    +tp10947
    +a(g132
    +Vif
    +p10948
    +tp10949
    +a(g7
    +V
    +p10950
    +tp10951
    +a(g132
    +V 
    +tp10952
    +a(g7
    +V
    +tp10960
    +a(g132
    +Vself
    +p10961
    +tp10962
    +a(g7
    +V
    +p10963
    +tp10964
    +a(g132
    +V.
    +tp10965
    +a(g7
    +V
    +tp10973
    +a(g132
    +V_type
    +p10974
    +tp10975
    +a(g7
    +V
    +p10976
    +tp10977
    +a(g132
    +V 
    +tp10978
    +a(g7
    +V
    +tp10986
    +a(g132
    +V==
    +p10987
    +tp10988
    +a(g7
    +V
    +p10989
    +tp10990
    +a(g132
    +V 
    +tp10991
    +a(g7
    +V
    +tp10999
    +a(g313
    +V'
    +p11000
    +tp11001
    +a(g7
    +V
    +p11002
    +tp11003
    +a(g7
    +V
    +tp11011
    +a(g132
    +Vuser
    +p11012
    +tp11013
    +a(g7
    +V
    +p11014
    +tp11015
    +a(g7
    +V
    +tp11023
    +a(g313
    +V'
    +p11024
    +tp11025
    +a(g7
    +V
    +p11026
    +tp11027
    +a(g132
    +V:\u000a            
    +p11028
    +tp11029
    +a(g7
    +V
    +tp11037
    +a(g132
    +Vreturn
    +p11038
    +tp11039
    +a(g7
    +V
    +p11040
    +tp11041
    +a(g132
    +V 
    +tp11042
    +a(g7
    +V
    +tp11050
    +a(g132
    +Vmeta
    +p11051
    +tp11052
    +a(g7
    +V
    +p11053
    +tp11054
    +a(g132
    +V.
    +tp11055
    +a(g7
    +V
    +tp11063
    +a(g132
    +Vselect
    +p11064
    +tp11065
    +a(g7
    +V
    +p11066
    +tp11067
    +a(g132
    +V([
    +p11068
    +tp11069
    +a(g7
    +V
    +tp11077
    +a(g132
    +Vusers
    +p11078
    +tp11079
    +a(g7
    +V
    +p11080
    +tp11081
    +a(g132
    +V.
    +tp11082
    +a(g7
    +V
    +tp11090
    +a(g132
    +Vc
    +tp11091
    +a(g7
    +V
    +p11092
    +tp11093
    +a(g132
    +V.
    +tp11094
    +a(g7
    +V
    +tp11102
    +a(g132
    +Vsubject_id
    +p11103
    +tp11104
    +a(g7
    +V
    +p11105
    +tp11106
    +a(g132
    +V],\u000a                
    +p11107
    +tp11108
    +a(g7
    +V
    +tp11116
    +a(g132
    +Vusers
    +p11117
    +tp11118
    +a(g7
    +V
    +p11119
    +tp11120
    +a(g132
    +V.
    +tp11121
    +a(g7
    +V
    +tp11129
    +a(g132
    +Vc
    +tp11130
    +a(g7
    +V
    +p11131
    +tp11132
    +a(g132
    +V.
    +tp11133
    +a(g7
    +V
    +tp11141
    +a(g132
    +Vuser_id
    +p11142
    +tp11143
    +a(g7
    +V
    +p11144
    +tp11145
    +a(g132
    +V 
    +tp11146
    +a(g7
    +V
    +tp11154
    +a(g132
    +V==
    +p11155
    +tp11156
    +a(g7
    +V
    +p11157
    +tp11158
    +a(g132
    +V 
    +tp11159
    +a(g7
    +V
    +tp11167
    +a(g132
    +Vself
    +p11168
    +tp11169
    +a(g7
    +V
    +p11170
    +tp11171
    +a(g132
    +V.
    +tp11172
    +a(g7
    +V
    +tp11180
    +a(g132
    +Vsubject
    +p11181
    +tp11182
    +a(g7
    +V
    +p11183
    +tp11184
    +a(g132
    +V.
    +tp11185
    +a(g7
    +V
    +tp11193
    +a(g132
    +Vuser_id
    +p11194
    +tp11195
    +a(g7
    +V
    +p11196
    +tp11197
    +a(g132
    +V\u000a\u000a            )\u000a        
    +p11198
    +tp11199
    +a(g7
    +V
    +tp11207
    +a(g132
    +Vreturn
    +p11208
    +tp11209
    +a(g7
    +V
    +p11210
    +tp11211
    +a(g132
    +V 
    +tp11212
    +a(g7
    +V
    +tp11220
    +a(g132
    +Vmeta
    +p11221
    +tp11222
    +a(g7
    +V
    +p11223
    +tp11224
    +a(g132
    +V.
    +tp11225
    +a(g7
    +V
    +tp11233
    +a(g132
    +Vselect
    +p11234
    +tp11235
    +a(g7
    +V
    +p11236
    +tp11237
    +a(g132
    +V([
    +p11238
    +tp11239
    +a(g7
    +V
    +tp11247
    +a(g132
    +Vgroups
    +p11248
    +tp11249
    +a(g7
    +V
    +p11250
    +tp11251
    +a(g132
    +V.
    +tp11252
    +a(g7
    +V
    +tp11260
    +a(g132
    +Vc
    +tp11261
    +a(g7
    +V
    +p11262
    +tp11263
    +a(g132
    +V.
    +tp11264
    +a(g7
    +V
    +tp11272
    +a(g132
    +Vsubject_id
    +p11273
    +tp11274
    +a(g7
    +V
    +p11275
    +tp11276
    +a(g132
    +V],\u000a            
    +p11277
    +tp11278
    +a(g7
    +V
    +tp11286
    +a(g132
    +Vgroups
    +p11287
    +tp11288
    +a(g7
    +V
    +p11289
    +tp11290
    +a(g132
    +V.
    +tp11291
    +a(g7
    +V
    +tp11299
    +a(g132
    +Vc
    +tp11300
    +a(g7
    +V
    +p11301
    +tp11302
    +a(g132
    +V.
    +tp11303
    +a(g7
    +V
    +tp11311
    +a(g132
    +Vgroup_id
    +p11312
    +tp11313
    +a(g7
    +V
    +p11314
    +tp11315
    +a(g132
    +V 
    +tp11316
    +a(g7
    +V
    +tp11324
    +a(g132
    +V==
    +p11325
    +tp11326
    +a(g7
    +V
    +p11327
    +tp11328
    +a(g132
    +V 
    +tp11329
    +a(g7
    +V
    +tp11337
    +a(g132
    +Vself
    +p11338
    +tp11339
    +a(g7
    +V
    +p11340
    +tp11341
    +a(g132
    +V.
    +tp11342
    +a(g7
    +V
    +tp11350
    +a(g132
    +Vsubject
    +p11351
    +tp11352
    +a(g7
    +V
    +p11353
    +tp11354
    +a(g132
    +V.
    +tp11355
    +a(g7
    +V
    +tp11363
    +a(g132
    +Vgroup_id
    +p11364
    +tp11365
    +a(g7
    +V
    +p11366
    +tp11367
    +a(g132
    +V\u000a\u000a        )\u000a\u000a    
    +p11368
    +tp11369
    +a(g7
    +V
    +tp11377
    +a(g132
    +Vdef 
    +p11378
    +tp11379
    +a(g7
    +V
    +p11380
    +tp11381
    +a(g7
    +V
    +tp11389
    +a(g132
    +V_fetch_privilege
    +p11390
    +tp11391
    +a(g7
    +V
    +p11392
    +tp11393
    +a(g132
    +V(
    +tp11394
    +a(g7
    +V
    +tp11402
    +a(g132
    +Vself
    +p11403
    +tp11404
    +a(g7
    +V
    +p11405
    +tp11406
    +a(g132
    +V, 
    +p11407
    +tp11408
    +a(g7
    +V
    +tp11416
    +a(g132
    +Vname
    +p11417
    +tp11418
    +a(g7
    +V
    +p11419
    +tp11420
    +a(g132
    +V):\u000a        
    +p11421
    +tp11422
    +a(g7
    +V
    +tp11430
    +a(g313
    +V"
    +p11431
    +tp11432
    +a(g313
    +V"
    +p11433
    +tp11434
    +a(g313
    +V"
    +p11435
    +tp11436
    +a(g7
    +V
    +p11437
    +tp11438
    +a(g7
    +V
    +tp11446
    +a(g132
    +VReturns the priv_id for the given privilege. If it\u000a        doesn
    +p11447
    +tp11448
    +a(g7
    +V
    +p11449
    +tp11450
    +a(g7
    +V
    +tp11458
    +a(g132
    +V\u005c
    +tp11459
    +a(g313
    +V'
    +p11460
    +tp11461
    +a(g7
    +V
    +p11462
    +tp11463
    +a(g7
    +V
    +tp11471
    +a(g132
    +Vt exist by now the system will create a new\u000a        privilege.
    +p11472
    +tp11473
    +a(g7
    +V
    +p11474
    +tp11475
    +a(g7
    +V
    +tp11483
    +a(g313
    +V"
    +p11484
    +tp11485
    +a(g313
    +V"
    +p11486
    +tp11487
    +a(g313
    +V"
    +p11488
    +tp11489
    +a(g7
    +V
    +p11490
    +tp11491
    +a(g132
    +V\u000a        
    +p11492
    +tp11493
    +a(g7
    +V
    +tp11501
    +a(g132
    +Vr
    +tp11502
    +a(g7
    +V
    +p11503
    +tp11504
    +a(g132
    +V 
    +tp11505
    +a(g7
    +V
    +tp11513
    +a(g132
    +V=
    +tp11514
    +a(g7
    +V
    +p11515
    +tp11516
    +a(g132
    +V 
    +tp11517
    +a(g7
    +V
    +tp11525
    +a(g132
    +Vself
    +p11526
    +tp11527
    +a(g7
    +V
    +p11528
    +tp11529
    +a(g132
    +V.
    +tp11530
    +a(g7
    +V
    +tp11538
    +a(g132
    +Vctx
    +p11539
    +tp11540
    +a(g7
    +V
    +p11541
    +tp11542
    +a(g132
    +V.
    +tp11543
    +a(g7
    +V
    +tp11551
    +a(g132
    +Vengine
    +p11552
    +tp11553
    +a(g7
    +V
    +p11554
    +tp11555
    +a(g132
    +V.
    +tp11556
    +a(g7
    +V
    +tp11564
    +a(g132
    +Vexecute
    +p11565
    +tp11566
    +a(g7
    +V
    +p11567
    +tp11568
    +a(g132
    +V(
    +tp11569
    +a(g7
    +V
    +tp11577
    +a(g132
    +Vmeta
    +p11578
    +tp11579
    +a(g7
    +V
    +p11580
    +tp11581
    +a(g132
    +V.
    +tp11582
    +a(g7
    +V
    +tp11590
    +a(g132
    +Vselect
    +p11591
    +tp11592
    +a(g7
    +V
    +p11593
    +tp11594
    +a(g132
    +V([
    +p11595
    +tp11596
    +a(g7
    +V
    +tp11604
    +a(g132
    +Vprivileges
    +p11605
    +tp11606
    +a(g7
    +V
    +p11607
    +tp11608
    +a(g132
    +V.
    +tp11609
    +a(g7
    +V
    +tp11617
    +a(g132
    +Vc
    +tp11618
    +a(g7
    +V
    +p11619
    +tp11620
    +a(g132
    +V.
    +tp11621
    +a(g7
    +V
    +tp11629
    +a(g132
    +Vpriv_id
    +p11630
    +tp11631
    +a(g7
    +V
    +p11632
    +tp11633
    +a(g132
    +V],\u000a            
    +p11634
    +tp11635
    +a(g7
    +V
    +tp11643
    +a(g132
    +Vprivileges
    +p11644
    +tp11645
    +a(g7
    +V
    +p11646
    +tp11647
    +a(g132
    +V.
    +tp11648
    +a(g7
    +V
    +tp11656
    +a(g132
    +Vc
    +tp11657
    +a(g7
    +V
    +p11658
    +tp11659
    +a(g132
    +V.
    +tp11660
    +a(g7
    +V
    +tp11668
    +a(g132
    +Vname
    +p11669
    +tp11670
    +a(g7
    +V
    +p11671
    +tp11672
    +a(g132
    +V 
    +tp11673
    +a(g7
    +V
    +tp11681
    +a(g132
    +V==
    +p11682
    +tp11683
    +a(g7
    +V
    +p11684
    +tp11685
    +a(g132
    +V 
    +tp11686
    +a(g7
    +V
    +tp11694
    +a(g132
    +Vname
    +p11695
    +tp11696
    +a(g7
    +V
    +p11697
    +tp11698
    +a(g132
    +V\u000a\u000a        ))\u000a        
    +p11699
    +tp11700
    +a(g7
    +V
    +tp11708
    +a(g132
    +Vrow
    +p11709
    +tp11710
    +a(g7
    +V
    +p11711
    +tp11712
    +a(g132
    +V 
    +tp11713
    +a(g7
    +V
    +tp11721
    +a(g132
    +V=
    +tp11722
    +a(g7
    +V
    +p11723
    +tp11724
    +a(g132
    +V 
    +tp11725
    +a(g7
    +V
    +tp11733
    +a(g132
    +Vr
    +tp11734
    +a(g7
    +V
    +p11735
    +tp11736
    +a(g132
    +V.
    +tp11737
    +a(g7
    +V
    +tp11745
    +a(g132
    +Vfetchone
    +p11746
    +tp11747
    +a(g7
    +V
    +p11748
    +tp11749
    +a(g132
    +V()\u000a        
    +p11750
    +tp11751
    +a(g7
    +V
    +tp11759
    +a(g132
    +Vif
    +p11760
    +tp11761
    +a(g7
    +V
    +p11762
    +tp11763
    +a(g132
    +V 
    +tp11764
    +a(g7
    +V
    +tp11772
    +a(g132
    +Vrow
    +p11773
    +tp11774
    +a(g7
    +V
    +p11775
    +tp11776
    +a(g132
    +V 
    +tp11777
    +a(g7
    +V
    +tp11785
    +a(g132
    +Vis
    +p11786
    +tp11787
    +a(g7
    +V
    +p11788
    +tp11789
    +a(g132
    +V 
    +tp11790
    +a(g7
    +V
    +tp11798
    +a(g132
    +Vnot
    +p11799
    +tp11800
    +a(g7
    +V
    +p11801
    +tp11802
    +a(g132
    +V 
    +tp11803
    +a(g7
    +V
    +tp11811
    +a(g132
    +VNone
    +p11812
    +tp11813
    +a(g7
    +V
    +p11814
    +tp11815
    +a(g132
    +V:\u000a            
    +p11816
    +tp11817
    +a(g7
    +V
    +tp11825
    +a(g132
    +Vreturn
    +p11826
    +tp11827
    +a(g7
    +V
    +p11828
    +tp11829
    +a(g132
    +V 
    +tp11830
    +a(g7
    +V
    +tp11838
    +a(g132
    +Vrow
    +p11839
    +tp11840
    +a(g7
    +V
    +p11841
    +tp11842
    +a(g132
    +V[
    +tp11843
    +a(g7
    +V
    +tp11851
    +a(g132
    +V0
    +tp11852
    +a(g7
    +V
    +p11853
    +tp11854
    +a(g132
    +V]\u000a        
    +p11855
    +tp11856
    +a(g7
    +V
    +tp11864
    +a(g132
    +Vr
    +tp11865
    +a(g7
    +V
    +p11866
    +tp11867
    +a(g132
    +V 
    +tp11868
    +a(g7
    +V
    +tp11876
    +a(g132
    +V=
    +tp11877
    +a(g7
    +V
    +p11878
    +tp11879
    +a(g132
    +V 
    +tp11880
    +a(g7
    +V
    +tp11888
    +a(g132
    +Vself
    +p11889
    +tp11890
    +a(g7
    +V
    +p11891
    +tp11892
    +a(g132
    +V.
    +tp11893
    +a(g7
    +V
    +tp11901
    +a(g132
    +Vctx
    +p11902
    +tp11903
    +a(g7
    +V
    +p11904
    +tp11905
    +a(g132
    +V.
    +tp11906
    +a(g7
    +V
    +tp11914
    +a(g132
    +Vengine
    +p11915
    +tp11916
    +a(g7
    +V
    +p11917
    +tp11918
    +a(g132
    +V.
    +tp11919
    +a(g7
    +V
    +tp11927
    +a(g132
    +Vexecute
    +p11928
    +tp11929
    +a(g7
    +V
    +p11930
    +tp11931
    +a(g132
    +V(
    +tp11932
    +a(g7
    +V
    +tp11940
    +a(g132
    +Vprivileges
    +p11941
    +tp11942
    +a(g7
    +V
    +p11943
    +tp11944
    +a(g132
    +V.
    +tp11945
    +a(g7
    +V
    +tp11953
    +a(g132
    +Vinsert
    +p11954
    +tp11955
    +a(g7
    +V
    +p11956
    +tp11957
    +a(g132
    +V(),\u000a            
    +p11958
    +tp11959
    +a(g7
    +V
    +tp11967
    +a(g132
    +Vname
    +p11968
    +tp11969
    +a(g7
    +V
    +p11970
    +tp11971
    +a(g132
    +V 
    +tp11972
    +a(g7
    +V
    +tp11980
    +a(g132
    +V=
    +tp11981
    +a(g7
    +V
    +p11982
    +tp11983
    +a(g132
    +V 
    +tp11984
    +a(g7
    +V
    +tp11992
    +a(g132
    +Vname
    +p11993
    +tp11994
    +a(g7
    +V
    +p11995
    +tp11996
    +a(g132
    +V\u000a\u000a        )\u000a        
    +p11997
    +tp11998
    +a(g7
    +V
    +tp12006
    +a(g132
    +Vreturn
    +p12007
    +tp12008
    +a(g7
    +V
    +p12009
    +tp12010
    +a(g132
    +V 
    +tp12011
    +a(g7
    +V
    +tp12019
    +a(g132
    +Vr
    +tp12020
    +a(g7
    +V
    +p12021
    +tp12022
    +a(g132
    +V.
    +tp12023
    +a(g7
    +V
    +tp12031
    +a(g132
    +Vlast_inserted_ids
    +p12032
    +tp12033
    +a(g7
    +V
    +p12034
    +tp12035
    +a(g132
    +V()[
    +p12036
    +tp12037
    +a(g7
    +V
    +tp12045
    +a(g132
    +V0
    +tp12046
    +a(g7
    +V
    +p12047
    +tp12048
    +a(g132
    +V]\u000a\u000a    
    +p12049
    +tp12050
    +a(g7
    +V
    +tp12058
    +a(g132
    +Vdef 
    +p12059
    +tp12060
    +a(g7
    +V
    +p12061
    +tp12062
    +a(g7
    +V
    +tp12070
    +a(g132
    +V__repr__
    +p12071
    +tp12072
    +a(g7
    +V
    +p12073
    +tp12074
    +a(g132
    +V(
    +tp12075
    +a(g7
    +V
    +tp12083
    +a(g132
    +Vself
    +p12084
    +tp12085
    +a(g7
    +V
    +p12086
    +tp12087
    +a(g132
    +V):\u000a        
    +p12088
    +tp12089
    +a(g7
    +V
    +tp12097
    +a(g132
    +Vif
    +p12098
    +tp12099
    +a(g7
    +V
    +p12100
    +tp12101
    +a(g132
    +V 
    +tp12102
    +a(g7
    +V
    +tp12110
    +a(g132
    +Vself
    +p12111
    +tp12112
    +a(g7
    +V
    +p12113
    +tp12114
    +a(g132
    +V.
    +tp12115
    +a(g7
    +V
    +tp12123
    +a(g132
    +V_type
    +p12124
    +tp12125
    +a(g7
    +V
    +p12126
    +tp12127
    +a(g132
    +V 
    +tp12128
    +a(g7
    +V
    +tp12136
    +a(g132
    +V==
    +p12137
    +tp12138
    +a(g7
    +V
    +p12139
    +tp12140
    +a(g132
    +V 
    +tp12141
    +a(g7
    +V
    +tp12149
    +a(g313
    +V'
    +p12150
    +tp12151
    +a(g7
    +V
    +p12152
    +tp12153
    +a(g7
    +V
    +tp12161
    +a(g132
    +Vuser
    +p12162
    +tp12163
    +a(g7
    +V
    +p12164
    +tp12165
    +a(g7
    +V
    +tp12173
    +a(g313
    +V'
    +p12174
    +tp12175
    +a(g7
    +V
    +p12176
    +tp12177
    +a(g132
    +V:\u000a            
    +p12178
    +tp12179
    +a(g7
    +V
    +tp12187
    +a(g132
    +Vid_
    +p12188
    +tp12189
    +a(g7
    +V
    +p12190
    +tp12191
    +a(g132
    +V 
    +tp12192
    +a(g7
    +V
    +tp12200
    +a(g132
    +V=
    +tp12201
    +a(g7
    +V
    +p12202
    +tp12203
    +a(g132
    +V 
    +tp12204
    +a(g7
    +V
    +tp12212
    +a(g132
    +Vself
    +p12213
    +tp12214
    +a(g7
    +V
    +p12215
    +tp12216
    +a(g132
    +V.
    +tp12217
    +a(g7
    +V
    +tp12225
    +a(g132
    +Vsubject
    +p12226
    +tp12227
    +a(g7
    +V
    +p12228
    +tp12229
    +a(g132
    +V.
    +tp12230
    +a(g7
    +V
    +tp12238
    +a(g132
    +Vuser_id
    +p12239
    +tp12240
    +a(g7
    +V
    +p12241
    +tp12242
    +a(g132
    +V\u000a\u000a        
    +p12243
    +tp12244
    +a(g7
    +V
    +tp12252
    +a(g132
    +Velse
    +p12253
    +tp12254
    +a(g7
    +V
    +p12255
    +tp12256
    +a(g132
    +V:\u000a            
    +p12257
    +tp12258
    +a(g7
    +V
    +tp12266
    +a(g132
    +Vid_
    +p12267
    +tp12268
    +a(g7
    +V
    +p12269
    +tp12270
    +a(g132
    +V 
    +tp12271
    +a(g7
    +V
    +tp12279
    +a(g132
    +V=
    +tp12280
    +a(g7
    +V
    +p12281
    +tp12282
    +a(g132
    +V 
    +tp12283
    +a(g7
    +V
    +tp12291
    +a(g132
    +Vself
    +p12292
    +tp12293
    +a(g7
    +V
    +p12294
    +tp12295
    +a(g132
    +V.
    +tp12296
    +a(g7
    +V
    +tp12304
    +a(g132
    +Vsubject
    +p12305
    +tp12306
    +a(g7
    +V
    +p12307
    +tp12308
    +a(g132
    +V.
    +tp12309
    +a(g7
    +V
    +tp12317
    +a(g132
    +Vgroup_id
    +p12318
    +tp12319
    +a(g7
    +V
    +p12320
    +tp12321
    +a(g132
    +V\u000a        
    +p12322
    +tp12323
    +a(g7
    +V
    +tp12331
    +a(g132
    +Vif
    +p12332
    +tp12333
    +a(g7
    +V
    +p12334
    +tp12335
    +a(g132
    +V 
    +tp12336
    +a(g7
    +V
    +tp12344
    +a(g132
    +Vself
    +p12345
    +tp12346
    +a(g7
    +V
    +p12347
    +tp12348
    +a(g132
    +V.
    +tp12349
    +a(g7
    +V
    +tp12357
    +a(g132
    +Vsubject
    +p12358
    +tp12359
    +a(g7
    +V
    +p12360
    +tp12361
    +a(g132
    +V.
    +tp12362
    +a(g7
    +V
    +tp12370
    +a(g132
    +Vsubject_id
    +p12371
    +tp12372
    +a(g7
    +V
    +p12373
    +tp12374
    +a(g132
    +V 
    +tp12375
    +a(g7
    +V
    +tp12383
    +a(g132
    +Vis
    +p12384
    +tp12385
    +a(g7
    +V
    +p12386
    +tp12387
    +a(g132
    +V 
    +tp12388
    +a(g7
    +V
    +tp12396
    +a(g132
    +VNone
    +p12397
    +tp12398
    +a(g7
    +V
    +p12399
    +tp12400
    +a(g132
    +V:\u000a            
    +p12401
    +tp12402
    +a(g7
    +V
    +tp12410
    +a(g132
    +Vreturn
    +p12411
    +tp12412
    +a(g7
    +V
    +p12413
    +tp12414
    +a(g132
    +V 
    +tp12415
    +a(g7
    +V
    +tp12423
    +a(g313
    +V'
    +p12424
    +tp12425
    +a(g7
    +V
    +p12426
    +tp12427
    +a(g7
    +V
    +tp12435
    +a(g313
    +V<
    +p12436
    +tp12437
    +a(g7
    +V
    +p12438
    +tp12439
    +a(g7
    +V
    +tp12447
    +a(g132
    +V%s
    +p12448
    +tp12449
    +a(g7
    +V
    +p12450
    +tp12451
    +a(g7
    +V
    +tp12459
    +a(g132
    +V 
    +tp12460
    +a(g7
    +V
    +p12461
    +tp12462
    +a(g7
    +V
    +tp12470
    +a(g132
    +V%s
    +p12471
    +tp12472
    +a(g7
    +V
    +p12473
    +tp12474
    +a(g7
    +V
    +tp12482
    +a(g132
    +V:
    +tp12483
    +a(g7
    +V
    +p12484
    +tp12485
    +a(g7
    +V
    +tp12493
    +a(g132
    +V%d
    +p12494
    +tp12495
    +a(g7
    +V
    +p12496
    +tp12497
    +a(g7
    +V
    +tp12505
    +a(g132
    +V inactive
    +p12506
    +tp12507
    +a(g313
    +V>
    +p12508
    +tp12509
    +a(g7
    +V
    +p12510
    +tp12511
    +a(g7
    +V
    +tp12519
    +a(g313
    +V'
    +p12520
    +tp12521
    +a(g7
    +V
    +p12522
    +tp12523
    +a(g132
    +V 
    +tp12524
    +a(g7
    +V
    +tp12532
    +a(g132
    +V%
    +tp12533
    +a(g7
    +V
    +p12534
    +tp12535
    +a(g132
    +V (\u000a                
    +p12536
    +tp12537
    +a(g7
    +V
    +tp12545
    +a(g132
    +Vself
    +p12546
    +tp12547
    +a(g7
    +V
    +p12548
    +tp12549
    +a(g132
    +V.
    +tp12550
    +a(g7
    +V
    +tp12558
    +a(g132
    +V__class__
    +p12559
    +tp12560
    +a(g7
    +V
    +p12561
    +tp12562
    +a(g132
    +V.
    +tp12563
    +a(g7
    +V
    +tp12571
    +a(g132
    +V__name__
    +p12572
    +tp12573
    +a(g7
    +V
    +p12574
    +tp12575
    +a(g132
    +V,\u000a                
    +p12576
    +tp12577
    +a(g7
    +V
    +tp12585
    +a(g132
    +Vself
    +p12586
    +tp12587
    +a(g7
    +V
    +p12588
    +tp12589
    +a(g132
    +V.
    +tp12590
    +a(g7
    +V
    +tp12598
    +a(g132
    +V_type
    +p12599
    +tp12600
    +a(g7
    +V
    +p12601
    +tp12602
    +a(g132
    +V,\u000a                
    +p12603
    +tp12604
    +a(g7
    +V
    +tp12612
    +a(g132
    +Vid_
    +p12613
    +tp12614
    +a(g7
    +V
    +p12615
    +tp12616
    +a(g132
    +V\u000a\u000a            )\u000a        
    +p12617
    +tp12618
    +a(g7
    +V
    +tp12626
    +a(g132
    +Vreturn
    +p12627
    +tp12628
    +a(g7
    +V
    +p12629
    +tp12630
    +a(g132
    +V 
    +tp12631
    +a(g7
    +V
    +tp12639
    +a(g313
    +V'
    +p12640
    +tp12641
    +a(g7
    +V
    +p12642
    +tp12643
    +a(g7
    +V
    +tp12651
    +a(g313
    +V<
    +p12652
    +tp12653
    +a(g7
    +V
    +p12654
    +tp12655
    +a(g7
    +V
    +tp12663
    +a(g132
    +V%s
    +p12664
    +tp12665
    +a(g7
    +V
    +p12666
    +tp12667
    +a(g7
    +V
    +tp12675
    +a(g132
    +V 
    +tp12676
    +a(g7
    +V
    +p12677
    +tp12678
    +a(g7
    +V
    +tp12686
    +a(g132
    +V%s
    +p12687
    +tp12688
    +a(g7
    +V
    +p12689
    +tp12690
    +a(g7
    +V
    +tp12698
    +a(g132
    +V:
    +tp12699
    +a(g7
    +V
    +p12700
    +tp12701
    +a(g7
    +V
    +tp12709
    +a(g132
    +V%d
    +p12710
    +tp12711
    +a(g7
    +V
    +p12712
    +tp12713
    +a(g7
    +V
    +tp12721
    +a(g132
    +V active as 
    +p12722
    +tp12723
    +a(g7
    +V
    +p12724
    +tp12725
    +a(g7
    +V
    +tp12733
    +a(g132
    +V%d
    +p12734
    +tp12735
    +a(g7
    +V
    +p12736
    +tp12737
    +a(g7
    +V
    +tp12745
    +a(g313
    +V>
    +p12746
    +tp12747
    +a(g7
    +V
    +p12748
    +tp12749
    +a(g7
    +V
    +tp12757
    +a(g313
    +V'
    +p12758
    +tp12759
    +a(g7
    +V
    +p12760
    +tp12761
    +a(g132
    +V 
    +tp12762
    +a(g7
    +V
    +tp12770
    +a(g132
    +V%
    +tp12771
    +a(g7
    +V
    +p12772
    +tp12773
    +a(g132
    +V (\u000a            
    +p12774
    +tp12775
    +a(g7
    +V
    +tp12783
    +a(g132
    +Vself
    +p12784
    +tp12785
    +a(g7
    +V
    +p12786
    +tp12787
    +a(g132
    +V.
    +tp12788
    +a(g7
    +V
    +tp12796
    +a(g132
    +V__class__
    +p12797
    +tp12798
    +a(g7
    +V
    +p12799
    +tp12800
    +a(g132
    +V.
    +tp12801
    +a(g7
    +V
    +tp12809
    +a(g132
    +V__name__
    +p12810
    +tp12811
    +a(g7
    +V
    +p12812
    +tp12813
    +a(g132
    +V,\u000a            
    +p12814
    +tp12815
    +a(g7
    +V
    +tp12823
    +a(g132
    +Vself
    +p12824
    +tp12825
    +a(g7
    +V
    +p12826
    +tp12827
    +a(g132
    +V.
    +tp12828
    +a(g7
    +V
    +tp12836
    +a(g132
    +V_type
    +p12837
    +tp12838
    +a(g7
    +V
    +p12839
    +tp12840
    +a(g132
    +V,\u000a            
    +p12841
    +tp12842
    +a(g7
    +V
    +tp12850
    +a(g132
    +Vid_
    +p12851
    +tp12852
    +a(g7
    +V
    +p12853
    +tp12854
    +a(g132
    +V,\u000a            
    +p12855
    +tp12856
    +a(g7
    +V
    +tp12864
    +a(g132
    +Vself
    +p12865
    +tp12866
    +a(g7
    +V
    +p12867
    +tp12868
    +a(g132
    +V.
    +tp12869
    +a(g7
    +V
    +tp12877
    +a(g132
    +Vsubject
    +p12878
    +tp12879
    +a(g7
    +V
    +p12880
    +tp12881
    +a(g132
    +V.
    +tp12882
    +a(g7
    +V
    +tp12890
    +a(g132
    +Vsubject_id
    +p12891
    +tp12892
    +a(g7
    +V
    +p12893
    +tp12894
    +a(g132
    +V\u000a\u000a        )\u000a
    +p12895
    +tp12896
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.java b/tests/examplefiles/output/test.java
    new file mode 100644
    index 0000000..46d4801
    --- /dev/null
    +++ b/tests/examplefiles/output/test.java
    @@ -0,0 +1,14660 @@
    +(lp1
    +(ccopy_reg
    +_reconstructor
    +p2
    +(cpygments.token
    +_TokenType
    +p3
    +c__builtin__
    +tuple
    +p4
    +(S'Comment'
    +p5
    +S'Multiline'
    +p6
    +ttRp7
    +(dp8
    +S'subtypes'
    +p9
    +c__builtin__
    +set
    +p10
    +((ltRp11
    +sS'parent'
    +p12
    +g2
    +(g3
    +g4
    +(g5
    +ttRp13
    +(dp14
    +g12
    +g2
    +(g3
    +g4
    +(ttRp15
    +(dp16
    +g5
    +g13
    +sS'Name'
    +p17
    +g2
    +(g3
    +g4
    +(g17
    +ttRp18
    +(dp19
    +S'Function'
    +p20
    +g2
    +(g3
    +g4
    +(g17
    +g20
    +ttRp21
    +(dp22
    +g9
    +g10
    +((ltRp23
    +sg12
    +g18
    +sbsS'Exception'
    +p24
    +g2
    +(g3
    +g4
    +(g17
    +g24
    +ttRp25
    +(dp26
    +g9
    +g10
    +((ltRp27
    +sg12
    +g18
    +sbsS'Tag'
    +p28
    +g2
    +(g3
    +g4
    +(g17
    +g28
    +ttRp29
    +(dp30
    +g9
    +g10
    +((ltRp31
    +sg12
    +g18
    +sbsS'Constant'
    +p32
    +g2
    +(g3
    +g4
    +(g17
    +g32
    +ttRp33
    +(dp34
    +g9
    +g10
    +((ltRp35
    +sg12
    +g18
    +sbsg12
    +g15
    +sS'Pseudo'
    +p36
    +g2
    +(g3
    +g4
    +(g17
    +g36
    +ttRp37
    +(dp38
    +g9
    +g10
    +((ltRp39
    +sg12
    +g18
    +sbsS'Attribute'
    +p40
    +g2
    +(g3
    +g4
    +(g17
    +g40
    +ttRp41
    +(dp42
    +g9
    +g10
    +((ltRp43
    +sg12
    +g18
    +sbsS'Label'
    +p44
    +g2
    +(g3
    +g4
    +(g17
    +g44
    +ttRp45
    +(dp46
    +g9
    +g10
    +((ltRp47
    +sg12
    +g18
    +sbsS'Blubb'
    +p48
    +g2
    +(g3
    +g4
    +(g17
    +g48
    +ttRp49
    +(dp50
    +g9
    +g10
    +((ltRp51
    +sg12
    +g18
    +sbsS'Entity'
    +p52
    +g2
    +(g3
    +g4
    +(g17
    +g52
    +ttRp53
    +(dp54
    +g9
    +g10
    +((ltRp55
    +sg12
    +g18
    +sbsS'Builtin'
    +p56
    +g2
    +(g3
    +g4
    +(g17
    +g56
    +ttRp57
    +(dp58
    +g9
    +g10
    +((lp59
    +g2
    +(g3
    +g4
    +(g17
    +g56
    +g36
    +ttRp60
    +(dp61
    +g9
    +g10
    +((ltRp62
    +sg12
    +g57
    +sbatRp63
    +sg36
    +g60
    +sg12
    +g18
    +sbsS'Other'
    +p64
    +g2
    +(g3
    +g4
    +(g17
    +g64
    +ttRp65
    +(dp66
    +g9
    +g10
    +((ltRp67
    +sg12
    +g18
    +sbsS'Identifier'
    +p68
    +g2
    +(g3
    +g4
    +(g17
    +g68
    +ttRp69
    +(dp70
    +g9
    +g10
    +((ltRp71
    +sg12
    +g18
    +sbsS'Variable'
    +p72
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +ttRp73
    +(dp74
    +g12
    +g18
    +sS'Global'
    +p75
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +g75
    +ttRp76
    +(dp77
    +g9
    +g10
    +((ltRp78
    +sg12
    +g73
    +sbsS'Instance'
    +p79
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +g79
    +ttRp80
    +(dp81
    +g9
    +g10
    +((ltRp82
    +sg12
    +g73
    +sbsS'Anonymous'
    +p83
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +g83
    +ttRp84
    +(dp85
    +g9
    +g10
    +((ltRp86
    +sg12
    +g73
    +sbsg9
    +g10
    +((lp87
    +g84
    +ag80
    +ag76
    +ag2
    +(g3
    +g4
    +(g17
    +g72
    +S'Class'
    +p88
    +ttRp89
    +(dp90
    +g9
    +g10
    +((ltRp91
    +sg12
    +g73
    +sbatRp92
    +sg88
    +g89
    +sbsg9
    +g10
    +((lp93
    +g2
    +(g3
    +g4
    +(g17
    +S'Decorator'
    +p94
    +ttRp95
    +(dp96
    +g9
    +g10
    +((ltRp97
    +sg12
    +g18
    +sbag41
    +ag33
    +ag37
    +ag2
    +(g3
    +g4
    +(g17
    +S'Namespace'
    +p98
    +ttRp99
    +(dp100
    +g9
    +g10
    +((ltRp101
    +sg12
    +g18
    +sbag69
    +ag57
    +ag73
    +ag65
    +ag49
    +ag53
    +ag21
    +ag2
    +(g3
    +g4
    +(g17
    +S'Property'
    +p102
    +ttRp103
    +(dp104
    +g9
    +g10
    +((ltRp105
    +sg12
    +g18
    +sbag45
    +ag29
    +ag25
    +ag2
    +(g3
    +g4
    +(g17
    +g88
    +ttRp106
    +(dp107
    +g9
    +g10
    +((ltRp108
    +sg12
    +g18
    +sbatRp109
    +sg102
    +g103
    +sg88
    +g106
    +sg94
    +g95
    +sg98
    +g99
    +sbsS'Keyword'
    +p110
    +g2
    +(g3
    +g4
    +(g110
    +ttRp111
    +(dp112
    +S'Pervasive'
    +p113
    +g2
    +(g3
    +g4
    +(g110
    +g113
    +ttRp114
    +(dp115
    +g9
    +g10
    +((ltRp116
    +sg12
    +g111
    +sbsg32
    +g2
    +(g3
    +g4
    +(g110
    +g32
    +ttRp117
    +(dp118
    +g9
    +g10
    +((ltRp119
    +sg12
    +g111
    +sbsg12
    +g15
    +sg98
    +g2
    +(g3
    +g4
    +(g110
    +g98
    +ttRp120
    +(dp121
    +g9
    +g10
    +((ltRp122
    +sg12
    +g111
    +sbsg36
    +g2
    +(g3
    +g4
    +(g110
    +g36
    +ttRp123
    +(dp124
    +g9
    +g10
    +((ltRp125
    +sg12
    +g111
    +sbsS'Reserved'
    +p126
    +g2
    +(g3
    +g4
    +(g110
    +g126
    +ttRp127
    +(dp128
    +g9
    +g10
    +((ltRp129
    +sg12
    +g111
    +sbsS'Declaration'
    +p130
    +g2
    +(g3
    +g4
    +(g110
    +g130
    +ttRp131
    +(dp132
    +g9
    +g10
    +((ltRp133
    +sg12
    +g111
    +sbsg72
    +g2
    +(g3
    +g4
    +(g110
    +g72
    +ttRp134
    +(dp135
    +g9
    +g10
    +((ltRp136
    +sg12
    +g111
    +sbsg9
    +g10
    +((lp137
    +g117
    +ag127
    +ag2
    +(g3
    +g4
    +(g110
    +S'Type'
    +p138
    +ttRp139
    +(dp140
    +g9
    +g10
    +((ltRp141
    +sg12
    +g111
    +sbag114
    +ag131
    +ag134
    +ag120
    +ag123
    +atRp142
    +sg138
    +g139
    +sbsS'Generic'
    +p143
    +g2
    +(g3
    +g4
    +(g143
    +ttRp144
    +(dp145
    +S'Prompt'
    +p146
    +g2
    +(g3
    +g4
    +(g143
    +g146
    +ttRp147
    +(dp148
    +g9
    +g10
    +((ltRp149
    +sg12
    +g144
    +sbsg12
    +g15
    +sS'Deleted'
    +p150
    +g2
    +(g3
    +g4
    +(g143
    +g150
    +ttRp151
    +(dp152
    +g9
    +g10
    +((ltRp153
    +sg12
    +g144
    +sbsS'Traceback'
    +p154
    +g2
    +(g3
    +g4
    +(g143
    +g154
    +ttRp155
    +(dp156
    +g9
    +g10
    +((ltRp157
    +sg12
    +g144
    +sbsS'Emph'
    +p158
    +g2
    +(g3
    +g4
    +(g143
    +g158
    +ttRp159
    +(dp160
    +g9
    +g10
    +((ltRp161
    +sg12
    +g144
    +sbsS'Output'
    +p162
    +g2
    +(g3
    +g4
    +(g143
    +g162
    +ttRp163
    +(dp164
    +g9
    +g10
    +((ltRp165
    +sg12
    +g144
    +sbsS'Subheading'
    +p166
    +g2
    +(g3
    +g4
    +(g143
    +g166
    +ttRp167
    +(dp168
    +g9
    +g10
    +((ltRp169
    +sg12
    +g144
    +sbsS'Error'
    +p170
    +g2
    +(g3
    +g4
    +(g143
    +g170
    +ttRp171
    +(dp172
    +g9
    +g10
    +((ltRp173
    +sg12
    +g144
    +sbsg9
    +g10
    +((lp174
    +g163
    +ag159
    +ag171
    +ag167
    +ag155
    +ag151
    +ag2
    +(g3
    +g4
    +(g143
    +S'Heading'
    +p175
    +ttRp176
    +(dp177
    +g9
    +g10
    +((ltRp178
    +sg12
    +g144
    +sbag2
    +(g3
    +g4
    +(g143
    +S'Inserted'
    +p179
    +ttRp180
    +(dp181
    +g9
    +g10
    +((ltRp182
    +sg12
    +g144
    +sbag2
    +(g3
    +g4
    +(g143
    +S'Strong'
    +p183
    +ttRp184
    +(dp185
    +g9
    +g10
    +((ltRp186
    +sg12
    +g144
    +sbag147
    +atRp187
    +sg183
    +g184
    +sg179
    +g180
    +sg175
    +g176
    +sbsS'Text'
    +p188
    +g2
    +(g3
    +g4
    +(g188
    +ttRp189
    +(dp190
    +g9
    +g10
    +((lp191
    +g2
    +(g3
    +g4
    +(g188
    +S'Symbol'
    +p192
    +ttRp193
    +(dp194
    +g9
    +g10
    +((ltRp195
    +sg12
    +g189
    +sbag2
    +(g3
    +g4
    +(g188
    +S'Whitespace'
    +p196
    +ttRp197
    +(dp198
    +g9
    +g10
    +((ltRp199
    +sg12
    +g189
    +sbatRp200
    +sg192
    +g193
    +sg196
    +g197
    +sg12
    +g15
    +sbsS'Punctuation'
    +p201
    +g2
    +(g3
    +g4
    +(g201
    +ttRp202
    +(dp203
    +g9
    +g10
    +((lp204
    +g2
    +(g3
    +g4
    +(g201
    +S'Indicator'
    +p205
    +ttRp206
    +(dp207
    +g9
    +g10
    +((ltRp208
    +sg12
    +g202
    +sbatRp209
    +sg205
    +g206
    +sg12
    +g15
    +sbsS'Token'
    +p210
    +g15
    +sS'Number'
    +p211
    +g2
    +(g3
    +g4
    +(S'Literal'
    +p212
    +g211
    +ttRp213
    +(dp214
    +S'Bin'
    +p215
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g215
    +ttRp216
    +(dp217
    +g9
    +g10
    +((ltRp218
    +sg12
    +g213
    +sbsS'Binary'
    +p219
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g219
    +ttRp220
    +(dp221
    +g9
    +g10
    +((ltRp222
    +sg12
    +g213
    +sbsg12
    +g2
    +(g3
    +g4
    +(g212
    +ttRp223
    +(dp224
    +S'String'
    +p225
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +ttRp226
    +(dp227
    +S'Regex'
    +p228
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g228
    +ttRp229
    +(dp230
    +g9
    +g10
    +((ltRp231
    +sg12
    +g226
    +sbsS'Interpol'
    +p232
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g232
    +ttRp233
    +(dp234
    +g9
    +g10
    +((ltRp235
    +sg12
    +g226
    +sbsS'Regexp'
    +p236
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g236
    +ttRp237
    +(dp238
    +g9
    +g10
    +((ltRp239
    +sg12
    +g226
    +sbsg12
    +g223
    +sS'Heredoc'
    +p240
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g240
    +ttRp241
    +(dp242
    +g9
    +g10
    +((ltRp243
    +sg12
    +g226
    +sbsS'Double'
    +p244
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g244
    +ttRp245
    +(dp246
    +g9
    +g10
    +((ltRp247
    +sg12
    +g226
    +sbsg192
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g192
    +ttRp248
    +(dp249
    +g9
    +g10
    +((ltRp250
    +sg12
    +g226
    +sbsS'Escape'
    +p251
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g251
    +ttRp252
    +(dp253
    +g9
    +g10
    +((ltRp254
    +sg12
    +g226
    +sbsS'Character'
    +p255
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g255
    +ttRp256
    +(dp257
    +g9
    +g10
    +((ltRp258
    +sg12
    +g226
    +sbsS'Interp'
    +p259
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g259
    +ttRp260
    +(dp261
    +g9
    +g10
    +((ltRp262
    +sg12
    +g226
    +sbsS'Backtick'
    +p263
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g263
    +ttRp264
    +(dp265
    +g9
    +g10
    +((ltRp266
    +sg12
    +g226
    +sbsS'Char'
    +p267
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g267
    +ttRp268
    +(dp269
    +g9
    +g10
    +((ltRp270
    +sg12
    +g226
    +sbsS'Single'
    +p271
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g271
    +ttRp272
    +(dp273
    +g9
    +g10
    +((ltRp274
    +sg12
    +g226
    +sbsg64
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g64
    +ttRp275
    +(dp276
    +g9
    +g10
    +((ltRp277
    +sg12
    +g226
    +sbsS'Doc'
    +p278
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g278
    +ttRp279
    +(dp280
    +g9
    +g10
    +((ltRp281
    +sg12
    +g226
    +sbsg9
    +g10
    +((lp282
    +g275
    +ag2
    +(g3
    +g4
    +(g212
    +g225
    +S'Atom'
    +p283
    +ttRp284
    +(dp285
    +g9
    +g10
    +((ltRp286
    +sg12
    +g226
    +sbag245
    +ag268
    +ag260
    +ag279
    +ag241
    +ag264
    +ag233
    +ag248
    +ag237
    +ag229
    +ag272
    +ag256
    +ag252
    +atRp287
    +sg283
    +g284
    +sbsg12
    +g15
    +sg211
    +g213
    +sS'Scalar'
    +p288
    +g2
    +(g3
    +g4
    +(g212
    +g288
    +ttRp289
    +(dp290
    +g9
    +g10
    +((lp291
    +g2
    +(g3
    +g4
    +(g212
    +g288
    +S'Plain'
    +p292
    +ttRp293
    +(dp294
    +g9
    +g10
    +((ltRp295
    +sg12
    +g289
    +sbatRp296
    +sg12
    +g223
    +sg292
    +g293
    +sbsg64
    +g2
    +(g3
    +g4
    +(g212
    +g64
    +ttRp297
    +(dp298
    +g9
    +g10
    +((ltRp299
    +sg12
    +g223
    +sbsS'Date'
    +p300
    +g2
    +(g3
    +g4
    +(g212
    +g300
    +ttRp301
    +(dp302
    +g9
    +g10
    +((ltRp303
    +sg12
    +g223
    +sbsg9
    +g10
    +((lp304
    +g301
    +ag226
    +ag297
    +ag213
    +ag289
    +atRp305
    +sbsS'Decimal'
    +p306
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g306
    +ttRp307
    +(dp308
    +g9
    +g10
    +((ltRp309
    +sg12
    +g213
    +sbsS'Float'
    +p310
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g310
    +ttRp311
    +(dp312
    +g9
    +g10
    +((ltRp313
    +sg12
    +g213
    +sbsS'Hex'
    +p314
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g314
    +ttRp315
    +(dp316
    +g9
    +g10
    +((ltRp317
    +sg12
    +g213
    +sbsS'Integer'
    +p318
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g318
    +ttRp319
    +(dp320
    +g9
    +g10
    +((lp321
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g318
    +S'Long'
    +p322
    +ttRp323
    +(dp324
    +g9
    +g10
    +((ltRp325
    +sg12
    +g319
    +sbatRp326
    +sg322
    +g323
    +sg12
    +g213
    +sbsS'Octal'
    +p327
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g327
    +ttRp328
    +(dp329
    +g9
    +g10
    +((ltRp330
    +sg12
    +g213
    +sbsg9
    +g10
    +((lp331
    +g216
    +ag220
    +ag328
    +ag307
    +ag2
    +(g3
    +g4
    +(g212
    +g211
    +S'Oct'
    +p332
    +ttRp333
    +(dp334
    +g9
    +g10
    +((ltRp335
    +sg12
    +g213
    +sbag319
    +ag311
    +ag315
    +atRp336
    +sg332
    +g333
    +sbsg212
    +g223
    +sg64
    +g2
    +(g3
    +g4
    +(g64
    +ttRp337
    +(dp338
    +g9
    +g10
    +((ltRp339
    +sg12
    +g15
    +sbsg170
    +g2
    +(g3
    +g4
    +(g170
    +ttRp340
    +(dp341
    +g9
    +g10
    +((ltRp342
    +sg12
    +g15
    +sbsS'Operator'
    +p343
    +g2
    +(g3
    +g4
    +(g343
    +ttRp344
    +(dp345
    +g9
    +g10
    +((lp346
    +g2
    +(g3
    +g4
    +(g343
    +S'Word'
    +p347
    +ttRp348
    +(dp349
    +g9
    +g10
    +((ltRp350
    +sg12
    +g344
    +sbatRp351
    +sg347
    +g348
    +sg12
    +g15
    +sbsg9
    +g10
    +((lp352
    +g13
    +ag340
    +ag144
    +ag189
    +ag18
    +ag202
    +ag111
    +ag223
    +ag344
    +ag337
    +atRp353
    +sg225
    +g226
    +sbsS'Preproc'
    +p354
    +g2
    +(g3
    +g4
    +(g5
    +g354
    +ttRp355
    +(dp356
    +g9
    +g10
    +((ltRp357
    +sg12
    +g13
    +sbsg271
    +g2
    +(g3
    +g4
    +(g5
    +g271
    +ttRp358
    +(dp359
    +g9
    +g10
    +((ltRp360
    +sg12
    +g13
    +sbsg6
    +g7
    +sg9
    +g10
    +((lp361
    +g2
    +(g3
    +g4
    +(g5
    +S'Special'
    +p362
    +ttRp363
    +(dp364
    +g9
    +g10
    +((ltRp365
    +sg12
    +g13
    +sbag355
    +ag358
    +ag7
    +atRp366
    +sg362
    +g363
    +sbsbV/*\u000a * Created on 13-Mar-2004\u000a * Created by James Yeh\u000a * Copyright (C) 2004, 2005, 2006 Aelitis, All Rights Reserved.\u000a *\u000a * This program is free software; you can redistribute it and/or\u000a * modify it under the terms of the GNU General Public License\u000a * as published by the Free Software Foundation; either version 2\u000a * of the License, or (at your option) any later version.\u000a * This program is distributed in the hope that it will be useful,\u000a * but WITHOUT ANY WARRANTY; without even the implied warranty of\u000a * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the\u000a * GNU General Public License for more details.\u000a * You should have received a copy of the GNU General Public License\u000a * along with this program; if not, write to the Free Software\u000a * Foundation, Inc., 59 Temple Place - Suite 330, Boston, MA  02111-1307, USA.\u000a * \u000a * AELITIS, SAS au capital de 46,603.30 euros\u000a * 8 Allee Lenotre, La Grille Royale, 78600 Le Mesnil le Roi, France.\u000a *\u000a */
    +p367
    +tp368
    +a(g189
    +V\u000a
    +tp369
    +a(g189
    +V\u000a
    +tp370
    +a(g120
    +Vpackage
    +p371
    +tp372
    +a(g189
    +V 
    +tp373
    +a(g18
    +Vorg
    +p374
    +tp375
    +a(g344
    +V.
    +tp376
    +a(g41
    +Vgudy
    +p377
    +tp378
    +a(g344
    +V.
    +tp379
    +a(g41
    +Vazureus2
    +p380
    +tp381
    +a(g344
    +V.
    +tp382
    +a(g41
    +Vplatform
    +p383
    +tp384
    +a(g344
    +V.
    +tp385
    +a(g41
    +Vmacosx
    +p386
    +tp387
    +a(g344
    +V;
    +tp388
    +a(g189
    +V\u000a
    +tp389
    +a(g189
    +V\u000a
    +tp390
    +a(g120
    +Vimport
    +p391
    +tp392
    +a(g189
    +V 
    +tp393
    +a(g99
    +Vorg.gudy.azureus2.core3.logging.*
    +p394
    +tp395
    +a(g344
    +V;
    +tp396
    +a(g189
    +V\u000a
    +tp397
    +a(g120
    +Vimport
    +p398
    +tp399
    +a(g189
    +V 
    +tp400
    +a(g99
    +Vorg.gudy.azureus2.core3.util.AEMonitor
    +p401
    +tp402
    +a(g344
    +V;
    +tp403
    +a(g189
    +V\u000a
    +tp404
    +a(g120
    +Vimport
    +p405
    +tp406
    +a(g189
    +V 
    +tp407
    +a(g99
    +Vorg.gudy.azureus2.core3.util.Debug
    +p408
    +tp409
    +a(g344
    +V;
    +tp410
    +a(g189
    +V\u000a
    +tp411
    +a(g120
    +Vimport
    +p412
    +tp413
    +a(g189
    +V 
    +tp414
    +a(g99
    +Vorg.gudy.azureus2.core3.util.SystemProperties
    +p415
    +tp416
    +a(g344
    +V;
    +tp417
    +a(g189
    +V\u000a
    +tp418
    +a(g120
    +Vimport
    +p419
    +tp420
    +a(g189
    +V 
    +tp421
    +a(g99
    +Vorg.gudy.azureus2.platform.PlatformManager
    +p422
    +tp423
    +a(g344
    +V;
    +tp424
    +a(g189
    +V\u000a
    +tp425
    +a(g120
    +Vimport
    +p426
    +tp427
    +a(g189
    +V 
    +tp428
    +a(g99
    +Vorg.gudy.azureus2.platform.PlatformManagerCapabilities
    +p429
    +tp430
    +a(g344
    +V;
    +tp431
    +a(g189
    +V\u000a
    +tp432
    +a(g120
    +Vimport
    +p433
    +tp434
    +a(g189
    +V 
    +tp435
    +a(g99
    +Vorg.gudy.azureus2.platform.PlatformManagerListener
    +p436
    +tp437
    +a(g344
    +V;
    +tp438
    +a(g189
    +V\u000a
    +tp439
    +a(g120
    +Vimport
    +p440
    +tp441
    +a(g189
    +V 
    +tp442
    +a(g99
    +Vorg.gudy.azureus2.platform.macosx.access.jnilib.OSXAccess
    +p443
    +tp444
    +a(g344
    +V;
    +tp445
    +a(g189
    +V\u000a
    +tp446
    +a(g189
    +V\u000a
    +tp447
    +a(g120
    +Vimport
    +p448
    +tp449
    +a(g189
    +V 
    +tp450
    +a(g99
    +Vorg.gudy.azureus2.plugins.platform.PlatformManagerException
    +p451
    +tp452
    +a(g344
    +V;
    +tp453
    +a(g189
    +V\u000a
    +tp454
    +a(g189
    +V\u000a
    +tp455
    +a(g120
    +Vimport
    +p456
    +tp457
    +a(g189
    +V 
    +tp458
    +a(g99
    +Vjava.io.BufferedReader
    +p459
    +tp460
    +a(g344
    +V;
    +tp461
    +a(g189
    +V\u000a
    +tp462
    +a(g120
    +Vimport
    +p463
    +tp464
    +a(g189
    +V 
    +tp465
    +a(g99
    +Vjava.io.File
    +p466
    +tp467
    +a(g344
    +V;
    +tp468
    +a(g189
    +V\u000a
    +tp469
    +a(g120
    +Vimport
    +p470
    +tp471
    +a(g189
    +V 
    +tp472
    +a(g99
    +Vjava.io.IOException
    +p473
    +tp474
    +a(g344
    +V;
    +tp475
    +a(g189
    +V\u000a
    +tp476
    +a(g120
    +Vimport
    +p477
    +tp478
    +a(g189
    +V 
    +tp479
    +a(g99
    +Vjava.io.InputStreamReader
    +p480
    +tp481
    +a(g344
    +V;
    +tp482
    +a(g189
    +V\u000a
    +tp483
    +a(g120
    +Vimport
    +p484
    +tp485
    +a(g189
    +V 
    +tp486
    +a(g99
    +Vjava.text.MessageFormat
    +p487
    +tp488
    +a(g344
    +V;
    +tp489
    +a(g189
    +V\u000a
    +tp490
    +a(g120
    +Vimport
    +p491
    +tp492
    +a(g189
    +V 
    +tp493
    +a(g99
    +Vjava.util.HashSet
    +p494
    +tp495
    +a(g344
    +V;
    +tp496
    +a(g189
    +V\u000a
    +tp497
    +a(g189
    +V\u000a
    +tp498
    +a(g189
    +V\u000a
    +tp499
    +a(g7
    +V/**\u000a * Performs platform-specific operations with Mac OS X\u000a *\u000a * @author James Yeh\u000a * @version 1.0 Initial Version\u000a * @see PlatformManager\u000a */
    +p500
    +tp501
    +a(g189
    +V\u000a
    +tp502
    +a(g131
    +Vpublic
    +p503
    +tp504
    +a(g189
    +V 
    +tp505
    +a(g131
    +Vclass
    +p506
    +tp507
    +a(g189
    +V 
    +tp508
    +a(g106
    +VPlatformManagerImpl
    +p509
    +tp510
    +a(g189
    +V 
    +tp511
    +a(g131
    +Vimplements
    +p512
    +tp513
    +a(g189
    +V 
    +tp514
    +a(g18
    +VPlatformManager
    +p515
    +tp516
    +a(g189
    +V\u000a
    +tp517
    +a(g344
    +V{
    +tp518
    +a(g189
    +V\u000a
    +tp519
    +a(g189
    +V    
    +p520
    +tp521
    +a(g131
    +Vprivate
    +p522
    +tp523
    +a(g189
    +V 
    +tp524
    +a(g131
    +Vstatic
    +p525
    +tp526
    +a(g189
    +V 
    +tp527
    +a(g131
    +Vfinal
    +p528
    +tp529
    +a(g189
    +V 
    +tp530
    +a(g18
    +VLogIDs
    +p531
    +tp532
    +a(g189
    +V 
    +tp533
    +a(g18
    +VLOGID
    +p534
    +tp535
    +a(g189
    +V 
    +tp536
    +a(g344
    +V=
    +tp537
    +a(g189
    +V 
    +tp538
    +a(g18
    +VLogIDs
    +p539
    +tp540
    +a(g344
    +V.
    +tp541
    +a(g41
    +VCORE
    +p542
    +tp543
    +a(g344
    +V;
    +tp544
    +a(g189
    +V\u000a
    +tp545
    +a(g189
    +V\u000a
    +tp546
    +a(g189
    +V    
    +p547
    +tp548
    +a(g131
    +Vprotected
    +p549
    +tp550
    +a(g189
    +V 
    +tp551
    +a(g131
    +Vstatic
    +p552
    +tp553
    +a(g189
    +V 
    +tp554
    +a(g18
    +VPlatformManagerImpl
    +p555
    +tp556
    +a(g189
    +V 
    +tp557
    +a(g18
    +Vsingleton
    +p558
    +tp559
    +a(g344
    +V;
    +tp560
    +a(g189
    +V\u000a
    +tp561
    +a(g189
    +V    
    +p562
    +tp563
    +a(g131
    +Vprotected
    +p564
    +tp565
    +a(g189
    +V 
    +tp566
    +a(g131
    +Vstatic
    +p567
    +tp568
    +a(g189
    +V 
    +tp569
    +a(g18
    +VAEMonitor
    +p570
    +tp571
    +a(g189
    +V 
    +tp572
    +a(g18
    +Vclass_mon
    +p573
    +tp574
    +a(g189
    +V 
    +tp575
    +a(g344
    +V=
    +tp576
    +a(g189
    +V 
    +tp577
    +a(g111
    +Vnew
    +p578
    +tp579
    +a(g189
    +V 
    +tp580
    +a(g18
    +VAEMonitor
    +p581
    +tp582
    +a(g344
    +V(
    +tp583
    +a(g226
    +V"PlatformManager"
    +p584
    +tp585
    +a(g344
    +V)
    +tp586
    +a(g344
    +V;
    +tp587
    +a(g189
    +V\u000a
    +tp588
    +a(g189
    +V\u000a
    +tp589
    +a(g189
    +V    
    +p590
    +tp591
    +a(g131
    +Vprivate
    +p592
    +tp593
    +a(g189
    +V 
    +tp594
    +a(g131
    +Vstatic
    +p595
    +tp596
    +a(g189
    +V 
    +tp597
    +a(g131
    +Vfinal
    +p598
    +tp599
    +a(g189
    +V 
    +tp600
    +a(g18
    +VString
    +p601
    +tp602
    +a(g189
    +V 
    +tp603
    +a(g18
    +VUSERDATA_PATH
    +p604
    +tp605
    +a(g189
    +V 
    +tp606
    +a(g344
    +V=
    +tp607
    +a(g189
    +V 
    +tp608
    +a(g111
    +Vnew
    +p609
    +tp610
    +a(g189
    +V 
    +tp611
    +a(g18
    +VFile
    +p612
    +tp613
    +a(g344
    +V(
    +tp614
    +a(g18
    +VSystem
    +p615
    +tp616
    +a(g344
    +V.
    +tp617
    +a(g41
    +VgetProperty
    +p618
    +tp619
    +a(g344
    +V(
    +tp620
    +a(g226
    +V"user.home"
    +p621
    +tp622
    +a(g344
    +V)
    +tp623
    +a(g189
    +V 
    +tp624
    +a(g344
    +V+
    +tp625
    +a(g189
    +V 
    +tp626
    +a(g226
    +V"/Library/Application Support/"
    +p627
    +tp628
    +a(g344
    +V)
    +tp629
    +a(g344
    +V.
    +tp630
    +a(g41
    +VgetPath
    +p631
    +tp632
    +a(g344
    +V(
    +tp633
    +a(g344
    +V)
    +tp634
    +a(g344
    +V;
    +tp635
    +a(g189
    +V\u000a
    +tp636
    +a(g189
    +V\u000a
    +tp637
    +a(g189
    +V    
    +p638
    +tp639
    +a(g358
    +V//T: PlatformManagerCapabilities\u000a
    +p640
    +tp641
    +a(g189
    +V    
    +p642
    +tp643
    +a(g131
    +Vprivate
    +p644
    +tp645
    +a(g189
    +V 
    +tp646
    +a(g131
    +Vfinal
    +p647
    +tp648
    +a(g189
    +V 
    +tp649
    +a(g18
    +VHashSet
    +p650
    +tp651
    +a(g189
    +V 
    +tp652
    +a(g18
    +VcapabilitySet
    +p653
    +tp654
    +a(g189
    +V 
    +tp655
    +a(g344
    +V=
    +tp656
    +a(g189
    +V 
    +tp657
    +a(g111
    +Vnew
    +p658
    +tp659
    +a(g189
    +V 
    +tp660
    +a(g18
    +VHashSet
    +p661
    +tp662
    +a(g344
    +V(
    +tp663
    +a(g344
    +V)
    +tp664
    +a(g344
    +V;
    +tp665
    +a(g189
    +V\u000a
    +tp666
    +a(g189
    +V\u000a
    +tp667
    +a(g189
    +V    
    +p668
    +tp669
    +a(g7
    +V/**\u000a     * Gets the platform manager singleton, which was already initialized\u000a     */
    +p670
    +tp671
    +a(g189
    +V\u000a
    +tp672
    +a(g189
    +V    
    +p673
    +tp674
    +a(g131
    +Vpublic
    +p675
    +tp676
    +a(g189
    +V 
    +tp677
    +a(g131
    +Vstatic
    +p678
    +tp679
    +a(g189
    +V 
    +tp680
    +a(g18
    +VPlatformManagerImpl
    +p681
    +tp682
    +a(g189
    +V 
    +tp683
    +a(g21
    +VgetSingleton
    +p684
    +tp685
    +a(g344
    +V(
    +tp686
    +a(g344
    +V)
    +tp687
    +a(g189
    +V\u000a
    +tp688
    +a(g189
    +V    
    +p689
    +tp690
    +a(g344
    +V{
    +tp691
    +a(g189
    +V\u000a
    +tp692
    +a(g189
    +V        
    +p693
    +tp694
    +a(g111
    +Vreturn
    +p695
    +tp696
    +a(g189
    +V 
    +tp697
    +a(g18
    +Vsingleton
    +p698
    +tp699
    +a(g344
    +V;
    +tp700
    +a(g189
    +V\u000a
    +tp701
    +a(g189
    +V    
    +p702
    +tp703
    +a(g344
    +V}
    +tp704
    +a(g189
    +V\u000a
    +tp705
    +a(g189
    +V\u000a
    +tp706
    +a(g189
    +V    
    +p707
    +tp708
    +a(g7
    +V/**\u000a     * Tries to enable cocoa-java access and instantiates the singleton\u000a     */
    +p709
    +tp710
    +a(g189
    +V\u000a
    +tp711
    +a(g189
    +V    
    +p712
    +tp713
    +a(g131
    +Vstatic
    +p714
    +tp715
    +a(g189
    +V\u000a
    +tp716
    +a(g189
    +V    
    +p717
    +tp718
    +a(g344
    +V{
    +tp719
    +a(g189
    +V\u000a
    +tp720
    +a(g189
    +V        
    +p721
    +tp722
    +a(g18
    +VinitializeSingleton
    +p723
    +tp724
    +a(g344
    +V(
    +tp725
    +a(g344
    +V)
    +tp726
    +a(g344
    +V;
    +tp727
    +a(g189
    +V\u000a
    +tp728
    +a(g189
    +V    
    +p729
    +tp730
    +a(g344
    +V}
    +tp731
    +a(g189
    +V\u000a
    +tp732
    +a(g189
    +V\u000a
    +tp733
    +a(g189
    +V    
    +p734
    +tp735
    +a(g7
    +V/**\u000a     * Instantiates the singleton\u000a     */
    +p736
    +tp737
    +a(g189
    +V\u000a
    +tp738
    +a(g189
    +V    
    +p739
    +tp740
    +a(g131
    +Vprivate
    +p741
    +tp742
    +a(g189
    +V 
    +tp743
    +a(g131
    +Vstatic
    +p744
    +tp745
    +a(g189
    +V 
    +tp746
    +a(g139
    +Vvoid
    +p747
    +tp748
    +a(g189
    +V 
    +tp749
    +a(g21
    +VinitializeSingleton
    +p750
    +tp751
    +a(g344
    +V(
    +tp752
    +a(g344
    +V)
    +tp753
    +a(g189
    +V\u000a
    +tp754
    +a(g189
    +V    
    +p755
    +tp756
    +a(g344
    +V{
    +tp757
    +a(g189
    +V\u000a
    +tp758
    +a(g189
    +V        
    +p759
    +tp760
    +a(g111
    +Vtry
    +p761
    +tp762
    +a(g189
    +V\u000a
    +tp763
    +a(g189
    +V        
    +p764
    +tp765
    +a(g344
    +V{
    +tp766
    +a(g189
    +V\u000a
    +tp767
    +a(g189
    +V            
    +p768
    +tp769
    +a(g18
    +Vclass_mon
    +p770
    +tp771
    +a(g344
    +V.
    +tp772
    +a(g41
    +Venter
    +p773
    +tp774
    +a(g344
    +V(
    +tp775
    +a(g344
    +V)
    +tp776
    +a(g344
    +V;
    +tp777
    +a(g189
    +V\u000a
    +tp778
    +a(g189
    +V            
    +p779
    +tp780
    +a(g18
    +Vsingleton
    +p781
    +tp782
    +a(g189
    +V 
    +tp783
    +a(g344
    +V=
    +tp784
    +a(g189
    +V 
    +tp785
    +a(g111
    +Vnew
    +p786
    +tp787
    +a(g189
    +V 
    +tp788
    +a(g18
    +VPlatformManagerImpl
    +p789
    +tp790
    +a(g344
    +V(
    +tp791
    +a(g344
    +V)
    +tp792
    +a(g344
    +V;
    +tp793
    +a(g189
    +V\u000a
    +tp794
    +a(g189
    +V        
    +p795
    +tp796
    +a(g344
    +V}
    +tp797
    +a(g189
    +V\u000a
    +tp798
    +a(g189
    +V        
    +p799
    +tp800
    +a(g111
    +Vcatch
    +p801
    +tp802
    +a(g189
    +V 
    +tp803
    +a(g344
    +V(
    +tp804
    +a(g18
    +VThrowable
    +p805
    +tp806
    +a(g189
    +V 
    +tp807
    +a(g18
    +Ve
    +tp808
    +a(g344
    +V)
    +tp809
    +a(g189
    +V\u000a
    +tp810
    +a(g189
    +V        
    +p811
    +tp812
    +a(g344
    +V{
    +tp813
    +a(g189
    +V\u000a
    +tp814
    +a(g189
    +V        	
    +p815
    +tp816
    +a(g18
    +VLogger
    +p817
    +tp818
    +a(g344
    +V.
    +tp819
    +a(g41
    +Vlog
    +p820
    +tp821
    +a(g344
    +V(
    +tp822
    +a(g111
    +Vnew
    +p823
    +tp824
    +a(g189
    +V 
    +tp825
    +a(g18
    +VLogEvent
    +p826
    +tp827
    +a(g344
    +V(
    +tp828
    +a(g18
    +VLOGID
    +p829
    +tp830
    +a(g344
    +V,
    +tp831
    +a(g189
    +V 
    +tp832
    +a(g226
    +V"Failed to initialize platform manager"
    +p833
    +tp834
    +a(g189
    +V\u000a
    +tp835
    +a(g189
    +V					
    +p836
    +tp837
    +a(g344
    +V+
    +tp838
    +a(g189
    +V 
    +tp839
    +a(g226
    +V" for Mac OS X"
    +p840
    +tp841
    +a(g344
    +V,
    +tp842
    +a(g189
    +V 
    +tp843
    +a(g18
    +Ve
    +tp844
    +a(g344
    +V)
    +tp845
    +a(g344
    +V)
    +tp846
    +a(g344
    +V;
    +tp847
    +a(g189
    +V\u000a
    +tp848
    +a(g189
    +V        
    +p849
    +tp850
    +a(g344
    +V}
    +tp851
    +a(g189
    +V\u000a
    +tp852
    +a(g189
    +V        
    +p853
    +tp854
    +a(g111
    +Vfinally
    +p855
    +tp856
    +a(g189
    +V\u000a
    +tp857
    +a(g189
    +V        
    +p858
    +tp859
    +a(g344
    +V{
    +tp860
    +a(g189
    +V\u000a
    +tp861
    +a(g189
    +V            
    +p862
    +tp863
    +a(g18
    +Vclass_mon
    +p864
    +tp865
    +a(g344
    +V.
    +tp866
    +a(g41
    +Vexit
    +p867
    +tp868
    +a(g344
    +V(
    +tp869
    +a(g344
    +V)
    +tp870
    +a(g344
    +V;
    +tp871
    +a(g189
    +V\u000a
    +tp872
    +a(g189
    +V        
    +p873
    +tp874
    +a(g344
    +V}
    +tp875
    +a(g189
    +V\u000a
    +tp876
    +a(g189
    +V    
    +p877
    +tp878
    +a(g344
    +V}
    +tp879
    +a(g189
    +V\u000a
    +tp880
    +a(g189
    +V\u000a
    +tp881
    +a(g189
    +V    
    +p882
    +tp883
    +a(g7
    +V/**\u000a     * Creates a new PlatformManager and initializes its capabilities\u000a     */
    +p884
    +tp885
    +a(g189
    +V\u000a
    +tp886
    +a(g189
    +V    
    +p887
    +tp888
    +a(g131
    +Vpublic
    +p889
    +tp890
    +a(g189
    +V 
    +tp891
    +a(g21
    +VPlatformManagerImpl
    +p892
    +tp893
    +a(g344
    +V(
    +tp894
    +a(g344
    +V)
    +tp895
    +a(g189
    +V\u000a
    +tp896
    +a(g189
    +V    
    +p897
    +tp898
    +a(g344
    +V{
    +tp899
    +a(g189
    +V\u000a
    +tp900
    +a(g189
    +V        
    +p901
    +tp902
    +a(g18
    +VcapabilitySet
    +p903
    +tp904
    +a(g344
    +V.
    +tp905
    +a(g41
    +Vadd
    +p906
    +tp907
    +a(g344
    +V(
    +tp908
    +a(g18
    +VPlatformManagerCapabilities
    +p909
    +tp910
    +a(g344
    +V.
    +tp911
    +a(g41
    +VRecoverableFileDelete
    +p912
    +tp913
    +a(g344
    +V)
    +tp914
    +a(g344
    +V;
    +tp915
    +a(g189
    +V\u000a
    +tp916
    +a(g189
    +V        
    +p917
    +tp918
    +a(g18
    +VcapabilitySet
    +p919
    +tp920
    +a(g344
    +V.
    +tp921
    +a(g41
    +Vadd
    +p922
    +tp923
    +a(g344
    +V(
    +tp924
    +a(g18
    +VPlatformManagerCapabilities
    +p925
    +tp926
    +a(g344
    +V.
    +tp927
    +a(g41
    +VShowFileInBrowser
    +p928
    +tp929
    +a(g344
    +V)
    +tp930
    +a(g344
    +V;
    +tp931
    +a(g189
    +V\u000a
    +tp932
    +a(g189
    +V        
    +p933
    +tp934
    +a(g18
    +VcapabilitySet
    +p935
    +tp936
    +a(g344
    +V.
    +tp937
    +a(g41
    +Vadd
    +p938
    +tp939
    +a(g344
    +V(
    +tp940
    +a(g18
    +VPlatformManagerCapabilities
    +p941
    +tp942
    +a(g344
    +V.
    +tp943
    +a(g41
    +VShowPathInCommandLine
    +p944
    +tp945
    +a(g344
    +V)
    +tp946
    +a(g344
    +V;
    +tp947
    +a(g189
    +V\u000a
    +tp948
    +a(g189
    +V        
    +p949
    +tp950
    +a(g18
    +VcapabilitySet
    +p951
    +tp952
    +a(g344
    +V.
    +tp953
    +a(g41
    +Vadd
    +p954
    +tp955
    +a(g344
    +V(
    +tp956
    +a(g18
    +VPlatformManagerCapabilities
    +p957
    +tp958
    +a(g344
    +V.
    +tp959
    +a(g41
    +VCreateCommandLineProcess
    +p960
    +tp961
    +a(g344
    +V)
    +tp962
    +a(g344
    +V;
    +tp963
    +a(g189
    +V\u000a
    +tp964
    +a(g189
    +V        
    +p965
    +tp966
    +a(g18
    +VcapabilitySet
    +p967
    +tp968
    +a(g344
    +V.
    +tp969
    +a(g41
    +Vadd
    +p970
    +tp971
    +a(g344
    +V(
    +tp972
    +a(g18
    +VPlatformManagerCapabilities
    +p973
    +tp974
    +a(g344
    +V.
    +tp975
    +a(g41
    +VGetUserDataDirectory
    +p976
    +tp977
    +a(g344
    +V)
    +tp978
    +a(g344
    +V;
    +tp979
    +a(g189
    +V\u000a
    +tp980
    +a(g189
    +V        
    +p981
    +tp982
    +a(g18
    +VcapabilitySet
    +p983
    +tp984
    +a(g344
    +V.
    +tp985
    +a(g41
    +Vadd
    +p986
    +tp987
    +a(g344
    +V(
    +tp988
    +a(g18
    +VPlatformManagerCapabilities
    +p989
    +tp990
    +a(g344
    +V.
    +tp991
    +a(g41
    +VUseNativeScripting
    +p992
    +tp993
    +a(g344
    +V)
    +tp994
    +a(g344
    +V;
    +tp995
    +a(g189
    +V\u000a
    +tp996
    +a(g189
    +V        
    +p997
    +tp998
    +a(g18
    +VcapabilitySet
    +p999
    +tp1000
    +a(g344
    +V.
    +tp1001
    +a(g41
    +Vadd
    +p1002
    +tp1003
    +a(g344
    +V(
    +tp1004
    +a(g18
    +VPlatformManagerCapabilities
    +p1005
    +tp1006
    +a(g344
    +V.
    +tp1007
    +a(g41
    +VPlaySystemAlert
    +p1008
    +tp1009
    +a(g344
    +V)
    +tp1010
    +a(g344
    +V;
    +tp1011
    +a(g189
    +V\u000a
    +tp1012
    +a(g189
    +V        
    +p1013
    +tp1014
    +a(g189
    +V\u000a
    +tp1015
    +a(g189
    +V        
    +p1016
    +tp1017
    +a(g111
    +Vif
    +p1018
    +tp1019
    +a(g189
    +V 
    +tp1020
    +a(g344
    +V(
    +tp1021
    +a(g18
    +VOSXAccess
    +p1022
    +tp1023
    +a(g344
    +V.
    +tp1024
    +a(g41
    +VisLoaded
    +p1025
    +tp1026
    +a(g344
    +V(
    +tp1027
    +a(g344
    +V)
    +tp1028
    +a(g344
    +V)
    +tp1029
    +a(g189
    +V 
    +tp1030
    +a(g344
    +V{
    +tp1031
    +a(g189
    +V\u000a
    +tp1032
    +a(g189
    +V	        
    +p1033
    +tp1034
    +a(g18
    +VcapabilitySet
    +p1035
    +tp1036
    +a(g344
    +V.
    +tp1037
    +a(g41
    +Vadd
    +p1038
    +tp1039
    +a(g344
    +V(
    +tp1040
    +a(g18
    +VPlatformManagerCapabilities
    +p1041
    +tp1042
    +a(g344
    +V.
    +tp1043
    +a(g41
    +VGetVersion
    +p1044
    +tp1045
    +a(g344
    +V)
    +tp1046
    +a(g344
    +V;
    +tp1047
    +a(g189
    +V\u000a
    +tp1048
    +a(g189
    +V        
    +p1049
    +tp1050
    +a(g344
    +V}
    +tp1051
    +a(g189
    +V\u000a
    +tp1052
    +a(g189
    +V    
    +p1053
    +tp1054
    +a(g344
    +V}
    +tp1055
    +a(g189
    +V\u000a
    +tp1056
    +a(g189
    +V\u000a
    +tp1057
    +a(g189
    +V    
    +p1058
    +tp1059
    +a(g7
    +V/**\u000a     * {@inheritDoc}\u000a     */
    +p1060
    +tp1061
    +a(g189
    +V\u000a
    +tp1062
    +a(g189
    +V    
    +p1063
    +tp1064
    +a(g131
    +Vpublic
    +p1065
    +tp1066
    +a(g189
    +V 
    +tp1067
    +a(g139
    +Vint
    +p1068
    +tp1069
    +a(g189
    +V 
    +tp1070
    +a(g21
    +VgetPlatformType
    +p1071
    +tp1072
    +a(g344
    +V(
    +tp1073
    +a(g344
    +V)
    +tp1074
    +a(g189
    +V\u000a
    +tp1075
    +a(g189
    +V    
    +p1076
    +tp1077
    +a(g344
    +V{
    +tp1078
    +a(g189
    +V\u000a
    +tp1079
    +a(g189
    +V        
    +p1080
    +tp1081
    +a(g111
    +Vreturn
    +p1082
    +tp1083
    +a(g189
    +V 
    +tp1084
    +a(g18
    +VPT_MACOSX
    +p1085
    +tp1086
    +a(g344
    +V;
    +tp1087
    +a(g189
    +V\u000a
    +tp1088
    +a(g189
    +V    
    +p1089
    +tp1090
    +a(g344
    +V}
    +tp1091
    +a(g189
    +V\u000a
    +tp1092
    +a(g189
    +V\u000a
    +tp1093
    +a(g189
    +V    
    +p1094
    +tp1095
    +a(g7
    +V/**\u000a     * {@inheritDoc}\u000a     */
    +p1096
    +tp1097
    +a(g189
    +V\u000a
    +tp1098
    +a(g189
    +V    
    +p1099
    +tp1100
    +a(g131
    +Vpublic
    +p1101
    +tp1102
    +a(g189
    +V 
    +tp1103
    +a(g18
    +VString
    +p1104
    +tp1105
    +a(g189
    +V 
    +tp1106
    +a(g21
    +VgetVersion
    +p1107
    +tp1108
    +a(g344
    +V(
    +tp1109
    +a(g344
    +V)
    +tp1110
    +a(g189
    +V 
    +tp1111
    +a(g131
    +Vthrows
    +p1112
    +tp1113
    +a(g189
    +V 
    +tp1114
    +a(g18
    +VPlatformManagerException
    +p1115
    +tp1116
    +a(g189
    +V\u000a
    +tp1117
    +a(g189
    +V    
    +p1118
    +tp1119
    +a(g344
    +V{
    +tp1120
    +a(g189
    +V\u000a
    +tp1121
    +a(g189
    +V    	
    +p1122
    +tp1123
    +a(g111
    +Vif
    +p1124
    +tp1125
    +a(g189
    +V 
    +tp1126
    +a(g344
    +V(
    +tp1127
    +a(g344
    +V!
    +tp1128
    +a(g18
    +VOSXAccess
    +p1129
    +tp1130
    +a(g344
    +V.
    +tp1131
    +a(g41
    +VisLoaded
    +p1132
    +tp1133
    +a(g344
    +V(
    +tp1134
    +a(g344
    +V)
    +tp1135
    +a(g344
    +V)
    +tp1136
    +a(g189
    +V 
    +tp1137
    +a(g344
    +V{
    +tp1138
    +a(g189
    +V\u000a
    +tp1139
    +a(g189
    +V        
    +p1140
    +tp1141
    +a(g111
    +Vthrow
    +p1142
    +tp1143
    +a(g189
    +V 
    +tp1144
    +a(g111
    +Vnew
    +p1145
    +tp1146
    +a(g189
    +V 
    +tp1147
    +a(g21
    +VPlatformManagerException
    +p1148
    +tp1149
    +a(g344
    +V(
    +tp1150
    +a(g226
    +V"Unsupported capability called on platform manager"
    +p1151
    +tp1152
    +a(g344
    +V)
    +tp1153
    +a(g344
    +V;
    +tp1154
    +a(g189
    +V\u000a
    +tp1155
    +a(g189
    +V    	
    +p1156
    +tp1157
    +a(g344
    +V}
    +tp1158
    +a(g189
    +V\u000a
    +tp1159
    +a(g189
    +V    	
    +p1160
    +tp1161
    +a(g189
    +V\u000a
    +tp1162
    +a(g189
    +V    	
    +p1163
    +tp1164
    +a(g111
    +Vreturn
    +p1165
    +tp1166
    +a(g189
    +V 
    +tp1167
    +a(g18
    +VOSXAccess
    +p1168
    +tp1169
    +a(g344
    +V.
    +tp1170
    +a(g41
    +VgetVersion
    +p1171
    +tp1172
    +a(g344
    +V(
    +tp1173
    +a(g344
    +V)
    +tp1174
    +a(g344
    +V;
    +tp1175
    +a(g189
    +V\u000a
    +tp1176
    +a(g189
    +V    
    +p1177
    +tp1178
    +a(g344
    +V}
    +tp1179
    +a(g189
    +V\u000a
    +tp1180
    +a(g189
    +V\u000a
    +tp1181
    +a(g189
    +V    
    +p1182
    +tp1183
    +a(g7
    +V/**\u000a     * {@inheritDoc}\u000a     * @see org.gudy.azureus2.core3.util.SystemProperties#getUserPath()\u000a     */
    +p1184
    +tp1185
    +a(g189
    +V\u000a
    +tp1186
    +a(g189
    +V    
    +p1187
    +tp1188
    +a(g131
    +Vpublic
    +p1189
    +tp1190
    +a(g189
    +V 
    +tp1191
    +a(g18
    +VString
    +p1192
    +tp1193
    +a(g189
    +V 
    +tp1194
    +a(g21
    +VgetUserDataDirectory
    +p1195
    +tp1196
    +a(g344
    +V(
    +tp1197
    +a(g344
    +V)
    +tp1198
    +a(g189
    +V 
    +tp1199
    +a(g131
    +Vthrows
    +p1200
    +tp1201
    +a(g189
    +V 
    +tp1202
    +a(g18
    +VPlatformManagerException
    +p1203
    +tp1204
    +a(g189
    +V\u000a
    +tp1205
    +a(g189
    +V    
    +p1206
    +tp1207
    +a(g344
    +V{
    +tp1208
    +a(g189
    +V\u000a
    +tp1209
    +a(g189
    +V        
    +p1210
    +tp1211
    +a(g111
    +Vreturn
    +p1212
    +tp1213
    +a(g189
    +V 
    +tp1214
    +a(g18
    +VUSERDATA_PATH
    +p1215
    +tp1216
    +a(g344
    +V;
    +tp1217
    +a(g189
    +V\u000a
    +tp1218
    +a(g189
    +V    
    +p1219
    +tp1220
    +a(g344
    +V}
    +tp1221
    +a(g189
    +V\u000a
    +tp1222
    +a(g189
    +V\u000a
    +tp1223
    +a(g189
    +V	
    +tp1224
    +a(g131
    +Vpublic
    +p1225
    +tp1226
    +a(g189
    +V 
    +tp1227
    +a(g18
    +VFile
    +p1228
    +tp1229
    +a(g189
    +V\u000a
    +tp1230
    +a(g189
    +V	
    +tp1231
    +a(g21
    +VgetLocation
    +p1232
    +tp1233
    +a(g344
    +V(
    +tp1234
    +a(g189
    +V\u000a
    +tp1235
    +a(g189
    +V		
    +p1236
    +tp1237
    +a(g139
    +Vlong
    +p1238
    +tp1239
    +a(g189
    +V	
    +tp1240
    +a(g18
    +Vlocation_id
    +p1241
    +tp1242
    +a(g189
    +V 
    +tp1243
    +a(g344
    +V)
    +tp1244
    +a(g189
    +V\u000a
    +tp1245
    +a(g189
    +V	
    +tp1246
    +a(g189
    +V\u000a
    +tp1247
    +a(g189
    +V		
    +p1248
    +tp1249
    +a(g131
    +Vthrows
    +p1250
    +tp1251
    +a(g189
    +V 
    +tp1252
    +a(g18
    +VPlatformManagerException
    +p1253
    +tp1254
    +a(g189
    +V\u000a
    +tp1255
    +a(g189
    +V	
    +tp1256
    +a(g344
    +V{
    +tp1257
    +a(g189
    +V\u000a
    +tp1258
    +a(g189
    +V		
    +p1259
    +tp1260
    +a(g111
    +Vif
    +p1261
    +tp1262
    +a(g189
    +V 
    +tp1263
    +a(g344
    +V(
    +tp1264
    +a(g189
    +V 
    +tp1265
    +a(g18
    +Vlocation_id
    +p1266
    +tp1267
    +a(g189
    +V 
    +tp1268
    +a(g344
    +V=
    +tp1269
    +a(g344
    +V=
    +tp1270
    +a(g189
    +V 
    +tp1271
    +a(g18
    +VLOC_USER_DATA
    +p1272
    +tp1273
    +a(g189
    +V 
    +tp1274
    +a(g344
    +V)
    +tp1275
    +a(g344
    +V{
    +tp1276
    +a(g189
    +V\u000a
    +tp1277
    +a(g189
    +V			
    +p1278
    +tp1279
    +a(g189
    +V\u000a
    +tp1280
    +a(g189
    +V			
    +p1281
    +tp1282
    +a(g111
    +Vreturn
    +p1283
    +tp1284
    +a(g344
    +V(
    +tp1285
    +a(g189
    +V 
    +tp1286
    +a(g111
    +Vnew
    +p1287
    +tp1288
    +a(g189
    +V 
    +tp1289
    +a(g18
    +VFile
    +p1290
    +tp1291
    +a(g344
    +V(
    +tp1292
    +a(g189
    +V 
    +tp1293
    +a(g18
    +VUSERDATA_PATH
    +p1294
    +tp1295
    +a(g189
    +V 
    +tp1296
    +a(g344
    +V)
    +tp1297
    +a(g344
    +V)
    +tp1298
    +a(g344
    +V;
    +tp1299
    +a(g189
    +V\u000a
    +tp1300
    +a(g189
    +V		
    +p1301
    +tp1302
    +a(g344
    +V}
    +tp1303
    +a(g189
    +V\u000a
    +tp1304
    +a(g189
    +V		
    +p1305
    +tp1306
    +a(g189
    +V\u000a
    +tp1307
    +a(g189
    +V		
    +p1308
    +tp1309
    +a(g111
    +Vreturn
    +p1310
    +tp1311
    +a(g344
    +V(
    +tp1312
    +a(g189
    +V 
    +tp1313
    +a(g117
    +Vnull
    +p1314
    +tp1315
    +a(g189
    +V 
    +tp1316
    +a(g344
    +V)
    +tp1317
    +a(g344
    +V;
    +tp1318
    +a(g189
    +V\u000a
    +tp1319
    +a(g189
    +V	
    +tp1320
    +a(g344
    +V}
    +tp1321
    +a(g189
    +V\u000a
    +tp1322
    +a(g189
    +V    
    +p1323
    +tp1324
    +a(g7
    +V/**\u000a     * Not implemented; returns True\u000a     */
    +p1325
    +tp1326
    +a(g189
    +V\u000a
    +tp1327
    +a(g189
    +V    
    +p1328
    +tp1329
    +a(g131
    +Vpublic
    +p1330
    +tp1331
    +a(g189
    +V 
    +tp1332
    +a(g139
    +Vboolean
    +p1333
    +tp1334
    +a(g189
    +V 
    +tp1335
    +a(g21
    +VisApplicationRegistered
    +p1336
    +tp1337
    +a(g344
    +V(
    +tp1338
    +a(g344
    +V)
    +tp1339
    +a(g189
    +V 
    +tp1340
    +a(g131
    +Vthrows
    +p1341
    +tp1342
    +a(g189
    +V 
    +tp1343
    +a(g18
    +VPlatformManagerException
    +p1344
    +tp1345
    +a(g189
    +V\u000a
    +tp1346
    +a(g189
    +V    
    +p1347
    +tp1348
    +a(g344
    +V{
    +tp1349
    +a(g189
    +V\u000a
    +tp1350
    +a(g189
    +V        
    +p1351
    +tp1352
    +a(g111
    +Vreturn
    +p1353
    +tp1354
    +a(g189
    +V 
    +tp1355
    +a(g117
    +Vtrue
    +p1356
    +tp1357
    +a(g344
    +V;
    +tp1358
    +a(g189
    +V\u000a
    +tp1359
    +a(g189
    +V    
    +p1360
    +tp1361
    +a(g344
    +V}
    +tp1362
    +a(g189
    +V\u000a
    +tp1363
    +a(g189
    +V\u000a
    +tp1364
    +a(g189
    +V    
    +p1365
    +tp1366
    +a(g189
    +V\u000a
    +tp1367
    +a(g189
    +V	
    +tp1368
    +a(g131
    +Vpublic
    +p1369
    +tp1370
    +a(g189
    +V 
    +tp1371
    +a(g18
    +VString
    +p1372
    +tp1373
    +a(g189
    +V\u000a
    +tp1374
    +a(g189
    +V	
    +tp1375
    +a(g21
    +VgetApplicationCommandLine
    +p1376
    +tp1377
    +a(g344
    +V(
    +tp1378
    +a(g344
    +V)
    +tp1379
    +a(g189
    +V\u000a
    +tp1380
    +a(g189
    +V		
    +p1381
    +tp1382
    +a(g131
    +Vthrows
    +p1383
    +tp1384
    +a(g189
    +V 
    +tp1385
    +a(g18
    +VPlatformManagerException
    +p1386
    +tp1387
    +a(g189
    +V\u000a
    +tp1388
    +a(g189
    +V	
    +tp1389
    +a(g344
    +V{
    +tp1390
    +a(g189
    +V\u000a
    +tp1391
    +a(g189
    +V		
    +p1392
    +tp1393
    +a(g111
    +Vtry
    +p1394
    +tp1395
    +a(g344
    +V{
    +tp1396
    +a(g189
    +V	    
    +p1397
    +tp1398
    +a(g189
    +V\u000a
    +tp1399
    +a(g189
    +V			
    +p1400
    +tp1401
    +a(g18
    +VString
    +p1402
    +tp1403
    +a(g189
    +V	
    +tp1404
    +a(g18
    +Vbundle_path
    +p1405
    +tp1406
    +a(g189
    +V 
    +tp1407
    +a(g344
    +V=
    +tp1408
    +a(g189
    +V 
    +tp1409
    +a(g18
    +VSystem
    +p1410
    +tp1411
    +a(g344
    +V.
    +tp1412
    +a(g41
    +VgetProperty
    +p1413
    +tp1414
    +a(g344
    +V(
    +tp1415
    +a(g226
    +V"user.dir"
    +p1416
    +tp1417
    +a(g344
    +V)
    +tp1418
    +a(g189
    +V 
    +tp1419
    +a(g344
    +V+
    +tp1420
    +a(g18
    +VSystemProperties
    +p1421
    +tp1422
    +a(g344
    +V.
    +tp1423
    +a(g41
    +VSEP
    +p1424
    +tp1425
    +a(g344
    +V+
    +tp1426
    +a(g189
    +V 
    +tp1427
    +a(g18
    +VSystemProperties
    +p1428
    +tp1429
    +a(g344
    +V.
    +tp1430
    +a(g41
    +VgetApplicationName
    +p1431
    +tp1432
    +a(g344
    +V(
    +tp1433
    +a(g344
    +V)
    +tp1434
    +a(g189
    +V 
    +tp1435
    +a(g344
    +V+
    +tp1436
    +a(g189
    +V 
    +tp1437
    +a(g226
    +V".app"
    +p1438
    +tp1439
    +a(g344
    +V;
    +tp1440
    +a(g189
    +V\u000a
    +tp1441
    +a(g189
    +V\u000a
    +tp1442
    +a(g189
    +V			
    +p1443
    +tp1444
    +a(g18
    +VFile
    +p1445
    +tp1446
    +a(g189
    +V 
    +tp1447
    +a(g18
    +Vosx_app_bundle
    +p1448
    +tp1449
    +a(g189
    +V 
    +tp1450
    +a(g344
    +V=
    +tp1451
    +a(g189
    +V 
    +tp1452
    +a(g111
    +Vnew
    +p1453
    +tp1454
    +a(g189
    +V 
    +tp1455
    +a(g18
    +VFile
    +p1456
    +tp1457
    +a(g344
    +V(
    +tp1458
    +a(g189
    +V 
    +tp1459
    +a(g18
    +Vbundle_path
    +p1460
    +tp1461
    +a(g189
    +V 
    +tp1462
    +a(g344
    +V)
    +tp1463
    +a(g344
    +V.
    +tp1464
    +a(g41
    +VgetAbsoluteFile
    +p1465
    +tp1466
    +a(g344
    +V(
    +tp1467
    +a(g344
    +V)
    +tp1468
    +a(g344
    +V;
    +tp1469
    +a(g189
    +V\u000a
    +tp1470
    +a(g189
    +V			
    +p1471
    +tp1472
    +a(g189
    +V\u000a
    +tp1473
    +a(g189
    +V			
    +p1474
    +tp1475
    +a(g111
    +Vif
    +p1476
    +tp1477
    +a(g344
    +V(
    +tp1478
    +a(g189
    +V 
    +tp1479
    +a(g344
    +V!
    +tp1480
    +a(g18
    +Vosx_app_bundle
    +p1481
    +tp1482
    +a(g344
    +V.
    +tp1483
    +a(g41
    +Vexists
    +p1484
    +tp1485
    +a(g344
    +V(
    +tp1486
    +a(g344
    +V)
    +tp1487
    +a(g189
    +V 
    +tp1488
    +a(g344
    +V)
    +tp1489
    +a(g189
    +V 
    +tp1490
    +a(g344
    +V{
    +tp1491
    +a(g189
    +V\u000a
    +tp1492
    +a(g189
    +V				
    +p1493
    +tp1494
    +a(g18
    +VString
    +p1495
    +tp1496
    +a(g189
    +V 
    +tp1497
    +a(g18
    +Vmsg
    +p1498
    +tp1499
    +a(g189
    +V 
    +tp1500
    +a(g344
    +V=
    +tp1501
    +a(g189
    +V 
    +tp1502
    +a(g226
    +V"OSX app bundle not found: ["
    +p1503
    +tp1504
    +a(g189
    +V 
    +tp1505
    +a(g344
    +V+
    +tp1506
    +a(g18
    +Vosx_app_bundle
    +p1507
    +tp1508
    +a(g344
    +V.
    +tp1509
    +a(g41
    +VtoString
    +p1510
    +tp1511
    +a(g344
    +V(
    +tp1512
    +a(g344
    +V)
    +tp1513
    +a(g344
    +V+
    +tp1514
    +a(g189
    +V 
    +tp1515
    +a(g226
    +V"]"
    +p1516
    +tp1517
    +a(g344
    +V;
    +tp1518
    +a(g189
    +V\u000a
    +tp1519
    +a(g189
    +V				
    +p1520
    +tp1521
    +a(g18
    +VSystem
    +p1522
    +tp1523
    +a(g344
    +V.
    +tp1524
    +a(g41
    +Vout
    +p1525
    +tp1526
    +a(g344
    +V.
    +tp1527
    +a(g41
    +Vprintln
    +p1528
    +tp1529
    +a(g344
    +V(
    +tp1530
    +a(g189
    +V 
    +tp1531
    +a(g18
    +Vmsg
    +p1532
    +tp1533
    +a(g189
    +V 
    +tp1534
    +a(g344
    +V)
    +tp1535
    +a(g344
    +V;
    +tp1536
    +a(g189
    +V\u000a
    +tp1537
    +a(g189
    +V				
    +p1538
    +tp1539
    +a(g111
    +Vif
    +p1540
    +tp1541
    +a(g189
    +V 
    +tp1542
    +a(g344
    +V(
    +tp1543
    +a(g18
    +VLogger
    +p1544
    +tp1545
    +a(g344
    +V.
    +tp1546
    +a(g41
    +VisEnabled
    +p1547
    +tp1548
    +a(g344
    +V(
    +tp1549
    +a(g344
    +V)
    +tp1550
    +a(g344
    +V)
    +tp1551
    +a(g189
    +V\u000a
    +tp1552
    +a(g189
    +V					
    +p1553
    +tp1554
    +a(g18
    +VLogger
    +p1555
    +tp1556
    +a(g344
    +V.
    +tp1557
    +a(g41
    +Vlog
    +p1558
    +tp1559
    +a(g344
    +V(
    +tp1560
    +a(g111
    +Vnew
    +p1561
    +tp1562
    +a(g189
    +V 
    +tp1563
    +a(g18
    +VLogEvent
    +p1564
    +tp1565
    +a(g344
    +V(
    +tp1566
    +a(g18
    +VLOGID
    +p1567
    +tp1568
    +a(g344
    +V,
    +tp1569
    +a(g189
    +V 
    +tp1570
    +a(g18
    +Vmsg
    +p1571
    +tp1572
    +a(g344
    +V)
    +tp1573
    +a(g344
    +V)
    +tp1574
    +a(g344
    +V;
    +tp1575
    +a(g189
    +V		
    +p1576
    +tp1577
    +a(g189
    +V\u000a
    +tp1578
    +a(g189
    +V				
    +p1579
    +tp1580
    +a(g111
    +Vthrow
    +p1581
    +tp1582
    +a(g189
    +V 
    +tp1583
    +a(g111
    +Vnew
    +p1584
    +tp1585
    +a(g189
    +V 
    +tp1586
    +a(g21
    +VPlatformManagerException
    +p1587
    +tp1588
    +a(g344
    +V(
    +tp1589
    +a(g189
    +V 
    +tp1590
    +a(g18
    +Vmsg
    +p1591
    +tp1592
    +a(g189
    +V 
    +tp1593
    +a(g344
    +V)
    +tp1594
    +a(g344
    +V;
    +tp1595
    +a(g189
    +V\u000a
    +tp1596
    +a(g189
    +V			
    +p1597
    +tp1598
    +a(g344
    +V}
    +tp1599
    +a(g189
    +V\u000a
    +tp1600
    +a(g189
    +V			
    +p1601
    +tp1602
    +a(g189
    +V\u000a
    +tp1603
    +a(g189
    +V			
    +p1604
    +tp1605
    +a(g111
    +Vreturn
    +p1606
    +tp1607
    +a(g189
    +V 
    +tp1608
    +a(g226
    +V"open -a \u005c""
    +p1609
    +tp1610
    +a(g189
    +V 
    +tp1611
    +a(g344
    +V+
    +tp1612
    +a(g18
    +Vosx_app_bundle
    +p1613
    +tp1614
    +a(g344
    +V.
    +tp1615
    +a(g41
    +VtoString
    +p1616
    +tp1617
    +a(g344
    +V(
    +tp1618
    +a(g344
    +V)
    +tp1619
    +a(g344
    +V+
    +tp1620
    +a(g189
    +V 
    +tp1621
    +a(g226
    +V"\u005c""
    +p1622
    +tp1623
    +a(g344
    +V;
    +tp1624
    +a(g189
    +V\u000a
    +tp1625
    +a(g189
    +V			
    +p1626
    +tp1627
    +a(g358
    +V//return osx_app_bundle.toString() +"/Contents/MacOS/JavaApplicationStub";\u000a
    +p1628
    +tp1629
    +a(g189
    +V			
    +p1630
    +tp1631
    +a(g189
    +V\u000a
    +tp1632
    +a(g189
    +V		
    +p1633
    +tp1634
    +a(g344
    +V}
    +tp1635
    +a(g189
    +V\u000a
    +tp1636
    +a(g189
    +V		
    +p1637
    +tp1638
    +a(g111
    +Vcatch
    +p1639
    +tp1640
    +a(g344
    +V(
    +tp1641
    +a(g189
    +V 
    +tp1642
    +a(g18
    +VThrowable
    +p1643
    +tp1644
    +a(g189
    +V 
    +tp1645
    +a(g18
    +Vt
    +tp1646
    +a(g189
    +V 
    +tp1647
    +a(g344
    +V)
    +tp1648
    +a(g344
    +V{
    +tp1649
    +a(g189
    +V	
    +tp1650
    +a(g189
    +V\u000a
    +tp1651
    +a(g189
    +V			
    +p1652
    +tp1653
    +a(g18
    +Vt
    +tp1654
    +a(g344
    +V.
    +tp1655
    +a(g41
    +VprintStackTrace
    +p1656
    +tp1657
    +a(g344
    +V(
    +tp1658
    +a(g344
    +V)
    +tp1659
    +a(g344
    +V;
    +tp1660
    +a(g189
    +V\u000a
    +tp1661
    +a(g189
    +V			
    +p1662
    +tp1663
    +a(g111
    +Vreturn
    +p1664
    +tp1665
    +a(g189
    +V 
    +tp1666
    +a(g117
    +Vnull
    +p1667
    +tp1668
    +a(g344
    +V;
    +tp1669
    +a(g189
    +V\u000a
    +tp1670
    +a(g189
    +V		
    +p1671
    +tp1672
    +a(g344
    +V}
    +tp1673
    +a(g189
    +V\u000a
    +tp1674
    +a(g189
    +V	
    +tp1675
    +a(g344
    +V}
    +tp1676
    +a(g189
    +V\u000a
    +tp1677
    +a(g189
    +V	
    +tp1678
    +a(g189
    +V\u000a
    +tp1679
    +a(g189
    +V	
    +tp1680
    +a(g189
    +V\u000a
    +tp1681
    +a(g189
    +V	
    +tp1682
    +a(g131
    +Vpublic
    +p1683
    +tp1684
    +a(g189
    +V 
    +tp1685
    +a(g139
    +Vboolean
    +p1686
    +tp1687
    +a(g189
    +V\u000a
    +tp1688
    +a(g189
    +V	
    +tp1689
    +a(g21
    +VisAdditionalFileTypeRegistered
    +p1690
    +tp1691
    +a(g344
    +V(
    +tp1692
    +a(g189
    +V\u000a
    +tp1693
    +a(g189
    +V		
    +p1694
    +tp1695
    +a(g18
    +VString
    +p1696
    +tp1697
    +a(g189
    +V		
    +p1698
    +tp1699
    +a(g18
    +Vname
    +p1700
    +tp1701
    +a(g344
    +V,
    +tp1702
    +a(g189
    +V				
    +p1703
    +tp1704
    +a(g358
    +V// e.g. "BitTorrent"\u000a
    +p1705
    +tp1706
    +a(g189
    +V		
    +p1707
    +tp1708
    +a(g18
    +VString
    +p1709
    +tp1710
    +a(g189
    +V		
    +p1711
    +tp1712
    +a(g18
    +Vtype
    +p1713
    +tp1714
    +a(g189
    +V 
    +tp1715
    +a(g344
    +V)
    +tp1716
    +a(g189
    +V				
    +p1717
    +tp1718
    +a(g358
    +V// e.g. ".torrent"\u000a
    +p1719
    +tp1720
    +a(g189
    +V	
    +tp1721
    +a(g189
    +V\u000a
    +tp1722
    +a(g189
    +V		
    +p1723
    +tp1724
    +a(g131
    +Vthrows
    +p1725
    +tp1726
    +a(g189
    +V 
    +tp1727
    +a(g18
    +VPlatformManagerException
    +p1728
    +tp1729
    +a(g189
    +V\u000a
    +tp1730
    +a(g189
    +V	
    +tp1731
    +a(g344
    +V{
    +tp1732
    +a(g189
    +V\u000a
    +tp1733
    +a(g189
    +V	    
    +p1734
    +tp1735
    +a(g111
    +Vthrow
    +p1736
    +tp1737
    +a(g189
    +V 
    +tp1738
    +a(g111
    +Vnew
    +p1739
    +tp1740
    +a(g189
    +V 
    +tp1741
    +a(g21
    +VPlatformManagerException
    +p1742
    +tp1743
    +a(g344
    +V(
    +tp1744
    +a(g226
    +V"Unsupported capability called on platform manager"
    +p1745
    +tp1746
    +a(g344
    +V)
    +tp1747
    +a(g344
    +V;
    +tp1748
    +a(g189
    +V\u000a
    +tp1749
    +a(g189
    +V	
    +tp1750
    +a(g344
    +V}
    +tp1751
    +a(g189
    +V\u000a
    +tp1752
    +a(g189
    +V	
    +tp1753
    +a(g189
    +V\u000a
    +tp1754
    +a(g189
    +V	
    +tp1755
    +a(g131
    +Vpublic
    +p1756
    +tp1757
    +a(g189
    +V 
    +tp1758
    +a(g139
    +Vvoid
    +p1759
    +tp1760
    +a(g189
    +V\u000a
    +tp1761
    +a(g189
    +V	
    +tp1762
    +a(g21
    +VunregisterAdditionalFileType
    +p1763
    +tp1764
    +a(g344
    +V(
    +tp1765
    +a(g189
    +V\u000a
    +tp1766
    +a(g189
    +V		
    +p1767
    +tp1768
    +a(g18
    +VString
    +p1769
    +tp1770
    +a(g189
    +V		
    +p1771
    +tp1772
    +a(g18
    +Vname
    +p1773
    +tp1774
    +a(g344
    +V,
    +tp1775
    +a(g189
    +V				
    +p1776
    +tp1777
    +a(g358
    +V// e.g. "BitTorrent"\u000a
    +p1778
    +tp1779
    +a(g189
    +V		
    +p1780
    +tp1781
    +a(g18
    +VString
    +p1782
    +tp1783
    +a(g189
    +V		
    +p1784
    +tp1785
    +a(g18
    +Vtype
    +p1786
    +tp1787
    +a(g189
    +V 
    +tp1788
    +a(g344
    +V)
    +tp1789
    +a(g189
    +V				
    +p1790
    +tp1791
    +a(g358
    +V// e.g. ".torrent"\u000a
    +p1792
    +tp1793
    +a(g189
    +V		
    +p1794
    +tp1795
    +a(g189
    +V\u000a
    +tp1796
    +a(g189
    +V		
    +p1797
    +tp1798
    +a(g131
    +Vthrows
    +p1799
    +tp1800
    +a(g189
    +V 
    +tp1801
    +a(g18
    +VPlatformManagerException
    +p1802
    +tp1803
    +a(g189
    +V\u000a
    +tp1804
    +a(g189
    +V	
    +tp1805
    +a(g344
    +V{
    +tp1806
    +a(g189
    +V\u000a
    +tp1807
    +a(g189
    +V		
    +p1808
    +tp1809
    +a(g111
    +Vthrow
    +p1810
    +tp1811
    +a(g189
    +V 
    +tp1812
    +a(g111
    +Vnew
    +p1813
    +tp1814
    +a(g189
    +V 
    +tp1815
    +a(g21
    +VPlatformManagerException
    +p1816
    +tp1817
    +a(g344
    +V(
    +tp1818
    +a(g226
    +V"Unsupported capability called on platform manager"
    +p1819
    +tp1820
    +a(g344
    +V)
    +tp1821
    +a(g344
    +V;
    +tp1822
    +a(g189
    +V\u000a
    +tp1823
    +a(g189
    +V	
    +tp1824
    +a(g344
    +V}
    +tp1825
    +a(g189
    +V\u000a
    +tp1826
    +a(g189
    +V	
    +tp1827
    +a(g189
    +V\u000a
    +tp1828
    +a(g189
    +V	
    +tp1829
    +a(g131
    +Vpublic
    +p1830
    +tp1831
    +a(g189
    +V 
    +tp1832
    +a(g139
    +Vvoid
    +p1833
    +tp1834
    +a(g189
    +V\u000a
    +tp1835
    +a(g189
    +V	
    +tp1836
    +a(g21
    +VregisterAdditionalFileType
    +p1837
    +tp1838
    +a(g344
    +V(
    +tp1839
    +a(g189
    +V\u000a
    +tp1840
    +a(g189
    +V		
    +p1841
    +tp1842
    +a(g18
    +VString
    +p1843
    +tp1844
    +a(g189
    +V		
    +p1845
    +tp1846
    +a(g18
    +Vname
    +p1847
    +tp1848
    +a(g344
    +V,
    +tp1849
    +a(g189
    +V				
    +p1850
    +tp1851
    +a(g358
    +V// e.g. "BitTorrent"\u000a
    +p1852
    +tp1853
    +a(g189
    +V		
    +p1854
    +tp1855
    +a(g18
    +VString
    +p1856
    +tp1857
    +a(g189
    +V		
    +p1858
    +tp1859
    +a(g18
    +Vdescription
    +p1860
    +tp1861
    +a(g344
    +V,
    +tp1862
    +a(g189
    +V		
    +p1863
    +tp1864
    +a(g358
    +V// e.g. "BitTorrent File"\u000a
    +p1865
    +tp1866
    +a(g189
    +V		
    +p1867
    +tp1868
    +a(g18
    +VString
    +p1869
    +tp1870
    +a(g189
    +V		
    +p1871
    +tp1872
    +a(g18
    +Vtype
    +p1873
    +tp1874
    +a(g344
    +V,
    +tp1875
    +a(g189
    +V				
    +p1876
    +tp1877
    +a(g358
    +V// e.g. ".torrent"\u000a
    +p1878
    +tp1879
    +a(g189
    +V		
    +p1880
    +tp1881
    +a(g18
    +VString
    +p1882
    +tp1883
    +a(g189
    +V		
    +p1884
    +tp1885
    +a(g18
    +Vcontent_type
    +p1886
    +tp1887
    +a(g189
    +V 
    +tp1888
    +a(g344
    +V)
    +tp1889
    +a(g189
    +V		
    +p1890
    +tp1891
    +a(g358
    +V// e.g. "application/x-bittorrent"\u000a
    +p1892
    +tp1893
    +a(g189
    +V	
    +tp1894
    +a(g189
    +V\u000a
    +tp1895
    +a(g189
    +V		
    +p1896
    +tp1897
    +a(g131
    +Vthrows
    +p1898
    +tp1899
    +a(g189
    +V 
    +tp1900
    +a(g18
    +VPlatformManagerException
    +p1901
    +tp1902
    +a(g189
    +V\u000a
    +tp1903
    +a(g189
    +V	
    +tp1904
    +a(g344
    +V{
    +tp1905
    +a(g189
    +V\u000a
    +tp1906
    +a(g189
    +V	   
    +p1907
    +tp1908
    +a(g111
    +Vthrow
    +p1909
    +tp1910
    +a(g189
    +V 
    +tp1911
    +a(g111
    +Vnew
    +p1912
    +tp1913
    +a(g189
    +V 
    +tp1914
    +a(g21
    +VPlatformManagerException
    +p1915
    +tp1916
    +a(g344
    +V(
    +tp1917
    +a(g226
    +V"Unsupported capability called on platform manager"
    +p1918
    +tp1919
    +a(g344
    +V)
    +tp1920
    +a(g344
    +V;
    +tp1921
    +a(g189
    +V\u000a
    +tp1922
    +a(g189
    +V	
    +tp1923
    +a(g344
    +V}
    +tp1924
    +a(g189
    +V\u000a
    +tp1925
    +a(g189
    +V	
    +tp1926
    +a(g189
    +V\u000a
    +tp1927
    +a(g189
    +V    
    +p1928
    +tp1929
    +a(g7
    +V/**\u000a     * Not implemented; does nothing\u000a     */
    +p1930
    +tp1931
    +a(g189
    +V\u000a
    +tp1932
    +a(g189
    +V    
    +p1933
    +tp1934
    +a(g131
    +Vpublic
    +p1935
    +tp1936
    +a(g189
    +V 
    +tp1937
    +a(g139
    +Vvoid
    +p1938
    +tp1939
    +a(g189
    +V 
    +tp1940
    +a(g21
    +VregisterApplication
    +p1941
    +tp1942
    +a(g344
    +V(
    +tp1943
    +a(g344
    +V)
    +tp1944
    +a(g189
    +V 
    +tp1945
    +a(g131
    +Vthrows
    +p1946
    +tp1947
    +a(g189
    +V 
    +tp1948
    +a(g18
    +VPlatformManagerException
    +p1949
    +tp1950
    +a(g189
    +V\u000a
    +tp1951
    +a(g189
    +V    
    +p1952
    +tp1953
    +a(g344
    +V{
    +tp1954
    +a(g189
    +V\u000a
    +tp1955
    +a(g189
    +V        
    +p1956
    +tp1957
    +a(g358
    +V// handled by LaunchServices and/0r user interaction\u000a
    +p1958
    +tp1959
    +a(g189
    +V    
    +p1960
    +tp1961
    +a(g344
    +V}
    +tp1962
    +a(g189
    +V\u000a
    +tp1963
    +a(g189
    +V\u000a
    +tp1964
    +a(g189
    +V    
    +p1965
    +tp1966
    +a(g7
    +V/**\u000a     * {@inheritDoc}\u000a     */
    +p1967
    +tp1968
    +a(g189
    +V\u000a
    +tp1969
    +a(g189
    +V    
    +p1970
    +tp1971
    +a(g131
    +Vpublic
    +p1972
    +tp1973
    +a(g189
    +V 
    +tp1974
    +a(g139
    +Vvoid
    +p1975
    +tp1976
    +a(g189
    +V 
    +tp1977
    +a(g21
    +VcreateProcess
    +p1978
    +tp1979
    +a(g344
    +V(
    +tp1980
    +a(g18
    +VString
    +p1981
    +tp1982
    +a(g189
    +V 
    +tp1983
    +a(g18
    +Vcmd
    +p1984
    +tp1985
    +a(g344
    +V,
    +tp1986
    +a(g189
    +V 
    +tp1987
    +a(g139
    +Vboolean
    +p1988
    +tp1989
    +a(g189
    +V 
    +tp1990
    +a(g18
    +VinheritsHandles
    +p1991
    +tp1992
    +a(g344
    +V)
    +tp1993
    +a(g189
    +V 
    +tp1994
    +a(g131
    +Vthrows
    +p1995
    +tp1996
    +a(g189
    +V 
    +tp1997
    +a(g18
    +VPlatformManagerException
    +p1998
    +tp1999
    +a(g189
    +V\u000a
    +tp2000
    +a(g189
    +V    
    +p2001
    +tp2002
    +a(g344
    +V{
    +tp2003
    +a(g189
    +V\u000a
    +tp2004
    +a(g189
    +V        
    +p2005
    +tp2006
    +a(g111
    +Vtry
    +p2007
    +tp2008
    +a(g189
    +V\u000a
    +tp2009
    +a(g189
    +V        
    +p2010
    +tp2011
    +a(g344
    +V{
    +tp2012
    +a(g189
    +V\u000a
    +tp2013
    +a(g189
    +V            
    +p2014
    +tp2015
    +a(g18
    +VperformRuntimeExec
    +p2016
    +tp2017
    +a(g344
    +V(
    +tp2018
    +a(g18
    +Vcmd
    +p2019
    +tp2020
    +a(g344
    +V.
    +tp2021
    +a(g41
    +Vsplit
    +p2022
    +tp2023
    +a(g344
    +V(
    +tp2024
    +a(g226
    +V" "
    +p2025
    +tp2026
    +a(g344
    +V)
    +tp2027
    +a(g344
    +V)
    +tp2028
    +a(g344
    +V;
    +tp2029
    +a(g189
    +V\u000a
    +tp2030
    +a(g189
    +V        
    +p2031
    +tp2032
    +a(g344
    +V}
    +tp2033
    +a(g189
    +V\u000a
    +tp2034
    +a(g189
    +V        
    +p2035
    +tp2036
    +a(g111
    +Vcatch
    +p2037
    +tp2038
    +a(g189
    +V 
    +tp2039
    +a(g344
    +V(
    +tp2040
    +a(g18
    +VThrowable
    +p2041
    +tp2042
    +a(g189
    +V 
    +tp2043
    +a(g18
    +Ve
    +tp2044
    +a(g344
    +V)
    +tp2045
    +a(g189
    +V\u000a
    +tp2046
    +a(g189
    +V        
    +p2047
    +tp2048
    +a(g344
    +V{
    +tp2049
    +a(g189
    +V\u000a
    +tp2050
    +a(g189
    +V            
    +p2051
    +tp2052
    +a(g111
    +Vthrow
    +p2053
    +tp2054
    +a(g189
    +V 
    +tp2055
    +a(g111
    +Vnew
    +p2056
    +tp2057
    +a(g189
    +V 
    +tp2058
    +a(g21
    +VPlatformManagerException
    +p2059
    +tp2060
    +a(g344
    +V(
    +tp2061
    +a(g226
    +V"Failed to create process"
    +p2062
    +tp2063
    +a(g344
    +V,
    +tp2064
    +a(g189
    +V 
    +tp2065
    +a(g18
    +Ve
    +tp2066
    +a(g344
    +V)
    +tp2067
    +a(g344
    +V;
    +tp2068
    +a(g189
    +V\u000a
    +tp2069
    +a(g189
    +V        
    +p2070
    +tp2071
    +a(g344
    +V}
    +tp2072
    +a(g189
    +V\u000a
    +tp2073
    +a(g189
    +V    
    +p2074
    +tp2075
    +a(g344
    +V}
    +tp2076
    +a(g189
    +V\u000a
    +tp2077
    +a(g189
    +V\u000a
    +tp2078
    +a(g189
    +V    
    +p2079
    +tp2080
    +a(g7
    +V/**\u000a     * {@inheritDoc}\u000a     */
    +p2081
    +tp2082
    +a(g189
    +V\u000a
    +tp2083
    +a(g189
    +V    
    +p2084
    +tp2085
    +a(g131
    +Vpublic
    +p2086
    +tp2087
    +a(g189
    +V 
    +tp2088
    +a(g139
    +Vvoid
    +p2089
    +tp2090
    +a(g189
    +V 
    +tp2091
    +a(g21
    +VperformRecoverableFileDelete
    +p2092
    +tp2093
    +a(g344
    +V(
    +tp2094
    +a(g18
    +VString
    +p2095
    +tp2096
    +a(g189
    +V 
    +tp2097
    +a(g18
    +Vpath
    +p2098
    +tp2099
    +a(g344
    +V)
    +tp2100
    +a(g189
    +V 
    +tp2101
    +a(g131
    +Vthrows
    +p2102
    +tp2103
    +a(g189
    +V 
    +tp2104
    +a(g18
    +VPlatformManagerException
    +p2105
    +tp2106
    +a(g189
    +V\u000a
    +tp2107
    +a(g189
    +V    
    +p2108
    +tp2109
    +a(g344
    +V{
    +tp2110
    +a(g189
    +V\u000a
    +tp2111
    +a(g189
    +V        
    +p2112
    +tp2113
    +a(g18
    +VFile
    +p2114
    +tp2115
    +a(g189
    +V 
    +tp2116
    +a(g18
    +Vfile
    +p2117
    +tp2118
    +a(g189
    +V 
    +tp2119
    +a(g344
    +V=
    +tp2120
    +a(g189
    +V 
    +tp2121
    +a(g111
    +Vnew
    +p2122
    +tp2123
    +a(g189
    +V 
    +tp2124
    +a(g18
    +VFile
    +p2125
    +tp2126
    +a(g344
    +V(
    +tp2127
    +a(g18
    +Vpath
    +p2128
    +tp2129
    +a(g344
    +V)
    +tp2130
    +a(g344
    +V;
    +tp2131
    +a(g189
    +V\u000a
    +tp2132
    +a(g189
    +V        
    +p2133
    +tp2134
    +a(g111
    +Vif
    +p2135
    +tp2136
    +a(g344
    +V(
    +tp2137
    +a(g344
    +V!
    +tp2138
    +a(g18
    +Vfile
    +p2139
    +tp2140
    +a(g344
    +V.
    +tp2141
    +a(g41
    +Vexists
    +p2142
    +tp2143
    +a(g344
    +V(
    +tp2144
    +a(g344
    +V)
    +tp2145
    +a(g344
    +V)
    +tp2146
    +a(g189
    +V\u000a
    +tp2147
    +a(g189
    +V        
    +p2148
    +tp2149
    +a(g344
    +V{
    +tp2150
    +a(g189
    +V\u000a
    +tp2151
    +a(g189
    +V	        	
    +p2152
    +tp2153
    +a(g111
    +Vif
    +p2154
    +tp2155
    +a(g189
    +V 
    +tp2156
    +a(g344
    +V(
    +tp2157
    +a(g18
    +VLogger
    +p2158
    +tp2159
    +a(g344
    +V.
    +tp2160
    +a(g41
    +VisEnabled
    +p2161
    +tp2162
    +a(g344
    +V(
    +tp2163
    +a(g344
    +V)
    +tp2164
    +a(g344
    +V)
    +tp2165
    +a(g189
    +V\u000a
    +tp2166
    +a(g189
    +V							
    +p2167
    +tp2168
    +a(g18
    +VLogger
    +p2169
    +tp2170
    +a(g344
    +V.
    +tp2171
    +a(g41
    +Vlog
    +p2172
    +tp2173
    +a(g344
    +V(
    +tp2174
    +a(g111
    +Vnew
    +p2175
    +tp2176
    +a(g189
    +V 
    +tp2177
    +a(g18
    +VLogEvent
    +p2178
    +tp2179
    +a(g344
    +V(
    +tp2180
    +a(g18
    +VLOGID
    +p2181
    +tp2182
    +a(g344
    +V,
    +tp2183
    +a(g189
    +V 
    +tp2184
    +a(g18
    +VLogEvent
    +p2185
    +tp2186
    +a(g344
    +V.
    +tp2187
    +a(g41
    +VLT_WARNING
    +p2188
    +tp2189
    +a(g344
    +V,
    +tp2190
    +a(g189
    +V 
    +tp2191
    +a(g226
    +V"Cannot find "
    +p2192
    +tp2193
    +a(g189
    +V\u000a
    +tp2194
    +a(g189
    +V									
    +p2195
    +tp2196
    +a(g344
    +V+
    +tp2197
    +a(g189
    +V 
    +tp2198
    +a(g18
    +Vfile
    +p2199
    +tp2200
    +a(g344
    +V.
    +tp2201
    +a(g41
    +VgetName
    +p2202
    +tp2203
    +a(g344
    +V(
    +tp2204
    +a(g344
    +V)
    +tp2205
    +a(g344
    +V)
    +tp2206
    +a(g344
    +V)
    +tp2207
    +a(g344
    +V;
    +tp2208
    +a(g189
    +V\u000a
    +tp2209
    +a(g189
    +V            
    +p2210
    +tp2211
    +a(g111
    +Vreturn
    +p2212
    +tp2213
    +a(g344
    +V;
    +tp2214
    +a(g189
    +V\u000a
    +tp2215
    +a(g189
    +V        
    +p2216
    +tp2217
    +a(g344
    +V}
    +tp2218
    +a(g189
    +V\u000a
    +tp2219
    +a(g189
    +V\u000a
    +tp2220
    +a(g189
    +V        
    +p2221
    +tp2222
    +a(g139
    +Vboolean
    +p2223
    +tp2224
    +a(g189
    +V 
    +tp2225
    +a(g18
    +VuseOSA
    +p2226
    +tp2227
    +a(g189
    +V 
    +tp2228
    +a(g344
    +V=
    +tp2229
    +a(g189
    +V 
    +tp2230
    +a(g344
    +V!
    +tp2231
    +a(g18
    +VNativeInvocationBridge
    +p2232
    +tp2233
    +a(g344
    +V.
    +tp2234
    +a(g41
    +VsharedInstance
    +p2235
    +tp2236
    +a(g344
    +V(
    +tp2237
    +a(g344
    +V)
    +tp2238
    +a(g344
    +V.
    +tp2239
    +a(g41
    +VisEnabled
    +p2240
    +tp2241
    +a(g344
    +V(
    +tp2242
    +a(g344
    +V)
    +tp2243
    +a(g189
    +V 
    +tp2244
    +a(g344
    +V|
    +tp2245
    +a(g344
    +V|
    +tp2246
    +a(g189
    +V 
    +tp2247
    +a(g344
    +V!
    +tp2248
    +a(g18
    +VNativeInvocationBridge
    +p2249
    +tp2250
    +a(g344
    +V.
    +tp2251
    +a(g41
    +VsharedInstance
    +p2252
    +tp2253
    +a(g344
    +V(
    +tp2254
    +a(g344
    +V)
    +tp2255
    +a(g344
    +V.
    +tp2256
    +a(g41
    +VperformRecoverableFileDelete
    +p2257
    +tp2258
    +a(g344
    +V(
    +tp2259
    +a(g18
    +Vfile
    +p2260
    +tp2261
    +a(g344
    +V)
    +tp2262
    +a(g344
    +V;
    +tp2263
    +a(g189
    +V\u000a
    +tp2264
    +a(g189
    +V\u000a
    +tp2265
    +a(g189
    +V        
    +p2266
    +tp2267
    +a(g111
    +Vif
    +p2268
    +tp2269
    +a(g344
    +V(
    +tp2270
    +a(g18
    +VuseOSA
    +p2271
    +tp2272
    +a(g344
    +V)
    +tp2273
    +a(g189
    +V\u000a
    +tp2274
    +a(g189
    +V        
    +p2275
    +tp2276
    +a(g344
    +V{
    +tp2277
    +a(g189
    +V\u000a
    +tp2278
    +a(g189
    +V            
    +p2279
    +tp2280
    +a(g111
    +Vtry
    +p2281
    +tp2282
    +a(g189
    +V\u000a
    +tp2283
    +a(g189
    +V            
    +p2284
    +tp2285
    +a(g344
    +V{
    +tp2286
    +a(g189
    +V\u000a
    +tp2287
    +a(g189
    +V                
    +p2288
    +tp2289
    +a(g18
    +VStringBuffer
    +p2290
    +tp2291
    +a(g189
    +V 
    +tp2292
    +a(g18
    +Vsb
    +p2293
    +tp2294
    +a(g189
    +V 
    +tp2295
    +a(g344
    +V=
    +tp2296
    +a(g189
    +V 
    +tp2297
    +a(g111
    +Vnew
    +p2298
    +tp2299
    +a(g189
    +V 
    +tp2300
    +a(g18
    +VStringBuffer
    +p2301
    +tp2302
    +a(g344
    +V(
    +tp2303
    +a(g344
    +V)
    +tp2304
    +a(g344
    +V;
    +tp2305
    +a(g189
    +V\u000a
    +tp2306
    +a(g189
    +V                
    +p2307
    +tp2308
    +a(g18
    +Vsb
    +p2309
    +tp2310
    +a(g344
    +V.
    +tp2311
    +a(g41
    +Vappend
    +p2312
    +tp2313
    +a(g344
    +V(
    +tp2314
    +a(g226
    +V"tell application \u005c""
    +p2315
    +tp2316
    +a(g344
    +V)
    +tp2317
    +a(g344
    +V;
    +tp2318
    +a(g189
    +V\u000a
    +tp2319
    +a(g189
    +V                
    +p2320
    +tp2321
    +a(g18
    +Vsb
    +p2322
    +tp2323
    +a(g344
    +V.
    +tp2324
    +a(g41
    +Vappend
    +p2325
    +tp2326
    +a(g344
    +V(
    +tp2327
    +a(g226
    +V"Finder"
    +p2328
    +tp2329
    +a(g344
    +V)
    +tp2330
    +a(g344
    +V;
    +tp2331
    +a(g189
    +V\u000a
    +tp2332
    +a(g189
    +V                
    +p2333
    +tp2334
    +a(g18
    +Vsb
    +p2335
    +tp2336
    +a(g344
    +V.
    +tp2337
    +a(g41
    +Vappend
    +p2338
    +tp2339
    +a(g344
    +V(
    +tp2340
    +a(g226
    +V"\u005c" to move (posix file \u005c""
    +p2341
    +tp2342
    +a(g344
    +V)
    +tp2343
    +a(g344
    +V;
    +tp2344
    +a(g189
    +V\u000a
    +tp2345
    +a(g189
    +V                
    +p2346
    +tp2347
    +a(g18
    +Vsb
    +p2348
    +tp2349
    +a(g344
    +V.
    +tp2350
    +a(g41
    +Vappend
    +p2351
    +tp2352
    +a(g344
    +V(
    +tp2353
    +a(g18
    +Vpath
    +p2354
    +tp2355
    +a(g344
    +V)
    +tp2356
    +a(g344
    +V;
    +tp2357
    +a(g189
    +V\u000a
    +tp2358
    +a(g189
    +V                
    +p2359
    +tp2360
    +a(g18
    +Vsb
    +p2361
    +tp2362
    +a(g344
    +V.
    +tp2363
    +a(g41
    +Vappend
    +p2364
    +tp2365
    +a(g344
    +V(
    +tp2366
    +a(g226
    +V"\u005c" as alias) to the trash"
    +p2367
    +tp2368
    +a(g344
    +V)
    +tp2369
    +a(g344
    +V;
    +tp2370
    +a(g189
    +V\u000a
    +tp2371
    +a(g189
    +V\u000a
    +tp2372
    +a(g189
    +V                
    +p2373
    +tp2374
    +a(g18
    +VperformOSAScript
    +p2375
    +tp2376
    +a(g344
    +V(
    +tp2377
    +a(g18
    +Vsb
    +p2378
    +tp2379
    +a(g344
    +V)
    +tp2380
    +a(g344
    +V;
    +tp2381
    +a(g189
    +V\u000a
    +tp2382
    +a(g189
    +V            
    +p2383
    +tp2384
    +a(g344
    +V}
    +tp2385
    +a(g189
    +V\u000a
    +tp2386
    +a(g189
    +V            
    +p2387
    +tp2388
    +a(g111
    +Vcatch
    +p2389
    +tp2390
    +a(g189
    +V 
    +tp2391
    +a(g344
    +V(
    +tp2392
    +a(g18
    +VThrowable
    +p2393
    +tp2394
    +a(g189
    +V 
    +tp2395
    +a(g18
    +Ve
    +tp2396
    +a(g344
    +V)
    +tp2397
    +a(g189
    +V\u000a
    +tp2398
    +a(g189
    +V            
    +p2399
    +tp2400
    +a(g344
    +V{
    +tp2401
    +a(g189
    +V\u000a
    +tp2402
    +a(g189
    +V                
    +p2403
    +tp2404
    +a(g111
    +Vthrow
    +p2405
    +tp2406
    +a(g189
    +V 
    +tp2407
    +a(g111
    +Vnew
    +p2408
    +tp2409
    +a(g189
    +V 
    +tp2410
    +a(g21
    +VPlatformManagerException
    +p2411
    +tp2412
    +a(g344
    +V(
    +tp2413
    +a(g226
    +V"Failed to move file"
    +p2414
    +tp2415
    +a(g344
    +V,
    +tp2416
    +a(g189
    +V 
    +tp2417
    +a(g18
    +Ve
    +tp2418
    +a(g344
    +V)
    +tp2419
    +a(g344
    +V;
    +tp2420
    +a(g189
    +V\u000a
    +tp2421
    +a(g189
    +V            
    +p2422
    +tp2423
    +a(g344
    +V}
    +tp2424
    +a(g189
    +V\u000a
    +tp2425
    +a(g189
    +V        
    +p2426
    +tp2427
    +a(g344
    +V}
    +tp2428
    +a(g189
    +V\u000a
    +tp2429
    +a(g189
    +V    
    +p2430
    +tp2431
    +a(g344
    +V}
    +tp2432
    +a(g189
    +V\u000a
    +tp2433
    +a(g189
    +V\u000a
    +tp2434
    +a(g189
    +V    
    +p2435
    +tp2436
    +a(g7
    +V/**\u000a     * {@inheritDoc}\u000a     */
    +p2437
    +tp2438
    +a(g189
    +V\u000a
    +tp2439
    +a(g189
    +V    
    +p2440
    +tp2441
    +a(g131
    +Vpublic
    +p2442
    +tp2443
    +a(g189
    +V 
    +tp2444
    +a(g139
    +Vboolean
    +p2445
    +tp2446
    +a(g189
    +V 
    +tp2447
    +a(g21
    +VhasCapability
    +p2448
    +tp2449
    +a(g344
    +V(
    +tp2450
    +a(g18
    +VPlatformManagerCapabilities
    +p2451
    +tp2452
    +a(g189
    +V 
    +tp2453
    +a(g18
    +Vcapability
    +p2454
    +tp2455
    +a(g344
    +V)
    +tp2456
    +a(g189
    +V\u000a
    +tp2457
    +a(g189
    +V    
    +p2458
    +tp2459
    +a(g344
    +V{
    +tp2460
    +a(g189
    +V\u000a
    +tp2461
    +a(g189
    +V        
    +p2462
    +tp2463
    +a(g111
    +Vreturn
    +p2464
    +tp2465
    +a(g189
    +V 
    +tp2466
    +a(g18
    +VcapabilitySet
    +p2467
    +tp2468
    +a(g344
    +V.
    +tp2469
    +a(g41
    +Vcontains
    +p2470
    +tp2471
    +a(g344
    +V(
    +tp2472
    +a(g18
    +Vcapability
    +p2473
    +tp2474
    +a(g344
    +V)
    +tp2475
    +a(g344
    +V;
    +tp2476
    +a(g189
    +V\u000a
    +tp2477
    +a(g189
    +V    
    +p2478
    +tp2479
    +a(g344
    +V}
    +tp2480
    +a(g189
    +V\u000a
    +tp2481
    +a(g189
    +V\u000a
    +tp2482
    +a(g189
    +V    
    +p2483
    +tp2484
    +a(g7
    +V/**\u000a     * {@inheritDoc}\u000a     */
    +p2485
    +tp2486
    +a(g189
    +V\u000a
    +tp2487
    +a(g189
    +V    
    +p2488
    +tp2489
    +a(g131
    +Vpublic
    +p2490
    +tp2491
    +a(g189
    +V 
    +tp2492
    +a(g139
    +Vvoid
    +p2493
    +tp2494
    +a(g189
    +V 
    +tp2495
    +a(g21
    +Vdispose
    +p2496
    +tp2497
    +a(g344
    +V(
    +tp2498
    +a(g344
    +V)
    +tp2499
    +a(g189
    +V\u000a
    +tp2500
    +a(g189
    +V    
    +p2501
    +tp2502
    +a(g344
    +V{
    +tp2503
    +a(g189
    +V\u000a
    +tp2504
    +a(g189
    +V        
    +p2505
    +tp2506
    +a(g18
    +VNativeInvocationBridge
    +p2507
    +tp2508
    +a(g344
    +V.
    +tp2509
    +a(g41
    +VsharedInstance
    +p2510
    +tp2511
    +a(g344
    +V(
    +tp2512
    +a(g344
    +V)
    +tp2513
    +a(g344
    +V.
    +tp2514
    +a(g41
    +Vdispose
    +p2515
    +tp2516
    +a(g344
    +V(
    +tp2517
    +a(g344
    +V)
    +tp2518
    +a(g344
    +V;
    +tp2519
    +a(g189
    +V\u000a
    +tp2520
    +a(g189
    +V    
    +p2521
    +tp2522
    +a(g344
    +V}
    +tp2523
    +a(g189
    +V\u000a
    +tp2524
    +a(g189
    +V\u000a
    +tp2525
    +a(g189
    +V    
    +p2526
    +tp2527
    +a(g7
    +V/**\u000a     * {@inheritDoc}\u000a     */
    +p2528
    +tp2529
    +a(g189
    +V\u000a
    +tp2530
    +a(g189
    +V    
    +p2531
    +tp2532
    +a(g131
    +Vpublic
    +p2533
    +tp2534
    +a(g189
    +V 
    +tp2535
    +a(g139
    +Vvoid
    +p2536
    +tp2537
    +a(g189
    +V 
    +tp2538
    +a(g21
    +VsetTCPTOSEnabled
    +p2539
    +tp2540
    +a(g344
    +V(
    +tp2541
    +a(g139
    +Vboolean
    +p2542
    +tp2543
    +a(g189
    +V 
    +tp2544
    +a(g18
    +Venabled
    +p2545
    +tp2546
    +a(g344
    +V)
    +tp2547
    +a(g189
    +V 
    +tp2548
    +a(g131
    +Vthrows
    +p2549
    +tp2550
    +a(g189
    +V 
    +tp2551
    +a(g18
    +VPlatformManagerException
    +p2552
    +tp2553
    +a(g189
    +V\u000a
    +tp2554
    +a(g189
    +V    
    +p2555
    +tp2556
    +a(g344
    +V{
    +tp2557
    +a(g189
    +V\u000a
    +tp2558
    +a(g189
    +V        
    +p2559
    +tp2560
    +a(g111
    +Vthrow
    +p2561
    +tp2562
    +a(g189
    +V 
    +tp2563
    +a(g111
    +Vnew
    +p2564
    +tp2565
    +a(g189
    +V 
    +tp2566
    +a(g21
    +VPlatformManagerException
    +p2567
    +tp2568
    +a(g344
    +V(
    +tp2569
    +a(g226
    +V"Unsupported capability called on platform manager"
    +p2570
    +tp2571
    +a(g344
    +V)
    +tp2572
    +a(g344
    +V;
    +tp2573
    +a(g189
    +V\u000a
    +tp2574
    +a(g189
    +V    
    +p2575
    +tp2576
    +a(g344
    +V}
    +tp2577
    +a(g189
    +V\u000a
    +tp2578
    +a(g189
    +V\u000a
    +tp2579
    +a(g189
    +V	
    +tp2580
    +a(g131
    +Vpublic
    +p2581
    +tp2582
    +a(g189
    +V 
    +tp2583
    +a(g139
    +Vvoid
    +p2584
    +tp2585
    +a(g189
    +V\u000a
    +tp2586
    +a(g189
    +V    
    +p2587
    +tp2588
    +a(g21
    +VcopyFilePermissions
    +p2589
    +tp2590
    +a(g344
    +V(
    +tp2591
    +a(g189
    +V\u000a
    +tp2592
    +a(g189
    +V		
    +p2593
    +tp2594
    +a(g18
    +VString
    +p2595
    +tp2596
    +a(g189
    +V	
    +tp2597
    +a(g18
    +Vfrom_file_name
    +p2598
    +tp2599
    +a(g344
    +V,
    +tp2600
    +a(g189
    +V\u000a
    +tp2601
    +a(g189
    +V		
    +p2602
    +tp2603
    +a(g18
    +VString
    +p2604
    +tp2605
    +a(g189
    +V	
    +tp2606
    +a(g18
    +Vto_file_name
    +p2607
    +tp2608
    +a(g189
    +V 
    +tp2609
    +a(g344
    +V)
    +tp2610
    +a(g189
    +V\u000a
    +tp2611
    +a(g189
    +V	
    +tp2612
    +a(g189
    +V\u000a
    +tp2613
    +a(g189
    +V		
    +p2614
    +tp2615
    +a(g131
    +Vthrows
    +p2616
    +tp2617
    +a(g189
    +V 
    +tp2618
    +a(g18
    +VPlatformManagerException
    +p2619
    +tp2620
    +a(g189
    +V\u000a
    +tp2621
    +a(g189
    +V	
    +tp2622
    +a(g344
    +V{
    +tp2623
    +a(g189
    +V\u000a
    +tp2624
    +a(g189
    +V	    
    +p2625
    +tp2626
    +a(g111
    +Vthrow
    +p2627
    +tp2628
    +a(g189
    +V 
    +tp2629
    +a(g111
    +Vnew
    +p2630
    +tp2631
    +a(g189
    +V 
    +tp2632
    +a(g21
    +VPlatformManagerException
    +p2633
    +tp2634
    +a(g344
    +V(
    +tp2635
    +a(g226
    +V"Unsupported capability called on platform manager"
    +p2636
    +tp2637
    +a(g344
    +V)
    +tp2638
    +a(g344
    +V;
    +tp2639
    +a(g189
    +V		
    +p2640
    +tp2641
    +a(g189
    +V\u000a
    +tp2642
    +a(g189
    +V	
    +tp2643
    +a(g344
    +V}
    +tp2644
    +a(g189
    +V\u000a
    +tp2645
    +a(g189
    +V	
    +tp2646
    +a(g189
    +V\u000a
    +tp2647
    +a(g189
    +V    
    +p2648
    +tp2649
    +a(g7
    +V/**\u000a     * {@inheritDoc}\u000a     */
    +p2650
    +tp2651
    +a(g189
    +V\u000a
    +tp2652
    +a(g189
    +V    
    +p2653
    +tp2654
    +a(g131
    +Vpublic
    +p2655
    +tp2656
    +a(g189
    +V 
    +tp2657
    +a(g139
    +Vvoid
    +p2658
    +tp2659
    +a(g189
    +V 
    +tp2660
    +a(g21
    +VshowFile
    +p2661
    +tp2662
    +a(g344
    +V(
    +tp2663
    +a(g18
    +VString
    +p2664
    +tp2665
    +a(g189
    +V 
    +tp2666
    +a(g18
    +Vpath
    +p2667
    +tp2668
    +a(g344
    +V)
    +tp2669
    +a(g189
    +V 
    +tp2670
    +a(g131
    +Vthrows
    +p2671
    +tp2672
    +a(g189
    +V 
    +tp2673
    +a(g18
    +VPlatformManagerException
    +p2674
    +tp2675
    +a(g189
    +V\u000a
    +tp2676
    +a(g189
    +V    
    +p2677
    +tp2678
    +a(g344
    +V{
    +tp2679
    +a(g189
    +V\u000a
    +tp2680
    +a(g189
    +V        
    +p2681
    +tp2682
    +a(g18
    +VFile
    +p2683
    +tp2684
    +a(g189
    +V 
    +tp2685
    +a(g18
    +Vfile
    +p2686
    +tp2687
    +a(g189
    +V 
    +tp2688
    +a(g344
    +V=
    +tp2689
    +a(g189
    +V 
    +tp2690
    +a(g111
    +Vnew
    +p2691
    +tp2692
    +a(g189
    +V 
    +tp2693
    +a(g18
    +VFile
    +p2694
    +tp2695
    +a(g344
    +V(
    +tp2696
    +a(g18
    +Vpath
    +p2697
    +tp2698
    +a(g344
    +V)
    +tp2699
    +a(g344
    +V;
    +tp2700
    +a(g189
    +V\u000a
    +tp2701
    +a(g189
    +V        
    +p2702
    +tp2703
    +a(g111
    +Vif
    +p2704
    +tp2705
    +a(g344
    +V(
    +tp2706
    +a(g344
    +V!
    +tp2707
    +a(g18
    +Vfile
    +p2708
    +tp2709
    +a(g344
    +V.
    +tp2710
    +a(g41
    +Vexists
    +p2711
    +tp2712
    +a(g344
    +V(
    +tp2713
    +a(g344
    +V)
    +tp2714
    +a(g344
    +V)
    +tp2715
    +a(g189
    +V\u000a
    +tp2716
    +a(g189
    +V        
    +p2717
    +tp2718
    +a(g344
    +V{
    +tp2719
    +a(g189
    +V\u000a
    +tp2720
    +a(g189
    +V        	
    +p2721
    +tp2722
    +a(g111
    +Vif
    +p2723
    +tp2724
    +a(g189
    +V 
    +tp2725
    +a(g344
    +V(
    +tp2726
    +a(g18
    +VLogger
    +p2727
    +tp2728
    +a(g344
    +V.
    +tp2729
    +a(g41
    +VisEnabled
    +p2730
    +tp2731
    +a(g344
    +V(
    +tp2732
    +a(g344
    +V)
    +tp2733
    +a(g344
    +V)
    +tp2734
    +a(g189
    +V\u000a
    +tp2735
    +a(g189
    +V        		
    +p2736
    +tp2737
    +a(g18
    +VLogger
    +p2738
    +tp2739
    +a(g344
    +V.
    +tp2740
    +a(g41
    +Vlog
    +p2741
    +tp2742
    +a(g344
    +V(
    +tp2743
    +a(g111
    +Vnew
    +p2744
    +tp2745
    +a(g189
    +V 
    +tp2746
    +a(g18
    +VLogEvent
    +p2747
    +tp2748
    +a(g344
    +V(
    +tp2749
    +a(g18
    +VLOGID
    +p2750
    +tp2751
    +a(g344
    +V,
    +tp2752
    +a(g189
    +V 
    +tp2753
    +a(g18
    +VLogEvent
    +p2754
    +tp2755
    +a(g344
    +V.
    +tp2756
    +a(g41
    +VLT_WARNING
    +p2757
    +tp2758
    +a(g344
    +V,
    +tp2759
    +a(g189
    +V 
    +tp2760
    +a(g226
    +V"Cannot find "
    +p2761
    +tp2762
    +a(g189
    +V\u000a
    +tp2763
    +a(g189
    +V        				
    +p2764
    +tp2765
    +a(g344
    +V+
    +tp2766
    +a(g189
    +V 
    +tp2767
    +a(g18
    +Vfile
    +p2768
    +tp2769
    +a(g344
    +V.
    +tp2770
    +a(g41
    +VgetName
    +p2771
    +tp2772
    +a(g344
    +V(
    +tp2773
    +a(g344
    +V)
    +tp2774
    +a(g344
    +V)
    +tp2775
    +a(g344
    +V)
    +tp2776
    +a(g344
    +V;
    +tp2777
    +a(g189
    +V\u000a
    +tp2778
    +a(g189
    +V            
    +p2779
    +tp2780
    +a(g111
    +Vthrow
    +p2781
    +tp2782
    +a(g189
    +V 
    +tp2783
    +a(g111
    +Vnew
    +p2784
    +tp2785
    +a(g189
    +V 
    +tp2786
    +a(g21
    +VPlatformManagerException
    +p2787
    +tp2788
    +a(g344
    +V(
    +tp2789
    +a(g226
    +V"File not found"
    +p2790
    +tp2791
    +a(g344
    +V)
    +tp2792
    +a(g344
    +V;
    +tp2793
    +a(g189
    +V\u000a
    +tp2794
    +a(g189
    +V        
    +p2795
    +tp2796
    +a(g344
    +V}
    +tp2797
    +a(g189
    +V\u000a
    +tp2798
    +a(g189
    +V\u000a
    +tp2799
    +a(g189
    +V        
    +p2800
    +tp2801
    +a(g18
    +VshowInFinder
    +p2802
    +tp2803
    +a(g344
    +V(
    +tp2804
    +a(g18
    +Vfile
    +p2805
    +tp2806
    +a(g344
    +V)
    +tp2807
    +a(g344
    +V;
    +tp2808
    +a(g189
    +V\u000a
    +tp2809
    +a(g189
    +V    
    +p2810
    +tp2811
    +a(g344
    +V}
    +tp2812
    +a(g189
    +V\u000a
    +tp2813
    +a(g189
    +V\u000a
    +tp2814
    +a(g189
    +V    
    +p2815
    +tp2816
    +a(g358
    +V// Public utility methods not shared across the interface\u000a
    +p2817
    +tp2818
    +a(g189
    +V\u000a
    +tp2819
    +a(g189
    +V    
    +p2820
    +tp2821
    +a(g7
    +V/**\u000a     * Plays the system alert (the jingle is specified by the user in System Preferences)\u000a     */
    +p2822
    +tp2823
    +a(g189
    +V\u000a
    +tp2824
    +a(g189
    +V    
    +p2825
    +tp2826
    +a(g131
    +Vpublic
    +p2827
    +tp2828
    +a(g189
    +V 
    +tp2829
    +a(g139
    +Vvoid
    +p2830
    +tp2831
    +a(g189
    +V 
    +tp2832
    +a(g21
    +VplaySystemAlert
    +p2833
    +tp2834
    +a(g344
    +V(
    +tp2835
    +a(g344
    +V)
    +tp2836
    +a(g189
    +V\u000a
    +tp2837
    +a(g189
    +V    
    +p2838
    +tp2839
    +a(g344
    +V{
    +tp2840
    +a(g189
    +V\u000a
    +tp2841
    +a(g189
    +V        
    +p2842
    +tp2843
    +a(g111
    +Vtry
    +p2844
    +tp2845
    +a(g189
    +V\u000a
    +tp2846
    +a(g189
    +V        
    +p2847
    +tp2848
    +a(g344
    +V{
    +tp2849
    +a(g189
    +V\u000a
    +tp2850
    +a(g189
    +V            
    +p2851
    +tp2852
    +a(g18
    +VperformRuntimeExec
    +p2853
    +tp2854
    +a(g344
    +V(
    +tp2855
    +a(g111
    +Vnew
    +p2856
    +tp2857
    +a(g189
    +V 
    +tp2858
    +a(g18
    +VString
    +p2859
    +tp2860
    +a(g344
    +V[
    +tp2861
    +a(g344
    +V]
    +tp2862
    +a(g344
    +V{
    +tp2863
    +a(g226
    +V"beep"
    +p2864
    +tp2865
    +a(g344
    +V}
    +tp2866
    +a(g344
    +V)
    +tp2867
    +a(g344
    +V;
    +tp2868
    +a(g189
    +V\u000a
    +tp2869
    +a(g189
    +V        
    +p2870
    +tp2871
    +a(g344
    +V}
    +tp2872
    +a(g189
    +V\u000a
    +tp2873
    +a(g189
    +V        
    +p2874
    +tp2875
    +a(g111
    +Vcatch
    +p2876
    +tp2877
    +a(g189
    +V 
    +tp2878
    +a(g344
    +V(
    +tp2879
    +a(g18
    +VIOException
    +p2880
    +tp2881
    +a(g189
    +V 
    +tp2882
    +a(g18
    +Ve
    +tp2883
    +a(g344
    +V)
    +tp2884
    +a(g189
    +V\u000a
    +tp2885
    +a(g189
    +V        
    +p2886
    +tp2887
    +a(g344
    +V{
    +tp2888
    +a(g189
    +V\u000a
    +tp2889
    +a(g189
    +V        	
    +p2890
    +tp2891
    +a(g111
    +Vif
    +p2892
    +tp2893
    +a(g189
    +V 
    +tp2894
    +a(g344
    +V(
    +tp2895
    +a(g18
    +VLogger
    +p2896
    +tp2897
    +a(g344
    +V.
    +tp2898
    +a(g41
    +VisEnabled
    +p2899
    +tp2900
    +a(g344
    +V(
    +tp2901
    +a(g344
    +V)
    +tp2902
    +a(g344
    +V)
    +tp2903
    +a(g189
    +V\u000a
    +tp2904
    +a(g189
    +V        		
    +p2905
    +tp2906
    +a(g18
    +VLogger
    +p2907
    +tp2908
    +a(g344
    +V.
    +tp2909
    +a(g41
    +Vlog
    +p2910
    +tp2911
    +a(g344
    +V(
    +tp2912
    +a(g111
    +Vnew
    +p2913
    +tp2914
    +a(g189
    +V 
    +tp2915
    +a(g18
    +VLogEvent
    +p2916
    +tp2917
    +a(g344
    +V(
    +tp2918
    +a(g18
    +VLOGID
    +p2919
    +tp2920
    +a(g344
    +V,
    +tp2921
    +a(g189
    +V 
    +tp2922
    +a(g18
    +VLogEvent
    +p2923
    +tp2924
    +a(g344
    +V.
    +tp2925
    +a(g41
    +VLT_WARNING
    +p2926
    +tp2927
    +a(g344
    +V,
    +tp2928
    +a(g189
    +V\u000a
    +tp2929
    +a(g189
    +V						
    +p2930
    +tp2931
    +a(g226
    +V"Cannot play system alert"
    +p2932
    +tp2933
    +a(g344
    +V)
    +tp2934
    +a(g344
    +V)
    +tp2935
    +a(g344
    +V;
    +tp2936
    +a(g189
    +V\u000a
    +tp2937
    +a(g189
    +V        	
    +p2938
    +tp2939
    +a(g18
    +VLogger
    +p2940
    +tp2941
    +a(g344
    +V.
    +tp2942
    +a(g41
    +Vlog
    +p2943
    +tp2944
    +a(g344
    +V(
    +tp2945
    +a(g111
    +Vnew
    +p2946
    +tp2947
    +a(g189
    +V 
    +tp2948
    +a(g18
    +VLogEvent
    +p2949
    +tp2950
    +a(g344
    +V(
    +tp2951
    +a(g18
    +VLOGID
    +p2952
    +tp2953
    +a(g344
    +V,
    +tp2954
    +a(g189
    +V 
    +tp2955
    +a(g226
    +V""
    +p2956
    +tp2957
    +a(g344
    +V,
    +tp2958
    +a(g189
    +V 
    +tp2959
    +a(g18
    +Ve
    +tp2960
    +a(g344
    +V)
    +tp2961
    +a(g344
    +V)
    +tp2962
    +a(g344
    +V;
    +tp2963
    +a(g189
    +V\u000a
    +tp2964
    +a(g189
    +V        
    +p2965
    +tp2966
    +a(g344
    +V}
    +tp2967
    +a(g189
    +V\u000a
    +tp2968
    +a(g189
    +V    
    +p2969
    +tp2970
    +a(g344
    +V}
    +tp2971
    +a(g189
    +V\u000a
    +tp2972
    +a(g189
    +V\u000a
    +tp2973
    +a(g189
    +V    
    +p2974
    +tp2975
    +a(g7
    +V/**\u000a     * 

    Shows the given file or directory in Finder

    \u000a * @param path Absolute path to the file or directory\u000a */ +p2976 +tp2977 +a(g189 +V\u000a +tp2978 +a(g189 +V +p2979 +tp2980 +a(g131 +Vpublic +p2981 +tp2982 +a(g189 +V +tp2983 +a(g139 +Vvoid +p2984 +tp2985 +a(g189 +V +tp2986 +a(g21 +VshowInFinder +p2987 +tp2988 +a(g344 +V( +tp2989 +a(g18 +VFile +p2990 +tp2991 +a(g189 +V +tp2992 +a(g18 +Vpath +p2993 +tp2994 +a(g344 +V) +tp2995 +a(g189 +V\u000a +tp2996 +a(g189 +V +p2997 +tp2998 +a(g344 +V{ +tp2999 +a(g189 +V\u000a +tp3000 +a(g189 +V +p3001 +tp3002 +a(g139 +Vboolean +p3003 +tp3004 +a(g189 +V +tp3005 +a(g18 +VuseOSA +p3006 +tp3007 +a(g189 +V +tp3008 +a(g344 +V= +tp3009 +a(g189 +V +tp3010 +a(g344 +V! +tp3011 +a(g18 +VNativeInvocationBridge +p3012 +tp3013 +a(g344 +V. +tp3014 +a(g41 +VsharedInstance +p3015 +tp3016 +a(g344 +V( +tp3017 +a(g344 +V) +tp3018 +a(g344 +V. +tp3019 +a(g41 +VisEnabled +p3020 +tp3021 +a(g344 +V( +tp3022 +a(g344 +V) +tp3023 +a(g189 +V +tp3024 +a(g344 +V| +tp3025 +a(g344 +V| +tp3026 +a(g189 +V +tp3027 +a(g344 +V! +tp3028 +a(g18 +VNativeInvocationBridge +p3029 +tp3030 +a(g344 +V. +tp3031 +a(g41 +VsharedInstance +p3032 +tp3033 +a(g344 +V( +tp3034 +a(g344 +V) +tp3035 +a(g344 +V. +tp3036 +a(g41 +VshowInFinder +p3037 +tp3038 +a(g344 +V( +tp3039 +a(g18 +Vpath +p3040 +tp3041 +a(g344 +V) +tp3042 +a(g344 +V; +tp3043 +a(g189 +V\u000a +tp3044 +a(g189 +V\u000a +tp3045 +a(g189 +V +p3046 +tp3047 +a(g111 +Vif +p3048 +tp3049 +a(g344 +V( +tp3050 +a(g18 +VuseOSA +p3051 +tp3052 +a(g344 +V) +tp3053 +a(g189 +V\u000a +tp3054 +a(g189 +V +p3055 +tp3056 +a(g344 +V{ +tp3057 +a(g189 +V\u000a +tp3058 +a(g189 +V +p3059 +tp3060 +a(g18 +VStringBuffer +p3061 +tp3062 +a(g189 +V +tp3063 +a(g18 +Vsb +p3064 +tp3065 +a(g189 +V +tp3066 +a(g344 +V= +tp3067 +a(g189 +V +tp3068 +a(g111 +Vnew +p3069 +tp3070 +a(g189 +V +tp3071 +a(g18 +VStringBuffer +p3072 +tp3073 +a(g344 +V( +tp3074 +a(g344 +V) +tp3075 +a(g344 +V; +tp3076 +a(g189 +V\u000a +tp3077 +a(g189 +V +p3078 +tp3079 +a(g18 +Vsb +p3080 +tp3081 +a(g344 +V. +tp3082 +a(g41 +Vappend +p3083 +tp3084 +a(g344 +V( +tp3085 +a(g226 +V"tell application \u005c"" +p3086 +tp3087 +a(g344 +V) +tp3088 +a(g344 +V; +tp3089 +a(g189 +V\u000a +tp3090 +a(g189 +V +p3091 +tp3092 +a(g18 +Vsb +p3093 +tp3094 +a(g344 +V. +tp3095 +a(g41 +Vappend +p3096 +tp3097 +a(g344 +V( +tp3098 +a(g18 +VgetFileBrowserName +p3099 +tp3100 +a(g344 +V( +tp3101 +a(g344 +V) +tp3102 +a(g344 +V) +tp3103 +a(g344 +V; +tp3104 +a(g189 +V\u000a +tp3105 +a(g189 +V +p3106 +tp3107 +a(g18 +Vsb +p3108 +tp3109 +a(g344 +V. +tp3110 +a(g41 +Vappend +p3111 +tp3112 +a(g344 +V( +tp3113 +a(g226 +V"\u005c" to reveal (posix file \u005c"" +p3114 +tp3115 +a(g344 +V) +tp3116 +a(g344 +V; +tp3117 +a(g189 +V\u000a +tp3118 +a(g189 +V +p3119 +tp3120 +a(g18 +Vsb +p3121 +tp3122 +a(g344 +V. +tp3123 +a(g41 +Vappend +p3124 +tp3125 +a(g344 +V( +tp3126 +a(g18 +Vpath +p3127 +tp3128 +a(g344 +V) +tp3129 +a(g344 +V; +tp3130 +a(g189 +V\u000a +tp3131 +a(g189 +V +p3132 +tp3133 +a(g18 +Vsb +p3134 +tp3135 +a(g344 +V. +tp3136 +a(g41 +Vappend +p3137 +tp3138 +a(g344 +V( +tp3139 +a(g226 +V"\u005c" as alias)" +p3140 +tp3141 +a(g344 +V) +tp3142 +a(g344 +V; +tp3143 +a(g189 +V\u000a +tp3144 +a(g189 +V\u000a +tp3145 +a(g189 +V +p3146 +tp3147 +a(g111 +Vtry +p3148 +tp3149 +a(g189 +V\u000a +tp3150 +a(g189 +V +p3151 +tp3152 +a(g344 +V{ +tp3153 +a(g189 +V\u000a +tp3154 +a(g189 +V +p3155 +tp3156 +a(g18 +VperformOSAScript +p3157 +tp3158 +a(g344 +V( +tp3159 +a(g18 +Vsb +p3160 +tp3161 +a(g344 +V) +tp3162 +a(g344 +V; +tp3163 +a(g189 +V\u000a +tp3164 +a(g189 +V +p3165 +tp3166 +a(g344 +V} +tp3167 +a(g189 +V\u000a +tp3168 +a(g189 +V +p3169 +tp3170 +a(g111 +Vcatch +p3171 +tp3172 +a(g189 +V +tp3173 +a(g344 +V( +tp3174 +a(g18 +VIOException +p3175 +tp3176 +a(g189 +V +tp3177 +a(g18 +Ve +tp3178 +a(g344 +V) +tp3179 +a(g189 +V\u000a +tp3180 +a(g189 +V +p3181 +tp3182 +a(g344 +V{ +tp3183 +a(g189 +V\u000a +tp3184 +a(g189 +V +p3185 +tp3186 +a(g18 +VLogger +p3187 +tp3188 +a(g344 +V. +tp3189 +a(g41 +Vlog +p3190 +tp3191 +a(g344 +V( +tp3192 +a(g111 +Vnew +p3193 +tp3194 +a(g189 +V +tp3195 +a(g18 +VLogAlert +p3196 +tp3197 +a(g344 +V( +tp3198 +a(g18 +VLogAlert +p3199 +tp3200 +a(g344 +V. +tp3201 +a(g41 +VUNREPEATABLE +p3202 +tp3203 +a(g344 +V, +tp3204 +a(g189 +V +tp3205 +a(g18 +VLogAlert +p3206 +tp3207 +a(g344 +V. +tp3208 +a(g41 +VAT_ERROR +p3209 +tp3210 +a(g344 +V, +tp3211 +a(g189 +V +tp3212 +a(g18 +Ve +tp3213 +a(g189 +V\u000a +tp3214 +a(g189 +V +p3215 +tp3216 +a(g344 +V. +tp3217 +a(g41 +VgetMessage +p3218 +tp3219 +a(g344 +V( +tp3220 +a(g344 +V) +tp3221 +a(g344 +V) +tp3222 +a(g344 +V) +tp3223 +a(g344 +V; +tp3224 +a(g189 +V\u000a +tp3225 +a(g189 +V +p3226 +tp3227 +a(g344 +V} +tp3228 +a(g189 +V\u000a +tp3229 +a(g189 +V +p3230 +tp3231 +a(g344 +V} +tp3232 +a(g189 +V\u000a +tp3233 +a(g189 +V +p3234 +tp3235 +a(g344 +V} +tp3236 +a(g189 +V\u000a +tp3237 +a(g189 +V\u000a +tp3238 +a(g189 +V +p3239 +tp3240 +a(g7 +V/**\u000a *

    Shows the given file or directory in Terminal by executing cd /absolute/path/to

    \u000a * @param path Absolute path to the file or directory\u000a */ +p3241 +tp3242 +a(g189 +V\u000a +tp3243 +a(g189 +V +p3244 +tp3245 +a(g131 +Vpublic +p3246 +tp3247 +a(g189 +V +tp3248 +a(g139 +Vvoid +p3249 +tp3250 +a(g189 +V +tp3251 +a(g21 +VshowInTerminal +p3252 +tp3253 +a(g344 +V( +tp3254 +a(g18 +VString +p3255 +tp3256 +a(g189 +V +tp3257 +a(g18 +Vpath +p3258 +tp3259 +a(g344 +V) +tp3260 +a(g189 +V\u000a +tp3261 +a(g189 +V +p3262 +tp3263 +a(g344 +V{ +tp3264 +a(g189 +V\u000a +tp3265 +a(g189 +V +p3266 +tp3267 +a(g18 +VshowInTerminal +p3268 +tp3269 +a(g344 +V( +tp3270 +a(g111 +Vnew +p3271 +tp3272 +a(g189 +V +tp3273 +a(g18 +VFile +p3274 +tp3275 +a(g344 +V( +tp3276 +a(g18 +Vpath +p3277 +tp3278 +a(g344 +V) +tp3279 +a(g344 +V) +tp3280 +a(g344 +V; +tp3281 +a(g189 +V\u000a +tp3282 +a(g189 +V +p3283 +tp3284 +a(g344 +V} +tp3285 +a(g189 +V\u000a +tp3286 +a(g189 +V\u000a +tp3287 +a(g189 +V +p3288 +tp3289 +a(g7 +V/**\u000a *

    Shows the given file or directory in Terminal by executing cd /absolute/path/to

    \u000a * @param path Absolute path to the file or directory\u000a */ +p3290 +tp3291 +a(g189 +V\u000a +tp3292 +a(g189 +V +p3293 +tp3294 +a(g131 +Vpublic +p3295 +tp3296 +a(g189 +V +tp3297 +a(g139 +Vvoid +p3298 +tp3299 +a(g189 +V +tp3300 +a(g21 +VshowInTerminal +p3301 +tp3302 +a(g344 +V( +tp3303 +a(g18 +VFile +p3304 +tp3305 +a(g189 +V +tp3306 +a(g18 +Vpath +p3307 +tp3308 +a(g344 +V) +tp3309 +a(g189 +V\u000a +tp3310 +a(g189 +V +p3311 +tp3312 +a(g344 +V{ +tp3313 +a(g189 +V\u000a +tp3314 +a(g189 +V +p3315 +tp3316 +a(g111 +Vif +p3317 +tp3318 +a(g189 +V +tp3319 +a(g344 +V( +tp3320 +a(g18 +Vpath +p3321 +tp3322 +a(g344 +V. +tp3323 +a(g41 +VisFile +p3324 +tp3325 +a(g344 +V( +tp3326 +a(g344 +V) +tp3327 +a(g344 +V) +tp3328 +a(g189 +V\u000a +tp3329 +a(g189 +V +p3330 +tp3331 +a(g344 +V{ +tp3332 +a(g189 +V\u000a +tp3333 +a(g189 +V +p3334 +tp3335 +a(g18 +Vpath +p3336 +tp3337 +a(g189 +V +tp3338 +a(g344 +V= +tp3339 +a(g189 +V +tp3340 +a(g18 +Vpath +p3341 +tp3342 +a(g344 +V. +tp3343 +a(g41 +VgetParentFile +p3344 +tp3345 +a(g344 +V( +tp3346 +a(g344 +V) +tp3347 +a(g344 +V; +tp3348 +a(g189 +V\u000a +tp3349 +a(g189 +V +p3350 +tp3351 +a(g344 +V} +tp3352 +a(g189 +V\u000a +tp3353 +a(g189 +V\u000a +tp3354 +a(g189 +V +p3355 +tp3356 +a(g111 +Vif +p3357 +tp3358 +a(g189 +V +tp3359 +a(g344 +V( +tp3360 +a(g18 +Vpath +p3361 +tp3362 +a(g189 +V +tp3363 +a(g344 +V! +tp3364 +a(g344 +V= +tp3365 +a(g189 +V +tp3366 +a(g117 +Vnull +p3367 +tp3368 +a(g189 +V +tp3369 +a(g344 +V& +tp3370 +a(g344 +V& +tp3371 +a(g189 +V +tp3372 +a(g18 +Vpath +p3373 +tp3374 +a(g344 +V. +tp3375 +a(g41 +VisDirectory +p3376 +tp3377 +a(g344 +V( +tp3378 +a(g344 +V) +tp3379 +a(g344 +V) +tp3380 +a(g189 +V\u000a +tp3381 +a(g189 +V +p3382 +tp3383 +a(g344 +V{ +tp3384 +a(g189 +V\u000a +tp3385 +a(g189 +V +p3386 +tp3387 +a(g18 +VStringBuffer +p3388 +tp3389 +a(g189 +V +tp3390 +a(g18 +Vsb +p3391 +tp3392 +a(g189 +V +tp3393 +a(g344 +V= +tp3394 +a(g189 +V +tp3395 +a(g111 +Vnew +p3396 +tp3397 +a(g189 +V +tp3398 +a(g18 +VStringBuffer +p3399 +tp3400 +a(g344 +V( +tp3401 +a(g344 +V) +tp3402 +a(g344 +V; +tp3403 +a(g189 +V\u000a +tp3404 +a(g189 +V +p3405 +tp3406 +a(g18 +Vsb +p3407 +tp3408 +a(g344 +V. +tp3409 +a(g41 +Vappend +p3410 +tp3411 +a(g344 +V( +tp3412 +a(g226 +V"tell application \u005c"" +p3413 +tp3414 +a(g344 +V) +tp3415 +a(g344 +V; +tp3416 +a(g189 +V\u000a +tp3417 +a(g189 +V +p3418 +tp3419 +a(g18 +Vsb +p3420 +tp3421 +a(g344 +V. +tp3422 +a(g41 +Vappend +p3423 +tp3424 +a(g344 +V( +tp3425 +a(g226 +V"Terminal" +p3426 +tp3427 +a(g344 +V) +tp3428 +a(g344 +V; +tp3429 +a(g189 +V\u000a +tp3430 +a(g189 +V +p3431 +tp3432 +a(g18 +Vsb +p3433 +tp3434 +a(g344 +V. +tp3435 +a(g41 +Vappend +p3436 +tp3437 +a(g344 +V( +tp3438 +a(g226 +V"\u005c" to do script \u005c"cd " +p3439 +tp3440 +a(g344 +V) +tp3441 +a(g344 +V; +tp3442 +a(g189 +V\u000a +tp3443 +a(g189 +V +p3444 +tp3445 +a(g18 +Vsb +p3446 +tp3447 +a(g344 +V. +tp3448 +a(g41 +Vappend +p3449 +tp3450 +a(g344 +V( +tp3451 +a(g18 +Vpath +p3452 +tp3453 +a(g344 +V. +tp3454 +a(g41 +VgetAbsolutePath +p3455 +tp3456 +a(g344 +V( +tp3457 +a(g344 +V) +tp3458 +a(g344 +V. +tp3459 +a(g41 +VreplaceAll +p3460 +tp3461 +a(g344 +V( +tp3462 +a(g226 +V" " +p3463 +tp3464 +a(g344 +V, +tp3465 +a(g189 +V +tp3466 +a(g226 +V"\u005c\u005c " +p3467 +tp3468 +a(g344 +V) +tp3469 +a(g344 +V) +tp3470 +a(g344 +V; +tp3471 +a(g189 +V\u000a +tp3472 +a(g189 +V +p3473 +tp3474 +a(g18 +Vsb +p3475 +tp3476 +a(g344 +V. +tp3477 +a(g41 +Vappend +p3478 +tp3479 +a(g344 +V( +tp3480 +a(g226 +V"\u005c"" +p3481 +tp3482 +a(g344 +V) +tp3483 +a(g344 +V; +tp3484 +a(g189 +V\u000a +tp3485 +a(g189 +V\u000a +tp3486 +a(g189 +V +p3487 +tp3488 +a(g111 +Vtry +p3489 +tp3490 +a(g189 +V\u000a +tp3491 +a(g189 +V +p3492 +tp3493 +a(g344 +V{ +tp3494 +a(g189 +V\u000a +tp3495 +a(g189 +V +p3496 +tp3497 +a(g18 +VperformOSAScript +p3498 +tp3499 +a(g344 +V( +tp3500 +a(g18 +Vsb +p3501 +tp3502 +a(g344 +V) +tp3503 +a(g344 +V; +tp3504 +a(g189 +V\u000a +tp3505 +a(g189 +V +p3506 +tp3507 +a(g344 +V} +tp3508 +a(g189 +V\u000a +tp3509 +a(g189 +V +p3510 +tp3511 +a(g111 +Vcatch +p3512 +tp3513 +a(g189 +V +tp3514 +a(g344 +V( +tp3515 +a(g18 +VIOException +p3516 +tp3517 +a(g189 +V +tp3518 +a(g18 +Ve +tp3519 +a(g344 +V) +tp3520 +a(g189 +V\u000a +tp3521 +a(g189 +V +p3522 +tp3523 +a(g344 +V{ +tp3524 +a(g189 +V\u000a +tp3525 +a(g189 +V +p3526 +tp3527 +a(g18 +VLogger +p3528 +tp3529 +a(g344 +V. +tp3530 +a(g41 +Vlog +p3531 +tp3532 +a(g344 +V( +tp3533 +a(g111 +Vnew +p3534 +tp3535 +a(g189 +V +tp3536 +a(g18 +VLogAlert +p3537 +tp3538 +a(g344 +V( +tp3539 +a(g18 +VLogAlert +p3540 +tp3541 +a(g344 +V. +tp3542 +a(g41 +VUNREPEATABLE +p3543 +tp3544 +a(g344 +V, +tp3545 +a(g189 +V +tp3546 +a(g18 +VLogAlert +p3547 +tp3548 +a(g344 +V. +tp3549 +a(g41 +VAT_ERROR +p3550 +tp3551 +a(g344 +V, +tp3552 +a(g189 +V +tp3553 +a(g18 +Ve +tp3554 +a(g189 +V\u000a +tp3555 +a(g189 +V +p3556 +tp3557 +a(g344 +V. +tp3558 +a(g41 +VgetMessage +p3559 +tp3560 +a(g344 +V( +tp3561 +a(g344 +V) +tp3562 +a(g344 +V) +tp3563 +a(g344 +V) +tp3564 +a(g344 +V; +tp3565 +a(g189 +V\u000a +tp3566 +a(g189 +V +p3567 +tp3568 +a(g344 +V} +tp3569 +a(g189 +V\u000a +tp3570 +a(g189 +V +p3571 +tp3572 +a(g344 +V} +tp3573 +a(g189 +V\u000a +tp3574 +a(g189 +V +p3575 +tp3576 +a(g111 +Velse +p3577 +tp3578 +a(g189 +V\u000a +tp3579 +a(g189 +V +p3580 +tp3581 +a(g344 +V{ +tp3582 +a(g189 +V\u000a +tp3583 +a(g189 +V +p3584 +tp3585 +a(g111 +Vif +p3586 +tp3587 +a(g189 +V +tp3588 +a(g344 +V( +tp3589 +a(g18 +VLogger +p3590 +tp3591 +a(g344 +V. +tp3592 +a(g41 +VisEnabled +p3593 +tp3594 +a(g344 +V( +tp3595 +a(g344 +V) +tp3596 +a(g344 +V) +tp3597 +a(g189 +V\u000a +tp3598 +a(g189 +V +p3599 +tp3600 +a(g18 +VLogger +p3601 +tp3602 +a(g344 +V. +tp3603 +a(g41 +Vlog +p3604 +tp3605 +a(g344 +V( +tp3606 +a(g111 +Vnew +p3607 +tp3608 +a(g189 +V +tp3609 +a(g18 +VLogEvent +p3610 +tp3611 +a(g344 +V( +tp3612 +a(g18 +VLOGID +p3613 +tp3614 +a(g344 +V, +tp3615 +a(g189 +V +tp3616 +a(g18 +VLogEvent +p3617 +tp3618 +a(g344 +V. +tp3619 +a(g41 +VLT_WARNING +p3620 +tp3621 +a(g344 +V, +tp3622 +a(g189 +V +tp3623 +a(g226 +V"Cannot find " +p3624 +tp3625 +a(g189 +V\u000a +tp3626 +a(g189 +V +p3627 +tp3628 +a(g344 +V+ +tp3629 +a(g189 +V +tp3630 +a(g18 +Vpath +p3631 +tp3632 +a(g344 +V. +tp3633 +a(g41 +VgetName +p3634 +tp3635 +a(g344 +V( +tp3636 +a(g344 +V) +tp3637 +a(g344 +V) +tp3638 +a(g344 +V) +tp3639 +a(g344 +V; +tp3640 +a(g189 +V\u000a +tp3641 +a(g189 +V +p3642 +tp3643 +a(g344 +V} +tp3644 +a(g189 +V\u000a +tp3645 +a(g189 +V +p3646 +tp3647 +a(g344 +V} +tp3648 +a(g189 +V\u000a +tp3649 +a(g189 +V\u000a +tp3650 +a(g189 +V +p3651 +tp3652 +a(g358 +V// Internal utility methods\u000a +p3653 +tp3654 +a(g189 +V\u000a +tp3655 +a(g189 +V +p3656 +tp3657 +a(g7 +V/**\u000a * Compiles a new AppleScript instance and runs it\u000a * @param cmd AppleScript command to execute; do not surround command with extra quotation marks\u000a * @return Output of the script\u000a * @throws IOException If the script failed to execute\u000a */ +p3658 +tp3659 +a(g189 +V\u000a +tp3660 +a(g189 +V +p3661 +tp3662 +a(g131 +Vprotected +p3663 +tp3664 +a(g189 +V +tp3665 +a(g131 +Vstatic +p3666 +tp3667 +a(g189 +V +tp3668 +a(g18 +VString +p3669 +tp3670 +a(g189 +V +tp3671 +a(g21 +VperformOSAScript +p3672 +tp3673 +a(g344 +V( +tp3674 +a(g18 +VCharSequence +p3675 +tp3676 +a(g189 +V +tp3677 +a(g18 +Vcmd +p3678 +tp3679 +a(g344 +V) +tp3680 +a(g189 +V +tp3681 +a(g131 +Vthrows +p3682 +tp3683 +a(g189 +V +tp3684 +a(g18 +VIOException +p3685 +tp3686 +a(g189 +V\u000a +tp3687 +a(g189 +V +p3688 +tp3689 +a(g344 +V{ +tp3690 +a(g189 +V\u000a +tp3691 +a(g189 +V +p3692 +tp3693 +a(g111 +Vreturn +p3694 +tp3695 +a(g189 +V +tp3696 +a(g21 +VperformOSAScript +p3697 +tp3698 +a(g344 +V( +tp3699 +a(g111 +Vnew +p3700 +tp3701 +a(g189 +V +tp3702 +a(g18 +VCharSequence +p3703 +tp3704 +a(g344 +V[ +tp3705 +a(g344 +V] +tp3706 +a(g344 +V{ +tp3707 +a(g18 +Vcmd +p3708 +tp3709 +a(g344 +V} +tp3710 +a(g344 +V) +tp3711 +a(g344 +V; +tp3712 +a(g189 +V\u000a +tp3713 +a(g189 +V +p3714 +tp3715 +a(g344 +V} +tp3716 +a(g189 +V\u000a +tp3717 +a(g189 +V\u000a +tp3718 +a(g189 +V +p3719 +tp3720 +a(g7 +V/**\u000a * Compiles a new AppleScript instance and runs it\u000a * @param cmds AppleScript Sequence of commands to execute; do not surround command with extra quotation marks\u000a * @return Output of the script\u000a * @throws IOException If the script failed to execute\u000a */ +p3721 +tp3722 +a(g189 +V\u000a +tp3723 +a(g189 +V +p3724 +tp3725 +a(g131 +Vprotected +p3726 +tp3727 +a(g189 +V +tp3728 +a(g131 +Vstatic +p3729 +tp3730 +a(g189 +V +tp3731 +a(g18 +VString +p3732 +tp3733 +a(g189 +V +tp3734 +a(g21 +VperformOSAScript +p3735 +tp3736 +a(g344 +V( +tp3737 +a(g18 +VCharSequence +p3738 +tp3739 +a(g344 +V[ +tp3740 +a(g344 +V] +tp3741 +a(g189 +V +tp3742 +a(g18 +Vcmds +p3743 +tp3744 +a(g344 +V) +tp3745 +a(g189 +V +tp3746 +a(g131 +Vthrows +p3747 +tp3748 +a(g189 +V +tp3749 +a(g18 +VIOException +p3750 +tp3751 +a(g189 +V\u000a +tp3752 +a(g189 +V +p3753 +tp3754 +a(g344 +V{ +tp3755 +a(g189 +V\u000a +tp3756 +a(g189 +V +p3757 +tp3758 +a(g139 +Vlong +p3759 +tp3760 +a(g189 +V +tp3761 +a(g18 +Vstart +p3762 +tp3763 +a(g189 +V +tp3764 +a(g344 +V= +tp3765 +a(g189 +V +tp3766 +a(g18 +VSystem +p3767 +tp3768 +a(g344 +V. +tp3769 +a(g41 +VcurrentTimeMillis +p3770 +tp3771 +a(g344 +V( +tp3772 +a(g344 +V) +tp3773 +a(g344 +V; +tp3774 +a(g189 +V\u000a +tp3775 +a(g189 +V +p3776 +tp3777 +a(g18 +VDebug +p3778 +tp3779 +a(g344 +V. +tp3780 +a(g41 +VoutNoStack +p3781 +tp3782 +a(g344 +V( +tp3783 +a(g226 +V"Executing OSAScript: " +p3784 +tp3785 +a(g344 +V) +tp3786 +a(g344 +V; +tp3787 +a(g189 +V\u000a +tp3788 +a(g189 +V +p3789 +tp3790 +a(g111 +Vfor +p3791 +tp3792 +a(g189 +V +tp3793 +a(g344 +V( +tp3794 +a(g139 +Vint +p3795 +tp3796 +a(g189 +V +tp3797 +a(g18 +Vi +tp3798 +a(g189 +V +tp3799 +a(g344 +V= +tp3800 +a(g189 +V +tp3801 +a(g319 +V0 +tp3802 +a(g344 +V; +tp3803 +a(g189 +V +tp3804 +a(g18 +Vi +tp3805 +a(g189 +V +tp3806 +a(g344 +V< +tp3807 +a(g189 +V +tp3808 +a(g18 +Vcmds +p3809 +tp3810 +a(g344 +V. +tp3811 +a(g41 +Vlength +p3812 +tp3813 +a(g344 +V; +tp3814 +a(g189 +V +tp3815 +a(g18 +Vi +tp3816 +a(g344 +V+ +tp3817 +a(g344 +V+ +tp3818 +a(g344 +V) +tp3819 +a(g189 +V\u000a +tp3820 +a(g189 +V +p3821 +tp3822 +a(g344 +V{ +tp3823 +a(g189 +V\u000a +tp3824 +a(g189 +V +p3825 +tp3826 +a(g18 +VDebug +p3827 +tp3828 +a(g344 +V. +tp3829 +a(g41 +VoutNoStack +p3830 +tp3831 +a(g344 +V( +tp3832 +a(g226 +V"\u005ct" +p3833 +tp3834 +a(g189 +V +tp3835 +a(g344 +V+ +tp3836 +a(g189 +V +tp3837 +a(g18 +Vcmds +p3838 +tp3839 +a(g344 +V[ +tp3840 +a(g18 +Vi +tp3841 +a(g344 +V] +tp3842 +a(g344 +V) +tp3843 +a(g344 +V; +tp3844 +a(g189 +V\u000a +tp3845 +a(g189 +V +p3846 +tp3847 +a(g344 +V} +tp3848 +a(g189 +V\u000a +tp3849 +a(g189 +V\u000a +tp3850 +a(g189 +V +p3851 +tp3852 +a(g18 +VString +p3853 +tp3854 +a(g344 +V[ +tp3855 +a(g344 +V] +tp3856 +a(g189 +V +tp3857 +a(g18 +Vcmdargs +p3858 +tp3859 +a(g189 +V +tp3860 +a(g344 +V= +tp3861 +a(g189 +V +tp3862 +a(g111 +Vnew +p3863 +tp3864 +a(g189 +V +tp3865 +a(g18 +VString +p3866 +tp3867 +a(g344 +V[ +tp3868 +a(g319 +V2 +tp3869 +a(g189 +V +tp3870 +a(g344 +V* +tp3871 +a(g189 +V +tp3872 +a(g18 +Vcmds +p3873 +tp3874 +a(g344 +V. +tp3875 +a(g41 +Vlength +p3876 +tp3877 +a(g189 +V +tp3878 +a(g344 +V+ +tp3879 +a(g189 +V +tp3880 +a(g319 +V1 +tp3881 +a(g344 +V] +tp3882 +a(g344 +V; +tp3883 +a(g189 +V\u000a +tp3884 +a(g189 +V +p3885 +tp3886 +a(g18 +Vcmdargs +p3887 +tp3888 +a(g344 +V[ +tp3889 +a(g319 +V0 +tp3890 +a(g344 +V] +tp3891 +a(g189 +V +tp3892 +a(g344 +V= +tp3893 +a(g189 +V +tp3894 +a(g226 +V"osascript" +p3895 +tp3896 +a(g344 +V; +tp3897 +a(g189 +V\u000a +tp3898 +a(g189 +V +p3899 +tp3900 +a(g111 +Vfor +p3901 +tp3902 +a(g189 +V +tp3903 +a(g344 +V( +tp3904 +a(g139 +Vint +p3905 +tp3906 +a(g189 +V +tp3907 +a(g18 +Vi +tp3908 +a(g189 +V +tp3909 +a(g344 +V= +tp3910 +a(g189 +V +tp3911 +a(g319 +V0 +tp3912 +a(g344 +V; +tp3913 +a(g189 +V +tp3914 +a(g18 +Vi +tp3915 +a(g189 +V +tp3916 +a(g344 +V< +tp3917 +a(g189 +V +tp3918 +a(g18 +Vcmds +p3919 +tp3920 +a(g344 +V. +tp3921 +a(g41 +Vlength +p3922 +tp3923 +a(g344 +V; +tp3924 +a(g189 +V +tp3925 +a(g18 +Vi +tp3926 +a(g344 +V+ +tp3927 +a(g344 +V+ +tp3928 +a(g344 +V) +tp3929 +a(g189 +V\u000a +tp3930 +a(g189 +V +p3931 +tp3932 +a(g344 +V{ +tp3933 +a(g189 +V\u000a +tp3934 +a(g189 +V +p3935 +tp3936 +a(g18 +Vcmdargs +p3937 +tp3938 +a(g344 +V[ +tp3939 +a(g18 +Vi +tp3940 +a(g189 +V +tp3941 +a(g344 +V* +tp3942 +a(g189 +V +tp3943 +a(g319 +V2 +tp3944 +a(g189 +V +tp3945 +a(g344 +V+ +tp3946 +a(g189 +V +tp3947 +a(g319 +V1 +tp3948 +a(g344 +V] +tp3949 +a(g189 +V +tp3950 +a(g344 +V= +tp3951 +a(g189 +V +tp3952 +a(g226 +V"-e" +p3953 +tp3954 +a(g344 +V; +tp3955 +a(g189 +V\u000a +tp3956 +a(g189 +V +p3957 +tp3958 +a(g18 +Vcmdargs +p3959 +tp3960 +a(g344 +V[ +tp3961 +a(g18 +Vi +tp3962 +a(g189 +V +tp3963 +a(g344 +V* +tp3964 +a(g189 +V +tp3965 +a(g319 +V2 +tp3966 +a(g189 +V +tp3967 +a(g344 +V+ +tp3968 +a(g189 +V +tp3969 +a(g319 +V2 +tp3970 +a(g344 +V] +tp3971 +a(g189 +V +tp3972 +a(g344 +V= +tp3973 +a(g189 +V +tp3974 +a(g18 +VString +p3975 +tp3976 +a(g344 +V. +tp3977 +a(g41 +VvalueOf +p3978 +tp3979 +a(g344 +V( +tp3980 +a(g18 +Vcmds +p3981 +tp3982 +a(g344 +V[ +tp3983 +a(g18 +Vi +tp3984 +a(g344 +V] +tp3985 +a(g344 +V) +tp3986 +a(g344 +V; +tp3987 +a(g189 +V\u000a +tp3988 +a(g189 +V +p3989 +tp3990 +a(g344 +V} +tp3991 +a(g189 +V\u000a +tp3992 +a(g189 +V\u000a +tp3993 +a(g189 +V +p3994 +tp3995 +a(g18 +VProcess +p3996 +tp3997 +a(g189 +V +tp3998 +a(g18 +VosaProcess +p3999 +tp4000 +a(g189 +V +tp4001 +a(g344 +V= +tp4002 +a(g189 +V +tp4003 +a(g18 +VperformRuntimeExec +p4004 +tp4005 +a(g344 +V( +tp4006 +a(g18 +Vcmdargs +p4007 +tp4008 +a(g344 +V) +tp4009 +a(g344 +V; +tp4010 +a(g189 +V\u000a +tp4011 +a(g189 +V +p4012 +tp4013 +a(g18 +VBufferedReader +p4014 +tp4015 +a(g189 +V +tp4016 +a(g18 +Vreader +p4017 +tp4018 +a(g189 +V +tp4019 +a(g344 +V= +tp4020 +a(g189 +V +tp4021 +a(g111 +Vnew +p4022 +tp4023 +a(g189 +V +tp4024 +a(g18 +VBufferedReader +p4025 +tp4026 +a(g344 +V( +tp4027 +a(g111 +Vnew +p4028 +tp4029 +a(g189 +V +tp4030 +a(g18 +VInputStreamReader +p4031 +tp4032 +a(g344 +V( +tp4033 +a(g18 +VosaProcess +p4034 +tp4035 +a(g344 +V. +tp4036 +a(g41 +VgetInputStream +p4037 +tp4038 +a(g344 +V( +tp4039 +a(g344 +V) +tp4040 +a(g344 +V) +tp4041 +a(g344 +V) +tp4042 +a(g344 +V; +tp4043 +a(g189 +V\u000a +tp4044 +a(g189 +V +p4045 +tp4046 +a(g18 +VString +p4047 +tp4048 +a(g189 +V +tp4049 +a(g18 +Vline +p4050 +tp4051 +a(g189 +V +tp4052 +a(g344 +V= +tp4053 +a(g189 +V +tp4054 +a(g18 +Vreader +p4055 +tp4056 +a(g344 +V. +tp4057 +a(g41 +VreadLine +p4058 +tp4059 +a(g344 +V( +tp4060 +a(g344 +V) +tp4061 +a(g344 +V; +tp4062 +a(g189 +V\u000a +tp4063 +a(g189 +V +p4064 +tp4065 +a(g18 +Vreader +p4066 +tp4067 +a(g344 +V. +tp4068 +a(g41 +Vclose +p4069 +tp4070 +a(g344 +V( +tp4071 +a(g344 +V) +tp4072 +a(g344 +V; +tp4073 +a(g189 +V\u000a +tp4074 +a(g189 +V +p4075 +tp4076 +a(g18 +VDebug +p4077 +tp4078 +a(g344 +V. +tp4079 +a(g41 +VoutNoStack +p4080 +tp4081 +a(g344 +V( +tp4082 +a(g226 +V"OSAScript Output: " +p4083 +tp4084 +a(g189 +V +tp4085 +a(g344 +V+ +tp4086 +a(g189 +V +tp4087 +a(g18 +Vline +p4088 +tp4089 +a(g344 +V) +tp4090 +a(g344 +V; +tp4091 +a(g189 +V\u000a +tp4092 +a(g189 +V\u000a +tp4093 +a(g189 +V +p4094 +tp4095 +a(g18 +Vreader +p4096 +tp4097 +a(g189 +V +tp4098 +a(g344 +V= +tp4099 +a(g189 +V +tp4100 +a(g111 +Vnew +p4101 +tp4102 +a(g189 +V +tp4103 +a(g18 +VBufferedReader +p4104 +tp4105 +a(g344 +V( +tp4106 +a(g111 +Vnew +p4107 +tp4108 +a(g189 +V +tp4109 +a(g18 +VInputStreamReader +p4110 +tp4111 +a(g344 +V( +tp4112 +a(g18 +VosaProcess +p4113 +tp4114 +a(g344 +V. +tp4115 +a(g41 +VgetErrorStream +p4116 +tp4117 +a(g344 +V( +tp4118 +a(g344 +V) +tp4119 +a(g344 +V) +tp4120 +a(g344 +V) +tp4121 +a(g344 +V; +tp4122 +a(g189 +V\u000a +tp4123 +a(g189 +V +p4124 +tp4125 +a(g18 +VString +p4126 +tp4127 +a(g189 +V +tp4128 +a(g18 +VerrorMsg +p4129 +tp4130 +a(g189 +V +tp4131 +a(g344 +V= +tp4132 +a(g189 +V +tp4133 +a(g18 +Vreader +p4134 +tp4135 +a(g344 +V. +tp4136 +a(g41 +VreadLine +p4137 +tp4138 +a(g344 +V( +tp4139 +a(g344 +V) +tp4140 +a(g344 +V; +tp4141 +a(g189 +V\u000a +tp4142 +a(g189 +V +p4143 +tp4144 +a(g18 +Vreader +p4145 +tp4146 +a(g344 +V. +tp4147 +a(g41 +Vclose +p4148 +tp4149 +a(g344 +V( +tp4150 +a(g344 +V) +tp4151 +a(g344 +V; +tp4152 +a(g189 +V\u000a +tp4153 +a(g189 +V\u000a +tp4154 +a(g189 +V +p4155 +tp4156 +a(g18 +VDebug +p4157 +tp4158 +a(g344 +V. +tp4159 +a(g41 +VoutNoStack +p4160 +tp4161 +a(g344 +V( +tp4162 +a(g226 +V"OSAScript Error (if any): " +p4163 +tp4164 +a(g189 +V +tp4165 +a(g344 +V+ +tp4166 +a(g189 +V +tp4167 +a(g18 +VerrorMsg +p4168 +tp4169 +a(g344 +V) +tp4170 +a(g344 +V; +tp4171 +a(g189 +V\u000a +tp4172 +a(g189 +V\u000a +tp4173 +a(g189 +V +p4174 +tp4175 +a(g18 +VDebug +p4176 +tp4177 +a(g344 +V. +tp4178 +a(g41 +VoutNoStack +p4179 +tp4180 +a(g344 +V( +tp4181 +a(g18 +VMessageFormat +p4182 +tp4183 +a(g344 +V. +tp4184 +a(g41 +Vformat +p4185 +tp4186 +a(g344 +V( +tp4187 +a(g226 +V"OSAScript execution ended ({0}ms)" +p4188 +tp4189 +a(g344 +V, +tp4190 +a(g189 +V +tp4191 +a(g111 +Vnew +p4192 +tp4193 +a(g189 +V +tp4194 +a(g18 +VObject +p4195 +tp4196 +a(g344 +V[ +tp4197 +a(g344 +V] +tp4198 +a(g344 +V{ +tp4199 +a(g18 +VString +p4200 +tp4201 +a(g344 +V. +tp4202 +a(g41 +VvalueOf +p4203 +tp4204 +a(g344 +V( +tp4205 +a(g18 +VSystem +p4206 +tp4207 +a(g344 +V. +tp4208 +a(g41 +VcurrentTimeMillis +p4209 +tp4210 +a(g344 +V( +tp4211 +a(g344 +V) +tp4212 +a(g189 +V +tp4213 +a(g344 +V- +tp4214 +a(g189 +V +tp4215 +a(g18 +Vstart +p4216 +tp4217 +a(g344 +V) +tp4218 +a(g344 +V} +tp4219 +a(g344 +V) +tp4220 +a(g344 +V) +tp4221 +a(g344 +V; +tp4222 +a(g189 +V\u000a +tp4223 +a(g189 +V\u000a +tp4224 +a(g189 +V +p4225 +tp4226 +a(g111 +Vif +p4227 +tp4228 +a(g189 +V +tp4229 +a(g344 +V( +tp4230 +a(g18 +VerrorMsg +p4231 +tp4232 +a(g189 +V +tp4233 +a(g344 +V! +tp4234 +a(g344 +V= +tp4235 +a(g189 +V +tp4236 +a(g117 +Vnull +p4237 +tp4238 +a(g344 +V) +tp4239 +a(g189 +V\u000a +tp4240 +a(g189 +V +p4241 +tp4242 +a(g344 +V{ +tp4243 +a(g189 +V\u000a +tp4244 +a(g189 +V +p4245 +tp4246 +a(g111 +Vthrow +p4247 +tp4248 +a(g189 +V +tp4249 +a(g111 +Vnew +p4250 +tp4251 +a(g189 +V +tp4252 +a(g21 +VIOException +p4253 +tp4254 +a(g344 +V( +tp4255 +a(g18 +VerrorMsg +p4256 +tp4257 +a(g344 +V) +tp4258 +a(g344 +V; +tp4259 +a(g189 +V\u000a +tp4260 +a(g189 +V +p4261 +tp4262 +a(g344 +V} +tp4263 +a(g189 +V\u000a +tp4264 +a(g189 +V\u000a +tp4265 +a(g189 +V +p4266 +tp4267 +a(g111 +Vreturn +p4268 +tp4269 +a(g189 +V +tp4270 +a(g18 +Vline +p4271 +tp4272 +a(g344 +V; +tp4273 +a(g189 +V\u000a +tp4274 +a(g189 +V +p4275 +tp4276 +a(g344 +V} +tp4277 +a(g189 +V\u000a +tp4278 +a(g189 +V\u000a +tp4279 +a(g189 +V +p4280 +tp4281 +a(g7 +V/**\u000a * Compiles a new AppleScript instance and runs it\u000a * @param script AppleScript file (.scpt) to execute\u000a * @return Output of the script\u000a * @throws IOException If the script failed to execute\u000a */ +p4282 +tp4283 +a(g189 +V\u000a +tp4284 +a(g189 +V +p4285 +tp4286 +a(g131 +Vprotected +p4287 +tp4288 +a(g189 +V +tp4289 +a(g131 +Vstatic +p4290 +tp4291 +a(g189 +V +tp4292 +a(g18 +VString +p4293 +tp4294 +a(g189 +V +tp4295 +a(g21 +VperformOSAScript +p4296 +tp4297 +a(g344 +V( +tp4298 +a(g18 +VFile +p4299 +tp4300 +a(g189 +V +tp4301 +a(g18 +Vscript +p4302 +tp4303 +a(g344 +V) +tp4304 +a(g189 +V +tp4305 +a(g131 +Vthrows +p4306 +tp4307 +a(g189 +V +tp4308 +a(g18 +VIOException +p4309 +tp4310 +a(g189 +V\u000a +tp4311 +a(g189 +V +p4312 +tp4313 +a(g344 +V{ +tp4314 +a(g189 +V\u000a +tp4315 +a(g189 +V +p4316 +tp4317 +a(g139 +Vlong +p4318 +tp4319 +a(g189 +V +tp4320 +a(g18 +Vstart +p4321 +tp4322 +a(g189 +V +tp4323 +a(g344 +V= +tp4324 +a(g189 +V +tp4325 +a(g18 +VSystem +p4326 +tp4327 +a(g344 +V. +tp4328 +a(g41 +VcurrentTimeMillis +p4329 +tp4330 +a(g344 +V( +tp4331 +a(g344 +V) +tp4332 +a(g344 +V; +tp4333 +a(g189 +V\u000a +tp4334 +a(g189 +V +p4335 +tp4336 +a(g18 +VDebug +p4337 +tp4338 +a(g344 +V. +tp4339 +a(g41 +VoutNoStack +p4340 +tp4341 +a(g344 +V( +tp4342 +a(g226 +V"Executing OSAScript from file: " +p4343 +tp4344 +a(g189 +V +tp4345 +a(g344 +V+ +tp4346 +a(g189 +V +tp4347 +a(g18 +Vscript +p4348 +tp4349 +a(g344 +V. +tp4350 +a(g41 +VgetPath +p4351 +tp4352 +a(g344 +V( +tp4353 +a(g344 +V) +tp4354 +a(g344 +V) +tp4355 +a(g344 +V; +tp4356 +a(g189 +V\u000a +tp4357 +a(g189 +V\u000a +tp4358 +a(g189 +V +p4359 +tp4360 +a(g18 +VProcess +p4361 +tp4362 +a(g189 +V +tp4363 +a(g18 +VosaProcess +p4364 +tp4365 +a(g189 +V +tp4366 +a(g344 +V= +tp4367 +a(g189 +V +tp4368 +a(g18 +VperformRuntimeExec +p4369 +tp4370 +a(g344 +V( +tp4371 +a(g111 +Vnew +p4372 +tp4373 +a(g189 +V +tp4374 +a(g18 +VString +p4375 +tp4376 +a(g344 +V[ +tp4377 +a(g344 +V] +tp4378 +a(g344 +V{ +tp4379 +a(g226 +V"osascript" +p4380 +tp4381 +a(g344 +V, +tp4382 +a(g189 +V +tp4383 +a(g18 +Vscript +p4384 +tp4385 +a(g344 +V. +tp4386 +a(g41 +VgetPath +p4387 +tp4388 +a(g344 +V( +tp4389 +a(g344 +V) +tp4390 +a(g344 +V} +tp4391 +a(g344 +V) +tp4392 +a(g344 +V; +tp4393 +a(g189 +V\u000a +tp4394 +a(g189 +V +p4395 +tp4396 +a(g18 +VBufferedReader +p4397 +tp4398 +a(g189 +V +tp4399 +a(g18 +Vreader +p4400 +tp4401 +a(g189 +V +tp4402 +a(g344 +V= +tp4403 +a(g189 +V +tp4404 +a(g111 +Vnew +p4405 +tp4406 +a(g189 +V +tp4407 +a(g18 +VBufferedReader +p4408 +tp4409 +a(g344 +V( +tp4410 +a(g111 +Vnew +p4411 +tp4412 +a(g189 +V +tp4413 +a(g18 +VInputStreamReader +p4414 +tp4415 +a(g344 +V( +tp4416 +a(g18 +VosaProcess +p4417 +tp4418 +a(g344 +V. +tp4419 +a(g41 +VgetInputStream +p4420 +tp4421 +a(g344 +V( +tp4422 +a(g344 +V) +tp4423 +a(g344 +V) +tp4424 +a(g344 +V) +tp4425 +a(g344 +V; +tp4426 +a(g189 +V\u000a +tp4427 +a(g189 +V +p4428 +tp4429 +a(g18 +VString +p4430 +tp4431 +a(g189 +V +tp4432 +a(g18 +Vline +p4433 +tp4434 +a(g189 +V +tp4435 +a(g344 +V= +tp4436 +a(g189 +V +tp4437 +a(g18 +Vreader +p4438 +tp4439 +a(g344 +V. +tp4440 +a(g41 +VreadLine +p4441 +tp4442 +a(g344 +V( +tp4443 +a(g344 +V) +tp4444 +a(g344 +V; +tp4445 +a(g189 +V\u000a +tp4446 +a(g189 +V +p4447 +tp4448 +a(g18 +Vreader +p4449 +tp4450 +a(g344 +V. +tp4451 +a(g41 +Vclose +p4452 +tp4453 +a(g344 +V( +tp4454 +a(g344 +V) +tp4455 +a(g344 +V; +tp4456 +a(g189 +V\u000a +tp4457 +a(g189 +V +p4458 +tp4459 +a(g18 +VDebug +p4460 +tp4461 +a(g344 +V. +tp4462 +a(g41 +VoutNoStack +p4463 +tp4464 +a(g344 +V( +tp4465 +a(g226 +V"OSAScript Output: " +p4466 +tp4467 +a(g189 +V +tp4468 +a(g344 +V+ +tp4469 +a(g189 +V +tp4470 +a(g18 +Vline +p4471 +tp4472 +a(g344 +V) +tp4473 +a(g344 +V; +tp4474 +a(g189 +V\u000a +tp4475 +a(g189 +V\u000a +tp4476 +a(g189 +V +p4477 +tp4478 +a(g18 +Vreader +p4479 +tp4480 +a(g189 +V +tp4481 +a(g344 +V= +tp4482 +a(g189 +V +tp4483 +a(g111 +Vnew +p4484 +tp4485 +a(g189 +V +tp4486 +a(g18 +VBufferedReader +p4487 +tp4488 +a(g344 +V( +tp4489 +a(g111 +Vnew +p4490 +tp4491 +a(g189 +V +tp4492 +a(g18 +VInputStreamReader +p4493 +tp4494 +a(g344 +V( +tp4495 +a(g18 +VosaProcess +p4496 +tp4497 +a(g344 +V. +tp4498 +a(g41 +VgetErrorStream +p4499 +tp4500 +a(g344 +V( +tp4501 +a(g344 +V) +tp4502 +a(g344 +V) +tp4503 +a(g344 +V) +tp4504 +a(g344 +V; +tp4505 +a(g189 +V\u000a +tp4506 +a(g189 +V +p4507 +tp4508 +a(g18 +VString +p4509 +tp4510 +a(g189 +V +tp4511 +a(g18 +VerrorMsg +p4512 +tp4513 +a(g189 +V +tp4514 +a(g344 +V= +tp4515 +a(g189 +V +tp4516 +a(g18 +Vreader +p4517 +tp4518 +a(g344 +V. +tp4519 +a(g41 +VreadLine +p4520 +tp4521 +a(g344 +V( +tp4522 +a(g344 +V) +tp4523 +a(g344 +V; +tp4524 +a(g189 +V\u000a +tp4525 +a(g189 +V +p4526 +tp4527 +a(g18 +Vreader +p4528 +tp4529 +a(g344 +V. +tp4530 +a(g41 +Vclose +p4531 +tp4532 +a(g344 +V( +tp4533 +a(g344 +V) +tp4534 +a(g344 +V; +tp4535 +a(g189 +V\u000a +tp4536 +a(g189 +V\u000a +tp4537 +a(g189 +V +p4538 +tp4539 +a(g18 +VDebug +p4540 +tp4541 +a(g344 +V. +tp4542 +a(g41 +VoutNoStack +p4543 +tp4544 +a(g344 +V( +tp4545 +a(g226 +V"OSAScript Error (if any): " +p4546 +tp4547 +a(g189 +V +tp4548 +a(g344 +V+ +tp4549 +a(g189 +V +tp4550 +a(g18 +VerrorMsg +p4551 +tp4552 +a(g344 +V) +tp4553 +a(g344 +V; +tp4554 +a(g189 +V\u000a +tp4555 +a(g189 +V\u000a +tp4556 +a(g189 +V +p4557 +tp4558 +a(g18 +VDebug +p4559 +tp4560 +a(g344 +V. +tp4561 +a(g41 +VoutNoStack +p4562 +tp4563 +a(g344 +V( +tp4564 +a(g18 +VMessageFormat +p4565 +tp4566 +a(g344 +V. +tp4567 +a(g41 +Vformat +p4568 +tp4569 +a(g344 +V( +tp4570 +a(g226 +V"OSAScript execution ended ({0}ms)" +p4571 +tp4572 +a(g344 +V, +tp4573 +a(g189 +V +tp4574 +a(g111 +Vnew +p4575 +tp4576 +a(g189 +V +tp4577 +a(g18 +VObject +p4578 +tp4579 +a(g344 +V[ +tp4580 +a(g344 +V] +tp4581 +a(g344 +V{ +tp4582 +a(g18 +VString +p4583 +tp4584 +a(g344 +V. +tp4585 +a(g41 +VvalueOf +p4586 +tp4587 +a(g344 +V( +tp4588 +a(g18 +VSystem +p4589 +tp4590 +a(g344 +V. +tp4591 +a(g41 +VcurrentTimeMillis +p4592 +tp4593 +a(g344 +V( +tp4594 +a(g344 +V) +tp4595 +a(g189 +V +tp4596 +a(g344 +V- +tp4597 +a(g189 +V +tp4598 +a(g18 +Vstart +p4599 +tp4600 +a(g344 +V) +tp4601 +a(g344 +V} +tp4602 +a(g344 +V) +tp4603 +a(g344 +V) +tp4604 +a(g344 +V; +tp4605 +a(g189 +V\u000a +tp4606 +a(g189 +V\u000a +tp4607 +a(g189 +V +p4608 +tp4609 +a(g111 +Vif +p4610 +tp4611 +a(g189 +V +tp4612 +a(g344 +V( +tp4613 +a(g18 +VerrorMsg +p4614 +tp4615 +a(g189 +V +tp4616 +a(g344 +V! +tp4617 +a(g344 +V= +tp4618 +a(g189 +V +tp4619 +a(g117 +Vnull +p4620 +tp4621 +a(g344 +V) +tp4622 +a(g189 +V\u000a +tp4623 +a(g189 +V +p4624 +tp4625 +a(g344 +V{ +tp4626 +a(g189 +V\u000a +tp4627 +a(g189 +V +p4628 +tp4629 +a(g111 +Vthrow +p4630 +tp4631 +a(g189 +V +tp4632 +a(g111 +Vnew +p4633 +tp4634 +a(g189 +V +tp4635 +a(g21 +VIOException +p4636 +tp4637 +a(g344 +V( +tp4638 +a(g18 +VerrorMsg +p4639 +tp4640 +a(g344 +V) +tp4641 +a(g344 +V; +tp4642 +a(g189 +V\u000a +tp4643 +a(g189 +V +p4644 +tp4645 +a(g344 +V} +tp4646 +a(g189 +V\u000a +tp4647 +a(g189 +V\u000a +tp4648 +a(g189 +V +p4649 +tp4650 +a(g111 +Vreturn +p4651 +tp4652 +a(g189 +V +tp4653 +a(g18 +Vline +p4654 +tp4655 +a(g344 +V; +tp4656 +a(g189 +V\u000a +tp4657 +a(g189 +V +p4658 +tp4659 +a(g344 +V} +tp4660 +a(g189 +V\u000a +tp4661 +a(g189 +V\u000a +tp4662 +a(g189 +V +p4663 +tp4664 +a(g7 +V/**\u000a * Compiles a new AppleScript instance to the specified location\u000a * @param cmd Command to compile; do not surround command with extra quotation marks\u000a * @param destination Destination location of the AppleScript file\u000a * @return True if compiled successfully\u000a */ +p4665 +tp4666 +a(g189 +V\u000a +tp4667 +a(g189 +V +p4668 +tp4669 +a(g131 +Vprotected +p4670 +tp4671 +a(g189 +V +tp4672 +a(g131 +Vstatic +p4673 +tp4674 +a(g189 +V +tp4675 +a(g139 +Vboolean +p4676 +tp4677 +a(g189 +V +tp4678 +a(g21 +VcompileOSAScript +p4679 +tp4680 +a(g344 +V( +tp4681 +a(g18 +VCharSequence +p4682 +tp4683 +a(g189 +V +tp4684 +a(g18 +Vcmd +p4685 +tp4686 +a(g344 +V, +tp4687 +a(g189 +V +tp4688 +a(g18 +VFile +p4689 +tp4690 +a(g189 +V +tp4691 +a(g18 +Vdestination +p4692 +tp4693 +a(g344 +V) +tp4694 +a(g189 +V\u000a +tp4695 +a(g189 +V +p4696 +tp4697 +a(g344 +V{ +tp4698 +a(g189 +V\u000a +tp4699 +a(g189 +V +p4700 +tp4701 +a(g111 +Vreturn +p4702 +tp4703 +a(g189 +V +tp4704 +a(g21 +VcompileOSAScript +p4705 +tp4706 +a(g344 +V( +tp4707 +a(g111 +Vnew +p4708 +tp4709 +a(g189 +V +tp4710 +a(g18 +VCharSequence +p4711 +tp4712 +a(g344 +V[ +tp4713 +a(g344 +V] +tp4714 +a(g344 +V{ +tp4715 +a(g18 +Vcmd +p4716 +tp4717 +a(g344 +V} +tp4718 +a(g344 +V, +tp4719 +a(g189 +V +tp4720 +a(g18 +Vdestination +p4721 +tp4722 +a(g344 +V) +tp4723 +a(g344 +V; +tp4724 +a(g189 +V\u000a +tp4725 +a(g189 +V +p4726 +tp4727 +a(g344 +V} +tp4728 +a(g189 +V\u000a +tp4729 +a(g189 +V\u000a +tp4730 +a(g189 +V +p4731 +tp4732 +a(g7 +V/**\u000a * Compiles a new AppleScript instance to the specified location\u000a * @param cmds Sequence of commands to compile; do not surround command with extra quotation marks\u000a * @param destination Destination location of the AppleScript file\u000a * @return True if compiled successfully\u000a */ +p4733 +tp4734 +a(g189 +V\u000a +tp4735 +a(g189 +V +p4736 +tp4737 +a(g131 +Vprotected +p4738 +tp4739 +a(g189 +V +tp4740 +a(g131 +Vstatic +p4741 +tp4742 +a(g189 +V +tp4743 +a(g139 +Vboolean +p4744 +tp4745 +a(g189 +V +tp4746 +a(g21 +VcompileOSAScript +p4747 +tp4748 +a(g344 +V( +tp4749 +a(g18 +VCharSequence +p4750 +tp4751 +a(g344 +V[ +tp4752 +a(g344 +V] +tp4753 +a(g189 +V +tp4754 +a(g18 +Vcmds +p4755 +tp4756 +a(g344 +V, +tp4757 +a(g189 +V +tp4758 +a(g18 +VFile +p4759 +tp4760 +a(g189 +V +tp4761 +a(g18 +Vdestination +p4762 +tp4763 +a(g344 +V) +tp4764 +a(g189 +V\u000a +tp4765 +a(g189 +V +p4766 +tp4767 +a(g344 +V{ +tp4768 +a(g189 +V\u000a +tp4769 +a(g189 +V +p4770 +tp4771 +a(g139 +Vlong +p4772 +tp4773 +a(g189 +V +tp4774 +a(g18 +Vstart +p4775 +tp4776 +a(g189 +V +tp4777 +a(g344 +V= +tp4778 +a(g189 +V +tp4779 +a(g18 +VSystem +p4780 +tp4781 +a(g344 +V. +tp4782 +a(g41 +VcurrentTimeMillis +p4783 +tp4784 +a(g344 +V( +tp4785 +a(g344 +V) +tp4786 +a(g344 +V; +tp4787 +a(g189 +V\u000a +tp4788 +a(g189 +V +p4789 +tp4790 +a(g18 +VDebug +p4791 +tp4792 +a(g344 +V. +tp4793 +a(g41 +VoutNoStack +p4794 +tp4795 +a(g344 +V( +tp4796 +a(g226 +V"Compiling OSAScript: " +p4797 +tp4798 +a(g189 +V +tp4799 +a(g344 +V+ +tp4800 +a(g189 +V +tp4801 +a(g18 +Vdestination +p4802 +tp4803 +a(g344 +V. +tp4804 +a(g41 +VgetPath +p4805 +tp4806 +a(g344 +V( +tp4807 +a(g344 +V) +tp4808 +a(g344 +V) +tp4809 +a(g344 +V; +tp4810 +a(g189 +V\u000a +tp4811 +a(g189 +V +p4812 +tp4813 +a(g111 +Vfor +p4814 +tp4815 +a(g189 +V +tp4816 +a(g344 +V( +tp4817 +a(g139 +Vint +p4818 +tp4819 +a(g189 +V +tp4820 +a(g18 +Vi +tp4821 +a(g189 +V +tp4822 +a(g344 +V= +tp4823 +a(g189 +V +tp4824 +a(g319 +V0 +tp4825 +a(g344 +V; +tp4826 +a(g189 +V +tp4827 +a(g18 +Vi +tp4828 +a(g189 +V +tp4829 +a(g344 +V< +tp4830 +a(g189 +V +tp4831 +a(g18 +Vcmds +p4832 +tp4833 +a(g344 +V. +tp4834 +a(g41 +Vlength +p4835 +tp4836 +a(g344 +V; +tp4837 +a(g189 +V +tp4838 +a(g18 +Vi +tp4839 +a(g344 +V+ +tp4840 +a(g344 +V+ +tp4841 +a(g344 +V) +tp4842 +a(g189 +V\u000a +tp4843 +a(g189 +V +p4844 +tp4845 +a(g344 +V{ +tp4846 +a(g189 +V\u000a +tp4847 +a(g189 +V +p4848 +tp4849 +a(g18 +VDebug +p4850 +tp4851 +a(g344 +V. +tp4852 +a(g41 +VoutNoStack +p4853 +tp4854 +a(g344 +V( +tp4855 +a(g226 +V"\u005ct" +p4856 +tp4857 +a(g189 +V +tp4858 +a(g344 +V+ +tp4859 +a(g189 +V +tp4860 +a(g18 +Vcmds +p4861 +tp4862 +a(g344 +V[ +tp4863 +a(g18 +Vi +tp4864 +a(g344 +V] +tp4865 +a(g344 +V) +tp4866 +a(g344 +V; +tp4867 +a(g189 +V\u000a +tp4868 +a(g189 +V +p4869 +tp4870 +a(g344 +V} +tp4871 +a(g189 +V\u000a +tp4872 +a(g189 +V\u000a +tp4873 +a(g189 +V +p4874 +tp4875 +a(g18 +VString +p4876 +tp4877 +a(g344 +V[ +tp4878 +a(g344 +V] +tp4879 +a(g189 +V +tp4880 +a(g18 +Vcmdargs +p4881 +tp4882 +a(g189 +V +tp4883 +a(g344 +V= +tp4884 +a(g189 +V +tp4885 +a(g111 +Vnew +p4886 +tp4887 +a(g189 +V +tp4888 +a(g18 +VString +p4889 +tp4890 +a(g344 +V[ +tp4891 +a(g319 +V2 +tp4892 +a(g189 +V +tp4893 +a(g344 +V* +tp4894 +a(g189 +V +tp4895 +a(g18 +Vcmds +p4896 +tp4897 +a(g344 +V. +tp4898 +a(g41 +Vlength +p4899 +tp4900 +a(g189 +V +tp4901 +a(g344 +V+ +tp4902 +a(g189 +V +tp4903 +a(g319 +V3 +tp4904 +a(g344 +V] +tp4905 +a(g344 +V; +tp4906 +a(g189 +V\u000a +tp4907 +a(g189 +V +p4908 +tp4909 +a(g18 +Vcmdargs +p4910 +tp4911 +a(g344 +V[ +tp4912 +a(g319 +V0 +tp4913 +a(g344 +V] +tp4914 +a(g189 +V +tp4915 +a(g344 +V= +tp4916 +a(g189 +V +tp4917 +a(g226 +V"osacompile" +p4918 +tp4919 +a(g344 +V; +tp4920 +a(g189 +V\u000a +tp4921 +a(g189 +V +p4922 +tp4923 +a(g111 +Vfor +p4924 +tp4925 +a(g189 +V +tp4926 +a(g344 +V( +tp4927 +a(g139 +Vint +p4928 +tp4929 +a(g189 +V +tp4930 +a(g18 +Vi +tp4931 +a(g189 +V +tp4932 +a(g344 +V= +tp4933 +a(g189 +V +tp4934 +a(g319 +V0 +tp4935 +a(g344 +V; +tp4936 +a(g189 +V +tp4937 +a(g18 +Vi +tp4938 +a(g189 +V +tp4939 +a(g344 +V< +tp4940 +a(g189 +V +tp4941 +a(g18 +Vcmds +p4942 +tp4943 +a(g344 +V. +tp4944 +a(g41 +Vlength +p4945 +tp4946 +a(g344 +V; +tp4947 +a(g189 +V +tp4948 +a(g18 +Vi +tp4949 +a(g344 +V+ +tp4950 +a(g344 +V+ +tp4951 +a(g344 +V) +tp4952 +a(g189 +V\u000a +tp4953 +a(g189 +V +p4954 +tp4955 +a(g344 +V{ +tp4956 +a(g189 +V\u000a +tp4957 +a(g189 +V +p4958 +tp4959 +a(g18 +Vcmdargs +p4960 +tp4961 +a(g344 +V[ +tp4962 +a(g18 +Vi +tp4963 +a(g189 +V +tp4964 +a(g344 +V* +tp4965 +a(g189 +V +tp4966 +a(g319 +V2 +tp4967 +a(g189 +V +tp4968 +a(g344 +V+ +tp4969 +a(g189 +V +tp4970 +a(g319 +V1 +tp4971 +a(g344 +V] +tp4972 +a(g189 +V +tp4973 +a(g344 +V= +tp4974 +a(g189 +V +tp4975 +a(g226 +V"-e" +p4976 +tp4977 +a(g344 +V; +tp4978 +a(g189 +V\u000a +tp4979 +a(g189 +V +p4980 +tp4981 +a(g18 +Vcmdargs +p4982 +tp4983 +a(g344 +V[ +tp4984 +a(g18 +Vi +tp4985 +a(g189 +V +tp4986 +a(g344 +V* +tp4987 +a(g189 +V +tp4988 +a(g319 +V2 +tp4989 +a(g189 +V +tp4990 +a(g344 +V+ +tp4991 +a(g189 +V +tp4992 +a(g319 +V2 +tp4993 +a(g344 +V] +tp4994 +a(g189 +V +tp4995 +a(g344 +V= +tp4996 +a(g189 +V +tp4997 +a(g18 +VString +p4998 +tp4999 +a(g344 +V. +tp5000 +a(g41 +VvalueOf +p5001 +tp5002 +a(g344 +V( +tp5003 +a(g18 +Vcmds +p5004 +tp5005 +a(g344 +V[ +tp5006 +a(g18 +Vi +tp5007 +a(g344 +V] +tp5008 +a(g344 +V) +tp5009 +a(g344 +V; +tp5010 +a(g189 +V\u000a +tp5011 +a(g189 +V +p5012 +tp5013 +a(g344 +V} +tp5014 +a(g189 +V\u000a +tp5015 +a(g189 +V\u000a +tp5016 +a(g189 +V +p5017 +tp5018 +a(g18 +Vcmdargs +p5019 +tp5020 +a(g344 +V[ +tp5021 +a(g18 +Vcmdargs +p5022 +tp5023 +a(g344 +V. +tp5024 +a(g41 +Vlength +p5025 +tp5026 +a(g189 +V +tp5027 +a(g344 +V- +tp5028 +a(g189 +V +tp5029 +a(g319 +V2 +tp5030 +a(g344 +V] +tp5031 +a(g189 +V +tp5032 +a(g344 +V= +tp5033 +a(g189 +V +tp5034 +a(g226 +V"-o" +p5035 +tp5036 +a(g344 +V; +tp5037 +a(g189 +V\u000a +tp5038 +a(g189 +V +p5039 +tp5040 +a(g18 +Vcmdargs +p5041 +tp5042 +a(g344 +V[ +tp5043 +a(g18 +Vcmdargs +p5044 +tp5045 +a(g344 +V. +tp5046 +a(g41 +Vlength +p5047 +tp5048 +a(g189 +V +tp5049 +a(g344 +V- +tp5050 +a(g189 +V +tp5051 +a(g319 +V1 +tp5052 +a(g344 +V] +tp5053 +a(g189 +V +tp5054 +a(g344 +V= +tp5055 +a(g189 +V +tp5056 +a(g18 +Vdestination +p5057 +tp5058 +a(g344 +V. +tp5059 +a(g41 +VgetPath +p5060 +tp5061 +a(g344 +V( +tp5062 +a(g344 +V) +tp5063 +a(g344 +V; +tp5064 +a(g189 +V\u000a +tp5065 +a(g189 +V\u000a +tp5066 +a(g189 +V +p5067 +tp5068 +a(g18 +VString +p5069 +tp5070 +a(g189 +V +tp5071 +a(g18 +VerrorMsg +p5072 +tp5073 +a(g344 +V; +tp5074 +a(g189 +V\u000a +tp5075 +a(g189 +V +p5076 +tp5077 +a(g111 +Vtry +p5078 +tp5079 +a(g189 +V\u000a +tp5080 +a(g189 +V +p5081 +tp5082 +a(g344 +V{ +tp5083 +a(g189 +V\u000a +tp5084 +a(g189 +V +p5085 +tp5086 +a(g18 +VProcess +p5087 +tp5088 +a(g189 +V +tp5089 +a(g18 +VosaProcess +p5090 +tp5091 +a(g189 +V +tp5092 +a(g344 +V= +tp5093 +a(g189 +V +tp5094 +a(g18 +VperformRuntimeExec +p5095 +tp5096 +a(g344 +V( +tp5097 +a(g18 +Vcmdargs +p5098 +tp5099 +a(g344 +V) +tp5100 +a(g344 +V; +tp5101 +a(g189 +V\u000a +tp5102 +a(g189 +V\u000a +tp5103 +a(g189 +V +p5104 +tp5105 +a(g18 +VBufferedReader +p5106 +tp5107 +a(g189 +V +tp5108 +a(g18 +Vreader +p5109 +tp5110 +a(g189 +V +tp5111 +a(g344 +V= +tp5112 +a(g189 +V +tp5113 +a(g111 +Vnew +p5114 +tp5115 +a(g189 +V +tp5116 +a(g18 +VBufferedReader +p5117 +tp5118 +a(g344 +V( +tp5119 +a(g111 +Vnew +p5120 +tp5121 +a(g189 +V +tp5122 +a(g18 +VInputStreamReader +p5123 +tp5124 +a(g344 +V( +tp5125 +a(g18 +VosaProcess +p5126 +tp5127 +a(g344 +V. +tp5128 +a(g41 +VgetErrorStream +p5129 +tp5130 +a(g344 +V( +tp5131 +a(g344 +V) +tp5132 +a(g344 +V) +tp5133 +a(g344 +V) +tp5134 +a(g344 +V; +tp5135 +a(g189 +V\u000a +tp5136 +a(g189 +V +p5137 +tp5138 +a(g18 +VerrorMsg +p5139 +tp5140 +a(g189 +V +tp5141 +a(g344 +V= +tp5142 +a(g189 +V +tp5143 +a(g18 +Vreader +p5144 +tp5145 +a(g344 +V. +tp5146 +a(g41 +VreadLine +p5147 +tp5148 +a(g344 +V( +tp5149 +a(g344 +V) +tp5150 +a(g344 +V; +tp5151 +a(g189 +V\u000a +tp5152 +a(g189 +V +p5153 +tp5154 +a(g18 +Vreader +p5155 +tp5156 +a(g344 +V. +tp5157 +a(g41 +Vclose +p5158 +tp5159 +a(g344 +V( +tp5160 +a(g344 +V) +tp5161 +a(g344 +V; +tp5162 +a(g189 +V\u000a +tp5163 +a(g189 +V +p5164 +tp5165 +a(g344 +V} +tp5166 +a(g189 +V\u000a +tp5167 +a(g189 +V +p5168 +tp5169 +a(g111 +Vcatch +p5170 +tp5171 +a(g189 +V +tp5172 +a(g344 +V( +tp5173 +a(g18 +VIOException +p5174 +tp5175 +a(g189 +V +tp5176 +a(g18 +Ve +tp5177 +a(g344 +V) +tp5178 +a(g189 +V\u000a +tp5179 +a(g189 +V +p5180 +tp5181 +a(g344 +V{ +tp5182 +a(g189 +V\u000a +tp5183 +a(g189 +V +p5184 +tp5185 +a(g18 +VDebug +p5186 +tp5187 +a(g344 +V. +tp5188 +a(g41 +VoutNoStack +p5189 +tp5190 +a(g344 +V( +tp5191 +a(g226 +V"OSACompile Execution Failed: " +p5192 +tp5193 +a(g189 +V +tp5194 +a(g344 +V+ +tp5195 +a(g189 +V +tp5196 +a(g18 +Ve +tp5197 +a(g344 +V. +tp5198 +a(g41 +VgetMessage +p5199 +tp5200 +a(g344 +V( +tp5201 +a(g344 +V) +tp5202 +a(g344 +V) +tp5203 +a(g344 +V; +tp5204 +a(g189 +V\u000a +tp5205 +a(g189 +V +p5206 +tp5207 +a(g18 +VDebug +p5208 +tp5209 +a(g344 +V. +tp5210 +a(g41 +VprintStackTrace +p5211 +tp5212 +a(g344 +V( +tp5213 +a(g18 +Ve +tp5214 +a(g344 +V) +tp5215 +a(g344 +V; +tp5216 +a(g189 +V\u000a +tp5217 +a(g189 +V +p5218 +tp5219 +a(g111 +Vreturn +p5220 +tp5221 +a(g189 +V +tp5222 +a(g117 +Vfalse +p5223 +tp5224 +a(g344 +V; +tp5225 +a(g189 +V\u000a +tp5226 +a(g189 +V +p5227 +tp5228 +a(g344 +V} +tp5229 +a(g189 +V\u000a +tp5230 +a(g189 +V\u000a +tp5231 +a(g189 +V +p5232 +tp5233 +a(g18 +VDebug +p5234 +tp5235 +a(g344 +V. +tp5236 +a(g41 +VoutNoStack +p5237 +tp5238 +a(g344 +V( +tp5239 +a(g226 +V"OSACompile Error (if any): " +p5240 +tp5241 +a(g189 +V +tp5242 +a(g344 +V+ +tp5243 +a(g189 +V +tp5244 +a(g18 +VerrorMsg +p5245 +tp5246 +a(g344 +V) +tp5247 +a(g344 +V; +tp5248 +a(g189 +V\u000a +tp5249 +a(g189 +V\u000a +tp5250 +a(g189 +V +p5251 +tp5252 +a(g18 +VDebug +p5253 +tp5254 +a(g344 +V. +tp5255 +a(g41 +VoutNoStack +p5256 +tp5257 +a(g344 +V( +tp5258 +a(g18 +VMessageFormat +p5259 +tp5260 +a(g344 +V. +tp5261 +a(g41 +Vformat +p5262 +tp5263 +a(g344 +V( +tp5264 +a(g226 +V"OSACompile execution ended ({0}ms)" +p5265 +tp5266 +a(g344 +V, +tp5267 +a(g189 +V +tp5268 +a(g111 +Vnew +p5269 +tp5270 +a(g189 +V +tp5271 +a(g18 +VObject +p5272 +tp5273 +a(g344 +V[ +tp5274 +a(g344 +V] +tp5275 +a(g344 +V{ +tp5276 +a(g18 +VString +p5277 +tp5278 +a(g344 +V. +tp5279 +a(g41 +VvalueOf +p5280 +tp5281 +a(g344 +V( +tp5282 +a(g18 +VSystem +p5283 +tp5284 +a(g344 +V. +tp5285 +a(g41 +VcurrentTimeMillis +p5286 +tp5287 +a(g344 +V( +tp5288 +a(g344 +V) +tp5289 +a(g189 +V +tp5290 +a(g344 +V- +tp5291 +a(g189 +V +tp5292 +a(g18 +Vstart +p5293 +tp5294 +a(g344 +V) +tp5295 +a(g344 +V} +tp5296 +a(g344 +V) +tp5297 +a(g344 +V) +tp5298 +a(g344 +V; +tp5299 +a(g189 +V\u000a +tp5300 +a(g189 +V\u000a +tp5301 +a(g189 +V +p5302 +tp5303 +a(g111 +Vreturn +p5304 +tp5305 +a(g189 +V +tp5306 +a(g344 +V( +tp5307 +a(g18 +VerrorMsg +p5308 +tp5309 +a(g189 +V +tp5310 +a(g344 +V= +tp5311 +a(g344 +V= +tp5312 +a(g189 +V +tp5313 +a(g117 +Vnull +p5314 +tp5315 +a(g344 +V) +tp5316 +a(g344 +V; +tp5317 +a(g189 +V\u000a +tp5318 +a(g189 +V +p5319 +tp5320 +a(g344 +V} +tp5321 +a(g189 +V\u000a +tp5322 +a(g189 +V\u000a +tp5323 +a(g189 +V +p5324 +tp5325 +a(g7 +V/**\u000a * @see Runtime#exec(String[])\u000a */ +p5326 +tp5327 +a(g189 +V\u000a +tp5328 +a(g189 +V +p5329 +tp5330 +a(g131 +Vprotected +p5331 +tp5332 +a(g189 +V +tp5333 +a(g131 +Vstatic +p5334 +tp5335 +a(g189 +V +tp5336 +a(g18 +VProcess +p5337 +tp5338 +a(g189 +V +tp5339 +a(g21 +VperformRuntimeExec +p5340 +tp5341 +a(g344 +V( +tp5342 +a(g18 +VString +p5343 +tp5344 +a(g344 +V[ +tp5345 +a(g344 +V] +tp5346 +a(g189 +V +tp5347 +a(g18 +Vcmdargs +p5348 +tp5349 +a(g344 +V) +tp5350 +a(g189 +V +tp5351 +a(g131 +Vthrows +p5352 +tp5353 +a(g189 +V +tp5354 +a(g18 +VIOException +p5355 +tp5356 +a(g189 +V\u000a +tp5357 +a(g189 +V +p5358 +tp5359 +a(g344 +V{ +tp5360 +a(g189 +V\u000a +tp5361 +a(g189 +V +p5362 +tp5363 +a(g111 +Vtry +p5364 +tp5365 +a(g189 +V\u000a +tp5366 +a(g189 +V +p5367 +tp5368 +a(g344 +V{ +tp5369 +a(g189 +V\u000a +tp5370 +a(g189 +V +p5371 +tp5372 +a(g111 +Vreturn +p5373 +tp5374 +a(g189 +V +tp5375 +a(g18 +VRuntime +p5376 +tp5377 +a(g344 +V. +tp5378 +a(g41 +VgetRuntime +p5379 +tp5380 +a(g344 +V( +tp5381 +a(g344 +V) +tp5382 +a(g344 +V. +tp5383 +a(g41 +Vexec +p5384 +tp5385 +a(g344 +V( +tp5386 +a(g18 +Vcmdargs +p5387 +tp5388 +a(g344 +V) +tp5389 +a(g344 +V; +tp5390 +a(g189 +V\u000a +tp5391 +a(g189 +V +p5392 +tp5393 +a(g344 +V} +tp5394 +a(g189 +V\u000a +tp5395 +a(g189 +V +p5396 +tp5397 +a(g111 +Vcatch +p5398 +tp5399 +a(g189 +V +tp5400 +a(g344 +V( +tp5401 +a(g18 +VIOException +p5402 +tp5403 +a(g189 +V +tp5404 +a(g18 +Ve +tp5405 +a(g344 +V) +tp5406 +a(g189 +V\u000a +tp5407 +a(g189 +V +p5408 +tp5409 +a(g344 +V{ +tp5410 +a(g189 +V\u000a +tp5411 +a(g189 +V +p5412 +tp5413 +a(g18 +VLogger +p5414 +tp5415 +a(g344 +V. +tp5416 +a(g41 +Vlog +p5417 +tp5418 +a(g344 +V( +tp5419 +a(g111 +Vnew +p5420 +tp5421 +a(g189 +V +tp5422 +a(g18 +VLogAlert +p5423 +tp5424 +a(g344 +V( +tp5425 +a(g18 +VLogAlert +p5426 +tp5427 +a(g344 +V. +tp5428 +a(g41 +VUNREPEATABLE +p5429 +tp5430 +a(g344 +V, +tp5431 +a(g189 +V +tp5432 +a(g18 +Ve +tp5433 +a(g344 +V. +tp5434 +a(g41 +VgetMessage +p5435 +tp5436 +a(g344 +V( +tp5437 +a(g344 +V) +tp5438 +a(g344 +V, +tp5439 +a(g189 +V +tp5440 +a(g18 +Ve +tp5441 +a(g344 +V) +tp5442 +a(g344 +V) +tp5443 +a(g344 +V; +tp5444 +a(g189 +V\u000a +tp5445 +a(g189 +V +p5446 +tp5447 +a(g111 +Vthrow +p5448 +tp5449 +a(g189 +V +tp5450 +a(g18 +Ve +tp5451 +a(g344 +V; +tp5452 +a(g189 +V\u000a +tp5453 +a(g189 +V +p5454 +tp5455 +a(g344 +V} +tp5456 +a(g189 +V\u000a +tp5457 +a(g189 +V +p5458 +tp5459 +a(g344 +V} +tp5460 +a(g189 +V\u000a +tp5461 +a(g189 +V\u000a +tp5462 +a(g189 +V +p5463 +tp5464 +a(g7 +V/**\u000a *

    Gets the preferred file browser name

    \u000a *

    Currently supported browsers are Path Finder and Finder. If Path Finder is currently running\u000a * (not just installed), then "Path Finder is returned; else, "Finder" is returned.

    \u000a * @return "Path Finder" if it is currently running; else "Finder"\u000a */ +p5465 +tp5466 +a(g189 +V\u000a +tp5467 +a(g189 +V +p5468 +tp5469 +a(g131 +Vprivate +p5470 +tp5471 +a(g189 +V +tp5472 +a(g131 +Vstatic +p5473 +tp5474 +a(g189 +V +tp5475 +a(g18 +VString +p5476 +tp5477 +a(g189 +V +tp5478 +a(g21 +VgetFileBrowserName +p5479 +tp5480 +a(g344 +V( +tp5481 +a(g344 +V) +tp5482 +a(g189 +V\u000a +tp5483 +a(g189 +V +p5484 +tp5485 +a(g344 +V{ +tp5486 +a(g189 +V\u000a +tp5487 +a(g189 +V +p5488 +tp5489 +a(g111 +Vtry +p5490 +tp5491 +a(g189 +V\u000a +tp5492 +a(g189 +V +p5493 +tp5494 +a(g344 +V{ +tp5495 +a(g189 +V\u000a +tp5496 +a(g189 +V +p5497 +tp5498 +a(g358 +V// slowwwwwwww\u000a +p5499 +tp5500 +a(g189 +V +p5501 +tp5502 +a(g111 +Vif +p5503 +tp5504 +a(g189 +V +tp5505 +a(g344 +V( +tp5506 +a(g226 +V"true" +p5507 +tp5508 +a(g344 +V. +tp5509 +a(g41 +VequalsIgnoreCase +p5510 +tp5511 +a(g344 +V( +tp5512 +a(g18 +VperformOSAScript +p5513 +tp5514 +a(g344 +V( +tp5515 +a(g226 +V"tell application \u005c"System Events\u005c" to exists process \u005c"Path Finder\u005c"" +p5516 +tp5517 +a(g344 +V) +tp5518 +a(g344 +V) +tp5519 +a(g344 +V) +tp5520 +a(g189 +V\u000a +tp5521 +a(g189 +V +p5522 +tp5523 +a(g344 +V{ +tp5524 +a(g189 +V\u000a +tp5525 +a(g189 +V +p5526 +tp5527 +a(g18 +VDebug +p5528 +tp5529 +a(g344 +V. +tp5530 +a(g41 +VoutNoStack +p5531 +tp5532 +a(g344 +V( +tp5533 +a(g226 +V"Path Finder is running" +p5534 +tp5535 +a(g344 +V) +tp5536 +a(g344 +V; +tp5537 +a(g189 +V\u000a +tp5538 +a(g189 +V\u000a +tp5539 +a(g189 +V +p5540 +tp5541 +a(g111 +Vreturn +p5542 +tp5543 +a(g189 +V +tp5544 +a(g226 +V"Path Finder" +p5545 +tp5546 +a(g344 +V; +tp5547 +a(g189 +V\u000a +tp5548 +a(g189 +V +p5549 +tp5550 +a(g344 +V} +tp5551 +a(g189 +V\u000a +tp5552 +a(g189 +V +p5553 +tp5554 +a(g111 +Velse +p5555 +tp5556 +a(g189 +V\u000a +tp5557 +a(g189 +V +p5558 +tp5559 +a(g344 +V{ +tp5560 +a(g189 +V\u000a +tp5561 +a(g189 +V +p5562 +tp5563 +a(g111 +Vreturn +p5564 +tp5565 +a(g189 +V +tp5566 +a(g226 +V"Finder" +p5567 +tp5568 +a(g344 +V; +tp5569 +a(g189 +V\u000a +tp5570 +a(g189 +V +p5571 +tp5572 +a(g344 +V} +tp5573 +a(g189 +V\u000a +tp5574 +a(g189 +V +p5575 +tp5576 +a(g344 +V} +tp5577 +a(g189 +V\u000a +tp5578 +a(g189 +V +p5579 +tp5580 +a(g111 +Vcatch +p5581 +tp5582 +a(g189 +V +tp5583 +a(g344 +V( +tp5584 +a(g18 +VIOException +p5585 +tp5586 +a(g189 +V +tp5587 +a(g18 +Ve +tp5588 +a(g344 +V) +tp5589 +a(g189 +V\u000a +tp5590 +a(g189 +V +p5591 +tp5592 +a(g344 +V{ +tp5593 +a(g189 +V\u000a +tp5594 +a(g189 +V +p5595 +tp5596 +a(g18 +VDebug +p5597 +tp5598 +a(g344 +V. +tp5599 +a(g41 +VprintStackTrace +p5600 +tp5601 +a(g344 +V( +tp5602 +a(g18 +Ve +tp5603 +a(g344 +V) +tp5604 +a(g344 +V; +tp5605 +a(g189 +V\u000a +tp5606 +a(g189 +V +p5607 +tp5608 +a(g18 +VLogger +p5609 +tp5610 +a(g344 +V. +tp5611 +a(g41 +Vlog +p5612 +tp5613 +a(g344 +V( +tp5614 +a(g111 +Vnew +p5615 +tp5616 +a(g189 +V +tp5617 +a(g18 +VLogEvent +p5618 +tp5619 +a(g344 +V( +tp5620 +a(g18 +VLOGID +p5621 +tp5622 +a(g344 +V, +tp5623 +a(g189 +V +tp5624 +a(g18 +Ve +tp5625 +a(g344 +V. +tp5626 +a(g41 +VgetMessage +p5627 +tp5628 +a(g344 +V( +tp5629 +a(g344 +V) +tp5630 +a(g344 +V, +tp5631 +a(g189 +V +tp5632 +a(g18 +Ve +tp5633 +a(g344 +V) +tp5634 +a(g344 +V) +tp5635 +a(g344 +V; +tp5636 +a(g189 +V\u000a +tp5637 +a(g189 +V\u000a +tp5638 +a(g189 +V +p5639 +tp5640 +a(g111 +Vreturn +p5641 +tp5642 +a(g189 +V +tp5643 +a(g226 +V"Finder" +p5644 +tp5645 +a(g344 +V; +tp5646 +a(g189 +V\u000a +tp5647 +a(g189 +V +p5648 +tp5649 +a(g344 +V} +tp5650 +a(g189 +V\u000a +tp5651 +a(g189 +V +p5652 +tp5653 +a(g344 +V} +tp5654 +a(g189 +V\u000a +tp5655 +a(g189 +V +p5656 +tp5657 +a(g189 +V\u000a +tp5658 +a(g189 +V +tp5659 +a(g131 +Vpublic +p5660 +tp5661 +a(g189 +V +tp5662 +a(g139 +Vboolean +p5663 +tp5664 +a(g189 +V\u000a +tp5665 +a(g189 +V +tp5666 +a(g21 +VtestNativeAvailability +p5667 +tp5668 +a(g344 +V( +tp5669 +a(g189 +V\u000a +tp5670 +a(g189 +V +p5671 +tp5672 +a(g18 +VString +p5673 +tp5674 +a(g189 +V +tp5675 +a(g18 +Vname +p5676 +tp5677 +a(g189 +V +tp5678 +a(g344 +V) +tp5679 +a(g189 +V\u000a +tp5680 +a(g189 +V +tp5681 +a(g189 +V\u000a +tp5682 +a(g189 +V +p5683 +tp5684 +a(g131 +Vthrows +p5685 +tp5686 +a(g189 +V +tp5687 +a(g18 +VPlatformManagerException +p5688 +tp5689 +a(g189 +V\u000a +tp5690 +a(g189 +V +tp5691 +a(g344 +V{ +tp5692 +a(g189 +V\u000a +tp5693 +a(g189 +V +p5694 +tp5695 +a(g111 +Vthrow +p5696 +tp5697 +a(g189 +V +tp5698 +a(g111 +Vnew +p5699 +tp5700 +a(g189 +V +tp5701 +a(g21 +VPlatformManagerException +p5702 +tp5703 +a(g344 +V( +tp5704 +a(g226 +V"Unsupported capability called on platform manager" +p5705 +tp5706 +a(g344 +V) +tp5707 +a(g344 +V; +tp5708 +a(g189 +V +p5709 +tp5710 +a(g189 +V\u000a +tp5711 +a(g189 +V +tp5712 +a(g344 +V} +tp5713 +a(g189 +V\u000a +tp5714 +a(g189 +V +p5715 +tp5716 +a(g189 +V\u000a +tp5717 +a(g189 +V +p5718 +tp5719 +a(g131 +Vpublic +p5720 +tp5721 +a(g189 +V +tp5722 +a(g139 +Vvoid +p5723 +tp5724 +a(g189 +V\u000a +tp5725 +a(g189 +V +p5726 +tp5727 +a(g21 +VaddListener +p5728 +tp5729 +a(g344 +V( +tp5730 +a(g189 +V\u000a +tp5731 +a(g189 +V +p5732 +tp5733 +a(g18 +VPlatformManagerListener +p5734 +tp5735 +a(g189 +V +p5736 +tp5737 +a(g18 +Vlistener +p5738 +tp5739 +a(g189 +V +tp5740 +a(g344 +V) +tp5741 +a(g189 +V\u000a +tp5742 +a(g189 +V +p5743 +tp5744 +a(g344 +V{ +tp5745 +a(g189 +V\u000a +tp5746 +a(g189 +V +p5747 +tp5748 +a(g344 +V} +tp5749 +a(g189 +V\u000a +tp5750 +a(g189 +V +p5751 +tp5752 +a(g189 +V\u000a +tp5753 +a(g189 +V +p5754 +tp5755 +a(g131 +Vpublic +p5756 +tp5757 +a(g189 +V +tp5758 +a(g139 +Vvoid +p5759 +tp5760 +a(g189 +V\u000a +tp5761 +a(g189 +V +p5762 +tp5763 +a(g21 +VremoveListener +p5764 +tp5765 +a(g344 +V( +tp5766 +a(g189 +V\u000a +tp5767 +a(g189 +V +p5768 +tp5769 +a(g18 +VPlatformManagerListener +p5770 +tp5771 +a(g189 +V +p5772 +tp5773 +a(g18 +Vlistener +p5774 +tp5775 +a(g189 +V +tp5776 +a(g344 +V) +tp5777 +a(g189 +V\u000a +tp5778 +a(g189 +V +p5779 +tp5780 +a(g344 +V{ +tp5781 +a(g189 +V\u000a +tp5782 +a(g189 +V +p5783 +tp5784 +a(g344 +V} +tp5785 +a(g189 +V\u000a +tp5786 +a(g344 +V} +tp5787 +a(g189 +V\u000a +tp5788 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.jsp b/tests/examplefiles/output/test.jsp new file mode 100644 index 0000000..c3b86df --- /dev/null +++ b/tests/examplefiles/output/test.jsp @@ -0,0 +1,2028 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +g28 +g2 +(g3 +g4 +(g106 +g28 +ttRp109 +(dp110 +g17 +g18 +((ltRp111 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp112 +(dp113 +g17 +g18 +((ltRp114 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp115 +(dp116 +g17 +g18 +((ltRp117 +sg8 +g107 +sbsS'Reserved' +p118 +g2 +(g3 +g4 +(g106 +g118 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Declaration' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp126 +(dp127 +g17 +g18 +((ltRp128 +sg8 +g107 +sbsg17 +g18 +((lp129 +g109 +ag119 +ag2 +(g3 +g4 +(g106 +S'Type' +p130 +ttRp131 +(dp132 +g17 +g18 +((ltRp133 +sg8 +g107 +sbag123 +ag126 +ag112 +ag115 +atRp134 +sg130 +g131 +sbsS'Generic' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +S'Prompt' +p138 +g2 +(g3 +g4 +(g135 +g138 +ttRp139 +(dp140 +g17 +g18 +((ltRp141 +sg8 +g136 +sbsg8 +g9 +sS'Deleted' +p142 +g2 +(g3 +g4 +(g135 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g136 +sbsS'Traceback' +p146 +g2 +(g3 +g4 +(g135 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g136 +sbsS'Emph' +p150 +g2 +(g3 +g4 +(g135 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g136 +sbsS'Output' +p154 +g2 +(g3 +g4 +(g135 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g136 +sbsS'Subheading' +p158 +g2 +(g3 +g4 +(g135 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g136 +sbsS'Error' +p162 +g2 +(g3 +g4 +(g135 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g136 +sbsg17 +g18 +((lp166 +g155 +ag151 +ag163 +ag159 +ag147 +ag143 +ag2 +(g3 +g4 +(g135 +S'Heading' +p167 +ttRp168 +(dp169 +g17 +g18 +((ltRp170 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Inserted' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g136 +sbag2 +(g3 +g4 +(g135 +S'Strong' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g136 +sbag139 +atRp179 +sg175 +g176 +sg171 +g172 +sg167 +g168 +sbsS'Text' +p180 +g2 +(g3 +g4 +(g180 +ttRp181 +(dp182 +g17 +g18 +((lp183 +g2 +(g3 +g4 +(g180 +S'Symbol' +p184 +ttRp185 +(dp186 +g17 +g18 +((ltRp187 +sg8 +g181 +sbag2 +(g3 +g4 +(g180 +S'Whitespace' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g181 +sbatRp192 +sg184 +g185 +sg188 +g189 +sg8 +g9 +sbsS'Punctuation' +p193 +g2 +(g3 +g4 +(g193 +ttRp194 +(dp195 +g17 +g18 +((lp196 +g2 +(g3 +g4 +(g193 +S'Indicator' +p197 +ttRp198 +(dp199 +g17 +g18 +((ltRp200 +sg8 +g194 +sbatRp201 +sg197 +g198 +sg8 +g9 +sbsS'Token' +p202 +g9 +sS'Number' +p203 +g2 +(g3 +g4 +(S'Literal' +p204 +g203 +ttRp205 +(dp206 +S'Bin' +p207 +g2 +(g3 +g4 +(g204 +g203 +g207 +ttRp208 +(dp209 +g17 +g18 +((ltRp210 +sg8 +g205 +sbsS'Binary' +p211 +g2 +(g3 +g4 +(g204 +g203 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g205 +sbsg8 +g2 +(g3 +g4 +(g204 +ttRp215 +(dp216 +S'String' +p217 +g2 +(g3 +g4 +(g204 +g217 +ttRp218 +(dp219 +S'Regex' +p220 +g2 +(g3 +g4 +(g204 +g217 +g220 +ttRp221 +(dp222 +g17 +g18 +((ltRp223 +sg8 +g218 +sbsS'Interpol' +p224 +g2 +(g3 +g4 +(g204 +g217 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g218 +sbsS'Regexp' +p228 +g2 +(g3 +g4 +(g204 +g217 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g218 +sbsg8 +g215 +sS'Heredoc' +p232 +g2 +(g3 +g4 +(g204 +g217 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g218 +sbsS'Double' +p236 +g2 +(g3 +g4 +(g204 +g217 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g218 +sbsg184 +g2 +(g3 +g4 +(g204 +g217 +g184 +ttRp240 +(dp241 +g17 +g18 +((ltRp242 +sg8 +g218 +sbsS'Escape' +p243 +g2 +(g3 +g4 +(g204 +g217 +g243 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g218 +sbsS'Character' +p247 +g2 +(g3 +g4 +(g204 +g217 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g218 +sbsS'Interp' +p251 +g2 +(g3 +g4 +(g204 +g217 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g218 +sbsS'Backtick' +p255 +g2 +(g3 +g4 +(g204 +g217 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g218 +sbsS'Char' +p259 +g2 +(g3 +g4 +(g204 +g217 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g218 +sbsS'Single' +p263 +g2 +(g3 +g4 +(g204 +g217 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g218 +sbsg60 +g2 +(g3 +g4 +(g204 +g217 +g60 +ttRp267 +(dp268 +g17 +g18 +((ltRp269 +sg8 +g218 +sbsS'Doc' +p270 +g2 +(g3 +g4 +(g204 +g217 +g270 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g218 +sbsg17 +g18 +((lp274 +g267 +ag2 +(g3 +g4 +(g204 +g217 +S'Atom' +p275 +ttRp276 +(dp277 +g17 +g18 +((ltRp278 +sg8 +g218 +sbag237 +ag260 +ag252 +ag271 +ag233 +ag256 +ag225 +ag240 +ag229 +ag221 +ag264 +ag248 +ag244 +atRp279 +sg275 +g276 +sbsg8 +g9 +sg203 +g205 +sS'Scalar' +p280 +g2 +(g3 +g4 +(g204 +g280 +ttRp281 +(dp282 +g17 +g18 +((lp283 +g2 +(g3 +g4 +(g204 +g280 +S'Plain' +p284 +ttRp285 +(dp286 +g17 +g18 +((ltRp287 +sg8 +g281 +sbatRp288 +sg8 +g215 +sg284 +g285 +sbsg60 +g2 +(g3 +g4 +(g204 +g60 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g215 +sbsS'Date' +p292 +g2 +(g3 +g4 +(g204 +g292 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g215 +sbsg17 +g18 +((lp296 +g293 +ag218 +ag289 +ag205 +ag281 +atRp297 +sbsS'Decimal' +p298 +g2 +(g3 +g4 +(g204 +g203 +g298 +ttRp299 +(dp300 +g17 +g18 +((ltRp301 +sg8 +g205 +sbsS'Float' +p302 +g2 +(g3 +g4 +(g204 +g203 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g205 +sbsS'Hex' +p306 +g2 +(g3 +g4 +(g204 +g203 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g205 +sbsS'Integer' +p310 +g2 +(g3 +g4 +(g204 +g203 +g310 +ttRp311 +(dp312 +g17 +g18 +((lp313 +g2 +(g3 +g4 +(g204 +g203 +g310 +S'Long' +p314 +ttRp315 +(dp316 +g17 +g18 +((ltRp317 +sg8 +g311 +sbatRp318 +sg314 +g315 +sg8 +g205 +sbsS'Octal' +p319 +g2 +(g3 +g4 +(g204 +g203 +g319 +ttRp320 +(dp321 +g17 +g18 +((ltRp322 +sg8 +g205 +sbsg17 +g18 +((lp323 +g208 +ag212 +ag320 +ag299 +ag2 +(g3 +g4 +(g204 +g203 +S'Oct' +p324 +ttRp325 +(dp326 +g17 +g18 +((ltRp327 +sg8 +g205 +sbag311 +ag303 +ag307 +atRp328 +sg324 +g325 +sbsg204 +g215 +sg60 +g2 +(g3 +g4 +(g60 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g9 +sbsg162 +g2 +(g3 +g4 +(g162 +ttRp332 +(dp333 +g17 +g18 +((ltRp334 +sg8 +g9 +sbsS'Operator' +p335 +g2 +(g3 +g4 +(g335 +ttRp336 +(dp337 +g17 +g18 +((lp338 +g2 +(g3 +g4 +(g335 +S'Word' +p339 +ttRp340 +(dp341 +g17 +g18 +((ltRp342 +sg8 +g336 +sbatRp343 +sg339 +g340 +sg8 +g9 +sbsg17 +g18 +((lp344 +g6 +ag332 +ag136 +ag181 +ag12 +ag194 +ag107 +ag215 +ag336 +ag329 +atRp345 +sg217 +g218 +sbsS'Preproc' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g17 +g18 +((ltRp349 +sg8 +g6 +sbsg263 +g2 +(g3 +g4 +(g5 +g263 +ttRp350 +(dp351 +g17 +g18 +((ltRp352 +sg8 +g6 +sbsS'Multiline' +p353 +g2 +(g3 +g4 +(g5 +g353 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsg17 +g18 +((lp357 +g2 +(g3 +g4 +(g5 +S'Special' +p358 +ttRp359 +(dp360 +g17 +g18 +((ltRp361 +sg8 +g6 +sbag347 +ag350 +ag354 +atRp362 +sg358 +g359 +sbV +p394 +tp395 +a(g181 +V\u000a +tp396 +a(g107 +V<%= +p397 +tp398 +a(g181 +V +tp399 +a(g12 +Vvar +p400 +tp401 +a(g181 +V +tp402 +a(g12 +Vx +tp403 +a(g181 +V +tp404 +a(g336 +V= +tp405 +a(g181 +V +tp406 +a(g311 +V1 +tp407 +a(g336 +V; +tp408 +a(g181 +V\u000a +tp409 +a(g107 +V%> +p410 +tp411 +a(g181 +V\u000a +tp412 +a(g107 +V<%! +p413 +tp414 +a(g181 +V +tp415 +a(g131 +Vint +p416 +tp417 +a(g181 +V +tp418 +a(g12 +Vi +tp419 +a(g181 +V +tp420 +a(g336 +V= +tp421 +a(g181 +V +tp422 +a(g311 +V0 +tp423 +a(g336 +V; +tp424 +a(g181 +V +tp425 +a(g107 +V%> +p426 +tp427 +a(g181 +V\u000a +tp428 +a(g107 +V<%! +p429 +tp430 +a(g181 +V +tp431 +a(g131 +Vint +p432 +tp433 +a(g181 +V +tp434 +a(g12 +Va +tp435 +a(g336 +V, +tp436 +a(g181 +V +tp437 +a(g12 +Vb +tp438 +a(g336 +V, +tp439 +a(g181 +V +tp440 +a(g12 +Vc +tp441 +a(g336 +V; +tp442 +a(g181 +V +tp443 +a(g107 +V%> +p444 +tp445 +a(g181 +V\u000a +tp446 +a(g107 +V<%! +p447 +tp448 +a(g181 +V +tp449 +a(g12 +VCircle +p450 +tp451 +a(g181 +V +tp452 +a(g12 +Va +tp453 +a(g181 +V +tp454 +a(g336 +V= +tp455 +a(g181 +V +tp456 +a(g107 +Vnew +p457 +tp458 +a(g181 +V +tp459 +a(g12 +VCircle +p460 +tp461 +a(g336 +V( +tp462 +a(g303 +V2.0 +p463 +tp464 +a(g336 +V) +tp465 +a(g336 +V; +tp466 +a(g181 +V +tp467 +a(g107 +V%> +p468 +tp469 +a(g181 +V\u000a\u000a +p470 +tp471 +a(g107 +V<% +p472 +tp473 +a(g181 +V\u000a +tp474 +a(g181 +V +p475 +tp476 +a(g12 +VString +p477 +tp478 +a(g181 +V +tp479 +a(g12 +Vname +p480 +tp481 +a(g181 +V +tp482 +a(g336 +V= +tp483 +a(g181 +V +tp484 +a(g109 +Vnull +p485 +tp486 +a(g336 +V; +tp487 +a(g181 +V\u000a +tp488 +a(g181 +V +p489 +tp490 +a(g107 +Vif +p491 +tp492 +a(g181 +V +tp493 +a(g336 +V( +tp494 +a(g12 +Vrequest +p495 +tp496 +a(g336 +V. +tp497 +a(g37 +VgetParameter +p498 +tp499 +a(g336 +V( +tp500 +a(g218 +V"name" +p501 +tp502 +a(g336 +V) +tp503 +a(g181 +V +tp504 +a(g336 +V= +tp505 +a(g336 +V= +tp506 +a(g181 +V +tp507 +a(g109 +Vnull +p508 +tp509 +a(g336 +V) +tp510 +a(g181 +V +tp511 +a(g336 +V{ +tp512 +a(g181 +V\u000a +tp513 +a(g107 +V%> +p514 +tp515 +a(g181 +V\u000a +tp516 +a(g107 +V<%@ +p517 +tp518 +a(g181 +V +tp519 +a(g12 +Vinclude +p520 +tp521 +a(g181 +V +tp522 +a(g12 +Vfile +p523 +tp524 +a(g336 +V= +tp525 +a(g218 +V"error.html" +p526 +tp527 +a(g181 +V +tp528 +a(g107 +V%> +p529 +tp530 +a(g181 +V\u000a +tp531 +a(g107 +V<% +p532 +tp533 +a(g181 +V\u000a +tp534 +a(g181 +V +p535 +tp536 +a(g336 +V} +tp537 +a(g181 +V +tp538 +a(g107 +Velse +p539 +tp540 +a(g181 +V +tp541 +a(g336 +V{ +tp542 +a(g181 +V\u000a +tp543 +a(g181 +V +p544 +tp545 +a(g12 +Vfoo +p546 +tp547 +a(g336 +V. +tp548 +a(g37 +VsetName +p549 +tp550 +a(g336 +V( +tp551 +a(g12 +Vrequest +p552 +tp553 +a(g336 +V. +tp554 +a(g37 +VgetParameter +p555 +tp556 +a(g336 +V( +tp557 +a(g218 +V"name" +p558 +tp559 +a(g336 +V) +tp560 +a(g336 +V) +tp561 +a(g336 +V; +tp562 +a(g181 +V\u000a +tp563 +a(g181 +V +p564 +tp565 +a(g107 +Vif +p566 +tp567 +a(g181 +V +tp568 +a(g336 +V( +tp569 +a(g12 +Vfoo +p570 +tp571 +a(g336 +V. +tp572 +a(g37 +VgetName +p573 +tp574 +a(g336 +V( +tp575 +a(g336 +V) +tp576 +a(g336 +V. +tp577 +a(g37 +VequalsIgnoreCase +p578 +tp579 +a(g336 +V( +tp580 +a(g218 +V"integra" +p581 +tp582 +a(g336 +V) +tp583 +a(g336 +V) +tp584 +a(g181 +V\u000a +tp585 +a(g181 +V +p586 +tp587 +a(g12 +Vname +p588 +tp589 +a(g181 +V +tp590 +a(g336 +V= +tp591 +a(g181 +V +tp592 +a(g218 +V"acura" +p593 +tp594 +a(g336 +V; +tp595 +a(g181 +V\u000a +tp596 +a(g181 +V +p597 +tp598 +a(g107 +Vif +p599 +tp600 +a(g181 +V +tp601 +a(g336 +V( +tp602 +a(g12 +Vname +p603 +tp604 +a(g336 +V. +tp605 +a(g37 +VequalsIgnoreCase +p606 +tp607 +a(g336 +V( +tp608 +a(g181 +V +tp609 +a(g218 +V"acura" +p610 +tp611 +a(g181 +V +tp612 +a(g336 +V) +tp613 +a(g336 +V) +tp614 +a(g181 +V +tp615 +a(g336 +V{ +tp616 +a(g181 +V\u000a +tp617 +a(g107 +V%> +p618 +tp619 +a(g181 +V\u000a\u000a +p620 +tp621 +a(g107 +V +p622 +tp623 +a(g181 +V\u000a +tp624 +a(g25 +V

    +tp627 +a(g181 +V\u000aCalendar of +p628 +tp629 +a(g107 +V +p630 +tp631 +a(g181 +V\u000a +tp632 +a(g25 +V

    +p633 +tp634 +a(g181 +V\u000a +tp635 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.mod b/tests/examplefiles/output/test.mod new file mode 100644 index 0000000..fcbf825 --- /dev/null +++ b/tests/examplefiles/output/test.mod @@ -0,0 +1,6085 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Multiline' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsS'Preproc' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbsg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg6 +g7 +sg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag355 +ag358 +ag7 +atRp366 +sg362 +g363 +sbsbV(* LIFO Storage Library\u000a *\u000a * @file LIFO.mod\u000a * LIFO implementation\u000a *\u000a * Universal Dynamic Stack\u000a *\u000a * Author: Benjamin Kowarsch\u000a *\u000a * Copyright (C) 2009 Benjamin Kowarsch. All rights reserved.\u000a *\u000a * License:\u000a *\u000a * Redistribution and use in source and binary forms, with or without\u000a * modification, are permitted provided that the following conditions are met\u000a *\u000a * 1) NO FEES may be charged for the provision of the software. The software\u000a * may NOT be published on websites that contain advertising, unless\u000a * specific prior written permission has been obtained.\u000a *\u000a * 2) Redistributions of source code must retain the above copyright notice,\u000a * this list of conditions and the following disclaimer.\u000a *\u000a * 3) Redistributions in binary form must reproduce the above copyright\u000a * notice, this list of conditions and the following disclaimer in the\u000a * documentation and other materials provided with the distribution.\u000a *\u000a * 4) Neither the author's name nor the names of any contributors may be used\u000a * to endorse or promote products derived from this software without\u000a * specific prior written permission.\u000a *\u000a * 5) Where this list of conditions or the following disclaimer, in part or\u000a * as a whole is overruled or nullified by applicable law, no permission\u000a * is granted to use the software.\u000a *\u000a * THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"\u000a * AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE\u000a * IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE\u000a * ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE\u000a * LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR\u000a * CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF\u000a * SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS\u000a * INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN\u000a * CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)\u000a * ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE\u000a * POSSIBILITY OF SUCH DAMAGE.\u000a * \u000a *) +p367 +tp368 +a(g189 +V\u000a\u000a\u000a +p369 +tp370 +a(g127 +VIMPLEMENTATION +p371 +tp372 +a(g189 +V +tp373 +a(g7 +V(* OF *) +p374 +tp375 +a(g189 +V +tp376 +a(g127 +VMODULE +p377 +tp378 +a(g189 +V +tp379 +a(g18 +VLIFO +p380 +tp381 +a(g202 +V; +tp382 +a(g189 +V\u000a\u000a +p383 +tp384 +a(g127 +VFROM +p385 +tp386 +a(g189 +V +tp387 +a(g18 +VSYSTEM +p388 +tp389 +a(g189 +V +tp390 +a(g127 +VIMPORT +p391 +tp392 +a(g189 +V +tp393 +a(g18 +VADDRESS +p394 +tp395 +a(g202 +V, +tp396 +a(g189 +V +tp397 +a(g18 +VADR +p398 +tp399 +a(g202 +V, +tp400 +a(g189 +V +tp401 +a(g18 +VTSIZE +p402 +tp403 +a(g202 +V; +tp404 +a(g189 +V\u000a +tp405 +a(g127 +VFROM +p406 +tp407 +a(g189 +V +tp408 +a(g18 +VStorage +p409 +tp410 +a(g189 +V +tp411 +a(g127 +VIMPORT +p412 +tp413 +a(g189 +V +tp414 +a(g18 +VALLOCATE +p415 +tp416 +a(g202 +V, +tp417 +a(g189 +V +tp418 +a(g18 +VDEALLOCATE +p419 +tp420 +a(g202 +V; +tp421 +a(g189 +V\u000a\u000a\u000a +p422 +tp423 +a(g7 +V(* ---------------------------------------------------------------------------\u000a// Private type : ListEntry\u000a// ---------------------------------------------------------------------------\u000a*) +p424 +tp425 +a(g189 +V\u000a +tp426 +a(g127 +VTYPE +p427 +tp428 +a(g189 +V +tp429 +a(g18 +VListPtr +p430 +tp431 +a(g189 +V +tp432 +a(g344 +V= +tp433 +a(g189 +V +tp434 +a(g127 +VPOINTER +p435 +tp436 +a(g189 +V +tp437 +a(g127 +VTO +p438 +tp439 +a(g189 +V +tp440 +a(g18 +VListEntry +p441 +tp442 +a(g202 +V; +tp443 +a(g189 +V\u000a\u000a +p444 +tp445 +a(g127 +VTYPE +p446 +tp447 +a(g189 +V +tp448 +a(g18 +VListEntry +p449 +tp450 +a(g189 +V +tp451 +a(g344 +V= +tp452 +a(g189 +V +tp453 +a(g127 +VRECORD +p454 +tp455 +a(g189 +V\u000a +tp456 +a(g189 +V +p457 +tp458 +a(g18 +Vvalue +p459 +tp460 +a(g189 +V +tp461 +a(g202 +V: +tp462 +a(g189 +V +tp463 +a(g18 +VDataPtr +p464 +tp465 +a(g202 +V; +tp466 +a(g189 +V\u000a +tp467 +a(g189 +V +p468 +tp469 +a(g18 +Vnext +p470 +tp471 +a(g189 +V +p472 +tp473 +a(g202 +V: +tp474 +a(g189 +V +tp475 +a(g18 +VListPtr +p476 +tp477 +a(g189 +V\u000a +tp478 +a(g127 +VEND +p479 +tp480 +a(g202 +V; +tp481 +a(g189 +V +tp482 +a(g7 +V(* ListEntry *) +p483 +tp484 +a(g189 +V\u000a\u000a\u000a +p485 +tp486 +a(g7 +V(* ---------------------------------------------------------------------------\u000a// Opaque type : LIFO.Stack\u000a// ---------------------------------------------------------------------------\u000a// CAUTION: Modula-2 does not support the use of variable length array fields\u000a// in records. VLAs can only be implemented using pointer arithmetic which\u000a// means there is no type checking and no boundary checking on the array.\u000a// It also means that array notation cannot be used on the array which makes\u000a// the code difficult to read and maintain. As a result, Modula-2 is less\u000a// safe and less readable than C when it comes to using VLAs. Great care must\u000a// be taken to make sure that the code accessing VLA fields is safe. Boundary\u000a// checks must be inserted manually. Size checks must be inserted manually to\u000a// compensate for the absence of type checks. *) +p487 +tp488 +a(g189 +V\u000a\u000a +p489 +tp490 +a(g127 +VTYPE +p491 +tp492 +a(g189 +V +tp493 +a(g18 +VStack +p494 +tp495 +a(g189 +V +tp496 +a(g344 +V= +tp497 +a(g189 +V +tp498 +a(g127 +VPOINTER +p499 +tp500 +a(g189 +V +tp501 +a(g127 +VTO +p502 +tp503 +a(g189 +V +tp504 +a(g18 +VStackDescriptor +p505 +tp506 +a(g202 +V; +tp507 +a(g189 +V\u000a\u000a +p508 +tp509 +a(g127 +VTYPE +p510 +tp511 +a(g189 +V +tp512 +a(g18 +VStackDescriptor +p513 +tp514 +a(g189 +V +tp515 +a(g344 +V= +tp516 +a(g189 +V +tp517 +a(g127 +VRECORD +p518 +tp519 +a(g189 +V\u000a +tp520 +a(g189 +V +p521 +tp522 +a(g18 +Voverflow +p523 +tp524 +a(g189 +V +p525 +tp526 +a(g202 +V: +tp527 +a(g189 +V +tp528 +a(g18 +VListPtr +p529 +tp530 +a(g202 +V; +tp531 +a(g189 +V\u000a +tp532 +a(g189 +V +p533 +tp534 +a(g18 +VentryCount +p535 +tp536 +a(g189 +V +tp537 +a(g202 +V: +tp538 +a(g189 +V +tp539 +a(g18 +VStackSize +p540 +tp541 +a(g202 +V; +tp542 +a(g189 +V\u000a +tp543 +a(g189 +V +p544 +tp545 +a(g18 +VarraySize +p546 +tp547 +a(g189 +V +p548 +tp549 +a(g202 +V: +tp550 +a(g189 +V +tp551 +a(g18 +VStackSize +p552 +tp553 +a(g202 +V; +tp554 +a(g189 +V\u000a +tp555 +a(g189 +V +p556 +tp557 +a(g18 +Varray +p558 +tp559 +a(g189 +V +p560 +tp561 +a(g202 +V: +tp562 +a(g189 +V +tp563 +a(g18 +VADDRESS +p564 +tp565 +a(g189 +V +tp566 +a(g7 +V(* ARRAY OF DataPtr *) +p567 +tp568 +a(g189 +V\u000a +tp569 +a(g127 +VEND +p570 +tp571 +a(g202 +V; +tp572 +a(g189 +V +tp573 +a(g7 +V(* StackDescriptor *) +p574 +tp575 +a(g189 +V\u000a\u000a\u000a +p576 +tp577 +a(g7 +V(* ---------------------------------------------------------------------------\u000a// function: LIFO.new( initial_size, status )\u000a// ---------------------------------------------------------------------------\u000a//\u000a// Creates and returns a new LIFO stack object with an initial capacity of\u000a// . If zero is passed in for , then the stack\u000a// will be created with an initial capacity of LIFO.defaultStackSize. The\u000a// function fails if a value greater than LIFO.maximumStackSize is passed\u000a// in for or if memory could not be allocated.\u000a//\u000a// The initial capacity of a stack is the number of entries that can be stored\u000a// in the stack without enlargement.\u000a//\u000a// The status of the operation is passed back in . *) +p578 +tp579 +a(g189 +V\u000a\u000a +p580 +tp581 +a(g127 +VPROCEDURE +p582 +tp583 +a(g189 +V +tp584 +a(g18 +Vnew +p585 +tp586 +a(g189 +V +tp587 +a(g202 +V( +tp588 +a(g189 +V +tp589 +a(g18 +VinitialSize +p590 +tp591 +a(g189 +V +tp592 +a(g202 +V: +tp593 +a(g189 +V +tp594 +a(g18 +VStackSize +p595 +tp596 +a(g202 +V; +tp597 +a(g189 +V +tp598 +a(g127 +VVAR +p599 +tp600 +a(g189 +V +tp601 +a(g18 +Vstatus +p602 +tp603 +a(g189 +V +tp604 +a(g202 +V: +tp605 +a(g189 +V +tp606 +a(g18 +VStatus +p607 +tp608 +a(g189 +V +tp609 +a(g202 +V) +tp610 +a(g189 +V +tp611 +a(g202 +V: +tp612 +a(g189 +V +tp613 +a(g18 +VStack +p614 +tp615 +a(g202 +V; +tp616 +a(g189 +V\u000a\u000a +p617 +tp618 +a(g127 +VVAR +p619 +tp620 +a(g189 +V\u000a +tp621 +a(g189 +V +p622 +tp623 +a(g18 +VnewStack +p624 +tp625 +a(g189 +V +tp626 +a(g202 +V: +tp627 +a(g189 +V +tp628 +a(g18 +VStack +p629 +tp630 +a(g202 +V; +tp631 +a(g189 +V\u000a +tp632 +a(g189 +V \u000a +p633 +tp634 +a(g127 +VBEGIN +p635 +tp636 +a(g189 +V\u000a\u000a +p637 +tp638 +a(g189 +V +p639 +tp640 +a(g7 +V(* zero size means default *) +p641 +tp642 +a(g189 +V\u000a +tp643 +a(g189 +V +p644 +tp645 +a(g127 +VIF +p646 +tp647 +a(g189 +V +tp648 +a(g18 +VinitialSize +p649 +tp650 +a(g189 +V +tp651 +a(g344 +V= +tp652 +a(g189 +V +tp653 +a(g319 +V0 +tp654 +a(g189 +V +tp655 +a(g127 +VTHEN +p656 +tp657 +a(g189 +V\u000a +tp658 +a(g189 +V +p659 +tp660 +a(g18 +VinitialSize +p661 +tp662 +a(g189 +V +tp663 +a(g344 +V:= +p664 +tp665 +a(g189 +V +tp666 +a(g18 +VdefaultStackSize +p667 +tp668 +a(g202 +V; +tp669 +a(g189 +V\u000a +tp670 +a(g189 +V +p671 +tp672 +a(g127 +VEND +p673 +tp674 +a(g202 +V; +tp675 +a(g189 +V +tp676 +a(g7 +V(* IF *) +p677 +tp678 +a(g189 +V\u000a +tp679 +a(g189 +V \u000a +p680 +tp681 +a(g7 +V(* bail out if initial size is too high *) +p682 +tp683 +a(g189 +V\u000a +tp684 +a(g189 +V +p685 +tp686 +a(g127 +VIF +p687 +tp688 +a(g189 +V +tp689 +a(g18 +VinitialSize +p690 +tp691 +a(g189 +V +tp692 +a(g344 +V> +tp693 +a(g189 +V +tp694 +a(g18 +VmaximumStackSize +p695 +tp696 +a(g189 +V +tp697 +a(g127 +VTHEN +p698 +tp699 +a(g189 +V\u000a +tp700 +a(g189 +V +p701 +tp702 +a(g18 +Vstatus +p703 +tp704 +a(g189 +V +tp705 +a(g344 +V:= +p706 +tp707 +a(g189 +V +tp708 +a(g18 +VinvalidSize +p709 +tp710 +a(g202 +V; +tp711 +a(g189 +V\u000a +tp712 +a(g189 +V +p713 +tp714 +a(g127 +VRETURN +p715 +tp716 +a(g189 +V +tp717 +a(g114 +VNIL +p718 +tp719 +a(g202 +V; +tp720 +a(g189 +V\u000a +tp721 +a(g189 +V +p722 +tp723 +a(g127 +VEND +p724 +tp725 +a(g202 +V; +tp726 +a(g189 +V +tp727 +a(g7 +V(* IF *) +p728 +tp729 +a(g189 +V\u000a +tp730 +a(g189 +V \u000a +p731 +tp732 +a(g7 +V(* allocate new stack object *) +p733 +tp734 +a(g189 +V\u000a +tp735 +a(g189 +V +p736 +tp737 +a(g18 +VALLOCATE +p738 +tp739 +a(g202 +V( +tp740 +a(g18 +VnewStack +p741 +tp742 +a(g202 +V, +tp743 +a(g189 +V +tp744 +a(g18 +VTSIZE +p745 +tp746 +a(g202 +V( +tp747 +a(g18 +VStack +p748 +tp749 +a(g202 +V) +tp750 +a(g189 +V +tp751 +a(g344 +V+ +tp752 +a(g189 +V +tp753 +a(g18 +VTSIZE +p754 +tp755 +a(g202 +V( +tp756 +a(g18 +VDataPtr +p757 +tp758 +a(g202 +V) +tp759 +a(g189 +V +tp760 +a(g344 +V* +tp761 +a(g189 +V +tp762 +a(g202 +V( +tp763 +a(g18 +VinitialSize +p764 +tp765 +a(g189 +V +tp766 +a(g344 +V- +tp767 +a(g189 +V +tp768 +a(g319 +V1 +tp769 +a(g202 +V) +tp770 +a(g202 +V) +tp771 +a(g202 +V; +tp772 +a(g189 +V\u000a +tp773 +a(g189 +V \u000a +p774 +tp775 +a(g7 +V(* bail out if allocation failed *) +p776 +tp777 +a(g189 +V\u000a +tp778 +a(g189 +V +p779 +tp780 +a(g127 +VIF +p781 +tp782 +a(g189 +V +tp783 +a(g18 +VnewStack +p784 +tp785 +a(g189 +V +tp786 +a(g344 +V= +tp787 +a(g189 +V +tp788 +a(g114 +VNIL +p789 +tp790 +a(g189 +V +tp791 +a(g127 +VTHEN +p792 +tp793 +a(g189 +V\u000a +tp794 +a(g189 +V +p795 +tp796 +a(g18 +Vstatus +p797 +tp798 +a(g189 +V +tp799 +a(g344 +V:= +p800 +tp801 +a(g189 +V +tp802 +a(g18 +VallocationFailed +p803 +tp804 +a(g202 +V; +tp805 +a(g189 +V\u000a +tp806 +a(g189 +V +p807 +tp808 +a(g127 +VRETURN +p809 +tp810 +a(g189 +V +tp811 +a(g114 +VNIL +p812 +tp813 +a(g202 +V; +tp814 +a(g189 +V\u000a +tp815 +a(g189 +V +p816 +tp817 +a(g127 +VEND +p818 +tp819 +a(g202 +V; +tp820 +a(g189 +V +tp821 +a(g7 +V(* IF *) +p822 +tp823 +a(g189 +V\u000a +tp824 +a(g189 +V \u000a +p825 +tp826 +a(g7 +V(* initialise meta data *) +p827 +tp828 +a(g189 +V\u000a +tp829 +a(g189 +V +p830 +tp831 +a(g18 +VnewStack +p832 +tp833 +a(g344 +V^ +tp834 +a(g202 +V. +tp835 +a(g18 +VarraySize +p836 +tp837 +a(g189 +V +tp838 +a(g344 +V:= +p839 +tp840 +a(g189 +V +tp841 +a(g18 +VinitialSize +p842 +tp843 +a(g202 +V; +tp844 +a(g189 +V\u000a +tp845 +a(g189 +V +p846 +tp847 +a(g18 +VnewStack +p848 +tp849 +a(g344 +V^ +tp850 +a(g202 +V. +tp851 +a(g18 +VentryCount +p852 +tp853 +a(g189 +V +tp854 +a(g344 +V:= +p855 +tp856 +a(g189 +V +tp857 +a(g319 +V0 +tp858 +a(g202 +V; +tp859 +a(g189 +V\u000a +tp860 +a(g189 +V +p861 +tp862 +a(g18 +VnewStack +p863 +tp864 +a(g344 +V^ +tp865 +a(g202 +V. +tp866 +a(g18 +Voverflow +p867 +tp868 +a(g189 +V +tp869 +a(g344 +V:= +p870 +tp871 +a(g189 +V +tp872 +a(g114 +VNIL +p873 +tp874 +a(g202 +V; +tp875 +a(g189 +V\u000a +tp876 +a(g189 +V \u000a +p877 +tp878 +a(g7 +V(* pass status and new stack to caller *) +p879 +tp880 +a(g189 +V\u000a +tp881 +a(g189 +V +p882 +tp883 +a(g18 +Vstatus +p884 +tp885 +a(g189 +V +tp886 +a(g344 +V:= +p887 +tp888 +a(g189 +V +tp889 +a(g18 +Vsuccess +p890 +tp891 +a(g202 +V; +tp892 +a(g189 +V\u000a +tp893 +a(g189 +V +p894 +tp895 +a(g127 +VRETURN +p896 +tp897 +a(g189 +V +tp898 +a(g18 +VnewStack +p899 +tp900 +a(g189 +V\u000a +tp901 +a(g189 +V \u000a +p902 +tp903 +a(g127 +VEND +p904 +tp905 +a(g189 +V +tp906 +a(g18 +Vnew +p907 +tp908 +a(g202 +V; +tp909 +a(g189 +V\u000a\u000a\u000a +p910 +tp911 +a(g7 +V(* ---------------------------------------------------------------------------\u000a// function: LIFO.push( stack, value, status )\u000a// ---------------------------------------------------------------------------\u000a//\u000a// Adds a new entry to the top of stack . The new entry is\u000a// added by reference, no data is copied. However, no entry is added if the\u000a// the stack is full, that is when the number of entries stored in the stack\u000a// has reached LIFO.maximumStackSize. The function fails if NIL is passed in\u000a// for or , or if memory could not be allocated.\u000a//\u000a// New entries are allocated dynamically if the number of entries exceeds the\u000a// initial capacity of the stack.\u000a//\u000a// The status of the operation is passed back in . *) +p912 +tp913 +a(g189 +V\u000a\u000a +p914 +tp915 +a(g127 +VPROCEDURE +p916 +tp917 +a(g189 +V +tp918 +a(g18 +Vpush +p919 +tp920 +a(g189 +V +tp921 +a(g202 +V( +tp922 +a(g189 +V +tp923 +a(g127 +VVAR +p924 +tp925 +a(g189 +V +tp926 +a(g18 +Vstack +p927 +tp928 +a(g189 +V +tp929 +a(g202 +V: +tp930 +a(g189 +V +tp931 +a(g18 +VStack +p932 +tp933 +a(g202 +V; +tp934 +a(g189 +V +tp935 +a(g18 +Vvalue +p936 +tp937 +a(g189 +V +tp938 +a(g202 +V: +tp939 +a(g189 +V +tp940 +a(g18 +VDataPtr +p941 +tp942 +a(g202 +V; +tp943 +a(g189 +V +tp944 +a(g127 +VVAR +p945 +tp946 +a(g189 +V +tp947 +a(g18 +Vstatus +p948 +tp949 +a(g189 +V +tp950 +a(g202 +V: +tp951 +a(g189 +V +tp952 +a(g18 +VStatus +p953 +tp954 +a(g189 +V +tp955 +a(g202 +V) +tp956 +a(g202 +V; +tp957 +a(g189 +V\u000a +tp958 +a(g127 +VVAR +p959 +tp960 +a(g189 +V\u000a +tp961 +a(g189 +V +p962 +tp963 +a(g18 +VnewEntry +p964 +tp965 +a(g189 +V +tp966 +a(g202 +V: +tp967 +a(g189 +V +tp968 +a(g18 +VListPtr +p969 +tp970 +a(g202 +V; +tp971 +a(g189 +V\u000a +tp972 +a(g189 +V +p973 +tp974 +a(g18 +VvaluePtr +p975 +tp976 +a(g189 +V +tp977 +a(g202 +V: +tp978 +a(g189 +V +tp979 +a(g127 +VPOINTER +p980 +tp981 +a(g189 +V +tp982 +a(g127 +VTO +p983 +tp984 +a(g189 +V +tp985 +a(g18 +VDataPtr +p986 +tp987 +a(g202 +V; +tp988 +a(g189 +V\u000a\u000a +p989 +tp990 +a(g127 +VBEGIN +p991 +tp992 +a(g189 +V\u000a\u000a +p993 +tp994 +a(g189 +V +p995 +tp996 +a(g7 +V(* bail out if stack is NIL *) +p997 +tp998 +a(g189 +V\u000a +tp999 +a(g189 +V +p1000 +tp1001 +a(g127 +VIF +p1002 +tp1003 +a(g189 +V +tp1004 +a(g18 +Vstack +p1005 +tp1006 +a(g189 +V +tp1007 +a(g344 +V= +tp1008 +a(g189 +V +tp1009 +a(g114 +VNIL +p1010 +tp1011 +a(g189 +V +tp1012 +a(g127 +VTHEN +p1013 +tp1014 +a(g189 +V\u000a +tp1015 +a(g189 +V +p1016 +tp1017 +a(g18 +Vstatus +p1018 +tp1019 +a(g189 +V +tp1020 +a(g344 +V:= +p1021 +tp1022 +a(g189 +V +tp1023 +a(g18 +VinvalidStack +p1024 +tp1025 +a(g202 +V; +tp1026 +a(g189 +V\u000a +tp1027 +a(g189 +V +p1028 +tp1029 +a(g127 +VRETURN +p1030 +tp1031 +a(g202 +V; +tp1032 +a(g189 +V\u000a +tp1033 +a(g189 +V +p1034 +tp1035 +a(g127 +VEND +p1036 +tp1037 +a(g202 +V; +tp1038 +a(g189 +V +tp1039 +a(g7 +V(* IF *) +p1040 +tp1041 +a(g189 +V\u000a +tp1042 +a(g189 +V \u000a +p1043 +tp1044 +a(g7 +V(* bail out if value is NIL *) +p1045 +tp1046 +a(g189 +V\u000a +tp1047 +a(g189 +V +p1048 +tp1049 +a(g127 +VIF +p1050 +tp1051 +a(g189 +V +tp1052 +a(g18 +Vvalue +p1053 +tp1054 +a(g189 +V +tp1055 +a(g344 +V= +tp1056 +a(g189 +V +tp1057 +a(g114 +VNIL +p1058 +tp1059 +a(g189 +V +tp1060 +a(g127 +VTHEN +p1061 +tp1062 +a(g189 +V\u000a +tp1063 +a(g189 +V +p1064 +tp1065 +a(g18 +Vstatus +p1066 +tp1067 +a(g189 +V +tp1068 +a(g344 +V:= +p1069 +tp1070 +a(g189 +V +tp1071 +a(g18 +VinvalidData +p1072 +tp1073 +a(g202 +V; +tp1074 +a(g189 +V\u000a +tp1075 +a(g189 +V +p1076 +tp1077 +a(g127 +VRETURN +p1078 +tp1079 +a(g202 +V; +tp1080 +a(g189 +V\u000a +tp1081 +a(g189 +V +p1082 +tp1083 +a(g127 +VEND +p1084 +tp1085 +a(g202 +V; +tp1086 +a(g189 +V +tp1087 +a(g7 +V(* IF *) +p1088 +tp1089 +a(g189 +V\u000a\u000a +p1090 +tp1091 +a(g189 +V +p1092 +tp1093 +a(g7 +V(* bail out if stack is full *) +p1094 +tp1095 +a(g189 +V\u000a +tp1096 +a(g189 +V +p1097 +tp1098 +a(g127 +VIF +p1099 +tp1100 +a(g189 +V +tp1101 +a(g18 +Vstack +p1102 +tp1103 +a(g344 +V^ +tp1104 +a(g202 +V. +tp1105 +a(g18 +VentryCount +p1106 +tp1107 +a(g189 +V +tp1108 +a(g344 +V> +tp1109 +a(g344 +V= +tp1110 +a(g189 +V +tp1111 +a(g18 +VmaximumStackSize +p1112 +tp1113 +a(g189 +V +tp1114 +a(g127 +VTHEN +p1115 +tp1116 +a(g189 +V\u000a +tp1117 +a(g189 +V +p1118 +tp1119 +a(g18 +Vstatus +p1120 +tp1121 +a(g189 +V +tp1122 +a(g344 +V:= +p1123 +tp1124 +a(g189 +V +tp1125 +a(g18 +VstackFull +p1126 +tp1127 +a(g202 +V; +tp1128 +a(g189 +V\u000a +tp1129 +a(g189 +V +p1130 +tp1131 +a(g127 +VRETURN +p1132 +tp1133 +a(g202 +V; +tp1134 +a(g189 +V\u000a +tp1135 +a(g189 +V +p1136 +tp1137 +a(g127 +VEND +p1138 +tp1139 +a(g202 +V; +tp1140 +a(g189 +V +tp1141 +a(g7 +V(* IF *) +p1142 +tp1143 +a(g189 +V\u000a\u000a +p1144 +tp1145 +a(g189 +V +p1146 +tp1147 +a(g7 +V(* check if index falls within array segment *) +p1148 +tp1149 +a(g189 +V\u000a +tp1150 +a(g189 +V +p1151 +tp1152 +a(g127 +VIF +p1153 +tp1154 +a(g189 +V +tp1155 +a(g18 +Vstack +p1156 +tp1157 +a(g344 +V^ +tp1158 +a(g202 +V. +tp1159 +a(g18 +VentryCount +p1160 +tp1161 +a(g189 +V +tp1162 +a(g344 +V< +tp1163 +a(g189 +V +tp1164 +a(g18 +Vstack +p1165 +tp1166 +a(g344 +V^ +tp1167 +a(g202 +V. +tp1168 +a(g18 +VarraySize +p1169 +tp1170 +a(g189 +V +tp1171 +a(g127 +VTHEN +p1172 +tp1173 +a(g189 +V\u000a +tp1174 +a(g189 +V \u000a +p1175 +tp1176 +a(g7 +V(* store value in array segment *) +p1177 +tp1178 +a(g189 +V\u000a +tp1179 +a(g189 +V \u000a +p1180 +tp1181 +a(g7 +V(* stack^.array^[stack^.entryCount] := value; *) +p1182 +tp1183 +a(g189 +V\u000a +tp1184 +a(g189 +V +p1185 +tp1186 +a(g18 +VvaluePtr +p1187 +tp1188 +a(g189 +V +tp1189 +a(g344 +V:= +p1190 +tp1191 +a(g189 +V +tp1192 +a(g18 +VADR +p1193 +tp1194 +a(g202 +V( +tp1195 +a(g18 +Vstack +p1196 +tp1197 +a(g344 +V^ +tp1198 +a(g202 +V. +tp1199 +a(g18 +Varray +p1200 +tp1201 +a(g202 +V) +tp1202 +a(g189 +V +tp1203 +a(g344 +V+ +tp1204 +a(g189 +V +tp1205 +a(g18 +VTSIZE +p1206 +tp1207 +a(g202 +V( +tp1208 +a(g18 +VDataPtr +p1209 +tp1210 +a(g202 +V) +tp1211 +a(g189 +V +tp1212 +a(g344 +V* +tp1213 +a(g189 +V +tp1214 +a(g18 +Vstack +p1215 +tp1216 +a(g344 +V^ +tp1217 +a(g202 +V. +tp1218 +a(g18 +VentryCount +p1219 +tp1220 +a(g202 +V; +tp1221 +a(g189 +V\u000a +tp1222 +a(g189 +V +p1223 +tp1224 +a(g18 +VvaluePtr +p1225 +tp1226 +a(g344 +V^ +tp1227 +a(g189 +V +tp1228 +a(g344 +V:= +p1229 +tp1230 +a(g189 +V +tp1231 +a(g18 +Vvalue +p1232 +tp1233 +a(g202 +V; +tp1234 +a(g189 +V\u000a +tp1235 +a(g189 +V \u000a +p1236 +tp1237 +a(g127 +VELSE +p1238 +tp1239 +a(g189 +V +tp1240 +a(g7 +V(* index falls within overflow segment *) +p1241 +tp1242 +a(g189 +V\u000a +tp1243 +a(g189 +V \u000a +p1244 +tp1245 +a(g7 +V(* allocate new entry slot *) +p1246 +tp1247 +a(g189 +V\u000a +tp1248 +a(g189 +V +p1249 +tp1250 +a(g114 +VNEW +p1251 +tp1252 +a(g202 +V( +tp1253 +a(g18 +VnewEntry +p1254 +tp1255 +a(g202 +V) +tp1256 +a(g202 +V; +tp1257 +a(g189 +V\u000a +tp1258 +a(g189 +V \u000a +p1259 +tp1260 +a(g7 +V(* bail out if allocation failed *) +p1261 +tp1262 +a(g189 +V\u000a +tp1263 +a(g189 +V +p1264 +tp1265 +a(g127 +VIF +p1266 +tp1267 +a(g189 +V +tp1268 +a(g18 +VnewEntry +p1269 +tp1270 +a(g189 +V +tp1271 +a(g344 +V= +tp1272 +a(g189 +V +tp1273 +a(g114 +VNIL +p1274 +tp1275 +a(g189 +V +tp1276 +a(g127 +VTHEN +p1277 +tp1278 +a(g189 +V\u000a +tp1279 +a(g189 +V +p1280 +tp1281 +a(g18 +Vstatus +p1282 +tp1283 +a(g189 +V +tp1284 +a(g344 +V:= +p1285 +tp1286 +a(g189 +V +tp1287 +a(g18 +VallocationFailed +p1288 +tp1289 +a(g202 +V; +tp1290 +a(g189 +V\u000a +tp1291 +a(g189 +V +p1292 +tp1293 +a(g127 +VRETURN +p1294 +tp1295 +a(g202 +V; +tp1296 +a(g189 +V\u000a +tp1297 +a(g189 +V +p1298 +tp1299 +a(g127 +VEND +p1300 +tp1301 +a(g202 +V; +tp1302 +a(g189 +V +tp1303 +a(g7 +V(* IF *) +p1304 +tp1305 +a(g189 +V\u000a +tp1306 +a(g189 +V \u000a +p1307 +tp1308 +a(g7 +V(* initialise new entry *) +p1309 +tp1310 +a(g189 +V\u000a +tp1311 +a(g189 +V +p1312 +tp1313 +a(g18 +VnewEntry +p1314 +tp1315 +a(g344 +V^ +tp1316 +a(g202 +V. +tp1317 +a(g18 +Vvalue +p1318 +tp1319 +a(g189 +V +tp1320 +a(g344 +V:= +p1321 +tp1322 +a(g189 +V +tp1323 +a(g18 +Vvalue +p1324 +tp1325 +a(g202 +V; +tp1326 +a(g189 +V\u000a +tp1327 +a(g189 +V \u000a +p1328 +tp1329 +a(g7 +V(* link new entry into overflow list *) +p1330 +tp1331 +a(g189 +V\u000a +tp1332 +a(g189 +V +p1333 +tp1334 +a(g18 +VnewEntry +p1335 +tp1336 +a(g344 +V^ +tp1337 +a(g202 +V. +tp1338 +a(g18 +Vnext +p1339 +tp1340 +a(g189 +V +tp1341 +a(g344 +V:= +p1342 +tp1343 +a(g189 +V +tp1344 +a(g18 +Vstack +p1345 +tp1346 +a(g344 +V^ +tp1347 +a(g202 +V. +tp1348 +a(g18 +Voverflow +p1349 +tp1350 +a(g202 +V; +tp1351 +a(g189 +V\u000a +tp1352 +a(g189 +V +p1353 +tp1354 +a(g18 +Vstack +p1355 +tp1356 +a(g344 +V^ +tp1357 +a(g202 +V. +tp1358 +a(g18 +Voverflow +p1359 +tp1360 +a(g189 +V +tp1361 +a(g344 +V:= +p1362 +tp1363 +a(g189 +V +tp1364 +a(g18 +VnewEntry +p1365 +tp1366 +a(g202 +V; +tp1367 +a(g189 +V\u000a +tp1368 +a(g189 +V \u000a +p1369 +tp1370 +a(g127 +VEND +p1371 +tp1372 +a(g202 +V; +tp1373 +a(g189 +V +tp1374 +a(g7 +V(* IF *) +p1375 +tp1376 +a(g189 +V\u000a +tp1377 +a(g189 +V \u000a +p1378 +tp1379 +a(g7 +V(* update entry counter *) +p1380 +tp1381 +a(g189 +V\u000a +tp1382 +a(g189 +V +p1383 +tp1384 +a(g114 +VINC +p1385 +tp1386 +a(g202 +V( +tp1387 +a(g18 +Vstack +p1388 +tp1389 +a(g344 +V^ +tp1390 +a(g202 +V. +tp1391 +a(g18 +VentryCount +p1392 +tp1393 +a(g202 +V) +tp1394 +a(g202 +V; +tp1395 +a(g189 +V\u000a +tp1396 +a(g189 +V \u000a +p1397 +tp1398 +a(g7 +V(* pass status to caller *) +p1399 +tp1400 +a(g189 +V\u000a +tp1401 +a(g189 +V +p1402 +tp1403 +a(g18 +Vstatus +p1404 +tp1405 +a(g189 +V +tp1406 +a(g344 +V:= +p1407 +tp1408 +a(g189 +V +tp1409 +a(g18 +Vsuccess +p1410 +tp1411 +a(g202 +V; +tp1412 +a(g189 +V\u000a +tp1413 +a(g189 +V +p1414 +tp1415 +a(g127 +VRETURN +p1416 +tp1417 +a(g189 +V\u000a\u000a +p1418 +tp1419 +a(g127 +VEND +p1420 +tp1421 +a(g189 +V +tp1422 +a(g18 +Vpush +p1423 +tp1424 +a(g202 +V; +tp1425 +a(g189 +V\u000a\u000a\u000a +p1426 +tp1427 +a(g7 +V(* ---------------------------------------------------------------------------\u000a// function: LIFO.pop( stack, status )\u000a// ---------------------------------------------------------------------------\u000a//\u000a// Removes the top most value from stack and returns it. If the stack\u000a// is empty, that is when the number of entries stored in the stack has\u000a// reached zero, then NIL is returned.\u000a//\u000a// Entries which were allocated dynamically (above the initial capacity) are\u000a// deallocated when their values are popped.\u000a//\u000a// The status of the operation is passed back in . *) +p1428 +tp1429 +a(g189 +V\u000a\u000a +p1430 +tp1431 +a(g127 +VPROCEDURE +p1432 +tp1433 +a(g189 +V +tp1434 +a(g18 +Vpop +p1435 +tp1436 +a(g189 +V +tp1437 +a(g202 +V( +tp1438 +a(g189 +V +tp1439 +a(g127 +VVAR +p1440 +tp1441 +a(g189 +V +tp1442 +a(g18 +Vstack +p1443 +tp1444 +a(g189 +V +tp1445 +a(g202 +V: +tp1446 +a(g189 +V +tp1447 +a(g18 +VStack +p1448 +tp1449 +a(g202 +V; +tp1450 +a(g189 +V +tp1451 +a(g127 +VVAR +p1452 +tp1453 +a(g189 +V +tp1454 +a(g18 +Vstatus +p1455 +tp1456 +a(g189 +V +tp1457 +a(g202 +V: +tp1458 +a(g189 +V +tp1459 +a(g18 +VStatus +p1460 +tp1461 +a(g189 +V +tp1462 +a(g202 +V) +tp1463 +a(g189 +V +tp1464 +a(g202 +V: +tp1465 +a(g189 +V +tp1466 +a(g18 +VDataPtr +p1467 +tp1468 +a(g202 +V; +tp1469 +a(g189 +V\u000a\u000a +p1470 +tp1471 +a(g127 +VVAR +p1472 +tp1473 +a(g189 +V\u000a +tp1474 +a(g189 +V +p1475 +tp1476 +a(g18 +VthisValue +p1477 +tp1478 +a(g189 +V +tp1479 +a(g202 +V: +tp1480 +a(g189 +V +tp1481 +a(g18 +VDataPtr +p1482 +tp1483 +a(g202 +V; +tp1484 +a(g189 +V\u000a +tp1485 +a(g189 +V +p1486 +tp1487 +a(g18 +VthisEntry +p1488 +tp1489 +a(g189 +V +tp1490 +a(g202 +V: +tp1491 +a(g189 +V +tp1492 +a(g18 +VListPtr +p1493 +tp1494 +a(g202 +V; +tp1495 +a(g189 +V\u000a +tp1496 +a(g189 +V +p1497 +tp1498 +a(g18 +VvaluePtr +p1499 +tp1500 +a(g189 +V +tp1501 +a(g202 +V: +tp1502 +a(g189 +V +tp1503 +a(g127 +VPOINTER +p1504 +tp1505 +a(g189 +V +tp1506 +a(g127 +VTO +p1507 +tp1508 +a(g189 +V +tp1509 +a(g18 +VDataPtr +p1510 +tp1511 +a(g202 +V; +tp1512 +a(g189 +V\u000a\u000a +p1513 +tp1514 +a(g127 +VBEGIN +p1515 +tp1516 +a(g189 +V\u000a\u000a +p1517 +tp1518 +a(g189 +V +p1519 +tp1520 +a(g7 +V(* bail out if stack is NIL *) +p1521 +tp1522 +a(g189 +V\u000a +tp1523 +a(g189 +V +p1524 +tp1525 +a(g127 +VIF +p1526 +tp1527 +a(g189 +V +tp1528 +a(g18 +Vstack +p1529 +tp1530 +a(g189 +V +tp1531 +a(g344 +V= +tp1532 +a(g189 +V +tp1533 +a(g114 +VNIL +p1534 +tp1535 +a(g189 +V +tp1536 +a(g127 +VTHEN +p1537 +tp1538 +a(g189 +V\u000a +tp1539 +a(g189 +V +p1540 +tp1541 +a(g18 +Vstatus +p1542 +tp1543 +a(g189 +V +tp1544 +a(g344 +V:= +p1545 +tp1546 +a(g189 +V +tp1547 +a(g18 +VinvalidStack +p1548 +tp1549 +a(g202 +V; +tp1550 +a(g189 +V\u000a +tp1551 +a(g189 +V +p1552 +tp1553 +a(g127 +VRETURN +p1554 +tp1555 +a(g189 +V +tp1556 +a(g114 +VNIL +p1557 +tp1558 +a(g202 +V; +tp1559 +a(g189 +V\u000a +tp1560 +a(g189 +V +p1561 +tp1562 +a(g127 +VEND +p1563 +tp1564 +a(g202 +V; +tp1565 +a(g189 +V +tp1566 +a(g7 +V(* IF *) +p1567 +tp1568 +a(g189 +V\u000a +tp1569 +a(g189 +V \u000a +p1570 +tp1571 +a(g7 +V(* bail out if stack is empty *) +p1572 +tp1573 +a(g189 +V\u000a +tp1574 +a(g189 +V +p1575 +tp1576 +a(g127 +VIF +p1577 +tp1578 +a(g189 +V +tp1579 +a(g18 +Vstack +p1580 +tp1581 +a(g344 +V^ +tp1582 +a(g202 +V. +tp1583 +a(g18 +VentryCount +p1584 +tp1585 +a(g189 +V +tp1586 +a(g344 +V= +tp1587 +a(g189 +V +tp1588 +a(g319 +V0 +tp1589 +a(g189 +V +tp1590 +a(g127 +VTHEN +p1591 +tp1592 +a(g189 +V\u000a +tp1593 +a(g189 +V +p1594 +tp1595 +a(g18 +Vstatus +p1596 +tp1597 +a(g189 +V +tp1598 +a(g344 +V:= +p1599 +tp1600 +a(g189 +V +tp1601 +a(g18 +VstackEmpty +p1602 +tp1603 +a(g202 +V; +tp1604 +a(g189 +V\u000a +tp1605 +a(g189 +V +p1606 +tp1607 +a(g127 +VRETURN +p1608 +tp1609 +a(g189 +V +tp1610 +a(g114 +VNIL +p1611 +tp1612 +a(g202 +V; +tp1613 +a(g189 +V\u000a +tp1614 +a(g189 +V +p1615 +tp1616 +a(g127 +VEND +p1617 +tp1618 +a(g202 +V; +tp1619 +a(g189 +V +tp1620 +a(g7 +V(* IF *) +p1621 +tp1622 +a(g189 +V\u000a\u000a +p1623 +tp1624 +a(g189 +V +p1625 +tp1626 +a(g114 +VDEC +p1627 +tp1628 +a(g202 +V( +tp1629 +a(g18 +Vstack +p1630 +tp1631 +a(g344 +V^ +tp1632 +a(g202 +V. +tp1633 +a(g18 +VentryCount +p1634 +tp1635 +a(g202 +V) +tp1636 +a(g202 +V; +tp1637 +a(g189 +V\u000a +tp1638 +a(g189 +V \u000a +p1639 +tp1640 +a(g7 +V(* check if index falls within array segment *) +p1641 +tp1642 +a(g189 +V\u000a +tp1643 +a(g189 +V +p1644 +tp1645 +a(g127 +VIF +p1646 +tp1647 +a(g189 +V +tp1648 +a(g18 +Vstack +p1649 +tp1650 +a(g344 +V^ +tp1651 +a(g202 +V. +tp1652 +a(g18 +VentryCount +p1653 +tp1654 +a(g189 +V +tp1655 +a(g344 +V< +tp1656 +a(g189 +V +tp1657 +a(g18 +Vstack +p1658 +tp1659 +a(g344 +V^ +tp1660 +a(g202 +V. +tp1661 +a(g18 +VarraySize +p1662 +tp1663 +a(g189 +V +tp1664 +a(g127 +VTHEN +p1665 +tp1666 +a(g189 +V\u000a +tp1667 +a(g189 +V \u000a +p1668 +tp1669 +a(g7 +V(* obtain value at index entryCount in array segment *) +p1670 +tp1671 +a(g189 +V\u000a +tp1672 +a(g189 +V \u000a +p1673 +tp1674 +a(g7 +V(* thisValue := stack^.array^[stack^.entryCount]; *) +p1675 +tp1676 +a(g189 +V\u000a +tp1677 +a(g189 +V +p1678 +tp1679 +a(g18 +VvaluePtr +p1680 +tp1681 +a(g189 +V +tp1682 +a(g344 +V:= +p1683 +tp1684 +a(g189 +V +tp1685 +a(g18 +VADR +p1686 +tp1687 +a(g202 +V( +tp1688 +a(g18 +Vstack +p1689 +tp1690 +a(g344 +V^ +tp1691 +a(g202 +V. +tp1692 +a(g18 +Varray +p1693 +tp1694 +a(g202 +V) +tp1695 +a(g189 +V +tp1696 +a(g344 +V+ +tp1697 +a(g189 +V +tp1698 +a(g18 +VTSIZE +p1699 +tp1700 +a(g202 +V( +tp1701 +a(g18 +VDataPtr +p1702 +tp1703 +a(g202 +V) +tp1704 +a(g189 +V +tp1705 +a(g344 +V* +tp1706 +a(g189 +V +tp1707 +a(g18 +Vstack +p1708 +tp1709 +a(g344 +V^ +tp1710 +a(g202 +V. +tp1711 +a(g18 +VentryCount +p1712 +tp1713 +a(g202 +V; +tp1714 +a(g189 +V\u000a +tp1715 +a(g189 +V +p1716 +tp1717 +a(g18 +VthisValue +p1718 +tp1719 +a(g189 +V +tp1720 +a(g344 +V:= +p1721 +tp1722 +a(g189 +V +tp1723 +a(g18 +VvaluePtr +p1724 +tp1725 +a(g344 +V^ +tp1726 +a(g202 +V; +tp1727 +a(g189 +V\u000a +tp1728 +a(g189 +V \u000a +p1729 +tp1730 +a(g127 +VELSE +p1731 +tp1732 +a(g189 +V +tp1733 +a(g7 +V(* index falls within overflow segment *) +p1734 +tp1735 +a(g189 +V\u000a +tp1736 +a(g189 +V \u000a +p1737 +tp1738 +a(g7 +V(* obtain value of first entry in overflow list *) +p1739 +tp1740 +a(g189 +V\u000a +tp1741 +a(g189 +V +p1742 +tp1743 +a(g18 +VthisValue +p1744 +tp1745 +a(g189 +V +tp1746 +a(g344 +V:= +p1747 +tp1748 +a(g189 +V +tp1749 +a(g18 +Vstack +p1750 +tp1751 +a(g344 +V^ +tp1752 +a(g202 +V. +tp1753 +a(g18 +Voverflow +p1754 +tp1755 +a(g344 +V^ +tp1756 +a(g202 +V. +tp1757 +a(g18 +Vvalue +p1758 +tp1759 +a(g202 +V; +tp1760 +a(g189 +V\u000a +tp1761 +a(g189 +V \u000a +p1762 +tp1763 +a(g7 +V(* isolate first entry in overflow list *) +p1764 +tp1765 +a(g189 +V\u000a +tp1766 +a(g189 +V +p1767 +tp1768 +a(g18 +VthisEntry +p1769 +tp1770 +a(g189 +V +tp1771 +a(g344 +V:= +p1772 +tp1773 +a(g189 +V +tp1774 +a(g18 +Vstack +p1775 +tp1776 +a(g344 +V^ +tp1777 +a(g202 +V. +tp1778 +a(g18 +Voverflow +p1779 +tp1780 +a(g202 +V; +tp1781 +a(g189 +V\u000a +tp1782 +a(g189 +V +p1783 +tp1784 +a(g18 +Vstack +p1785 +tp1786 +a(g344 +V^ +tp1787 +a(g202 +V. +tp1788 +a(g18 +Voverflow +p1789 +tp1790 +a(g189 +V +tp1791 +a(g344 +V:= +p1792 +tp1793 +a(g189 +V +tp1794 +a(g18 +Vstack +p1795 +tp1796 +a(g344 +V^ +tp1797 +a(g202 +V. +tp1798 +a(g18 +Voverflow +p1799 +tp1800 +a(g344 +V^ +tp1801 +a(g202 +V. +tp1802 +a(g18 +Vnext +p1803 +tp1804 +a(g202 +V; +tp1805 +a(g189 +V\u000a +tp1806 +a(g189 +V \u000a +p1807 +tp1808 +a(g7 +V(* remove the entry from overflow list *) +p1809 +tp1810 +a(g189 +V\u000a +tp1811 +a(g189 +V +p1812 +tp1813 +a(g114 +VDISPOSE +p1814 +tp1815 +a(g202 +V( +tp1816 +a(g18 +VthisEntry +p1817 +tp1818 +a(g202 +V) +tp1819 +a(g202 +V; +tp1820 +a(g189 +V\u000a +tp1821 +a(g189 +V \u000a +p1822 +tp1823 +a(g127 +VEND +p1824 +tp1825 +a(g202 +V; +tp1826 +a(g189 +V +tp1827 +a(g7 +V(* IF *) +p1828 +tp1829 +a(g189 +V\u000a\u000a +p1830 +tp1831 +a(g189 +V +p1832 +tp1833 +a(g7 +V(* return value and status to caller *) +p1834 +tp1835 +a(g189 +V\u000a +tp1836 +a(g189 +V +p1837 +tp1838 +a(g18 +Vstatus +p1839 +tp1840 +a(g189 +V +tp1841 +a(g344 +V:= +p1842 +tp1843 +a(g189 +V +tp1844 +a(g18 +Vsuccess +p1845 +tp1846 +a(g202 +V; +tp1847 +a(g189 +V\u000a +tp1848 +a(g189 +V +p1849 +tp1850 +a(g127 +VRETURN +p1851 +tp1852 +a(g189 +V +tp1853 +a(g18 +VthisValue +p1854 +tp1855 +a(g189 +V\u000a\u000a +p1856 +tp1857 +a(g127 +VEND +p1858 +tp1859 +a(g189 +V +tp1860 +a(g18 +Vpop +p1861 +tp1862 +a(g202 +V; +tp1863 +a(g189 +V\u000a\u000a\u000a +p1864 +tp1865 +a(g7 +V(* ---------------------------------------------------------------------------\u000a// function: LIFO.stackSize( stack )\u000a// ---------------------------------------------------------------------------\u000a//\u000a// Returns the current capacity of . The current capacity is the total\u000a// number of allocated entries. Returns zero if NIL is passed in for .\u000a*) +p1866 +tp1867 +a(g189 +V\u000a +tp1868 +a(g127 +VPROCEDURE +p1869 +tp1870 +a(g189 +V +tp1871 +a(g18 +VstackSize +p1872 +tp1873 +a(g202 +V( +tp1874 +a(g189 +V +tp1875 +a(g127 +VVAR +p1876 +tp1877 +a(g189 +V +tp1878 +a(g18 +Vstack +p1879 +tp1880 +a(g189 +V +tp1881 +a(g202 +V: +tp1882 +a(g189 +V +tp1883 +a(g18 +VStack +p1884 +tp1885 +a(g189 +V +tp1886 +a(g202 +V) +tp1887 +a(g189 +V +tp1888 +a(g202 +V: +tp1889 +a(g189 +V +tp1890 +a(g18 +VStackSize +p1891 +tp1892 +a(g202 +V; +tp1893 +a(g189 +V\u000a\u000a +p1894 +tp1895 +a(g127 +VBEGIN +p1896 +tp1897 +a(g189 +V\u000a\u000a +p1898 +tp1899 +a(g189 +V +p1900 +tp1901 +a(g7 +V(* bail out if stack is NIL *) +p1902 +tp1903 +a(g189 +V\u000a +tp1904 +a(g189 +V +p1905 +tp1906 +a(g127 +VIF +p1907 +tp1908 +a(g189 +V +tp1909 +a(g18 +Vstack +p1910 +tp1911 +a(g189 +V +tp1912 +a(g344 +V= +tp1913 +a(g189 +V +tp1914 +a(g114 +VNIL +p1915 +tp1916 +a(g189 +V +tp1917 +a(g127 +VTHEN +p1918 +tp1919 +a(g189 +V\u000a +tp1920 +a(g189 +V +p1921 +tp1922 +a(g127 +VRETURN +p1923 +tp1924 +a(g189 +V +tp1925 +a(g319 +V0 +tp1926 +a(g202 +V; +tp1927 +a(g189 +V\u000a +tp1928 +a(g189 +V +p1929 +tp1930 +a(g127 +VEND +p1931 +tp1932 +a(g202 +V; +tp1933 +a(g189 +V +tp1934 +a(g7 +V(* IF *) +p1935 +tp1936 +a(g189 +V\u000a\u000a +p1937 +tp1938 +a(g189 +V +p1939 +tp1940 +a(g127 +VIF +p1941 +tp1942 +a(g189 +V +tp1943 +a(g18 +Vstack +p1944 +tp1945 +a(g344 +V^ +tp1946 +a(g202 +V. +tp1947 +a(g18 +VentryCount +p1948 +tp1949 +a(g189 +V +tp1950 +a(g344 +V< +tp1951 +a(g189 +V +tp1952 +a(g18 +Vstack +p1953 +tp1954 +a(g344 +V^ +tp1955 +a(g202 +V. +tp1956 +a(g18 +VarraySize +p1957 +tp1958 +a(g189 +V +tp1959 +a(g127 +VTHEN +p1960 +tp1961 +a(g189 +V\u000a +tp1962 +a(g189 +V +p1963 +tp1964 +a(g127 +VRETURN +p1965 +tp1966 +a(g189 +V +tp1967 +a(g18 +Vstack +p1968 +tp1969 +a(g344 +V^ +tp1970 +a(g202 +V. +tp1971 +a(g18 +VarraySize +p1972 +tp1973 +a(g202 +V; +tp1974 +a(g189 +V\u000a +tp1975 +a(g189 +V +p1976 +tp1977 +a(g127 +VELSE +p1978 +tp1979 +a(g189 +V\u000a +tp1980 +a(g189 +V +p1981 +tp1982 +a(g127 +VRETURN +p1983 +tp1984 +a(g189 +V +tp1985 +a(g18 +Vstack +p1986 +tp1987 +a(g344 +V^ +tp1988 +a(g202 +V. +tp1989 +a(g18 +VentryCount +p1990 +tp1991 +a(g202 +V; +tp1992 +a(g189 +V\u000a +tp1993 +a(g189 +V +p1994 +tp1995 +a(g127 +VEND +p1996 +tp1997 +a(g202 +V; +tp1998 +a(g189 +V +tp1999 +a(g7 +V(* IF *) +p2000 +tp2001 +a(g189 +V\u000a +tp2002 +a(g189 +V \u000a +p2003 +tp2004 +a(g127 +VEND +p2005 +tp2006 +a(g189 +V +tp2007 +a(g18 +VstackSize +p2008 +tp2009 +a(g202 +V; +tp2010 +a(g189 +V\u000a\u000a\u000a +p2011 +tp2012 +a(g7 +V(* ---------------------------------------------------------------------------\u000a// function: LIFO.stackEntries( stack )\u000a// ---------------------------------------------------------------------------\u000a//\u000a// Returns the number of entries stored in stack , returns zero if\u000a// NIL is passed in for . *) +p2013 +tp2014 +a(g189 +V\u000a\u000a +p2015 +tp2016 +a(g127 +VPROCEDURE +p2017 +tp2018 +a(g189 +V +tp2019 +a(g18 +VstackEntries +p2020 +tp2021 +a(g202 +V( +tp2022 +a(g189 +V +tp2023 +a(g127 +VVAR +p2024 +tp2025 +a(g189 +V +tp2026 +a(g18 +Vstack +p2027 +tp2028 +a(g189 +V +tp2029 +a(g202 +V: +tp2030 +a(g189 +V +tp2031 +a(g18 +VStack +p2032 +tp2033 +a(g189 +V +tp2034 +a(g202 +V) +tp2035 +a(g189 +V +tp2036 +a(g202 +V: +tp2037 +a(g189 +V +tp2038 +a(g18 +VStackSize +p2039 +tp2040 +a(g202 +V; +tp2041 +a(g189 +V\u000a\u000a +p2042 +tp2043 +a(g127 +VBEGIN +p2044 +tp2045 +a(g189 +V\u000a\u000a +p2046 +tp2047 +a(g189 +V +p2048 +tp2049 +a(g7 +V(* bail out if stack is NIL *) +p2050 +tp2051 +a(g189 +V\u000a +tp2052 +a(g189 +V +p2053 +tp2054 +a(g127 +VIF +p2055 +tp2056 +a(g189 +V +tp2057 +a(g18 +Vstack +p2058 +tp2059 +a(g189 +V +tp2060 +a(g344 +V= +tp2061 +a(g189 +V +tp2062 +a(g114 +VNIL +p2063 +tp2064 +a(g189 +V +tp2065 +a(g127 +VTHEN +p2066 +tp2067 +a(g189 +V\u000a +tp2068 +a(g189 +V +p2069 +tp2070 +a(g127 +VRETURN +p2071 +tp2072 +a(g189 +V +tp2073 +a(g319 +V0 +tp2074 +a(g202 +V; +tp2075 +a(g189 +V\u000a +tp2076 +a(g189 +V +p2077 +tp2078 +a(g127 +VEND +p2079 +tp2080 +a(g202 +V; +tp2081 +a(g189 +V +tp2082 +a(g7 +V(* IF *) +p2083 +tp2084 +a(g189 +V\u000a\u000a +p2085 +tp2086 +a(g189 +V +p2087 +tp2088 +a(g127 +VRETURN +p2089 +tp2090 +a(g189 +V +tp2091 +a(g18 +Vstack +p2092 +tp2093 +a(g344 +V^ +tp2094 +a(g202 +V. +tp2095 +a(g18 +VentryCount +p2096 +tp2097 +a(g189 +V\u000a +tp2098 +a(g189 +V \u000a +p2099 +tp2100 +a(g127 +VEND +p2101 +tp2102 +a(g189 +V +tp2103 +a(g18 +VstackEntries +p2104 +tp2105 +a(g202 +V; +tp2106 +a(g189 +V\u000a\u000a\u000a +p2107 +tp2108 +a(g7 +V(* ---------------------------------------------------------------------------\u000a// function: LIFO.dispose( stack )\u000a// ---------------------------------------------------------------------------\u000a//\u000a// Disposes of LIFO stack object . Returns NIL. *) +p2109 +tp2110 +a(g189 +V\u000a\u000a +p2111 +tp2112 +a(g127 +VPROCEDURE +p2113 +tp2114 +a(g189 +V +tp2115 +a(g18 +Vdispose +p2116 +tp2117 +a(g189 +V +tp2118 +a(g202 +V( +tp2119 +a(g189 +V +tp2120 +a(g127 +VVAR +p2121 +tp2122 +a(g189 +V +tp2123 +a(g18 +Vstack +p2124 +tp2125 +a(g189 +V +tp2126 +a(g202 +V: +tp2127 +a(g189 +V +tp2128 +a(g18 +VStack +p2129 +tp2130 +a(g189 +V +tp2131 +a(g202 +V) +tp2132 +a(g189 +V +tp2133 +a(g202 +V: +tp2134 +a(g189 +V +tp2135 +a(g18 +VStack +p2136 +tp2137 +a(g202 +V; +tp2138 +a(g189 +V\u000a\u000a +p2139 +tp2140 +a(g127 +VVAR +p2141 +tp2142 +a(g189 +V\u000a +tp2143 +a(g189 +V +p2144 +tp2145 +a(g18 +VthisEntry +p2146 +tp2147 +a(g189 +V +tp2148 +a(g202 +V: +tp2149 +a(g189 +V +tp2150 +a(g18 +VListPtr +p2151 +tp2152 +a(g202 +V; +tp2153 +a(g189 +V\u000a\u000a +p2154 +tp2155 +a(g127 +VBEGIN +p2156 +tp2157 +a(g189 +V\u000a\u000a +p2158 +tp2159 +a(g189 +V +p2160 +tp2161 +a(g7 +V(* bail out if stack is NIL *) +p2162 +tp2163 +a(g189 +V\u000a +tp2164 +a(g189 +V +p2165 +tp2166 +a(g127 +VIF +p2167 +tp2168 +a(g189 +V +tp2169 +a(g18 +Vstack +p2170 +tp2171 +a(g189 +V +tp2172 +a(g344 +V= +tp2173 +a(g189 +V +tp2174 +a(g114 +VNIL +p2175 +tp2176 +a(g189 +V +tp2177 +a(g127 +VTHEN +p2178 +tp2179 +a(g189 +V\u000a +tp2180 +a(g189 +V +p2181 +tp2182 +a(g127 +VRETURN +p2183 +tp2184 +a(g189 +V +tp2185 +a(g114 +VNIL +p2186 +tp2187 +a(g202 +V; +tp2188 +a(g189 +V\u000a +tp2189 +a(g189 +V +p2190 +tp2191 +a(g127 +VEND +p2192 +tp2193 +a(g202 +V; +tp2194 +a(g189 +V +tp2195 +a(g7 +V(* IF *) +p2196 +tp2197 +a(g189 +V\u000a +tp2198 +a(g189 +V \u000a +p2199 +tp2200 +a(g7 +V(* deallocate any entries in stack's overflow list *) +p2201 +tp2202 +a(g189 +V\u000a +tp2203 +a(g189 +V +p2204 +tp2205 +a(g127 +VWHILE +p2206 +tp2207 +a(g189 +V +tp2208 +a(g18 +Vstack +p2209 +tp2210 +a(g344 +V^ +tp2211 +a(g202 +V. +tp2212 +a(g18 +Voverflow +p2213 +tp2214 +a(g189 +V +tp2215 +a(g344 +V# +tp2216 +a(g189 +V +tp2217 +a(g114 +VNIL +p2218 +tp2219 +a(g189 +V +tp2220 +a(g127 +VDO +p2221 +tp2222 +a(g189 +V\u000a +tp2223 +a(g189 +V \u000a +p2224 +tp2225 +a(g7 +V(* isolate first entry in overflow list *) +p2226 +tp2227 +a(g189 +V\u000a +tp2228 +a(g189 +V +p2229 +tp2230 +a(g18 +VthisEntry +p2231 +tp2232 +a(g189 +V +tp2233 +a(g344 +V:= +p2234 +tp2235 +a(g189 +V +tp2236 +a(g18 +Vstack +p2237 +tp2238 +a(g344 +V^ +tp2239 +a(g202 +V. +tp2240 +a(g18 +Voverflow +p2241 +tp2242 +a(g202 +V; +tp2243 +a(g189 +V\u000a +tp2244 +a(g189 +V +p2245 +tp2246 +a(g18 +Vstack +p2247 +tp2248 +a(g344 +V^ +tp2249 +a(g202 +V. +tp2250 +a(g18 +Voverflow +p2251 +tp2252 +a(g189 +V +tp2253 +a(g344 +V:= +p2254 +tp2255 +a(g189 +V +tp2256 +a(g18 +Vstack +p2257 +tp2258 +a(g344 +V^ +tp2259 +a(g202 +V. +tp2260 +a(g18 +Voverflow +p2261 +tp2262 +a(g344 +V^ +tp2263 +a(g202 +V. +tp2264 +a(g18 +Vnext +p2265 +tp2266 +a(g202 +V; +tp2267 +a(g189 +V\u000a +tp2268 +a(g189 +V \u000a +p2269 +tp2270 +a(g7 +V(* deallocate the entry *) +p2271 +tp2272 +a(g189 +V\u000a +tp2273 +a(g189 +V +p2274 +tp2275 +a(g114 +VDISPOSE +p2276 +tp2277 +a(g202 +V( +tp2278 +a(g18 +VthisEntry +p2279 +tp2280 +a(g202 +V) +tp2281 +a(g202 +V; +tp2282 +a(g189 +V\u000a +tp2283 +a(g189 +V \u000a +p2284 +tp2285 +a(g127 +VEND +p2286 +tp2287 +a(g202 +V; +tp2288 +a(g189 +V +tp2289 +a(g7 +V(* WHILE *) +p2290 +tp2291 +a(g189 +V\u000a +tp2292 +a(g189 +V \u000a +p2293 +tp2294 +a(g7 +V(* deallocate stack object and pass NIL to caller *) +p2295 +tp2296 +a(g189 +V\u000a +tp2297 +a(g189 +V +p2298 +tp2299 +a(g18 +VDEALLOCATE +p2300 +tp2301 +a(g202 +V( +tp2302 +a(g18 +Vstack +p2303 +tp2304 +a(g202 +V, +tp2305 +a(g189 +V +tp2306 +a(g18 +VTSIZE +p2307 +tp2308 +a(g202 +V( +tp2309 +a(g18 +VStack +p2310 +tp2311 +a(g202 +V) +tp2312 +a(g189 +V +tp2313 +a(g344 +V+ +tp2314 +a(g189 +V +tp2315 +a(g18 +VTSIZE +p2316 +tp2317 +a(g202 +V( +tp2318 +a(g18 +VDataPtr +p2319 +tp2320 +a(g202 +V) +tp2321 +a(g189 +V +tp2322 +a(g344 +V* +tp2323 +a(g189 +V +tp2324 +a(g202 +V( +tp2325 +a(g18 +Vstack +p2326 +tp2327 +a(g344 +V^ +tp2328 +a(g202 +V. +tp2329 +a(g18 +VarraySize +p2330 +tp2331 +a(g189 +V +tp2332 +a(g344 +V- +tp2333 +a(g189 +V +tp2334 +a(g319 +V1 +tp2335 +a(g202 +V) +tp2336 +a(g202 +V) +tp2337 +a(g202 +V; +tp2338 +a(g189 +V\u000a +tp2339 +a(g189 +V +p2340 +tp2341 +a(g127 +VRETURN +p2342 +tp2343 +a(g189 +V +tp2344 +a(g114 +VNIL +p2345 +tp2346 +a(g189 +V\u000a\u000a +p2347 +tp2348 +a(g127 +VEND +p2349 +tp2350 +a(g189 +V +tp2351 +a(g18 +Vdispose +p2352 +tp2353 +a(g202 +V; +tp2354 +a(g189 +V\u000a\u000a\u000a +p2355 +tp2356 +a(g127 +VEND +p2357 +tp2358 +a(g189 +V +tp2359 +a(g18 +VLIFO +p2360 +tp2361 +a(g202 +V. +tp2362 +a(g189 +V\u000a +tp2363 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.moo b/tests/examplefiles/output/test.moo new file mode 100644 index 0000000..8c58a83 --- /dev/null +++ b/tests/examplefiles/output/test.moo @@ -0,0 +1,3472 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbVyou_lose_msg +p367 +tp368 +a(g6 +V +tp369 +a(g357 +V= +tp370 +a(g6 +V +tp371 +a(g240 +V"Either that person does not exist, or has a different password." +p372 +tp373 +a(g357 +V; +tp374 +a(g6 +V\u000a +tp375 +a(g138 +Vif +p376 +tp377 +a(g6 +V +tp378 +a(g357 +V(!( +p379 +tp380 +a(g107 +Vcaller +p381 +tp382 +a(g6 +V +tp383 +a(g138 +Vin +p384 +tp385 +a(g6 +V +tp386 +a(g357 +V{ +tp387 +a(g80 +V#0 +p388 +tp389 +a(g357 +V, +tp390 +a(g6 +V +tp391 +a(g107 +Vthis +p392 +tp393 +a(g357 +V})) +p394 +tp395 +a(g6 +V\u000a +p396 +tp397 +a(g138 +Vreturn +p398 +tp399 +a(g6 +V +tp400 +a(g52 +VE_PERM +p401 +tp402 +a(g357 +V; +tp403 +a(g6 +V\u000a +p404 +tp405 +a(g240 +V"...caller isn't :do_login_command..." +p406 +tp407 +a(g357 +V; +tp408 +a(g6 +V\u000a +tp409 +a(g138 +Velseif +p410 +tp411 +a(g6 +V +tp412 +a(g357 +V( +tp413 +a(g107 +Vargs +p414 +tp415 +a(g6 +V +tp416 +a(g357 +V&& +p417 +tp418 +a(g6 +V +tp419 +a(g357 +V( +tp420 +a(g107 +Vargs +p421 +tp422 +a(g357 +V[ +tp423 +a(g332 +V1 +tp424 +a(g357 +V] +tp425 +a(g6 +V +tp426 +a(g357 +V== +p427 +tp428 +a(g6 +V +tp429 +a(g240 +V"test" +p430 +tp431 +a(g357 +V)) +p432 +tp433 +a(g6 +V\u000a +p434 +tp435 +a(g138 +Vreturn +p436 +tp437 +a(g6 +V +tp438 +a(g107 +Vthis +p439 +tp440 +a(g357 +V: +tp441 +a(g48 +Vtest +p442 +tp443 +a(g357 +V( +tp444 +a(g357 +V@ +tp445 +a(g48 +Vlistdelete +p446 +tp447 +a(g357 +V( +tp448 +a(g107 +Vargs +p449 +tp450 +a(g357 +V, +tp451 +a(g6 +V +tp452 +a(g332 +V1 +tp453 +a(g357 +V)); +p454 +tp455 +a(g6 +V\u000a +tp456 +a(g138 +Velseif +p457 +tp458 +a(g6 +V +tp459 +a(g357 +V(!( +p460 +tp461 +a(g84 +Vlength +p462 +tp463 +a(g357 +V( +tp464 +a(g107 +Vargs +p465 +tp466 +a(g357 +V) +tp467 +a(g6 +V +tp468 +a(g138 +Vin +p469 +tp470 +a(g6 +V +tp471 +a(g357 +V{ +tp472 +a(g332 +V1 +tp473 +a(g357 +V, +tp474 +a(g6 +V +tp475 +a(g332 +V2 +tp476 +a(g357 +V})) +p477 +tp478 +a(g6 +V\u000a +p479 +tp480 +a(g48 +Vnotify +p481 +tp482 +a(g357 +V( +tp483 +a(g107 +Vplayer +p484 +tp485 +a(g357 +V, +tp486 +a(g6 +V +tp487 +a(g48 +Vtostr +p488 +tp489 +a(g357 +V( +tp490 +a(g240 +V"Usage: " +p491 +tp492 +a(g357 +V, +tp493 +a(g6 +V +tp494 +a(g6 +Vverb +p495 +tp496 +a(g357 +V, +tp497 +a(g6 +V +tp498 +a(g240 +V" " +p499 +tp500 +a(g357 +V)); +p501 +tp502 +a(g6 +V\u000a +tp503 +a(g138 +Velseif +p504 +tp505 +a(g6 +V +tp506 +a(g357 +V(! +p507 +tp508 +a(g48 +Vvalid +p509 +tp510 +a(g357 +V( +tp511 +a(g6 +Vcandidate +p512 +tp513 +a(g6 +V +tp514 +a(g357 +V= +tp515 +a(g6 +V +tp516 +a(g107 +Vthis +p517 +tp518 +a(g357 +V: +tp519 +a(g48 +V_match_player +p520 +tp521 +a(g357 +V( +tp522 +a(g6 +Vname +p523 +tp524 +a(g6 +V +tp525 +a(g357 +V= +tp526 +a(g6 +V +tp527 +a(g48 +Vstrsub +p528 +tp529 +a(g357 +V( +tp530 +a(g107 +Vargs +p531 +tp532 +a(g357 +V[ +tp533 +a(g332 +V1 +tp534 +a(g357 +V], +p535 +tp536 +a(g6 +V +tp537 +a(g240 +V" " +p538 +tp539 +a(g357 +V, +tp540 +a(g6 +V +tp541 +a(g240 +V"_" +p542 +tp543 +a(g357 +V)))) +p544 +tp545 +a(g6 +V\u000a +p546 +tp547 +a(g138 +Vif +p548 +tp549 +a(g6 +V +tp550 +a(g357 +V( +tp551 +a(g6 +Vname +p552 +tp553 +a(g6 +V +tp554 +a(g357 +V== +p555 +tp556 +a(g6 +V +tp557 +a(g240 +V"guest" +p558 +tp559 +a(g357 +V) +tp560 +a(g6 +V\u000a +p561 +tp562 +a(g240 +V"must be no guests" +p563 +tp564 +a(g357 +V; +tp565 +a(g6 +V\u000a +p566 +tp567 +a(g107 +Vthis +p568 +tp569 +a(g357 +V: +tp570 +a(g48 +Vnotify_lines +p571 +tp572 +a(g357 +V( +tp573 +a(g107 +Vthis +p574 +tp575 +a(g357 +V: +tp576 +a(g48 +Vregistration_text +p577 +tp578 +a(g357 +V( +tp579 +a(g240 +V"guest" +p580 +tp581 +a(g357 +V)); +p582 +tp583 +a(g6 +V\u000a +p584 +tp585 +a(g138 +Velse +p586 +tp587 +a(g6 +V\u000a +p588 +tp589 +a(g48 +Vnotify +p590 +tp591 +a(g357 +V( +tp592 +a(g107 +Vplayer +p593 +tp594 +a(g357 +V, +tp595 +a(g6 +V +tp596 +a(g6 +Vyou_lose_msg +p597 +tp598 +a(g357 +V); +p599 +tp600 +a(g6 +V\u000a +p601 +tp602 +a(g138 +Vendif +p603 +tp604 +a(g6 +V\u000a +p605 +tp606 +a(g240 +V"...unknown player..." +p607 +tp608 +a(g357 +V; +tp609 +a(g6 +V\u000a +tp610 +a(g138 +Velseif +p611 +tp612 +a(g6 +V +tp613 +a(g357 +V( +tp614 +a(g48 +Vis_clear_property +p615 +tp616 +a(g357 +V( +tp617 +a(g6 +Vcandidate +p618 +tp619 +a(g357 +V, +tp620 +a(g6 +V +tp621 +a(g240 +V"password" +p622 +tp623 +a(g357 +V) +tp624 +a(g6 +V +tp625 +a(g357 +V|| +p626 +tp627 +a(g6 +V +tp628 +a(g357 +V(( +p629 +tp630 +a(g48 +Vtypeof +p631 +tp632 +a(g357 +V( +tp633 +a(g6 +Vcandidate +p634 +tp635 +a(g357 +V. +tp636 +a(g6 +Vpassword +p637 +tp638 +a(g357 +V) +tp639 +a(g6 +V +tp640 +a(g357 +V== +p641 +tp642 +a(g6 +V +tp643 +a(g6 +VSTR +p644 +tp645 +a(g357 +V) +tp646 +a(g6 +V +tp647 +a(g357 +V&& +p648 +tp649 +a(g6 +V +tp650 +a(g357 +V(( +p651 +tp652 +a(g84 +Vlength +p653 +tp654 +a(g357 +V( +tp655 +a(g6 +Vcandidate +p656 +tp657 +a(g357 +V. +tp658 +a(g6 +Vpassword +p659 +tp660 +a(g357 +V) +tp661 +a(g6 +V +tp662 +a(g357 +V< +tp663 +a(g6 +V +tp664 +a(g332 +V2 +tp665 +a(g357 +V) +tp666 +a(g6 +V +tp667 +a(g357 +V|| +p668 +tp669 +a(g6 +V +tp670 +a(g357 +V( +tp671 +a(g48 +Vcrypt +p672 +tp673 +a(g357 +V( +tp674 +a(g357 +V{@ +p675 +tp676 +a(g107 +Vargs +p677 +tp678 +a(g357 +V, +tp679 +a(g6 +V +tp680 +a(g240 +V"" +p681 +tp682 +a(g357 +V}[ +p683 +tp684 +a(g332 +V2 +tp685 +a(g357 +V], +p686 +tp687 +a(g6 +V +tp688 +a(g6 +Vcandidate +p689 +tp690 +a(g357 +V. +tp691 +a(g6 +Vpassword +p692 +tp693 +a(g357 +V) +tp694 +a(g6 +V +tp695 +a(g357 +V!= +p696 +tp697 +a(g6 +V +tp698 +a(g6 +Vcandidate +p699 +tp700 +a(g357 +V. +tp701 +a(g6 +Vpassword +p702 +tp703 +a(g357 +V)))) +p704 +tp705 +a(g6 +V\u000a +p706 +tp707 +a(g48 +Vnotify +p708 +tp709 +a(g357 +V( +tp710 +a(g107 +Vplayer +p711 +tp712 +a(g357 +V, +tp713 +a(g6 +V +tp714 +a(g6 +Vyou_lose_msg +p715 +tp716 +a(g357 +V); +p717 +tp718 +a(g6 +V\u000a +p719 +tp720 +a(g240 +V"...bad password..." +p721 +tp722 +a(g357 +V; +tp723 +a(g6 +V\u000a +p724 +tp725 +a(g48 +Vserver_log +p726 +tp727 +a(g357 +V( +tp728 +a(g48 +Vtostr +p729 +tp730 +a(g357 +V( +tp731 +a(g240 +V"FAILED CONNECT: " +p732 +tp733 +a(g357 +V, +tp734 +a(g6 +V +tp735 +a(g107 +Vargs +p736 +tp737 +a(g357 +V[ +tp738 +a(g332 +V1 +tp739 +a(g357 +V], +p740 +tp741 +a(g6 +V +tp742 +a(g240 +V" (" +p743 +tp744 +a(g357 +V, +tp745 +a(g6 +V +tp746 +a(g6 +Vcandidate +p747 +tp748 +a(g357 +V, +tp749 +a(g6 +V +tp750 +a(g240 +V") on " +p751 +tp752 +a(g357 +V, +tp753 +a(g6 +V +tp754 +a(g48 +Vconnection_name +p755 +tp756 +a(g357 +V( +tp757 +a(g107 +Vplayer +p758 +tp759 +a(g357 +V), +p760 +tp761 +a(g6 +V +tp762 +a(g357 +V( +tp763 +a(g80 +V$string_utils +p764 +tp765 +a(g357 +V: +tp766 +a(g48 +Vconnection_hostname +p767 +tp768 +a(g357 +V( +tp769 +a(g48 +Vconnection_name +p770 +tp771 +a(g357 +V( +tp772 +a(g107 +Vplayer +p773 +tp774 +a(g357 +V)) +p775 +tp776 +a(g6 +V +tp777 +a(g138 +Vin +p778 +tp779 +a(g6 +V +tp780 +a(g6 +Vcandidate +p781 +tp782 +a(g357 +V. +tp783 +a(g6 +Vall_connect_places +p784 +tp785 +a(g357 +V) +tp786 +a(g6 +V +tp787 +a(g357 +V? +tp788 +a(g6 +V +tp789 +a(g240 +V"" +p790 +tp791 +a(g6 +V +tp792 +a(g357 +V| +tp793 +a(g6 +V +tp794 +a(g240 +V"******" +p795 +tp796 +a(g357 +V)); +p797 +tp798 +a(g6 +V\u000a +tp799 +a(g138 +Velseif +p800 +tp801 +a(g6 +V +tp802 +a(g357 +V((( +p803 +tp804 +a(g6 +Vcandidate +p805 +tp806 +a(g357 +V. +tp807 +a(g6 +Vname +p808 +tp809 +a(g6 +V +tp810 +a(g357 +V== +p811 +tp812 +a(g6 +V +tp813 +a(g240 +V"guest" +p814 +tp815 +a(g357 +V) +tp816 +a(g6 +V +tp817 +a(g357 +V&& +p818 +tp819 +a(g6 +V +tp820 +a(g107 +Vthis +p821 +tp822 +a(g357 +V. +tp823 +a(g6 +Vsitematch_guests +p824 +tp825 +a(g357 +V) +tp826 +a(g6 +V +tp827 +a(g357 +V&& +p828 +tp829 +a(g6 +V +tp830 +a(g48 +Vvalid +p831 +tp832 +a(g357 +V( +tp833 +a(g6 +Vforeigner +p834 +tp835 +a(g6 +V +tp836 +a(g357 +V= +tp837 +a(g6 +V +tp838 +a(g80 +V$country_db +p839 +tp840 +a(g357 +V: +tp841 +a(g48 +Vget_guest +p842 +tp843 +a(g357 +V( +tp844 +a(g357 +V))) +p845 +tp846 +a(g6 +V\u000a +p847 +tp848 +a(g48 +Vnotify +p849 +tp850 +a(g357 +V( +tp851 +a(g107 +Vplayer +p852 +tp853 +a(g357 +V, +tp854 +a(g6 +V +tp855 +a(g48 +Vtostr +p856 +tp857 +a(g357 +V( +tp858 +a(g240 +V"Okay,... Logging you in as `" +p859 +tp860 +a(g357 +V, +tp861 +a(g6 +V +tp862 +a(g6 +Vforeigner +p863 +tp864 +a(g357 +V: +tp865 +a(g48 +Vname +p866 +tp867 +a(g357 +V( +tp868 +a(g357 +V), +p869 +tp870 +a(g6 +V +tp871 +a(g240 +V"'" +p872 +tp873 +a(g357 +V)); +p874 +tp875 +a(g6 +V\u000a +p876 +tp877 +a(g107 +Vthis +p878 +tp879 +a(g357 +V: +tp880 +a(g48 +Vrecord_connection +p881 +tp882 +a(g357 +V( +tp883 +a(g6 +Vforeigner +p884 +tp885 +a(g357 +V); +p886 +tp887 +a(g6 +V\u000a +p888 +tp889 +a(g138 +Vreturn +p890 +tp891 +a(g6 +V +tp892 +a(g6 +Vforeigner +p893 +tp894 +a(g357 +V; +tp895 +a(g6 +V\u000a +tp896 +a(g138 +Velseif +p897 +tp898 +a(g6 +V +tp899 +a(g357 +V(( +p900 +tp901 +a(g48 +Vparent +p902 +tp903 +a(g357 +V( +tp904 +a(g6 +Vcandidate +p905 +tp906 +a(g357 +V) +tp907 +a(g6 +V +tp908 +a(g357 +V== +p909 +tp910 +a(g6 +V +tp911 +a(g80 +V$guest +p912 +tp913 +a(g357 +V) +tp914 +a(g6 +V +tp915 +a(g357 +V&& +p916 +tp917 +a(g6 +V +tp918 +a(g357 +V(! +p919 +tp920 +a(g48 +Vvalid +p921 +tp922 +a(g357 +V( +tp923 +a(g6 +Vcandidate +p924 +tp925 +a(g6 +V +tp926 +a(g357 +V= +tp927 +a(g6 +V +tp928 +a(g6 +Vcandidate +p929 +tp930 +a(g357 +V: +tp931 +a(g48 +Vdefer +p932 +tp933 +a(g357 +V( +tp934 +a(g357 +V)))) +p935 +tp936 +a(g6 +V\u000a +p937 +tp938 +a(g138 +Vif +p939 +tp940 +a(g6 +V +tp941 +a(g357 +V( +tp942 +a(g6 +Vcandidate +p943 +tp944 +a(g6 +V +tp945 +a(g357 +V== +p946 +tp947 +a(g6 +V +tp948 +a(g80 +V#-3 +p949 +tp950 +a(g357 +V) +tp951 +a(g6 +V\u000a +p952 +tp953 +a(g48 +Vnotify +p954 +tp955 +a(g357 +V( +tp956 +a(g107 +Vplayer +p957 +tp958 +a(g357 +V, +tp959 +a(g6 +V +tp960 +a(g240 +V"Sorry, guest characters are not allowed from your site right now." +p961 +tp962 +a(g357 +V); +p963 +tp964 +a(g6 +V\u000a +p965 +tp966 +a(g138 +Velseif +p967 +tp968 +a(g6 +V +tp969 +a(g357 +V( +tp970 +a(g6 +Vcandidate +p971 +tp972 +a(g6 +V +tp973 +a(g357 +V== +p974 +tp975 +a(g6 +V +tp976 +a(g80 +V#-2 +p977 +tp978 +a(g357 +V) +tp979 +a(g6 +V\u000a +p980 +tp981 +a(g107 +Vthis +p982 +tp983 +a(g357 +V: +tp984 +a(g48 +Vnotify_lines +p985 +tp986 +a(g357 +V( +tp987 +a(g107 +Vthis +p988 +tp989 +a(g357 +V: +tp990 +a(g48 +Vregistration_text +p991 +tp992 +a(g357 +V( +tp993 +a(g240 +V"blacklisted" +p994 +tp995 +a(g357 +V, +tp996 +a(g6 +V +tp997 +a(g240 +V"Sorry, guest characters are not allowed from your site." +p998 +tp999 +a(g357 +V)); +p1000 +tp1001 +a(g6 +V\u000a +p1002 +tp1003 +a(g138 +Velseif +p1004 +tp1005 +a(g6 +V +tp1006 +a(g357 +V( +tp1007 +a(g6 +Vcandidate +p1008 +tp1009 +a(g6 +V +tp1010 +a(g357 +V== +p1011 +tp1012 +a(g6 +V +tp1013 +a(g80 +V#-4 +p1014 +tp1015 +a(g357 +V) +tp1016 +a(g6 +V\u000a +p1017 +tp1018 +a(g107 +Vthis +p1019 +tp1020 +a(g357 +V: +tp1021 +a(g48 +Vnotify_lines +p1022 +tp1023 +a(g357 +V( +tp1024 +a(g107 +Vthis +p1025 +tp1026 +a(g357 +V: +tp1027 +a(g48 +Vregistration_text +p1028 +tp1029 +a(g357 +V( +tp1030 +a(g240 +V"guest" +p1031 +tp1032 +a(g357 +V)); +p1033 +tp1034 +a(g6 +V\u000a +p1035 +tp1036 +a(g138 +Velse +p1037 +tp1038 +a(g6 +V\u000a +p1039 +tp1040 +a(g48 +Vnotify +p1041 +tp1042 +a(g357 +V( +tp1043 +a(g107 +Vplayer +p1044 +tp1045 +a(g357 +V, +tp1046 +a(g6 +V +tp1047 +a(g240 +V"Sorry, all of our guest characters are in use right now." +p1048 +tp1049 +a(g357 +V); +p1050 +tp1051 +a(g6 +V\u000a +p1052 +tp1053 +a(g138 +Vendif +p1054 +tp1055 +a(g6 +V\u000a +tp1056 +a(g138 +Velse +p1057 +tp1058 +a(g6 +V\u000a +p1059 +tp1060 +a(g138 +Vif +p1061 +tp1062 +a(g6 +V +tp1063 +a(g357 +V((!( +p1064 +tp1065 +a(g6 +Vname +p1066 +tp1067 +a(g6 +V +tp1068 +a(g138 +Vin +p1069 +tp1070 +a(g6 +V +tp1071 +a(g6 +Vcandidate +p1072 +tp1073 +a(g357 +V. +tp1074 +a(g6 +Valiases +p1075 +tp1076 +a(g357 +V)) +p1077 +tp1078 +a(g6 +V +tp1079 +a(g357 +V&& +p1080 +tp1081 +a(g6 +V +tp1082 +a(g357 +V( +tp1083 +a(g6 +Vname +p1084 +tp1085 +a(g6 +V +tp1086 +a(g357 +V!= +p1087 +tp1088 +a(g6 +V +tp1089 +a(g48 +Vtostr +p1090 +tp1091 +a(g357 +V( +tp1092 +a(g6 +Vcandidate +p1093 +tp1094 +a(g357 +V))) +p1095 +tp1096 +a(g6 +V\u000a +p1097 +tp1098 +a(g48 +Vnotify +p1099 +tp1100 +a(g357 +V( +tp1101 +a(g107 +Vplayer +p1102 +tp1103 +a(g357 +V, +tp1104 +a(g6 +V +tp1105 +a(g48 +Vtostr +p1106 +tp1107 +a(g357 +V( +tp1108 +a(g240 +V"Okay,... " +p1109 +tp1110 +a(g357 +V, +tp1111 +a(g6 +V +tp1112 +a(g6 +Vname +p1113 +tp1114 +a(g357 +V, +tp1115 +a(g6 +V +tp1116 +a(g240 +V" is in use. Logging you in as `" +p1117 +tp1118 +a(g357 +V, +tp1119 +a(g6 +V +tp1120 +a(g6 +Vcandidate +p1121 +tp1122 +a(g357 +V: +tp1123 +a(g48 +Vname +p1124 +tp1125 +a(g357 +V( +tp1126 +a(g357 +V), +p1127 +tp1128 +a(g6 +V +tp1129 +a(g240 +V"'" +p1130 +tp1131 +a(g357 +V)); +p1132 +tp1133 +a(g6 +V\u000a +p1134 +tp1135 +a(g138 +Vendif +p1136 +tp1137 +a(g6 +V\u000a +p1138 +tp1139 +a(g138 +Vif +p1140 +tp1141 +a(g6 +V +tp1142 +a(g357 +V( +tp1143 +a(g107 +Vthis +p1144 +tp1145 +a(g357 +V: +tp1146 +a(g48 +Vis_newted +p1147 +tp1148 +a(g357 +V( +tp1149 +a(g6 +Vcandidate +p1150 +tp1151 +a(g357 +V)) +p1152 +tp1153 +a(g6 +V\u000a +p1154 +tp1155 +a(g48 +Vnotify +p1156 +tp1157 +a(g357 +V( +tp1158 +a(g107 +Vplayer +p1159 +tp1160 +a(g357 +V, +tp1161 +a(g6 +V +tp1162 +a(g240 +V"" +p1163 +tp1164 +a(g357 +V); +p1165 +tp1166 +a(g6 +V\u000a +p1167 +tp1168 +a(g48 +Vnotify +p1169 +tp1170 +a(g357 +V( +tp1171 +a(g107 +Vplayer +p1172 +tp1173 +a(g357 +V, +tp1174 +a(g6 +V +tp1175 +a(g107 +Vthis +p1176 +tp1177 +a(g357 +V: +tp1178 +a(g48 +Vnewt_message_for +p1179 +tp1180 +a(g357 +V( +tp1181 +a(g6 +Vcandidate +p1182 +tp1183 +a(g357 +V)); +p1184 +tp1185 +a(g6 +V\u000a +p1186 +tp1187 +a(g48 +Vnotify +p1188 +tp1189 +a(g357 +V( +tp1190 +a(g107 +Vplayer +p1191 +tp1192 +a(g357 +V, +tp1193 +a(g6 +V +tp1194 +a(g240 +V"" +p1195 +tp1196 +a(g357 +V); +p1197 +tp1198 +a(g6 +V\u000a +p1199 +tp1200 +a(g138 +Velse +p1201 +tp1202 +a(g6 +V\u000a +p1203 +tp1204 +a(g107 +Vthis +p1205 +tp1206 +a(g357 +V: +tp1207 +a(g48 +Vrecord_connection +p1208 +tp1209 +a(g357 +V( +tp1210 +a(g6 +Vcandidate +p1211 +tp1212 +a(g357 +V); +p1213 +tp1214 +a(g6 +V\u000a +p1215 +tp1216 +a(g138 +Vif +p1217 +tp1218 +a(g6 +V +tp1219 +a(g357 +V( +tp1220 +a(g6 +Vverb +p1221 +tp1222 +a(g357 +V[ +tp1223 +a(g332 +V1 +tp1224 +a(g357 +V] +tp1225 +a(g6 +V +tp1226 +a(g357 +V== +p1227 +tp1228 +a(g6 +V +tp1229 +a(g240 +V"s" +p1230 +tp1231 +a(g357 +V) +tp1232 +a(g6 +V\u000a +p1233 +tp1234 +a(g6 +Vcandidate +p1235 +tp1236 +a(g357 +V. +tp1237 +a(g6 +Vuse_do_command +p1238 +tp1239 +a(g6 +V +tp1240 +a(g357 +V= +tp1241 +a(g6 +V +tp1242 +a(g332 +V0 +tp1243 +a(g357 +V; +tp1244 +a(g6 +V\u000a +p1245 +tp1246 +a(g138 +Vendif +p1247 +tp1248 +a(g6 +V\u000a +p1249 +tp1250 +a(g138 +Vreturn +p1251 +tp1252 +a(g6 +V +tp1253 +a(g6 +Vcandidate +p1254 +tp1255 +a(g357 +V; +tp1256 +a(g6 +V\u000a +p1257 +tp1258 +a(g138 +Vendif +p1259 +tp1260 +a(g6 +V\u000a +tp1261 +a(g138 +Vendif +p1262 +tp1263 +a(g6 +V\u000a +tp1264 +a(g138 +Vreturn +p1265 +tp1266 +a(g6 +V +tp1267 +a(g332 +V0 +tp1268 +a(g357 +V; +tp1269 +a(g6 +V\u000a +tp1270 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.myt b/tests/examplefiles/output/test.myt new file mode 100644 index 0000000..e61484e --- /dev/null +++ b/tests/examplefiles/output/test.myt @@ -0,0 +1,5759 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Name' +p5 +S'Tag' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Function' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsS'Exception' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g9 +g10 +((ltRp22 +sg12 +g13 +sbsg6 +g7 +sS'Constant' +p23 +g2 +(g3 +g4 +(g5 +g23 +ttRp24 +(dp25 +g9 +g10 +((ltRp26 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp27 +(dp28 +S'Comment' +p29 +g2 +(g3 +g4 +(g29 +ttRp30 +(dp31 +g12 +g27 +sS'Preproc' +p32 +g2 +(g3 +g4 +(g29 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g30 +sbsS'Single' +p36 +g2 +(g3 +g4 +(g29 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g30 +sbsS'Multiline' +p40 +g2 +(g3 +g4 +(g29 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g30 +sbsg9 +g10 +((lp44 +g2 +(g3 +g4 +(g29 +S'Special' +p45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g30 +sbag33 +ag37 +ag41 +atRp49 +sg45 +g46 +sbsg5 +g13 +sS'Keyword' +p50 +g2 +(g3 +g4 +(g50 +ttRp51 +(dp52 +S'Pervasive' +p53 +g2 +(g3 +g4 +(g50 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g51 +sbsg23 +g2 +(g3 +g4 +(g50 +g23 +ttRp57 +(dp58 +g9 +g10 +((ltRp59 +sg12 +g51 +sbsg12 +g27 +sS'Namespace' +p60 +g2 +(g3 +g4 +(g50 +g60 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g51 +sbsS'Pseudo' +p64 +g2 +(g3 +g4 +(g50 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g51 +sbsS'Reserved' +p68 +g2 +(g3 +g4 +(g50 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g51 +sbsS'Declaration' +p72 +g2 +(g3 +g4 +(g50 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g51 +sbsS'Variable' +p76 +g2 +(g3 +g4 +(g50 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g51 +sbsg9 +g10 +((lp80 +g57 +ag69 +ag2 +(g3 +g4 +(g50 +S'Type' +p81 +ttRp82 +(dp83 +g9 +g10 +((ltRp84 +sg12 +g51 +sbag54 +ag73 +ag77 +ag61 +ag65 +atRp85 +sg81 +g82 +sbsS'Generic' +p86 +g2 +(g3 +g4 +(g86 +ttRp87 +(dp88 +S'Prompt' +p89 +g2 +(g3 +g4 +(g86 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g87 +sbsg12 +g27 +sS'Deleted' +p93 +g2 +(g3 +g4 +(g86 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g87 +sbsS'Traceback' +p97 +g2 +(g3 +g4 +(g86 +g97 +ttRp98 +(dp99 +g9 +g10 +((ltRp100 +sg12 +g87 +sbsS'Emph' +p101 +g2 +(g3 +g4 +(g86 +g101 +ttRp102 +(dp103 +g9 +g10 +((ltRp104 +sg12 +g87 +sbsS'Output' +p105 +g2 +(g3 +g4 +(g86 +g105 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g87 +sbsS'Subheading' +p109 +g2 +(g3 +g4 +(g86 +g109 +ttRp110 +(dp111 +g9 +g10 +((ltRp112 +sg12 +g87 +sbsS'Error' +p113 +g2 +(g3 +g4 +(g86 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g87 +sbsg9 +g10 +((lp117 +g106 +ag102 +ag114 +ag110 +ag98 +ag94 +ag2 +(g3 +g4 +(g86 +S'Heading' +p118 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g87 +sbag2 +(g3 +g4 +(g86 +S'Inserted' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g87 +sbag2 +(g3 +g4 +(g86 +S'Strong' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g87 +sbag90 +atRp130 +sg126 +g127 +sg122 +g123 +sg118 +g119 +sbsS'Text' +p131 +g2 +(g3 +g4 +(g131 +ttRp132 +(dp133 +g9 +g10 +((lp134 +g2 +(g3 +g4 +(g131 +S'Symbol' +p135 +ttRp136 +(dp137 +g9 +g10 +((ltRp138 +sg12 +g132 +sbag2 +(g3 +g4 +(g131 +S'Whitespace' +p139 +ttRp140 +(dp141 +g9 +g10 +((ltRp142 +sg12 +g132 +sbatRp143 +sg135 +g136 +sg139 +g140 +sg12 +g27 +sbsS'Punctuation' +p144 +g2 +(g3 +g4 +(g144 +ttRp145 +(dp146 +g9 +g10 +((lp147 +g2 +(g3 +g4 +(g144 +S'Indicator' +p148 +ttRp149 +(dp150 +g9 +g10 +((ltRp151 +sg12 +g145 +sbatRp152 +sg148 +g149 +sg12 +g27 +sbsS'Token' +p153 +g27 +sS'Number' +p154 +g2 +(g3 +g4 +(S'Literal' +p155 +g154 +ttRp156 +(dp157 +S'Bin' +p158 +g2 +(g3 +g4 +(g155 +g154 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g156 +sbsS'Binary' +p162 +g2 +(g3 +g4 +(g155 +g154 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g156 +sbsg12 +g2 +(g3 +g4 +(g155 +ttRp166 +(dp167 +S'String' +p168 +g2 +(g3 +g4 +(g155 +g168 +ttRp169 +(dp170 +S'Regex' +p171 +g2 +(g3 +g4 +(g155 +g168 +g171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g169 +sbsS'Interpol' +p175 +g2 +(g3 +g4 +(g155 +g168 +g175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g169 +sbsS'Regexp' +p179 +g2 +(g3 +g4 +(g155 +g168 +g179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g169 +sbsg12 +g166 +sS'Heredoc' +p183 +g2 +(g3 +g4 +(g155 +g168 +g183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g169 +sbsS'Double' +p187 +g2 +(g3 +g4 +(g155 +g168 +g187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg12 +g169 +sbsg135 +g2 +(g3 +g4 +(g155 +g168 +g135 +ttRp191 +(dp192 +g9 +g10 +((ltRp193 +sg12 +g169 +sbsS'Escape' +p194 +g2 +(g3 +g4 +(g155 +g168 +g194 +ttRp195 +(dp196 +g9 +g10 +((ltRp197 +sg12 +g169 +sbsS'Character' +p198 +g2 +(g3 +g4 +(g155 +g168 +g198 +ttRp199 +(dp200 +g9 +g10 +((ltRp201 +sg12 +g169 +sbsS'Interp' +p202 +g2 +(g3 +g4 +(g155 +g168 +g202 +ttRp203 +(dp204 +g9 +g10 +((ltRp205 +sg12 +g169 +sbsS'Backtick' +p206 +g2 +(g3 +g4 +(g155 +g168 +g206 +ttRp207 +(dp208 +g9 +g10 +((ltRp209 +sg12 +g169 +sbsS'Char' +p210 +g2 +(g3 +g4 +(g155 +g168 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg12 +g169 +sbsg36 +g2 +(g3 +g4 +(g155 +g168 +g36 +ttRp214 +(dp215 +g9 +g10 +((ltRp216 +sg12 +g169 +sbsS'Other' +p217 +g2 +(g3 +g4 +(g155 +g168 +g217 +ttRp218 +(dp219 +g9 +g10 +((ltRp220 +sg12 +g169 +sbsS'Doc' +p221 +g2 +(g3 +g4 +(g155 +g168 +g221 +ttRp222 +(dp223 +g9 +g10 +((ltRp224 +sg12 +g169 +sbsg9 +g10 +((lp225 +g218 +ag2 +(g3 +g4 +(g155 +g168 +S'Atom' +p226 +ttRp227 +(dp228 +g9 +g10 +((ltRp229 +sg12 +g169 +sbag188 +ag211 +ag203 +ag222 +ag184 +ag207 +ag176 +ag191 +ag180 +ag172 +ag214 +ag199 +ag195 +atRp230 +sg226 +g227 +sbsg12 +g27 +sg154 +g156 +sS'Scalar' +p231 +g2 +(g3 +g4 +(g155 +g231 +ttRp232 +(dp233 +g9 +g10 +((lp234 +g2 +(g3 +g4 +(g155 +g231 +S'Plain' +p235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g232 +sbatRp239 +sg12 +g166 +sg235 +g236 +sbsg217 +g2 +(g3 +g4 +(g155 +g217 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g166 +sbsS'Date' +p243 +g2 +(g3 +g4 +(g155 +g243 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g166 +sbsg9 +g10 +((lp247 +g244 +ag169 +ag240 +ag156 +ag232 +atRp248 +sbsS'Decimal' +p249 +g2 +(g3 +g4 +(g155 +g154 +g249 +ttRp250 +(dp251 +g9 +g10 +((ltRp252 +sg12 +g156 +sbsS'Float' +p253 +g2 +(g3 +g4 +(g155 +g154 +g253 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g156 +sbsS'Hex' +p257 +g2 +(g3 +g4 +(g155 +g154 +g257 +ttRp258 +(dp259 +g9 +g10 +((ltRp260 +sg12 +g156 +sbsS'Integer' +p261 +g2 +(g3 +g4 +(g155 +g154 +g261 +ttRp262 +(dp263 +g9 +g10 +((lp264 +g2 +(g3 +g4 +(g155 +g154 +g261 +S'Long' +p265 +ttRp266 +(dp267 +g9 +g10 +((ltRp268 +sg12 +g262 +sbatRp269 +sg265 +g266 +sg12 +g156 +sbsS'Octal' +p270 +g2 +(g3 +g4 +(g155 +g154 +g270 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g156 +sbsg9 +g10 +((lp274 +g159 +ag163 +ag271 +ag250 +ag2 +(g3 +g4 +(g155 +g154 +S'Oct' +p275 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g156 +sbag262 +ag254 +ag258 +atRp279 +sg275 +g276 +sbsg155 +g166 +sg217 +g2 +(g3 +g4 +(g217 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g27 +sbsg113 +g2 +(g3 +g4 +(g113 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g27 +sbsS'Operator' +p286 +g2 +(g3 +g4 +(g286 +ttRp287 +(dp288 +g9 +g10 +((lp289 +g2 +(g3 +g4 +(g286 +S'Word' +p290 +ttRp291 +(dp292 +g9 +g10 +((ltRp293 +sg12 +g287 +sbatRp294 +sg290 +g291 +sg12 +g27 +sbsg9 +g10 +((lp295 +g30 +ag283 +ag87 +ag132 +ag13 +ag145 +ag51 +ag166 +ag287 +ag280 +atRp296 +sg168 +g169 +sbsg64 +g2 +(g3 +g4 +(g5 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g13 +sbsS'Attribute' +p300 +g2 +(g3 +g4 +(g5 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g13 +sbsS'Label' +p304 +g2 +(g3 +g4 +(g5 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g13 +sbsS'Blubb' +p308 +g2 +(g3 +g4 +(g5 +g308 +ttRp309 +(dp310 +g9 +g10 +((ltRp311 +sg12 +g13 +sbsS'Entity' +p312 +g2 +(g3 +g4 +(g5 +g312 +ttRp313 +(dp314 +g9 +g10 +((ltRp315 +sg12 +g13 +sbsS'Builtin' +p316 +g2 +(g3 +g4 +(g5 +g316 +ttRp317 +(dp318 +g9 +g10 +((lp319 +g2 +(g3 +g4 +(g5 +g316 +g64 +ttRp320 +(dp321 +g9 +g10 +((ltRp322 +sg12 +g317 +sbatRp323 +sg64 +g320 +sg12 +g13 +sbsg217 +g2 +(g3 +g4 +(g5 +g217 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g13 +sbsS'Identifier' +p327 +g2 +(g3 +g4 +(g5 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g13 +sbsg76 +g2 +(g3 +g4 +(g5 +g76 +ttRp331 +(dp332 +g12 +g13 +sS'Global' +p333 +g2 +(g3 +g4 +(g5 +g76 +g333 +ttRp334 +(dp335 +g9 +g10 +((ltRp336 +sg12 +g331 +sbsS'Instance' +p337 +g2 +(g3 +g4 +(g5 +g76 +g337 +ttRp338 +(dp339 +g9 +g10 +((ltRp340 +sg12 +g331 +sbsS'Anonymous' +p341 +g2 +(g3 +g4 +(g5 +g76 +g341 +ttRp342 +(dp343 +g9 +g10 +((ltRp344 +sg12 +g331 +sbsg9 +g10 +((lp345 +g342 +ag338 +ag334 +ag2 +(g3 +g4 +(g5 +g76 +S'Class' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g331 +sbatRp350 +sg346 +g347 +sbsg9 +g10 +((lp351 +g2 +(g3 +g4 +(g5 +S'Decorator' +p352 +ttRp353 +(dp354 +g9 +g10 +((ltRp355 +sg12 +g13 +sbag301 +ag24 +ag297 +ag2 +(g3 +g4 +(g5 +g60 +ttRp356 +(dp357 +g9 +g10 +((ltRp358 +sg12 +g13 +sbag328 +ag317 +ag331 +ag324 +ag309 +ag313 +ag16 +ag2 +(g3 +g4 +(g5 +S'Property' +p359 +ttRp360 +(dp361 +g9 +g10 +((ltRp362 +sg12 +g13 +sbag305 +ag7 +ag20 +ag2 +(g3 +g4 +(g5 +g346 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbatRp366 +sg359 +g360 +sg346 +g363 +sg352 +g353 +sg60 +g356 +sbsbV<%doc +p367 +tp368 +a(g7 +V> +tp369 +a(g13 +Vformatting +p370 +tp371 +a(g287 +V. +tp372 +a(g13 +Vmyt +p373 +tp374 +a(g132 +V +tp375 +a(g287 +V- +tp376 +a(g132 +V +tp377 +a(g13 +VProvides +p378 +tp379 +a(g132 +V +tp380 +a(g13 +Vsection +p381 +tp382 +a(g132 +V +tp383 +a(g13 +Vformatting +p384 +tp385 +a(g132 +V +tp386 +a(g13 +Velements +p387 +tp388 +a(g145 +V, +tp389 +a(g132 +V +tp390 +a(g13 +Vsyntax +p391 +tp392 +a(g287 +V- +tp393 +a(g13 +Vhighlighted +p394 +tp395 +a(g132 +V +tp396 +a(g13 +Vcode +p397 +tp398 +a(g132 +V +tp399 +a(g13 +Vblocks +p400 +tp401 +a(g145 +V, +tp402 +a(g132 +V +tp403 +a(g291 +Vand +p404 +tp405 +a(g132 +V +tp406 +a(g13 +Vother +p407 +tp408 +a(g132 +V +tp409 +a(g13 +Vspecial +p410 +tp411 +a(g132 +V +tp412 +a(g13 +Vfilters +p413 +tp414 +a(g287 +V. +tp415 +a(g7 +V +p416 +tp417 +a(g132 +V\u000a\u000a +p418 +tp419 +a(g7 +V<%global +p420 +tp421 +a(g7 +V> +tp422 +a(g132 +V\u000a +tp423 +a(g132 +V +p424 +tp425 +a(g61 +Vimport +p426 +tp427 +a(g132 +V +tp428 +a(g356 +Vstring +p429 +tp430 +a(g287 +V, +tp431 +a(g132 +V +tp432 +a(g356 +Vre +p433 +tp434 +a(g132 +V +tp435 +a(g132 +V\u000a +tp436 +a(g132 +V +p437 +tp438 +a(g61 +Vimport +p439 +tp440 +a(g132 +V +tp441 +a(g356 +Vhighlight +p442 +tp443 +a(g132 +V +tp444 +a(g132 +V\u000a +tp445 +a(g7 +V +p446 +tp447 +a(g132 +V\u000a\u000a +p448 +tp449 +a(g7 +V<%method +p450 +tp451 +a(g132 +V +tp452 +a(g16 +Vsection +p453 +tp454 +a(g7 +V> +tp455 +a(g132 +V\u000a +tp456 +a(g7 +V<%doc +p457 +tp458 +a(g7 +V> +tp459 +a(g13 +VMain +p460 +tp461 +a(g132 +V +tp462 +a(g13 +Vsection +p463 +tp464 +a(g132 +V +tp465 +a(g13 +Vformatting +p466 +tp467 +a(g132 +V +tp468 +a(g13 +Velement +p469 +tp470 +a(g287 +V. +tp471 +a(g7 +V +p472 +tp473 +a(g132 +V\u000a +tp474 +a(g7 +V<%args +p475 +tp476 +a(g7 +V> +tp477 +a(g132 +V\u000a +tp478 +a(g132 +V +p479 +tp480 +a(g13 +Vtoc +p481 +tp482 +a(g132 +V\u000a +tp483 +a(g132 +V +p484 +tp485 +a(g13 +Vpath +p486 +tp487 +a(g132 +V\u000a +tp488 +a(g132 +V +p489 +tp490 +a(g13 +Vdescription +p491 +tp492 +a(g287 +V= +tp493 +a(g320 +VNone +p494 +tp495 +a(g132 +V\u000a +tp496 +a(g132 +V +p497 +tp498 +a(g13 +Vonepage +p499 +tp500 +a(g287 +V= +tp501 +a(g320 +VFalse +p502 +tp503 +a(g132 +V\u000a +tp504 +a(g7 +V +p505 +tp506 +a(g132 +V\u000a +tp507 +a(g7 +V<%init +p508 +tp509 +a(g7 +V> +tp510 +a(g132 +V\u000a +tp511 +a(g132 +V +p512 +tp513 +a(g13 +Vitem +p514 +tp515 +a(g132 +V +tp516 +a(g287 +V= +tp517 +a(g132 +V +tp518 +a(g13 +Vtoc +p519 +tp520 +a(g287 +V. +tp521 +a(g13 +Vget_by_path +p522 +tp523 +a(g145 +V( +tp524 +a(g13 +Vpath +p525 +tp526 +a(g145 +V) +tp527 +a(g132 +V\u000a +tp528 +a(g132 +V +p529 +tp530 +a(g51 +Vif +p531 +tp532 +a(g132 +V +tp533 +a(g13 +Vitem +p534 +tp535 +a(g132 +V +tp536 +a(g291 +Vis +p537 +tp538 +a(g132 +V +tp539 +a(g320 +VNone +p540 +tp541 +a(g145 +V: +tp542 +a(g132 +V\u000a +tp543 +a(g132 +V +p544 +tp545 +a(g51 +Vraise +p546 +tp547 +a(g132 +V +tp548 +a(g169 +V" +tp549 +a(g169 +Vpath: +p550 +tp551 +a(g169 +V" +tp552 +a(g132 +V +tp553 +a(g287 +V+ +tp554 +a(g132 +V +tp555 +a(g13 +Vpath +p556 +tp557 +a(g132 +V\u000a +tp558 +a(g7 +V +p559 +tp560 +a(g132 +V\u000a\u000a +p561 +tp562 +a(g280 +V\u000a\u000a
    \u000a\u000a +p598 +tp599 +a(g7 +V<%python +p600 +tp601 +a(g7 +V> +tp602 +a(g132 +V\u000a +tp603 +a(g132 +V +p604 +tp605 +a(g13 +Vcontent +p606 +tp607 +a(g132 +V +tp608 +a(g287 +V= +tp609 +a(g132 +V +tp610 +a(g13 +Vm +tp611 +a(g287 +V. +tp612 +a(g13 +Vcontent +p613 +tp614 +a(g145 +V( +tp615 +a(g145 +V) +tp616 +a(g132 +V\u000a +tp617 +a(g132 +V +p618 +tp619 +a(g13 +Vre2 +p620 +tp621 +a(g132 +V +tp622 +a(g287 +V= +tp623 +a(g132 +V +tp624 +a(g13 +Vre +p625 +tp626 +a(g287 +V. +tp627 +a(g13 +Vcompile +p628 +tp629 +a(g145 +V( +tp630 +a(g169 +Vr" +p631 +tp632 +a(g169 +V' +tp633 +a(g169 +V' +tp634 +a(g169 +V' +tp635 +a(g169 +VPYESC(.+?)PYESC +p636 +tp637 +a(g169 +V' +tp638 +a(g169 +V' +tp639 +a(g169 +V' +tp640 +a(g169 +V" +tp641 +a(g145 +V, +tp642 +a(g132 +V +tp643 +a(g13 +Vre +p644 +tp645 +a(g287 +V. +tp646 +a(g13 +VS +tp647 +a(g145 +V) +tp648 +a(g132 +V\u000a +tp649 +a(g132 +V +p650 +tp651 +a(g13 +Vcontent +p652 +tp653 +a(g132 +V +tp654 +a(g287 +V= +tp655 +a(g132 +V +tp656 +a(g13 +Vre2 +p657 +tp658 +a(g287 +V. +tp659 +a(g13 +Vsub +p660 +tp661 +a(g145 +V( +tp662 +a(g51 +Vlambda +p663 +tp664 +a(g132 +V +tp665 +a(g13 +Vm +tp666 +a(g145 +V: +tp667 +a(g132 +V +tp668 +a(g13 +Vm +tp669 +a(g287 +V. +tp670 +a(g13 +Vgroup +p671 +tp672 +a(g145 +V( +tp673 +a(g262 +V1 +tp674 +a(g145 +V) +tp675 +a(g145 +V, +tp676 +a(g132 +V +tp677 +a(g13 +Vcontent +p678 +tp679 +a(g145 +V) +tp680 +a(g132 +V\u000a +tp681 +a(g7 +V +p682 +tp683 +a(g132 +V\u000a\u000a +p684 +tp685 +a(g7 +V% +tp686 +a(g132 +V +tp687 +a(g51 +Vif +p688 +tp689 +a(g132 +V +tp690 +a(g13 +Vitem +p691 +tp692 +a(g287 +V. +tp693 +a(g13 +Vdepth +p694 +tp695 +a(g132 +V +tp696 +a(g287 +V> +tp697 +a(g132 +V +tp698 +a(g262 +V1 +tp699 +a(g145 +V: +tp700 +a(g280 +V\u000a +tp701 +a(g280 +V

    +p702 +tp703 +a(g7 +V<% +p704 +tp705 +a(g132 +V +tp706 +a(g13 +Vdescription +p707 +tp708 +a(g132 +V +tp709 +a(g291 +Vor +p710 +tp711 +a(g132 +V +tp712 +a(g13 +Vitem +p713 +tp714 +a(g287 +V. +tp715 +a(g13 +Vdescription +p716 +tp717 +a(g132 +V +tp718 +a(g7 +V%> +p719 +tp720 +a(g280 +V

    \u000a +p721 +tp722 +a(g7 +V% +tp723 +a(g280 +V\u000a +tp724 +a(g132 +V\u000a +p725 +tp726 +a(g280 +V
    \u000a +p727 +tp728 +a(g7 +V<% +p729 +tp730 +a(g132 +V +tp731 +a(g13 +Vcontent +p732 +tp733 +a(g132 +V +tp734 +a(g7 +V%> +p735 +tp736 +a(g132 +V\u000a +p737 +tp738 +a(g280 +V
    \u000a\u000a +p739 +tp740 +a(g7 +V% +tp741 +a(g132 +V +tp742 +a(g51 +Vif +p743 +tp744 +a(g132 +V +tp745 +a(g13 +Vonepage +p746 +tp747 +a(g132 +V +tp748 +a(g291 +Vor +p749 +tp750 +a(g132 +V +tp751 +a(g13 +Vitem +p752 +tp753 +a(g287 +V. +tp754 +a(g13 +Vdepth +p755 +tp756 +a(g132 +V +tp757 +a(g287 +V> +tp758 +a(g132 +V +tp759 +a(g262 +V1 +tp760 +a(g145 +V: +tp761 +a(g280 +V\u000a +tp762 +a(g7 +V% +tp763 +a(g132 +V +p764 +tp765 +a(g51 +Vif +p766 +tp767 +a(g132 +V +tp768 +a(g145 +V( +tp769 +a(g13 +Vitem +p770 +tp771 +a(g287 +V. +tp772 +a(g13 +Vnext +p773 +tp774 +a(g132 +V +tp775 +a(g291 +Vand +p776 +tp777 +a(g132 +V +tp778 +a(g13 +Vitem +p779 +tp780 +a(g287 +V. +tp781 +a(g13 +Vnext +p782 +tp783 +a(g287 +V. +tp784 +a(g13 +Vdepth +p785 +tp786 +a(g132 +V +tp787 +a(g287 +V> +tp788 +a(g287 +V= +tp789 +a(g132 +V +tp790 +a(g13 +Vitem +p791 +tp792 +a(g287 +V. +tp793 +a(g13 +Vdepth +p794 +tp795 +a(g145 +V) +tp796 +a(g145 +V: +tp797 +a(g280 +V\u000a +tp798 +a(g132 +V +p799 +tp800 +a(g280 +Vback to section top\u000a +p819 +tp820 +a(g7 +V% +tp821 +a(g280 +V\u000a +tp822 +a(g7 +V% +tp823 +a(g132 +V +tp824 +a(g51 +Velse +p825 +tp826 +a(g145 +V: +tp827 +a(g280 +V\u000a +tp828 +a(g132 +V +p829 +tp830 +a(g280 +Vback to section top\u000a +p849 +tp850 +a(g7 +V<& +p851 +tp852 +a(g16 +Vnav.myt:pagenav +p853 +tp854 +a(g145 +V, +tp855 +a(g132 +V +tp856 +a(g13 +Vitem +p857 +tp858 +a(g287 +V= +tp859 +a(g13 +Vitem +p860 +tp861 +a(g145 +V, +tp862 +a(g132 +V +tp863 +a(g13 +Vonepage +p864 +tp865 +a(g287 +V= +tp866 +a(g13 +Vonepage +p867 +tp868 +a(g132 +V +tp869 +a(g7 +V&> +p870 +tp871 +a(g132 +V\u000a +tp872 +a(g7 +V% +tp873 +a(g132 +V +tp874 +a(g280 +V\u000a +tp875 +a(g280 +V
    \u000a\u000a +p876 +tp877 +a(g7 +V +p878 +tp879 +a(g132 +V\u000a\u000a\u000a +p880 +tp881 +a(g7 +V<%method +p882 +tp883 +a(g132 +V +tp884 +a(g16 +Vformatplain +p885 +tp886 +a(g7 +V> +tp887 +a(g132 +V\u000a +p888 +tp889 +a(g7 +V<%filter +p890 +tp891 +a(g7 +V> +tp892 +a(g132 +V\u000a +tp893 +a(g132 +V +p894 +tp895 +a(g61 +Vimport +p896 +tp897 +a(g132 +V +tp898 +a(g356 +Vre +p899 +tp900 +a(g132 +V +tp901 +a(g132 +V\u000a +tp902 +a(g132 +V +p903 +tp904 +a(g13 +Vf +tp905 +a(g132 +V +tp906 +a(g287 +V= +tp907 +a(g132 +V +tp908 +a(g13 +Vre +p909 +tp910 +a(g287 +V. +tp911 +a(g13 +Vsub +p912 +tp913 +a(g145 +V( +tp914 +a(g169 +Vr' +p915 +tp916 +a(g169 +V\u005c +tp917 +a(g169 +Vn[ +p918 +tp919 +a(g169 +V\u005c +tp920 +a(g169 +Vs +tp921 +a(g169 +V\u005c +tp922 +a(g169 +Vt]* +p923 +tp924 +a(g169 +V\u005c +tp925 +a(g169 +Vn[ +p926 +tp927 +a(g169 +V\u005c +tp928 +a(g169 +Vs +tp929 +a(g169 +V\u005c +tp930 +a(g169 +Vt]* +p931 +tp932 +a(g169 +V' +tp933 +a(g145 +V, +tp934 +a(g132 +V +tp935 +a(g169 +V' +tp936 +a(g169 +V

    +p937 +tp938 +a(g195 +V\u005cn +p939 +tp940 +a(g169 +V

    +p941 +tp942 +a(g169 +V' +tp943 +a(g145 +V, +tp944 +a(g132 +V +tp945 +a(g13 +Vf +tp946 +a(g145 +V) +tp947 +a(g132 +V\u000a +tp948 +a(g132 +V +p949 +tp950 +a(g13 +Vf +tp951 +a(g132 +V +tp952 +a(g287 +V= +tp953 +a(g132 +V +tp954 +a(g169 +V" +tp955 +a(g169 +V

    +p956 +tp957 +a(g169 +V" +tp958 +a(g132 +V +tp959 +a(g287 +V+ +tp960 +a(g132 +V +tp961 +a(g13 +Vf +tp962 +a(g132 +V +tp963 +a(g287 +V+ +tp964 +a(g132 +V +tp965 +a(g169 +V" +tp966 +a(g169 +V

    +p967 +tp968 +a(g169 +V" +tp969 +a(g132 +V\u000a +tp970 +a(g132 +V +p971 +tp972 +a(g51 +Vreturn +p973 +tp974 +a(g132 +V +tp975 +a(g13 +Vf +tp976 +a(g132 +V\u000a +tp977 +a(g132 +V +p978 +tp979 +a(g7 +V +p980 +tp981 +a(g132 +V\u000a +tp982 +a(g7 +V<% +p983 +tp984 +a(g132 +V +tp985 +a(g13 +Vm +tp986 +a(g287 +V. +tp987 +a(g13 +Vcontent +p988 +tp989 +a(g145 +V( +tp990 +a(g145 +V) +tp991 +a(g132 +V +tp992 +a(g287 +V| +tp993 +a(g132 +V +tp994 +a(g13 +Vh +tp995 +a(g7 +V%> +p996 +tp997 +a(g132 +V\u000a +tp998 +a(g7 +V +p999 +tp1000 +a(g132 +V\u000a\u000a\u000a\u000a\u000a +p1001 +tp1002 +a(g7 +V<%method +p1003 +tp1004 +a(g132 +V +tp1005 +a(g16 +Vcodeline trim="both" +p1006 +tp1007 +a(g7 +V> +tp1008 +a(g132 +V\u000a +tp1009 +a(g280 +V +p1010 +tp1011 +a(g7 +V<% +p1012 +tp1013 +a(g132 +V +tp1014 +a(g13 +Vm +tp1015 +a(g287 +V. +tp1016 +a(g13 +Vcontent +p1017 +tp1018 +a(g145 +V( +tp1019 +a(g145 +V) +tp1020 +a(g132 +V +tp1021 +a(g7 +V%> +p1022 +tp1023 +a(g280 +V\u000a +p1024 +tp1025 +a(g7 +V +p1026 +tp1027 +a(g132 +V\u000a\u000a +p1028 +tp1029 +a(g7 +V<%method +p1030 +tp1031 +a(g132 +V +tp1032 +a(g16 +Vcode autoflush=False +p1033 +tp1034 +a(g7 +V> +tp1035 +a(g132 +V\u000a +tp1036 +a(g7 +V<%args +p1037 +tp1038 +a(g7 +V> +tp1039 +a(g132 +V\u000a +tp1040 +a(g132 +V +p1041 +tp1042 +a(g13 +Vtitle +p1043 +tp1044 +a(g132 +V +tp1045 +a(g287 +V= +tp1046 +a(g132 +V +tp1047 +a(g320 +VNone +p1048 +tp1049 +a(g132 +V\u000a +tp1050 +a(g132 +V +p1051 +tp1052 +a(g13 +Vsyntaxtype +p1053 +tp1054 +a(g132 +V +tp1055 +a(g287 +V= +tp1056 +a(g132 +V +tp1057 +a(g169 +V' +tp1058 +a(g169 +Vpython +p1059 +tp1060 +a(g169 +V' +tp1061 +a(g132 +V\u000a +tp1062 +a(g132 +V +p1063 +tp1064 +a(g13 +Vhtml_escape +p1065 +tp1066 +a(g132 +V +tp1067 +a(g287 +V= +tp1068 +a(g132 +V +tp1069 +a(g320 +VFalse +p1070 +tp1071 +a(g132 +V\u000a +tp1072 +a(g132 +V +p1073 +tp1074 +a(g13 +Vuse_sliders +p1075 +tp1076 +a(g132 +V +tp1077 +a(g287 +V= +tp1078 +a(g132 +V +tp1079 +a(g320 +VFalse +p1080 +tp1081 +a(g132 +V\u000a +tp1082 +a(g7 +V +p1083 +tp1084 +a(g132 +V\u000a\u000a +p1085 +tp1086 +a(g7 +V<%init +p1087 +tp1088 +a(g7 +V> +tp1089 +a(g132 +V\u000a +tp1090 +a(g132 +V +p1091 +tp1092 +a(g51 +Vdef +p1093 +tp1094 +a(g132 +V +tp1095 +a(g16 +Vfix_indent +p1096 +tp1097 +a(g145 +V( +tp1098 +a(g13 +Vf +tp1099 +a(g145 +V) +tp1100 +a(g145 +V: +tp1101 +a(g132 +V\u000a +tp1102 +a(g132 +V +p1103 +tp1104 +a(g13 +Vf +tp1105 +a(g132 +V +tp1106 +a(g287 +V= +tp1107 +a(g13 +Vstring +p1108 +tp1109 +a(g287 +V. +tp1110 +a(g13 +Vexpandtabs +p1111 +tp1112 +a(g145 +V( +tp1113 +a(g13 +Vf +tp1114 +a(g145 +V, +tp1115 +a(g132 +V +tp1116 +a(g262 +V4 +tp1117 +a(g145 +V) +tp1118 +a(g132 +V\u000a +tp1119 +a(g132 +V +p1120 +tp1121 +a(g13 +Vg +tp1122 +a(g132 +V +tp1123 +a(g287 +V= +tp1124 +a(g132 +V +tp1125 +a(g169 +V' +tp1126 +a(g169 +V' +tp1127 +a(g132 +V\u000a +tp1128 +a(g132 +V +p1129 +tp1130 +a(g13 +Vlines +p1131 +tp1132 +a(g132 +V +tp1133 +a(g287 +V= +tp1134 +a(g132 +V +tp1135 +a(g13 +Vstring +p1136 +tp1137 +a(g287 +V. +tp1138 +a(g13 +Vsplit +p1139 +tp1140 +a(g145 +V( +tp1141 +a(g13 +Vf +tp1142 +a(g145 +V, +tp1143 +a(g132 +V +tp1144 +a(g169 +V" +tp1145 +a(g195 +V\u005cn +p1146 +tp1147 +a(g169 +V" +tp1148 +a(g145 +V) +tp1149 +a(g132 +V\u000a +tp1150 +a(g132 +V +p1151 +tp1152 +a(g13 +Vwhitespace +p1153 +tp1154 +a(g132 +V +tp1155 +a(g287 +V= +tp1156 +a(g132 +V +tp1157 +a(g320 +VNone +p1158 +tp1159 +a(g132 +V\u000a +tp1160 +a(g132 +V +p1161 +tp1162 +a(g51 +Vfor +p1163 +tp1164 +a(g132 +V +tp1165 +a(g13 +Vline +p1166 +tp1167 +a(g132 +V +tp1168 +a(g291 +Vin +p1169 +tp1170 +a(g132 +V +tp1171 +a(g13 +Vlines +p1172 +tp1173 +a(g145 +V: +tp1174 +a(g132 +V\u000a +tp1175 +a(g132 +V +p1176 +tp1177 +a(g51 +Vif +p1178 +tp1179 +a(g132 +V +tp1180 +a(g13 +Vwhitespace +p1181 +tp1182 +a(g132 +V +tp1183 +a(g291 +Vis +p1184 +tp1185 +a(g132 +V +tp1186 +a(g320 +VNone +p1187 +tp1188 +a(g145 +V: +tp1189 +a(g132 +V\u000a +tp1190 +a(g132 +V +p1191 +tp1192 +a(g13 +Vmatch +p1193 +tp1194 +a(g132 +V +tp1195 +a(g287 +V= +tp1196 +a(g132 +V +tp1197 +a(g13 +Vre +p1198 +tp1199 +a(g287 +V. +tp1200 +a(g13 +Vmatch +p1201 +tp1202 +a(g145 +V( +tp1203 +a(g169 +Vr" +p1204 +tp1205 +a(g169 +V^([ ]*).+ +p1206 +tp1207 +a(g169 +V" +tp1208 +a(g145 +V, +tp1209 +a(g132 +V +tp1210 +a(g13 +Vline +p1211 +tp1212 +a(g145 +V) +tp1213 +a(g132 +V\u000a +tp1214 +a(g132 +V +p1215 +tp1216 +a(g51 +Vif +p1217 +tp1218 +a(g132 +V +tp1219 +a(g13 +Vmatch +p1220 +tp1221 +a(g132 +V +tp1222 +a(g291 +Vis +p1223 +tp1224 +a(g132 +V +tp1225 +a(g291 +Vnot +p1226 +tp1227 +a(g132 +V +tp1228 +a(g320 +VNone +p1229 +tp1230 +a(g145 +V: +tp1231 +a(g132 +V\u000a +tp1232 +a(g132 +V +p1233 +tp1234 +a(g13 +Vwhitespace +p1235 +tp1236 +a(g132 +V +tp1237 +a(g287 +V= +tp1238 +a(g132 +V +tp1239 +a(g13 +Vmatch +p1240 +tp1241 +a(g287 +V. +tp1242 +a(g13 +Vgroup +p1243 +tp1244 +a(g145 +V( +tp1245 +a(g262 +V1 +tp1246 +a(g145 +V) +tp1247 +a(g132 +V\u000a +tp1248 +a(g132 +V\u000a +tp1249 +a(g132 +V +p1250 +tp1251 +a(g51 +Vif +p1252 +tp1253 +a(g132 +V +tp1254 +a(g13 +Vwhitespace +p1255 +tp1256 +a(g132 +V +tp1257 +a(g291 +Vis +p1258 +tp1259 +a(g132 +V +tp1260 +a(g291 +Vnot +p1261 +tp1262 +a(g132 +V +tp1263 +a(g320 +VNone +p1264 +tp1265 +a(g145 +V: +tp1266 +a(g132 +V\u000a +tp1267 +a(g132 +V +p1268 +tp1269 +a(g13 +Vline +p1270 +tp1271 +a(g132 +V +tp1272 +a(g287 +V= +tp1273 +a(g132 +V +tp1274 +a(g13 +Vre +p1275 +tp1276 +a(g287 +V. +tp1277 +a(g13 +Vsub +p1278 +tp1279 +a(g145 +V( +tp1280 +a(g169 +Vr" +p1281 +tp1282 +a(g169 +V^ +tp1283 +a(g176 +V%s +p1284 +tp1285 +a(g169 +V" +tp1286 +a(g132 +V +tp1287 +a(g287 +V% +tp1288 +a(g132 +V +tp1289 +a(g13 +Vwhitespace +p1290 +tp1291 +a(g145 +V, +tp1292 +a(g132 +V +tp1293 +a(g169 +V" +tp1294 +a(g169 +V" +tp1295 +a(g145 +V, +tp1296 +a(g132 +V +tp1297 +a(g13 +Vline +p1298 +tp1299 +a(g145 +V) +tp1300 +a(g132 +V\u000a +tp1301 +a(g132 +V\u000a +tp1302 +a(g132 +V +p1303 +tp1304 +a(g51 +Vif +p1305 +tp1306 +a(g132 +V +tp1307 +a(g13 +Vwhitespace +p1308 +tp1309 +a(g132 +V +tp1310 +a(g291 +Vis +p1311 +tp1312 +a(g132 +V +tp1313 +a(g291 +Vnot +p1314 +tp1315 +a(g132 +V +tp1316 +a(g320 +VNone +p1317 +tp1318 +a(g132 +V +tp1319 +a(g291 +Vor +p1320 +tp1321 +a(g132 +V +tp1322 +a(g13 +Vre +p1323 +tp1324 +a(g287 +V. +tp1325 +a(g13 +Vsearch +p1326 +tp1327 +a(g145 +V( +tp1328 +a(g169 +Vr" +p1329 +tp1330 +a(g169 +V\u005c +tp1331 +a(g169 +Vw +tp1332 +a(g169 +V" +tp1333 +a(g145 +V, +tp1334 +a(g132 +V +tp1335 +a(g13 +Vline +p1336 +tp1337 +a(g145 +V) +tp1338 +a(g132 +V +tp1339 +a(g291 +Vis +p1340 +tp1341 +a(g132 +V +tp1342 +a(g291 +Vnot +p1343 +tp1344 +a(g132 +V +tp1345 +a(g320 +VNone +p1346 +tp1347 +a(g145 +V: +tp1348 +a(g132 +V\u000a +tp1349 +a(g132 +V +p1350 +tp1351 +a(g13 +Vg +tp1352 +a(g132 +V +tp1353 +a(g287 +V+ +tp1354 +a(g287 +V= +tp1355 +a(g132 +V +tp1356 +a(g145 +V( +tp1357 +a(g13 +Vline +p1358 +tp1359 +a(g132 +V +tp1360 +a(g287 +V+ +tp1361 +a(g132 +V +tp1362 +a(g169 +V" +tp1363 +a(g195 +V\u005cn +p1364 +tp1365 +a(g169 +V" +tp1366 +a(g145 +V) +tp1367 +a(g132 +V\u000a +tp1368 +a(g132 +V\u000a +tp1369 +a(g132 +V\u000a +tp1370 +a(g132 +V +p1371 +tp1372 +a(g51 +Vreturn +p1373 +tp1374 +a(g132 +V +tp1375 +a(g13 +Vg +tp1376 +a(g287 +V. +tp1377 +a(g13 +Vrstrip +p1378 +tp1379 +a(g145 +V( +tp1380 +a(g145 +V) +tp1381 +a(g132 +V\u000a +tp1382 +a(g132 +V\u000a +tp1383 +a(g132 +V +p1384 +tp1385 +a(g13 +Vp +tp1386 +a(g132 +V +tp1387 +a(g287 +V= +tp1388 +a(g132 +V +tp1389 +a(g13 +Vre +p1390 +tp1391 +a(g287 +V. +tp1392 +a(g13 +Vcompile +p1393 +tp1394 +a(g145 +V( +tp1395 +a(g169 +Vr' +p1396 +tp1397 +a(g169 +V
    (.*?)
    +p1398 +tp1399 +a(g169 +V' +tp1400 +a(g145 +V, +tp1401 +a(g132 +V +tp1402 +a(g13 +Vre +p1403 +tp1404 +a(g287 +V. +tp1405 +a(g13 +VS +tp1406 +a(g145 +V) +tp1407 +a(g132 +V\u000a +tp1408 +a(g132 +V +p1409 +tp1410 +a(g51 +Vdef +p1411 +tp1412 +a(g132 +V +tp1413 +a(g16 +Vhlight +p1414 +tp1415 +a(g145 +V( +tp1416 +a(g13 +Vmatch +p1417 +tp1418 +a(g145 +V) +tp1419 +a(g145 +V: +tp1420 +a(g132 +V\u000a +tp1421 +a(g132 +V +p1422 +tp1423 +a(g51 +Vreturn +p1424 +tp1425 +a(g132 +V +tp1426 +a(g169 +V" +tp1427 +a(g169 +V
    +p1428
    +tp1429
    +a(g169
    +V"
    +tp1430
    +a(g132
    +V 
    +tp1431
    +a(g287
    +V+
    +tp1432
    +a(g132
    +V 
    +tp1433
    +a(g13
    +Vhighlight
    +p1434
    +tp1435
    +a(g287
    +V.
    +tp1436
    +a(g13
    +Vhighlight
    +p1437
    +tp1438
    +a(g145
    +V(
    +tp1439
    +a(g13
    +Vfix_indent
    +p1440
    +tp1441
    +a(g145
    +V(
    +tp1442
    +a(g13
    +Vmatch
    +p1443
    +tp1444
    +a(g287
    +V.
    +tp1445
    +a(g13
    +Vgroup
    +p1446
    +tp1447
    +a(g145
    +V(
    +tp1448
    +a(g262
    +V1
    +tp1449
    +a(g145
    +V)
    +tp1450
    +a(g145
    +V)
    +tp1451
    +a(g145
    +V,
    +tp1452
    +a(g132
    +V 
    +tp1453
    +a(g13
    +Vhtml_escape
    +p1454
    +tp1455
    +a(g132
    +V 
    +tp1456
    +a(g287
    +V=
    +tp1457
    +a(g132
    +V 
    +tp1458
    +a(g13
    +Vhtml_escape
    +p1459
    +tp1460
    +a(g145
    +V,
    +tp1461
    +a(g132
    +V 
    +tp1462
    +a(g13
    +Vsyntaxtype
    +p1463
    +tp1464
    +a(g132
    +V 
    +tp1465
    +a(g287
    +V=
    +tp1466
    +a(g132
    +V 
    +tp1467
    +a(g13
    +Vsyntaxtype
    +p1468
    +tp1469
    +a(g145
    +V)
    +tp1470
    +a(g132
    +V 
    +tp1471
    +a(g287
    +V+
    +tp1472
    +a(g132
    +V 
    +tp1473
    +a(g169
    +V"
    +tp1474
    +a(g169
    +V
    +p1475 +tp1476 +a(g169 +V" +tp1477 +a(g132 +V\u000a +tp1478 +a(g132 +V +p1479 +tp1480 +a(g13 +Vcontent +p1481 +tp1482 +a(g132 +V +tp1483 +a(g287 +V= +tp1484 +a(g132 +V +tp1485 +a(g13 +Vp +tp1486 +a(g287 +V. +tp1487 +a(g13 +Vsub +p1488 +tp1489 +a(g145 +V( +tp1490 +a(g13 +Vhlight +p1491 +tp1492 +a(g145 +V, +tp1493 +a(g132 +V +tp1494 +a(g169 +V" +tp1495 +a(g169 +V
    +p1496
    +tp1497
    +a(g169
    +V"
    +tp1498
    +a(g132
    +V 
    +tp1499
    +a(g287
    +V+
    +tp1500
    +a(g132
    +V 
    +tp1501
    +a(g13
    +Vm
    +tp1502
    +a(g287
    +V.
    +tp1503
    +a(g13
    +Vcontent
    +p1504
    +tp1505
    +a(g145
    +V(
    +tp1506
    +a(g145
    +V)
    +tp1507
    +a(g132
    +V 
    +tp1508
    +a(g287
    +V+
    +tp1509
    +a(g132
    +V 
    +tp1510
    +a(g169
    +V"
    +tp1511
    +a(g169
    +V
    +p1512 +tp1513 +a(g169 +V" +tp1514 +a(g145 +V) +tp1515 +a(g132 +V\u000a +tp1516 +a(g7 +V +p1517 +tp1518 +a(g132 +V\u000a +tp1519 +a(g280 +V
    +p1544 +tp1545 +a(g280 +V">\u000a +p1546 +tp1547 +a(g7 +V% +tp1548 +a(g132 +V +tp1549 +a(g51 +Vif +p1550 +tp1551 +a(g132 +V +tp1552 +a(g13 +Vtitle +p1553 +tp1554 +a(g132 +V +tp1555 +a(g291 +Vis +p1556 +tp1557 +a(g132 +V +tp1558 +a(g291 +Vnot +p1559 +tp1560 +a(g132 +V +tp1561 +a(g320 +VNone +p1562 +tp1563 +a(g145 +V: +tp1564 +a(g280 +V\u000a +tp1565 +a(g132 +V +p1566 +tp1567 +a(g280 +V
    +p1568 +tp1569 +a(g7 +V<% +p1570 +tp1571 +a(g132 +V +tp1572 +a(g13 +Vtitle +p1573 +tp1574 +a(g132 +V +tp1575 +a(g7 +V%> +p1576 +tp1577 +a(g280 +V
    \u000a +p1578 +tp1579 +a(g7 +V% +tp1580 +a(g280 +V\u000a +tp1581 +a(g7 +V<% +p1582 +tp1583 +a(g132 +V +tp1584 +a(g13 +Vcontent +p1585 +tp1586 +a(g132 +V +tp1587 +a(g7 +V%> +p1588 +tp1589 +a(g280 +V
    \u000a +p1590 +tp1591 +a(g7 +V +p1592 +tp1593 +a(g132 +V\u000a\u000a\u000a\u000a\u000a +p1594 +tp1595 +a(g7 +V<%method +p1596 +tp1597 +a(g132 +V +tp1598 +a(g16 +Vpopboxlink trim="both" +p1599 +tp1600 +a(g7 +V> +tp1601 +a(g132 +V \u000a +p1602 +tp1603 +a(g7 +V<%args +p1604 +tp1605 +a(g7 +V> +tp1606 +a(g132 +V\u000a +tp1607 +a(g132 +V +p1608 +tp1609 +a(g13 +Vname +p1610 +tp1611 +a(g287 +V= +tp1612 +a(g320 +VNone +p1613 +tp1614 +a(g132 +V\u000a +tp1615 +a(g132 +V +p1616 +tp1617 +a(g13 +Vshow +p1618 +tp1619 +a(g287 +V= +tp1620 +a(g169 +V' +tp1621 +a(g169 +Vshow +p1622 +tp1623 +a(g169 +V' +tp1624 +a(g132 +V\u000a +tp1625 +a(g132 +V +p1626 +tp1627 +a(g13 +Vhide +p1628 +tp1629 +a(g287 +V= +tp1630 +a(g169 +V' +tp1631 +a(g169 +Vhide +p1632 +tp1633 +a(g169 +V' +tp1634 +a(g132 +V\u000a +tp1635 +a(g132 +V +p1636 +tp1637 +a(g7 +V +p1638 +tp1639 +a(g132 +V\u000a +p1640 +tp1641 +a(g7 +V<%init +p1642 +tp1643 +a(g7 +V> +tp1644 +a(g132 +V\u000a +tp1645 +a(g132 +V +p1646 +tp1647 +a(g51 +Vif +p1648 +tp1649 +a(g132 +V +tp1650 +a(g13 +Vname +p1651 +tp1652 +a(g132 +V +tp1653 +a(g291 +Vis +p1654 +tp1655 +a(g132 +V +tp1656 +a(g320 +VNone +p1657 +tp1658 +a(g145 +V: +tp1659 +a(g132 +V\u000a +tp1660 +a(g132 +V +p1661 +tp1662 +a(g13 +Vname +p1663 +tp1664 +a(g132 +V +tp1665 +a(g287 +V= +tp1666 +a(g132 +V +tp1667 +a(g13 +Vm +tp1668 +a(g287 +V. +tp1669 +a(g13 +Vattributes +p1670 +tp1671 +a(g287 +V. +tp1672 +a(g13 +Vsetdefault +p1673 +tp1674 +a(g145 +V( +tp1675 +a(g169 +V' +tp1676 +a(g169 +Vpopbox_name +p1677 +tp1678 +a(g169 +V' +tp1679 +a(g145 +V, +tp1680 +a(g132 +V +tp1681 +a(g262 +V0 +tp1682 +a(g145 +V) +tp1683 +a(g132 +V\u000a +tp1684 +a(g132 +V +p1685 +tp1686 +a(g13 +Vname +p1687 +tp1688 +a(g132 +V +tp1689 +a(g287 +V+ +tp1690 +a(g287 +V= +tp1691 +a(g132 +V +tp1692 +a(g262 +V1 +tp1693 +a(g132 +V\u000a +tp1694 +a(g132 +V +p1695 +tp1696 +a(g13 +Vm +tp1697 +a(g287 +V. +tp1698 +a(g13 +Vattributes +p1699 +tp1700 +a(g145 +V[ +tp1701 +a(g169 +V' +tp1702 +a(g169 +Vpopbox_name +p1703 +tp1704 +a(g169 +V' +tp1705 +a(g145 +V] +tp1706 +a(g132 +V +tp1707 +a(g287 +V= +tp1708 +a(g132 +V +tp1709 +a(g13 +Vname +p1710 +tp1711 +a(g132 +V\u000a +tp1712 +a(g132 +V +p1713 +tp1714 +a(g13 +Vname +p1715 +tp1716 +a(g132 +V +tp1717 +a(g287 +V= +tp1718 +a(g132 +V +tp1719 +a(g169 +V" +tp1720 +a(g169 +Vpopbox_ +p1721 +tp1722 +a(g169 +V" +tp1723 +a(g132 +V +tp1724 +a(g287 +V+ +tp1725 +a(g132 +V +tp1726 +a(g317 +Vrepr +p1727 +tp1728 +a(g145 +V( +tp1729 +a(g13 +Vname +p1730 +tp1731 +a(g145 +V) +tp1732 +a(g132 +V\u000a +tp1733 +a(g132 +V +p1734 +tp1735 +a(g7 +V +p1736 +tp1737 +a(g132 +V\u000a +tp1738 +a(g280 +Vjavascript:togglePopbox(' +p1739 +tp1740 +a(g7 +V<% +p1741 +tp1742 +a(g132 +V +tp1743 +a(g13 +Vname +p1744 +tp1745 +a(g132 +V +tp1746 +a(g7 +V%> +p1747 +tp1748 +a(g280 +V', ' +p1749 +tp1750 +a(g7 +V<% +p1751 +tp1752 +a(g132 +V +tp1753 +a(g13 +Vshow +p1754 +tp1755 +a(g132 +V +tp1756 +a(g7 +V%> +p1757 +tp1758 +a(g280 +V', ' +p1759 +tp1760 +a(g7 +V<% +p1761 +tp1762 +a(g132 +V +tp1763 +a(g13 +Vhide +p1764 +tp1765 +a(g132 +V +tp1766 +a(g7 +V%> +p1767 +tp1768 +a(g280 +V')\u000a +p1769 +tp1770 +a(g7 +V +p1771 +tp1772 +a(g132 +V\u000a\u000a +p1773 +tp1774 +a(g7 +V<%method +p1775 +tp1776 +a(g132 +V +tp1777 +a(g16 +Vpopbox trim="both" +p1778 +tp1779 +a(g7 +V> +tp1780 +a(g132 +V\u000a +tp1781 +a(g7 +V<%args +p1782 +tp1783 +a(g7 +V> +tp1784 +a(g132 +V\u000a +tp1785 +a(g132 +V +p1786 +tp1787 +a(g13 +Vname +p1788 +tp1789 +a(g132 +V +tp1790 +a(g287 +V= +tp1791 +a(g132 +V +tp1792 +a(g320 +VNone +p1793 +tp1794 +a(g132 +V\u000a +tp1795 +a(g132 +V +p1796 +tp1797 +a(g13 +Vclass_ +p1798 +tp1799 +a(g132 +V +tp1800 +a(g287 +V= +tp1801 +a(g132 +V +tp1802 +a(g320 +VNone +p1803 +tp1804 +a(g132 +V\u000a +tp1805 +a(g7 +V +p1806 +tp1807 +a(g132 +V\u000a +tp1808 +a(g7 +V<%init +p1809 +tp1810 +a(g7 +V> +tp1811 +a(g132 +V\u000a +tp1812 +a(g132 +V +p1813 +tp1814 +a(g51 +Vif +p1815 +tp1816 +a(g132 +V +tp1817 +a(g13 +Vname +p1818 +tp1819 +a(g132 +V +tp1820 +a(g291 +Vis +p1821 +tp1822 +a(g132 +V +tp1823 +a(g320 +VNone +p1824 +tp1825 +a(g145 +V: +tp1826 +a(g132 +V\u000a +tp1827 +a(g132 +V +p1828 +tp1829 +a(g13 +Vname +p1830 +tp1831 +a(g132 +V +tp1832 +a(g287 +V= +tp1833 +a(g132 +V +tp1834 +a(g169 +V' +tp1835 +a(g169 +Vpopbox_ +p1836 +tp1837 +a(g169 +V' +tp1838 +a(g132 +V +tp1839 +a(g287 +V+ +tp1840 +a(g132 +V +tp1841 +a(g317 +Vrepr +p1842 +tp1843 +a(g145 +V( +tp1844 +a(g13 +Vm +tp1845 +a(g287 +V. +tp1846 +a(g13 +Vattributes +p1847 +tp1848 +a(g145 +V[ +tp1849 +a(g169 +V' +tp1850 +a(g169 +Vpopbox_name +p1851 +tp1852 +a(g169 +V' +tp1853 +a(g145 +V] +tp1854 +a(g145 +V) +tp1855 +a(g132 +V\u000a +tp1856 +a(g7 +V +p1857 +tp1858 +a(g132 +V\u000a +tp1859 +a(g280 +V\u000a +p1899 +tp1900 +a(g7 +V +p1901 +tp1902 +a(g132 +V\u000a\u000a +p1903 +tp1904 +a(g7 +V<%method +p1905 +tp1906 +a(g132 +V +tp1907 +a(g16 +Vpoplink trim="both" +p1908 +tp1909 +a(g7 +V> +tp1910 +a(g132 +V\u000a +p1911 +tp1912 +a(g7 +V<%args +p1913 +tp1914 +a(g7 +V> +tp1915 +a(g132 +V\u000a +tp1916 +a(g132 +V +p1917 +tp1918 +a(g13 +Vlink +p1919 +tp1920 +a(g287 +V= +tp1921 +a(g169 +V' +tp1922 +a(g169 +Vsql +p1923 +tp1924 +a(g169 +V' +tp1925 +a(g132 +V\u000a +tp1926 +a(g132 +V +p1927 +tp1928 +a(g7 +V +p1929 +tp1930 +a(g132 +V\u000a +p1931 +tp1932 +a(g7 +V<%init +p1933 +tp1934 +a(g7 +V> +tp1935 +a(g132 +V\u000a +tp1936 +a(g132 +V +p1937 +tp1938 +a(g13 +Vhref +p1939 +tp1940 +a(g132 +V +tp1941 +a(g287 +V= +tp1942 +a(g132 +V +tp1943 +a(g13 +Vm +tp1944 +a(g287 +V. +tp1945 +a(g13 +Vscomp +p1946 +tp1947 +a(g145 +V( +tp1948 +a(g169 +V' +tp1949 +a(g169 +VSELF:popboxlink +p1950 +tp1951 +a(g169 +V' +tp1952 +a(g145 +V) +tp1953 +a(g132 +V\u000a +tp1954 +a(g132 +V +p1955 +tp1956 +a(g7 +V +p1957 +tp1958 +a(g132 +V\u000a +p1959 +tp1960 +a(g280 +V'''PYESC +p1961 +tp1962 +a(g7 +V<& +p1963 +tp1964 +a(g16 +Vnav.myt:link +p1965 +tp1966 +a(g145 +V, +tp1967 +a(g132 +V +tp1968 +a(g13 +Vhref +p1969 +tp1970 +a(g287 +V= +tp1971 +a(g13 +Vhref +p1972 +tp1973 +a(g145 +V, +tp1974 +a(g132 +V +tp1975 +a(g13 +Vtext +p1976 +tp1977 +a(g287 +V= +tp1978 +a(g13 +Vlink +p1979 +tp1980 +a(g145 +V, +tp1981 +a(g132 +V +tp1982 +a(g13 +Vclass_ +p1983 +tp1984 +a(g287 +V= +tp1985 +a(g169 +V" +tp1986 +a(g169 +Vcodepoplink +p1987 +tp1988 +a(g169 +V" +tp1989 +a(g132 +V +tp1990 +a(g7 +V&> +p1991 +tp1992 +a(g280 +VPYESC'''\u000a +p1993 +tp1994 +a(g7 +V +p1995 +tp1996 +a(g132 +V\u000a\u000a +p1997 +tp1998 +a(g7 +V<%method +p1999 +tp2000 +a(g132 +V +tp2001 +a(g16 +Vcodepopper trim="both" +p2002 +tp2003 +a(g7 +V> +tp2004 +a(g132 +V\u000a +p2005 +tp2006 +a(g7 +V<%init +p2007 +tp2008 +a(g7 +V> +tp2009 +a(g132 +V\u000a +tp2010 +a(g132 +V +p2011 +tp2012 +a(g13 +Vc +tp2013 +a(g132 +V +tp2014 +a(g287 +V= +tp2015 +a(g132 +V +tp2016 +a(g13 +Vm +tp2017 +a(g287 +V. +tp2018 +a(g13 +Vcontent +p2019 +tp2020 +a(g145 +V( +tp2021 +a(g145 +V) +tp2022 +a(g132 +V\u000a +tp2023 +a(g132 +V +p2024 +tp2025 +a(g13 +Vc +tp2026 +a(g132 +V +tp2027 +a(g287 +V= +tp2028 +a(g132 +V +tp2029 +a(g13 +Vre +p2030 +tp2031 +a(g287 +V. +tp2032 +a(g13 +Vsub +p2033 +tp2034 +a(g145 +V( +tp2035 +a(g169 +Vr' +p2036 +tp2037 +a(g169 +V\u005c +tp2038 +a(g169 +Vn +tp2039 +a(g169 +V' +tp2040 +a(g145 +V, +tp2041 +a(g132 +V +tp2042 +a(g169 +V' +tp2043 +a(g169 +V
    +p2044 +tp2045 +a(g195 +V\u005cn +p2046 +tp2047 +a(g169 +V' +tp2048 +a(g145 +V, +tp2049 +a(g132 +V +tp2050 +a(g13 +Vc +tp2051 +a(g287 +V. +tp2052 +a(g13 +Vstrip +p2053 +tp2054 +a(g145 +V( +tp2055 +a(g145 +V) +tp2056 +a(g145 +V) +tp2057 +a(g132 +V\u000a +tp2058 +a(g132 +V +tp2059 +a(g7 +V +p2060 +tp2061 +a(g132 +V\u000a +p2062 +tp2063 +a(g280 +V
    +p2064 +tp2065 +a(g7 +V<&| +p2066 +tp2067 +a(g16 +VSELF:popbox +p2068 +tp2069 +a(g145 +V, +tp2070 +a(g132 +V +tp2071 +a(g13 +Vclass_ +p2072 +tp2073 +a(g287 +V= +tp2074 +a(g169 +V" +tp2075 +a(g169 +Vcodepop +p2076 +tp2077 +a(g169 +V" +tp2078 +a(g132 +V +tp2079 +a(g7 +V&> +p2080 +tp2081 +a(g7 +V<% +p2082 +tp2083 +a(g132 +V +tp2084 +a(g13 +Vc +tp2085 +a(g132 +V +tp2086 +a(g7 +V%> +p2087 +tp2088 +a(g7 +V +p2089 +tp2090 +a(g280 +V
    \u000a
    +p2091
    +tp2092
    +a(g7
    +V
    +p2093
    +tp2094
    +a(g132
    +V\u000a\u000a
    +p2095
    +tp2096
    +a(g7
    +V<%method
    +p2097
    +tp2098
    +a(g132
    +V 
    +tp2099
    +a(g16
    +Vpoppedcode trim="both"
    +p2100
    +tp2101
    +a(g7
    +V>
    +tp2102
    +a(g132
    +V\u000a	
    +p2103
    +tp2104
    +a(g7
    +V<%init
    +p2105
    +tp2106
    +a(g7
    +V>
    +tp2107
    +a(g132
    +V\u000a
    +tp2108
    +a(g132
    +V		
    +p2109
    +tp2110
    +a(g13
    +Vc
    +tp2111
    +a(g132
    +V 
    +tp2112
    +a(g287
    +V=
    +tp2113
    +a(g132
    +V 
    +tp2114
    +a(g13
    +Vm
    +tp2115
    +a(g287
    +V.
    +tp2116
    +a(g13
    +Vcontent
    +p2117
    +tp2118
    +a(g145
    +V(
    +tp2119
    +a(g145
    +V)
    +tp2120
    +a(g132
    +V\u000a
    +tp2121
    +a(g132
    +V		
    +p2122
    +tp2123
    +a(g13
    +Vc
    +tp2124
    +a(g132
    +V 
    +tp2125
    +a(g287
    +V=
    +tp2126
    +a(g132
    +V 
    +tp2127
    +a(g13
    +Vre
    +p2128
    +tp2129
    +a(g287
    +V.
    +tp2130
    +a(g13
    +Vsub
    +p2131
    +tp2132
    +a(g145
    +V(
    +tp2133
    +a(g169
    +Vr'
    +p2134
    +tp2135
    +a(g169
    +V\u005c
    +tp2136
    +a(g169
    +Vn
    +tp2137
    +a(g169
    +V'
    +tp2138
    +a(g145
    +V,
    +tp2139
    +a(g132
    +V 
    +tp2140
    +a(g169
    +V'
    +tp2141
    +a(g169
    +V
    +p2142 +tp2143 +a(g195 +V\u005cn +p2144 +tp2145 +a(g169 +V' +tp2146 +a(g145 +V, +tp2147 +a(g132 +V +tp2148 +a(g13 +Vc +tp2149 +a(g287 +V. +tp2150 +a(g13 +Vstrip +p2151 +tp2152 +a(g145 +V( +tp2153 +a(g145 +V) +tp2154 +a(g145 +V) +tp2155 +a(g132 +V\u000a +tp2156 +a(g132 +V +tp2157 +a(g7 +V +p2158 +tp2159 +a(g132 +V\u000a +p2160 +tp2161 +a(g280 +V
    +p2162 +tp2163 +a(g7 +V<% +p2164 +tp2165 +a(g132 +V +tp2166 +a(g13 +Vc +tp2167 +a(g132 +V +tp2168 +a(g7 +V%> +p2169 +tp2170 +a(g280 +V
    \u000a
    +p2171
    +tp2172
    +a(g7
    +V
    +p2173
    +tp2174
    +a(g132
    +V\u000a
    +tp2175
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.pas b/tests/examplefiles/output/test.pas
    new file mode 100644
    index 0000000..b9c7e7f
    --- /dev/null
    +++ b/tests/examplefiles/output/test.pas
    @@ -0,0 +1,18327 @@
    +(lp1
    +(ccopy_reg
    +_reconstructor
    +p2
    +(cpygments.token
    +_TokenType
    +p3
    +c__builtin__
    +tuple
    +p4
    +(S'Comment'
    +p5
    +S'Single'
    +p6
    +ttRp7
    +(dp8
    +S'subtypes'
    +p9
    +c__builtin__
    +set
    +p10
    +((ltRp11
    +sS'parent'
    +p12
    +g2
    +(g3
    +g4
    +(g5
    +ttRp13
    +(dp14
    +g12
    +g2
    +(g3
    +g4
    +(ttRp15
    +(dp16
    +g5
    +g13
    +sS'Name'
    +p17
    +g2
    +(g3
    +g4
    +(g17
    +ttRp18
    +(dp19
    +S'Function'
    +p20
    +g2
    +(g3
    +g4
    +(g17
    +g20
    +ttRp21
    +(dp22
    +g9
    +g10
    +((ltRp23
    +sg12
    +g18
    +sbsS'Exception'
    +p24
    +g2
    +(g3
    +g4
    +(g17
    +g24
    +ttRp25
    +(dp26
    +g9
    +g10
    +((ltRp27
    +sg12
    +g18
    +sbsS'Tag'
    +p28
    +g2
    +(g3
    +g4
    +(g17
    +g28
    +ttRp29
    +(dp30
    +g9
    +g10
    +((ltRp31
    +sg12
    +g18
    +sbsS'Constant'
    +p32
    +g2
    +(g3
    +g4
    +(g17
    +g32
    +ttRp33
    +(dp34
    +g9
    +g10
    +((ltRp35
    +sg12
    +g18
    +sbsg12
    +g15
    +sS'Pseudo'
    +p36
    +g2
    +(g3
    +g4
    +(g17
    +g36
    +ttRp37
    +(dp38
    +g9
    +g10
    +((ltRp39
    +sg12
    +g18
    +sbsS'Attribute'
    +p40
    +g2
    +(g3
    +g4
    +(g17
    +g40
    +ttRp41
    +(dp42
    +g9
    +g10
    +((ltRp43
    +sg12
    +g18
    +sbsS'Label'
    +p44
    +g2
    +(g3
    +g4
    +(g17
    +g44
    +ttRp45
    +(dp46
    +g9
    +g10
    +((ltRp47
    +sg12
    +g18
    +sbsS'Blubb'
    +p48
    +g2
    +(g3
    +g4
    +(g17
    +g48
    +ttRp49
    +(dp50
    +g9
    +g10
    +((ltRp51
    +sg12
    +g18
    +sbsS'Entity'
    +p52
    +g2
    +(g3
    +g4
    +(g17
    +g52
    +ttRp53
    +(dp54
    +g9
    +g10
    +((ltRp55
    +sg12
    +g18
    +sbsS'Builtin'
    +p56
    +g2
    +(g3
    +g4
    +(g17
    +g56
    +ttRp57
    +(dp58
    +g9
    +g10
    +((lp59
    +g2
    +(g3
    +g4
    +(g17
    +g56
    +g36
    +ttRp60
    +(dp61
    +g9
    +g10
    +((ltRp62
    +sg12
    +g57
    +sbatRp63
    +sg36
    +g60
    +sg12
    +g18
    +sbsS'Other'
    +p64
    +g2
    +(g3
    +g4
    +(g17
    +g64
    +ttRp65
    +(dp66
    +g9
    +g10
    +((ltRp67
    +sg12
    +g18
    +sbsS'Identifier'
    +p68
    +g2
    +(g3
    +g4
    +(g17
    +g68
    +ttRp69
    +(dp70
    +g9
    +g10
    +((ltRp71
    +sg12
    +g18
    +sbsS'Variable'
    +p72
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +ttRp73
    +(dp74
    +g12
    +g18
    +sS'Global'
    +p75
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +g75
    +ttRp76
    +(dp77
    +g9
    +g10
    +((ltRp78
    +sg12
    +g73
    +sbsS'Instance'
    +p79
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +g79
    +ttRp80
    +(dp81
    +g9
    +g10
    +((ltRp82
    +sg12
    +g73
    +sbsS'Anonymous'
    +p83
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +g83
    +ttRp84
    +(dp85
    +g9
    +g10
    +((ltRp86
    +sg12
    +g73
    +sbsg9
    +g10
    +((lp87
    +g84
    +ag80
    +ag76
    +ag2
    +(g3
    +g4
    +(g17
    +g72
    +S'Class'
    +p88
    +ttRp89
    +(dp90
    +g9
    +g10
    +((ltRp91
    +sg12
    +g73
    +sbatRp92
    +sg88
    +g89
    +sbsg9
    +g10
    +((lp93
    +g2
    +(g3
    +g4
    +(g17
    +S'Decorator'
    +p94
    +ttRp95
    +(dp96
    +g9
    +g10
    +((ltRp97
    +sg12
    +g18
    +sbag41
    +ag33
    +ag37
    +ag2
    +(g3
    +g4
    +(g17
    +S'Namespace'
    +p98
    +ttRp99
    +(dp100
    +g9
    +g10
    +((ltRp101
    +sg12
    +g18
    +sbag69
    +ag57
    +ag73
    +ag65
    +ag49
    +ag53
    +ag21
    +ag2
    +(g3
    +g4
    +(g17
    +S'Property'
    +p102
    +ttRp103
    +(dp104
    +g9
    +g10
    +((ltRp105
    +sg12
    +g18
    +sbag45
    +ag29
    +ag25
    +ag2
    +(g3
    +g4
    +(g17
    +g88
    +ttRp106
    +(dp107
    +g9
    +g10
    +((ltRp108
    +sg12
    +g18
    +sbatRp109
    +sg102
    +g103
    +sg88
    +g106
    +sg94
    +g95
    +sg98
    +g99
    +sbsS'Keyword'
    +p110
    +g2
    +(g3
    +g4
    +(g110
    +ttRp111
    +(dp112
    +S'Pervasive'
    +p113
    +g2
    +(g3
    +g4
    +(g110
    +g113
    +ttRp114
    +(dp115
    +g9
    +g10
    +((ltRp116
    +sg12
    +g111
    +sbsg32
    +g2
    +(g3
    +g4
    +(g110
    +g32
    +ttRp117
    +(dp118
    +g9
    +g10
    +((ltRp119
    +sg12
    +g111
    +sbsg12
    +g15
    +sg98
    +g2
    +(g3
    +g4
    +(g110
    +g98
    +ttRp120
    +(dp121
    +g9
    +g10
    +((ltRp122
    +sg12
    +g111
    +sbsg36
    +g2
    +(g3
    +g4
    +(g110
    +g36
    +ttRp123
    +(dp124
    +g9
    +g10
    +((ltRp125
    +sg12
    +g111
    +sbsS'Reserved'
    +p126
    +g2
    +(g3
    +g4
    +(g110
    +g126
    +ttRp127
    +(dp128
    +g9
    +g10
    +((ltRp129
    +sg12
    +g111
    +sbsS'Declaration'
    +p130
    +g2
    +(g3
    +g4
    +(g110
    +g130
    +ttRp131
    +(dp132
    +g9
    +g10
    +((ltRp133
    +sg12
    +g111
    +sbsg72
    +g2
    +(g3
    +g4
    +(g110
    +g72
    +ttRp134
    +(dp135
    +g9
    +g10
    +((ltRp136
    +sg12
    +g111
    +sbsg9
    +g10
    +((lp137
    +g117
    +ag127
    +ag2
    +(g3
    +g4
    +(g110
    +S'Type'
    +p138
    +ttRp139
    +(dp140
    +g9
    +g10
    +((ltRp141
    +sg12
    +g111
    +sbag114
    +ag131
    +ag134
    +ag120
    +ag123
    +atRp142
    +sg138
    +g139
    +sbsS'Generic'
    +p143
    +g2
    +(g3
    +g4
    +(g143
    +ttRp144
    +(dp145
    +S'Prompt'
    +p146
    +g2
    +(g3
    +g4
    +(g143
    +g146
    +ttRp147
    +(dp148
    +g9
    +g10
    +((ltRp149
    +sg12
    +g144
    +sbsg12
    +g15
    +sS'Deleted'
    +p150
    +g2
    +(g3
    +g4
    +(g143
    +g150
    +ttRp151
    +(dp152
    +g9
    +g10
    +((ltRp153
    +sg12
    +g144
    +sbsS'Traceback'
    +p154
    +g2
    +(g3
    +g4
    +(g143
    +g154
    +ttRp155
    +(dp156
    +g9
    +g10
    +((ltRp157
    +sg12
    +g144
    +sbsS'Emph'
    +p158
    +g2
    +(g3
    +g4
    +(g143
    +g158
    +ttRp159
    +(dp160
    +g9
    +g10
    +((ltRp161
    +sg12
    +g144
    +sbsS'Output'
    +p162
    +g2
    +(g3
    +g4
    +(g143
    +g162
    +ttRp163
    +(dp164
    +g9
    +g10
    +((ltRp165
    +sg12
    +g144
    +sbsS'Subheading'
    +p166
    +g2
    +(g3
    +g4
    +(g143
    +g166
    +ttRp167
    +(dp168
    +g9
    +g10
    +((ltRp169
    +sg12
    +g144
    +sbsS'Error'
    +p170
    +g2
    +(g3
    +g4
    +(g143
    +g170
    +ttRp171
    +(dp172
    +g9
    +g10
    +((ltRp173
    +sg12
    +g144
    +sbsg9
    +g10
    +((lp174
    +g163
    +ag159
    +ag171
    +ag167
    +ag155
    +ag151
    +ag2
    +(g3
    +g4
    +(g143
    +S'Heading'
    +p175
    +ttRp176
    +(dp177
    +g9
    +g10
    +((ltRp178
    +sg12
    +g144
    +sbag2
    +(g3
    +g4
    +(g143
    +S'Inserted'
    +p179
    +ttRp180
    +(dp181
    +g9
    +g10
    +((ltRp182
    +sg12
    +g144
    +sbag2
    +(g3
    +g4
    +(g143
    +S'Strong'
    +p183
    +ttRp184
    +(dp185
    +g9
    +g10
    +((ltRp186
    +sg12
    +g144
    +sbag147
    +atRp187
    +sg183
    +g184
    +sg179
    +g180
    +sg175
    +g176
    +sbsS'Text'
    +p188
    +g2
    +(g3
    +g4
    +(g188
    +ttRp189
    +(dp190
    +g9
    +g10
    +((lp191
    +g2
    +(g3
    +g4
    +(g188
    +S'Symbol'
    +p192
    +ttRp193
    +(dp194
    +g9
    +g10
    +((ltRp195
    +sg12
    +g189
    +sbag2
    +(g3
    +g4
    +(g188
    +S'Whitespace'
    +p196
    +ttRp197
    +(dp198
    +g9
    +g10
    +((ltRp199
    +sg12
    +g189
    +sbatRp200
    +sg192
    +g193
    +sg196
    +g197
    +sg12
    +g15
    +sbsS'Punctuation'
    +p201
    +g2
    +(g3
    +g4
    +(g201
    +ttRp202
    +(dp203
    +g9
    +g10
    +((lp204
    +g2
    +(g3
    +g4
    +(g201
    +S'Indicator'
    +p205
    +ttRp206
    +(dp207
    +g9
    +g10
    +((ltRp208
    +sg12
    +g202
    +sbatRp209
    +sg205
    +g206
    +sg12
    +g15
    +sbsS'Token'
    +p210
    +g15
    +sS'Number'
    +p211
    +g2
    +(g3
    +g4
    +(S'Literal'
    +p212
    +g211
    +ttRp213
    +(dp214
    +S'Bin'
    +p215
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g215
    +ttRp216
    +(dp217
    +g9
    +g10
    +((ltRp218
    +sg12
    +g213
    +sbsS'Binary'
    +p219
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g219
    +ttRp220
    +(dp221
    +g9
    +g10
    +((ltRp222
    +sg12
    +g213
    +sbsg12
    +g2
    +(g3
    +g4
    +(g212
    +ttRp223
    +(dp224
    +S'String'
    +p225
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +ttRp226
    +(dp227
    +S'Regex'
    +p228
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g228
    +ttRp229
    +(dp230
    +g9
    +g10
    +((ltRp231
    +sg12
    +g226
    +sbsS'Interpol'
    +p232
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g232
    +ttRp233
    +(dp234
    +g9
    +g10
    +((ltRp235
    +sg12
    +g226
    +sbsS'Regexp'
    +p236
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g236
    +ttRp237
    +(dp238
    +g9
    +g10
    +((ltRp239
    +sg12
    +g226
    +sbsg12
    +g223
    +sS'Heredoc'
    +p240
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g240
    +ttRp241
    +(dp242
    +g9
    +g10
    +((ltRp243
    +sg12
    +g226
    +sbsS'Double'
    +p244
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g244
    +ttRp245
    +(dp246
    +g9
    +g10
    +((ltRp247
    +sg12
    +g226
    +sbsg192
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g192
    +ttRp248
    +(dp249
    +g9
    +g10
    +((ltRp250
    +sg12
    +g226
    +sbsS'Escape'
    +p251
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g251
    +ttRp252
    +(dp253
    +g9
    +g10
    +((ltRp254
    +sg12
    +g226
    +sbsS'Character'
    +p255
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g255
    +ttRp256
    +(dp257
    +g9
    +g10
    +((ltRp258
    +sg12
    +g226
    +sbsS'Interp'
    +p259
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g259
    +ttRp260
    +(dp261
    +g9
    +g10
    +((ltRp262
    +sg12
    +g226
    +sbsS'Backtick'
    +p263
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g263
    +ttRp264
    +(dp265
    +g9
    +g10
    +((ltRp266
    +sg12
    +g226
    +sbsS'Char'
    +p267
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g267
    +ttRp268
    +(dp269
    +g9
    +g10
    +((ltRp270
    +sg12
    +g226
    +sbsg6
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g6
    +ttRp271
    +(dp272
    +g9
    +g10
    +((ltRp273
    +sg12
    +g226
    +sbsg64
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g64
    +ttRp274
    +(dp275
    +g9
    +g10
    +((ltRp276
    +sg12
    +g226
    +sbsS'Doc'
    +p277
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g277
    +ttRp278
    +(dp279
    +g9
    +g10
    +((ltRp280
    +sg12
    +g226
    +sbsg9
    +g10
    +((lp281
    +g274
    +ag2
    +(g3
    +g4
    +(g212
    +g225
    +S'Atom'
    +p282
    +ttRp283
    +(dp284
    +g9
    +g10
    +((ltRp285
    +sg12
    +g226
    +sbag245
    +ag268
    +ag260
    +ag278
    +ag241
    +ag264
    +ag233
    +ag248
    +ag237
    +ag229
    +ag271
    +ag256
    +ag252
    +atRp286
    +sg282
    +g283
    +sbsg12
    +g15
    +sg211
    +g213
    +sS'Scalar'
    +p287
    +g2
    +(g3
    +g4
    +(g212
    +g287
    +ttRp288
    +(dp289
    +g9
    +g10
    +((lp290
    +g2
    +(g3
    +g4
    +(g212
    +g287
    +S'Plain'
    +p291
    +ttRp292
    +(dp293
    +g9
    +g10
    +((ltRp294
    +sg12
    +g288
    +sbatRp295
    +sg12
    +g223
    +sg291
    +g292
    +sbsg64
    +g2
    +(g3
    +g4
    +(g212
    +g64
    +ttRp296
    +(dp297
    +g9
    +g10
    +((ltRp298
    +sg12
    +g223
    +sbsS'Date'
    +p299
    +g2
    +(g3
    +g4
    +(g212
    +g299
    +ttRp300
    +(dp301
    +g9
    +g10
    +((ltRp302
    +sg12
    +g223
    +sbsg9
    +g10
    +((lp303
    +g300
    +ag226
    +ag296
    +ag213
    +ag288
    +atRp304
    +sbsS'Decimal'
    +p305
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g305
    +ttRp306
    +(dp307
    +g9
    +g10
    +((ltRp308
    +sg12
    +g213
    +sbsS'Float'
    +p309
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g309
    +ttRp310
    +(dp311
    +g9
    +g10
    +((ltRp312
    +sg12
    +g213
    +sbsS'Hex'
    +p313
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g313
    +ttRp314
    +(dp315
    +g9
    +g10
    +((ltRp316
    +sg12
    +g213
    +sbsS'Integer'
    +p317
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g317
    +ttRp318
    +(dp319
    +g9
    +g10
    +((lp320
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g317
    +S'Long'
    +p321
    +ttRp322
    +(dp323
    +g9
    +g10
    +((ltRp324
    +sg12
    +g318
    +sbatRp325
    +sg321
    +g322
    +sg12
    +g213
    +sbsS'Octal'
    +p326
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g326
    +ttRp327
    +(dp328
    +g9
    +g10
    +((ltRp329
    +sg12
    +g213
    +sbsg9
    +g10
    +((lp330
    +g216
    +ag220
    +ag327
    +ag306
    +ag2
    +(g3
    +g4
    +(g212
    +g211
    +S'Oct'
    +p331
    +ttRp332
    +(dp333
    +g9
    +g10
    +((ltRp334
    +sg12
    +g213
    +sbag318
    +ag310
    +ag314
    +atRp335
    +sg331
    +g332
    +sbsg212
    +g223
    +sg64
    +g2
    +(g3
    +g4
    +(g64
    +ttRp336
    +(dp337
    +g9
    +g10
    +((ltRp338
    +sg12
    +g15
    +sbsg170
    +g2
    +(g3
    +g4
    +(g170
    +ttRp339
    +(dp340
    +g9
    +g10
    +((ltRp341
    +sg12
    +g15
    +sbsS'Operator'
    +p342
    +g2
    +(g3
    +g4
    +(g342
    +ttRp343
    +(dp344
    +g9
    +g10
    +((lp345
    +g2
    +(g3
    +g4
    +(g342
    +S'Word'
    +p346
    +ttRp347
    +(dp348
    +g9
    +g10
    +((ltRp349
    +sg12
    +g343
    +sbatRp350
    +sg346
    +g347
    +sg12
    +g15
    +sbsg9
    +g10
    +((lp351
    +g13
    +ag339
    +ag144
    +ag189
    +ag18
    +ag202
    +ag111
    +ag223
    +ag343
    +ag336
    +atRp352
    +sg225
    +g226
    +sbsS'Preproc'
    +p353
    +g2
    +(g3
    +g4
    +(g5
    +g353
    +ttRp354
    +(dp355
    +g9
    +g10
    +((ltRp356
    +sg12
    +g13
    +sbsg6
    +g7
    +sS'Multiline'
    +p357
    +g2
    +(g3
    +g4
    +(g5
    +g357
    +ttRp358
    +(dp359
    +g9
    +g10
    +((ltRp360
    +sg12
    +g13
    +sbsg9
    +g10
    +((lp361
    +g2
    +(g3
    +g4
    +(g5
    +S'Special'
    +p362
    +ttRp363
    +(dp364
    +g9
    +g10
    +((ltRp365
    +sg12
    +g13
    +sbag354
    +ag7
    +ag358
    +atRp366
    +sg362
    +g363
    +sbsbV//
    +p367
    +tp368
    +a(g189
    +V\u000a
    +tp369
    +a(g7
    +V// Sourcecode from http://www.delphi-library.de/topic_47880.html
    +p370
    +tp371
    +a(g189
    +V\u000a
    +tp372
    +a(g7
    +V//
    +p373
    +tp374
    +a(g189
    +V\u000a
    +tp375
    +a(g111
    +Vuses
    +p376
    +tp377
    +a(g189
    +V 
    +tp378
    +a(g18
    +VWindows
    +p379
    +tp380
    +a(g343
    +V,
    +tp381
    +a(g189
    +V 
    +tp382
    +a(g18
    +VMessages
    +p383
    +tp384
    +a(g343
    +V;
    +tp385
    +a(g189
    +V\u000a\u000a
    +p386
    +tp387
    +a(g111
    +Vconst
    +p388
    +tp389
    +a(g189
    +V\u000a  
    +p390
    +tp391
    +a(g18
    +VFFM_INIT
    +p392
    +tp393
    +a(g189
    +V               
    +p394
    +tp395
    +a(g343
    +V=
    +tp396
    +a(g189
    +V 
    +tp397
    +a(g18
    +VWM_USER
    +p398
    +tp399
    +a(g189
    +V 
    +tp400
    +a(g343
    +V+
    +tp401
    +a(g189
    +V 
    +tp402
    +a(g318
    +V1976
    +p403
    +tp404
    +a(g343
    +V;
    +tp405
    +a(g189
    +V\u000a  
    +p406
    +tp407
    +a(g18
    +VFFM_ONFILEFOUND
    +p408
    +tp409
    +a(g189
    +V        
    +p410
    +tp411
    +a(g343
    +V=
    +tp412
    +a(g189
    +V 
    +tp413
    +a(g18
    +VWM_USER
    +p414
    +tp415
    +a(g189
    +V 
    +tp416
    +a(g343
    +V+
    +tp417
    +a(g189
    +V 
    +tp418
    +a(g318
    +V1974
    +p419
    +tp420
    +a(g343
    +V;
    +tp421
    +a(g189
    +V 
    +tp422
    +a(g7
    +V// wParam: not used, lParam: Filename
    +p423
    +tp424
    +a(g189
    +V\u000a  
    +p425
    +tp426
    +a(g18
    +VFFM_ONDIRFOUND
    +p427
    +tp428
    +a(g189
    +V         
    +p429
    +tp430
    +a(g343
    +V=
    +tp431
    +a(g189
    +V 
    +tp432
    +a(g18
    +VWM_USER
    +p433
    +tp434
    +a(g189
    +V 
    +tp435
    +a(g343
    +V+
    +tp436
    +a(g189
    +V 
    +tp437
    +a(g318
    +V1975
    +p438
    +tp439
    +a(g343
    +V;
    +tp440
    +a(g189
    +V 
    +tp441
    +a(g7
    +V// wParam: NumFolder, lParam: Directory
    +p442
    +tp443
    +a(g189
    +V\u000a
    +tp444
    +a(g111
    +Vvar
    +p445
    +tp446
    +a(g189
    +V\u000a  
    +p447
    +tp448
    +a(g18
    +VCntFolders
    +p449
    +tp450
    +a(g189
    +V             
    +p451
    +tp452
    +a(g343
    +V:
    +tp453
    +a(g189
    +V 
    +tp454
    +a(g139
    +VCardinal
    +p455
    +tp456
    +a(g189
    +V 
    +tp457
    +a(g343
    +V=
    +tp458
    +a(g189
    +V 
    +tp459
    +a(g318
    +V0
    +tp460
    +a(g343
    +V;
    +tp461
    +a(g189
    +V\u000a  
    +p462
    +tp463
    +a(g18
    +VNumFolder
    +p464
    +tp465
    +a(g189
    +V              
    +p466
    +tp467
    +a(g343
    +V:
    +tp468
    +a(g189
    +V 
    +tp469
    +a(g139
    +VCardinal
    +p470
    +tp471
    +a(g189
    +V 
    +tp472
    +a(g343
    +V=
    +tp473
    +a(g189
    +V 
    +tp474
    +a(g318
    +V0
    +tp475
    +a(g343
    +V;
    +tp476
    +a(g189
    +V\u000a\u000a\u000a
    +p477
    +tp478
    +a(g7
    +V////////////////////////////////////////////////////////////////////////////////
    +p479
    +tp480
    +a(g189
    +V\u000a
    +tp481
    +a(g7
    +V//
    +p482
    +tp483
    +a(g189
    +V\u000a
    +tp484
    +a(g7
    +V//  FindAllFilesInit
    +p485
    +tp486
    +a(g189
    +V\u000a
    +tp487
    +a(g7
    +V//
    +p488
    +tp489
    +a(g189
    +V\u000a
    +tp490
    +a(g7
    +V//
    +p491
    +tp492
    +a(g189
    +V\u000a
    +tp493
    +a(g111
    +Vprocedure
    +p494
    +tp495
    +a(g189
    +V 
    +tp496
    +a(g21
    +VFindAllFilesInit
    +p497
    +tp498
    +a(g343
    +V;
    +tp499
    +a(g189
    +V 
    +tp500
    +a(g123
    +Vexternal
    +p501
    +tp502
    +a(g343
    +V;
    +tp503
    +a(g189
    +V\u000a
    +tp504
    +a(g111
    +Vlabel
    +p505
    +tp506
    +a(g189
    +V 
    +tp507
    +a(g45
    +Vfoo
    +p508
    +tp509
    +a(g343
    +V;
    +tp510
    +a(g189
    +V\u000a
    +tp511
    +a(g111
    +Vbegin
    +p512
    +tp513
    +a(g189
    +V\u000a  
    +p514
    +tp515
    +a(g18
    +VCntFolders
    +p516
    +tp517
    +a(g189
    +V 
    +tp518
    +a(g343
    +V:
    +tp519
    +a(g343
    +V=
    +tp520
    +a(g189
    +V 
    +tp521
    +a(g318
    +V0
    +tp522
    +a(g343
    +V;
    +tp523
    +a(g189
    +V\u000a  
    +p524
    +tp525
    +a(g18
    +VNumFolder
    +p526
    +tp527
    +a(g189
    +V 
    +tp528
    +a(g343
    +V:
    +tp529
    +a(g343
    +V=
    +tp530
    +a(g189
    +V 
    +tp531
    +a(g318
    +V0
    +tp532
    +a(g343
    +V;
    +tp533
    +a(g189
    +V\u000a
    +tp534
    +a(g45
    +Vfoo
    +p535
    +tp536
    +a(g343
    +V:
    +tp537
    +a(g189
    +V\u000a  
    +p538
    +tp539
    +a(g18
    +VBlub
    +p540
    +tp541
    +a(g343
    +V;
    +tp542
    +a(g189
    +V\u000a  
    +p543
    +tp544
    +a(g111
    +Vgoto
    +p545
    +tp546
    +a(g189
    +V 
    +tp547
    +a(g45
    +Vfoo
    +p548
    +tp549
    +a(g343
    +V;
    +tp550
    +a(g189
    +V\u000a
    +tp551
    +a(g111
    +Vend
    +p552
    +tp553
    +a(g343
    +V;
    +tp554
    +a(g189
    +V\u000a\u000a
    +p555
    +tp556
    +a(g7
    +V////////////////////////////////////////////////////////////////////////////////
    +p557
    +tp558
    +a(g189
    +V\u000a
    +tp559
    +a(g7
    +V//
    +p560
    +tp561
    +a(g189
    +V\u000a
    +tp562
    +a(g7
    +V//  CountFolders
    +p563
    +tp564
    +a(g189
    +V\u000a
    +tp565
    +a(g7
    +V//
    +p566
    +tp567
    +a(g189
    +V\u000a
    +tp568
    +a(g7
    +V//
    +p569
    +tp570
    +a(g189
    +V\u000a
    +tp571
    +a(g111
    +Vprocedure
    +p572
    +tp573
    +a(g189
    +V 
    +tp574
    +a(g21
    +VCountFolders
    +p575
    +tp576
    +a(g202
    +V(
    +tp577
    +a(g18
    +VHandle
    +p578
    +tp579
    +a(g343
    +V:
    +tp580
    +a(g189
    +V 
    +tp581
    +a(g139
    +VTHandle
    +p582
    +tp583
    +a(g343
    +V;
    +tp584
    +a(g189
    +V 
    +tp585
    +a(g18
    +VRootFolder
    +p586
    +tp587
    +a(g343
    +V:
    +tp588
    +a(g189
    +V 
    +tp589
    +a(g111
    +Vstring
    +p590
    +tp591
    +a(g343
    +V;
    +tp592
    +a(g189
    +V 
    +tp593
    +a(g18
    +VRecurse
    +p594
    +tp595
    +a(g343
    +V:
    +tp596
    +a(g189
    +V 
    +tp597
    +a(g139
    +VBoolean
    +p598
    +tp599
    +a(g189
    +V 
    +tp600
    +a(g343
    +V=
    +tp601
    +a(g189
    +V 
    +tp602
    +a(g111
    +VTrue
    +p603
    +tp604
    +a(g202
    +V)
    +tp605
    +a(g343
    +V;
    +tp606
    +a(g189
    +V\u000a
    +tp607
    +a(g111
    +Vvar
    +p608
    +tp609
    +a(g189
    +V\u000a  
    +p610
    +tp611
    +a(g18
    +VhFindFile
    +p612
    +tp613
    +a(g189
    +V              
    +p614
    +tp615
    +a(g343
    +V:
    +tp616
    +a(g189
    +V 
    +tp617
    +a(g139
    +VTHandle
    +p618
    +tp619
    +a(g343
    +V;
    +tp620
    +a(g189
    +V\u000a  
    +p621
    +tp622
    +a(g18
    +Vwfd
    +p623
    +tp624
    +a(g189
    +V                    
    +p625
    +tp626
    +a(g343
    +V:
    +tp627
    +a(g189
    +V 
    +tp628
    +a(g18
    +VTWin32FindData
    +p629
    +tp630
    +a(g343
    +V;
    +tp631
    +a(g189
    +V\u000a
    +tp632
    +a(g111
    +Vbegin
    +p633
    +tp634
    +a(g189
    +V\u000a  
    +p635
    +tp636
    +a(g18
    +VSendMessage
    +p637
    +tp638
    +a(g202
    +V(
    +tp639
    +a(g18
    +VHandle
    +p640
    +tp641
    +a(g343
    +V,
    +tp642
    +a(g189
    +V 
    +tp643
    +a(g18
    +VFFM_INIT
    +p644
    +tp645
    +a(g343
    +V,
    +tp646
    +a(g189
    +V 
    +tp647
    +a(g318
    +V0
    +tp648
    +a(g343
    +V,
    +tp649
    +a(g189
    +V 
    +tp650
    +a(g318
    +V0
    +tp651
    +a(g202
    +V)
    +tp652
    +a(g343
    +V;
    +tp653
    +a(g189
    +V\u000a  
    +p654
    +tp655
    +a(g111
    +Vif
    +p656
    +tp657
    +a(g189
    +V 
    +tp658
    +a(g18
    +VRootFolder
    +p659
    +tp660
    +a(g202
    +V[
    +tp661
    +a(g57
    +Vlength
    +p662
    +tp663
    +a(g202
    +V(
    +tp664
    +a(g18
    +VRootFolder
    +p665
    +tp666
    +a(g202
    +V)]
    +p667
    +tp668
    +a(g189
    +V 
    +tp669
    +a(g343
    +V<
    +tp670
    +a(g343
    +V>
    +tp671
    +a(g189
    +V 
    +tp672
    +a(g226
    +V'
    +tp673
    +a(g226
    +V\u005c
    +tp674
    +a(g226
    +V'
    +tp675
    +a(g189
    +V 
    +tp676
    +a(g111
    +Vthen
    +p677
    +tp678
    +a(g189
    +V\u000a    
    +p679
    +tp680
    +a(g18
    +VRootFolder
    +p681
    +tp682
    +a(g189
    +V 
    +tp683
    +a(g343
    +V:
    +tp684
    +a(g343
    +V=
    +tp685
    +a(g189
    +V 
    +tp686
    +a(g18
    +VRootFolder
    +p687
    +tp688
    +a(g189
    +V 
    +tp689
    +a(g343
    +V+
    +tp690
    +a(g189
    +V 
    +tp691
    +a(g226
    +V'
    +tp692
    +a(g226
    +V\u005c
    +tp693
    +a(g226
    +V'
    +tp694
    +a(g343
    +V;
    +tp695
    +a(g189
    +V\u000a  
    +p696
    +tp697
    +a(g18
    +VZeroMemory
    +p698
    +tp699
    +a(g202
    +V(
    +tp700
    +a(g343
    +V@
    +tp701
    +a(g18
    +Vwfd
    +p702
    +tp703
    +a(g343
    +V,
    +tp704
    +a(g189
    +V 
    +tp705
    +a(g57
    +Vsizeof
    +p706
    +tp707
    +a(g202
    +V(
    +tp708
    +a(g18
    +Vwfd
    +p709
    +tp710
    +a(g202
    +V))
    +p711
    +tp712
    +a(g343
    +V;
    +tp713
    +a(g189
    +V\u000a  
    +p714
    +tp715
    +a(g18
    +Vwfd
    +p716
    +tp717
    +a(g343
    +V.
    +tp718
    +a(g18
    +VdwFileAttributes
    +p719
    +tp720
    +a(g189
    +V 
    +tp721
    +a(g343
    +V:
    +tp722
    +a(g343
    +V=
    +tp723
    +a(g189
    +V 
    +tp724
    +a(g18
    +VFILE_ATTRIBUTE_NORMAL
    +p725
    +tp726
    +a(g343
    +V;
    +tp727
    +a(g189
    +V\u000a  
    +p728
    +tp729
    +a(g111
    +Vif
    +p730
    +tp731
    +a(g189
    +V 
    +tp732
    +a(g18
    +VRecurse
    +p733
    +tp734
    +a(g189
    +V 
    +tp735
    +a(g111
    +Vthen
    +p736
    +tp737
    +a(g189
    +V\u000a  
    +p738
    +tp739
    +a(g111
    +Vbegin
    +p740
    +tp741
    +a(g189
    +V\u000a    
    +p742
    +tp743
    +a(g18
    +VhFindFile
    +p744
    +tp745
    +a(g189
    +V 
    +tp746
    +a(g343
    +V:
    +tp747
    +a(g343
    +V=
    +tp748
    +a(g189
    +V 
    +tp749
    +a(g18
    +VFindFirstFile
    +p750
    +tp751
    +a(g202
    +V(
    +tp752
    +a(g139
    +Vpointer
    +p753
    +tp754
    +a(g202
    +V(
    +tp755
    +a(g18
    +VRootFolder
    +p756
    +tp757
    +a(g189
    +V 
    +tp758
    +a(g343
    +V+
    +tp759
    +a(g189
    +V 
    +tp760
    +a(g226
    +V'
    +tp761
    +a(g226
    +V*.*
    +p762
    +tp763
    +a(g226
    +V'
    +tp764
    +a(g202
    +V)
    +tp765
    +a(g343
    +V,
    +tp766
    +a(g189
    +V 
    +tp767
    +a(g18
    +Vwfd
    +p768
    +tp769
    +a(g202
    +V)
    +tp770
    +a(g343
    +V;
    +tp771
    +a(g189
    +V\u000a    
    +p772
    +tp773
    +a(g111
    +Vif
    +p774
    +tp775
    +a(g189
    +V 
    +tp776
    +a(g18
    +VhFindFile
    +p777
    +tp778
    +a(g189
    +V 
    +tp779
    +a(g343
    +V<
    +tp780
    +a(g343
    +V>
    +tp781
    +a(g189
    +V 
    +tp782
    +a(g318
    +V0
    +tp783
    +a(g189
    +V 
    +tp784
    +a(g111
    +Vthen
    +p785
    +tp786
    +a(g189
    +V\u000a    
    +p787
    +tp788
    +a(g111
    +Vtry
    +p789
    +tp790
    +a(g189
    +V\u000a      
    +p791
    +tp792
    +a(g111
    +Vrepeat
    +p793
    +tp794
    +a(g189
    +V\u000a        
    +p795
    +tp796
    +a(g111
    +Vif
    +p797
    +tp798
    +a(g189
    +V 
    +tp799
    +a(g18
    +Vwfd
    +p800
    +tp801
    +a(g343
    +V.
    +tp802
    +a(g18
    +VdwFileAttributes
    +p803
    +tp804
    +a(g189
    +V 
    +tp805
    +a(g111
    +Vand
    +p806
    +tp807
    +a(g189
    +V 
    +tp808
    +a(g18
    +VFILE_ATTRIBUTE_DIRECTORY
    +p809
    +tp810
    +a(g189
    +V 
    +tp811
    +a(g343
    +V=
    +tp812
    +a(g189
    +V 
    +tp813
    +a(g18
    +VFILE_ATTRIBUTE_DIRECTORY
    +p814
    +tp815
    +a(g189
    +V 
    +tp816
    +a(g111
    +Vthen
    +p817
    +tp818
    +a(g189
    +V\u000a        
    +p819
    +tp820
    +a(g111
    +Vbegin
    +p821
    +tp822
    +a(g189
    +V\u000a          
    +p823
    +tp824
    +a(g111
    +Vif
    +p825
    +tp826
    +a(g189
    +V 
    +tp827
    +a(g202
    +V(
    +tp828
    +a(g111
    +Vstring
    +p829
    +tp830
    +a(g202
    +V(
    +tp831
    +a(g18
    +Vwfd
    +p832
    +tp833
    +a(g343
    +V.
    +tp834
    +a(g18
    +VcFileName
    +p835
    +tp836
    +a(g202
    +V)
    +tp837
    +a(g189
    +V 
    +tp838
    +a(g343
    +V<
    +tp839
    +a(g343
    +V>
    +tp840
    +a(g189
    +V 
    +tp841
    +a(g226
    +V'
    +tp842
    +a(g226
    +V.
    +tp843
    +a(g226
    +V'
    +tp844
    +a(g202
    +V)
    +tp845
    +a(g189
    +V 
    +tp846
    +a(g111
    +Vand
    +p847
    +tp848
    +a(g189
    +V 
    +tp849
    +a(g202
    +V(
    +tp850
    +a(g111
    +Vstring
    +p851
    +tp852
    +a(g202
    +V(
    +tp853
    +a(g18
    +Vwfd
    +p854
    +tp855
    +a(g343
    +V.
    +tp856
    +a(g18
    +VcFileName
    +p857
    +tp858
    +a(g202
    +V)
    +tp859
    +a(g189
    +V 
    +tp860
    +a(g343
    +V<
    +tp861
    +a(g343
    +V>
    +tp862
    +a(g189
    +V 
    +tp863
    +a(g226
    +V'
    +tp864
    +a(g226
    +V..
    +p865
    +tp866
    +a(g226
    +V'
    +tp867
    +a(g202
    +V)
    +tp868
    +a(g189
    +V 
    +tp869
    +a(g111
    +Vthen
    +p870
    +tp871
    +a(g189
    +V\u000a          
    +p872
    +tp873
    +a(g111
    +Vbegin
    +p874
    +tp875
    +a(g189
    +V\u000a            
    +p876
    +tp877
    +a(g18
    +VCountFolders
    +p878
    +tp879
    +a(g202
    +V(
    +tp880
    +a(g18
    +VHandle
    +p881
    +tp882
    +a(g343
    +V,
    +tp883
    +a(g189
    +V 
    +tp884
    +a(g18
    +VRootFolder
    +p885
    +tp886
    +a(g189
    +V 
    +tp887
    +a(g343
    +V+
    +tp888
    +a(g189
    +V 
    +tp889
    +a(g18
    +Vwfd
    +p890
    +tp891
    +a(g343
    +V.
    +tp892
    +a(g18
    +VcFileName
    +p893
    +tp894
    +a(g343
    +V,
    +tp895
    +a(g189
    +V 
    +tp896
    +a(g18
    +VRecurse
    +p897
    +tp898
    +a(g202
    +V)
    +tp899
    +a(g343
    +V;
    +tp900
    +a(g189
    +V\u000a          
    +p901
    +tp902
    +a(g111
    +Vend
    +p903
    +tp904
    +a(g343
    +V;
    +tp905
    +a(g189
    +V\u000a        
    +p906
    +tp907
    +a(g111
    +Vend
    +p908
    +tp909
    +a(g343
    +V;
    +tp910
    +a(g189
    +V\u000a      
    +p911
    +tp912
    +a(g111
    +Vuntil
    +p913
    +tp914
    +a(g189
    +V 
    +tp915
    +a(g18
    +VFindNextFile
    +p916
    +tp917
    +a(g202
    +V(
    +tp918
    +a(g18
    +VhFindFile
    +p919
    +tp920
    +a(g343
    +V,
    +tp921
    +a(g189
    +V 
    +tp922
    +a(g18
    +Vwfd
    +p923
    +tp924
    +a(g202
    +V)
    +tp925
    +a(g189
    +V 
    +tp926
    +a(g343
    +V=
    +tp927
    +a(g189
    +V 
    +tp928
    +a(g111
    +VFalse
    +p929
    +tp930
    +a(g343
    +V;
    +tp931
    +a(g189
    +V\u000a      
    +p932
    +tp933
    +a(g57
    +VInc
    +p934
    +tp935
    +a(g202
    +V(
    +tp936
    +a(g18
    +VCntFolders
    +p937
    +tp938
    +a(g202
    +V)
    +tp939
    +a(g343
    +V;
    +tp940
    +a(g189
    +V\u000a    
    +p941
    +tp942
    +a(g111
    +Vfinally
    +p943
    +tp944
    +a(g189
    +V\u000a      
    +p945
    +tp946
    +a(g18
    +VWindows
    +p947
    +tp948
    +a(g343
    +V.
    +tp949
    +a(g18
    +VFindClose
    +p950
    +tp951
    +a(g202
    +V(
    +tp952
    +a(g18
    +VhFindFile
    +p953
    +tp954
    +a(g202
    +V)
    +tp955
    +a(g343
    +V;
    +tp956
    +a(g189
    +V\u000a    
    +p957
    +tp958
    +a(g111
    +Vend
    +p959
    +tp960
    +a(g343
    +V;
    +tp961
    +a(g189
    +V\u000a  
    +p962
    +tp963
    +a(g111
    +Vend
    +p964
    +tp965
    +a(g343
    +V;
    +tp966
    +a(g189
    +V\u000a
    +tp967
    +a(g111
    +Vend
    +p968
    +tp969
    +a(g343
    +V;
    +tp970
    +a(g189
    +V\u000a\u000a
    +p971
    +tp972
    +a(g7
    +V////////////////////////////////////////////////////////////////////////////////
    +p973
    +tp974
    +a(g189
    +V\u000a
    +tp975
    +a(g7
    +V//
    +p976
    +tp977
    +a(g189
    +V\u000a
    +tp978
    +a(g7
    +V//  FindAllFiles
    +p979
    +tp980
    +a(g189
    +V\u000a
    +tp981
    +a(g7
    +V//
    +p982
    +tp983
    +a(g189
    +V\u000a
    +tp984
    +a(g111
    +Vprocedure
    +p985
    +tp986
    +a(g189
    +V 
    +tp987
    +a(g21
    +VFindAllFiles
    +p988
    +tp989
    +a(g202
    +V(
    +tp990
    +a(g18
    +VHandle
    +p991
    +tp992
    +a(g343
    +V:
    +tp993
    +a(g189
    +V 
    +tp994
    +a(g139
    +VTHandle
    +p995
    +tp996
    +a(g343
    +V;
    +tp997
    +a(g189
    +V 
    +tp998
    +a(g18
    +VRootFolder
    +p999
    +tp1000
    +a(g343
    +V:
    +tp1001
    +a(g189
    +V 
    +tp1002
    +a(g111
    +Vstring
    +p1003
    +tp1004
    +a(g343
    +V;
    +tp1005
    +a(g189
    +V 
    +tp1006
    +a(g18
    +VMask
    +p1007
    +tp1008
    +a(g343
    +V:
    +tp1009
    +a(g189
    +V 
    +tp1010
    +a(g111
    +Vstring
    +p1011
    +tp1012
    +a(g343
    +V;
    +tp1013
    +a(g189
    +V 
    +tp1014
    +a(g18
    +VRecurse
    +p1015
    +tp1016
    +a(g343
    +V:
    +tp1017
    +a(g189
    +V 
    +tp1018
    +a(g139
    +VBoolean
    +p1019
    +tp1020
    +a(g189
    +V 
    +tp1021
    +a(g343
    +V=
    +tp1022
    +a(g189
    +V 
    +tp1023
    +a(g111
    +VTrue
    +p1024
    +tp1025
    +a(g202
    +V)
    +tp1026
    +a(g343
    +V;
    +tp1027
    +a(g189
    +V\u000a
    +tp1028
    +a(g111
    +Vvar
    +p1029
    +tp1030
    +a(g189
    +V\u000a  
    +p1031
    +tp1032
    +a(g18
    +VhFindFile
    +p1033
    +tp1034
    +a(g189
    +V              
    +p1035
    +tp1036
    +a(g343
    +V:
    +tp1037
    +a(g189
    +V 
    +tp1038
    +a(g139
    +VTHandle
    +p1039
    +tp1040
    +a(g343
    +V;
    +tp1041
    +a(g189
    +V\u000a  
    +p1042
    +tp1043
    +a(g18
    +Vwfd
    +p1044
    +tp1045
    +a(g189
    +V                    
    +p1046
    +tp1047
    +a(g343
    +V:
    +tp1048
    +a(g189
    +V 
    +tp1049
    +a(g18
    +VTWin32FindData
    +p1050
    +tp1051
    +a(g343
    +V;
    +tp1052
    +a(g189
    +V\u000a
    +tp1053
    +a(g111
    +Vbegin
    +p1054
    +tp1055
    +a(g189
    +V\u000a  
    +p1056
    +tp1057
    +a(g111
    +Vif
    +p1058
    +tp1059
    +a(g189
    +V 
    +tp1060
    +a(g18
    +VRootFolder
    +p1061
    +tp1062
    +a(g202
    +V[
    +tp1063
    +a(g57
    +Vlength
    +p1064
    +tp1065
    +a(g202
    +V(
    +tp1066
    +a(g18
    +VRootFolder
    +p1067
    +tp1068
    +a(g202
    +V)]
    +p1069
    +tp1070
    +a(g189
    +V 
    +tp1071
    +a(g343
    +V<
    +tp1072
    +a(g343
    +V>
    +tp1073
    +a(g189
    +V 
    +tp1074
    +a(g226
    +V'
    +tp1075
    +a(g226
    +V\u005c
    +tp1076
    +a(g226
    +V'
    +tp1077
    +a(g189
    +V 
    +tp1078
    +a(g111
    +Vthen
    +p1079
    +tp1080
    +a(g189
    +V\u000a    
    +p1081
    +tp1082
    +a(g18
    +VRootFolder
    +p1083
    +tp1084
    +a(g189
    +V 
    +tp1085
    +a(g343
    +V:
    +tp1086
    +a(g343
    +V=
    +tp1087
    +a(g189
    +V 
    +tp1088
    +a(g18
    +VRootFolder
    +p1089
    +tp1090
    +a(g189
    +V 
    +tp1091
    +a(g343
    +V+
    +tp1092
    +a(g189
    +V 
    +tp1093
    +a(g226
    +V'
    +tp1094
    +a(g226
    +V\u005c
    +tp1095
    +a(g226
    +V'
    +tp1096
    +a(g343
    +V;
    +tp1097
    +a(g189
    +V\u000a  
    +p1098
    +tp1099
    +a(g18
    +VZeroMemory
    +p1100
    +tp1101
    +a(g202
    +V(
    +tp1102
    +a(g343
    +V@
    +tp1103
    +a(g18
    +Vwfd
    +p1104
    +tp1105
    +a(g343
    +V,
    +tp1106
    +a(g189
    +V 
    +tp1107
    +a(g57
    +Vsizeof
    +p1108
    +tp1109
    +a(g202
    +V(
    +tp1110
    +a(g18
    +Vwfd
    +p1111
    +tp1112
    +a(g202
    +V))
    +p1113
    +tp1114
    +a(g343
    +V;
    +tp1115
    +a(g189
    +V\u000a  
    +p1116
    +tp1117
    +a(g18
    +Vwfd
    +p1118
    +tp1119
    +a(g343
    +V.
    +tp1120
    +a(g18
    +VdwFileAttributes
    +p1121
    +tp1122
    +a(g189
    +V 
    +tp1123
    +a(g343
    +V:
    +tp1124
    +a(g343
    +V=
    +tp1125
    +a(g189
    +V 
    +tp1126
    +a(g18
    +VFILE_ATTRIBUTE_NORMAL
    +p1127
    +tp1128
    +a(g343
    +V;
    +tp1129
    +a(g189
    +V\u000a  
    +p1130
    +tp1131
    +a(g111
    +Vif
    +p1132
    +tp1133
    +a(g189
    +V 
    +tp1134
    +a(g18
    +VRecurse
    +p1135
    +tp1136
    +a(g189
    +V 
    +tp1137
    +a(g111
    +Vthen
    +p1138
    +tp1139
    +a(g189
    +V\u000a  
    +p1140
    +tp1141
    +a(g111
    +Vbegin
    +p1142
    +tp1143
    +a(g189
    +V\u000a    
    +p1144
    +tp1145
    +a(g18
    +VhFindFile
    +p1146
    +tp1147
    +a(g189
    +V 
    +tp1148
    +a(g343
    +V:
    +tp1149
    +a(g343
    +V=
    +tp1150
    +a(g189
    +V 
    +tp1151
    +a(g18
    +VFindFirstFile
    +p1152
    +tp1153
    +a(g202
    +V(
    +tp1154
    +a(g139
    +Vpointer
    +p1155
    +tp1156
    +a(g202
    +V(
    +tp1157
    +a(g18
    +VRootFolder
    +p1158
    +tp1159
    +a(g189
    +V 
    +tp1160
    +a(g343
    +V+
    +tp1161
    +a(g189
    +V 
    +tp1162
    +a(g226
    +V'
    +tp1163
    +a(g226
    +V*.*
    +p1164
    +tp1165
    +a(g226
    +V'
    +tp1166
    +a(g202
    +V)
    +tp1167
    +a(g343
    +V,
    +tp1168
    +a(g189
    +V 
    +tp1169
    +a(g18
    +Vwfd
    +p1170
    +tp1171
    +a(g202
    +V)
    +tp1172
    +a(g343
    +V;
    +tp1173
    +a(g189
    +V\u000a    
    +p1174
    +tp1175
    +a(g111
    +Vif
    +p1176
    +tp1177
    +a(g189
    +V 
    +tp1178
    +a(g18
    +VhFindFile
    +p1179
    +tp1180
    +a(g189
    +V 
    +tp1181
    +a(g343
    +V<
    +tp1182
    +a(g343
    +V>
    +tp1183
    +a(g189
    +V 
    +tp1184
    +a(g318
    +V0
    +tp1185
    +a(g189
    +V 
    +tp1186
    +a(g111
    +Vthen
    +p1187
    +tp1188
    +a(g189
    +V\u000a    
    +p1189
    +tp1190
    +a(g111
    +Vtry
    +p1191
    +tp1192
    +a(g189
    +V\u000a      
    +p1193
    +tp1194
    +a(g111
    +Vrepeat
    +p1195
    +tp1196
    +a(g189
    +V\u000a        
    +p1197
    +tp1198
    +a(g111
    +Vif
    +p1199
    +tp1200
    +a(g189
    +V 
    +tp1201
    +a(g18
    +Vwfd
    +p1202
    +tp1203
    +a(g343
    +V.
    +tp1204
    +a(g18
    +VdwFileAttributes
    +p1205
    +tp1206
    +a(g189
    +V 
    +tp1207
    +a(g111
    +Vand
    +p1208
    +tp1209
    +a(g189
    +V 
    +tp1210
    +a(g18
    +VFILE_ATTRIBUTE_DIRECTORY
    +p1211
    +tp1212
    +a(g189
    +V 
    +tp1213
    +a(g343
    +V=
    +tp1214
    +a(g189
    +V 
    +tp1215
    +a(g18
    +VFILE_ATTRIBUTE_DIRECTORY
    +p1216
    +tp1217
    +a(g189
    +V 
    +tp1218
    +a(g111
    +Vthen
    +p1219
    +tp1220
    +a(g189
    +V\u000a        
    +p1221
    +tp1222
    +a(g111
    +Vbegin
    +p1223
    +tp1224
    +a(g189
    +V\u000a          
    +p1225
    +tp1226
    +a(g111
    +Vif
    +p1227
    +tp1228
    +a(g189
    +V 
    +tp1229
    +a(g202
    +V(
    +tp1230
    +a(g111
    +Vstring
    +p1231
    +tp1232
    +a(g202
    +V(
    +tp1233
    +a(g18
    +Vwfd
    +p1234
    +tp1235
    +a(g343
    +V.
    +tp1236
    +a(g18
    +VcFileName
    +p1237
    +tp1238
    +a(g202
    +V)
    +tp1239
    +a(g189
    +V 
    +tp1240
    +a(g343
    +V<
    +tp1241
    +a(g343
    +V>
    +tp1242
    +a(g189
    +V 
    +tp1243
    +a(g226
    +V'
    +tp1244
    +a(g226
    +V.
    +tp1245
    +a(g226
    +V'
    +tp1246
    +a(g202
    +V)
    +tp1247
    +a(g189
    +V 
    +tp1248
    +a(g111
    +Vand
    +p1249
    +tp1250
    +a(g189
    +V 
    +tp1251
    +a(g202
    +V(
    +tp1252
    +a(g111
    +Vstring
    +p1253
    +tp1254
    +a(g202
    +V(
    +tp1255
    +a(g18
    +Vwfd
    +p1256
    +tp1257
    +a(g343
    +V.
    +tp1258
    +a(g18
    +VcFileName
    +p1259
    +tp1260
    +a(g202
    +V)
    +tp1261
    +a(g189
    +V 
    +tp1262
    +a(g343
    +V<
    +tp1263
    +a(g343
    +V>
    +tp1264
    +a(g189
    +V 
    +tp1265
    +a(g226
    +V'
    +tp1266
    +a(g226
    +V..
    +p1267
    +tp1268
    +a(g226
    +V'
    +tp1269
    +a(g202
    +V)
    +tp1270
    +a(g189
    +V 
    +tp1271
    +a(g111
    +Vthen
    +p1272
    +tp1273
    +a(g189
    +V\u000a          
    +p1274
    +tp1275
    +a(g111
    +Vbegin
    +p1276
    +tp1277
    +a(g189
    +V\u000a            
    +p1278
    +tp1279
    +a(g18
    +VFindAllFiles
    +p1280
    +tp1281
    +a(g202
    +V(
    +tp1282
    +a(g18
    +VHandle
    +p1283
    +tp1284
    +a(g343
    +V,
    +tp1285
    +a(g189
    +V 
    +tp1286
    +a(g18
    +VRootFolder
    +p1287
    +tp1288
    +a(g189
    +V 
    +tp1289
    +a(g343
    +V+
    +tp1290
    +a(g189
    +V 
    +tp1291
    +a(g18
    +Vwfd
    +p1292
    +tp1293
    +a(g343
    +V.
    +tp1294
    +a(g18
    +VcFileName
    +p1295
    +tp1296
    +a(g343
    +V,
    +tp1297
    +a(g189
    +V 
    +tp1298
    +a(g18
    +VMask
    +p1299
    +tp1300
    +a(g343
    +V,
    +tp1301
    +a(g189
    +V 
    +tp1302
    +a(g18
    +VRecurse
    +p1303
    +tp1304
    +a(g202
    +V)
    +tp1305
    +a(g343
    +V;
    +tp1306
    +a(g189
    +V\u000a          
    +p1307
    +tp1308
    +a(g111
    +Vend
    +p1309
    +tp1310
    +a(g343
    +V;
    +tp1311
    +a(g189
    +V\u000a        
    +p1312
    +tp1313
    +a(g111
    +Vend
    +p1314
    +tp1315
    +a(g343
    +V;
    +tp1316
    +a(g189
    +V\u000a      
    +p1317
    +tp1318
    +a(g111
    +Vuntil
    +p1319
    +tp1320
    +a(g189
    +V 
    +tp1321
    +a(g18
    +VFindNextFile
    +p1322
    +tp1323
    +a(g202
    +V(
    +tp1324
    +a(g18
    +VhFindFile
    +p1325
    +tp1326
    +a(g343
    +V,
    +tp1327
    +a(g189
    +V 
    +tp1328
    +a(g18
    +Vwfd
    +p1329
    +tp1330
    +a(g202
    +V)
    +tp1331
    +a(g189
    +V 
    +tp1332
    +a(g343
    +V=
    +tp1333
    +a(g189
    +V 
    +tp1334
    +a(g111
    +VFalse
    +p1335
    +tp1336
    +a(g343
    +V;
    +tp1337
    +a(g189
    +V\u000a      
    +p1338
    +tp1339
    +a(g57
    +VInc
    +p1340
    +tp1341
    +a(g202
    +V(
    +tp1342
    +a(g18
    +VNumFolder
    +p1343
    +tp1344
    +a(g202
    +V)
    +tp1345
    +a(g343
    +V;
    +tp1346
    +a(g189
    +V\u000a      
    +p1347
    +tp1348
    +a(g18
    +VSendMessage
    +p1349
    +tp1350
    +a(g202
    +V(
    +tp1351
    +a(g18
    +VHandle
    +p1352
    +tp1353
    +a(g343
    +V,
    +tp1354
    +a(g189
    +V 
    +tp1355
    +a(g18
    +VFFM_ONDIRFOUND
    +p1356
    +tp1357
    +a(g343
    +V,
    +tp1358
    +a(g189
    +V 
    +tp1359
    +a(g18
    +VNumFolder
    +p1360
    +tp1361
    +a(g343
    +V,
    +tp1362
    +a(g189
    +V 
    +tp1363
    +a(g18
    +VlParam
    +p1364
    +tp1365
    +a(g202
    +V(
    +tp1366
    +a(g111
    +Vstring
    +p1367
    +tp1368
    +a(g202
    +V(
    +tp1369
    +a(g18
    +VRootFolder
    +p1370
    +tp1371
    +a(g202
    +V)))
    +p1372
    +tp1373
    +a(g343
    +V;
    +tp1374
    +a(g189
    +V\u000a    
    +p1375
    +tp1376
    +a(g111
    +Vfinally
    +p1377
    +tp1378
    +a(g189
    +V\u000a      
    +p1379
    +tp1380
    +a(g18
    +VWindows
    +p1381
    +tp1382
    +a(g343
    +V.
    +tp1383
    +a(g18
    +VFindClose
    +p1384
    +tp1385
    +a(g202
    +V(
    +tp1386
    +a(g18
    +VhFindFile
    +p1387
    +tp1388
    +a(g202
    +V)
    +tp1389
    +a(g343
    +V;
    +tp1390
    +a(g189
    +V\u000a    
    +p1391
    +tp1392
    +a(g111
    +Vend
    +p1393
    +tp1394
    +a(g343
    +V;
    +tp1395
    +a(g189
    +V\u000a  
    +p1396
    +tp1397
    +a(g111
    +Vend
    +p1398
    +tp1399
    +a(g343
    +V;
    +tp1400
    +a(g189
    +V\u000a  
    +p1401
    +tp1402
    +a(g18
    +VhFindFile
    +p1403
    +tp1404
    +a(g189
    +V 
    +tp1405
    +a(g343
    +V:
    +tp1406
    +a(g343
    +V=
    +tp1407
    +a(g189
    +V 
    +tp1408
    +a(g18
    +VFindFirstFile
    +p1409
    +tp1410
    +a(g202
    +V(
    +tp1411
    +a(g139
    +Vpointer
    +p1412
    +tp1413
    +a(g202
    +V(
    +tp1414
    +a(g18
    +VRootFolder
    +p1415
    +tp1416
    +a(g189
    +V 
    +tp1417
    +a(g343
    +V+
    +tp1418
    +a(g189
    +V 
    +tp1419
    +a(g18
    +VMask
    +p1420
    +tp1421
    +a(g202
    +V)
    +tp1422
    +a(g343
    +V,
    +tp1423
    +a(g189
    +V 
    +tp1424
    +a(g18
    +Vwfd
    +p1425
    +tp1426
    +a(g202
    +V)
    +tp1427
    +a(g343
    +V;
    +tp1428
    +a(g189
    +V\u000a  
    +p1429
    +tp1430
    +a(g111
    +Vif
    +p1431
    +tp1432
    +a(g189
    +V 
    +tp1433
    +a(g18
    +VhFindFile
    +p1434
    +tp1435
    +a(g189
    +V 
    +tp1436
    +a(g343
    +V<
    +tp1437
    +a(g343
    +V>
    +tp1438
    +a(g189
    +V 
    +tp1439
    +a(g18
    +VINVALID_HANDLE_VALUE
    +p1440
    +tp1441
    +a(g189
    +V 
    +tp1442
    +a(g111
    +Vthen
    +p1443
    +tp1444
    +a(g189
    +V\u000a  
    +p1445
    +tp1446
    +a(g111
    +Vtry
    +p1447
    +tp1448
    +a(g189
    +V\u000a    
    +p1449
    +tp1450
    +a(g111
    +Vrepeat
    +p1451
    +tp1452
    +a(g189
    +V\u000a      
    +p1453
    +tp1454
    +a(g111
    +Vif
    +p1455
    +tp1456
    +a(g189
    +V 
    +tp1457
    +a(g202
    +V(
    +tp1458
    +a(g18
    +Vwfd
    +p1459
    +tp1460
    +a(g343
    +V.
    +tp1461
    +a(g18
    +VdwFileAttributes
    +p1462
    +tp1463
    +a(g189
    +V 
    +tp1464
    +a(g111
    +Vand
    +p1465
    +tp1466
    +a(g189
    +V 
    +tp1467
    +a(g18
    +VFILE_ATTRIBUTE_DIRECTORY
    +p1468
    +tp1469
    +a(g189
    +V 
    +tp1470
    +a(g343
    +V<
    +tp1471
    +a(g343
    +V>
    +tp1472
    +a(g189
    +V 
    +tp1473
    +a(g18
    +VFILE_ATTRIBUTE_DIRECTORY
    +p1474
    +tp1475
    +a(g202
    +V)
    +tp1476
    +a(g189
    +V 
    +tp1477
    +a(g111
    +Vthen
    +p1478
    +tp1479
    +a(g189
    +V\u000a      
    +p1480
    +tp1481
    +a(g111
    +Vbegin
    +p1482
    +tp1483
    +a(g189
    +V\u000a        
    +p1484
    +tp1485
    +a(g18
    +VSendMessage
    +p1486
    +tp1487
    +a(g202
    +V(
    +tp1488
    +a(g18
    +VHandle
    +p1489
    +tp1490
    +a(g343
    +V,
    +tp1491
    +a(g189
    +V 
    +tp1492
    +a(g18
    +VFFM_ONFILEFOUND
    +p1493
    +tp1494
    +a(g343
    +V,
    +tp1495
    +a(g189
    +V 
    +tp1496
    +a(g318
    +V0
    +tp1497
    +a(g343
    +V,
    +tp1498
    +a(g189
    +V 
    +tp1499
    +a(g18
    +VlParam
    +p1500
    +tp1501
    +a(g202
    +V(
    +tp1502
    +a(g111
    +Vstring
    +p1503
    +tp1504
    +a(g202
    +V(
    +tp1505
    +a(g18
    +VRootFolder
    +p1506
    +tp1507
    +a(g189
    +V 
    +tp1508
    +a(g343
    +V+
    +tp1509
    +a(g189
    +V 
    +tp1510
    +a(g18
    +Vwfd
    +p1511
    +tp1512
    +a(g343
    +V.
    +tp1513
    +a(g18
    +VcFileName
    +p1514
    +tp1515
    +a(g202
    +V)))
    +p1516
    +tp1517
    +a(g343
    +V;
    +tp1518
    +a(g189
    +V\u000a      
    +p1519
    +tp1520
    +a(g111
    +Vend
    +p1521
    +tp1522
    +a(g343
    +V;
    +tp1523
    +a(g189
    +V\u000a    
    +p1524
    +tp1525
    +a(g111
    +Vuntil
    +p1526
    +tp1527
    +a(g189
    +V 
    +tp1528
    +a(g18
    +VFindNextFile
    +p1529
    +tp1530
    +a(g202
    +V(
    +tp1531
    +a(g18
    +VhFindFile
    +p1532
    +tp1533
    +a(g343
    +V,
    +tp1534
    +a(g189
    +V 
    +tp1535
    +a(g18
    +Vwfd
    +p1536
    +tp1537
    +a(g202
    +V)
    +tp1538
    +a(g189
    +V 
    +tp1539
    +a(g343
    +V=
    +tp1540
    +a(g189
    +V 
    +tp1541
    +a(g111
    +VFalse
    +p1542
    +tp1543
    +a(g343
    +V;
    +tp1544
    +a(g189
    +V\u000a  
    +p1545
    +tp1546
    +a(g111
    +Vfinally
    +p1547
    +tp1548
    +a(g189
    +V\u000a    
    +p1549
    +tp1550
    +a(g18
    +VWindows
    +p1551
    +tp1552
    +a(g343
    +V.
    +tp1553
    +a(g18
    +VFindClose
    +p1554
    +tp1555
    +a(g202
    +V(
    +tp1556
    +a(g18
    +VhFindFile
    +p1557
    +tp1558
    +a(g202
    +V)
    +tp1559
    +a(g343
    +V;
    +tp1560
    +a(g189
    +V\u000a  
    +p1561
    +tp1562
    +a(g111
    +Vend
    +p1563
    +tp1564
    +a(g343
    +V;
    +tp1565
    +a(g189
    +V\u000a
    +tp1566
    +a(g111
    +Vend
    +p1567
    +tp1568
    +a(g343
    +V;
    +tp1569
    +a(g189
    +V\u000a\u000a\u000a
    +p1570
    +tp1571
    +a(g111
    +Vproperty
    +p1572
    +tp1573
    +a(g189
    +V 
    +tp1574
    +a(g103
    +Vtest
    +p1575
    +tp1576
    +a(g343
    +V:
    +tp1577
    +a(g189
    +V 
    +tp1578
    +a(g139
    +Vboolean
    +p1579
    +tp1580
    +a(g189
    +V 
    +tp1581
    +a(g123
    +Vread
    +p1582
    +tp1583
    +a(g189
    +V 
    +tp1584
    +a(g21
    +Vftest
    +p1585
    +tp1586
    +a(g189
    +V 
    +tp1587
    +a(g123
    +Vwrite
    +p1588
    +tp1589
    +a(g189
    +V 
    +tp1590
    +a(g21
    +Vftest
    +p1591
    +tp1592
    +a(g343
    +V;
    +tp1593
    +a(g189
    +V\u000a
    +tp1594
    +a(g111
    +Vprocedure
    +p1595
    +tp1596
    +a(g189
    +V 
    +tp1597
    +a(g21
    +Vtest
    +p1598
    +tp1599
    +a(g343
    +V:
    +tp1600
    +a(g189
    +V 
    +tp1601
    +a(g139
    +Vboolean
    +p1602
    +tp1603
    +a(g189
    +V 
    +tp1604
    +a(g57
    +Vread
    +p1605
    +tp1606
    +a(g189
    +V 
    +tp1607
    +a(g18
    +Vftest
    +p1608
    +tp1609
    +a(g189
    +V 
    +tp1610
    +a(g57
    +Vwrite
    +p1611
    +tp1612
    +a(g189
    +V 
    +tp1613
    +a(g18
    +Vftest
    +p1614
    +tp1615
    +a(g343
    +V;
    +tp1616
    +a(g189
    +V\u000a\u000a
    +p1617
    +tp1618
    +a(g7
    +V//
    +p1619
    +tp1620
    +a(g189
    +V\u000a
    +tp1621
    +a(g7
    +V// This sourcecode is part of omorphia
    +p1622
    +tp1623
    +a(g189
    +V\u000a
    +tp1624
    +a(g7
    +V//
    +p1625
    +tp1626
    +a(g189
    +V\u000a\u000a
    +p1627
    +tp1628
    +a(g111
    +VFunction
    +p1629
    +tp1630
    +a(g189
    +V 
    +tp1631
    +a(g21
    +VIsValidHandle
    +p1632
    +tp1633
    +a(g202
    +V(
    +tp1634
    +a(g111
    +VConst
    +p1635
    +tp1636
    +a(g189
    +V 
    +tp1637
    +a(g18
    +VHandle
    +p1638
    +tp1639
    +a(g343
    +V:
    +tp1640
    +a(g189
    +V 
    +tp1641
    +a(g139
    +VTHandle
    +p1642
    +tp1643
    +a(g202
    +V)
    +tp1644
    +a(g343
    +V:
    +tp1645
    +a(g189
    +V 
    +tp1646
    +a(g139
    +VBoolean
    +p1647
    +tp1648
    +a(g343
    +V;
    +tp1649
    +a(g189
    +V 
    +tp1650
    +a(g358
    +V{$IFDEF OMORPHIA_FEATURES_USEASM}
    +p1651
    +tp1652
    +a(g189
    +V 
    +tp1653
    +a(g123
    +VAssembler
    +p1654
    +tp1655
    +a(g343
    +V;
    +tp1656
    +a(g189
    +V\u000a
    +tp1657
    +a(g111
    +VAsm
    +p1658
    +tp1659
    +a(g189
    +V\u000a    
    +p1660
    +tp1661
    +a(g111
    +VTEST
    +p1662
    +tp1663
    +a(g189
    +V    
    +p1664
    +tp1665
    +a(g57
    +VEAX
    +p1666
    +tp1667
    +a(g343
    +V,
    +tp1668
    +a(g189
    +V 
    +tp1669
    +a(g57
    +VEAX
    +p1670
    +tp1671
    +a(g189
    +V\u000a    
    +p1672
    +tp1673
    +a(g111
    +VJZ
    +p1674
    +tp1675
    +a(g189
    +V      
    +p1676
    +tp1677
    +a(g45
    +V@@Finish
    +p1678
    +tp1679
    +a(g189
    +V\u000a    
    +p1680
    +tp1681
    +a(g111
    +VNOT
    +p1682
    +tp1683
    +a(g189
    +V     
    +p1684
    +tp1685
    +a(g57
    +VEAX
    +p1686
    +tp1687
    +a(g189
    +V\u000a    
    +p1688
    +tp1689
    +a(g111
    +VTEST
    +p1690
    +tp1691
    +a(g189
    +V    
    +p1692
    +tp1693
    +a(g57
    +VEAX
    +p1694
    +tp1695
    +a(g343
    +V,
    +tp1696
    +a(g189
    +V 
    +tp1697
    +a(g57
    +VEAX
    +p1698
    +tp1699
    +a(g189
    +V\u000a    
    +p1700
    +tp1701
    +a(g111
    +VSETNZ
    +p1702
    +tp1703
    +a(g189
    +V   
    +p1704
    +tp1705
    +a(g57
    +VAL
    +p1706
    +tp1707
    +a(g189
    +V\u000a\u000a    
    +p1708
    +tp1709
    +a(g358
    +V{$IFDEF WINDOWS}
    +p1710
    +tp1711
    +a(g189
    +V\u000a    
    +p1712
    +tp1713
    +a(g111
    +VJZ
    +p1714
    +tp1715
    +a(g189
    +V      
    +p1716
    +tp1717
    +a(g45
    +V@@Finish
    +p1718
    +tp1719
    +a(g189
    +V\u000a\u000a    
    +p1720
    +tp1721
    +a(g7
    +V//Save the handle against modifications or loss
    +p1722
    +tp1723
    +a(g189
    +V\u000a    
    +p1724
    +tp1725
    +a(g111
    +VPUSH
    +p1726
    +tp1727
    +a(g189
    +V    
    +p1728
    +tp1729
    +a(g57
    +VEAX
    +p1730
    +tp1731
    +a(g189
    +V\u000a\u000a    
    +p1732
    +tp1733
    +a(g7
    +V//reserve some space for a later duplicate
    +p1734
    +tp1735
    +a(g189
    +V\u000a    
    +p1736
    +tp1737
    +a(g111
    +VPUSH
    +p1738
    +tp1739
    +a(g189
    +V    
    +p1740
    +tp1741
    +a(g57
    +VEAX
    +p1742
    +tp1743
    +a(g189
    +V\u000a\u000a    
    +p1744
    +tp1745
    +a(g7
    +V//Check if we are working on NT-Platform
    +p1746
    +tp1747
    +a(g189
    +V\u000a    
    +p1748
    +tp1749
    +a(g111
    +VCALL
    +p1750
    +tp1751
    +a(g189
    +V    
    +p1752
    +tp1753
    +a(g18
    +VIsWindowsNTSystem
    +p1754
    +tp1755
    +a(g189
    +V\u000a    
    +p1756
    +tp1757
    +a(g111
    +VTEST
    +p1758
    +tp1759
    +a(g189
    +V    
    +p1760
    +tp1761
    +a(g57
    +VEAX
    +p1762
    +tp1763
    +a(g343
    +V,
    +tp1764
    +a(g189
    +V 
    +tp1765
    +a(g57
    +VEAX
    +p1766
    +tp1767
    +a(g189
    +V\u000a    
    +p1768
    +tp1769
    +a(g111
    +VJZ
    +p1770
    +tp1771
    +a(g189
    +V      
    +p1772
    +tp1773
    +a(g45
    +V@@NoNTSystem
    +p1774
    +tp1775
    +a(g189
    +V\u000a\u000a    
    +p1776
    +tp1777
    +a(g111
    +VPUSH
    +p1778
    +tp1779
    +a(g189
    +V    
    +p1780
    +tp1781
    +a(g18
    +VDWORD
    +p1782
    +tp1783
    +a(g189
    +V 
    +tp1784
    +a(g18
    +VPTR
    +p1785
    +tp1786
    +a(g189
    +V 
    +tp1787
    +a(g202
    +V[
    +tp1788
    +a(g57
    +VESP
    +p1789
    +tp1790
    +a(g202
    +V]
    +tp1791
    +a(g189
    +V\u000a    
    +p1792
    +tp1793
    +a(g111
    +VLEA
    +p1794
    +tp1795
    +a(g189
    +V     
    +p1796
    +tp1797
    +a(g57
    +VEAX
    +p1798
    +tp1799
    +a(g343
    +V,
    +tp1800
    +a(g189
    +V 
    +tp1801
    +a(g18
    +VDWORD
    +p1802
    +tp1803
    +a(g189
    +V 
    +tp1804
    +a(g18
    +VPTR
    +p1805
    +tp1806
    +a(g189
    +V 
    +tp1807
    +a(g202
    +V[
    +tp1808
    +a(g57
    +VESP
    +p1809
    +tp1810
    +a(g343
    +V+
    +tp1811
    +a(g314
    +V$04
    +p1812
    +tp1813
    +a(g202
    +V]
    +tp1814
    +a(g189
    +V\u000a    
    +p1815
    +tp1816
    +a(g111
    +VPUSH
    +p1817
    +tp1818
    +a(g189
    +V    
    +p1819
    +tp1820
    +a(g57
    +VEAX
    +p1821
    +tp1822
    +a(g189
    +V\u000a    
    +p1823
    +tp1824
    +a(g111
    +VCALL
    +p1825
    +tp1826
    +a(g189
    +V    
    +p1827
    +tp1828
    +a(g18
    +VGetHandleInformation
    +p1829
    +tp1830
    +a(g189
    +V\u000a    
    +p1831
    +tp1832
    +a(g111
    +VTEST
    +p1833
    +tp1834
    +a(g189
    +V    
    +p1835
    +tp1836
    +a(g57
    +VEAX
    +p1837
    +tp1838
    +a(g343
    +V,
    +tp1839
    +a(g189
    +V 
    +tp1840
    +a(g57
    +VEAX
    +p1841
    +tp1842
    +a(g189
    +V\u000a    
    +p1843
    +tp1844
    +a(g111
    +VJNZ
    +p1845
    +tp1846
    +a(g189
    +V     
    +p1847
    +tp1848
    +a(g45
    +V@@Finish2
    +p1849
    +tp1850
    +a(g189
    +V\u000a\u000a
    +p1851
    +tp1852
    +a(g45
    +V@@NoNTSystem
    +p1853
    +tp1854
    +a(g343
    +V:
    +tp1855
    +a(g189
    +V\u000a    
    +p1856
    +tp1857
    +a(g7
    +V//Result := DuplicateHandle(GetCurrentProcess, Handle, GetCurrentProcess,
    +p1858
    +tp1859
    +a(g189
    +V\u000a    
    +p1860
    +tp1861
    +a(g7
    +V//  @Duplicate, 0, False, DUPLICATE_SAME_ACCESS);
    +p1862
    +tp1863
    +a(g189
    +V\u000a    
    +p1864
    +tp1865
    +a(g111
    +VPUSH
    +p1866
    +tp1867
    +a(g189
    +V    
    +p1868
    +tp1869
    +a(g18
    +VDUPLICATE_SAME_ACCESS
    +p1870
    +tp1871
    +a(g189
    +V\u000a    
    +p1872
    +tp1873
    +a(g111
    +VPUSH
    +p1874
    +tp1875
    +a(g189
    +V    
    +p1876
    +tp1877
    +a(g314
    +V$00000000
    +p1878
    +tp1879
    +a(g189
    +V\u000a    
    +p1880
    +tp1881
    +a(g111
    +VPUSH
    +p1882
    +tp1883
    +a(g189
    +V    
    +p1884
    +tp1885
    +a(g314
    +V$00000000
    +p1886
    +tp1887
    +a(g189
    +V\u000a    
    +p1888
    +tp1889
    +a(g111
    +VLEA
    +p1890
    +tp1891
    +a(g189
    +V     
    +p1892
    +tp1893
    +a(g57
    +VEAX
    +p1894
    +tp1895
    +a(g343
    +V,
    +tp1896
    +a(g189
    +V 
    +tp1897
    +a(g18
    +VDWORD
    +p1898
    +tp1899
    +a(g189
    +V 
    +tp1900
    +a(g18
    +VPTR
    +p1901
    +tp1902
    +a(g189
    +V 
    +tp1903
    +a(g202
    +V[
    +tp1904
    +a(g57
    +VESP
    +p1905
    +tp1906
    +a(g343
    +V+
    +tp1907
    +a(g314
    +V$0C
    +p1908
    +tp1909
    +a(g202
    +V]
    +tp1910
    +a(g189
    +V\u000a    
    +p1911
    +tp1912
    +a(g111
    +VPUSH
    +p1913
    +tp1914
    +a(g189
    +V    
    +p1915
    +tp1916
    +a(g57
    +VEAX
    +p1917
    +tp1918
    +a(g189
    +V\u000a    
    +p1919
    +tp1920
    +a(g111
    +VCALL
    +p1921
    +tp1922
    +a(g189
    +V    
    +p1923
    +tp1924
    +a(g18
    +VGetCurrentProcess
    +p1925
    +tp1926
    +a(g189
    +V\u000a    
    +p1927
    +tp1928
    +a(g111
    +VPUSH
    +p1929
    +tp1930
    +a(g189
    +V    
    +p1931
    +tp1932
    +a(g57
    +VEAX
    +p1933
    +tp1934
    +a(g189
    +V\u000a    
    +p1935
    +tp1936
    +a(g111
    +VPUSH
    +p1937
    +tp1938
    +a(g189
    +V    
    +p1939
    +tp1940
    +a(g18
    +VDWORD
    +p1941
    +tp1942
    +a(g189
    +V 
    +tp1943
    +a(g18
    +VPTR
    +p1944
    +tp1945
    +a(g189
    +V 
    +tp1946
    +a(g202
    +V[
    +tp1947
    +a(g57
    +VESP
    +p1948
    +tp1949
    +a(g343
    +V+
    +tp1950
    +a(g314
    +V$18
    +p1951
    +tp1952
    +a(g202
    +V]
    +tp1953
    +a(g189
    +V\u000a    
    +p1954
    +tp1955
    +a(g111
    +VPUSH
    +p1956
    +tp1957
    +a(g189
    +V    
    +p1958
    +tp1959
    +a(g57
    +VEAX
    +p1960
    +tp1961
    +a(g189
    +V\u000a    
    +p1962
    +tp1963
    +a(g111
    +VCALL
    +p1964
    +tp1965
    +a(g189
    +V    
    +p1966
    +tp1967
    +a(g18
    +VDuplicateHandle
    +p1968
    +tp1969
    +a(g189
    +V\u000a\u000a    
    +p1970
    +tp1971
    +a(g111
    +VTEST
    +p1972
    +tp1973
    +a(g189
    +V    
    +p1974
    +tp1975
    +a(g57
    +VEAX
    +p1976
    +tp1977
    +a(g343
    +V,
    +tp1978
    +a(g189
    +V 
    +tp1979
    +a(g57
    +VEAX
    +p1980
    +tp1981
    +a(g189
    +V\u000a    
    +p1982
    +tp1983
    +a(g111
    +VJZ
    +p1984
    +tp1985
    +a(g189
    +V      
    +p1986
    +tp1987
    +a(g45
    +V@@Finish2
    +p1988
    +tp1989
    +a(g189
    +V\u000a\u000a    
    +p1990
    +tp1991
    +a(g7
    +V//  Result := CloseHandle(Duplicate);
    +p1992
    +tp1993
    +a(g189
    +V\u000a    
    +p1994
    +tp1995
    +a(g111
    +VPUSH
    +p1996
    +tp1997
    +a(g189
    +V    
    +p1998
    +tp1999
    +a(g18
    +VDWORD
    +p2000
    +tp2001
    +a(g189
    +V 
    +tp2002
    +a(g18
    +VPTR
    +p2003
    +tp2004
    +a(g189
    +V 
    +tp2005
    +a(g202
    +V[
    +tp2006
    +a(g57
    +VESP
    +p2007
    +tp2008
    +a(g202
    +V]
    +tp2009
    +a(g189
    +V\u000a    
    +p2010
    +tp2011
    +a(g111
    +VCALL
    +p2012
    +tp2013
    +a(g189
    +V    
    +p2014
    +tp2015
    +a(g18
    +VCloseHandle
    +p2016
    +tp2017
    +a(g189
    +V\u000a\u000a
    +p2018
    +tp2019
    +a(g45
    +V@@Finish2
    +p2020
    +tp2021
    +a(g343
    +V:
    +tp2022
    +a(g189
    +V\u000a    
    +p2023
    +tp2024
    +a(g111
    +VPOP
    +p2025
    +tp2026
    +a(g189
    +V     
    +p2027
    +tp2028
    +a(g57
    +VEDX
    +p2029
    +tp2030
    +a(g189
    +V\u000a    
    +p2031
    +tp2032
    +a(g111
    +VPOP
    +p2033
    +tp2034
    +a(g189
    +V     
    +p2035
    +tp2036
    +a(g57
    +VEDX
    +p2037
    +tp2038
    +a(g189
    +V\u000a\u000a    
    +p2039
    +tp2040
    +a(g111
    +VPUSH
    +p2041
    +tp2042
    +a(g189
    +V    
    +p2043
    +tp2044
    +a(g57
    +VEAX
    +p2045
    +tp2046
    +a(g189
    +V\u000a    
    +p2047
    +tp2048
    +a(g111
    +VPUSH
    +p2049
    +tp2050
    +a(g189
    +V    
    +p2051
    +tp2052
    +a(g314
    +V$00000000
    +p2053
    +tp2054
    +a(g189
    +V\u000a    
    +p2055
    +tp2056
    +a(g111
    +VCALL
    +p2057
    +tp2058
    +a(g189
    +V    
    +p2059
    +tp2060
    +a(g18
    +VSetLastError
    +p2061
    +tp2062
    +a(g189
    +V\u000a    
    +p2063
    +tp2064
    +a(g111
    +VPOP
    +p2065
    +tp2066
    +a(g189
    +V     
    +p2067
    +tp2068
    +a(g57
    +VEAX
    +p2069
    +tp2070
    +a(g189
    +V\u000a    
    +p2071
    +tp2072
    +a(g358
    +V{$ENDIF}
    +p2073
    +tp2074
    +a(g189
    +V\u000a\u000a
    +p2075
    +tp2076
    +a(g45
    +V@@Finish
    +p2077
    +tp2078
    +a(g343
    +V:
    +tp2079
    +a(g189
    +V\u000a
    +tp2080
    +a(g111
    +VEnd
    +p2081
    +tp2082
    +a(g343
    +V;
    +tp2083
    +a(g189
    +V\u000a
    +tp2084
    +a(g358
    +V{$ELSE}
    +p2085
    +tp2086
    +a(g189
    +V\u000a
    +tp2087
    +a(g111
    +VVar
    +p2088
    +tp2089
    +a(g189
    +V\u000a    
    +p2090
    +tp2091
    +a(g18
    +VDuplicate
    +p2092
    +tp2093
    +a(g343
    +V:
    +tp2094
    +a(g189
    +V 
    +tp2095
    +a(g139
    +VTHandle
    +p2096
    +tp2097
    +a(g343
    +V;
    +tp2098
    +a(g189
    +V\u000a    
    +p2099
    +tp2100
    +a(g18
    +VFlags
    +p2101
    +tp2102
    +a(g343
    +V:
    +tp2103
    +a(g189
    +V 
    +tp2104
    +a(g139
    +VDWORD
    +p2105
    +tp2106
    +a(g343
    +V;
    +tp2107
    +a(g189
    +V\u000a
    +tp2108
    +a(g111
    +VBegin
    +p2109
    +tp2110
    +a(g189
    +V\u000a    
    +p2111
    +tp2112
    +a(g111
    +VIf
    +p2113
    +tp2114
    +a(g189
    +V 
    +tp2115
    +a(g18
    +VIsWinNT
    +p2116
    +tp2117
    +a(g189
    +V 
    +tp2118
    +a(g111
    +VThen
    +p2119
    +tp2120
    +a(g189
    +V\u000a        
    +p2121
    +tp2122
    +a(g60
    +VResult
    +p2123
    +tp2124
    +a(g189
    +V 
    +tp2125
    +a(g343
    +V:
    +tp2126
    +a(g343
    +V=
    +tp2127
    +a(g189
    +V 
    +tp2128
    +a(g18
    +VGetHandleInformation
    +p2129
    +tp2130
    +a(g202
    +V(
    +tp2131
    +a(g18
    +VHandle
    +p2132
    +tp2133
    +a(g343
    +V,
    +tp2134
    +a(g189
    +V 
    +tp2135
    +a(g18
    +VFlags
    +p2136
    +tp2137
    +a(g202
    +V)
    +tp2138
    +a(g189
    +V\u000a    
    +p2139
    +tp2140
    +a(g111
    +VElse
    +p2141
    +tp2142
    +a(g189
    +V\u000a        
    +p2143
    +tp2144
    +a(g60
    +VResult
    +p2145
    +tp2146
    +a(g189
    +V 
    +tp2147
    +a(g343
    +V:
    +tp2148
    +a(g343
    +V=
    +tp2149
    +a(g189
    +V 
    +tp2150
    +a(g111
    +VFalse
    +p2151
    +tp2152
    +a(g343
    +V;
    +tp2153
    +a(g189
    +V\u000a    
    +p2154
    +tp2155
    +a(g111
    +VIf
    +p2156
    +tp2157
    +a(g189
    +V 
    +tp2158
    +a(g111
    +VNot
    +p2159
    +tp2160
    +a(g189
    +V 
    +tp2161
    +a(g60
    +VResult
    +p2162
    +tp2163
    +a(g189
    +V 
    +tp2164
    +a(g111
    +VThen
    +p2165
    +tp2166
    +a(g189
    +V\u000a    
    +p2167
    +tp2168
    +a(g111
    +VBegin
    +p2169
    +tp2170
    +a(g189
    +V\u000a        
    +p2171
    +tp2172
    +a(g7
    +V// DuplicateHandle is used as an additional check for those object types not
    +p2173
    +tp2174
    +a(g189
    +V\u000a        
    +p2175
    +tp2176
    +a(g7
    +V// supported by GetHandleInformation (e.g. according to the documentation,
    +p2177
    +tp2178
    +a(g189
    +V\u000a        
    +p2179
    +tp2180
    +a(g7
    +V// GetHandleInformation doesn't support window stations and desktop although
    +p2181
    +tp2182
    +a(g189
    +V\u000a        
    +p2183
    +tp2184
    +a(g7
    +V// tests show that it does). GetHandleInformation is tried first because its
    +p2185
    +tp2186
    +a(g189
    +V\u000a        
    +p2187
    +tp2188
    +a(g7
    +V// much faster. Additionally GetHandleInformation is only supported on NT...
    +p2189
    +tp2190
    +a(g189
    +V\u000a        
    +p2191
    +tp2192
    +a(g60
    +VResult
    +p2193
    +tp2194
    +a(g189
    +V 
    +tp2195
    +a(g343
    +V:
    +tp2196
    +a(g343
    +V=
    +tp2197
    +a(g189
    +V 
    +tp2198
    +a(g18
    +VDuplicateHandle
    +p2199
    +tp2200
    +a(g202
    +V(
    +tp2201
    +a(g18
    +VGetCurrentProcess
    +p2202
    +tp2203
    +a(g343
    +V,
    +tp2204
    +a(g189
    +V 
    +tp2205
    +a(g18
    +VHandle
    +p2206
    +tp2207
    +a(g343
    +V,
    +tp2208
    +a(g189
    +V 
    +tp2209
    +a(g18
    +VGetCurrentProcess
    +p2210
    +tp2211
    +a(g343
    +V,
    +tp2212
    +a(g189
    +V\u000a            
    +p2213
    +tp2214
    +a(g343
    +V@
    +tp2215
    +a(g18
    +VDuplicate
    +p2216
    +tp2217
    +a(g343
    +V,
    +tp2218
    +a(g189
    +V 
    +tp2219
    +a(g318
    +V0
    +tp2220
    +a(g343
    +V,
    +tp2221
    +a(g189
    +V 
    +tp2222
    +a(g111
    +VFalse
    +p2223
    +tp2224
    +a(g343
    +V,
    +tp2225
    +a(g189
    +V 
    +tp2226
    +a(g18
    +VDUPLICATE_SAME_ACCESS
    +p2227
    +tp2228
    +a(g202
    +V)
    +tp2229
    +a(g343
    +V;
    +tp2230
    +a(g189
    +V\u000a        
    +p2231
    +tp2232
    +a(g111
    +VIf
    +p2233
    +tp2234
    +a(g189
    +V 
    +tp2235
    +a(g60
    +VResult
    +p2236
    +tp2237
    +a(g189
    +V 
    +tp2238
    +a(g111
    +VThen
    +p2239
    +tp2240
    +a(g189
    +V\u000a            
    +p2241
    +tp2242
    +a(g60
    +VResult
    +p2243
    +tp2244
    +a(g189
    +V 
    +tp2245
    +a(g343
    +V:
    +tp2246
    +a(g343
    +V=
    +tp2247
    +a(g189
    +V 
    +tp2248
    +a(g18
    +VCloseHandle
    +p2249
    +tp2250
    +a(g202
    +V(
    +tp2251
    +a(g18
    +VDuplicate
    +p2252
    +tp2253
    +a(g202
    +V)
    +tp2254
    +a(g343
    +V;
    +tp2255
    +a(g189
    +V\u000a    
    +p2256
    +tp2257
    +a(g111
    +VEnd
    +p2258
    +tp2259
    +a(g343
    +V;
    +tp2260
    +a(g189
    +V\u000a
    +tp2261
    +a(g111
    +VEnd
    +p2262
    +tp2263
    +a(g343
    +V;
    +tp2264
    +a(g189
    +V\u000a
    +tp2265
    +a(g358
    +V{$ENDIF}
    +p2266
    +tp2267
    +a(g189
    +V\u000a\u000a\u000a    	\u000a\u000a
    +p2268
    +tp2269
    +a(g358
    +V{*******************************************************}
    +p2270
    +tp2271
    +a(g189
    +V\u000a
    +tp2272
    +a(g358
    +V{                                                       }
    +p2273
    +tp2274
    +a(g189
    +V\u000a
    +tp2275
    +a(g358
    +V{       Delphi Supplemental Components                  }
    +p2276
    +tp2277
    +a(g189
    +V\u000a
    +tp2278
    +a(g358
    +V{       ZLIB Data Compression Interface Unit            }
    +p2279
    +tp2280
    +a(g189
    +V\u000a
    +tp2281
    +a(g358
    +V{                                                       }
    +p2282
    +tp2283
    +a(g189
    +V\u000a
    +tp2284
    +a(g358
    +V{       Copyright (c) 1997 Borland International        }
    +p2285
    +tp2286
    +a(g189
    +V\u000a
    +tp2287
    +a(g358
    +V{                                                       }
    +p2288
    +tp2289
    +a(g189
    +V\u000a
    +tp2290
    +a(g358
    +V{*******************************************************}
    +p2291
    +tp2292
    +a(g189
    +V\u000a\u000a
    +p2293
    +tp2294
    +a(g358
    +V{ Modified for zlib 1.1.3 by Davide Moretti 
    +tp4914
    +a(g189
    +V 
    +tp4915
    +a(g18
    +VZ_STREAM_END
    +p4916
    +tp4917
    +a(g189
    +V 
    +tp4918
    +a(g111
    +Vdo
    +p4919
    +tp4920
    +a(g189
    +V\u000a      
    +p4921
    +tp4922
    +a(g111
    +Vbegin
    +p4923
    +tp4924
    +a(g189
    +V\u000a        
    +p4925
    +tp4926
    +a(g18
    +VP
    +tp4927
    +a(g189
    +V 
    +tp4928
    +a(g343
    +V:
    +tp4929
    +a(g343
    +V=
    +tp4930
    +a(g189
    +V 
    +tp4931
    +a(g18
    +VOutBuf
    +p4932
    +tp4933
    +a(g343
    +V;
    +tp4934
    +a(g189
    +V\u000a        
    +p4935
    +tp4936
    +a(g57
    +VInc
    +p4937
    +tp4938
    +a(g202
    +V(
    +tp4939
    +a(g18
    +VOutBytes
    +p4940
    +tp4941
    +a(g343
    +V,
    +tp4942
    +a(g189
    +V 
    +tp4943
    +a(g318
    +V256
    +p4944
    +tp4945
    +a(g202
    +V)
    +tp4946
    +a(g343
    +V;
    +tp4947
    +a(g189
    +V\u000a        
    +p4948
    +tp4949
    +a(g57
    +VReallocMem
    +p4950
    +tp4951
    +a(g202
    +V(
    +tp4952
    +a(g18
    +VOutBuf
    +p4953
    +tp4954
    +a(g343
    +V,
    +tp4955
    +a(g189
    +V 
    +tp4956
    +a(g18
    +VOutBytes
    +p4957
    +tp4958
    +a(g202
    +V)
    +tp4959
    +a(g343
    +V;
    +tp4960
    +a(g189
    +V\u000a        
    +p4961
    +tp4962
    +a(g18
    +Vstrm
    +p4963
    +tp4964
    +a(g343
    +V.
    +tp4965
    +a(g18
    +Vnext_out
    +p4966
    +tp4967
    +a(g189
    +V 
    +tp4968
    +a(g343
    +V:
    +tp4969
    +a(g343
    +V=
    +tp4970
    +a(g189
    +V 
    +tp4971
    +a(g139
    +VPChar
    +p4972
    +tp4973
    +a(g202
    +V(
    +tp4974
    +a(g139
    +VInteger
    +p4975
    +tp4976
    +a(g202
    +V(
    +tp4977
    +a(g18
    +VOutBuf
    +p4978
    +tp4979
    +a(g202
    +V)
    +tp4980
    +a(g189
    +V 
    +tp4981
    +a(g343
    +V+
    +tp4982
    +a(g189
    +V 
    +tp4983
    +a(g202
    +V(
    +tp4984
    +a(g139
    +VInteger
    +p4985
    +tp4986
    +a(g202
    +V(
    +tp4987
    +a(g18
    +Vstrm
    +p4988
    +tp4989
    +a(g343
    +V.
    +tp4990
    +a(g18
    +Vnext_out
    +p4991
    +tp4992
    +a(g202
    +V)
    +tp4993
    +a(g189
    +V 
    +tp4994
    +a(g343
    +V-
    +tp4995
    +a(g189
    +V 
    +tp4996
    +a(g139
    +VInteger
    +p4997
    +tp4998
    +a(g202
    +V(
    +tp4999
    +a(g18
    +VP
    +tp5000
    +a(g202
    +V)))
    +p5001
    +tp5002
    +a(g343
    +V;
    +tp5003
    +a(g189
    +V\u000a        
    +p5004
    +tp5005
    +a(g18
    +Vstrm
    +p5006
    +tp5007
    +a(g343
    +V.
    +tp5008
    +a(g18
    +Vavail_out
    +p5009
    +tp5010
    +a(g189
    +V 
    +tp5011
    +a(g343
    +V:
    +tp5012
    +a(g343
    +V=
    +tp5013
    +a(g189
    +V 
    +tp5014
    +a(g318
    +V256
    +p5015
    +tp5016
    +a(g343
    +V;
    +tp5017
    +a(g189
    +V\u000a      
    +p5018
    +tp5019
    +a(g111
    +Vend
    +p5020
    +tp5021
    +a(g343
    +V;
    +tp5022
    +a(g189
    +V\u000a    
    +p5023
    +tp5024
    +a(g111
    +Vfinally
    +p5025
    +tp5026
    +a(g189
    +V\u000a      
    +p5027
    +tp5028
    +a(g18
    +VCCheck
    +p5029
    +tp5030
    +a(g202
    +V(
    +tp5031
    +a(g18
    +VdeflateEnd
    +p5032
    +tp5033
    +a(g202
    +V(
    +tp5034
    +a(g18
    +Vstrm
    +p5035
    +tp5036
    +a(g202
    +V))
    +p5037
    +tp5038
    +a(g343
    +V;
    +tp5039
    +a(g189
    +V\u000a    
    +p5040
    +tp5041
    +a(g111
    +Vend
    +p5042
    +tp5043
    +a(g343
    +V;
    +tp5044
    +a(g189
    +V\u000a    
    +p5045
    +tp5046
    +a(g57
    +VReallocMem
    +p5047
    +tp5048
    +a(g202
    +V(
    +tp5049
    +a(g18
    +VOutBuf
    +p5050
    +tp5051
    +a(g343
    +V,
    +tp5052
    +a(g189
    +V 
    +tp5053
    +a(g18
    +Vstrm
    +p5054
    +tp5055
    +a(g343
    +V.
    +tp5056
    +a(g18
    +Vtotal_out
    +p5057
    +tp5058
    +a(g202
    +V)
    +tp5059
    +a(g343
    +V;
    +tp5060
    +a(g189
    +V\u000a    
    +p5061
    +tp5062
    +a(g18
    +VOutBytes
    +p5063
    +tp5064
    +a(g189
    +V 
    +tp5065
    +a(g343
    +V:
    +tp5066
    +a(g343
    +V=
    +tp5067
    +a(g189
    +V 
    +tp5068
    +a(g18
    +Vstrm
    +p5069
    +tp5070
    +a(g343
    +V.
    +tp5071
    +a(g18
    +Vtotal_out
    +p5072
    +tp5073
    +a(g343
    +V;
    +tp5074
    +a(g189
    +V\u000a  
    +p5075
    +tp5076
    +a(g111
    +Vexcept
    +p5077
    +tp5078
    +a(g189
    +V\u000a    
    +p5079
    +tp5080
    +a(g57
    +VFreeMem
    +p5081
    +tp5082
    +a(g202
    +V(
    +tp5083
    +a(g18
    +VOutBuf
    +p5084
    +tp5085
    +a(g202
    +V)
    +tp5086
    +a(g343
    +V;
    +tp5087
    +a(g189
    +V\u000a    
    +p5088
    +tp5089
    +a(g111
    +Vraise
    +p5090
    +tp5091
    +a(g189
    +V\u000a  
    +p5092
    +tp5093
    +a(g111
    +Vend
    +p5094
    +tp5095
    +a(g343
    +V;
    +tp5096
    +a(g189
    +V\u000a
    +tp5097
    +a(g111
    +Vend
    +p5098
    +tp5099
    +a(g343
    +V;
    +tp5100
    +a(g189
    +V\u000a\u000a\u000a
    +p5101
    +tp5102
    +a(g111
    +Vprocedure
    +p5103
    +tp5104
    +a(g189
    +V 
    +tp5105
    +a(g21
    +VDecompressBuf
    +p5106
    +tp5107
    +a(g202
    +V(
    +tp5108
    +a(g111
    +Vconst
    +p5109
    +tp5110
    +a(g189
    +V 
    +tp5111
    +a(g18
    +VInBuf
    +p5112
    +tp5113
    +a(g343
    +V:
    +tp5114
    +a(g189
    +V 
    +tp5115
    +a(g139
    +VPointer
    +p5116
    +tp5117
    +a(g343
    +V;
    +tp5118
    +a(g189
    +V 
    +tp5119
    +a(g18
    +VInBytes
    +p5120
    +tp5121
    +a(g343
    +V:
    +tp5122
    +a(g189
    +V 
    +tp5123
    +a(g139
    +VInteger
    +p5124
    +tp5125
    +a(g343
    +V;
    +tp5126
    +a(g189
    +V\u000a  
    +p5127
    +tp5128
    +a(g18
    +VOutEstimate
    +p5129
    +tp5130
    +a(g343
    +V:
    +tp5131
    +a(g189
    +V 
    +tp5132
    +a(g139
    +VInteger
    +p5133
    +tp5134
    +a(g343
    +V;
    +tp5135
    +a(g189
    +V 
    +tp5136
    +a(g18
    +Vout
    +p5137
    +tp5138
    +a(g189
    +V 
    +tp5139
    +a(g18
    +VOutBuf
    +p5140
    +tp5141
    +a(g343
    +V:
    +tp5142
    +a(g189
    +V 
    +tp5143
    +a(g139
    +VPointer
    +p5144
    +tp5145
    +a(g343
    +V;
    +tp5146
    +a(g189
    +V 
    +tp5147
    +a(g18
    +Vout
    +p5148
    +tp5149
    +a(g189
    +V 
    +tp5150
    +a(g18
    +VOutBytes
    +p5151
    +tp5152
    +a(g343
    +V:
    +tp5153
    +a(g189
    +V 
    +tp5154
    +a(g139
    +VInteger
    +p5155
    +tp5156
    +a(g202
    +V)
    +tp5157
    +a(g343
    +V;
    +tp5158
    +a(g189
    +V\u000a
    +tp5159
    +a(g111
    +Vvar
    +p5160
    +tp5161
    +a(g189
    +V\u000a  
    +p5162
    +tp5163
    +a(g18
    +Vstrm
    +p5164
    +tp5165
    +a(g343
    +V:
    +tp5166
    +a(g189
    +V 
    +tp5167
    +a(g18
    +VTZStreamRec
    +p5168
    +tp5169
    +a(g343
    +V;
    +tp5170
    +a(g189
    +V\u000a  
    +p5171
    +tp5172
    +a(g18
    +VP
    +tp5173
    +a(g343
    +V:
    +tp5174
    +a(g189
    +V 
    +tp5175
    +a(g139
    +VPointer
    +p5176
    +tp5177
    +a(g343
    +V;
    +tp5178
    +a(g189
    +V\u000a  
    +p5179
    +tp5180
    +a(g18
    +VBufInc
    +p5181
    +tp5182
    +a(g343
    +V:
    +tp5183
    +a(g189
    +V 
    +tp5184
    +a(g139
    +VInteger
    +p5185
    +tp5186
    +a(g343
    +V;
    +tp5187
    +a(g189
    +V\u000a
    +tp5188
    +a(g111
    +Vbegin
    +p5189
    +tp5190
    +a(g189
    +V\u000a  
    +p5191
    +tp5192
    +a(g57
    +VFillChar
    +p5193
    +tp5194
    +a(g202
    +V(
    +tp5195
    +a(g18
    +Vstrm
    +p5196
    +tp5197
    +a(g343
    +V,
    +tp5198
    +a(g189
    +V 
    +tp5199
    +a(g57
    +Vsizeof
    +p5200
    +tp5201
    +a(g202
    +V(
    +tp5202
    +a(g18
    +Vstrm
    +p5203
    +tp5204
    +a(g202
    +V)
    +tp5205
    +a(g343
    +V,
    +tp5206
    +a(g189
    +V 
    +tp5207
    +a(g318
    +V0
    +tp5208
    +a(g202
    +V)
    +tp5209
    +a(g343
    +V;
    +tp5210
    +a(g189
    +V\u000a  
    +p5211
    +tp5212
    +a(g18
    +VBufInc
    +p5213
    +tp5214
    +a(g189
    +V 
    +tp5215
    +a(g343
    +V:
    +tp5216
    +a(g343
    +V=
    +tp5217
    +a(g189
    +V 
    +tp5218
    +a(g202
    +V(
    +tp5219
    +a(g18
    +VInBytes
    +p5220
    +tp5221
    +a(g189
    +V 
    +tp5222
    +a(g343
    +V+
    +tp5223
    +a(g189
    +V 
    +tp5224
    +a(g318
    +V255
    +p5225
    +tp5226
    +a(g202
    +V)
    +tp5227
    +a(g189
    +V 
    +tp5228
    +a(g111
    +Vand
    +p5229
    +tp5230
    +a(g189
    +V 
    +tp5231
    +a(g111
    +Vnot
    +p5232
    +tp5233
    +a(g189
    +V 
    +tp5234
    +a(g318
    +V255
    +p5235
    +tp5236
    +a(g343
    +V;
    +tp5237
    +a(g189
    +V\u000a  
    +p5238
    +tp5239
    +a(g111
    +Vif
    +p5240
    +tp5241
    +a(g189
    +V 
    +tp5242
    +a(g18
    +VOutEstimate
    +p5243
    +tp5244
    +a(g189
    +V 
    +tp5245
    +a(g343
    +V=
    +tp5246
    +a(g189
    +V 
    +tp5247
    +a(g318
    +V0
    +tp5248
    +a(g189
    +V 
    +tp5249
    +a(g111
    +Vthen
    +p5250
    +tp5251
    +a(g189
    +V\u000a    
    +p5252
    +tp5253
    +a(g18
    +VOutBytes
    +p5254
    +tp5255
    +a(g189
    +V 
    +tp5256
    +a(g343
    +V:
    +tp5257
    +a(g343
    +V=
    +tp5258
    +a(g189
    +V 
    +tp5259
    +a(g18
    +VBufInc
    +p5260
    +tp5261
    +a(g189
    +V\u000a  
    +p5262
    +tp5263
    +a(g111
    +Velse
    +p5264
    +tp5265
    +a(g189
    +V\u000a    
    +p5266
    +tp5267
    +a(g18
    +VOutBytes
    +p5268
    +tp5269
    +a(g189
    +V 
    +tp5270
    +a(g343
    +V:
    +tp5271
    +a(g343
    +V=
    +tp5272
    +a(g189
    +V 
    +tp5273
    +a(g18
    +VOutEstimate
    +p5274
    +tp5275
    +a(g343
    +V;
    +tp5276
    +a(g189
    +V\u000a  
    +p5277
    +tp5278
    +a(g57
    +VGetMem
    +p5279
    +tp5280
    +a(g202
    +V(
    +tp5281
    +a(g18
    +VOutBuf
    +p5282
    +tp5283
    +a(g343
    +V,
    +tp5284
    +a(g189
    +V 
    +tp5285
    +a(g18
    +VOutBytes
    +p5286
    +tp5287
    +a(g202
    +V)
    +tp5288
    +a(g343
    +V;
    +tp5289
    +a(g189
    +V\u000a  
    +p5290
    +tp5291
    +a(g111
    +Vtry
    +p5292
    +tp5293
    +a(g189
    +V\u000a    
    +p5294
    +tp5295
    +a(g18
    +Vstrm
    +p5296
    +tp5297
    +a(g343
    +V.
    +tp5298
    +a(g18
    +Vnext_in
    +p5299
    +tp5300
    +a(g189
    +V 
    +tp5301
    +a(g343
    +V:
    +tp5302
    +a(g343
    +V=
    +tp5303
    +a(g189
    +V 
    +tp5304
    +a(g18
    +VInBuf
    +p5305
    +tp5306
    +a(g343
    +V;
    +tp5307
    +a(g189
    +V\u000a    
    +p5308
    +tp5309
    +a(g18
    +Vstrm
    +p5310
    +tp5311
    +a(g343
    +V.
    +tp5312
    +a(g18
    +Vavail_in
    +p5313
    +tp5314
    +a(g189
    +V 
    +tp5315
    +a(g343
    +V:
    +tp5316
    +a(g343
    +V=
    +tp5317
    +a(g189
    +V 
    +tp5318
    +a(g18
    +VInBytes
    +p5319
    +tp5320
    +a(g343
    +V;
    +tp5321
    +a(g189
    +V\u000a    
    +p5322
    +tp5323
    +a(g18
    +Vstrm
    +p5324
    +tp5325
    +a(g343
    +V.
    +tp5326
    +a(g18
    +Vnext_out
    +p5327
    +tp5328
    +a(g189
    +V 
    +tp5329
    +a(g343
    +V:
    +tp5330
    +a(g343
    +V=
    +tp5331
    +a(g189
    +V 
    +tp5332
    +a(g18
    +VOutBuf
    +p5333
    +tp5334
    +a(g343
    +V;
    +tp5335
    +a(g189
    +V\u000a    
    +p5336
    +tp5337
    +a(g18
    +Vstrm
    +p5338
    +tp5339
    +a(g343
    +V.
    +tp5340
    +a(g18
    +Vavail_out
    +p5341
    +tp5342
    +a(g189
    +V 
    +tp5343
    +a(g343
    +V:
    +tp5344
    +a(g343
    +V=
    +tp5345
    +a(g189
    +V 
    +tp5346
    +a(g18
    +VOutBytes
    +p5347
    +tp5348
    +a(g343
    +V;
    +tp5349
    +a(g189
    +V\u000a    
    +p5350
    +tp5351
    +a(g18
    +VDCheck
    +p5352
    +tp5353
    +a(g202
    +V(
    +tp5354
    +a(g18
    +VinflateInit_
    +p5355
    +tp5356
    +a(g202
    +V(
    +tp5357
    +a(g18
    +Vstrm
    +p5358
    +tp5359
    +a(g343
    +V,
    +tp5360
    +a(g189
    +V 
    +tp5361
    +a(g18
    +Vzlib_version
    +p5362
    +tp5363
    +a(g343
    +V,
    +tp5364
    +a(g189
    +V 
    +tp5365
    +a(g57
    +Vsizeof
    +p5366
    +tp5367
    +a(g202
    +V(
    +tp5368
    +a(g18
    +Vstrm
    +p5369
    +tp5370
    +a(g202
    +V)))
    +p5371
    +tp5372
    +a(g343
    +V;
    +tp5373
    +a(g189
    +V\u000a    
    +p5374
    +tp5375
    +a(g111
    +Vtry
    +p5376
    +tp5377
    +a(g189
    +V\u000a      
    +p5378
    +tp5379
    +a(g111
    +Vwhile
    +p5380
    +tp5381
    +a(g189
    +V 
    +tp5382
    +a(g18
    +VDCheck
    +p5383
    +tp5384
    +a(g202
    +V(
    +tp5385
    +a(g18
    +Vinflate
    +p5386
    +tp5387
    +a(g202
    +V(
    +tp5388
    +a(g18
    +Vstrm
    +p5389
    +tp5390
    +a(g343
    +V,
    +tp5391
    +a(g189
    +V 
    +tp5392
    +a(g18
    +VZ_FINISH
    +p5393
    +tp5394
    +a(g202
    +V))
    +p5395
    +tp5396
    +a(g189
    +V 
    +tp5397
    +a(g343
    +V<
    +tp5398
    +a(g343
    +V>
    +tp5399
    +a(g189
    +V 
    +tp5400
    +a(g18
    +VZ_STREAM_END
    +p5401
    +tp5402
    +a(g189
    +V 
    +tp5403
    +a(g111
    +Vdo
    +p5404
    +tp5405
    +a(g189
    +V\u000a      
    +p5406
    +tp5407
    +a(g111
    +Vbegin
    +p5408
    +tp5409
    +a(g189
    +V\u000a        
    +p5410
    +tp5411
    +a(g18
    +VP
    +tp5412
    +a(g189
    +V 
    +tp5413
    +a(g343
    +V:
    +tp5414
    +a(g343
    +V=
    +tp5415
    +a(g189
    +V 
    +tp5416
    +a(g18
    +VOutBuf
    +p5417
    +tp5418
    +a(g343
    +V;
    +tp5419
    +a(g189
    +V\u000a        
    +p5420
    +tp5421
    +a(g57
    +VInc
    +p5422
    +tp5423
    +a(g202
    +V(
    +tp5424
    +a(g18
    +VOutBytes
    +p5425
    +tp5426
    +a(g343
    +V,
    +tp5427
    +a(g189
    +V 
    +tp5428
    +a(g18
    +VBufInc
    +p5429
    +tp5430
    +a(g202
    +V)
    +tp5431
    +a(g343
    +V;
    +tp5432
    +a(g189
    +V\u000a        
    +p5433
    +tp5434
    +a(g57
    +VReallocMem
    +p5435
    +tp5436
    +a(g202
    +V(
    +tp5437
    +a(g18
    +VOutBuf
    +p5438
    +tp5439
    +a(g343
    +V,
    +tp5440
    +a(g189
    +V 
    +tp5441
    +a(g18
    +VOutBytes
    +p5442
    +tp5443
    +a(g202
    +V)
    +tp5444
    +a(g343
    +V;
    +tp5445
    +a(g189
    +V\u000a        
    +p5446
    +tp5447
    +a(g18
    +Vstrm
    +p5448
    +tp5449
    +a(g343
    +V.
    +tp5450
    +a(g18
    +Vnext_out
    +p5451
    +tp5452
    +a(g189
    +V 
    +tp5453
    +a(g343
    +V:
    +tp5454
    +a(g343
    +V=
    +tp5455
    +a(g189
    +V 
    +tp5456
    +a(g139
    +VPChar
    +p5457
    +tp5458
    +a(g202
    +V(
    +tp5459
    +a(g139
    +VInteger
    +p5460
    +tp5461
    +a(g202
    +V(
    +tp5462
    +a(g18
    +VOutBuf
    +p5463
    +tp5464
    +a(g202
    +V)
    +tp5465
    +a(g189
    +V 
    +tp5466
    +a(g343
    +V+
    +tp5467
    +a(g189
    +V 
    +tp5468
    +a(g202
    +V(
    +tp5469
    +a(g139
    +VInteger
    +p5470
    +tp5471
    +a(g202
    +V(
    +tp5472
    +a(g18
    +Vstrm
    +p5473
    +tp5474
    +a(g343
    +V.
    +tp5475
    +a(g18
    +Vnext_out
    +p5476
    +tp5477
    +a(g202
    +V)
    +tp5478
    +a(g189
    +V 
    +tp5479
    +a(g343
    +V-
    +tp5480
    +a(g189
    +V 
    +tp5481
    +a(g139
    +VInteger
    +p5482
    +tp5483
    +a(g202
    +V(
    +tp5484
    +a(g18
    +VP
    +tp5485
    +a(g202
    +V)))
    +p5486
    +tp5487
    +a(g343
    +V;
    +tp5488
    +a(g189
    +V\u000a        
    +p5489
    +tp5490
    +a(g18
    +Vstrm
    +p5491
    +tp5492
    +a(g343
    +V.
    +tp5493
    +a(g18
    +Vavail_out
    +p5494
    +tp5495
    +a(g189
    +V 
    +tp5496
    +a(g343
    +V:
    +tp5497
    +a(g343
    +V=
    +tp5498
    +a(g189
    +V 
    +tp5499
    +a(g18
    +VBufInc
    +p5500
    +tp5501
    +a(g343
    +V;
    +tp5502
    +a(g189
    +V\u000a      
    +p5503
    +tp5504
    +a(g111
    +Vend
    +p5505
    +tp5506
    +a(g343
    +V;
    +tp5507
    +a(g189
    +V\u000a    
    +p5508
    +tp5509
    +a(g111
    +Vfinally
    +p5510
    +tp5511
    +a(g189
    +V\u000a      
    +p5512
    +tp5513
    +a(g18
    +VDCheck
    +p5514
    +tp5515
    +a(g202
    +V(
    +tp5516
    +a(g18
    +VinflateEnd
    +p5517
    +tp5518
    +a(g202
    +V(
    +tp5519
    +a(g18
    +Vstrm
    +p5520
    +tp5521
    +a(g202
    +V))
    +p5522
    +tp5523
    +a(g343
    +V;
    +tp5524
    +a(g189
    +V\u000a    
    +p5525
    +tp5526
    +a(g111
    +Vend
    +p5527
    +tp5528
    +a(g343
    +V;
    +tp5529
    +a(g189
    +V\u000a    
    +p5530
    +tp5531
    +a(g57
    +VReallocMem
    +p5532
    +tp5533
    +a(g202
    +V(
    +tp5534
    +a(g18
    +VOutBuf
    +p5535
    +tp5536
    +a(g343
    +V,
    +tp5537
    +a(g189
    +V 
    +tp5538
    +a(g18
    +Vstrm
    +p5539
    +tp5540
    +a(g343
    +V.
    +tp5541
    +a(g18
    +Vtotal_out
    +p5542
    +tp5543
    +a(g202
    +V)
    +tp5544
    +a(g343
    +V;
    +tp5545
    +a(g189
    +V\u000a    
    +p5546
    +tp5547
    +a(g18
    +VOutBytes
    +p5548
    +tp5549
    +a(g189
    +V 
    +tp5550
    +a(g343
    +V:
    +tp5551
    +a(g343
    +V=
    +tp5552
    +a(g189
    +V 
    +tp5553
    +a(g18
    +Vstrm
    +p5554
    +tp5555
    +a(g343
    +V.
    +tp5556
    +a(g18
    +Vtotal_out
    +p5557
    +tp5558
    +a(g343
    +V;
    +tp5559
    +a(g189
    +V\u000a  
    +p5560
    +tp5561
    +a(g111
    +Vexcept
    +p5562
    +tp5563
    +a(g189
    +V\u000a    
    +p5564
    +tp5565
    +a(g57
    +VFreeMem
    +p5566
    +tp5567
    +a(g202
    +V(
    +tp5568
    +a(g18
    +VOutBuf
    +p5569
    +tp5570
    +a(g202
    +V)
    +tp5571
    +a(g343
    +V;
    +tp5572
    +a(g189
    +V\u000a    
    +p5573
    +tp5574
    +a(g111
    +Vraise
    +p5575
    +tp5576
    +a(g189
    +V\u000a  
    +p5577
    +tp5578
    +a(g111
    +Vend
    +p5579
    +tp5580
    +a(g343
    +V;
    +tp5581
    +a(g189
    +V\u000a
    +tp5582
    +a(g111
    +Vend
    +p5583
    +tp5584
    +a(g343
    +V;
    +tp5585
    +a(g189
    +V\u000a\u000a\u000a
    +p5586
    +tp5587
    +a(g7
    +V// TCustomZlibStream
    +p5588
    +tp5589
    +a(g189
    +V\u000a\u000a
    +p5590
    +tp5591
    +a(g111
    +Vconstructor
    +p5592
    +tp5593
    +a(g189
    +V 
    +tp5594
    +a(g106
    +VTCustomZLibStream
    +p5595
    +tp5596
    +a(g343
    +V.
    +tp5597
    +a(g21
    +VCreate
    +p5598
    +tp5599
    +a(g202
    +V(
    +tp5600
    +a(g18
    +VStrm
    +p5601
    +tp5602
    +a(g343
    +V:
    +tp5603
    +a(g189
    +V 
    +tp5604
    +a(g18
    +VTStream
    +p5605
    +tp5606
    +a(g202
    +V)
    +tp5607
    +a(g343
    +V;
    +tp5608
    +a(g189
    +V\u000a
    +tp5609
    +a(g111
    +Vbegin
    +p5610
    +tp5611
    +a(g189
    +V\u000a  
    +p5612
    +tp5613
    +a(g111
    +Vinherited
    +p5614
    +tp5615
    +a(g189
    +V 
    +tp5616
    +a(g18
    +VCreate
    +p5617
    +tp5618
    +a(g343
    +V;
    +tp5619
    +a(g189
    +V\u000a  
    +p5620
    +tp5621
    +a(g18
    +VFStrm
    +p5622
    +tp5623
    +a(g189
    +V 
    +tp5624
    +a(g343
    +V:
    +tp5625
    +a(g343
    +V=
    +tp5626
    +a(g189
    +V 
    +tp5627
    +a(g18
    +VStrm
    +p5628
    +tp5629
    +a(g343
    +V;
    +tp5630
    +a(g189
    +V\u000a  
    +p5631
    +tp5632
    +a(g18
    +VFStrmPos
    +p5633
    +tp5634
    +a(g189
    +V 
    +tp5635
    +a(g343
    +V:
    +tp5636
    +a(g343
    +V=
    +tp5637
    +a(g189
    +V 
    +tp5638
    +a(g18
    +VStrm
    +p5639
    +tp5640
    +a(g343
    +V.
    +tp5641
    +a(g18
    +VPosition
    +p5642
    +tp5643
    +a(g343
    +V;
    +tp5644
    +a(g189
    +V\u000a
    +tp5645
    +a(g111
    +Vend
    +p5646
    +tp5647
    +a(g343
    +V;
    +tp5648
    +a(g189
    +V\u000a\u000a
    +p5649
    +tp5650
    +a(g111
    +Vprocedure
    +p5651
    +tp5652
    +a(g189
    +V 
    +tp5653
    +a(g106
    +VTCustomZLibStream
    +p5654
    +tp5655
    +a(g343
    +V.
    +tp5656
    +a(g21
    +VProgress
    +p5657
    +tp5658
    +a(g202
    +V(
    +tp5659
    +a(g18
    +VSender
    +p5660
    +tp5661
    +a(g343
    +V:
    +tp5662
    +a(g189
    +V 
    +tp5663
    +a(g139
    +VTObject
    +p5664
    +tp5665
    +a(g202
    +V)
    +tp5666
    +a(g343
    +V;
    +tp5667
    +a(g189
    +V\u000a
    +tp5668
    +a(g111
    +Vbegin
    +p5669
    +tp5670
    +a(g189
    +V\u000a  
    +p5671
    +tp5672
    +a(g111
    +Vif
    +p5673
    +tp5674
    +a(g189
    +V 
    +tp5675
    +a(g57
    +VAssigned
    +p5676
    +tp5677
    +a(g202
    +V(
    +tp5678
    +a(g18
    +VFOnProgress
    +p5679
    +tp5680
    +a(g202
    +V)
    +tp5681
    +a(g189
    +V 
    +tp5682
    +a(g111
    +Vthen
    +p5683
    +tp5684
    +a(g189
    +V 
    +tp5685
    +a(g18
    +VFOnProgress
    +p5686
    +tp5687
    +a(g202
    +V(
    +tp5688
    +a(g18
    +VSender
    +p5689
    +tp5690
    +a(g202
    +V)
    +tp5691
    +a(g343
    +V;
    +tp5692
    +a(g189
    +V\u000a
    +tp5693
    +a(g111
    +Vend
    +p5694
    +tp5695
    +a(g343
    +V;
    +tp5696
    +a(g189
    +V\u000a\u000a\u000a
    +p5697
    +tp5698
    +a(g7
    +V// TCompressionStream
    +p5699
    +tp5700
    +a(g189
    +V\u000a\u000a
    +p5701
    +tp5702
    +a(g111
    +Vconstructor
    +p5703
    +tp5704
    +a(g189
    +V 
    +tp5705
    +a(g106
    +VTCompressionStream
    +p5706
    +tp5707
    +a(g343
    +V.
    +tp5708
    +a(g21
    +VCreate
    +p5709
    +tp5710
    +a(g202
    +V(
    +tp5711
    +a(g18
    +VCompressionLevel
    +p5712
    +tp5713
    +a(g343
    +V:
    +tp5714
    +a(g189
    +V 
    +tp5715
    +a(g18
    +VTCompressionLevel
    +p5716
    +tp5717
    +a(g343
    +V;
    +tp5718
    +a(g189
    +V\u000a  
    +p5719
    +tp5720
    +a(g18
    +VDest
    +p5721
    +tp5722
    +a(g343
    +V:
    +tp5723
    +a(g189
    +V 
    +tp5724
    +a(g18
    +VTStream
    +p5725
    +tp5726
    +a(g202
    +V)
    +tp5727
    +a(g343
    +V;
    +tp5728
    +a(g189
    +V\u000a
    +tp5729
    +a(g111
    +Vconst
    +p5730
    +tp5731
    +a(g189
    +V\u000a  
    +p5732
    +tp5733
    +a(g18
    +VLevels
    +p5734
    +tp5735
    +a(g343
    +V:
    +tp5736
    +a(g189
    +V 
    +tp5737
    +a(g111
    +Varray
    +p5738
    +tp5739
    +a(g189
    +V 
    +tp5740
    +a(g202
    +V[
    +tp5741
    +a(g18
    +VTCompressionLevel
    +p5742
    +tp5743
    +a(g202
    +V]
    +tp5744
    +a(g189
    +V 
    +tp5745
    +a(g111
    +Vof
    +p5746
    +tp5747
    +a(g189
    +V 
    +tp5748
    +a(g139
    +VShortInt
    +p5749
    +tp5750
    +a(g189
    +V 
    +tp5751
    +a(g343
    +V=
    +tp5752
    +a(g189
    +V\u000a    
    +p5753
    +tp5754
    +a(g202
    +V(
    +tp5755
    +a(g18
    +VZ_NO_COMPRESSION
    +p5756
    +tp5757
    +a(g343
    +V,
    +tp5758
    +a(g189
    +V 
    +tp5759
    +a(g18
    +VZ_BEST_SPEED
    +p5760
    +tp5761
    +a(g343
    +V,
    +tp5762
    +a(g189
    +V 
    +tp5763
    +a(g18
    +VZ_DEFAULT_COMPRESSION
    +p5764
    +tp5765
    +a(g343
    +V,
    +tp5766
    +a(g189
    +V 
    +tp5767
    +a(g18
    +VZ_BEST_COMPRESSION
    +p5768
    +tp5769
    +a(g202
    +V)
    +tp5770
    +a(g343
    +V;
    +tp5771
    +a(g189
    +V\u000a
    +tp5772
    +a(g111
    +Vbegin
    +p5773
    +tp5774
    +a(g189
    +V\u000a  
    +p5775
    +tp5776
    +a(g111
    +Vinherited
    +p5777
    +tp5778
    +a(g189
    +V 
    +tp5779
    +a(g18
    +VCreate
    +p5780
    +tp5781
    +a(g202
    +V(
    +tp5782
    +a(g18
    +VDest
    +p5783
    +tp5784
    +a(g202
    +V)
    +tp5785
    +a(g343
    +V;
    +tp5786
    +a(g189
    +V\u000a  
    +p5787
    +tp5788
    +a(g18
    +VFZRec
    +p5789
    +tp5790
    +a(g343
    +V.
    +tp5791
    +a(g18
    +Vnext_out
    +p5792
    +tp5793
    +a(g189
    +V 
    +tp5794
    +a(g343
    +V:
    +tp5795
    +a(g343
    +V=
    +tp5796
    +a(g189
    +V 
    +tp5797
    +a(g18
    +VFBuffer
    +p5798
    +tp5799
    +a(g343
    +V;
    +tp5800
    +a(g189
    +V\u000a  
    +p5801
    +tp5802
    +a(g18
    +VFZRec
    +p5803
    +tp5804
    +a(g343
    +V.
    +tp5805
    +a(g18
    +Vavail_out
    +p5806
    +tp5807
    +a(g189
    +V 
    +tp5808
    +a(g343
    +V:
    +tp5809
    +a(g343
    +V=
    +tp5810
    +a(g189
    +V 
    +tp5811
    +a(g57
    +Vsizeof
    +p5812
    +tp5813
    +a(g202
    +V(
    +tp5814
    +a(g18
    +VFBuffer
    +p5815
    +tp5816
    +a(g202
    +V)
    +tp5817
    +a(g343
    +V;
    +tp5818
    +a(g189
    +V\u000a  
    +p5819
    +tp5820
    +a(g18
    +VCCheck
    +p5821
    +tp5822
    +a(g202
    +V(
    +tp5823
    +a(g18
    +VdeflateInit_
    +p5824
    +tp5825
    +a(g202
    +V(
    +tp5826
    +a(g18
    +VFZRec
    +p5827
    +tp5828
    +a(g343
    +V,
    +tp5829
    +a(g189
    +V 
    +tp5830
    +a(g18
    +VLevels
    +p5831
    +tp5832
    +a(g202
    +V[
    +tp5833
    +a(g18
    +VCompressionLevel
    +p5834
    +tp5835
    +a(g202
    +V]
    +tp5836
    +a(g343
    +V,
    +tp5837
    +a(g189
    +V 
    +tp5838
    +a(g18
    +Vzlib_version
    +p5839
    +tp5840
    +a(g343
    +V,
    +tp5841
    +a(g189
    +V 
    +tp5842
    +a(g57
    +Vsizeof
    +p5843
    +tp5844
    +a(g202
    +V(
    +tp5845
    +a(g18
    +VFZRec
    +p5846
    +tp5847
    +a(g202
    +V)))
    +p5848
    +tp5849
    +a(g343
    +V;
    +tp5850
    +a(g189
    +V\u000a
    +tp5851
    +a(g111
    +Vend
    +p5852
    +tp5853
    +a(g343
    +V;
    +tp5854
    +a(g189
    +V\u000a\u000a
    +p5855
    +tp5856
    +a(g111
    +Vdestructor
    +p5857
    +tp5858
    +a(g189
    +V 
    +tp5859
    +a(g106
    +VTCompressionStream
    +p5860
    +tp5861
    +a(g343
    +V.
    +tp5862
    +a(g21
    +VDestroy
    +p5863
    +tp5864
    +a(g343
    +V;
    +tp5865
    +a(g189
    +V\u000a
    +tp5866
    +a(g111
    +Vbegin
    +p5867
    +tp5868
    +a(g189
    +V\u000a  
    +p5869
    +tp5870
    +a(g18
    +VFZRec
    +p5871
    +tp5872
    +a(g343
    +V.
    +tp5873
    +a(g18
    +Vnext_in
    +p5874
    +tp5875
    +a(g189
    +V 
    +tp5876
    +a(g343
    +V:
    +tp5877
    +a(g343
    +V=
    +tp5878
    +a(g189
    +V 
    +tp5879
    +a(g111
    +Vnil
    +p5880
    +tp5881
    +a(g343
    +V;
    +tp5882
    +a(g189
    +V\u000a  
    +p5883
    +tp5884
    +a(g18
    +VFZRec
    +p5885
    +tp5886
    +a(g343
    +V.
    +tp5887
    +a(g18
    +Vavail_in
    +p5888
    +tp5889
    +a(g189
    +V 
    +tp5890
    +a(g343
    +V:
    +tp5891
    +a(g343
    +V=
    +tp5892
    +a(g189
    +V 
    +tp5893
    +a(g318
    +V0
    +tp5894
    +a(g343
    +V;
    +tp5895
    +a(g189
    +V\u000a  
    +p5896
    +tp5897
    +a(g111
    +Vtry
    +p5898
    +tp5899
    +a(g189
    +V\u000a    
    +p5900
    +tp5901
    +a(g111
    +Vif
    +p5902
    +tp5903
    +a(g189
    +V 
    +tp5904
    +a(g18
    +VFStrm
    +p5905
    +tp5906
    +a(g343
    +V.
    +tp5907
    +a(g18
    +VPosition
    +p5908
    +tp5909
    +a(g189
    +V 
    +tp5910
    +a(g343
    +V<
    +tp5911
    +a(g343
    +V>
    +tp5912
    +a(g189
    +V 
    +tp5913
    +a(g18
    +VFStrmPos
    +p5914
    +tp5915
    +a(g189
    +V 
    +tp5916
    +a(g111
    +Vthen
    +p5917
    +tp5918
    +a(g189
    +V 
    +tp5919
    +a(g18
    +VFStrm
    +p5920
    +tp5921
    +a(g343
    +V.
    +tp5922
    +a(g18
    +VPosition
    +p5923
    +tp5924
    +a(g189
    +V 
    +tp5925
    +a(g343
    +V:
    +tp5926
    +a(g343
    +V=
    +tp5927
    +a(g189
    +V 
    +tp5928
    +a(g18
    +VFStrmPos
    +p5929
    +tp5930
    +a(g343
    +V;
    +tp5931
    +a(g189
    +V\u000a    
    +p5932
    +tp5933
    +a(g111
    +Vwhile
    +p5934
    +tp5935
    +a(g189
    +V 
    +tp5936
    +a(g202
    +V(
    +tp5937
    +a(g18
    +VCCheck
    +p5938
    +tp5939
    +a(g202
    +V(
    +tp5940
    +a(g18
    +Vdeflate
    +p5941
    +tp5942
    +a(g202
    +V(
    +tp5943
    +a(g18
    +VFZRec
    +p5944
    +tp5945
    +a(g343
    +V,
    +tp5946
    +a(g189
    +V 
    +tp5947
    +a(g18
    +VZ_FINISH
    +p5948
    +tp5949
    +a(g202
    +V))
    +p5950
    +tp5951
    +a(g189
    +V 
    +tp5952
    +a(g343
    +V<
    +tp5953
    +a(g343
    +V>
    +tp5954
    +a(g189
    +V 
    +tp5955
    +a(g18
    +VZ_STREAM_END
    +p5956
    +tp5957
    +a(g202
    +V)
    +tp5958
    +a(g189
    +V\u000a      
    +p5959
    +tp5960
    +a(g111
    +Vand
    +p5961
    +tp5962
    +a(g189
    +V 
    +tp5963
    +a(g202
    +V(
    +tp5964
    +a(g18
    +VFZRec
    +p5965
    +tp5966
    +a(g343
    +V.
    +tp5967
    +a(g18
    +Vavail_out
    +p5968
    +tp5969
    +a(g189
    +V 
    +tp5970
    +a(g343
    +V=
    +tp5971
    +a(g189
    +V 
    +tp5972
    +a(g318
    +V0
    +tp5973
    +a(g202
    +V)
    +tp5974
    +a(g189
    +V 
    +tp5975
    +a(g111
    +Vdo
    +p5976
    +tp5977
    +a(g189
    +V\u000a    
    +p5978
    +tp5979
    +a(g111
    +Vbegin
    +p5980
    +tp5981
    +a(g189
    +V\u000a      
    +p5982
    +tp5983
    +a(g18
    +VFStrm
    +p5984
    +tp5985
    +a(g343
    +V.
    +tp5986
    +a(g18
    +VWriteBuffer
    +p5987
    +tp5988
    +a(g202
    +V(
    +tp5989
    +a(g18
    +VFBuffer
    +p5990
    +tp5991
    +a(g343
    +V,
    +tp5992
    +a(g189
    +V 
    +tp5993
    +a(g57
    +Vsizeof
    +p5994
    +tp5995
    +a(g202
    +V(
    +tp5996
    +a(g18
    +VFBuffer
    +p5997
    +tp5998
    +a(g202
    +V))
    +p5999
    +tp6000
    +a(g343
    +V;
    +tp6001
    +a(g189
    +V\u000a      
    +p6002
    +tp6003
    +a(g18
    +VFZRec
    +p6004
    +tp6005
    +a(g343
    +V.
    +tp6006
    +a(g18
    +Vnext_out
    +p6007
    +tp6008
    +a(g189
    +V 
    +tp6009
    +a(g343
    +V:
    +tp6010
    +a(g343
    +V=
    +tp6011
    +a(g189
    +V 
    +tp6012
    +a(g18
    +VFBuffer
    +p6013
    +tp6014
    +a(g343
    +V;
    +tp6015
    +a(g189
    +V\u000a      
    +p6016
    +tp6017
    +a(g18
    +VFZRec
    +p6018
    +tp6019
    +a(g343
    +V.
    +tp6020
    +a(g18
    +Vavail_out
    +p6021
    +tp6022
    +a(g189
    +V 
    +tp6023
    +a(g343
    +V:
    +tp6024
    +a(g343
    +V=
    +tp6025
    +a(g189
    +V 
    +tp6026
    +a(g57
    +Vsizeof
    +p6027
    +tp6028
    +a(g202
    +V(
    +tp6029
    +a(g18
    +VFBuffer
    +p6030
    +tp6031
    +a(g202
    +V)
    +tp6032
    +a(g343
    +V;
    +tp6033
    +a(g189
    +V\u000a    
    +p6034
    +tp6035
    +a(g111
    +Vend
    +p6036
    +tp6037
    +a(g343
    +V;
    +tp6038
    +a(g189
    +V\u000a    
    +p6039
    +tp6040
    +a(g111
    +Vif
    +p6041
    +tp6042
    +a(g189
    +V 
    +tp6043
    +a(g18
    +VFZRec
    +p6044
    +tp6045
    +a(g343
    +V.
    +tp6046
    +a(g18
    +Vavail_out
    +p6047
    +tp6048
    +a(g189
    +V 
    +tp6049
    +a(g343
    +V<
    +tp6050
    +a(g189
    +V 
    +tp6051
    +a(g57
    +Vsizeof
    +p6052
    +tp6053
    +a(g202
    +V(
    +tp6054
    +a(g18
    +VFBuffer
    +p6055
    +tp6056
    +a(g202
    +V)
    +tp6057
    +a(g189
    +V 
    +tp6058
    +a(g111
    +Vthen
    +p6059
    +tp6060
    +a(g189
    +V\u000a      
    +p6061
    +tp6062
    +a(g18
    +VFStrm
    +p6063
    +tp6064
    +a(g343
    +V.
    +tp6065
    +a(g18
    +VWriteBuffer
    +p6066
    +tp6067
    +a(g202
    +V(
    +tp6068
    +a(g18
    +VFBuffer
    +p6069
    +tp6070
    +a(g343
    +V,
    +tp6071
    +a(g189
    +V 
    +tp6072
    +a(g57
    +Vsizeof
    +p6073
    +tp6074
    +a(g202
    +V(
    +tp6075
    +a(g18
    +VFBuffer
    +p6076
    +tp6077
    +a(g202
    +V)
    +tp6078
    +a(g189
    +V 
    +tp6079
    +a(g343
    +V-
    +tp6080
    +a(g189
    +V 
    +tp6081
    +a(g18
    +VFZRec
    +p6082
    +tp6083
    +a(g343
    +V.
    +tp6084
    +a(g18
    +Vavail_out
    +p6085
    +tp6086
    +a(g202
    +V)
    +tp6087
    +a(g343
    +V;
    +tp6088
    +a(g189
    +V\u000a  
    +p6089
    +tp6090
    +a(g111
    +Vfinally
    +p6091
    +tp6092
    +a(g189
    +V\u000a    
    +p6093
    +tp6094
    +a(g18
    +VdeflateEnd
    +p6095
    +tp6096
    +a(g202
    +V(
    +tp6097
    +a(g18
    +VFZRec
    +p6098
    +tp6099
    +a(g202
    +V)
    +tp6100
    +a(g343
    +V;
    +tp6101
    +a(g189
    +V\u000a  
    +p6102
    +tp6103
    +a(g111
    +Vend
    +p6104
    +tp6105
    +a(g343
    +V;
    +tp6106
    +a(g189
    +V\u000a  
    +p6107
    +tp6108
    +a(g111
    +Vinherited
    +p6109
    +tp6110
    +a(g189
    +V 
    +tp6111
    +a(g18
    +VDestroy
    +p6112
    +tp6113
    +a(g343
    +V;
    +tp6114
    +a(g189
    +V\u000a
    +tp6115
    +a(g111
    +Vend
    +p6116
    +tp6117
    +a(g343
    +V;
    +tp6118
    +a(g189
    +V\u000a\u000a
    +p6119
    +tp6120
    +a(g111
    +Vfunction
    +p6121
    +tp6122
    +a(g189
    +V 
    +tp6123
    +a(g106
    +VTCompressionStream
    +p6124
    +tp6125
    +a(g343
    +V.
    +tp6126
    +a(g21
    +VRead
    +p6127
    +tp6128
    +a(g202
    +V(
    +tp6129
    +a(g111
    +Vvar
    +p6130
    +tp6131
    +a(g189
    +V 
    +tp6132
    +a(g18
    +VBuffer
    +p6133
    +tp6134
    +a(g343
    +V;
    +tp6135
    +a(g189
    +V 
    +tp6136
    +a(g18
    +VCount
    +p6137
    +tp6138
    +a(g343
    +V:
    +tp6139
    +a(g189
    +V 
    +tp6140
    +a(g139
    +VLongint
    +p6141
    +tp6142
    +a(g202
    +V)
    +tp6143
    +a(g343
    +V:
    +tp6144
    +a(g189
    +V 
    +tp6145
    +a(g139
    +VLongint
    +p6146
    +tp6147
    +a(g343
    +V;
    +tp6148
    +a(g189
    +V\u000a
    +tp6149
    +a(g111
    +Vbegin
    +p6150
    +tp6151
    +a(g189
    +V\u000a  
    +p6152
    +tp6153
    +a(g111
    +Vraise
    +p6154
    +tp6155
    +a(g189
    +V 
    +tp6156
    +a(g18
    +VECompressionError
    +p6157
    +tp6158
    +a(g343
    +V.
    +tp6159
    +a(g18
    +VCreate
    +p6160
    +tp6161
    +a(g202
    +V(
    +tp6162
    +a(g226
    +V'
    +tp6163
    +a(g226
    +VInvalid stream operation
    +p6164
    +tp6165
    +a(g226
    +V'
    +tp6166
    +a(g202
    +V)
    +tp6167
    +a(g343
    +V;
    +tp6168
    +a(g189
    +V\u000a
    +tp6169
    +a(g111
    +Vend
    +p6170
    +tp6171
    +a(g343
    +V;
    +tp6172
    +a(g189
    +V\u000a\u000a
    +p6173
    +tp6174
    +a(g111
    +Vfunction
    +p6175
    +tp6176
    +a(g189
    +V 
    +tp6177
    +a(g106
    +VTCompressionStream
    +p6178
    +tp6179
    +a(g343
    +V.
    +tp6180
    +a(g21
    +VWrite
    +p6181
    +tp6182
    +a(g202
    +V(
    +tp6183
    +a(g111
    +Vconst
    +p6184
    +tp6185
    +a(g189
    +V 
    +tp6186
    +a(g18
    +VBuffer
    +p6187
    +tp6188
    +a(g343
    +V;
    +tp6189
    +a(g189
    +V 
    +tp6190
    +a(g18
    +VCount
    +p6191
    +tp6192
    +a(g343
    +V:
    +tp6193
    +a(g189
    +V 
    +tp6194
    +a(g139
    +VLongint
    +p6195
    +tp6196
    +a(g202
    +V)
    +tp6197
    +a(g343
    +V:
    +tp6198
    +a(g189
    +V 
    +tp6199
    +a(g139
    +VLongint
    +p6200
    +tp6201
    +a(g343
    +V;
    +tp6202
    +a(g189
    +V\u000a
    +tp6203
    +a(g111
    +Vbegin
    +p6204
    +tp6205
    +a(g189
    +V\u000a  
    +p6206
    +tp6207
    +a(g18
    +VFZRec
    +p6208
    +tp6209
    +a(g343
    +V.
    +tp6210
    +a(g18
    +Vnext_in
    +p6211
    +tp6212
    +a(g189
    +V 
    +tp6213
    +a(g343
    +V:
    +tp6214
    +a(g343
    +V=
    +tp6215
    +a(g189
    +V 
    +tp6216
    +a(g343
    +V@
    +tp6217
    +a(g18
    +VBuffer
    +p6218
    +tp6219
    +a(g343
    +V;
    +tp6220
    +a(g189
    +V\u000a  
    +p6221
    +tp6222
    +a(g18
    +VFZRec
    +p6223
    +tp6224
    +a(g343
    +V.
    +tp6225
    +a(g18
    +Vavail_in
    +p6226
    +tp6227
    +a(g189
    +V 
    +tp6228
    +a(g343
    +V:
    +tp6229
    +a(g343
    +V=
    +tp6230
    +a(g189
    +V 
    +tp6231
    +a(g18
    +VCount
    +p6232
    +tp6233
    +a(g343
    +V;
    +tp6234
    +a(g189
    +V\u000a  
    +p6235
    +tp6236
    +a(g111
    +Vif
    +p6237
    +tp6238
    +a(g189
    +V 
    +tp6239
    +a(g18
    +VFStrm
    +p6240
    +tp6241
    +a(g343
    +V.
    +tp6242
    +a(g18
    +VPosition
    +p6243
    +tp6244
    +a(g189
    +V 
    +tp6245
    +a(g343
    +V<
    +tp6246
    +a(g343
    +V>
    +tp6247
    +a(g189
    +V 
    +tp6248
    +a(g18
    +VFStrmPos
    +p6249
    +tp6250
    +a(g189
    +V 
    +tp6251
    +a(g111
    +Vthen
    +p6252
    +tp6253
    +a(g189
    +V 
    +tp6254
    +a(g18
    +VFStrm
    +p6255
    +tp6256
    +a(g343
    +V.
    +tp6257
    +a(g18
    +VPosition
    +p6258
    +tp6259
    +a(g189
    +V 
    +tp6260
    +a(g343
    +V:
    +tp6261
    +a(g343
    +V=
    +tp6262
    +a(g189
    +V 
    +tp6263
    +a(g18
    +VFStrmPos
    +p6264
    +tp6265
    +a(g343
    +V;
    +tp6266
    +a(g189
    +V\u000a  
    +p6267
    +tp6268
    +a(g111
    +Vwhile
    +p6269
    +tp6270
    +a(g189
    +V 
    +tp6271
    +a(g202
    +V(
    +tp6272
    +a(g18
    +VFZRec
    +p6273
    +tp6274
    +a(g343
    +V.
    +tp6275
    +a(g18
    +Vavail_in
    +p6276
    +tp6277
    +a(g189
    +V 
    +tp6278
    +a(g343
    +V>
    +tp6279
    +a(g189
    +V 
    +tp6280
    +a(g318
    +V0
    +tp6281
    +a(g202
    +V)
    +tp6282
    +a(g189
    +V 
    +tp6283
    +a(g111
    +Vdo
    +p6284
    +tp6285
    +a(g189
    +V\u000a  
    +p6286
    +tp6287
    +a(g111
    +Vbegin
    +p6288
    +tp6289
    +a(g189
    +V\u000a    
    +p6290
    +tp6291
    +a(g18
    +VCCheck
    +p6292
    +tp6293
    +a(g202
    +V(
    +tp6294
    +a(g18
    +Vdeflate
    +p6295
    +tp6296
    +a(g202
    +V(
    +tp6297
    +a(g18
    +VFZRec
    +p6298
    +tp6299
    +a(g343
    +V,
    +tp6300
    +a(g189
    +V 
    +tp6301
    +a(g318
    +V0
    +tp6302
    +a(g202
    +V))
    +p6303
    +tp6304
    +a(g343
    +V;
    +tp6305
    +a(g189
    +V\u000a    
    +p6306
    +tp6307
    +a(g111
    +Vif
    +p6308
    +tp6309
    +a(g189
    +V 
    +tp6310
    +a(g18
    +VFZRec
    +p6311
    +tp6312
    +a(g343
    +V.
    +tp6313
    +a(g18
    +Vavail_out
    +p6314
    +tp6315
    +a(g189
    +V 
    +tp6316
    +a(g343
    +V=
    +tp6317
    +a(g189
    +V 
    +tp6318
    +a(g318
    +V0
    +tp6319
    +a(g189
    +V 
    +tp6320
    +a(g111
    +Vthen
    +p6321
    +tp6322
    +a(g189
    +V\u000a    
    +p6323
    +tp6324
    +a(g111
    +Vbegin
    +p6325
    +tp6326
    +a(g189
    +V\u000a      
    +p6327
    +tp6328
    +a(g18
    +VFStrm
    +p6329
    +tp6330
    +a(g343
    +V.
    +tp6331
    +a(g18
    +VWriteBuffer
    +p6332
    +tp6333
    +a(g202
    +V(
    +tp6334
    +a(g18
    +VFBuffer
    +p6335
    +tp6336
    +a(g343
    +V,
    +tp6337
    +a(g189
    +V 
    +tp6338
    +a(g57
    +Vsizeof
    +p6339
    +tp6340
    +a(g202
    +V(
    +tp6341
    +a(g18
    +VFBuffer
    +p6342
    +tp6343
    +a(g202
    +V))
    +p6344
    +tp6345
    +a(g343
    +V;
    +tp6346
    +a(g189
    +V\u000a      
    +p6347
    +tp6348
    +a(g18
    +VFZRec
    +p6349
    +tp6350
    +a(g343
    +V.
    +tp6351
    +a(g18
    +Vnext_out
    +p6352
    +tp6353
    +a(g189
    +V 
    +tp6354
    +a(g343
    +V:
    +tp6355
    +a(g343
    +V=
    +tp6356
    +a(g189
    +V 
    +tp6357
    +a(g18
    +VFBuffer
    +p6358
    +tp6359
    +a(g343
    +V;
    +tp6360
    +a(g189
    +V\u000a      
    +p6361
    +tp6362
    +a(g18
    +VFZRec
    +p6363
    +tp6364
    +a(g343
    +V.
    +tp6365
    +a(g18
    +Vavail_out
    +p6366
    +tp6367
    +a(g189
    +V 
    +tp6368
    +a(g343
    +V:
    +tp6369
    +a(g343
    +V=
    +tp6370
    +a(g189
    +V 
    +tp6371
    +a(g57
    +Vsizeof
    +p6372
    +tp6373
    +a(g202
    +V(
    +tp6374
    +a(g18
    +VFBuffer
    +p6375
    +tp6376
    +a(g202
    +V)
    +tp6377
    +a(g343
    +V;
    +tp6378
    +a(g189
    +V\u000a      
    +p6379
    +tp6380
    +a(g18
    +VFStrmPos
    +p6381
    +tp6382
    +a(g189
    +V 
    +tp6383
    +a(g343
    +V:
    +tp6384
    +a(g343
    +V=
    +tp6385
    +a(g189
    +V 
    +tp6386
    +a(g18
    +VFStrm
    +p6387
    +tp6388
    +a(g343
    +V.
    +tp6389
    +a(g18
    +VPosition
    +p6390
    +tp6391
    +a(g343
    +V;
    +tp6392
    +a(g189
    +V\u000a      
    +p6393
    +tp6394
    +a(g18
    +VProgress
    +p6395
    +tp6396
    +a(g202
    +V(
    +tp6397
    +a(g111
    +VSelf
    +p6398
    +tp6399
    +a(g202
    +V)
    +tp6400
    +a(g343
    +V;
    +tp6401
    +a(g189
    +V\u000a    
    +p6402
    +tp6403
    +a(g111
    +Vend
    +p6404
    +tp6405
    +a(g343
    +V;
    +tp6406
    +a(g189
    +V\u000a  
    +p6407
    +tp6408
    +a(g111
    +Vend
    +p6409
    +tp6410
    +a(g343
    +V;
    +tp6411
    +a(g189
    +V\u000a  
    +p6412
    +tp6413
    +a(g60
    +VResult
    +p6414
    +tp6415
    +a(g189
    +V 
    +tp6416
    +a(g343
    +V:
    +tp6417
    +a(g343
    +V=
    +tp6418
    +a(g189
    +V 
    +tp6419
    +a(g18
    +VCount
    +p6420
    +tp6421
    +a(g343
    +V;
    +tp6422
    +a(g189
    +V\u000a
    +tp6423
    +a(g111
    +Vend
    +p6424
    +tp6425
    +a(g343
    +V;
    +tp6426
    +a(g189
    +V\u000a\u000a
    +p6427
    +tp6428
    +a(g111
    +Vfunction
    +p6429
    +tp6430
    +a(g189
    +V 
    +tp6431
    +a(g106
    +VTCompressionStream
    +p6432
    +tp6433
    +a(g343
    +V.
    +tp6434
    +a(g21
    +VSeek
    +p6435
    +tp6436
    +a(g202
    +V(
    +tp6437
    +a(g18
    +VOffset
    +p6438
    +tp6439
    +a(g343
    +V:
    +tp6440
    +a(g189
    +V 
    +tp6441
    +a(g139
    +VLongint
    +p6442
    +tp6443
    +a(g343
    +V;
    +tp6444
    +a(g189
    +V 
    +tp6445
    +a(g18
    +VOrigin
    +p6446
    +tp6447
    +a(g343
    +V:
    +tp6448
    +a(g189
    +V 
    +tp6449
    +a(g139
    +VWord
    +p6450
    +tp6451
    +a(g202
    +V)
    +tp6452
    +a(g343
    +V:
    +tp6453
    +a(g189
    +V 
    +tp6454
    +a(g139
    +VLongint
    +p6455
    +tp6456
    +a(g343
    +V;
    +tp6457
    +a(g189
    +V\u000a
    +tp6458
    +a(g111
    +Vbegin
    +p6459
    +tp6460
    +a(g189
    +V\u000a  
    +p6461
    +tp6462
    +a(g111
    +Vif
    +p6463
    +tp6464
    +a(g189
    +V 
    +tp6465
    +a(g202
    +V(
    +tp6466
    +a(g18
    +VOffset
    +p6467
    +tp6468
    +a(g189
    +V 
    +tp6469
    +a(g343
    +V=
    +tp6470
    +a(g189
    +V 
    +tp6471
    +a(g318
    +V0
    +tp6472
    +a(g202
    +V)
    +tp6473
    +a(g189
    +V 
    +tp6474
    +a(g111
    +Vand
    +p6475
    +tp6476
    +a(g189
    +V 
    +tp6477
    +a(g202
    +V(
    +tp6478
    +a(g18
    +VOrigin
    +p6479
    +tp6480
    +a(g189
    +V 
    +tp6481
    +a(g343
    +V=
    +tp6482
    +a(g189
    +V 
    +tp6483
    +a(g18
    +VsoFromCurrent
    +p6484
    +tp6485
    +a(g202
    +V)
    +tp6486
    +a(g189
    +V 
    +tp6487
    +a(g111
    +Vthen
    +p6488
    +tp6489
    +a(g189
    +V\u000a    
    +p6490
    +tp6491
    +a(g60
    +VResult
    +p6492
    +tp6493
    +a(g189
    +V 
    +tp6494
    +a(g343
    +V:
    +tp6495
    +a(g343
    +V=
    +tp6496
    +a(g189
    +V 
    +tp6497
    +a(g18
    +VFZRec
    +p6498
    +tp6499
    +a(g343
    +V.
    +tp6500
    +a(g18
    +Vtotal_in
    +p6501
    +tp6502
    +a(g189
    +V\u000a  
    +p6503
    +tp6504
    +a(g111
    +Velse
    +p6505
    +tp6506
    +a(g189
    +V\u000a    
    +p6507
    +tp6508
    +a(g111
    +Vraise
    +p6509
    +tp6510
    +a(g189
    +V 
    +tp6511
    +a(g18
    +VECompressionError
    +p6512
    +tp6513
    +a(g343
    +V.
    +tp6514
    +a(g18
    +VCreate
    +p6515
    +tp6516
    +a(g202
    +V(
    +tp6517
    +a(g226
    +V'
    +tp6518
    +a(g226
    +VInvalid stream operation
    +p6519
    +tp6520
    +a(g226
    +V'
    +tp6521
    +a(g202
    +V)
    +tp6522
    +a(g343
    +V;
    +tp6523
    +a(g189
    +V\u000a
    +tp6524
    +a(g111
    +Vend
    +p6525
    +tp6526
    +a(g343
    +V;
    +tp6527
    +a(g189
    +V\u000a\u000a
    +p6528
    +tp6529
    +a(g111
    +Vfunction
    +p6530
    +tp6531
    +a(g189
    +V 
    +tp6532
    +a(g106
    +VTCompressionStream
    +p6533
    +tp6534
    +a(g343
    +V.
    +tp6535
    +a(g21
    +VGetCompressionRate
    +p6536
    +tp6537
    +a(g343
    +V:
    +tp6538
    +a(g189
    +V 
    +tp6539
    +a(g139
    +VSingle
    +p6540
    +tp6541
    +a(g343
    +V;
    +tp6542
    +a(g189
    +V\u000a
    +tp6543
    +a(g111
    +Vbegin
    +p6544
    +tp6545
    +a(g189
    +V\u000a  
    +p6546
    +tp6547
    +a(g111
    +Vif
    +p6548
    +tp6549
    +a(g189
    +V 
    +tp6550
    +a(g18
    +VFZRec
    +p6551
    +tp6552
    +a(g343
    +V.
    +tp6553
    +a(g18
    +Vtotal_in
    +p6554
    +tp6555
    +a(g189
    +V 
    +tp6556
    +a(g343
    +V=
    +tp6557
    +a(g189
    +V 
    +tp6558
    +a(g318
    +V0
    +tp6559
    +a(g189
    +V 
    +tp6560
    +a(g111
    +Vthen
    +p6561
    +tp6562
    +a(g189
    +V\u000a    
    +p6563
    +tp6564
    +a(g60
    +VResult
    +p6565
    +tp6566
    +a(g189
    +V 
    +tp6567
    +a(g343
    +V:
    +tp6568
    +a(g343
    +V=
    +tp6569
    +a(g189
    +V 
    +tp6570
    +a(g318
    +V0
    +tp6571
    +a(g189
    +V\u000a  
    +p6572
    +tp6573
    +a(g111
    +Velse
    +p6574
    +tp6575
    +a(g189
    +V\u000a    
    +p6576
    +tp6577
    +a(g60
    +VResult
    +p6578
    +tp6579
    +a(g189
    +V 
    +tp6580
    +a(g343
    +V:
    +tp6581
    +a(g343
    +V=
    +tp6582
    +a(g189
    +V 
    +tp6583
    +a(g202
    +V(
    +tp6584
    +a(g310
    +V1.0
    +p6585
    +tp6586
    +a(g189
    +V 
    +tp6587
    +a(g343
    +V-
    +tp6588
    +a(g189
    +V 
    +tp6589
    +a(g202
    +V(
    +tp6590
    +a(g18
    +VFZRec
    +p6591
    +tp6592
    +a(g343
    +V.
    +tp6593
    +a(g18
    +Vtotal_out
    +p6594
    +tp6595
    +a(g189
    +V 
    +tp6596
    +a(g343
    +V/
    +tp6597
    +a(g189
    +V 
    +tp6598
    +a(g18
    +VFZRec
    +p6599
    +tp6600
    +a(g343
    +V.
    +tp6601
    +a(g18
    +Vtotal_in
    +p6602
    +tp6603
    +a(g202
    +V))
    +p6604
    +tp6605
    +a(g189
    +V 
    +tp6606
    +a(g343
    +V*
    +tp6607
    +a(g189
    +V 
    +tp6608
    +a(g318
    +V10
    +p6609
    +tp6610
    +a(g310
    +V0.0
    +p6611
    +tp6612
    +a(g343
    +V;
    +tp6613
    +a(g189
    +V\u000a
    +tp6614
    +a(g111
    +Vend
    +p6615
    +tp6616
    +a(g343
    +V;
    +tp6617
    +a(g189
    +V\u000a\u000a\u000a
    +p6618
    +tp6619
    +a(g7
    +V// TDecompressionStream
    +p6620
    +tp6621
    +a(g189
    +V\u000a\u000a
    +p6622
    +tp6623
    +a(g111
    +Vconstructor
    +p6624
    +tp6625
    +a(g189
    +V 
    +tp6626
    +a(g106
    +VTDecompressionStream
    +p6627
    +tp6628
    +a(g343
    +V.
    +tp6629
    +a(g21
    +VCreate
    +p6630
    +tp6631
    +a(g202
    +V(
    +tp6632
    +a(g18
    +VSource
    +p6633
    +tp6634
    +a(g343
    +V:
    +tp6635
    +a(g189
    +V 
    +tp6636
    +a(g18
    +VTStream
    +p6637
    +tp6638
    +a(g202
    +V)
    +tp6639
    +a(g343
    +V;
    +tp6640
    +a(g189
    +V\u000a
    +tp6641
    +a(g111
    +Vbegin
    +p6642
    +tp6643
    +a(g189
    +V\u000a  
    +p6644
    +tp6645
    +a(g111
    +Vinherited
    +p6646
    +tp6647
    +a(g189
    +V 
    +tp6648
    +a(g18
    +VCreate
    +p6649
    +tp6650
    +a(g202
    +V(
    +tp6651
    +a(g18
    +VSource
    +p6652
    +tp6653
    +a(g202
    +V)
    +tp6654
    +a(g343
    +V;
    +tp6655
    +a(g189
    +V\u000a  
    +p6656
    +tp6657
    +a(g18
    +VFZRec
    +p6658
    +tp6659
    +a(g343
    +V.
    +tp6660
    +a(g18
    +Vnext_in
    +p6661
    +tp6662
    +a(g189
    +V 
    +tp6663
    +a(g343
    +V:
    +tp6664
    +a(g343
    +V=
    +tp6665
    +a(g189
    +V 
    +tp6666
    +a(g18
    +VFBuffer
    +p6667
    +tp6668
    +a(g343
    +V;
    +tp6669
    +a(g189
    +V\u000a  
    +p6670
    +tp6671
    +a(g18
    +VFZRec
    +p6672
    +tp6673
    +a(g343
    +V.
    +tp6674
    +a(g18
    +Vavail_in
    +p6675
    +tp6676
    +a(g189
    +V 
    +tp6677
    +a(g343
    +V:
    +tp6678
    +a(g343
    +V=
    +tp6679
    +a(g189
    +V 
    +tp6680
    +a(g318
    +V0
    +tp6681
    +a(g343
    +V;
    +tp6682
    +a(g189
    +V\u000a  
    +p6683
    +tp6684
    +a(g18
    +VDCheck
    +p6685
    +tp6686
    +a(g202
    +V(
    +tp6687
    +a(g18
    +VinflateInit_
    +p6688
    +tp6689
    +a(g202
    +V(
    +tp6690
    +a(g18
    +VFZRec
    +p6691
    +tp6692
    +a(g343
    +V,
    +tp6693
    +a(g189
    +V 
    +tp6694
    +a(g18
    +Vzlib_version
    +p6695
    +tp6696
    +a(g343
    +V,
    +tp6697
    +a(g189
    +V 
    +tp6698
    +a(g57
    +Vsizeof
    +p6699
    +tp6700
    +a(g202
    +V(
    +tp6701
    +a(g18
    +VFZRec
    +p6702
    +tp6703
    +a(g202
    +V)))
    +p6704
    +tp6705
    +a(g343
    +V;
    +tp6706
    +a(g189
    +V\u000a
    +tp6707
    +a(g111
    +Vend
    +p6708
    +tp6709
    +a(g343
    +V;
    +tp6710
    +a(g189
    +V\u000a\u000a
    +p6711
    +tp6712
    +a(g111
    +Vdestructor
    +p6713
    +tp6714
    +a(g189
    +V 
    +tp6715
    +a(g106
    +VTDecompressionStream
    +p6716
    +tp6717
    +a(g343
    +V.
    +tp6718
    +a(g21
    +VDestroy
    +p6719
    +tp6720
    +a(g343
    +V;
    +tp6721
    +a(g189
    +V\u000a
    +tp6722
    +a(g111
    +Vbegin
    +p6723
    +tp6724
    +a(g189
    +V\u000a  
    +p6725
    +tp6726
    +a(g18
    +VinflateEnd
    +p6727
    +tp6728
    +a(g202
    +V(
    +tp6729
    +a(g18
    +VFZRec
    +p6730
    +tp6731
    +a(g202
    +V)
    +tp6732
    +a(g343
    +V;
    +tp6733
    +a(g189
    +V\u000a  
    +p6734
    +tp6735
    +a(g111
    +Vinherited
    +p6736
    +tp6737
    +a(g189
    +V 
    +tp6738
    +a(g18
    +VDestroy
    +p6739
    +tp6740
    +a(g343
    +V;
    +tp6741
    +a(g189
    +V\u000a
    +tp6742
    +a(g111
    +Vend
    +p6743
    +tp6744
    +a(g343
    +V;
    +tp6745
    +a(g189
    +V\u000a\u000a
    +p6746
    +tp6747
    +a(g111
    +Vfunction
    +p6748
    +tp6749
    +a(g189
    +V 
    +tp6750
    +a(g106
    +VTDecompressionStream
    +p6751
    +tp6752
    +a(g343
    +V.
    +tp6753
    +a(g21
    +VRead
    +p6754
    +tp6755
    +a(g202
    +V(
    +tp6756
    +a(g111
    +Vvar
    +p6757
    +tp6758
    +a(g189
    +V 
    +tp6759
    +a(g18
    +VBuffer
    +p6760
    +tp6761
    +a(g343
    +V;
    +tp6762
    +a(g189
    +V 
    +tp6763
    +a(g18
    +VCount
    +p6764
    +tp6765
    +a(g343
    +V:
    +tp6766
    +a(g189
    +V 
    +tp6767
    +a(g139
    +VLongint
    +p6768
    +tp6769
    +a(g202
    +V)
    +tp6770
    +a(g343
    +V:
    +tp6771
    +a(g189
    +V 
    +tp6772
    +a(g139
    +VLongint
    +p6773
    +tp6774
    +a(g343
    +V;
    +tp6775
    +a(g189
    +V\u000a
    +tp6776
    +a(g111
    +Vbegin
    +p6777
    +tp6778
    +a(g189
    +V\u000a  
    +p6779
    +tp6780
    +a(g18
    +VFZRec
    +p6781
    +tp6782
    +a(g343
    +V.
    +tp6783
    +a(g18
    +Vnext_out
    +p6784
    +tp6785
    +a(g189
    +V 
    +tp6786
    +a(g343
    +V:
    +tp6787
    +a(g343
    +V=
    +tp6788
    +a(g189
    +V 
    +tp6789
    +a(g343
    +V@
    +tp6790
    +a(g18
    +VBuffer
    +p6791
    +tp6792
    +a(g343
    +V;
    +tp6793
    +a(g189
    +V\u000a  
    +p6794
    +tp6795
    +a(g18
    +VFZRec
    +p6796
    +tp6797
    +a(g343
    +V.
    +tp6798
    +a(g18
    +Vavail_out
    +p6799
    +tp6800
    +a(g189
    +V 
    +tp6801
    +a(g343
    +V:
    +tp6802
    +a(g343
    +V=
    +tp6803
    +a(g189
    +V 
    +tp6804
    +a(g18
    +VCount
    +p6805
    +tp6806
    +a(g343
    +V;
    +tp6807
    +a(g189
    +V\u000a  
    +p6808
    +tp6809
    +a(g111
    +Vif
    +p6810
    +tp6811
    +a(g189
    +V 
    +tp6812
    +a(g18
    +VFStrm
    +p6813
    +tp6814
    +a(g343
    +V.
    +tp6815
    +a(g18
    +VPosition
    +p6816
    +tp6817
    +a(g189
    +V 
    +tp6818
    +a(g343
    +V<
    +tp6819
    +a(g343
    +V>
    +tp6820
    +a(g189
    +V 
    +tp6821
    +a(g18
    +VFStrmPos
    +p6822
    +tp6823
    +a(g189
    +V 
    +tp6824
    +a(g111
    +Vthen
    +p6825
    +tp6826
    +a(g189
    +V 
    +tp6827
    +a(g18
    +VFStrm
    +p6828
    +tp6829
    +a(g343
    +V.
    +tp6830
    +a(g18
    +VPosition
    +p6831
    +tp6832
    +a(g189
    +V 
    +tp6833
    +a(g343
    +V:
    +tp6834
    +a(g343
    +V=
    +tp6835
    +a(g189
    +V 
    +tp6836
    +a(g18
    +VFStrmPos
    +p6837
    +tp6838
    +a(g343
    +V;
    +tp6839
    +a(g189
    +V\u000a  
    +p6840
    +tp6841
    +a(g111
    +Vwhile
    +p6842
    +tp6843
    +a(g189
    +V 
    +tp6844
    +a(g202
    +V(
    +tp6845
    +a(g18
    +VFZRec
    +p6846
    +tp6847
    +a(g343
    +V.
    +tp6848
    +a(g18
    +Vavail_out
    +p6849
    +tp6850
    +a(g189
    +V 
    +tp6851
    +a(g343
    +V>
    +tp6852
    +a(g189
    +V 
    +tp6853
    +a(g318
    +V0
    +tp6854
    +a(g202
    +V)
    +tp6855
    +a(g189
    +V 
    +tp6856
    +a(g111
    +Vdo
    +p6857
    +tp6858
    +a(g189
    +V\u000a  
    +p6859
    +tp6860
    +a(g111
    +Vbegin
    +p6861
    +tp6862
    +a(g189
    +V\u000a    
    +p6863
    +tp6864
    +a(g111
    +Vif
    +p6865
    +tp6866
    +a(g189
    +V 
    +tp6867
    +a(g18
    +VFZRec
    +p6868
    +tp6869
    +a(g343
    +V.
    +tp6870
    +a(g18
    +Vavail_in
    +p6871
    +tp6872
    +a(g189
    +V 
    +tp6873
    +a(g343
    +V=
    +tp6874
    +a(g189
    +V 
    +tp6875
    +a(g318
    +V0
    +tp6876
    +a(g189
    +V 
    +tp6877
    +a(g111
    +Vthen
    +p6878
    +tp6879
    +a(g189
    +V\u000a    
    +p6880
    +tp6881
    +a(g111
    +Vbegin
    +p6882
    +tp6883
    +a(g189
    +V\u000a      
    +p6884
    +tp6885
    +a(g18
    +VFZRec
    +p6886
    +tp6887
    +a(g343
    +V.
    +tp6888
    +a(g18
    +Vavail_in
    +p6889
    +tp6890
    +a(g189
    +V 
    +tp6891
    +a(g343
    +V:
    +tp6892
    +a(g343
    +V=
    +tp6893
    +a(g189
    +V 
    +tp6894
    +a(g18
    +VFStrm
    +p6895
    +tp6896
    +a(g343
    +V.
    +tp6897
    +a(g18
    +VRead
    +p6898
    +tp6899
    +a(g202
    +V(
    +tp6900
    +a(g18
    +VFBuffer
    +p6901
    +tp6902
    +a(g343
    +V,
    +tp6903
    +a(g189
    +V 
    +tp6904
    +a(g57
    +Vsizeof
    +p6905
    +tp6906
    +a(g202
    +V(
    +tp6907
    +a(g18
    +VFBuffer
    +p6908
    +tp6909
    +a(g202
    +V))
    +p6910
    +tp6911
    +a(g343
    +V;
    +tp6912
    +a(g189
    +V\u000a      
    +p6913
    +tp6914
    +a(g111
    +Vif
    +p6915
    +tp6916
    +a(g189
    +V 
    +tp6917
    +a(g18
    +VFZRec
    +p6918
    +tp6919
    +a(g343
    +V.
    +tp6920
    +a(g18
    +Vavail_in
    +p6921
    +tp6922
    +a(g189
    +V 
    +tp6923
    +a(g343
    +V=
    +tp6924
    +a(g189
    +V 
    +tp6925
    +a(g318
    +V0
    +tp6926
    +a(g189
    +V 
    +tp6927
    +a(g111
    +Vthen
    +p6928
    +tp6929
    +a(g189
    +V\u000a        
    +p6930
    +tp6931
    +a(g111
    +Vbegin
    +p6932
    +tp6933
    +a(g189
    +V\u000a          
    +p6934
    +tp6935
    +a(g60
    +VResult
    +p6936
    +tp6937
    +a(g189
    +V 
    +tp6938
    +a(g343
    +V:
    +tp6939
    +a(g343
    +V=
    +tp6940
    +a(g189
    +V 
    +tp6941
    +a(g18
    +VCount
    +p6942
    +tp6943
    +a(g189
    +V 
    +tp6944
    +a(g343
    +V-
    +tp6945
    +a(g189
    +V 
    +tp6946
    +a(g18
    +VFZRec
    +p6947
    +tp6948
    +a(g343
    +V.
    +tp6949
    +a(g18
    +Vavail_out
    +p6950
    +tp6951
    +a(g343
    +V;
    +tp6952
    +a(g189
    +V\u000a          
    +p6953
    +tp6954
    +a(g111
    +VExit
    +p6955
    +tp6956
    +a(g343
    +V;
    +tp6957
    +a(g189
    +V\u000a        
    +p6958
    +tp6959
    +a(g111
    +Vend
    +p6960
    +tp6961
    +a(g343
    +V;
    +tp6962
    +a(g189
    +V\u000a      
    +p6963
    +tp6964
    +a(g18
    +VFZRec
    +p6965
    +tp6966
    +a(g343
    +V.
    +tp6967
    +a(g18
    +Vnext_in
    +p6968
    +tp6969
    +a(g189
    +V 
    +tp6970
    +a(g343
    +V:
    +tp6971
    +a(g343
    +V=
    +tp6972
    +a(g189
    +V 
    +tp6973
    +a(g18
    +VFBuffer
    +p6974
    +tp6975
    +a(g343
    +V;
    +tp6976
    +a(g189
    +V\u000a      
    +p6977
    +tp6978
    +a(g18
    +VFStrmPos
    +p6979
    +tp6980
    +a(g189
    +V 
    +tp6981
    +a(g343
    +V:
    +tp6982
    +a(g343
    +V=
    +tp6983
    +a(g189
    +V 
    +tp6984
    +a(g18
    +VFStrm
    +p6985
    +tp6986
    +a(g343
    +V.
    +tp6987
    +a(g18
    +VPosition
    +p6988
    +tp6989
    +a(g343
    +V;
    +tp6990
    +a(g189
    +V\u000a      
    +p6991
    +tp6992
    +a(g18
    +VProgress
    +p6993
    +tp6994
    +a(g202
    +V(
    +tp6995
    +a(g111
    +VSelf
    +p6996
    +tp6997
    +a(g202
    +V)
    +tp6998
    +a(g343
    +V;
    +tp6999
    +a(g189
    +V\u000a    
    +p7000
    +tp7001
    +a(g111
    +Vend
    +p7002
    +tp7003
    +a(g343
    +V;
    +tp7004
    +a(g189
    +V\u000a    
    +p7005
    +tp7006
    +a(g18
    +VDCheck
    +p7007
    +tp7008
    +a(g202
    +V(
    +tp7009
    +a(g18
    +Vinflate
    +p7010
    +tp7011
    +a(g202
    +V(
    +tp7012
    +a(g18
    +VFZRec
    +p7013
    +tp7014
    +a(g343
    +V,
    +tp7015
    +a(g189
    +V 
    +tp7016
    +a(g318
    +V0
    +tp7017
    +a(g202
    +V))
    +p7018
    +tp7019
    +a(g343
    +V;
    +tp7020
    +a(g189
    +V\u000a  
    +p7021
    +tp7022
    +a(g111
    +Vend
    +p7023
    +tp7024
    +a(g343
    +V;
    +tp7025
    +a(g189
    +V\u000a  
    +p7026
    +tp7027
    +a(g60
    +VResult
    +p7028
    +tp7029
    +a(g189
    +V 
    +tp7030
    +a(g343
    +V:
    +tp7031
    +a(g343
    +V=
    +tp7032
    +a(g189
    +V 
    +tp7033
    +a(g18
    +VCount
    +p7034
    +tp7035
    +a(g343
    +V;
    +tp7036
    +a(g189
    +V\u000a
    +tp7037
    +a(g111
    +Vend
    +p7038
    +tp7039
    +a(g343
    +V;
    +tp7040
    +a(g189
    +V\u000a\u000a
    +p7041
    +tp7042
    +a(g111
    +Vfunction
    +p7043
    +tp7044
    +a(g189
    +V 
    +tp7045
    +a(g106
    +VTDecompressionStream
    +p7046
    +tp7047
    +a(g343
    +V.
    +tp7048
    +a(g21
    +VWrite
    +p7049
    +tp7050
    +a(g202
    +V(
    +tp7051
    +a(g111
    +Vconst
    +p7052
    +tp7053
    +a(g189
    +V 
    +tp7054
    +a(g18
    +VBuffer
    +p7055
    +tp7056
    +a(g343
    +V;
    +tp7057
    +a(g189
    +V 
    +tp7058
    +a(g18
    +VCount
    +p7059
    +tp7060
    +a(g343
    +V:
    +tp7061
    +a(g189
    +V 
    +tp7062
    +a(g139
    +VLongint
    +p7063
    +tp7064
    +a(g202
    +V)
    +tp7065
    +a(g343
    +V:
    +tp7066
    +a(g189
    +V 
    +tp7067
    +a(g139
    +VLongint
    +p7068
    +tp7069
    +a(g343
    +V;
    +tp7070
    +a(g189
    +V\u000a
    +tp7071
    +a(g111
    +Vbegin
    +p7072
    +tp7073
    +a(g189
    +V\u000a  
    +p7074
    +tp7075
    +a(g111
    +Vraise
    +p7076
    +tp7077
    +a(g189
    +V 
    +tp7078
    +a(g18
    +VEDecompressionError
    +p7079
    +tp7080
    +a(g343
    +V.
    +tp7081
    +a(g18
    +VCreate
    +p7082
    +tp7083
    +a(g202
    +V(
    +tp7084
    +a(g226
    +V'
    +tp7085
    +a(g226
    +VInvalid stream operation
    +p7086
    +tp7087
    +a(g226
    +V'
    +tp7088
    +a(g202
    +V)
    +tp7089
    +a(g343
    +V;
    +tp7090
    +a(g189
    +V\u000a
    +tp7091
    +a(g111
    +Vend
    +p7092
    +tp7093
    +a(g343
    +V;
    +tp7094
    +a(g189
    +V\u000a\u000a
    +p7095
    +tp7096
    +a(g111
    +Vfunction
    +p7097
    +tp7098
    +a(g189
    +V 
    +tp7099
    +a(g106
    +VTDecompressionStream
    +p7100
    +tp7101
    +a(g343
    +V.
    +tp7102
    +a(g21
    +VSeek
    +p7103
    +tp7104
    +a(g202
    +V(
    +tp7105
    +a(g18
    +VOffset
    +p7106
    +tp7107
    +a(g343
    +V:
    +tp7108
    +a(g189
    +V 
    +tp7109
    +a(g139
    +VLongint
    +p7110
    +tp7111
    +a(g343
    +V;
    +tp7112
    +a(g189
    +V 
    +tp7113
    +a(g18
    +VOrigin
    +p7114
    +tp7115
    +a(g343
    +V:
    +tp7116
    +a(g189
    +V 
    +tp7117
    +a(g139
    +VWord
    +p7118
    +tp7119
    +a(g202
    +V)
    +tp7120
    +a(g343
    +V:
    +tp7121
    +a(g189
    +V 
    +tp7122
    +a(g139
    +VLongint
    +p7123
    +tp7124
    +a(g343
    +V;
    +tp7125
    +a(g189
    +V\u000a
    +tp7126
    +a(g111
    +Vvar
    +p7127
    +tp7128
    +a(g189
    +V\u000a  
    +p7129
    +tp7130
    +a(g18
    +VI
    +tp7131
    +a(g343
    +V:
    +tp7132
    +a(g189
    +V 
    +tp7133
    +a(g139
    +VInteger
    +p7134
    +tp7135
    +a(g343
    +V;
    +tp7136
    +a(g189
    +V\u000a  
    +p7137
    +tp7138
    +a(g18
    +VBuf
    +p7139
    +tp7140
    +a(g343
    +V:
    +tp7141
    +a(g189
    +V 
    +tp7142
    +a(g111
    +Varray
    +p7143
    +tp7144
    +a(g189
    +V 
    +tp7145
    +a(g202
    +V[
    +tp7146
    +a(g318
    +V0
    +tp7147
    +a(g343
    +V.
    +tp7148
    +a(g343
    +V.
    +tp7149
    +a(g318
    +V4095
    +p7150
    +tp7151
    +a(g202
    +V]
    +tp7152
    +a(g189
    +V 
    +tp7153
    +a(g111
    +Vof
    +p7154
    +tp7155
    +a(g189
    +V 
    +tp7156
    +a(g139
    +VChar
    +p7157
    +tp7158
    +a(g343
    +V;
    +tp7159
    +a(g189
    +V\u000a
    +tp7160
    +a(g111
    +Vbegin
    +p7161
    +tp7162
    +a(g189
    +V\u000a  
    +p7163
    +tp7164
    +a(g111
    +Vif
    +p7165
    +tp7166
    +a(g189
    +V 
    +tp7167
    +a(g202
    +V(
    +tp7168
    +a(g18
    +VOffset
    +p7169
    +tp7170
    +a(g189
    +V 
    +tp7171
    +a(g343
    +V=
    +tp7172
    +a(g189
    +V 
    +tp7173
    +a(g318
    +V0
    +tp7174
    +a(g202
    +V)
    +tp7175
    +a(g189
    +V 
    +tp7176
    +a(g111
    +Vand
    +p7177
    +tp7178
    +a(g189
    +V 
    +tp7179
    +a(g202
    +V(
    +tp7180
    +a(g18
    +VOrigin
    +p7181
    +tp7182
    +a(g189
    +V 
    +tp7183
    +a(g343
    +V=
    +tp7184
    +a(g189
    +V 
    +tp7185
    +a(g18
    +VsoFromBeginning
    +p7186
    +tp7187
    +a(g202
    +V)
    +tp7188
    +a(g189
    +V 
    +tp7189
    +a(g111
    +Vthen
    +p7190
    +tp7191
    +a(g189
    +V\u000a  
    +p7192
    +tp7193
    +a(g111
    +Vbegin
    +p7194
    +tp7195
    +a(g189
    +V\u000a    
    +p7196
    +tp7197
    +a(g18
    +VDCheck
    +p7198
    +tp7199
    +a(g202
    +V(
    +tp7200
    +a(g18
    +VinflateReset
    +p7201
    +tp7202
    +a(g202
    +V(
    +tp7203
    +a(g18
    +VFZRec
    +p7204
    +tp7205
    +a(g202
    +V))
    +p7206
    +tp7207
    +a(g343
    +V;
    +tp7208
    +a(g189
    +V\u000a    
    +p7209
    +tp7210
    +a(g18
    +VFZRec
    +p7211
    +tp7212
    +a(g343
    +V.
    +tp7213
    +a(g18
    +Vnext_in
    +p7214
    +tp7215
    +a(g189
    +V 
    +tp7216
    +a(g343
    +V:
    +tp7217
    +a(g343
    +V=
    +tp7218
    +a(g189
    +V 
    +tp7219
    +a(g18
    +VFBuffer
    +p7220
    +tp7221
    +a(g343
    +V;
    +tp7222
    +a(g189
    +V\u000a    
    +p7223
    +tp7224
    +a(g18
    +VFZRec
    +p7225
    +tp7226
    +a(g343
    +V.
    +tp7227
    +a(g18
    +Vavail_in
    +p7228
    +tp7229
    +a(g189
    +V 
    +tp7230
    +a(g343
    +V:
    +tp7231
    +a(g343
    +V=
    +tp7232
    +a(g189
    +V 
    +tp7233
    +a(g318
    +V0
    +tp7234
    +a(g343
    +V;
    +tp7235
    +a(g189
    +V\u000a    
    +p7236
    +tp7237
    +a(g18
    +VFStrm
    +p7238
    +tp7239
    +a(g343
    +V.
    +tp7240
    +a(g18
    +VPosition
    +p7241
    +tp7242
    +a(g189
    +V 
    +tp7243
    +a(g343
    +V:
    +tp7244
    +a(g343
    +V=
    +tp7245
    +a(g189
    +V 
    +tp7246
    +a(g318
    +V0
    +tp7247
    +a(g343
    +V;
    +tp7248
    +a(g189
    +V\u000a    
    +p7249
    +tp7250
    +a(g18
    +VFStrmPos
    +p7251
    +tp7252
    +a(g189
    +V 
    +tp7253
    +a(g343
    +V:
    +tp7254
    +a(g343
    +V=
    +tp7255
    +a(g189
    +V 
    +tp7256
    +a(g318
    +V0
    +tp7257
    +a(g343
    +V;
    +tp7258
    +a(g189
    +V\u000a  
    +p7259
    +tp7260
    +a(g111
    +Vend
    +p7261
    +tp7262
    +a(g189
    +V\u000a  
    +p7263
    +tp7264
    +a(g111
    +Velse
    +p7265
    +tp7266
    +a(g189
    +V 
    +tp7267
    +a(g111
    +Vif
    +p7268
    +tp7269
    +a(g189
    +V 
    +tp7270
    +a(g202
    +V(
    +tp7271
    +a(g189
    +V 
    +tp7272
    +a(g202
    +V(
    +tp7273
    +a(g18
    +VOffset
    +p7274
    +tp7275
    +a(g189
    +V 
    +tp7276
    +a(g343
    +V>
    +tp7277
    +a(g343
    +V=
    +tp7278
    +a(g189
    +V 
    +tp7279
    +a(g318
    +V0
    +tp7280
    +a(g202
    +V)
    +tp7281
    +a(g189
    +V 
    +tp7282
    +a(g111
    +Vand
    +p7283
    +tp7284
    +a(g189
    +V 
    +tp7285
    +a(g202
    +V(
    +tp7286
    +a(g18
    +VOrigin
    +p7287
    +tp7288
    +a(g189
    +V 
    +tp7289
    +a(g343
    +V=
    +tp7290
    +a(g189
    +V 
    +tp7291
    +a(g18
    +VsoFromCurrent
    +p7292
    +tp7293
    +a(g202
    +V))
    +p7294
    +tp7295
    +a(g189
    +V 
    +tp7296
    +a(g111
    +Vor
    +p7297
    +tp7298
    +a(g189
    +V\u000a          
    +p7299
    +tp7300
    +a(g202
    +V(
    +tp7301
    +a(g189
    +V 
    +tp7302
    +a(g202
    +V((
    +p7303
    +tp7304
    +a(g18
    +VOffset
    +p7305
    +tp7306
    +a(g189
    +V 
    +tp7307
    +a(g343
    +V-
    +tp7308
    +a(g189
    +V 
    +tp7309
    +a(g18
    +VFZRec
    +p7310
    +tp7311
    +a(g343
    +V.
    +tp7312
    +a(g18
    +Vtotal_out
    +p7313
    +tp7314
    +a(g202
    +V)
    +tp7315
    +a(g189
    +V 
    +tp7316
    +a(g343
    +V>
    +tp7317
    +a(g189
    +V 
    +tp7318
    +a(g318
    +V0
    +tp7319
    +a(g202
    +V)
    +tp7320
    +a(g189
    +V 
    +tp7321
    +a(g111
    +Vand
    +p7322
    +tp7323
    +a(g189
    +V 
    +tp7324
    +a(g202
    +V(
    +tp7325
    +a(g18
    +VOrigin
    +p7326
    +tp7327
    +a(g189
    +V 
    +tp7328
    +a(g343
    +V=
    +tp7329
    +a(g189
    +V 
    +tp7330
    +a(g18
    +VsoFromBeginning
    +p7331
    +tp7332
    +a(g202
    +V))
    +p7333
    +tp7334
    +a(g189
    +V 
    +tp7335
    +a(g111
    +Vthen
    +p7336
    +tp7337
    +a(g189
    +V\u000a  
    +p7338
    +tp7339
    +a(g111
    +Vbegin
    +p7340
    +tp7341
    +a(g189
    +V\u000a    
    +p7342
    +tp7343
    +a(g111
    +Vif
    +p7344
    +tp7345
    +a(g189
    +V 
    +tp7346
    +a(g18
    +VOrigin
    +p7347
    +tp7348
    +a(g189
    +V 
    +tp7349
    +a(g343
    +V=
    +tp7350
    +a(g189
    +V 
    +tp7351
    +a(g18
    +VsoFromBeginning
    +p7352
    +tp7353
    +a(g189
    +V 
    +tp7354
    +a(g111
    +Vthen
    +p7355
    +tp7356
    +a(g189
    +V 
    +tp7357
    +a(g57
    +VDec
    +p7358
    +tp7359
    +a(g202
    +V(
    +tp7360
    +a(g18
    +VOffset
    +p7361
    +tp7362
    +a(g343
    +V,
    +tp7363
    +a(g189
    +V 
    +tp7364
    +a(g18
    +VFZRec
    +p7365
    +tp7366
    +a(g343
    +V.
    +tp7367
    +a(g18
    +Vtotal_out
    +p7368
    +tp7369
    +a(g202
    +V)
    +tp7370
    +a(g343
    +V;
    +tp7371
    +a(g189
    +V\u000a    
    +p7372
    +tp7373
    +a(g111
    +Vif
    +p7374
    +tp7375
    +a(g189
    +V 
    +tp7376
    +a(g18
    +VOffset
    +p7377
    +tp7378
    +a(g189
    +V 
    +tp7379
    +a(g343
    +V>
    +tp7380
    +a(g189
    +V 
    +tp7381
    +a(g318
    +V0
    +tp7382
    +a(g189
    +V 
    +tp7383
    +a(g111
    +Vthen
    +p7384
    +tp7385
    +a(g189
    +V\u000a    
    +p7386
    +tp7387
    +a(g111
    +Vbegin
    +p7388
    +tp7389
    +a(g189
    +V\u000a      
    +p7390
    +tp7391
    +a(g111
    +Vfor
    +p7392
    +tp7393
    +a(g189
    +V 
    +tp7394
    +a(g18
    +VI
    +tp7395
    +a(g189
    +V 
    +tp7396
    +a(g343
    +V:
    +tp7397
    +a(g343
    +V=
    +tp7398
    +a(g189
    +V 
    +tp7399
    +a(g318
    +V1
    +tp7400
    +a(g189
    +V 
    +tp7401
    +a(g111
    +Vto
    +p7402
    +tp7403
    +a(g189
    +V 
    +tp7404
    +a(g18
    +VOffset
    +p7405
    +tp7406
    +a(g189
    +V 
    +tp7407
    +a(g111
    +Vdiv
    +p7408
    +tp7409
    +a(g189
    +V 
    +tp7410
    +a(g57
    +Vsizeof
    +p7411
    +tp7412
    +a(g202
    +V(
    +tp7413
    +a(g18
    +VBuf
    +p7414
    +tp7415
    +a(g202
    +V)
    +tp7416
    +a(g189
    +V 
    +tp7417
    +a(g111
    +Vdo
    +p7418
    +tp7419
    +a(g189
    +V\u000a        
    +p7420
    +tp7421
    +a(g18
    +VReadBuffer
    +p7422
    +tp7423
    +a(g202
    +V(
    +tp7424
    +a(g18
    +VBuf
    +p7425
    +tp7426
    +a(g343
    +V,
    +tp7427
    +a(g189
    +V 
    +tp7428
    +a(g57
    +Vsizeof
    +p7429
    +tp7430
    +a(g202
    +V(
    +tp7431
    +a(g18
    +VBuf
    +p7432
    +tp7433
    +a(g202
    +V))
    +p7434
    +tp7435
    +a(g343
    +V;
    +tp7436
    +a(g189
    +V\u000a      
    +p7437
    +tp7438
    +a(g18
    +VReadBuffer
    +p7439
    +tp7440
    +a(g202
    +V(
    +tp7441
    +a(g18
    +VBuf
    +p7442
    +tp7443
    +a(g343
    +V,
    +tp7444
    +a(g189
    +V 
    +tp7445
    +a(g18
    +VOffset
    +p7446
    +tp7447
    +a(g189
    +V 
    +tp7448
    +a(g111
    +Vmod
    +p7449
    +tp7450
    +a(g189
    +V 
    +tp7451
    +a(g57
    +Vsizeof
    +p7452
    +tp7453
    +a(g202
    +V(
    +tp7454
    +a(g18
    +VBuf
    +p7455
    +tp7456
    +a(g202
    +V))
    +p7457
    +tp7458
    +a(g343
    +V;
    +tp7459
    +a(g189
    +V\u000a    
    +p7460
    +tp7461
    +a(g111
    +Vend
    +p7462
    +tp7463
    +a(g343
    +V;
    +tp7464
    +a(g189
    +V\u000a  
    +p7465
    +tp7466
    +a(g111
    +Vend
    +p7467
    +tp7468
    +a(g189
    +V\u000a  
    +p7469
    +tp7470
    +a(g111
    +Velse
    +p7471
    +tp7472
    +a(g189
    +V\u000a    
    +p7473
    +tp7474
    +a(g111
    +Vraise
    +p7475
    +tp7476
    +a(g189
    +V 
    +tp7477
    +a(g18
    +VEDecompressionError
    +p7478
    +tp7479
    +a(g343
    +V.
    +tp7480
    +a(g18
    +VCreate
    +p7481
    +tp7482
    +a(g202
    +V(
    +tp7483
    +a(g226
    +V'
    +tp7484
    +a(g226
    +VInvalid stream operation
    +p7485
    +tp7486
    +a(g226
    +V'
    +tp7487
    +a(g202
    +V)
    +tp7488
    +a(g343
    +V;
    +tp7489
    +a(g189
    +V\u000a  
    +p7490
    +tp7491
    +a(g60
    +VResult
    +p7492
    +tp7493
    +a(g189
    +V 
    +tp7494
    +a(g343
    +V:
    +tp7495
    +a(g343
    +V=
    +tp7496
    +a(g189
    +V 
    +tp7497
    +a(g18
    +VFZRec
    +p7498
    +tp7499
    +a(g343
    +V.
    +tp7500
    +a(g18
    +Vtotal_out
    +p7501
    +tp7502
    +a(g343
    +V;
    +tp7503
    +a(g189
    +V\u000a
    +tp7504
    +a(g111
    +Vend
    +p7505
    +tp7506
    +a(g343
    +V;
    +tp7507
    +a(g189
    +V\u000a\u000a
    +p7508
    +tp7509
    +a(g111
    +Vend
    +p7510
    +tp7511
    +a(g343
    +V.
    +tp7512
    +a(g189
    +V\u000a
    +tp7513
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.php b/tests/examplefiles/output/test.php
    new file mode 100644
    index 0000000..4220579
    --- /dev/null
    +++ b/tests/examplefiles/output/test.php
    @@ -0,0 +1,11225 @@
    +(lp1
    +(ccopy_reg
    +_reconstructor
    +p2
    +(cpygments.token
    +_TokenType
    +p3
    +c__builtin__
    +tuple
    +p4
    +(S'Comment'
    +p5
    +S'Preproc'
    +p6
    +ttRp7
    +(dp8
    +S'subtypes'
    +p9
    +c__builtin__
    +set
    +p10
    +((ltRp11
    +sS'parent'
    +p12
    +g2
    +(g3
    +g4
    +(g5
    +ttRp13
    +(dp14
    +g12
    +g2
    +(g3
    +g4
    +(ttRp15
    +(dp16
    +g5
    +g13
    +sS'Name'
    +p17
    +g2
    +(g3
    +g4
    +(g17
    +ttRp18
    +(dp19
    +S'Function'
    +p20
    +g2
    +(g3
    +g4
    +(g17
    +g20
    +ttRp21
    +(dp22
    +g9
    +g10
    +((ltRp23
    +sg12
    +g18
    +sbsS'Exception'
    +p24
    +g2
    +(g3
    +g4
    +(g17
    +g24
    +ttRp25
    +(dp26
    +g9
    +g10
    +((ltRp27
    +sg12
    +g18
    +sbsS'Tag'
    +p28
    +g2
    +(g3
    +g4
    +(g17
    +g28
    +ttRp29
    +(dp30
    +g9
    +g10
    +((ltRp31
    +sg12
    +g18
    +sbsS'Constant'
    +p32
    +g2
    +(g3
    +g4
    +(g17
    +g32
    +ttRp33
    +(dp34
    +g9
    +g10
    +((ltRp35
    +sg12
    +g18
    +sbsg12
    +g15
    +sS'Pseudo'
    +p36
    +g2
    +(g3
    +g4
    +(g17
    +g36
    +ttRp37
    +(dp38
    +g9
    +g10
    +((ltRp39
    +sg12
    +g18
    +sbsS'Attribute'
    +p40
    +g2
    +(g3
    +g4
    +(g17
    +g40
    +ttRp41
    +(dp42
    +g9
    +g10
    +((ltRp43
    +sg12
    +g18
    +sbsS'Label'
    +p44
    +g2
    +(g3
    +g4
    +(g17
    +g44
    +ttRp45
    +(dp46
    +g9
    +g10
    +((ltRp47
    +sg12
    +g18
    +sbsS'Blubb'
    +p48
    +g2
    +(g3
    +g4
    +(g17
    +g48
    +ttRp49
    +(dp50
    +g9
    +g10
    +((ltRp51
    +sg12
    +g18
    +sbsS'Entity'
    +p52
    +g2
    +(g3
    +g4
    +(g17
    +g52
    +ttRp53
    +(dp54
    +g9
    +g10
    +((ltRp55
    +sg12
    +g18
    +sbsS'Builtin'
    +p56
    +g2
    +(g3
    +g4
    +(g17
    +g56
    +ttRp57
    +(dp58
    +g9
    +g10
    +((lp59
    +g2
    +(g3
    +g4
    +(g17
    +g56
    +g36
    +ttRp60
    +(dp61
    +g9
    +g10
    +((ltRp62
    +sg12
    +g57
    +sbatRp63
    +sg36
    +g60
    +sg12
    +g18
    +sbsS'Other'
    +p64
    +g2
    +(g3
    +g4
    +(g17
    +g64
    +ttRp65
    +(dp66
    +g9
    +g10
    +((ltRp67
    +sg12
    +g18
    +sbsS'Identifier'
    +p68
    +g2
    +(g3
    +g4
    +(g17
    +g68
    +ttRp69
    +(dp70
    +g9
    +g10
    +((ltRp71
    +sg12
    +g18
    +sbsS'Variable'
    +p72
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +ttRp73
    +(dp74
    +g12
    +g18
    +sS'Global'
    +p75
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +g75
    +ttRp76
    +(dp77
    +g9
    +g10
    +((ltRp78
    +sg12
    +g73
    +sbsS'Instance'
    +p79
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +g79
    +ttRp80
    +(dp81
    +g9
    +g10
    +((ltRp82
    +sg12
    +g73
    +sbsS'Anonymous'
    +p83
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +g83
    +ttRp84
    +(dp85
    +g9
    +g10
    +((ltRp86
    +sg12
    +g73
    +sbsg9
    +g10
    +((lp87
    +g84
    +ag80
    +ag76
    +ag2
    +(g3
    +g4
    +(g17
    +g72
    +S'Class'
    +p88
    +ttRp89
    +(dp90
    +g9
    +g10
    +((ltRp91
    +sg12
    +g73
    +sbatRp92
    +sg88
    +g89
    +sbsg9
    +g10
    +((lp93
    +g2
    +(g3
    +g4
    +(g17
    +S'Decorator'
    +p94
    +ttRp95
    +(dp96
    +g9
    +g10
    +((ltRp97
    +sg12
    +g18
    +sbag41
    +ag33
    +ag37
    +ag2
    +(g3
    +g4
    +(g17
    +S'Namespace'
    +p98
    +ttRp99
    +(dp100
    +g9
    +g10
    +((ltRp101
    +sg12
    +g18
    +sbag69
    +ag57
    +ag73
    +ag65
    +ag49
    +ag53
    +ag21
    +ag2
    +(g3
    +g4
    +(g17
    +S'Property'
    +p102
    +ttRp103
    +(dp104
    +g9
    +g10
    +((ltRp105
    +sg12
    +g18
    +sbag45
    +ag29
    +ag25
    +ag2
    +(g3
    +g4
    +(g17
    +g88
    +ttRp106
    +(dp107
    +g9
    +g10
    +((ltRp108
    +sg12
    +g18
    +sbatRp109
    +sg102
    +g103
    +sg88
    +g106
    +sg94
    +g95
    +sg98
    +g99
    +sbsS'Keyword'
    +p110
    +g2
    +(g3
    +g4
    +(g110
    +ttRp111
    +(dp112
    +S'Pervasive'
    +p113
    +g2
    +(g3
    +g4
    +(g110
    +g113
    +ttRp114
    +(dp115
    +g9
    +g10
    +((ltRp116
    +sg12
    +g111
    +sbsg32
    +g2
    +(g3
    +g4
    +(g110
    +g32
    +ttRp117
    +(dp118
    +g9
    +g10
    +((ltRp119
    +sg12
    +g111
    +sbsg12
    +g15
    +sg98
    +g2
    +(g3
    +g4
    +(g110
    +g98
    +ttRp120
    +(dp121
    +g9
    +g10
    +((ltRp122
    +sg12
    +g111
    +sbsg36
    +g2
    +(g3
    +g4
    +(g110
    +g36
    +ttRp123
    +(dp124
    +g9
    +g10
    +((ltRp125
    +sg12
    +g111
    +sbsS'Reserved'
    +p126
    +g2
    +(g3
    +g4
    +(g110
    +g126
    +ttRp127
    +(dp128
    +g9
    +g10
    +((ltRp129
    +sg12
    +g111
    +sbsS'Declaration'
    +p130
    +g2
    +(g3
    +g4
    +(g110
    +g130
    +ttRp131
    +(dp132
    +g9
    +g10
    +((ltRp133
    +sg12
    +g111
    +sbsg72
    +g2
    +(g3
    +g4
    +(g110
    +g72
    +ttRp134
    +(dp135
    +g9
    +g10
    +((ltRp136
    +sg12
    +g111
    +sbsg9
    +g10
    +((lp137
    +g117
    +ag127
    +ag2
    +(g3
    +g4
    +(g110
    +S'Type'
    +p138
    +ttRp139
    +(dp140
    +g9
    +g10
    +((ltRp141
    +sg12
    +g111
    +sbag114
    +ag131
    +ag134
    +ag120
    +ag123
    +atRp142
    +sg138
    +g139
    +sbsS'Generic'
    +p143
    +g2
    +(g3
    +g4
    +(g143
    +ttRp144
    +(dp145
    +S'Prompt'
    +p146
    +g2
    +(g3
    +g4
    +(g143
    +g146
    +ttRp147
    +(dp148
    +g9
    +g10
    +((ltRp149
    +sg12
    +g144
    +sbsg12
    +g15
    +sS'Deleted'
    +p150
    +g2
    +(g3
    +g4
    +(g143
    +g150
    +ttRp151
    +(dp152
    +g9
    +g10
    +((ltRp153
    +sg12
    +g144
    +sbsS'Traceback'
    +p154
    +g2
    +(g3
    +g4
    +(g143
    +g154
    +ttRp155
    +(dp156
    +g9
    +g10
    +((ltRp157
    +sg12
    +g144
    +sbsS'Emph'
    +p158
    +g2
    +(g3
    +g4
    +(g143
    +g158
    +ttRp159
    +(dp160
    +g9
    +g10
    +((ltRp161
    +sg12
    +g144
    +sbsS'Output'
    +p162
    +g2
    +(g3
    +g4
    +(g143
    +g162
    +ttRp163
    +(dp164
    +g9
    +g10
    +((ltRp165
    +sg12
    +g144
    +sbsS'Subheading'
    +p166
    +g2
    +(g3
    +g4
    +(g143
    +g166
    +ttRp167
    +(dp168
    +g9
    +g10
    +((ltRp169
    +sg12
    +g144
    +sbsS'Error'
    +p170
    +g2
    +(g3
    +g4
    +(g143
    +g170
    +ttRp171
    +(dp172
    +g9
    +g10
    +((ltRp173
    +sg12
    +g144
    +sbsg9
    +g10
    +((lp174
    +g163
    +ag159
    +ag171
    +ag167
    +ag155
    +ag151
    +ag2
    +(g3
    +g4
    +(g143
    +S'Heading'
    +p175
    +ttRp176
    +(dp177
    +g9
    +g10
    +((ltRp178
    +sg12
    +g144
    +sbag2
    +(g3
    +g4
    +(g143
    +S'Inserted'
    +p179
    +ttRp180
    +(dp181
    +g9
    +g10
    +((ltRp182
    +sg12
    +g144
    +sbag2
    +(g3
    +g4
    +(g143
    +S'Strong'
    +p183
    +ttRp184
    +(dp185
    +g9
    +g10
    +((ltRp186
    +sg12
    +g144
    +sbag147
    +atRp187
    +sg183
    +g184
    +sg179
    +g180
    +sg175
    +g176
    +sbsS'Text'
    +p188
    +g2
    +(g3
    +g4
    +(g188
    +ttRp189
    +(dp190
    +g9
    +g10
    +((lp191
    +g2
    +(g3
    +g4
    +(g188
    +S'Symbol'
    +p192
    +ttRp193
    +(dp194
    +g9
    +g10
    +((ltRp195
    +sg12
    +g189
    +sbag2
    +(g3
    +g4
    +(g188
    +S'Whitespace'
    +p196
    +ttRp197
    +(dp198
    +g9
    +g10
    +((ltRp199
    +sg12
    +g189
    +sbatRp200
    +sg192
    +g193
    +sg196
    +g197
    +sg12
    +g15
    +sbsS'Punctuation'
    +p201
    +g2
    +(g3
    +g4
    +(g201
    +ttRp202
    +(dp203
    +g9
    +g10
    +((lp204
    +g2
    +(g3
    +g4
    +(g201
    +S'Indicator'
    +p205
    +ttRp206
    +(dp207
    +g9
    +g10
    +((ltRp208
    +sg12
    +g202
    +sbatRp209
    +sg205
    +g206
    +sg12
    +g15
    +sbsS'Token'
    +p210
    +g15
    +sS'Number'
    +p211
    +g2
    +(g3
    +g4
    +(S'Literal'
    +p212
    +g211
    +ttRp213
    +(dp214
    +S'Bin'
    +p215
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g215
    +ttRp216
    +(dp217
    +g9
    +g10
    +((ltRp218
    +sg12
    +g213
    +sbsS'Binary'
    +p219
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g219
    +ttRp220
    +(dp221
    +g9
    +g10
    +((ltRp222
    +sg12
    +g213
    +sbsg12
    +g2
    +(g3
    +g4
    +(g212
    +ttRp223
    +(dp224
    +S'String'
    +p225
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +ttRp226
    +(dp227
    +S'Regex'
    +p228
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g228
    +ttRp229
    +(dp230
    +g9
    +g10
    +((ltRp231
    +sg12
    +g226
    +sbsS'Interpol'
    +p232
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g232
    +ttRp233
    +(dp234
    +g9
    +g10
    +((ltRp235
    +sg12
    +g226
    +sbsS'Regexp'
    +p236
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g236
    +ttRp237
    +(dp238
    +g9
    +g10
    +((ltRp239
    +sg12
    +g226
    +sbsg12
    +g223
    +sS'Heredoc'
    +p240
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g240
    +ttRp241
    +(dp242
    +g9
    +g10
    +((ltRp243
    +sg12
    +g226
    +sbsS'Double'
    +p244
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g244
    +ttRp245
    +(dp246
    +g9
    +g10
    +((ltRp247
    +sg12
    +g226
    +sbsg192
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g192
    +ttRp248
    +(dp249
    +g9
    +g10
    +((ltRp250
    +sg12
    +g226
    +sbsS'Escape'
    +p251
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g251
    +ttRp252
    +(dp253
    +g9
    +g10
    +((ltRp254
    +sg12
    +g226
    +sbsS'Character'
    +p255
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g255
    +ttRp256
    +(dp257
    +g9
    +g10
    +((ltRp258
    +sg12
    +g226
    +sbsS'Interp'
    +p259
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g259
    +ttRp260
    +(dp261
    +g9
    +g10
    +((ltRp262
    +sg12
    +g226
    +sbsS'Backtick'
    +p263
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g263
    +ttRp264
    +(dp265
    +g9
    +g10
    +((ltRp266
    +sg12
    +g226
    +sbsS'Char'
    +p267
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g267
    +ttRp268
    +(dp269
    +g9
    +g10
    +((ltRp270
    +sg12
    +g226
    +sbsS'Single'
    +p271
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g271
    +ttRp272
    +(dp273
    +g9
    +g10
    +((ltRp274
    +sg12
    +g226
    +sbsg64
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g64
    +ttRp275
    +(dp276
    +g9
    +g10
    +((ltRp277
    +sg12
    +g226
    +sbsS'Doc'
    +p278
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g278
    +ttRp279
    +(dp280
    +g9
    +g10
    +((ltRp281
    +sg12
    +g226
    +sbsg9
    +g10
    +((lp282
    +g275
    +ag2
    +(g3
    +g4
    +(g212
    +g225
    +S'Atom'
    +p283
    +ttRp284
    +(dp285
    +g9
    +g10
    +((ltRp286
    +sg12
    +g226
    +sbag245
    +ag268
    +ag260
    +ag279
    +ag241
    +ag264
    +ag233
    +ag248
    +ag237
    +ag229
    +ag272
    +ag256
    +ag252
    +atRp287
    +sg283
    +g284
    +sbsg12
    +g15
    +sg211
    +g213
    +sS'Scalar'
    +p288
    +g2
    +(g3
    +g4
    +(g212
    +g288
    +ttRp289
    +(dp290
    +g9
    +g10
    +((lp291
    +g2
    +(g3
    +g4
    +(g212
    +g288
    +S'Plain'
    +p292
    +ttRp293
    +(dp294
    +g9
    +g10
    +((ltRp295
    +sg12
    +g289
    +sbatRp296
    +sg12
    +g223
    +sg292
    +g293
    +sbsg64
    +g2
    +(g3
    +g4
    +(g212
    +g64
    +ttRp297
    +(dp298
    +g9
    +g10
    +((ltRp299
    +sg12
    +g223
    +sbsS'Date'
    +p300
    +g2
    +(g3
    +g4
    +(g212
    +g300
    +ttRp301
    +(dp302
    +g9
    +g10
    +((ltRp303
    +sg12
    +g223
    +sbsg9
    +g10
    +((lp304
    +g301
    +ag226
    +ag297
    +ag213
    +ag289
    +atRp305
    +sbsS'Decimal'
    +p306
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g306
    +ttRp307
    +(dp308
    +g9
    +g10
    +((ltRp309
    +sg12
    +g213
    +sbsS'Float'
    +p310
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g310
    +ttRp311
    +(dp312
    +g9
    +g10
    +((ltRp313
    +sg12
    +g213
    +sbsS'Hex'
    +p314
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g314
    +ttRp315
    +(dp316
    +g9
    +g10
    +((ltRp317
    +sg12
    +g213
    +sbsS'Integer'
    +p318
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g318
    +ttRp319
    +(dp320
    +g9
    +g10
    +((lp321
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g318
    +S'Long'
    +p322
    +ttRp323
    +(dp324
    +g9
    +g10
    +((ltRp325
    +sg12
    +g319
    +sbatRp326
    +sg322
    +g323
    +sg12
    +g213
    +sbsS'Octal'
    +p327
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g327
    +ttRp328
    +(dp329
    +g9
    +g10
    +((ltRp330
    +sg12
    +g213
    +sbsg9
    +g10
    +((lp331
    +g216
    +ag220
    +ag328
    +ag307
    +ag2
    +(g3
    +g4
    +(g212
    +g211
    +S'Oct'
    +p332
    +ttRp333
    +(dp334
    +g9
    +g10
    +((ltRp335
    +sg12
    +g213
    +sbag319
    +ag311
    +ag315
    +atRp336
    +sg332
    +g333
    +sbsg212
    +g223
    +sg64
    +g2
    +(g3
    +g4
    +(g64
    +ttRp337
    +(dp338
    +g9
    +g10
    +((ltRp339
    +sg12
    +g15
    +sbsg170
    +g2
    +(g3
    +g4
    +(g170
    +ttRp340
    +(dp341
    +g9
    +g10
    +((ltRp342
    +sg12
    +g15
    +sbsS'Operator'
    +p343
    +g2
    +(g3
    +g4
    +(g343
    +ttRp344
    +(dp345
    +g9
    +g10
    +((lp346
    +g2
    +(g3
    +g4
    +(g343
    +S'Word'
    +p347
    +ttRp348
    +(dp349
    +g9
    +g10
    +((ltRp350
    +sg12
    +g344
    +sbatRp351
    +sg347
    +g348
    +sg12
    +g15
    +sbsg9
    +g10
    +((lp352
    +g13
    +ag340
    +ag144
    +ag189
    +ag18
    +ag202
    +ag111
    +ag223
    +ag344
    +ag337
    +atRp353
    +sg225
    +g226
    +sbsg6
    +g7
    +sg271
    +g2
    +(g3
    +g4
    +(g5
    +g271
    +ttRp354
    +(dp355
    +g9
    +g10
    +((ltRp356
    +sg12
    +g13
    +sbsS'Multiline'
    +p357
    +g2
    +(g3
    +g4
    +(g5
    +g357
    +ttRp358
    +(dp359
    +g9
    +g10
    +((ltRp360
    +sg12
    +g13
    +sbsg9
    +g10
    +((lp361
    +g2
    +(g3
    +g4
    +(g5
    +S'Special'
    +p362
    +ttRp363
    +(dp364
    +g9
    +g10
    +((ltRp365
    +sg12
    +g13
    +sbag7
    +ag354
    +ag358
    +atRp366
    +sg362
    +g363
    +sbsbV\u000a *  @copyright   Copyright (c) 2006, Manni\u000a *  @version     1.0\u000a *  @link        http://www.pkware.com/business_and_developers/developer/popups/appnote.txt\u000a *  @link        http://mannithedark.is-a-geek.net/\u000a *  @since       1.0\u000a *  @package     fnord.bb\u000a *  @subpackage  archive\u000a */
    +p454
    +tp455
    +a(g189
    +V\u000a
    +tp456
    +a(g111
    +Vclass
    +p457
    +tp458
    +a(g189
    +V 
    +tp459
    +a(g106
    +VZip
    +p460
    +tp461
    +a(g189
    +V 
    +tp462
    +a(g111
    +Vextends
    +p463
    +tp464
    +a(g189
    +V 
    +tp465
    +a(g65
    +VArchive
    +p466
    +tp467
    +a(g189
    +V 
    +tp468
    +a(g202
    +V{
    +tp469
    +a(g189
    +V\u000a 
    +p470
    +tp471
    +a(g279
    +V/**\u000a  *  Outputs the zip file\u000a  *\u000a  *  This function creates the zip file with the dirs and files given.\u000a  *  If the optional parameter $file is given, the zip file is will be\u000a  *  saved at that location. Otherwise the function returns the zip file's content.\u000a  *\u000a  *  @access                   public\u000a  *\u000a  *  @link                     http://www.pkware.com/business_and_developers/developer/popups/appnote.txt\u000a  *  @param  string $filename  The path where the zip file will be saved\u000a  *\u000a  *  @return bool|string       Returns either true if the fil is sucessfully created or the content of the zip file\u000a  */
    +p472
    +tp473
    +a(g189
    +V\u000a  
    +p474
    +tp475
    +a(g111
    +Vfunction
    +p476
    +tp477
    +a(g189
    +V 
    +tp478
    +a(g21
    +Vout
    +p479
    +tp480
    +a(g202
    +V(
    +tp481
    +a(g73
    +V$filename
    +p482
    +tp483
    +a(g189
    +V 
    +tp484
    +a(g344
    +V=
    +tp485
    +a(g189
    +V 
    +tp486
    +a(g111
    +Vfalse
    +p487
    +tp488
    +a(g202
    +V)
    +tp489
    +a(g189
    +V 
    +tp490
    +a(g202
    +V{
    +tp491
    +a(g189
    +V\u000a    
    +p492
    +tp493
    +a(g354
    +V// Empty output\u000a
    +p494
    +tp495
    +a(g189
    +V    
    +p496
    +tp497
    +a(g73
    +V$file_data
    +p498
    +tp499
    +a(g189
    +V 
    +tp500
    +a(g344
    +V=
    +tp501
    +a(g189
    +V 
    +tp502
    +a(g111
    +Varray
    +p503
    +tp504
    +a(g202
    +V();
    +p505
    +tp506
    +a(g189
    +V 
    +tp507
    +a(g354
    +V// Data of the file part\u000a
    +p508
    +tp509
    +a(g189
    +V    
    +p510
    +tp511
    +a(g73
    +V$cd_data
    +p512
    +tp513
    +a(g189
    +V   
    +p514
    +tp515
    +a(g344
    +V=
    +tp516
    +a(g189
    +V 
    +tp517
    +a(g111
    +Varray
    +p518
    +tp519
    +a(g202
    +V();
    +p520
    +tp521
    +a(g189
    +V 
    +tp522
    +a(g354
    +V// Data of the central directory\u000a
    +p523
    +tp524
    +a(g189
    +V\u000a    
    +p525
    +tp526
    +a(g354
    +V// Sort dirs and files by path length\u000a
    +p527
    +tp528
    +a(g189
    +V    
    +p529
    +tp530
    +a(g57
    +Vuksort
    +p531
    +tp532
    +a(g202
    +V(
    +tp533
    +a(g73
    +V$this
    +p534
    +tp535
    +a(g344
    +V->
    +p536
    +tp537
    +a(g41
    +Vdirs
    +p538
    +tp539
    +a(g202
    +V,
    +tp540
    +a(g189
    +V  
    +p541
    +tp542
    +a(g272
    +V'sort_by_length'
    +p543
    +tp544
    +a(g202
    +V);
    +p545
    +tp546
    +a(g189
    +V\u000a    
    +p547
    +tp548
    +a(g57
    +Vuksort
    +p549
    +tp550
    +a(g202
    +V(
    +tp551
    +a(g73
    +V$this
    +p552
    +tp553
    +a(g344
    +V->
    +p554
    +tp555
    +a(g41
    +Vfiles
    +p556
    +tp557
    +a(g202
    +V,
    +tp558
    +a(g189
    +V 
    +tp559
    +a(g272
    +V'sort_by_length'
    +p560
    +tp561
    +a(g202
    +V);
    +p562
    +tp563
    +a(g189
    +V\u000a\u000a    
    +p564
    +tp565
    +a(g354
    +V// Handle dirs\u000a
    +p566
    +tp567
    +a(g189
    +V    
    +p568
    +tp569
    +a(g111
    +Vforeach
    +p570
    +tp571
    +a(g202
    +V(
    +tp572
    +a(g73
    +V$this
    +p573
    +tp574
    +a(g344
    +V->
    +p575
    +tp576
    +a(g41
    +Vdirs
    +p577
    +tp578
    +a(g189
    +V 
    +tp579
    +a(g111
    +Vas
    +p580
    +tp581
    +a(g189
    +V 
    +tp582
    +a(g73
    +V$dir
    +p583
    +tp584
    +a(g202
    +V)
    +tp585
    +a(g189
    +V 
    +tp586
    +a(g202
    +V{
    +tp587
    +a(g189
    +V\u000a      
    +p588
    +tp589
    +a(g73
    +V$dir
    +p590
    +tp591
    +a(g189
    +V 
    +tp592
    +a(g344
    +V.=
    +p593
    +tp594
    +a(g189
    +V 
    +tp595
    +a(g272
    +V'/'
    +p596
    +tp597
    +a(g202
    +V;
    +tp598
    +a(g189
    +V\u000a      
    +p599
    +tp600
    +a(g354
    +V// File part\u000a
    +p601
    +tp602
    +a(g189
    +V\u000a      
    +p603
    +tp604
    +a(g354
    +V// Reset dir data\u000a
    +p605
    +tp606
    +a(g189
    +V      
    +p607
    +tp608
    +a(g73
    +V$dir_data
    +p609
    +tp610
    +a(g189
    +V 
    +tp611
    +a(g344
    +V=
    +tp612
    +a(g189
    +V 
    +tp613
    +a(g272
    +V''
    +p614
    +tp615
    +a(g202
    +V;
    +tp616
    +a(g189
    +V\u000a\u000a      
    +p617
    +tp618
    +a(g354
    +V// Local file header\u000a
    +p619
    +tp620
    +a(g189
    +V      
    +p621
    +tp622
    +a(g73
    +V$dir_data
    +p623
    +tp624
    +a(g189
    +V 
    +tp625
    +a(g344
    +V.=
    +p626
    +tp627
    +a(g189
    +V 
    +tp628
    +a(g245
    +V"
    +tp629
    +a(g252
    +V\u005cx50
    +p630
    +tp631
    +a(g252
    +V\u005cx4b
    +p632
    +tp633
    +a(g252
    +V\u005cx03
    +p634
    +tp635
    +a(g252
    +V\u005cx04
    +p636
    +tp637
    +a(g245
    +V"
    +tp638
    +a(g202
    +V;
    +tp639
    +a(g189
    +V      
    +p640
    +tp641
    +a(g354
    +V// Local file header signature\u000a
    +p642
    +tp643
    +a(g189
    +V      
    +p644
    +tp645
    +a(g73
    +V$dir_data
    +p646
    +tp647
    +a(g189
    +V 
    +tp648
    +a(g344
    +V.=
    +p649
    +tp650
    +a(g189
    +V 
    +tp651
    +a(g57
    +Vpack
    +p652
    +tp653
    +a(g202
    +V(
    +tp654
    +a(g245
    +V"
    +tp655
    +a(g245
    +Vv
    +tp656
    +a(g245
    +V"
    +tp657
    +a(g202
    +V,
    +tp658
    +a(g189
    +V 
    +tp659
    +a(g213
    +V1
    +tp660
    +a(g213
    +V0
    +tp661
    +a(g202
    +V);
    +p662
    +tp663
    +a(g189
    +V           
    +p664
    +tp665
    +a(g354
    +V// Version needed to extract\u000a
    +p666
    +tp667
    +a(g189
    +V      
    +p668
    +tp669
    +a(g73
    +V$dir_data
    +p670
    +tp671
    +a(g189
    +V 
    +tp672
    +a(g344
    +V.=
    +p673
    +tp674
    +a(g189
    +V 
    +tp675
    +a(g57
    +Vpack
    +p676
    +tp677
    +a(g202
    +V(
    +tp678
    +a(g245
    +V"
    +tp679
    +a(g245
    +Vv
    +tp680
    +a(g245
    +V"
    +tp681
    +a(g202
    +V,
    +tp682
    +a(g189
    +V 
    +tp683
    +a(g213
    +V0
    +tp684
    +a(g202
    +V);
    +p685
    +tp686
    +a(g189
    +V            
    +p687
    +tp688
    +a(g354
    +V// General purpose bit flag\u000a
    +p689
    +tp690
    +a(g189
    +V      
    +p691
    +tp692
    +a(g73
    +V$dir_data
    +p693
    +tp694
    +a(g189
    +V 
    +tp695
    +a(g344
    +V.=
    +p696
    +tp697
    +a(g189
    +V 
    +tp698
    +a(g57
    +Vpack
    +p699
    +tp700
    +a(g202
    +V(
    +tp701
    +a(g245
    +V"
    +tp702
    +a(g245
    +Vv
    +tp703
    +a(g245
    +V"
    +tp704
    +a(g202
    +V,
    +tp705
    +a(g189
    +V 
    +tp706
    +a(g213
    +V0
    +tp707
    +a(g202
    +V);
    +p708
    +tp709
    +a(g189
    +V            
    +p710
    +tp711
    +a(g354
    +V// Compression method\u000a
    +p712
    +tp713
    +a(g189
    +V      
    +p714
    +tp715
    +a(g73
    +V$dir_data
    +p716
    +tp717
    +a(g189
    +V 
    +tp718
    +a(g344
    +V.=
    +p719
    +tp720
    +a(g189
    +V 
    +tp721
    +a(g57
    +Vpack
    +p722
    +tp723
    +a(g202
    +V(
    +tp724
    +a(g245
    +V"
    +tp725
    +a(g245
    +Vv
    +tp726
    +a(g245
    +V"
    +tp727
    +a(g202
    +V,
    +tp728
    +a(g189
    +V 
    +tp729
    +a(g213
    +V0
    +tp730
    +a(g202
    +V);
    +p731
    +tp732
    +a(g189
    +V            
    +p733
    +tp734
    +a(g354
    +V// Last mod file time\u000a
    +p735
    +tp736
    +a(g189
    +V      
    +p737
    +tp738
    +a(g73
    +V$dir_data
    +p739
    +tp740
    +a(g189
    +V 
    +tp741
    +a(g344
    +V.=
    +p742
    +tp743
    +a(g189
    +V 
    +tp744
    +a(g57
    +Vpack
    +p745
    +tp746
    +a(g202
    +V(
    +tp747
    +a(g245
    +V"
    +tp748
    +a(g245
    +Vv
    +tp749
    +a(g245
    +V"
    +tp750
    +a(g202
    +V,
    +tp751
    +a(g189
    +V 
    +tp752
    +a(g213
    +V0
    +tp753
    +a(g202
    +V);
    +p754
    +tp755
    +a(g189
    +V            
    +p756
    +tp757
    +a(g354
    +V// Last mod file date\u000a
    +p758
    +tp759
    +a(g189
    +V      
    +p760
    +tp761
    +a(g73
    +V$dir_data
    +p762
    +tp763
    +a(g189
    +V 
    +tp764
    +a(g344
    +V.=
    +p765
    +tp766
    +a(g189
    +V 
    +tp767
    +a(g57
    +Vpack
    +p768
    +tp769
    +a(g202
    +V(
    +tp770
    +a(g245
    +V"
    +tp771
    +a(g245
    +VV
    +tp772
    +a(g245
    +V"
    +tp773
    +a(g202
    +V,
    +tp774
    +a(g189
    +V 
    +tp775
    +a(g213
    +V0
    +tp776
    +a(g202
    +V);
    +p777
    +tp778
    +a(g189
    +V            
    +p779
    +tp780
    +a(g354
    +V// crc-32\u000a
    +p781
    +tp782
    +a(g189
    +V      
    +p783
    +tp784
    +a(g73
    +V$dir_data
    +p785
    +tp786
    +a(g189
    +V 
    +tp787
    +a(g344
    +V.=
    +p788
    +tp789
    +a(g189
    +V 
    +tp790
    +a(g57
    +Vpack
    +p791
    +tp792
    +a(g202
    +V(
    +tp793
    +a(g245
    +V"
    +tp794
    +a(g245
    +VV
    +tp795
    +a(g245
    +V"
    +tp796
    +a(g202
    +V,
    +tp797
    +a(g189
    +V 
    +tp798
    +a(g213
    +V0
    +tp799
    +a(g202
    +V);
    +p800
    +tp801
    +a(g189
    +V            
    +p802
    +tp803
    +a(g354
    +V// Compressed size\u000a
    +p804
    +tp805
    +a(g189
    +V      
    +p806
    +tp807
    +a(g73
    +V$dir_data
    +p808
    +tp809
    +a(g189
    +V 
    +tp810
    +a(g344
    +V.=
    +p811
    +tp812
    +a(g189
    +V 
    +tp813
    +a(g57
    +Vpack
    +p814
    +tp815
    +a(g202
    +V(
    +tp816
    +a(g245
    +V"
    +tp817
    +a(g245
    +VV
    +tp818
    +a(g245
    +V"
    +tp819
    +a(g202
    +V,
    +tp820
    +a(g189
    +V 
    +tp821
    +a(g213
    +V0
    +tp822
    +a(g202
    +V);
    +p823
    +tp824
    +a(g189
    +V            
    +p825
    +tp826
    +a(g354
    +V// Uncompressed size\u000a
    +p827
    +tp828
    +a(g189
    +V      
    +p829
    +tp830
    +a(g73
    +V$dir_data
    +p831
    +tp832
    +a(g189
    +V 
    +tp833
    +a(g344
    +V.=
    +p834
    +tp835
    +a(g189
    +V 
    +tp836
    +a(g57
    +Vpack
    +p837
    +tp838
    +a(g202
    +V(
    +tp839
    +a(g245
    +V"
    +tp840
    +a(g245
    +Vv
    +tp841
    +a(g245
    +V"
    +tp842
    +a(g202
    +V,
    +tp843
    +a(g189
    +V 
    +tp844
    +a(g57
    +Vstrlen
    +p845
    +tp846
    +a(g202
    +V(
    +tp847
    +a(g73
    +V$dir
    +p848
    +tp849
    +a(g202
    +V));
    +p850
    +tp851
    +a(g189
    +V 
    +tp852
    +a(g354
    +V// File name length\u000a
    +p853
    +tp854
    +a(g189
    +V      
    +p855
    +tp856
    +a(g73
    +V$dir_data
    +p857
    +tp858
    +a(g189
    +V 
    +tp859
    +a(g344
    +V.=
    +p860
    +tp861
    +a(g189
    +V 
    +tp862
    +a(g57
    +Vpack
    +p863
    +tp864
    +a(g202
    +V(
    +tp865
    +a(g245
    +V"
    +tp866
    +a(g245
    +Vv
    +tp867
    +a(g245
    +V"
    +tp868
    +a(g202
    +V,
    +tp869
    +a(g189
    +V 
    +tp870
    +a(g213
    +V0
    +tp871
    +a(g202
    +V);
    +p872
    +tp873
    +a(g189
    +V            
    +p874
    +tp875
    +a(g354
    +V// Extra field length\u000a
    +p876
    +tp877
    +a(g189
    +V\u000a      
    +p878
    +tp879
    +a(g73
    +V$dir_data
    +p880
    +tp881
    +a(g189
    +V 
    +tp882
    +a(g344
    +V.=
    +p883
    +tp884
    +a(g189
    +V 
    +tp885
    +a(g73
    +V$dir
    +p886
    +tp887
    +a(g202
    +V;
    +tp888
    +a(g189
    +V                    
    +p889
    +tp890
    +a(g354
    +V// File name\u000a
    +p891
    +tp892
    +a(g189
    +V      
    +p893
    +tp894
    +a(g73
    +V$dir_data
    +p895
    +tp896
    +a(g189
    +V 
    +tp897
    +a(g344
    +V.=
    +p898
    +tp899
    +a(g189
    +V 
    +tp900
    +a(g272
    +V''
    +p901
    +tp902
    +a(g202
    +V;
    +tp903
    +a(g189
    +V                      
    +p904
    +tp905
    +a(g354
    +V// Extra field (is empty)\u000a
    +p906
    +tp907
    +a(g189
    +V\u000a      
    +p908
    +tp909
    +a(g354
    +V// File data\u000a
    +p910
    +tp911
    +a(g189
    +V      
    +p912
    +tp913
    +a(g73
    +V$dir_data
    +p914
    +tp915
    +a(g189
    +V 
    +tp916
    +a(g344
    +V.=
    +p917
    +tp918
    +a(g189
    +V 
    +tp919
    +a(g272
    +V''
    +p920
    +tp921
    +a(g202
    +V;
    +tp922
    +a(g189
    +V                      
    +p923
    +tp924
    +a(g354
    +V// Dirs have no file data\u000a
    +p925
    +tp926
    +a(g189
    +V\u000a      
    +p927
    +tp928
    +a(g354
    +V// Data descriptor\u000a
    +p929
    +tp930
    +a(g189
    +V      
    +p931
    +tp932
    +a(g73
    +V$dir_data
    +p933
    +tp934
    +a(g189
    +V 
    +tp935
    +a(g344
    +V.=
    +p936
    +tp937
    +a(g189
    +V 
    +tp938
    +a(g57
    +Vpack
    +p939
    +tp940
    +a(g202
    +V(
    +tp941
    +a(g245
    +V"
    +tp942
    +a(g245
    +VV
    +tp943
    +a(g245
    +V"
    +tp944
    +a(g202
    +V,
    +tp945
    +a(g189
    +V 
    +tp946
    +a(g213
    +V0
    +tp947
    +a(g202
    +V);
    +p948
    +tp949
    +a(g189
    +V            
    +p950
    +tp951
    +a(g354
    +V// crc-32\u000a
    +p952
    +tp953
    +a(g189
    +V      
    +p954
    +tp955
    +a(g73
    +V$dir_data
    +p956
    +tp957
    +a(g189
    +V 
    +tp958
    +a(g344
    +V.=
    +p959
    +tp960
    +a(g189
    +V 
    +tp961
    +a(g57
    +Vpack
    +p962
    +tp963
    +a(g202
    +V(
    +tp964
    +a(g245
    +V"
    +tp965
    +a(g245
    +VV
    +tp966
    +a(g245
    +V"
    +tp967
    +a(g202
    +V,
    +tp968
    +a(g189
    +V 
    +tp969
    +a(g213
    +V0
    +tp970
    +a(g202
    +V);
    +p971
    +tp972
    +a(g189
    +V            
    +p973
    +tp974
    +a(g354
    +V// Compressed size\u000a
    +p975
    +tp976
    +a(g189
    +V      
    +p977
    +tp978
    +a(g73
    +V$dir_data
    +p979
    +tp980
    +a(g189
    +V 
    +tp981
    +a(g344
    +V.=
    +p982
    +tp983
    +a(g189
    +V 
    +tp984
    +a(g57
    +Vpack
    +p985
    +tp986
    +a(g202
    +V(
    +tp987
    +a(g245
    +V"
    +tp988
    +a(g245
    +VV
    +tp989
    +a(g245
    +V"
    +tp990
    +a(g202
    +V,
    +tp991
    +a(g189
    +V 
    +tp992
    +a(g213
    +V0
    +tp993
    +a(g202
    +V);
    +p994
    +tp995
    +a(g189
    +V            
    +p996
    +tp997
    +a(g354
    +V// Uncompressed size\u000a
    +p998
    +tp999
    +a(g189
    +V\u000a      
    +p1000
    +tp1001
    +a(g354
    +V// Save current offset\u000a
    +p1002
    +tp1003
    +a(g189
    +V      
    +p1004
    +tp1005
    +a(g73
    +V$offset
    +p1006
    +tp1007
    +a(g189
    +V 
    +tp1008
    +a(g344
    +V=
    +tp1009
    +a(g189
    +V 
    +tp1010
    +a(g57
    +Vstrlen
    +p1011
    +tp1012
    +a(g202
    +V(
    +tp1013
    +a(g57
    +Vimplode
    +p1014
    +tp1015
    +a(g202
    +V(
    +tp1016
    +a(g272
    +V''
    +p1017
    +tp1018
    +a(g202
    +V,
    +tp1019
    +a(g189
    +V 
    +tp1020
    +a(g73
    +V$file_data
    +p1021
    +tp1022
    +a(g202
    +V));
    +p1023
    +tp1024
    +a(g189
    +V\u000a\u000a      
    +p1025
    +tp1026
    +a(g354
    +V// Append dir data to the file part\u000a
    +p1027
    +tp1028
    +a(g189
    +V      
    +p1029
    +tp1030
    +a(g73
    +V$file_data
    +p1031
    +tp1032
    +a(g202
    +V[]
    +p1033
    +tp1034
    +a(g189
    +V 
    +tp1035
    +a(g344
    +V=
    +tp1036
    +a(g189
    +V 
    +tp1037
    +a(g73
    +V$dir_data
    +p1038
    +tp1039
    +a(g202
    +V;
    +tp1040
    +a(g189
    +V\u000a\u000a      
    +p1041
    +tp1042
    +a(g354
    +V// Central directory\u000a
    +p1043
    +tp1044
    +a(g189
    +V\u000a      
    +p1045
    +tp1046
    +a(g354
    +V// Reset dir data\u000a
    +p1047
    +tp1048
    +a(g189
    +V      
    +p1049
    +tp1050
    +a(g73
    +V$dir_data
    +p1051
    +tp1052
    +a(g189
    +V 
    +tp1053
    +a(g344
    +V=
    +tp1054
    +a(g189
    +V 
    +tp1055
    +a(g272
    +V''
    +p1056
    +tp1057
    +a(g202
    +V;
    +tp1058
    +a(g189
    +V\u000a\u000a      
    +p1059
    +tp1060
    +a(g354
    +V// File header\u000a
    +p1061
    +tp1062
    +a(g189
    +V      
    +p1063
    +tp1064
    +a(g73
    +V$dir_data
    +p1065
    +tp1066
    +a(g189
    +V 
    +tp1067
    +a(g344
    +V.=
    +p1068
    +tp1069
    +a(g189
    +V 
    +tp1070
    +a(g245
    +V"
    +tp1071
    +a(g252
    +V\u005cx50
    +p1072
    +tp1073
    +a(g252
    +V\u005cx4b
    +p1074
    +tp1075
    +a(g252
    +V\u005cx01
    +p1076
    +tp1077
    +a(g252
    +V\u005cx02
    +p1078
    +tp1079
    +a(g245
    +V"
    +tp1080
    +a(g202
    +V;
    +tp1081
    +a(g189
    +V      
    +p1082
    +tp1083
    +a(g354
    +V// Local file header signature\u000a
    +p1084
    +tp1085
    +a(g189
    +V      
    +p1086
    +tp1087
    +a(g73
    +V$dir_data
    +p1088
    +tp1089
    +a(g189
    +V 
    +tp1090
    +a(g344
    +V.=
    +p1091
    +tp1092
    +a(g189
    +V 
    +tp1093
    +a(g57
    +Vpack
    +p1094
    +tp1095
    +a(g202
    +V(
    +tp1096
    +a(g245
    +V"
    +tp1097
    +a(g245
    +Vv
    +tp1098
    +a(g245
    +V"
    +tp1099
    +a(g202
    +V,
    +tp1100
    +a(g189
    +V 
    +tp1101
    +a(g213
    +V0
    +tp1102
    +a(g202
    +V);
    +p1103
    +tp1104
    +a(g189
    +V            
    +p1105
    +tp1106
    +a(g354
    +V// Version made by\u000a
    +p1107
    +tp1108
    +a(g189
    +V      
    +p1109
    +tp1110
    +a(g73
    +V$dir_data
    +p1111
    +tp1112
    +a(g189
    +V 
    +tp1113
    +a(g344
    +V.=
    +p1114
    +tp1115
    +a(g189
    +V 
    +tp1116
    +a(g57
    +Vpack
    +p1117
    +tp1118
    +a(g202
    +V(
    +tp1119
    +a(g245
    +V"
    +tp1120
    +a(g245
    +Vv
    +tp1121
    +a(g245
    +V"
    +tp1122
    +a(g202
    +V,
    +tp1123
    +a(g189
    +V 
    +tp1124
    +a(g213
    +V1
    +tp1125
    +a(g213
    +V0
    +tp1126
    +a(g202
    +V);
    +p1127
    +tp1128
    +a(g189
    +V           
    +p1129
    +tp1130
    +a(g354
    +V// Version needed to extract\u000a
    +p1131
    +tp1132
    +a(g189
    +V      
    +p1133
    +tp1134
    +a(g73
    +V$dir_data
    +p1135
    +tp1136
    +a(g189
    +V 
    +tp1137
    +a(g344
    +V.=
    +p1138
    +tp1139
    +a(g189
    +V 
    +tp1140
    +a(g57
    +Vpack
    +p1141
    +tp1142
    +a(g202
    +V(
    +tp1143
    +a(g245
    +V"
    +tp1144
    +a(g245
    +Vv
    +tp1145
    +a(g245
    +V"
    +tp1146
    +a(g202
    +V,
    +tp1147
    +a(g189
    +V 
    +tp1148
    +a(g213
    +V0
    +tp1149
    +a(g202
    +V);
    +p1150
    +tp1151
    +a(g189
    +V            
    +p1152
    +tp1153
    +a(g354
    +V// General purpose bit flag\u000a
    +p1154
    +tp1155
    +a(g189
    +V      
    +p1156
    +tp1157
    +a(g73
    +V$dir_data
    +p1158
    +tp1159
    +a(g189
    +V 
    +tp1160
    +a(g344
    +V.=
    +p1161
    +tp1162
    +a(g189
    +V 
    +tp1163
    +a(g57
    +Vpack
    +p1164
    +tp1165
    +a(g202
    +V(
    +tp1166
    +a(g245
    +V"
    +tp1167
    +a(g245
    +Vv
    +tp1168
    +a(g245
    +V"
    +tp1169
    +a(g202
    +V,
    +tp1170
    +a(g189
    +V 
    +tp1171
    +a(g213
    +V0
    +tp1172
    +a(g202
    +V);
    +p1173
    +tp1174
    +a(g189
    +V            
    +p1175
    +tp1176
    +a(g354
    +V// Compression method\u000a
    +p1177
    +tp1178
    +a(g189
    +V      
    +p1179
    +tp1180
    +a(g73
    +V$dir_data
    +p1181
    +tp1182
    +a(g189
    +V 
    +tp1183
    +a(g344
    +V.=
    +p1184
    +tp1185
    +a(g189
    +V 
    +tp1186
    +a(g57
    +Vpack
    +p1187
    +tp1188
    +a(g202
    +V(
    +tp1189
    +a(g245
    +V"
    +tp1190
    +a(g245
    +Vv
    +tp1191
    +a(g245
    +V"
    +tp1192
    +a(g202
    +V,
    +tp1193
    +a(g189
    +V 
    +tp1194
    +a(g213
    +V0
    +tp1195
    +a(g202
    +V);
    +p1196
    +tp1197
    +a(g189
    +V            
    +p1198
    +tp1199
    +a(g354
    +V// Last mod file time\u000a
    +p1200
    +tp1201
    +a(g189
    +V      
    +p1202
    +tp1203
    +a(g73
    +V$dir_data
    +p1204
    +tp1205
    +a(g189
    +V 
    +tp1206
    +a(g344
    +V.=
    +p1207
    +tp1208
    +a(g189
    +V 
    +tp1209
    +a(g57
    +Vpack
    +p1210
    +tp1211
    +a(g202
    +V(
    +tp1212
    +a(g245
    +V"
    +tp1213
    +a(g245
    +Vv
    +tp1214
    +a(g245
    +V"
    +tp1215
    +a(g202
    +V,
    +tp1216
    +a(g189
    +V 
    +tp1217
    +a(g213
    +V0
    +tp1218
    +a(g202
    +V);
    +p1219
    +tp1220
    +a(g189
    +V            
    +p1221
    +tp1222
    +a(g354
    +V// Last mod file date\u000a
    +p1223
    +tp1224
    +a(g189
    +V      
    +p1225
    +tp1226
    +a(g73
    +V$dir_data
    +p1227
    +tp1228
    +a(g189
    +V 
    +tp1229
    +a(g344
    +V.=
    +p1230
    +tp1231
    +a(g189
    +V 
    +tp1232
    +a(g57
    +Vpack
    +p1233
    +tp1234
    +a(g202
    +V(
    +tp1235
    +a(g245
    +V"
    +tp1236
    +a(g245
    +VV
    +tp1237
    +a(g245
    +V"
    +tp1238
    +a(g202
    +V,
    +tp1239
    +a(g189
    +V 
    +tp1240
    +a(g213
    +V0
    +tp1241
    +a(g202
    +V);
    +p1242
    +tp1243
    +a(g189
    +V            
    +p1244
    +tp1245
    +a(g354
    +V// crc-32\u000a
    +p1246
    +tp1247
    +a(g189
    +V      
    +p1248
    +tp1249
    +a(g73
    +V$dir_data
    +p1250
    +tp1251
    +a(g189
    +V 
    +tp1252
    +a(g344
    +V.=
    +p1253
    +tp1254
    +a(g189
    +V 
    +tp1255
    +a(g57
    +Vpack
    +p1256
    +tp1257
    +a(g202
    +V(
    +tp1258
    +a(g245
    +V"
    +tp1259
    +a(g245
    +VV
    +tp1260
    +a(g245
    +V"
    +tp1261
    +a(g202
    +V,
    +tp1262
    +a(g189
    +V 
    +tp1263
    +a(g213
    +V0
    +tp1264
    +a(g202
    +V);
    +p1265
    +tp1266
    +a(g189
    +V            
    +p1267
    +tp1268
    +a(g354
    +V// Compressed size\u000a
    +p1269
    +tp1270
    +a(g189
    +V      
    +p1271
    +tp1272
    +a(g73
    +V$dir_data
    +p1273
    +tp1274
    +a(g189
    +V 
    +tp1275
    +a(g344
    +V.=
    +p1276
    +tp1277
    +a(g189
    +V 
    +tp1278
    +a(g57
    +Vpack
    +p1279
    +tp1280
    +a(g202
    +V(
    +tp1281
    +a(g245
    +V"
    +tp1282
    +a(g245
    +VV
    +tp1283
    +a(g245
    +V"
    +tp1284
    +a(g202
    +V,
    +tp1285
    +a(g189
    +V 
    +tp1286
    +a(g213
    +V0
    +tp1287
    +a(g202
    +V);
    +p1288
    +tp1289
    +a(g189
    +V            
    +p1290
    +tp1291
    +a(g354
    +V// Uncompressed size\u000a
    +p1292
    +tp1293
    +a(g189
    +V      
    +p1294
    +tp1295
    +a(g73
    +V$dir_data
    +p1296
    +tp1297
    +a(g189
    +V 
    +tp1298
    +a(g344
    +V.=
    +p1299
    +tp1300
    +a(g189
    +V 
    +tp1301
    +a(g57
    +Vpack
    +p1302
    +tp1303
    +a(g202
    +V(
    +tp1304
    +a(g245
    +V"
    +tp1305
    +a(g245
    +Vv
    +tp1306
    +a(g245
    +V"
    +tp1307
    +a(g202
    +V,
    +tp1308
    +a(g189
    +V 
    +tp1309
    +a(g57
    +Vstrlen
    +p1310
    +tp1311
    +a(g202
    +V(
    +tp1312
    +a(g73
    +V$dir
    +p1313
    +tp1314
    +a(g202
    +V));
    +p1315
    +tp1316
    +a(g189
    +V 
    +tp1317
    +a(g354
    +V// File name length\u000a
    +p1318
    +tp1319
    +a(g189
    +V      
    +p1320
    +tp1321
    +a(g73
    +V$dir_data
    +p1322
    +tp1323
    +a(g189
    +V 
    +tp1324
    +a(g344
    +V.=
    +p1325
    +tp1326
    +a(g189
    +V 
    +tp1327
    +a(g57
    +Vpack
    +p1328
    +tp1329
    +a(g202
    +V(
    +tp1330
    +a(g245
    +V"
    +tp1331
    +a(g245
    +Vv
    +tp1332
    +a(g245
    +V"
    +tp1333
    +a(g202
    +V,
    +tp1334
    +a(g189
    +V 
    +tp1335
    +a(g213
    +V0
    +tp1336
    +a(g202
    +V);
    +p1337
    +tp1338
    +a(g189
    +V            
    +p1339
    +tp1340
    +a(g354
    +V// Extra field length\u000a
    +p1341
    +tp1342
    +a(g189
    +V      
    +p1343
    +tp1344
    +a(g73
    +V$dir_data
    +p1345
    +tp1346
    +a(g189
    +V 
    +tp1347
    +a(g344
    +V.=
    +p1348
    +tp1349
    +a(g189
    +V 
    +tp1350
    +a(g57
    +Vpack
    +p1351
    +tp1352
    +a(g202
    +V(
    +tp1353
    +a(g245
    +V"
    +tp1354
    +a(g245
    +Vv
    +tp1355
    +a(g245
    +V"
    +tp1356
    +a(g202
    +V,
    +tp1357
    +a(g189
    +V 
    +tp1358
    +a(g213
    +V0
    +tp1359
    +a(g202
    +V);
    +p1360
    +tp1361
    +a(g189
    +V            
    +p1362
    +tp1363
    +a(g354
    +V// File comment length\u000a
    +p1364
    +tp1365
    +a(g189
    +V      
    +p1366
    +tp1367
    +a(g73
    +V$dir_data
    +p1368
    +tp1369
    +a(g189
    +V 
    +tp1370
    +a(g344
    +V.=
    +p1371
    +tp1372
    +a(g189
    +V 
    +tp1373
    +a(g57
    +Vpack
    +p1374
    +tp1375
    +a(g202
    +V(
    +tp1376
    +a(g245
    +V"
    +tp1377
    +a(g245
    +Vv
    +tp1378
    +a(g245
    +V"
    +tp1379
    +a(g202
    +V,
    +tp1380
    +a(g189
    +V 
    +tp1381
    +a(g213
    +V0
    +tp1382
    +a(g202
    +V);
    +p1383
    +tp1384
    +a(g189
    +V            
    +p1385
    +tp1386
    +a(g354
    +V// Disk number start\u000a
    +p1387
    +tp1388
    +a(g189
    +V      
    +p1389
    +tp1390
    +a(g73
    +V$dir_data
    +p1391
    +tp1392
    +a(g189
    +V 
    +tp1393
    +a(g344
    +V.=
    +p1394
    +tp1395
    +a(g189
    +V 
    +tp1396
    +a(g57
    +Vpack
    +p1397
    +tp1398
    +a(g202
    +V(
    +tp1399
    +a(g245
    +V"
    +tp1400
    +a(g245
    +Vv
    +tp1401
    +a(g245
    +V"
    +tp1402
    +a(g202
    +V,
    +tp1403
    +a(g189
    +V 
    +tp1404
    +a(g213
    +V0
    +tp1405
    +a(g202
    +V);
    +p1406
    +tp1407
    +a(g189
    +V            
    +p1408
    +tp1409
    +a(g354
    +V// Internal file attributes\u000a
    +p1410
    +tp1411
    +a(g189
    +V      
    +p1412
    +tp1413
    +a(g73
    +V$dir_data
    +p1414
    +tp1415
    +a(g189
    +V 
    +tp1416
    +a(g344
    +V.=
    +p1417
    +tp1418
    +a(g189
    +V 
    +tp1419
    +a(g57
    +Vpack
    +p1420
    +tp1421
    +a(g202
    +V(
    +tp1422
    +a(g245
    +V"
    +tp1423
    +a(g245
    +VV
    +tp1424
    +a(g245
    +V"
    +tp1425
    +a(g202
    +V,
    +tp1426
    +a(g189
    +V 
    +tp1427
    +a(g213
    +V1
    +tp1428
    +a(g213
    +V6
    +tp1429
    +a(g202
    +V);
    +p1430
    +tp1431
    +a(g189
    +V           
    +p1432
    +tp1433
    +a(g354
    +V// External file attributes\u000a
    +p1434
    +tp1435
    +a(g189
    +V      
    +p1436
    +tp1437
    +a(g73
    +V$dir_data
    +p1438
    +tp1439
    +a(g189
    +V 
    +tp1440
    +a(g344
    +V.=
    +p1441
    +tp1442
    +a(g189
    +V 
    +tp1443
    +a(g57
    +Vpack
    +p1444
    +tp1445
    +a(g202
    +V(
    +tp1446
    +a(g245
    +V"
    +tp1447
    +a(g245
    +VV
    +tp1448
    +a(g245
    +V"
    +tp1449
    +a(g202
    +V,
    +tp1450
    +a(g189
    +V 
    +tp1451
    +a(g73
    +V$offset
    +p1452
    +tp1453
    +a(g202
    +V);
    +p1454
    +tp1455
    +a(g189
    +V      
    +p1456
    +tp1457
    +a(g354
    +V// Relative offset of local header\u000a
    +p1458
    +tp1459
    +a(g189
    +V\u000a      
    +p1460
    +tp1461
    +a(g73
    +V$dir_data
    +p1462
    +tp1463
    +a(g189
    +V 
    +tp1464
    +a(g344
    +V.=
    +p1465
    +tp1466
    +a(g189
    +V 
    +tp1467
    +a(g73
    +V$dir
    +p1468
    +tp1469
    +a(g202
    +V;
    +tp1470
    +a(g189
    +V                    
    +p1471
    +tp1472
    +a(g354
    +V// File name\u000a
    +p1473
    +tp1474
    +a(g189
    +V      
    +p1475
    +tp1476
    +a(g73
    +V$dir_data
    +p1477
    +tp1478
    +a(g189
    +V 
    +tp1479
    +a(g344
    +V.=
    +p1480
    +tp1481
    +a(g189
    +V 
    +tp1482
    +a(g272
    +V''
    +p1483
    +tp1484
    +a(g202
    +V;
    +tp1485
    +a(g189
    +V                      
    +p1486
    +tp1487
    +a(g354
    +V// Extra field (is empty)\u000a
    +p1488
    +tp1489
    +a(g189
    +V      
    +p1490
    +tp1491
    +a(g73
    +V$dir_data
    +p1492
    +tp1493
    +a(g189
    +V 
    +tp1494
    +a(g344
    +V.=
    +p1495
    +tp1496
    +a(g189
    +V 
    +tp1497
    +a(g272
    +V''
    +p1498
    +tp1499
    +a(g202
    +V;
    +tp1500
    +a(g189
    +V                      
    +p1501
    +tp1502
    +a(g354
    +V// File comment (is empty)\u000a
    +p1503
    +tp1504
    +a(g189
    +V\u000a      
    +p1505
    +tp1506
    +a(g358
    +V/*\u000a      // Data descriptor\u000a      $dir_data .= pack("V", 0);            // crc-32\u000a      $dir_data .= pack("V", 0);            // Compressed size\u000a      $dir_data .= pack("V", 0);            // Uncompressed size\u000a      */
    +p1507
    +tp1508
    +a(g189
    +V\u000a      \u000a      
    +p1509
    +tp1510
    +a(g354
    +V// Append dir data to the central directory data\u000a
    +p1511
    +tp1512
    +a(g189
    +V      
    +p1513
    +tp1514
    +a(g73
    +V$cd_data
    +p1515
    +tp1516
    +a(g202
    +V[]
    +p1517
    +tp1518
    +a(g189
    +V 
    +tp1519
    +a(g344
    +V=
    +tp1520
    +a(g189
    +V 
    +tp1521
    +a(g73
    +V$dir_data
    +p1522
    +tp1523
    +a(g202
    +V;
    +tp1524
    +a(g189
    +V\u000a    
    +p1525
    +tp1526
    +a(g202
    +V}
    +tp1527
    +a(g189
    +V\u000a\u000a    
    +p1528
    +tp1529
    +a(g354
    +V// Handle files\u000a
    +p1530
    +tp1531
    +a(g189
    +V    
    +p1532
    +tp1533
    +a(g111
    +Vforeach
    +p1534
    +tp1535
    +a(g202
    +V(
    +tp1536
    +a(g73
    +V$this
    +p1537
    +tp1538
    +a(g344
    +V->
    +p1539
    +tp1540
    +a(g41
    +Vfiles
    +p1541
    +tp1542
    +a(g189
    +V 
    +tp1543
    +a(g111
    +Vas
    +p1544
    +tp1545
    +a(g189
    +V 
    +tp1546
    +a(g73
    +V$name
    +p1547
    +tp1548
    +a(g189
    +V 
    +tp1549
    +a(g344
    +V=>
    +p1550
    +tp1551
    +a(g189
    +V 
    +tp1552
    +a(g73
    +V$file
    +p1553
    +tp1554
    +a(g202
    +V)
    +tp1555
    +a(g189
    +V 
    +tp1556
    +a(g202
    +V{
    +tp1557
    +a(g189
    +V\u000a      
    +p1558
    +tp1559
    +a(g354
    +V// Get values\u000a
    +p1560
    +tp1561
    +a(g189
    +V      
    +p1562
    +tp1563
    +a(g73
    +V$content
    +p1564
    +tp1565
    +a(g189
    +V 
    +tp1566
    +a(g344
    +V=
    +tp1567
    +a(g189
    +V 
    +tp1568
    +a(g73
    +V$file
    +p1569
    +tp1570
    +a(g202
    +V[
    +tp1571
    +a(g213
    +V0
    +tp1572
    +a(g202
    +V];
    +p1573
    +tp1574
    +a(g189
    +V\u000a    \u000a      
    +p1575
    +tp1576
    +a(g354
    +V// File part\u000a
    +p1577
    +tp1578
    +a(g189
    +V\u000a      
    +p1579
    +tp1580
    +a(g354
    +V// Reset file data\u000a
    +p1581
    +tp1582
    +a(g189
    +V      
    +p1583
    +tp1584
    +a(g73
    +V$fd
    +p1585
    +tp1586
    +a(g189
    +V 
    +tp1587
    +a(g344
    +V=
    +tp1588
    +a(g189
    +V 
    +tp1589
    +a(g272
    +V''
    +p1590
    +tp1591
    +a(g202
    +V;
    +tp1592
    +a(g189
    +V\u000a      \u000a      
    +p1593
    +tp1594
    +a(g354
    +V// Detect possible compressions\u000a
    +p1595
    +tp1596
    +a(g189
    +V      
    +p1597
    +tp1598
    +a(g354
    +V// Use deflate\u000a
    +p1599
    +tp1600
    +a(g189
    +V      
    +p1601
    +tp1602
    +a(g111
    +Vif
    +p1603
    +tp1604
    +a(g202
    +V(
    +tp1605
    +a(g57
    +Vfunction_exists
    +p1606
    +tp1607
    +a(g202
    +V(
    +tp1608
    +a(g272
    +V'gzdeflate'
    +p1609
    +tp1610
    +a(g202
    +V))
    +p1611
    +tp1612
    +a(g189
    +V 
    +tp1613
    +a(g202
    +V{
    +tp1614
    +a(g189
    +V\u000a        
    +p1615
    +tp1616
    +a(g73
    +V$method
    +p1617
    +tp1618
    +a(g189
    +V 
    +tp1619
    +a(g344
    +V=
    +tp1620
    +a(g189
    +V 
    +tp1621
    +a(g213
    +V8
    +tp1622
    +a(g202
    +V;
    +tp1623
    +a(g189
    +V\u000a\u000a        
    +p1624
    +tp1625
    +a(g354
    +V// Compress file content\u000a
    +p1626
    +tp1627
    +a(g189
    +V        
    +p1628
    +tp1629
    +a(g73
    +V$compressed_data
    +p1630
    +tp1631
    +a(g189
    +V 
    +tp1632
    +a(g344
    +V=
    +tp1633
    +a(g189
    +V 
    +tp1634
    +a(g57
    +Vgzdeflate
    +p1635
    +tp1636
    +a(g202
    +V(
    +tp1637
    +a(g73
    +V$content
    +p1638
    +tp1639
    +a(g202
    +V);
    +p1640
    +tp1641
    +a(g189
    +V\u000a\u000a      
    +p1642
    +tp1643
    +a(g354
    +V// Use bzip2\u000a
    +p1644
    +tp1645
    +a(g189
    +V      
    +p1646
    +tp1647
    +a(g202
    +V}
    +tp1648
    +a(g189
    +V 
    +tp1649
    +a(g111
    +Velseif
    +p1650
    +tp1651
    +a(g202
    +V(
    +tp1652
    +a(g57
    +Vfunction_exists
    +p1653
    +tp1654
    +a(g202
    +V(
    +tp1655
    +a(g272
    +V'bzcompress'
    +p1656
    +tp1657
    +a(g202
    +V))
    +p1658
    +tp1659
    +a(g189
    +V 
    +tp1660
    +a(g202
    +V{
    +tp1661
    +a(g189
    +V\u000a        
    +p1662
    +tp1663
    +a(g73
    +V$method
    +p1664
    +tp1665
    +a(g189
    +V 
    +tp1666
    +a(g344
    +V=
    +tp1667
    +a(g189
    +V 
    +tp1668
    +a(g213
    +V1
    +tp1669
    +a(g213
    +V2
    +tp1670
    +a(g202
    +V;
    +tp1671
    +a(g189
    +V\u000a\u000a        
    +p1672
    +tp1673
    +a(g354
    +V// Compress file content\u000a
    +p1674
    +tp1675
    +a(g189
    +V        
    +p1676
    +tp1677
    +a(g73
    +V$compressed_data
    +p1678
    +tp1679
    +a(g189
    +V 
    +tp1680
    +a(g344
    +V=
    +tp1681
    +a(g189
    +V 
    +tp1682
    +a(g57
    +Vbzcompress
    +p1683
    +tp1684
    +a(g202
    +V(
    +tp1685
    +a(g73
    +V$content
    +p1686
    +tp1687
    +a(g202
    +V);
    +p1688
    +tp1689
    +a(g189
    +V\u000a\u000a      
    +p1690
    +tp1691
    +a(g354
    +V// No compression\u000a
    +p1692
    +tp1693
    +a(g189
    +V      
    +p1694
    +tp1695
    +a(g202
    +V}
    +tp1696
    +a(g189
    +V 
    +tp1697
    +a(g111
    +Velse
    +p1698
    +tp1699
    +a(g189
    +V 
    +tp1700
    +a(g202
    +V{
    +tp1701
    +a(g189
    +V\u000a        
    +p1702
    +tp1703
    +a(g73
    +V$method
    +p1704
    +tp1705
    +a(g189
    +V 
    +tp1706
    +a(g344
    +V=
    +tp1707
    +a(g189
    +V 
    +tp1708
    +a(g213
    +V0
    +tp1709
    +a(g202
    +V;
    +tp1710
    +a(g189
    +V\u000a\u000a        
    +p1711
    +tp1712
    +a(g354
    +V// Do not compress the content :P\u000a
    +p1713
    +tp1714
    +a(g189
    +V        
    +p1715
    +tp1716
    +a(g73
    +V$compressed_data
    +p1717
    +tp1718
    +a(g189
    +V 
    +tp1719
    +a(g344
    +V=
    +tp1720
    +a(g189
    +V 
    +tp1721
    +a(g73
    +V$content
    +p1722
    +tp1723
    +a(g202
    +V;
    +tp1724
    +a(g189
    +V\u000a      
    +p1725
    +tp1726
    +a(g202
    +V}
    +tp1727
    +a(g189
    +V\u000a\u000a      
    +p1728
    +tp1729
    +a(g354
    +V// Local file header\u000a
    +p1730
    +tp1731
    +a(g189
    +V      
    +p1732
    +tp1733
    +a(g73
    +V$fd
    +p1734
    +tp1735
    +a(g189
    +V 
    +tp1736
    +a(g344
    +V.=
    +p1737
    +tp1738
    +a(g189
    +V 
    +tp1739
    +a(g245
    +V"
    +tp1740
    +a(g252
    +V\u005cx50
    +p1741
    +tp1742
    +a(g252
    +V\u005cx4b
    +p1743
    +tp1744
    +a(g252
    +V\u005cx03
    +p1745
    +tp1746
    +a(g252
    +V\u005cx04
    +p1747
    +tp1748
    +a(g245
    +V"
    +tp1749
    +a(g202
    +V;
    +tp1750
    +a(g189
    +V                  
    +p1751
    +tp1752
    +a(g354
    +V// Local file header signature\u000a
    +p1753
    +tp1754
    +a(g189
    +V      
    +p1755
    +tp1756
    +a(g73
    +V$fd
    +p1757
    +tp1758
    +a(g189
    +V 
    +tp1759
    +a(g344
    +V.=
    +p1760
    +tp1761
    +a(g189
    +V 
    +tp1762
    +a(g57
    +Vpack
    +p1763
    +tp1764
    +a(g202
    +V(
    +tp1765
    +a(g245
    +V"
    +tp1766
    +a(g245
    +Vv
    +tp1767
    +a(g245
    +V"
    +tp1768
    +a(g202
    +V,
    +tp1769
    +a(g189
    +V 
    +tp1770
    +a(g213
    +V2
    +tp1771
    +a(g213
    +V0
    +tp1772
    +a(g202
    +V);
    +p1773
    +tp1774
    +a(g189
    +V                       
    +p1775
    +tp1776
    +a(g354
    +V// Version needed to extract\u000a
    +p1777
    +tp1778
    +a(g189
    +V      
    +p1779
    +tp1780
    +a(g73
    +V$fd
    +p1781
    +tp1782
    +a(g189
    +V 
    +tp1783
    +a(g344
    +V.=
    +p1784
    +tp1785
    +a(g189
    +V 
    +tp1786
    +a(g57
    +Vpack
    +p1787
    +tp1788
    +a(g202
    +V(
    +tp1789
    +a(g245
    +V"
    +tp1790
    +a(g245
    +Vv
    +tp1791
    +a(g245
    +V"
    +tp1792
    +a(g202
    +V,
    +tp1793
    +a(g189
    +V 
    +tp1794
    +a(g213
    +V0
    +tp1795
    +a(g202
    +V);
    +p1796
    +tp1797
    +a(g189
    +V                        
    +p1798
    +tp1799
    +a(g354
    +V// General purpose bit flag\u000a
    +p1800
    +tp1801
    +a(g189
    +V      
    +p1802
    +tp1803
    +a(g73
    +V$fd
    +p1804
    +tp1805
    +a(g189
    +V 
    +tp1806
    +a(g344
    +V.=
    +p1807
    +tp1808
    +a(g189
    +V 
    +tp1809
    +a(g57
    +Vpack
    +p1810
    +tp1811
    +a(g202
    +V(
    +tp1812
    +a(g245
    +V"
    +tp1813
    +a(g245
    +Vv
    +tp1814
    +a(g245
    +V"
    +tp1815
    +a(g202
    +V,
    +tp1816
    +a(g189
    +V 
    +tp1817
    +a(g73
    +V$method
    +p1818
    +tp1819
    +a(g202
    +V);
    +p1820
    +tp1821
    +a(g189
    +V                  
    +p1822
    +tp1823
    +a(g354
    +V// Compression method\u000a
    +p1824
    +tp1825
    +a(g189
    +V      
    +p1826
    +tp1827
    +a(g73
    +V$fd
    +p1828
    +tp1829
    +a(g189
    +V 
    +tp1830
    +a(g344
    +V.=
    +p1831
    +tp1832
    +a(g189
    +V 
    +tp1833
    +a(g57
    +Vpack
    +p1834
    +tp1835
    +a(g202
    +V(
    +tp1836
    +a(g245
    +V"
    +tp1837
    +a(g245
    +Vv
    +tp1838
    +a(g245
    +V"
    +tp1839
    +a(g202
    +V,
    +tp1840
    +a(g189
    +V 
    +tp1841
    +a(g213
    +V0
    +tp1842
    +a(g202
    +V);
    +p1843
    +tp1844
    +a(g189
    +V                        
    +p1845
    +tp1846
    +a(g354
    +V// Last mod file time\u000a
    +p1847
    +tp1848
    +a(g189
    +V      
    +p1849
    +tp1850
    +a(g73
    +V$fd
    +p1851
    +tp1852
    +a(g189
    +V 
    +tp1853
    +a(g344
    +V.=
    +p1854
    +tp1855
    +a(g189
    +V 
    +tp1856
    +a(g57
    +Vpack
    +p1857
    +tp1858
    +a(g202
    +V(
    +tp1859
    +a(g245
    +V"
    +tp1860
    +a(g245
    +Vv
    +tp1861
    +a(g245
    +V"
    +tp1862
    +a(g202
    +V,
    +tp1863
    +a(g189
    +V 
    +tp1864
    +a(g213
    +V0
    +tp1865
    +a(g202
    +V);
    +p1866
    +tp1867
    +a(g189
    +V                        
    +p1868
    +tp1869
    +a(g354
    +V// Last mod file date\u000a
    +p1870
    +tp1871
    +a(g189
    +V      
    +p1872
    +tp1873
    +a(g73
    +V$fd
    +p1874
    +tp1875
    +a(g189
    +V 
    +tp1876
    +a(g344
    +V.=
    +p1877
    +tp1878
    +a(g189
    +V 
    +tp1879
    +a(g57
    +Vpack
    +p1880
    +tp1881
    +a(g202
    +V(
    +tp1882
    +a(g245
    +V"
    +tp1883
    +a(g245
    +VV
    +tp1884
    +a(g245
    +V"
    +tp1885
    +a(g202
    +V,
    +tp1886
    +a(g189
    +V 
    +tp1887
    +a(g57
    +Vcrc32
    +p1888
    +tp1889
    +a(g202
    +V(
    +tp1890
    +a(g73
    +V$content
    +p1891
    +tp1892
    +a(g202
    +V));
    +p1893
    +tp1894
    +a(g189
    +V          
    +p1895
    +tp1896
    +a(g354
    +V// crc-32\u000a
    +p1897
    +tp1898
    +a(g189
    +V      
    +p1899
    +tp1900
    +a(g73
    +V$fd
    +p1901
    +tp1902
    +a(g189
    +V 
    +tp1903
    +a(g344
    +V.=
    +p1904
    +tp1905
    +a(g189
    +V 
    +tp1906
    +a(g57
    +Vpack
    +p1907
    +tp1908
    +a(g202
    +V(
    +tp1909
    +a(g245
    +V"
    +tp1910
    +a(g245
    +VV
    +tp1911
    +a(g245
    +V"
    +tp1912
    +a(g202
    +V,
    +tp1913
    +a(g189
    +V 
    +tp1914
    +a(g57
    +Vstrlen
    +p1915
    +tp1916
    +a(g202
    +V(
    +tp1917
    +a(g73
    +V$compressed_data
    +p1918
    +tp1919
    +a(g202
    +V));
    +p1920
    +tp1921
    +a(g189
    +V 
    +tp1922
    +a(g354
    +V// Compressed size\u000a
    +p1923
    +tp1924
    +a(g189
    +V      
    +p1925
    +tp1926
    +a(g73
    +V$fd
    +p1927
    +tp1928
    +a(g189
    +V 
    +tp1929
    +a(g344
    +V.=
    +p1930
    +tp1931
    +a(g189
    +V 
    +tp1932
    +a(g57
    +Vpack
    +p1933
    +tp1934
    +a(g202
    +V(
    +tp1935
    +a(g245
    +V"
    +tp1936
    +a(g245
    +VV
    +tp1937
    +a(g245
    +V"
    +tp1938
    +a(g202
    +V,
    +tp1939
    +a(g189
    +V 
    +tp1940
    +a(g57
    +Vstrlen
    +p1941
    +tp1942
    +a(g202
    +V(
    +tp1943
    +a(g73
    +V$content
    +p1944
    +tp1945
    +a(g202
    +V));
    +p1946
    +tp1947
    +a(g189
    +V         
    +p1948
    +tp1949
    +a(g354
    +V// Uncompressed size\u000a
    +p1950
    +tp1951
    +a(g189
    +V      
    +p1952
    +tp1953
    +a(g73
    +V$fd
    +p1954
    +tp1955
    +a(g189
    +V 
    +tp1956
    +a(g344
    +V.=
    +p1957
    +tp1958
    +a(g189
    +V 
    +tp1959
    +a(g57
    +Vpack
    +p1960
    +tp1961
    +a(g202
    +V(
    +tp1962
    +a(g245
    +V"
    +tp1963
    +a(g245
    +Vv
    +tp1964
    +a(g245
    +V"
    +tp1965
    +a(g202
    +V,
    +tp1966
    +a(g189
    +V 
    +tp1967
    +a(g57
    +Vstrlen
    +p1968
    +tp1969
    +a(g202
    +V(
    +tp1970
    +a(g73
    +V$name
    +p1971
    +tp1972
    +a(g202
    +V));
    +p1973
    +tp1974
    +a(g189
    +V            
    +p1975
    +tp1976
    +a(g354
    +V// File name length\u000a
    +p1977
    +tp1978
    +a(g189
    +V      
    +p1979
    +tp1980
    +a(g73
    +V$fd
    +p1981
    +tp1982
    +a(g189
    +V 
    +tp1983
    +a(g344
    +V.=
    +p1984
    +tp1985
    +a(g189
    +V 
    +tp1986
    +a(g57
    +Vpack
    +p1987
    +tp1988
    +a(g202
    +V(
    +tp1989
    +a(g245
    +V"
    +tp1990
    +a(g245
    +Vv
    +tp1991
    +a(g245
    +V"
    +tp1992
    +a(g202
    +V,
    +tp1993
    +a(g189
    +V 
    +tp1994
    +a(g213
    +V0
    +tp1995
    +a(g202
    +V);
    +p1996
    +tp1997
    +a(g189
    +V                        
    +p1998
    +tp1999
    +a(g354
    +V// Extra field length\u000a
    +p2000
    +tp2001
    +a(g189
    +V\u000a      
    +p2002
    +tp2003
    +a(g73
    +V$fd
    +p2004
    +tp2005
    +a(g189
    +V 
    +tp2006
    +a(g344
    +V.=
    +p2007
    +tp2008
    +a(g189
    +V 
    +tp2009
    +a(g73
    +V$name
    +p2010
    +tp2011
    +a(g202
    +V;
    +tp2012
    +a(g189
    +V                               
    +p2013
    +tp2014
    +a(g354
    +V// File name\u000a
    +p2015
    +tp2016
    +a(g189
    +V      
    +p2017
    +tp2018
    +a(g73
    +V$fd
    +p2019
    +tp2020
    +a(g189
    +V 
    +tp2021
    +a(g344
    +V.=
    +p2022
    +tp2023
    +a(g189
    +V 
    +tp2024
    +a(g272
    +V''
    +p2025
    +tp2026
    +a(g202
    +V;
    +tp2027
    +a(g189
    +V                                  
    +p2028
    +tp2029
    +a(g354
    +V// Extra field (is empty)\u000a
    +p2030
    +tp2031
    +a(g189
    +V\u000a      
    +p2032
    +tp2033
    +a(g354
    +V// File data\u000a
    +p2034
    +tp2035
    +a(g189
    +V      
    +p2036
    +tp2037
    +a(g73
    +V$fd
    +p2038
    +tp2039
    +a(g189
    +V 
    +tp2040
    +a(g344
    +V.=
    +p2041
    +tp2042
    +a(g189
    +V 
    +tp2043
    +a(g73
    +V$compressed_data
    +p2044
    +tp2045
    +a(g202
    +V;
    +tp2046
    +a(g189
    +V\u000a      \u000a      
    +p2047
    +tp2048
    +a(g354
    +V// Data descriptor\u000a
    +p2049
    +tp2050
    +a(g189
    +V      
    +p2051
    +tp2052
    +a(g73
    +V$fd
    +p2053
    +tp2054
    +a(g189
    +V 
    +tp2055
    +a(g344
    +V.=
    +p2056
    +tp2057
    +a(g189
    +V 
    +tp2058
    +a(g57
    +Vpack
    +p2059
    +tp2060
    +a(g202
    +V(
    +tp2061
    +a(g245
    +V"
    +tp2062
    +a(g245
    +VV
    +tp2063
    +a(g245
    +V"
    +tp2064
    +a(g202
    +V,
    +tp2065
    +a(g189
    +V 
    +tp2066
    +a(g57
    +Vcrc32
    +p2067
    +tp2068
    +a(g202
    +V(
    +tp2069
    +a(g73
    +V$content
    +p2070
    +tp2071
    +a(g202
    +V));
    +p2072
    +tp2073
    +a(g189
    +V          
    +p2074
    +tp2075
    +a(g354
    +V// crc-32\u000a
    +p2076
    +tp2077
    +a(g189
    +V      
    +p2078
    +tp2079
    +a(g73
    +V$fd
    +p2080
    +tp2081
    +a(g189
    +V 
    +tp2082
    +a(g344
    +V.=
    +p2083
    +tp2084
    +a(g189
    +V 
    +tp2085
    +a(g57
    +Vpack
    +p2086
    +tp2087
    +a(g202
    +V(
    +tp2088
    +a(g245
    +V"
    +tp2089
    +a(g245
    +VV
    +tp2090
    +a(g245
    +V"
    +tp2091
    +a(g202
    +V,
    +tp2092
    +a(g189
    +V 
    +tp2093
    +a(g57
    +Vstrlen
    +p2094
    +tp2095
    +a(g202
    +V(
    +tp2096
    +a(g73
    +V$compressed_data
    +p2097
    +tp2098
    +a(g202
    +V));
    +p2099
    +tp2100
    +a(g189
    +V 
    +tp2101
    +a(g354
    +V// Compressed size\u000a
    +p2102
    +tp2103
    +a(g189
    +V      
    +p2104
    +tp2105
    +a(g73
    +V$fd
    +p2106
    +tp2107
    +a(g189
    +V 
    +tp2108
    +a(g344
    +V.=
    +p2109
    +tp2110
    +a(g189
    +V 
    +tp2111
    +a(g57
    +Vpack
    +p2112
    +tp2113
    +a(g202
    +V(
    +tp2114
    +a(g245
    +V"
    +tp2115
    +a(g245
    +VV
    +tp2116
    +a(g245
    +V"
    +tp2117
    +a(g202
    +V,
    +tp2118
    +a(g189
    +V 
    +tp2119
    +a(g57
    +Vstrlen
    +p2120
    +tp2121
    +a(g202
    +V(
    +tp2122
    +a(g73
    +V$content
    +p2123
    +tp2124
    +a(g202
    +V));
    +p2125
    +tp2126
    +a(g189
    +V         
    +p2127
    +tp2128
    +a(g354
    +V// Uncompressed size\u000a
    +p2129
    +tp2130
    +a(g189
    +V\u000a      
    +p2131
    +tp2132
    +a(g354
    +V// Save current offset\u000a
    +p2133
    +tp2134
    +a(g189
    +V      
    +p2135
    +tp2136
    +a(g73
    +V$offset
    +p2137
    +tp2138
    +a(g189
    +V 
    +tp2139
    +a(g344
    +V=
    +tp2140
    +a(g189
    +V 
    +tp2141
    +a(g57
    +Vstrlen
    +p2142
    +tp2143
    +a(g202
    +V(
    +tp2144
    +a(g57
    +Vimplode
    +p2145
    +tp2146
    +a(g202
    +V(
    +tp2147
    +a(g272
    +V''
    +p2148
    +tp2149
    +a(g202
    +V,
    +tp2150
    +a(g189
    +V 
    +tp2151
    +a(g73
    +V$file_data
    +p2152
    +tp2153
    +a(g202
    +V));
    +p2154
    +tp2155
    +a(g189
    +V\u000a\u000a      
    +p2156
    +tp2157
    +a(g354
    +V// Append file data to the file part\u000a
    +p2158
    +tp2159
    +a(g189
    +V      
    +p2160
    +tp2161
    +a(g73
    +V$file_data
    +p2162
    +tp2163
    +a(g202
    +V[]
    +p2164
    +tp2165
    +a(g189
    +V 
    +tp2166
    +a(g344
    +V=
    +tp2167
    +a(g189
    +V 
    +tp2168
    +a(g73
    +V$fd
    +p2169
    +tp2170
    +a(g202
    +V;
    +tp2171
    +a(g189
    +V\u000a\u000a      
    +p2172
    +tp2173
    +a(g354
    +V// Central directory\u000a
    +p2174
    +tp2175
    +a(g189
    +V\u000a      
    +p2176
    +tp2177
    +a(g354
    +V// Reset file data\u000a
    +p2178
    +tp2179
    +a(g189
    +V      
    +p2180
    +tp2181
    +a(g73
    +V$fd
    +p2182
    +tp2183
    +a(g189
    +V 
    +tp2184
    +a(g344
    +V=
    +tp2185
    +a(g189
    +V 
    +tp2186
    +a(g272
    +V''
    +p2187
    +tp2188
    +a(g202
    +V;
    +tp2189
    +a(g189
    +V\u000a\u000a      
    +p2190
    +tp2191
    +a(g354
    +V// File header\u000a
    +p2192
    +tp2193
    +a(g189
    +V      
    +p2194
    +tp2195
    +a(g73
    +V$fd
    +p2196
    +tp2197
    +a(g189
    +V 
    +tp2198
    +a(g344
    +V.=
    +p2199
    +tp2200
    +a(g189
    +V 
    +tp2201
    +a(g245
    +V"
    +tp2202
    +a(g252
    +V\u005cx50
    +p2203
    +tp2204
    +a(g252
    +V\u005cx4b
    +p2205
    +tp2206
    +a(g252
    +V\u005cx01
    +p2207
    +tp2208
    +a(g252
    +V\u005cx02
    +p2209
    +tp2210
    +a(g245
    +V"
    +tp2211
    +a(g202
    +V;
    +tp2212
    +a(g189
    +V                  
    +p2213
    +tp2214
    +a(g354
    +V// Local file header signature\u000a
    +p2215
    +tp2216
    +a(g189
    +V      
    +p2217
    +tp2218
    +a(g73
    +V$fd
    +p2219
    +tp2220
    +a(g189
    +V 
    +tp2221
    +a(g344
    +V.=
    +p2222
    +tp2223
    +a(g189
    +V 
    +tp2224
    +a(g57
    +Vpack
    +p2225
    +tp2226
    +a(g202
    +V(
    +tp2227
    +a(g245
    +V"
    +tp2228
    +a(g245
    +Vv
    +tp2229
    +a(g245
    +V"
    +tp2230
    +a(g202
    +V,
    +tp2231
    +a(g189
    +V 
    +tp2232
    +a(g213
    +V0
    +tp2233
    +a(g202
    +V);
    +p2234
    +tp2235
    +a(g189
    +V                        
    +p2236
    +tp2237
    +a(g354
    +V// Version made by\u000a
    +p2238
    +tp2239
    +a(g189
    +V      
    +p2240
    +tp2241
    +a(g73
    +V$fd
    +p2242
    +tp2243
    +a(g189
    +V 
    +tp2244
    +a(g344
    +V.=
    +p2245
    +tp2246
    +a(g189
    +V 
    +tp2247
    +a(g57
    +Vpack
    +p2248
    +tp2249
    +a(g202
    +V(
    +tp2250
    +a(g245
    +V"
    +tp2251
    +a(g245
    +Vv
    +tp2252
    +a(g245
    +V"
    +tp2253
    +a(g202
    +V,
    +tp2254
    +a(g189
    +V 
    +tp2255
    +a(g213
    +V2
    +tp2256
    +a(g213
    +V0
    +tp2257
    +a(g202
    +V);
    +p2258
    +tp2259
    +a(g189
    +V                       
    +p2260
    +tp2261
    +a(g354
    +V// Version needed to extract\u000a
    +p2262
    +tp2263
    +a(g189
    +V      
    +p2264
    +tp2265
    +a(g73
    +V$fd
    +p2266
    +tp2267
    +a(g189
    +V 
    +tp2268
    +a(g344
    +V.=
    +p2269
    +tp2270
    +a(g189
    +V 
    +tp2271
    +a(g57
    +Vpack
    +p2272
    +tp2273
    +a(g202
    +V(
    +tp2274
    +a(g245
    +V"
    +tp2275
    +a(g245
    +Vv
    +tp2276
    +a(g245
    +V"
    +tp2277
    +a(g202
    +V,
    +tp2278
    +a(g189
    +V 
    +tp2279
    +a(g213
    +V0
    +tp2280
    +a(g202
    +V);
    +p2281
    +tp2282
    +a(g189
    +V                        
    +p2283
    +tp2284
    +a(g354
    +V// General purpose bit flag\u000a
    +p2285
    +tp2286
    +a(g189
    +V      
    +p2287
    +tp2288
    +a(g73
    +V$fd
    +p2289
    +tp2290
    +a(g189
    +V 
    +tp2291
    +a(g344
    +V.=
    +p2292
    +tp2293
    +a(g189
    +V 
    +tp2294
    +a(g57
    +Vpack
    +p2295
    +tp2296
    +a(g202
    +V(
    +tp2297
    +a(g245
    +V"
    +tp2298
    +a(g245
    +Vv
    +tp2299
    +a(g245
    +V"
    +tp2300
    +a(g202
    +V,
    +tp2301
    +a(g189
    +V 
    +tp2302
    +a(g73
    +V$method
    +p2303
    +tp2304
    +a(g202
    +V);
    +p2305
    +tp2306
    +a(g189
    +V                  
    +p2307
    +tp2308
    +a(g354
    +V// Compression method\u000a
    +p2309
    +tp2310
    +a(g189
    +V      
    +p2311
    +tp2312
    +a(g73
    +V$fd
    +p2313
    +tp2314
    +a(g189
    +V 
    +tp2315
    +a(g344
    +V.=
    +p2316
    +tp2317
    +a(g189
    +V 
    +tp2318
    +a(g57
    +Vpack
    +p2319
    +tp2320
    +a(g202
    +V(
    +tp2321
    +a(g245
    +V"
    +tp2322
    +a(g245
    +Vv
    +tp2323
    +a(g245
    +V"
    +tp2324
    +a(g202
    +V,
    +tp2325
    +a(g189
    +V 
    +tp2326
    +a(g213
    +V0
    +tp2327
    +a(g202
    +V);
    +p2328
    +tp2329
    +a(g189
    +V                        
    +p2330
    +tp2331
    +a(g354
    +V// Last mod file time\u000a
    +p2332
    +tp2333
    +a(g189
    +V      
    +p2334
    +tp2335
    +a(g73
    +V$fd
    +p2336
    +tp2337
    +a(g189
    +V 
    +tp2338
    +a(g344
    +V.=
    +p2339
    +tp2340
    +a(g189
    +V 
    +tp2341
    +a(g57
    +Vpack
    +p2342
    +tp2343
    +a(g202
    +V(
    +tp2344
    +a(g245
    +V"
    +tp2345
    +a(g245
    +Vv
    +tp2346
    +a(g245
    +V"
    +tp2347
    +a(g202
    +V,
    +tp2348
    +a(g189
    +V 
    +tp2349
    +a(g213
    +V0
    +tp2350
    +a(g202
    +V);
    +p2351
    +tp2352
    +a(g189
    +V                        
    +p2353
    +tp2354
    +a(g354
    +V// Last mod file date\u000a
    +p2355
    +tp2356
    +a(g189
    +V      
    +p2357
    +tp2358
    +a(g73
    +V$fd
    +p2359
    +tp2360
    +a(g189
    +V 
    +tp2361
    +a(g344
    +V.=
    +p2362
    +tp2363
    +a(g189
    +V 
    +tp2364
    +a(g57
    +Vpack
    +p2365
    +tp2366
    +a(g202
    +V(
    +tp2367
    +a(g245
    +V"
    +tp2368
    +a(g245
    +VV
    +tp2369
    +a(g245
    +V"
    +tp2370
    +a(g202
    +V,
    +tp2371
    +a(g189
    +V 
    +tp2372
    +a(g57
    +Vcrc32
    +p2373
    +tp2374
    +a(g202
    +V(
    +tp2375
    +a(g73
    +V$content
    +p2376
    +tp2377
    +a(g202
    +V));
    +p2378
    +tp2379
    +a(g189
    +V          
    +p2380
    +tp2381
    +a(g354
    +V// crc-32\u000a
    +p2382
    +tp2383
    +a(g189
    +V      
    +p2384
    +tp2385
    +a(g73
    +V$fd
    +p2386
    +tp2387
    +a(g189
    +V 
    +tp2388
    +a(g344
    +V.=
    +p2389
    +tp2390
    +a(g189
    +V 
    +tp2391
    +a(g57
    +Vpack
    +p2392
    +tp2393
    +a(g202
    +V(
    +tp2394
    +a(g245
    +V"
    +tp2395
    +a(g245
    +VV
    +tp2396
    +a(g245
    +V"
    +tp2397
    +a(g202
    +V,
    +tp2398
    +a(g189
    +V 
    +tp2399
    +a(g57
    +Vstrlen
    +p2400
    +tp2401
    +a(g202
    +V(
    +tp2402
    +a(g73
    +V$compressed_data
    +p2403
    +tp2404
    +a(g202
    +V));
    +p2405
    +tp2406
    +a(g189
    +V 
    +tp2407
    +a(g354
    +V// Compressed size\u000a
    +p2408
    +tp2409
    +a(g189
    +V      
    +p2410
    +tp2411
    +a(g73
    +V$fd
    +p2412
    +tp2413
    +a(g189
    +V 
    +tp2414
    +a(g344
    +V.=
    +p2415
    +tp2416
    +a(g189
    +V 
    +tp2417
    +a(g57
    +Vpack
    +p2418
    +tp2419
    +a(g202
    +V(
    +tp2420
    +a(g245
    +V"
    +tp2421
    +a(g245
    +VV
    +tp2422
    +a(g245
    +V"
    +tp2423
    +a(g202
    +V,
    +tp2424
    +a(g189
    +V 
    +tp2425
    +a(g57
    +Vstrlen
    +p2426
    +tp2427
    +a(g202
    +V(
    +tp2428
    +a(g73
    +V$content
    +p2429
    +tp2430
    +a(g202
    +V));
    +p2431
    +tp2432
    +a(g189
    +V         
    +p2433
    +tp2434
    +a(g354
    +V// Uncompressed size\u000a
    +p2435
    +tp2436
    +a(g189
    +V      
    +p2437
    +tp2438
    +a(g73
    +V$fd
    +p2439
    +tp2440
    +a(g189
    +V 
    +tp2441
    +a(g344
    +V.=
    +p2442
    +tp2443
    +a(g189
    +V 
    +tp2444
    +a(g57
    +Vpack
    +p2445
    +tp2446
    +a(g202
    +V(
    +tp2447
    +a(g245
    +V"
    +tp2448
    +a(g245
    +Vv
    +tp2449
    +a(g245
    +V"
    +tp2450
    +a(g202
    +V,
    +tp2451
    +a(g189
    +V 
    +tp2452
    +a(g57
    +Vstrlen
    +p2453
    +tp2454
    +a(g202
    +V(
    +tp2455
    +a(g73
    +V$name
    +p2456
    +tp2457
    +a(g202
    +V));
    +p2458
    +tp2459
    +a(g189
    +V            
    +p2460
    +tp2461
    +a(g354
    +V// File name length\u000a
    +p2462
    +tp2463
    +a(g189
    +V      
    +p2464
    +tp2465
    +a(g73
    +V$fd
    +p2466
    +tp2467
    +a(g189
    +V 
    +tp2468
    +a(g344
    +V.=
    +p2469
    +tp2470
    +a(g189
    +V 
    +tp2471
    +a(g57
    +Vpack
    +p2472
    +tp2473
    +a(g202
    +V(
    +tp2474
    +a(g245
    +V"
    +tp2475
    +a(g245
    +Vv
    +tp2476
    +a(g245
    +V"
    +tp2477
    +a(g202
    +V,
    +tp2478
    +a(g189
    +V 
    +tp2479
    +a(g213
    +V0
    +tp2480
    +a(g202
    +V);
    +p2481
    +tp2482
    +a(g189
    +V                        
    +p2483
    +tp2484
    +a(g354
    +V// Extra field length\u000a
    +p2485
    +tp2486
    +a(g189
    +V      
    +p2487
    +tp2488
    +a(g73
    +V$fd
    +p2489
    +tp2490
    +a(g189
    +V 
    +tp2491
    +a(g344
    +V.=
    +p2492
    +tp2493
    +a(g189
    +V 
    +tp2494
    +a(g57
    +Vpack
    +p2495
    +tp2496
    +a(g202
    +V(
    +tp2497
    +a(g245
    +V"
    +tp2498
    +a(g245
    +Vv
    +tp2499
    +a(g245
    +V"
    +tp2500
    +a(g202
    +V,
    +tp2501
    +a(g189
    +V 
    +tp2502
    +a(g213
    +V0
    +tp2503
    +a(g202
    +V);
    +p2504
    +tp2505
    +a(g189
    +V                        
    +p2506
    +tp2507
    +a(g354
    +V// File comment length\u000a
    +p2508
    +tp2509
    +a(g189
    +V      
    +p2510
    +tp2511
    +a(g73
    +V$fd
    +p2512
    +tp2513
    +a(g189
    +V 
    +tp2514
    +a(g344
    +V.=
    +p2515
    +tp2516
    +a(g189
    +V 
    +tp2517
    +a(g57
    +Vpack
    +p2518
    +tp2519
    +a(g202
    +V(
    +tp2520
    +a(g245
    +V"
    +tp2521
    +a(g245
    +Vv
    +tp2522
    +a(g245
    +V"
    +tp2523
    +a(g202
    +V,
    +tp2524
    +a(g189
    +V 
    +tp2525
    +a(g213
    +V0
    +tp2526
    +a(g202
    +V);
    +p2527
    +tp2528
    +a(g189
    +V                        
    +p2529
    +tp2530
    +a(g354
    +V// Disk number start\u000a
    +p2531
    +tp2532
    +a(g189
    +V      
    +p2533
    +tp2534
    +a(g73
    +V$fd
    +p2535
    +tp2536
    +a(g189
    +V 
    +tp2537
    +a(g344
    +V.=
    +p2538
    +tp2539
    +a(g189
    +V 
    +tp2540
    +a(g57
    +Vpack
    +p2541
    +tp2542
    +a(g202
    +V(
    +tp2543
    +a(g245
    +V"
    +tp2544
    +a(g245
    +Vv
    +tp2545
    +a(g245
    +V"
    +tp2546
    +a(g202
    +V,
    +tp2547
    +a(g189
    +V 
    +tp2548
    +a(g213
    +V0
    +tp2549
    +a(g202
    +V);
    +p2550
    +tp2551
    +a(g189
    +V                        
    +p2552
    +tp2553
    +a(g354
    +V// Internal file attributes\u000a
    +p2554
    +tp2555
    +a(g189
    +V      
    +p2556
    +tp2557
    +a(g73
    +V$fd
    +p2558
    +tp2559
    +a(g189
    +V 
    +tp2560
    +a(g344
    +V.=
    +p2561
    +tp2562
    +a(g189
    +V 
    +tp2563
    +a(g57
    +Vpack
    +p2564
    +tp2565
    +a(g202
    +V(
    +tp2566
    +a(g245
    +V"
    +tp2567
    +a(g245
    +VV
    +tp2568
    +a(g245
    +V"
    +tp2569
    +a(g202
    +V,
    +tp2570
    +a(g189
    +V 
    +tp2571
    +a(g213
    +V3
    +tp2572
    +a(g213
    +V2
    +tp2573
    +a(g202
    +V);
    +p2574
    +tp2575
    +a(g189
    +V                       
    +p2576
    +tp2577
    +a(g354
    +V// External file attributes\u000a
    +p2578
    +tp2579
    +a(g189
    +V      
    +p2580
    +tp2581
    +a(g73
    +V$fd
    +p2582
    +tp2583
    +a(g189
    +V 
    +tp2584
    +a(g344
    +V.=
    +p2585
    +tp2586
    +a(g189
    +V 
    +tp2587
    +a(g57
    +Vpack
    +p2588
    +tp2589
    +a(g202
    +V(
    +tp2590
    +a(g245
    +V"
    +tp2591
    +a(g245
    +VV
    +tp2592
    +a(g245
    +V"
    +tp2593
    +a(g202
    +V,
    +tp2594
    +a(g189
    +V 
    +tp2595
    +a(g73
    +V$offset
    +p2596
    +tp2597
    +a(g202
    +V);
    +p2598
    +tp2599
    +a(g189
    +V                  
    +p2600
    +tp2601
    +a(g354
    +V// Relative offset of local header\u000a
    +p2602
    +tp2603
    +a(g189
    +V\u000a      
    +p2604
    +tp2605
    +a(g73
    +V$fd
    +p2606
    +tp2607
    +a(g189
    +V 
    +tp2608
    +a(g344
    +V.=
    +p2609
    +tp2610
    +a(g189
    +V 
    +tp2611
    +a(g73
    +V$name
    +p2612
    +tp2613
    +a(g202
    +V;
    +tp2614
    +a(g189
    +V                               
    +p2615
    +tp2616
    +a(g354
    +V// File name\u000a
    +p2617
    +tp2618
    +a(g189
    +V      
    +p2619
    +tp2620
    +a(g73
    +V$fd
    +p2621
    +tp2622
    +a(g189
    +V 
    +tp2623
    +a(g344
    +V.=
    +p2624
    +tp2625
    +a(g189
    +V 
    +tp2626
    +a(g272
    +V''
    +p2627
    +tp2628
    +a(g202
    +V;
    +tp2629
    +a(g189
    +V                                  
    +p2630
    +tp2631
    +a(g354
    +V// Extra field (is empty)\u000a
    +p2632
    +tp2633
    +a(g189
    +V      
    +p2634
    +tp2635
    +a(g73
    +V$fd
    +p2636
    +tp2637
    +a(g189
    +V 
    +tp2638
    +a(g344
    +V.=
    +p2639
    +tp2640
    +a(g189
    +V 
    +tp2641
    +a(g272
    +V''
    +p2642
    +tp2643
    +a(g202
    +V;
    +tp2644
    +a(g189
    +V                                  
    +p2645
    +tp2646
    +a(g354
    +V// File comment (is empty)\u000a
    +p2647
    +tp2648
    +a(g189
    +V\u000a      
    +p2649
    +tp2650
    +a(g358
    +V/*\u000a      // Data descriptor\u000a      $fd .= pack("V", crc32($content));          // crc-32\u000a      $fd .= pack("V", strlen($compressed_data)); // Compressed size\u000a      $fd .= pack("V", strlen($content));         // Uncompressed size\u000a      */
    +p2651
    +tp2652
    +a(g189
    +V\u000a\u000a      
    +p2653
    +tp2654
    +a(g354
    +V// Append file data to the central directory data\u000a
    +p2655
    +tp2656
    +a(g189
    +V      
    +p2657
    +tp2658
    +a(g73
    +V$cd_data
    +p2659
    +tp2660
    +a(g202
    +V[]
    +p2661
    +tp2662
    +a(g189
    +V 
    +tp2663
    +a(g344
    +V=
    +tp2664
    +a(g189
    +V 
    +tp2665
    +a(g73
    +V$fd
    +p2666
    +tp2667
    +a(g202
    +V;
    +tp2668
    +a(g189
    +V\u000a    
    +p2669
    +tp2670
    +a(g202
    +V}
    +tp2671
    +a(g189
    +V\u000a\u000a    
    +p2672
    +tp2673
    +a(g354
    +V// Digital signature\u000a
    +p2674
    +tp2675
    +a(g189
    +V    
    +p2676
    +tp2677
    +a(g73
    +V$digital_signature
    +p2678
    +tp2679
    +a(g189
    +V 
    +tp2680
    +a(g344
    +V=
    +tp2681
    +a(g189
    +V 
    +tp2682
    +a(g272
    +V''
    +p2683
    +tp2684
    +a(g202
    +V;
    +tp2685
    +a(g189
    +V\u000a    
    +p2686
    +tp2687
    +a(g73
    +V$digital_signature
    +p2688
    +tp2689
    +a(g189
    +V 
    +tp2690
    +a(g344
    +V.=
    +p2691
    +tp2692
    +a(g189
    +V 
    +tp2693
    +a(g245
    +V"
    +tp2694
    +a(g252
    +V\u005cx50
    +p2695
    +tp2696
    +a(g252
    +V\u005cx4b
    +p2697
    +tp2698
    +a(g252
    +V\u005cx05
    +p2699
    +tp2700
    +a(g252
    +V\u005cx05
    +p2701
    +tp2702
    +a(g245
    +V"
    +tp2703
    +a(g202
    +V;
    +tp2704
    +a(g189
    +V  
    +p2705
    +tp2706
    +a(g354
    +V// Header signature\u000a
    +p2707
    +tp2708
    +a(g189
    +V    
    +p2709
    +tp2710
    +a(g73
    +V$digital_signature
    +p2711
    +tp2712
    +a(g189
    +V 
    +tp2713
    +a(g344
    +V.=
    +p2714
    +tp2715
    +a(g189
    +V 
    +tp2716
    +a(g57
    +Vpack
    +p2717
    +tp2718
    +a(g202
    +V(
    +tp2719
    +a(g245
    +V"
    +tp2720
    +a(g245
    +Vv
    +tp2721
    +a(g245
    +V"
    +tp2722
    +a(g202
    +V,
    +tp2723
    +a(g189
    +V 
    +tp2724
    +a(g213
    +V0
    +tp2725
    +a(g202
    +V);
    +p2726
    +tp2727
    +a(g189
    +V        
    +p2728
    +tp2729
    +a(g354
    +V// Size of data\u000a
    +p2730
    +tp2731
    +a(g189
    +V    
    +p2732
    +tp2733
    +a(g73
    +V$digital_signature
    +p2734
    +tp2735
    +a(g189
    +V 
    +tp2736
    +a(g344
    +V.=
    +p2737
    +tp2738
    +a(g189
    +V 
    +tp2739
    +a(g272
    +V''
    +p2740
    +tp2741
    +a(g202
    +V;
    +tp2742
    +a(g189
    +V                  
    +p2743
    +tp2744
    +a(g354
    +V// Signature data (is empty)\u000a
    +p2745
    +tp2746
    +a(g189
    +V\u000a    
    +p2747
    +tp2748
    +a(g73
    +V$tmp_file_data
    +p2749
    +tp2750
    +a(g189
    +V 
    +tp2751
    +a(g344
    +V=
    +tp2752
    +a(g189
    +V 
    +tp2753
    +a(g57
    +Vimplode
    +p2754
    +tp2755
    +a(g202
    +V(
    +tp2756
    +a(g272
    +V''
    +p2757
    +tp2758
    +a(g202
    +V,
    +tp2759
    +a(g189
    +V 
    +tp2760
    +a(g73
    +V$file_data
    +p2761
    +tp2762
    +a(g202
    +V);
    +p2763
    +tp2764
    +a(g189
    +V  
    +p2765
    +tp2766
    +a(g354
    +V// File data\u000a
    +p2767
    +tp2768
    +a(g189
    +V    
    +p2769
    +tp2770
    +a(g73
    +V$tmp_cd_data
    +p2771
    +tp2772
    +a(g189
    +V   
    +p2773
    +tp2774
    +a(g344
    +V=
    +tp2775
    +a(g189
    +V 
    +tp2776
    +a(g57
    +Vimplode
    +p2777
    +tp2778
    +a(g202
    +V(
    +tp2779
    +a(g272
    +V''
    +p2780
    +tp2781
    +a(g202
    +V,
    +tp2782
    +a(g189
    +V 
    +tp2783
    +a(g73
    +V$cd_data
    +p2784
    +tp2785
    +a(g202
    +V)
    +tp2786
    +a(g344
    +V.
    +tp2787
    +a(g189
    +V    
    +p2788
    +tp2789
    +a(g354
    +V// Central directory\u000a
    +p2790
    +tp2791
    +a(g189
    +V                     
    +p2792
    +tp2793
    +a(g73
    +V$digital_signature
    +p2794
    +tp2795
    +a(g202
    +V;
    +tp2796
    +a(g189
    +V       
    +p2797
    +tp2798
    +a(g354
    +V// Digital signature\u000a
    +p2799
    +tp2800
    +a(g189
    +V\u000a    
    +p2801
    +tp2802
    +a(g354
    +V// End of central directory\u000a
    +p2803
    +tp2804
    +a(g189
    +V    
    +p2805
    +tp2806
    +a(g73
    +V$eof_cd
    +p2807
    +tp2808
    +a(g189
    +V 
    +tp2809
    +a(g344
    +V=
    +tp2810
    +a(g189
    +V 
    +tp2811
    +a(g272
    +V''
    +p2812
    +tp2813
    +a(g202
    +V;
    +tp2814
    +a(g189
    +V\u000a    
    +p2815
    +tp2816
    +a(g73
    +V$eof_cd
    +p2817
    +tp2818
    +a(g189
    +V 
    +tp2819
    +a(g344
    +V.=
    +p2820
    +tp2821
    +a(g189
    +V 
    +tp2822
    +a(g245
    +V"
    +tp2823
    +a(g252
    +V\u005cx50
    +p2824
    +tp2825
    +a(g252
    +V\u005cx4b
    +p2826
    +tp2827
    +a(g252
    +V\u005cx05
    +p2828
    +tp2829
    +a(g252
    +V\u005cx06
    +p2830
    +tp2831
    +a(g245
    +V"
    +tp2832
    +a(g202
    +V;
    +tp2833
    +a(g189
    +V                
    +p2834
    +tp2835
    +a(g354
    +V// End of central dir signature\u000a
    +p2836
    +tp2837
    +a(g189
    +V    
    +p2838
    +tp2839
    +a(g73
    +V$eof_cd
    +p2840
    +tp2841
    +a(g189
    +V 
    +tp2842
    +a(g344
    +V.=
    +p2843
    +tp2844
    +a(g189
    +V 
    +tp2845
    +a(g57
    +Vpack
    +p2846
    +tp2847
    +a(g202
    +V(
    +tp2848
    +a(g245
    +V"
    +tp2849
    +a(g245
    +Vv
    +tp2850
    +a(g245
    +V"
    +tp2851
    +a(g202
    +V,
    +tp2852
    +a(g189
    +V 
    +tp2853
    +a(g213
    +V0
    +tp2854
    +a(g202
    +V);
    +p2855
    +tp2856
    +a(g189
    +V                      
    +p2857
    +tp2858
    +a(g354
    +V// Number of this disk\u000a
    +p2859
    +tp2860
    +a(g189
    +V    
    +p2861
    +tp2862
    +a(g73
    +V$eof_cd
    +p2863
    +tp2864
    +a(g189
    +V 
    +tp2865
    +a(g344
    +V.=
    +p2866
    +tp2867
    +a(g189
    +V 
    +tp2868
    +a(g57
    +Vpack
    +p2869
    +tp2870
    +a(g202
    +V(
    +tp2871
    +a(g245
    +V"
    +tp2872
    +a(g245
    +Vv
    +tp2873
    +a(g245
    +V"
    +tp2874
    +a(g202
    +V,
    +tp2875
    +a(g189
    +V 
    +tp2876
    +a(g213
    +V0
    +tp2877
    +a(g202
    +V);
    +p2878
    +tp2879
    +a(g189
    +V                      
    +p2880
    +tp2881
    +a(g354
    +V// Number of the disk with the start of the central directory\u000a
    +p2882
    +tp2883
    +a(g189
    +V    
    +p2884
    +tp2885
    +a(g73
    +V$eof_cd
    +p2886
    +tp2887
    +a(g189
    +V 
    +tp2888
    +a(g344
    +V.=
    +p2889
    +tp2890
    +a(g189
    +V 
    +tp2891
    +a(g57
    +Vpack
    +p2892
    +tp2893
    +a(g202
    +V(
    +tp2894
    +a(g245
    +V"
    +tp2895
    +a(g245
    +Vv
    +tp2896
    +a(g245
    +V"
    +tp2897
    +a(g202
    +V,
    +tp2898
    +a(g189
    +V 
    +tp2899
    +a(g57
    +Vcount
    +p2900
    +tp2901
    +a(g202
    +V(
    +tp2902
    +a(g73
    +V$cd_data
    +p2903
    +tp2904
    +a(g202
    +V));
    +p2905
    +tp2906
    +a(g189
    +V        
    +p2907
    +tp2908
    +a(g354
    +V// Total number of entries in the central directory on this disk\u000a
    +p2909
    +tp2910
    +a(g189
    +V    
    +p2911
    +tp2912
    +a(g73
    +V$eof_cd
    +p2913
    +tp2914
    +a(g189
    +V 
    +tp2915
    +a(g344
    +V.=
    +p2916
    +tp2917
    +a(g189
    +V 
    +tp2918
    +a(g57
    +Vpack
    +p2919
    +tp2920
    +a(g202
    +V(
    +tp2921
    +a(g245
    +V"
    +tp2922
    +a(g245
    +Vv
    +tp2923
    +a(g245
    +V"
    +tp2924
    +a(g202
    +V,
    +tp2925
    +a(g189
    +V 
    +tp2926
    +a(g57
    +Vcount
    +p2927
    +tp2928
    +a(g202
    +V(
    +tp2929
    +a(g73
    +V$cd_data
    +p2930
    +tp2931
    +a(g202
    +V));
    +p2932
    +tp2933
    +a(g189
    +V        
    +p2934
    +tp2935
    +a(g354
    +V// Total number of entries in the central directory\u000a
    +p2936
    +tp2937
    +a(g189
    +V    
    +p2938
    +tp2939
    +a(g73
    +V$eof_cd
    +p2940
    +tp2941
    +a(g189
    +V 
    +tp2942
    +a(g344
    +V.=
    +p2943
    +tp2944
    +a(g189
    +V 
    +tp2945
    +a(g57
    +Vpack
    +p2946
    +tp2947
    +a(g202
    +V(
    +tp2948
    +a(g245
    +V"
    +tp2949
    +a(g245
    +VV
    +tp2950
    +a(g245
    +V"
    +tp2951
    +a(g202
    +V,
    +tp2952
    +a(g189
    +V 
    +tp2953
    +a(g57
    +Vstrlen
    +p2954
    +tp2955
    +a(g202
    +V(
    +tp2956
    +a(g73
    +V$tmp_cd_data
    +p2957
    +tp2958
    +a(g202
    +V));
    +p2959
    +tp2960
    +a(g189
    +V   
    +p2961
    +tp2962
    +a(g354
    +V// Size of the central directory\u000a
    +p2963
    +tp2964
    +a(g189
    +V    
    +p2965
    +tp2966
    +a(g73
    +V$eof_cd
    +p2967
    +tp2968
    +a(g189
    +V 
    +tp2969
    +a(g344
    +V.=
    +p2970
    +tp2971
    +a(g189
    +V 
    +tp2972
    +a(g57
    +Vpack
    +p2973
    +tp2974
    +a(g202
    +V(
    +tp2975
    +a(g245
    +V"
    +tp2976
    +a(g245
    +VV
    +tp2977
    +a(g245
    +V"
    +tp2978
    +a(g202
    +V,
    +tp2979
    +a(g189
    +V 
    +tp2980
    +a(g57
    +Vstrlen
    +p2981
    +tp2982
    +a(g202
    +V(
    +tp2983
    +a(g73
    +V$tmp_file_data
    +p2984
    +tp2985
    +a(g202
    +V));
    +p2986
    +tp2987
    +a(g189
    +V 
    +tp2988
    +a(g354
    +V// Offset of start of central directory with respect to the starting disk number\u000a
    +p2989
    +tp2990
    +a(g189
    +V    
    +p2991
    +tp2992
    +a(g73
    +V$eof_cd
    +p2993
    +tp2994
    +a(g189
    +V 
    +tp2995
    +a(g344
    +V.=
    +p2996
    +tp2997
    +a(g189
    +V 
    +tp2998
    +a(g57
    +Vpack
    +p2999
    +tp3000
    +a(g202
    +V(
    +tp3001
    +a(g245
    +V"
    +tp3002
    +a(g245
    +Vv
    +tp3003
    +a(g245
    +V"
    +tp3004
    +a(g202
    +V,
    +tp3005
    +a(g189
    +V 
    +tp3006
    +a(g213
    +V0
    +tp3007
    +a(g202
    +V);
    +p3008
    +tp3009
    +a(g189
    +V                      
    +p3010
    +tp3011
    +a(g354
    +V// .ZIP file comment length\u000a
    +p3012
    +tp3013
    +a(g189
    +V    
    +p3014
    +tp3015
    +a(g73
    +V$eof_cd
    +p3016
    +tp3017
    +a(g189
    +V 
    +tp3018
    +a(g344
    +V.=
    +p3019
    +tp3020
    +a(g189
    +V 
    +tp3021
    +a(g272
    +V''
    +p3022
    +tp3023
    +a(g202
    +V;
    +tp3024
    +a(g189
    +V                                
    +p3025
    +tp3026
    +a(g354
    +V// .ZIP file comment (is empty)\u000a
    +p3027
    +tp3028
    +a(g189
    +V\u000a    
    +p3029
    +tp3030
    +a(g354
    +V// Content of the zip file\u000a
    +p3031
    +tp3032
    +a(g189
    +V    
    +p3033
    +tp3034
    +a(g73
    +V$data
    +p3035
    +tp3036
    +a(g189
    +V 
    +tp3037
    +a(g344
    +V=
    +tp3038
    +a(g189
    +V 
    +tp3039
    +a(g73
    +V$tmp_file_data
    +p3040
    +tp3041
    +a(g344
    +V.
    +tp3042
    +a(g189
    +V\u000a            
    +p3043
    +tp3044
    +a(g354
    +V// $extra_data_record.\u000a
    +p3045
    +tp3046
    +a(g189
    +V            
    +p3047
    +tp3048
    +a(g73
    +V$tmp_cd_data
    +p3049
    +tp3050
    +a(g344
    +V.
    +tp3051
    +a(g189
    +V\u000a            
    +p3052
    +tp3053
    +a(g73
    +V$eof_cd
    +p3054
    +tp3055
    +a(g202
    +V;
    +tp3056
    +a(g189
    +V\u000a\u000a    
    +p3057
    +tp3058
    +a(g354
    +V// Return content?\u000a
    +p3059
    +tp3060
    +a(g189
    +V    
    +p3061
    +tp3062
    +a(g111
    +Vif
    +p3063
    +tp3064
    +a(g202
    +V(
    +tp3065
    +a(g344
    +V!
    +tp3066
    +a(g73
    +V$filename
    +p3067
    +tp3068
    +a(g202
    +V)
    +tp3069
    +a(g189
    +V\u000a      
    +p3070
    +tp3071
    +a(g111
    +Vreturn
    +p3072
    +tp3073
    +a(g189
    +V 
    +tp3074
    +a(g73
    +V$data
    +p3075
    +tp3076
    +a(g202
    +V;
    +tp3077
    +a(g189
    +V\u000a      \u000a    
    +p3078
    +tp3079
    +a(g354
    +V// Write to file\u000a
    +p3080
    +tp3081
    +a(g189
    +V    
    +p3082
    +tp3083
    +a(g111
    +Vreturn
    +p3084
    +tp3085
    +a(g189
    +V 
    +tp3086
    +a(g57
    +Vfile_put_contents
    +p3087
    +tp3088
    +a(g202
    +V(
    +tp3089
    +a(g73
    +V$filename
    +p3090
    +tp3091
    +a(g202
    +V,
    +tp3092
    +a(g189
    +V 
    +tp3093
    +a(g73
    +V$data
    +p3094
    +tp3095
    +a(g202
    +V);
    +p3096
    +tp3097
    +a(g189
    +V\u000a  
    +p3098
    +tp3099
    +a(g202
    +V}
    +tp3100
    +a(g189
    +V\u000a  \u000a 
    +p3101
    +tp3102
    +a(g279
    +V/**\u000a  *  Load a zip file\u000a  *\u000a  *  This function loads the files and dirs from a zip file from the harddrive.\u000a  *\u000a  *  @access                public\u000a  *\u000a  *  @param  string $file   The path to the zip file\u000a  *  @param  bool   $reset  Reset the files and dirs before adding the zip file's content?\u000a  *\u000a  *  @return bool           Returns true if the file was loaded sucessfully\u000a  */
    +p3103
    +tp3104
    +a(g189
    +V\u000a  
    +p3105
    +tp3106
    +a(g111
    +Vfunction
    +p3107
    +tp3108
    +a(g189
    +V 
    +tp3109
    +a(g21
    +Vload_file
    +p3110
    +tp3111
    +a(g202
    +V(
    +tp3112
    +a(g73
    +V$file
    +p3113
    +tp3114
    +a(g202
    +V,
    +tp3115
    +a(g189
    +V 
    +tp3116
    +a(g73
    +V$reset
    +p3117
    +tp3118
    +a(g189
    +V 
    +tp3119
    +a(g344
    +V=
    +tp3120
    +a(g189
    +V 
    +tp3121
    +a(g111
    +Vtrue
    +p3122
    +tp3123
    +a(g202
    +V)
    +tp3124
    +a(g189
    +V 
    +tp3125
    +a(g202
    +V{
    +tp3126
    +a(g189
    +V\u000a    
    +p3127
    +tp3128
    +a(g354
    +V// Check whether the file exists\u000a
    +p3129
    +tp3130
    +a(g189
    +V    
    +p3131
    +tp3132
    +a(g111
    +Vif
    +p3133
    +tp3134
    +a(g202
    +V(
    +tp3135
    +a(g344
    +V!
    +tp3136
    +a(g57
    +Vfile_exists
    +p3137
    +tp3138
    +a(g202
    +V(
    +tp3139
    +a(g73
    +V$file
    +p3140
    +tp3141
    +a(g202
    +V))
    +p3142
    +tp3143
    +a(g189
    +V\u000a      
    +p3144
    +tp3145
    +a(g111
    +Vreturn
    +p3146
    +tp3147
    +a(g189
    +V 
    +tp3148
    +a(g111
    +Vfalse
    +p3149
    +tp3150
    +a(g202
    +V;
    +tp3151
    +a(g189
    +V\u000a\u000a    
    +p3152
    +tp3153
    +a(g354
    +V// Load the files content\u000a
    +p3154
    +tp3155
    +a(g189
    +V    
    +p3156
    +tp3157
    +a(g73
    +V$content
    +p3158
    +tp3159
    +a(g189
    +V 
    +tp3160
    +a(g344
    +V=
    +tp3161
    +a(g189
    +V 
    +tp3162
    +a(g344
    +V@
    +tp3163
    +a(g57
    +Vfile_get_contents
    +p3164
    +tp3165
    +a(g202
    +V(
    +tp3166
    +a(g73
    +V$file
    +p3167
    +tp3168
    +a(g202
    +V);
    +p3169
    +tp3170
    +a(g189
    +V\u000a\u000a    
    +p3171
    +tp3172
    +a(g354
    +V// Return false if the file cannot be opened\u000a
    +p3173
    +tp3174
    +a(g189
    +V    
    +p3175
    +tp3176
    +a(g111
    +Vif
    +p3177
    +tp3178
    +a(g202
    +V(
    +tp3179
    +a(g344
    +V!
    +tp3180
    +a(g73
    +V$content
    +p3181
    +tp3182
    +a(g202
    +V)
    +tp3183
    +a(g189
    +V\u000a      
    +p3184
    +tp3185
    +a(g111
    +Vreturn
    +p3186
    +tp3187
    +a(g189
    +V 
    +tp3188
    +a(g111
    +Vfalse
    +p3189
    +tp3190
    +a(g202
    +V;
    +tp3191
    +a(g189
    +V\u000a\u000a    
    +p3192
    +tp3193
    +a(g354
    +V// Read the zip\u000a
    +p3194
    +tp3195
    +a(g189
    +V    
    +p3196
    +tp3197
    +a(g111
    +Vreturn
    +p3198
    +tp3199
    +a(g189
    +V 
    +tp3200
    +a(g73
    +V$this
    +p3201
    +tp3202
    +a(g344
    +V->
    +p3203
    +tp3204
    +a(g41
    +Vload_string
    +p3205
    +tp3206
    +a(g202
    +V(
    +tp3207
    +a(g73
    +V$content
    +p3208
    +tp3209
    +a(g202
    +V,
    +tp3210
    +a(g189
    +V 
    +tp3211
    +a(g73
    +V$reset
    +p3212
    +tp3213
    +a(g202
    +V);
    +p3214
    +tp3215
    +a(g189
    +V\u000a  
    +p3216
    +tp3217
    +a(g202
    +V}
    +tp3218
    +a(g189
    +V\u000a  \u000a 
    +p3219
    +tp3220
    +a(g279
    +V/**\u000a  *  Load a zip string\u000a  *\u000a  *  This function loads the files and dirs from a string\u000a  *\u000a  *  @access                 public\u000a  *\u000a  *  @param  string $string  The string the zip is generated from\u000a  *  @param  bool   $reset   Reset the files and dirs before adding the zip file's content?\u000a  *\u000a  *  @return bool            Returns true if the string was loaded sucessfully\u000a  */
    +p3221
    +tp3222
    +a(g189
    +V\u000a  
    +p3223
    +tp3224
    +a(g111
    +Vfunction
    +p3225
    +tp3226
    +a(g189
    +V 
    +tp3227
    +a(g21
    +Vload_string
    +p3228
    +tp3229
    +a(g202
    +V(
    +tp3230
    +a(g73
    +V$string
    +p3231
    +tp3232
    +a(g202
    +V,
    +tp3233
    +a(g189
    +V 
    +tp3234
    +a(g73
    +V$reset
    +p3235
    +tp3236
    +a(g189
    +V 
    +tp3237
    +a(g344
    +V=
    +tp3238
    +a(g189
    +V 
    +tp3239
    +a(g111
    +Vtrue
    +p3240
    +tp3241
    +a(g202
    +V)
    +tp3242
    +a(g189
    +V 
    +tp3243
    +a(g202
    +V{
    +tp3244
    +a(g189
    +V\u000a    
    +p3245
    +tp3246
    +a(g354
    +V// Reset the zip?\u000a
    +p3247
    +tp3248
    +a(g189
    +V    
    +p3249
    +tp3250
    +a(g111
    +Vif
    +p3251
    +tp3252
    +a(g202
    +V(
    +tp3253
    +a(g73
    +V$reset
    +p3254
    +tp3255
    +a(g202
    +V)
    +tp3256
    +a(g189
    +V 
    +tp3257
    +a(g202
    +V{
    +tp3258
    +a(g189
    +V\u000a      
    +p3259
    +tp3260
    +a(g73
    +V$this
    +p3261
    +tp3262
    +a(g344
    +V->
    +p3263
    +tp3264
    +a(g41
    +Vdirs
    +p3265
    +tp3266
    +a(g189
    +V  
    +p3267
    +tp3268
    +a(g344
    +V=
    +tp3269
    +a(g189
    +V 
    +tp3270
    +a(g111
    +Varray
    +p3271
    +tp3272
    +a(g202
    +V();
    +p3273
    +tp3274
    +a(g189
    +V\u000a      
    +p3275
    +tp3276
    +a(g73
    +V$this
    +p3277
    +tp3278
    +a(g344
    +V->
    +p3279
    +tp3280
    +a(g41
    +Vfiles
    +p3281
    +tp3282
    +a(g189
    +V 
    +tp3283
    +a(g344
    +V=
    +tp3284
    +a(g189
    +V 
    +tp3285
    +a(g111
    +Varray
    +p3286
    +tp3287
    +a(g202
    +V();
    +p3288
    +tp3289
    +a(g189
    +V\u000a    
    +p3290
    +tp3291
    +a(g202
    +V}
    +tp3292
    +a(g189
    +V\u000a\u000a    
    +p3293
    +tp3294
    +a(g354
    +V// Get the starting position of the end of central directory record\u000a
    +p3295
    +tp3296
    +a(g189
    +V    
    +p3297
    +tp3298
    +a(g73
    +V$start
    +p3299
    +tp3300
    +a(g189
    +V 
    +tp3301
    +a(g344
    +V=
    +tp3302
    +a(g189
    +V 
    +tp3303
    +a(g57
    +Vstrpos
    +p3304
    +tp3305
    +a(g202
    +V(
    +tp3306
    +a(g73
    +V$string
    +p3307
    +tp3308
    +a(g202
    +V,
    +tp3309
    +a(g189
    +V 
    +tp3310
    +a(g245
    +V"
    +tp3311
    +a(g252
    +V\u005cx50
    +p3312
    +tp3313
    +a(g252
    +V\u005cx4b
    +p3314
    +tp3315
    +a(g252
    +V\u005cx05
    +p3316
    +tp3317
    +a(g252
    +V\u005cx06
    +p3318
    +tp3319
    +a(g245
    +V"
    +tp3320
    +a(g202
    +V);
    +p3321
    +tp3322
    +a(g189
    +V\u000a\u000a    
    +p3323
    +tp3324
    +a(g354
    +V// Error\u000a
    +p3325
    +tp3326
    +a(g189
    +V    
    +p3327
    +tp3328
    +a(g111
    +Vif
    +p3329
    +tp3330
    +a(g202
    +V(
    +tp3331
    +a(g73
    +V$start
    +p3332
    +tp3333
    +a(g189
    +V 
    +tp3334
    +a(g344
    +V===
    +p3335
    +tp3336
    +a(g189
    +V 
    +tp3337
    +a(g111
    +Vfalse
    +p3338
    +tp3339
    +a(g202
    +V)
    +tp3340
    +a(g189
    +V\u000a      
    +p3341
    +tp3342
    +a(g111
    +Vdie
    +p3343
    +tp3344
    +a(g202
    +V(
    +tp3345
    +a(g272
    +V'Could not find the end of central directory record'
    +p3346
    +tp3347
    +a(g202
    +V);
    +p3348
    +tp3349
    +a(g189
    +V\u000a\u000a    
    +p3350
    +tp3351
    +a(g354
    +V// Get the ecdr\u000a
    +p3352
    +tp3353
    +a(g189
    +V    
    +p3354
    +tp3355
    +a(g73
    +V$eof_cd
    +p3356
    +tp3357
    +a(g189
    +V 
    +tp3358
    +a(g344
    +V=
    +tp3359
    +a(g189
    +V 
    +tp3360
    +a(g57
    +Vsubstr
    +p3361
    +tp3362
    +a(g202
    +V(
    +tp3363
    +a(g73
    +V$string
    +p3364
    +tp3365
    +a(g202
    +V,
    +tp3366
    +a(g189
    +V 
    +tp3367
    +a(g73
    +V$start
    +p3368
    +tp3369
    +a(g344
    +V+
    +tp3370
    +a(g213
    +V4
    +tp3371
    +a(g202
    +V,
    +tp3372
    +a(g189
    +V 
    +tp3373
    +a(g213
    +V1
    +tp3374
    +a(g213
    +V8
    +tp3375
    +a(g202
    +V);
    +p3376
    +tp3377
    +a(g189
    +V\u000a\u000a    
    +p3378
    +tp3379
    +a(g354
    +V// Unpack the ecdr infos\u000a
    +p3380
    +tp3381
    +a(g189
    +V    
    +p3382
    +tp3383
    +a(g73
    +V$eof_cd
    +p3384
    +tp3385
    +a(g189
    +V 
    +tp3386
    +a(g344
    +V=
    +tp3387
    +a(g189
    +V 
    +tp3388
    +a(g57
    +Vunpack
    +p3389
    +tp3390
    +a(g202
    +V(
    +tp3391
    +a(g272
    +V'vdisc1/'
    +p3392
    +tp3393
    +a(g344
    +V.
    +tp3394
    +a(g189
    +V\u000a                     
    +p3395
    +tp3396
    +a(g272
    +V'vdisc2/'
    +p3397
    +tp3398
    +a(g344
    +V.
    +tp3399
    +a(g189
    +V\u000a                     
    +p3400
    +tp3401
    +a(g272
    +V'ventries1/'
    +p3402
    +tp3403
    +a(g344
    +V.
    +tp3404
    +a(g189
    +V\u000a                     
    +p3405
    +tp3406
    +a(g272
    +V'ventries2/'
    +p3407
    +tp3408
    +a(g344
    +V.
    +tp3409
    +a(g189
    +V\u000a                     
    +p3410
    +tp3411
    +a(g272
    +V'Vsize/'
    +p3412
    +tp3413
    +a(g344
    +V.
    +tp3414
    +a(g189
    +V\u000a                     
    +p3415
    +tp3416
    +a(g272
    +V'Voffset/'
    +p3417
    +tp3418
    +a(g344
    +V.
    +tp3419
    +a(g189
    +V\u000a                     
    +p3420
    +tp3421
    +a(g272
    +V'vcomment_lenght'
    +p3422
    +tp3423
    +a(g202
    +V,
    +tp3424
    +a(g189
    +V 
    +tp3425
    +a(g73
    +V$eof_cd
    +p3426
    +tp3427
    +a(g202
    +V);
    +p3428
    +tp3429
    +a(g189
    +V\u000a\u000a    
    +p3430
    +tp3431
    +a(g354
    +V// Do not allow multi disc zips\u000a
    +p3432
    +tp3433
    +a(g189
    +V    
    +p3434
    +tp3435
    +a(g111
    +Vif
    +p3436
    +tp3437
    +a(g202
    +V(
    +tp3438
    +a(g73
    +V$eof_cd
    +p3439
    +tp3440
    +a(g202
    +V[
    +tp3441
    +a(g272
    +V'disc1'
    +p3442
    +tp3443
    +a(g202
    +V]
    +tp3444
    +a(g189
    +V 
    +tp3445
    +a(g344
    +V!=
    +p3446
    +tp3447
    +a(g189
    +V 
    +tp3448
    +a(g213
    +V0
    +tp3449
    +a(g202
    +V)
    +tp3450
    +a(g189
    +V\u000a      
    +p3451
    +tp3452
    +a(g111
    +Vdie
    +p3453
    +tp3454
    +a(g202
    +V(
    +tp3455
    +a(g272
    +V'multi disk stuff is not yet implemented :/'
    +p3456
    +tp3457
    +a(g202
    +V);
    +p3458
    +tp3459
    +a(g189
    +V\u000a\u000a    
    +p3460
    +tp3461
    +a(g354
    +V// Save the interesting values\u000a
    +p3462
    +tp3463
    +a(g189
    +V    
    +p3464
    +tp3465
    +a(g73
    +V$cd_entries
    +p3466
    +tp3467
    +a(g189
    +V 
    +tp3468
    +a(g344
    +V=
    +tp3469
    +a(g189
    +V 
    +tp3470
    +a(g73
    +V$eof_cd
    +p3471
    +tp3472
    +a(g202
    +V[
    +tp3473
    +a(g272
    +V'entries1'
    +p3474
    +tp3475
    +a(g202
    +V];
    +p3476
    +tp3477
    +a(g189
    +V\u000a    
    +p3478
    +tp3479
    +a(g73
    +V$cd_size
    +p3480
    +tp3481
    +a(g189
    +V    
    +p3482
    +tp3483
    +a(g344
    +V=
    +tp3484
    +a(g189
    +V 
    +tp3485
    +a(g73
    +V$eof_cd
    +p3486
    +tp3487
    +a(g202
    +V[
    +tp3488
    +a(g272
    +V'size'
    +p3489
    +tp3490
    +a(g202
    +V];
    +p3491
    +tp3492
    +a(g189
    +V\u000a    
    +p3493
    +tp3494
    +a(g73
    +V$cd_offset
    +p3495
    +tp3496
    +a(g189
    +V  
    +p3497
    +tp3498
    +a(g344
    +V=
    +tp3499
    +a(g189
    +V 
    +tp3500
    +a(g73
    +V$eof_cd
    +p3501
    +tp3502
    +a(g202
    +V[
    +tp3503
    +a(g272
    +V'offset'
    +p3504
    +tp3505
    +a(g202
    +V];
    +p3506
    +tp3507
    +a(g189
    +V\u000a\u000a    
    +p3508
    +tp3509
    +a(g354
    +V// Get the central directory record\u000a
    +p3510
    +tp3511
    +a(g189
    +V    
    +p3512
    +tp3513
    +a(g73
    +V$cdr
    +p3514
    +tp3515
    +a(g189
    +V 
    +tp3516
    +a(g344
    +V=
    +tp3517
    +a(g189
    +V 
    +tp3518
    +a(g57
    +Vsubstr
    +p3519
    +tp3520
    +a(g202
    +V(
    +tp3521
    +a(g73
    +V$string
    +p3522
    +tp3523
    +a(g202
    +V,
    +tp3524
    +a(g189
    +V 
    +tp3525
    +a(g73
    +V$cd_offset
    +p3526
    +tp3527
    +a(g202
    +V,
    +tp3528
    +a(g189
    +V 
    +tp3529
    +a(g73
    +V$cd_size
    +p3530
    +tp3531
    +a(g202
    +V);
    +p3532
    +tp3533
    +a(g189
    +V\u000a\u000a    
    +p3534
    +tp3535
    +a(g354
    +V// Reset the position and the list of the entries\u000a
    +p3536
    +tp3537
    +a(g189
    +V    
    +p3538
    +tp3539
    +a(g73
    +V$pos
    +p3540
    +tp3541
    +a(g189
    +V     
    +p3542
    +tp3543
    +a(g344
    +V=
    +tp3544
    +a(g189
    +V 
    +tp3545
    +a(g213
    +V0
    +tp3546
    +a(g202
    +V;
    +tp3547
    +a(g189
    +V\u000a    
    +p3548
    +tp3549
    +a(g73
    +V$entries
    +p3550
    +tp3551
    +a(g189
    +V 
    +tp3552
    +a(g344
    +V=
    +tp3553
    +a(g189
    +V 
    +tp3554
    +a(g111
    +Varray
    +p3555
    +tp3556
    +a(g202
    +V();
    +p3557
    +tp3558
    +a(g189
    +V\u000a\u000a    
    +p3559
    +tp3560
    +a(g354
    +V// Handle cdr\u000a
    +p3561
    +tp3562
    +a(g189
    +V    
    +p3563
    +tp3564
    +a(g111
    +Vwhile
    +p3565
    +tp3566
    +a(g202
    +V(
    +tp3567
    +a(g73
    +V$pos
    +p3568
    +tp3569
    +a(g189
    +V 
    +tp3570
    +a(g344
    +V<
    +tp3571
    +a(g189
    +V 
    +tp3572
    +a(g57
    +Vstrlen
    +p3573
    +tp3574
    +a(g202
    +V(
    +tp3575
    +a(g73
    +V$cdr
    +p3576
    +tp3577
    +a(g202
    +V))
    +p3578
    +tp3579
    +a(g189
    +V 
    +tp3580
    +a(g202
    +V{
    +tp3581
    +a(g189
    +V\u000a      
    +p3582
    +tp3583
    +a(g354
    +V// Check header signature\u000a
    +p3584
    +tp3585
    +a(g189
    +V      
    +p3586
    +tp3587
    +a(g354
    +V// Digital signature\u000a
    +p3588
    +tp3589
    +a(g189
    +V      
    +p3590
    +tp3591
    +a(g111
    +Vif
    +p3592
    +tp3593
    +a(g202
    +V(
    +tp3594
    +a(g57
    +Vsubstr
    +p3595
    +tp3596
    +a(g202
    +V(
    +tp3597
    +a(g73
    +V$cdr
    +p3598
    +tp3599
    +a(g202
    +V,
    +tp3600
    +a(g189
    +V 
    +tp3601
    +a(g73
    +V$pos
    +p3602
    +tp3603
    +a(g202
    +V,
    +tp3604
    +a(g189
    +V 
    +tp3605
    +a(g213
    +V4
    +tp3606
    +a(g202
    +V)
    +tp3607
    +a(g189
    +V 
    +tp3608
    +a(g344
    +V==
    +p3609
    +tp3610
    +a(g189
    +V 
    +tp3611
    +a(g245
    +V"
    +tp3612
    +a(g252
    +V\u005cx50
    +p3613
    +tp3614
    +a(g252
    +V\u005cx4b
    +p3615
    +tp3616
    +a(g252
    +V\u005cx05
    +p3617
    +tp3618
    +a(g252
    +V\u005cx05
    +p3619
    +tp3620
    +a(g245
    +V"
    +tp3621
    +a(g202
    +V)
    +tp3622
    +a(g189
    +V 
    +tp3623
    +a(g202
    +V{
    +tp3624
    +a(g189
    +V\u000a        
    +p3625
    +tp3626
    +a(g354
    +V// Get digital signature size\u000a
    +p3627
    +tp3628
    +a(g189
    +V        
    +p3629
    +tp3630
    +a(g73
    +V$tmp_info
    +p3631
    +tp3632
    +a(g189
    +V 
    +tp3633
    +a(g344
    +V=
    +tp3634
    +a(g189
    +V 
    +tp3635
    +a(g57
    +Vunpack
    +p3636
    +tp3637
    +a(g202
    +V(
    +tp3638
    +a(g272
    +V'vsize'
    +p3639
    +tp3640
    +a(g202
    +V,
    +tp3641
    +a(g189
    +V 
    +tp3642
    +a(g57
    +Vsubstr
    +p3643
    +tp3644
    +a(g202
    +V(
    +tp3645
    +a(g73
    +V$cdr
    +p3646
    +tp3647
    +a(g202
    +V,
    +tp3648
    +a(g189
    +V 
    +tp3649
    +a(g73
    +V$pos
    +p3650
    +tp3651
    +a(g189
    +V 
    +tp3652
    +a(g344
    +V+
    +tp3653
    +a(g189
    +V 
    +tp3654
    +a(g213
    +V4
    +tp3655
    +a(g202
    +V,
    +tp3656
    +a(g189
    +V 
    +tp3657
    +a(g213
    +V2
    +tp3658
    +a(g202
    +V));
    +p3659
    +tp3660
    +a(g189
    +V\u000a\u000a        
    +p3661
    +tp3662
    +a(g354
    +V// Read out the digital signature\u000a
    +p3663
    +tp3664
    +a(g189
    +V        
    +p3665
    +tp3666
    +a(g73
    +V$digital_sig
    +p3667
    +tp3668
    +a(g189
    +V 
    +tp3669
    +a(g344
    +V=
    +tp3670
    +a(g189
    +V 
    +tp3671
    +a(g57
    +Vsubstr
    +p3672
    +tp3673
    +a(g202
    +V(
    +tp3674
    +a(g73
    +V$header
    +p3675
    +tp3676
    +a(g202
    +V,
    +tp3677
    +a(g189
    +V 
    +tp3678
    +a(g73
    +V$pos
    +p3679
    +tp3680
    +a(g189
    +V 
    +tp3681
    +a(g344
    +V+
    +tp3682
    +a(g189
    +V 
    +tp3683
    +a(g213
    +V6
    +tp3684
    +a(g202
    +V,
    +tp3685
    +a(g189
    +V 
    +tp3686
    +a(g73
    +V$tmp_info
    +p3687
    +tp3688
    +a(g202
    +V[
    +tp3689
    +a(g272
    +V'size'
    +p3690
    +tp3691
    +a(g202
    +V]);
    +p3692
    +tp3693
    +a(g189
    +V\u000a\u000a        
    +p3694
    +tp3695
    +a(g111
    +Vbreak
    +p3696
    +tp3697
    +a(g202
    +V;
    +tp3698
    +a(g189
    +V\u000a      
    +p3699
    +tp3700
    +a(g202
    +V}
    +tp3701
    +a(g189
    +V\u000a\u000a      
    +p3702
    +tp3703
    +a(g354
    +V// Get file header\u000a
    +p3704
    +tp3705
    +a(g189
    +V      
    +p3706
    +tp3707
    +a(g73
    +V$header
    +p3708
    +tp3709
    +a(g189
    +V 
    +tp3710
    +a(g344
    +V=
    +tp3711
    +a(g189
    +V 
    +tp3712
    +a(g57
    +Vsubstr
    +p3713
    +tp3714
    +a(g202
    +V(
    +tp3715
    +a(g73
    +V$cdr
    +p3716
    +tp3717
    +a(g202
    +V,
    +tp3718
    +a(g189
    +V 
    +tp3719
    +a(g73
    +V$pos
    +p3720
    +tp3721
    +a(g202
    +V,
    +tp3722
    +a(g189
    +V 
    +tp3723
    +a(g213
    +V4
    +tp3724
    +a(g213
    +V6
    +tp3725
    +a(g202
    +V);
    +p3726
    +tp3727
    +a(g189
    +V\u000a\u000a      
    +p3728
    +tp3729
    +a(g354
    +V// Unpack the header information\u000a
    +p3730
    +tp3731
    +a(g189
    +V      
    +p3732
    +tp3733
    +a(g73
    +V$header_info
    +p3734
    +tp3735
    +a(g189
    +V 
    +tp3736
    +a(g344
    +V=
    +tp3737
    +a(g189
    +V 
    +tp3738
    +a(g344
    +V@
    +tp3739
    +a(g57
    +Vunpack
    +p3740
    +tp3741
    +a(g202
    +V(
    +tp3742
    +a(g272
    +V'Vheader/'
    +p3743
    +tp3744
    +a(g344
    +V.
    +tp3745
    +a(g189
    +V\u000a                             
    +p3746
    +tp3747
    +a(g272
    +V'vversion_made_by/'
    +p3748
    +tp3749
    +a(g344
    +V.
    +tp3750
    +a(g189
    +V\u000a                             
    +p3751
    +tp3752
    +a(g272
    +V'vversion_needed/'
    +p3753
    +tp3754
    +a(g344
    +V.
    +tp3755
    +a(g189
    +V\u000a                             
    +p3756
    +tp3757
    +a(g272
    +V'vgeneral_purpose/'
    +p3758
    +tp3759
    +a(g344
    +V.
    +tp3760
    +a(g189
    +V\u000a                             
    +p3761
    +tp3762
    +a(g272
    +V'vcompression_method/'
    +p3763
    +tp3764
    +a(g344
    +V.
    +tp3765
    +a(g189
    +V\u000a                             
    +p3766
    +tp3767
    +a(g272
    +V'vlast_mod_time/'
    +p3768
    +tp3769
    +a(g344
    +V.
    +tp3770
    +a(g189
    +V\u000a                             
    +p3771
    +tp3772
    +a(g272
    +V'vlast_mod_date/'
    +p3773
    +tp3774
    +a(g344
    +V.
    +tp3775
    +a(g189
    +V\u000a                             
    +p3776
    +tp3777
    +a(g272
    +V'Vcrc32/'
    +p3778
    +tp3779
    +a(g344
    +V.
    +tp3780
    +a(g189
    +V\u000a                             
    +p3781
    +tp3782
    +a(g272
    +V'Vcompressed_size/'
    +p3783
    +tp3784
    +a(g344
    +V.
    +tp3785
    +a(g189
    +V\u000a                             
    +p3786
    +tp3787
    +a(g272
    +V'Vuncompressed_size/'
    +p3788
    +tp3789
    +a(g344
    +V.
    +tp3790
    +a(g189
    +V\u000a                             
    +p3791
    +tp3792
    +a(g272
    +V'vname_length/'
    +p3793
    +tp3794
    +a(g344
    +V.
    +tp3795
    +a(g189
    +V\u000a                             
    +p3796
    +tp3797
    +a(g272
    +V'vextra_length/'
    +p3798
    +tp3799
    +a(g344
    +V.
    +tp3800
    +a(g189
    +V\u000a                             
    +p3801
    +tp3802
    +a(g272
    +V'vcomment_length/'
    +p3803
    +tp3804
    +a(g344
    +V.
    +tp3805
    +a(g189
    +V\u000a                             
    +p3806
    +tp3807
    +a(g272
    +V'vdisk_number/'
    +p3808
    +tp3809
    +a(g344
    +V.
    +tp3810
    +a(g189
    +V\u000a                             
    +p3811
    +tp3812
    +a(g272
    +V'vinternal_attributes/'
    +p3813
    +tp3814
    +a(g344
    +V.
    +tp3815
    +a(g189
    +V\u000a                             
    +p3816
    +tp3817
    +a(g272
    +V'Vexternal_attributes/'
    +p3818
    +tp3819
    +a(g344
    +V.
    +tp3820
    +a(g189
    +V\u000a                             
    +p3821
    +tp3822
    +a(g272
    +V'Voffset'
    +p3823
    +tp3824
    +a(g202
    +V,
    +tp3825
    +a(g189
    +V\u000a                             
    +p3826
    +tp3827
    +a(g73
    +V$header
    +p3828
    +tp3829
    +a(g202
    +V);
    +p3830
    +tp3831
    +a(g189
    +V\u000a\u000a      
    +p3832
    +tp3833
    +a(g354
    +V// Valid header?\u000a
    +p3834
    +tp3835
    +a(g189
    +V      
    +p3836
    +tp3837
    +a(g111
    +Vif
    +p3838
    +tp3839
    +a(g202
    +V(
    +tp3840
    +a(g73
    +V$header_info
    +p3841
    +tp3842
    +a(g202
    +V[
    +tp3843
    +a(g272
    +V'header'
    +p3844
    +tp3845
    +a(g202
    +V]
    +tp3846
    +a(g189
    +V 
    +tp3847
    +a(g344
    +V!=
    +p3848
    +tp3849
    +a(g189
    +V 
    +tp3850
    +a(g213
    +V3
    +tp3851
    +a(g213
    +V3
    +tp3852
    +a(g213
    +V6
    +tp3853
    +a(g213
    +V3
    +tp3854
    +a(g213
    +V9
    +tp3855
    +a(g213
    +V2
    +tp3856
    +a(g213
    +V4
    +tp3857
    +a(g213
    +V8
    +tp3858
    +a(g202
    +V)
    +tp3859
    +a(g189
    +V\u000a        
    +p3860
    +tp3861
    +a(g111
    +Vreturn
    +p3862
    +tp3863
    +a(g189
    +V 
    +tp3864
    +a(g111
    +Vfalse
    +p3865
    +tp3866
    +a(g202
    +V;
    +tp3867
    +a(g189
    +V\u000a\u000a      
    +p3868
    +tp3869
    +a(g354
    +V// New position\u000a
    +p3870
    +tp3871
    +a(g189
    +V      
    +p3872
    +tp3873
    +a(g73
    +V$pos
    +p3874
    +tp3875
    +a(g189
    +V 
    +tp3876
    +a(g344
    +V+=
    +p3877
    +tp3878
    +a(g189
    +V 
    +tp3879
    +a(g213
    +V4
    +tp3880
    +a(g213
    +V6
    +tp3881
    +a(g202
    +V;
    +tp3882
    +a(g189
    +V\u000a\u000a      
    +p3883
    +tp3884
    +a(g354
    +V// Read out the file name\u000a
    +p3885
    +tp3886
    +a(g189
    +V      
    +p3887
    +tp3888
    +a(g73
    +V$header_info
    +p3889
    +tp3890
    +a(g202
    +V[
    +tp3891
    +a(g272
    +V'name'
    +p3892
    +tp3893
    +a(g202
    +V]
    +tp3894
    +a(g189
    +V 
    +tp3895
    +a(g344
    +V=
    +tp3896
    +a(g189
    +V 
    +tp3897
    +a(g57
    +Vsubstr
    +p3898
    +tp3899
    +a(g202
    +V(
    +tp3900
    +a(g73
    +V$cdr
    +p3901
    +tp3902
    +a(g202
    +V,
    +tp3903
    +a(g189
    +V 
    +tp3904
    +a(g73
    +V$pos
    +p3905
    +tp3906
    +a(g202
    +V,
    +tp3907
    +a(g189
    +V 
    +tp3908
    +a(g73
    +V$header_info
    +p3909
    +tp3910
    +a(g202
    +V[
    +tp3911
    +a(g272
    +V'name_length'
    +p3912
    +tp3913
    +a(g202
    +V]);
    +p3914
    +tp3915
    +a(g189
    +V\u000a\u000a      
    +p3916
    +tp3917
    +a(g354
    +V// New position\u000a
    +p3918
    +tp3919
    +a(g189
    +V      
    +p3920
    +tp3921
    +a(g73
    +V$pos
    +p3922
    +tp3923
    +a(g189
    +V 
    +tp3924
    +a(g344
    +V+=
    +p3925
    +tp3926
    +a(g189
    +V 
    +tp3927
    +a(g73
    +V$header_info
    +p3928
    +tp3929
    +a(g202
    +V[
    +tp3930
    +a(g272
    +V'name_length'
    +p3931
    +tp3932
    +a(g202
    +V];
    +p3933
    +tp3934
    +a(g189
    +V\u000a\u000a      
    +p3935
    +tp3936
    +a(g354
    +V// Read out the extra stuff\u000a
    +p3937
    +tp3938
    +a(g189
    +V      
    +p3939
    +tp3940
    +a(g73
    +V$header_info
    +p3941
    +tp3942
    +a(g202
    +V[
    +tp3943
    +a(g272
    +V'extra'
    +p3944
    +tp3945
    +a(g202
    +V]
    +tp3946
    +a(g189
    +V 
    +tp3947
    +a(g344
    +V=
    +tp3948
    +a(g189
    +V 
    +tp3949
    +a(g57
    +Vsubstr
    +p3950
    +tp3951
    +a(g202
    +V(
    +tp3952
    +a(g73
    +V$cdr
    +p3953
    +tp3954
    +a(g202
    +V,
    +tp3955
    +a(g189
    +V 
    +tp3956
    +a(g73
    +V$pos
    +p3957
    +tp3958
    +a(g202
    +V,
    +tp3959
    +a(g189
    +V 
    +tp3960
    +a(g73
    +V$header_info
    +p3961
    +tp3962
    +a(g202
    +V[
    +tp3963
    +a(g272
    +V'extra_length'
    +p3964
    +tp3965
    +a(g202
    +V]);
    +p3966
    +tp3967
    +a(g189
    +V\u000a\u000a      
    +p3968
    +tp3969
    +a(g354
    +V// New position\u000a
    +p3970
    +tp3971
    +a(g189
    +V      
    +p3972
    +tp3973
    +a(g73
    +V$pos
    +p3974
    +tp3975
    +a(g189
    +V 
    +tp3976
    +a(g344
    +V+=
    +p3977
    +tp3978
    +a(g189
    +V 
    +tp3979
    +a(g73
    +V$header_info
    +p3980
    +tp3981
    +a(g202
    +V[
    +tp3982
    +a(g272
    +V'extra_length'
    +p3983
    +tp3984
    +a(g202
    +V];
    +p3985
    +tp3986
    +a(g189
    +V\u000a\u000a      
    +p3987
    +tp3988
    +a(g354
    +V// Read out the comment\u000a
    +p3989
    +tp3990
    +a(g189
    +V      
    +p3991
    +tp3992
    +a(g73
    +V$header_info
    +p3993
    +tp3994
    +a(g202
    +V[
    +tp3995
    +a(g272
    +V'comment'
    +p3996
    +tp3997
    +a(g202
    +V]
    +tp3998
    +a(g189
    +V 
    +tp3999
    +a(g344
    +V=
    +tp4000
    +a(g189
    +V 
    +tp4001
    +a(g57
    +Vsubstr
    +p4002
    +tp4003
    +a(g202
    +V(
    +tp4004
    +a(g73
    +V$cdr
    +p4005
    +tp4006
    +a(g202
    +V,
    +tp4007
    +a(g189
    +V 
    +tp4008
    +a(g73
    +V$pos
    +p4009
    +tp4010
    +a(g202
    +V,
    +tp4011
    +a(g189
    +V 
    +tp4012
    +a(g73
    +V$header_info
    +p4013
    +tp4014
    +a(g202
    +V[
    +tp4015
    +a(g272
    +V'comment_length'
    +p4016
    +tp4017
    +a(g202
    +V]);
    +p4018
    +tp4019
    +a(g189
    +V\u000a\u000a      
    +p4020
    +tp4021
    +a(g354
    +V// New position\u000a
    +p4022
    +tp4023
    +a(g189
    +V      
    +p4024
    +tp4025
    +a(g73
    +V$pos
    +p4026
    +tp4027
    +a(g189
    +V 
    +tp4028
    +a(g344
    +V+=
    +p4029
    +tp4030
    +a(g189
    +V 
    +tp4031
    +a(g73
    +V$header_info
    +p4032
    +tp4033
    +a(g202
    +V[
    +tp4034
    +a(g272
    +V'comment_length'
    +p4035
    +tp4036
    +a(g202
    +V];
    +p4037
    +tp4038
    +a(g189
    +V\u000a\u000a      
    +p4039
    +tp4040
    +a(g354
    +V// Append this file/dir to the entry list\u000a
    +p4041
    +tp4042
    +a(g189
    +V      
    +p4043
    +tp4044
    +a(g73
    +V$entries
    +p4045
    +tp4046
    +a(g202
    +V[]
    +p4047
    +tp4048
    +a(g189
    +V 
    +tp4049
    +a(g344
    +V=
    +tp4050
    +a(g189
    +V 
    +tp4051
    +a(g73
    +V$header_info
    +p4052
    +tp4053
    +a(g202
    +V;
    +tp4054
    +a(g189
    +V\u000a    
    +p4055
    +tp4056
    +a(g202
    +V}
    +tp4057
    +a(g189
    +V\u000a\u000a    
    +p4058
    +tp4059
    +a(g354
    +V// Check whether all entries where read sucessfully\u000a
    +p4060
    +tp4061
    +a(g189
    +V    
    +p4062
    +tp4063
    +a(g111
    +Vif
    +p4064
    +tp4065
    +a(g202
    +V(
    +tp4066
    +a(g57
    +Vcount
    +p4067
    +tp4068
    +a(g202
    +V(
    +tp4069
    +a(g73
    +V$entries
    +p4070
    +tp4071
    +a(g202
    +V)
    +tp4072
    +a(g189
    +V 
    +tp4073
    +a(g344
    +V!=
    +p4074
    +tp4075
    +a(g189
    +V 
    +tp4076
    +a(g73
    +V$cd_entries
    +p4077
    +tp4078
    +a(g202
    +V)
    +tp4079
    +a(g189
    +V\u000a      
    +p4080
    +tp4081
    +a(g111
    +Vreturn
    +p4082
    +tp4083
    +a(g189
    +V 
    +tp4084
    +a(g111
    +Vfalse
    +p4085
    +tp4086
    +a(g202
    +V;
    +tp4087
    +a(g189
    +V\u000a\u000a    
    +p4088
    +tp4089
    +a(g354
    +V// Handle files/dirs\u000a
    +p4090
    +tp4091
    +a(g189
    +V    
    +p4092
    +tp4093
    +a(g111
    +Vforeach
    +p4094
    +tp4095
    +a(g202
    +V(
    +tp4096
    +a(g73
    +V$entries
    +p4097
    +tp4098
    +a(g189
    +V 
    +tp4099
    +a(g111
    +Vas
    +p4100
    +tp4101
    +a(g189
    +V 
    +tp4102
    +a(g73
    +V$entry
    +p4103
    +tp4104
    +a(g202
    +V)
    +tp4105
    +a(g189
    +V 
    +tp4106
    +a(g202
    +V{
    +tp4107
    +a(g189
    +V\u000a      
    +p4108
    +tp4109
    +a(g354
    +V// Is a dir?\u000a
    +p4110
    +tp4111
    +a(g189
    +V      
    +p4112
    +tp4113
    +a(g111
    +Vif
    +p4114
    +tp4115
    +a(g202
    +V(
    +tp4116
    +a(g73
    +V$entry
    +p4117
    +tp4118
    +a(g202
    +V[
    +tp4119
    +a(g272
    +V'external_attributes'
    +p4120
    +tp4121
    +a(g202
    +V]
    +tp4122
    +a(g189
    +V 
    +tp4123
    +a(g344
    +V&
    +tp4124
    +a(g189
    +V 
    +tp4125
    +a(g213
    +V1
    +tp4126
    +a(g213
    +V6
    +tp4127
    +a(g202
    +V)
    +tp4128
    +a(g189
    +V 
    +tp4129
    +a(g202
    +V{
    +tp4130
    +a(g189
    +V\u000a        
    +p4131
    +tp4132
    +a(g73
    +V$this
    +p4133
    +tp4134
    +a(g344
    +V->
    +p4135
    +tp4136
    +a(g41
    +Vadd_dir
    +p4137
    +tp4138
    +a(g202
    +V(
    +tp4139
    +a(g73
    +V$entry
    +p4140
    +tp4141
    +a(g202
    +V[
    +tp4142
    +a(g272
    +V'name'
    +p4143
    +tp4144
    +a(g202
    +V]);
    +p4145
    +tp4146
    +a(g189
    +V\u000a        
    +p4147
    +tp4148
    +a(g111
    +Vcontinue
    +p4149
    +tp4150
    +a(g202
    +V;
    +tp4151
    +a(g189
    +V\u000a      
    +p4152
    +tp4153
    +a(g202
    +V}
    +tp4154
    +a(g189
    +V\u000a\u000a      
    +p4155
    +tp4156
    +a(g354
    +V// Get local file header\u000a
    +p4157
    +tp4158
    +a(g189
    +V      
    +p4159
    +tp4160
    +a(g73
    +V$header
    +p4161
    +tp4162
    +a(g189
    +V 
    +tp4163
    +a(g344
    +V=
    +tp4164
    +a(g189
    +V 
    +tp4165
    +a(g57
    +Vsubstr
    +p4166
    +tp4167
    +a(g202
    +V(
    +tp4168
    +a(g73
    +V$string
    +p4169
    +tp4170
    +a(g202
    +V,
    +tp4171
    +a(g189
    +V 
    +tp4172
    +a(g73
    +V$entry
    +p4173
    +tp4174
    +a(g202
    +V[
    +tp4175
    +a(g272
    +V'offset'
    +p4176
    +tp4177
    +a(g202
    +V],
    +p4178
    +tp4179
    +a(g189
    +V 
    +tp4180
    +a(g213
    +V3
    +tp4181
    +a(g213
    +V0
    +tp4182
    +a(g202
    +V);
    +p4183
    +tp4184
    +a(g189
    +V\u000a\u000a      
    +p4185
    +tp4186
    +a(g354
    +V// Unpack the header information\u000a
    +p4187
    +tp4188
    +a(g189
    +V      
    +p4189
    +tp4190
    +a(g73
    +V$header_info
    +p4191
    +tp4192
    +a(g189
    +V 
    +tp4193
    +a(g344
    +V=
    +tp4194
    +a(g189
    +V 
    +tp4195
    +a(g344
    +V@
    +tp4196
    +a(g57
    +Vunpack
    +p4197
    +tp4198
    +a(g202
    +V(
    +tp4199
    +a(g272
    +V'Vheader/'
    +p4200
    +tp4201
    +a(g344
    +V.
    +tp4202
    +a(g189
    +V\u000a                             
    +p4203
    +tp4204
    +a(g272
    +V'vversion_needed/'
    +p4205
    +tp4206
    +a(g344
    +V.
    +tp4207
    +a(g189
    +V\u000a                             
    +p4208
    +tp4209
    +a(g272
    +V'vgeneral_purpose/'
    +p4210
    +tp4211
    +a(g344
    +V.
    +tp4212
    +a(g189
    +V\u000a                             
    +p4213
    +tp4214
    +a(g272
    +V'vcompression_method/'
    +p4215
    +tp4216
    +a(g344
    +V.
    +tp4217
    +a(g189
    +V\u000a                             
    +p4218
    +tp4219
    +a(g272
    +V'vlast_mod_time/'
    +p4220
    +tp4221
    +a(g344
    +V.
    +tp4222
    +a(g189
    +V\u000a                             
    +p4223
    +tp4224
    +a(g272
    +V'vlast_mod_date/'
    +p4225
    +tp4226
    +a(g344
    +V.
    +tp4227
    +a(g189
    +V\u000a                             
    +p4228
    +tp4229
    +a(g272
    +V'Vcrc32/'
    +p4230
    +tp4231
    +a(g344
    +V.
    +tp4232
    +a(g189
    +V\u000a                             
    +p4233
    +tp4234
    +a(g272
    +V'Vcompressed_size/'
    +p4235
    +tp4236
    +a(g344
    +V.
    +tp4237
    +a(g189
    +V\u000a                             
    +p4238
    +tp4239
    +a(g272
    +V'Vuncompressed_size/'
    +p4240
    +tp4241
    +a(g344
    +V.
    +tp4242
    +a(g189
    +V\u000a                             
    +p4243
    +tp4244
    +a(g272
    +V'vname_length/'
    +p4245
    +tp4246
    +a(g344
    +V.
    +tp4247
    +a(g189
    +V\u000a                             
    +p4248
    +tp4249
    +a(g272
    +V'vextra_length'
    +p4250
    +tp4251
    +a(g202
    +V,
    +tp4252
    +a(g189
    +V\u000a                             
    +p4253
    +tp4254
    +a(g73
    +V$header
    +p4255
    +tp4256
    +a(g202
    +V);
    +p4257
    +tp4258
    +a(g189
    +V\u000a\u000a      
    +p4259
    +tp4260
    +a(g354
    +V// Valid header?\u000a
    +p4261
    +tp4262
    +a(g189
    +V      
    +p4263
    +tp4264
    +a(g111
    +Vif
    +p4265
    +tp4266
    +a(g202
    +V(
    +tp4267
    +a(g73
    +V$header_info
    +p4268
    +tp4269
    +a(g202
    +V[
    +tp4270
    +a(g272
    +V'header'
    +p4271
    +tp4272
    +a(g202
    +V]
    +tp4273
    +a(g189
    +V 
    +tp4274
    +a(g344
    +V!=
    +p4275
    +tp4276
    +a(g189
    +V 
    +tp4277
    +a(g213
    +V6
    +tp4278
    +a(g213
    +V7
    +tp4279
    +a(g213
    +V3
    +tp4280
    +a(g213
    +V2
    +tp4281
    +a(g213
    +V4
    +tp4282
    +a(g213
    +V7
    +tp4283
    +a(g213
    +V5
    +tp4284
    +a(g213
    +V2
    +tp4285
    +a(g202
    +V)
    +tp4286
    +a(g189
    +V\u000a        
    +p4287
    +tp4288
    +a(g111
    +Vreturn
    +p4289
    +tp4290
    +a(g189
    +V 
    +tp4291
    +a(g111
    +Vfalse
    +p4292
    +tp4293
    +a(g202
    +V;
    +tp4294
    +a(g189
    +V\u000a\u000a      
    +p4295
    +tp4296
    +a(g354
    +V// Get content start position\u000a
    +p4297
    +tp4298
    +a(g189
    +V      
    +p4299
    +tp4300
    +a(g73
    +V$start
    +p4301
    +tp4302
    +a(g189
    +V 
    +tp4303
    +a(g344
    +V=
    +tp4304
    +a(g189
    +V 
    +tp4305
    +a(g73
    +V$entry
    +p4306
    +tp4307
    +a(g202
    +V[
    +tp4308
    +a(g272
    +V'offset'
    +p4309
    +tp4310
    +a(g202
    +V]
    +tp4311
    +a(g189
    +V 
    +tp4312
    +a(g344
    +V+
    +tp4313
    +a(g189
    +V 
    +tp4314
    +a(g213
    +V3
    +tp4315
    +a(g213
    +V0
    +tp4316
    +a(g189
    +V 
    +tp4317
    +a(g344
    +V+
    +tp4318
    +a(g189
    +V 
    +tp4319
    +a(g73
    +V$header_info
    +p4320
    +tp4321
    +a(g202
    +V[
    +tp4322
    +a(g272
    +V'name_length'
    +p4323
    +tp4324
    +a(g202
    +V]
    +tp4325
    +a(g189
    +V 
    +tp4326
    +a(g344
    +V+
    +tp4327
    +a(g189
    +V 
    +tp4328
    +a(g73
    +V$header_info
    +p4329
    +tp4330
    +a(g202
    +V[
    +tp4331
    +a(g272
    +V'extra_length'
    +p4332
    +tp4333
    +a(g202
    +V];
    +p4334
    +tp4335
    +a(g189
    +V\u000a\u000a      
    +p4336
    +tp4337
    +a(g354
    +V// Get the compressed data\u000a
    +p4338
    +tp4339
    +a(g189
    +V      
    +p4340
    +tp4341
    +a(g73
    +V$data
    +p4342
    +tp4343
    +a(g189
    +V 
    +tp4344
    +a(g344
    +V=
    +tp4345
    +a(g189
    +V 
    +tp4346
    +a(g57
    +Vsubstr
    +p4347
    +tp4348
    +a(g202
    +V(
    +tp4349
    +a(g73
    +V$string
    +p4350
    +tp4351
    +a(g202
    +V,
    +tp4352
    +a(g189
    +V 
    +tp4353
    +a(g73
    +V$start
    +p4354
    +tp4355
    +a(g202
    +V,
    +tp4356
    +a(g189
    +V 
    +tp4357
    +a(g73
    +V$header_info
    +p4358
    +tp4359
    +a(g202
    +V[
    +tp4360
    +a(g272
    +V'compressed_size'
    +p4361
    +tp4362
    +a(g202
    +V]);
    +p4363
    +tp4364
    +a(g189
    +V\u000a\u000a      
    +p4365
    +tp4366
    +a(g354
    +V// Detect compression type\u000a
    +p4367
    +tp4368
    +a(g189
    +V      
    +p4369
    +tp4370
    +a(g111
    +Vswitch
    +p4371
    +tp4372
    +a(g202
    +V(
    +tp4373
    +a(g73
    +V$header_info
    +p4374
    +tp4375
    +a(g202
    +V[
    +tp4376
    +a(g272
    +V'compression_method'
    +p4377
    +tp4378
    +a(g202
    +V])
    +p4379
    +tp4380
    +a(g189
    +V 
    +tp4381
    +a(g202
    +V{
    +tp4382
    +a(g189
    +V\u000a        
    +p4383
    +tp4384
    +a(g354
    +V// No compression\u000a
    +p4385
    +tp4386
    +a(g189
    +V        
    +p4387
    +tp4388
    +a(g111
    +Vcase
    +p4389
    +tp4390
    +a(g189
    +V 
    +tp4391
    +a(g213
    +V0
    +tp4392
    +a(g344
    +V:
    +tp4393
    +a(g189
    +V\u000a          
    +p4394
    +tp4395
    +a(g354
    +V// Ne decompression needed\u000a
    +p4396
    +tp4397
    +a(g189
    +V          
    +p4398
    +tp4399
    +a(g73
    +V$content
    +p4400
    +tp4401
    +a(g189
    +V 
    +tp4402
    +a(g344
    +V=
    +tp4403
    +a(g189
    +V 
    +tp4404
    +a(g73
    +V$data
    +p4405
    +tp4406
    +a(g202
    +V;
    +tp4407
    +a(g189
    +V\u000a          
    +p4408
    +tp4409
    +a(g111
    +Vbreak
    +p4410
    +tp4411
    +a(g202
    +V;
    +tp4412
    +a(g189
    +V\u000a\u000a        
    +p4413
    +tp4414
    +a(g354
    +V// Gzip\u000a
    +p4415
    +tp4416
    +a(g189
    +V        
    +p4417
    +tp4418
    +a(g111
    +Vcase
    +p4419
    +tp4420
    +a(g189
    +V 
    +tp4421
    +a(g213
    +V8
    +tp4422
    +a(g344
    +V:
    +tp4423
    +a(g189
    +V\u000a          
    +p4424
    +tp4425
    +a(g111
    +Vif
    +p4426
    +tp4427
    +a(g202
    +V(
    +tp4428
    +a(g344
    +V!
    +tp4429
    +a(g57
    +Vfunction_exists
    +p4430
    +tp4431
    +a(g202
    +V(
    +tp4432
    +a(g272
    +V'gzinflate'
    +p4433
    +tp4434
    +a(g202
    +V))
    +p4435
    +tp4436
    +a(g189
    +V\u000a            
    +p4437
    +tp4438
    +a(g111
    +Vreturn
    +p4439
    +tp4440
    +a(g189
    +V 
    +tp4441
    +a(g111
    +Vfalse
    +p4442
    +tp4443
    +a(g202
    +V;
    +tp4444
    +a(g189
    +V\u000a\u000a          
    +p4445
    +tp4446
    +a(g354
    +V// Uncompress data\u000a
    +p4447
    +tp4448
    +a(g189
    +V          
    +p4449
    +tp4450
    +a(g73
    +V$content
    +p4451
    +tp4452
    +a(g189
    +V 
    +tp4453
    +a(g344
    +V=
    +tp4454
    +a(g189
    +V 
    +tp4455
    +a(g57
    +Vgzinflate
    +p4456
    +tp4457
    +a(g202
    +V(
    +tp4458
    +a(g73
    +V$data
    +p4459
    +tp4460
    +a(g202
    +V);
    +p4461
    +tp4462
    +a(g189
    +V\u000a          
    +p4463
    +tp4464
    +a(g111
    +Vbreak
    +p4465
    +tp4466
    +a(g202
    +V;
    +tp4467
    +a(g189
    +V\u000a\u000a        
    +p4468
    +tp4469
    +a(g354
    +V// Bzip2\u000a
    +p4470
    +tp4471
    +a(g189
    +V        
    +p4472
    +tp4473
    +a(g111
    +Vcase
    +p4474
    +tp4475
    +a(g189
    +V 
    +tp4476
    +a(g213
    +V1
    +tp4477
    +a(g213
    +V2
    +tp4478
    +a(g344
    +V:
    +tp4479
    +a(g189
    +V\u000a          
    +p4480
    +tp4481
    +a(g111
    +Vif
    +p4482
    +tp4483
    +a(g202
    +V(
    +tp4484
    +a(g344
    +V!
    +tp4485
    +a(g57
    +Vfunction_exists
    +p4486
    +tp4487
    +a(g202
    +V(
    +tp4488
    +a(g272
    +V'bzdecompress'
    +p4489
    +tp4490
    +a(g202
    +V))
    +p4491
    +tp4492
    +a(g189
    +V\u000a            
    +p4493
    +tp4494
    +a(g111
    +Vreturn
    +p4495
    +tp4496
    +a(g189
    +V 
    +tp4497
    +a(g111
    +Vfalse
    +p4498
    +tp4499
    +a(g202
    +V;
    +tp4500
    +a(g189
    +V\u000a\u000a          
    +p4501
    +tp4502
    +a(g354
    +V// Decompress data\u000a
    +p4503
    +tp4504
    +a(g189
    +V          
    +p4505
    +tp4506
    +a(g73
    +V$content
    +p4507
    +tp4508
    +a(g189
    +V 
    +tp4509
    +a(g344
    +V=
    +tp4510
    +a(g189
    +V 
    +tp4511
    +a(g57
    +Vbzdecompress
    +p4512
    +tp4513
    +a(g202
    +V(
    +tp4514
    +a(g73
    +V$data
    +p4515
    +tp4516
    +a(g202
    +V);
    +p4517
    +tp4518
    +a(g189
    +V\u000a          
    +p4519
    +tp4520
    +a(g111
    +Vbreak
    +p4521
    +tp4522
    +a(g202
    +V;
    +tp4523
    +a(g189
    +V\u000a\u000a        
    +p4524
    +tp4525
    +a(g354
    +V// Compression not supported -> error\u000a
    +p4526
    +tp4527
    +a(g189
    +V        
    +p4528
    +tp4529
    +a(g111
    +Vdefault
    +p4530
    +tp4531
    +a(g344
    +V:
    +tp4532
    +a(g189
    +V\u000a          
    +p4533
    +tp4534
    +a(g111
    +Vreturn
    +p4535
    +tp4536
    +a(g189
    +V 
    +tp4537
    +a(g111
    +Vfalse
    +p4538
    +tp4539
    +a(g202
    +V;
    +tp4540
    +a(g189
    +V\u000a      
    +p4541
    +tp4542
    +a(g202
    +V}
    +tp4543
    +a(g189
    +V\u000a\u000a      
    +p4544
    +tp4545
    +a(g354
    +V// Try to add file\u000a
    +p4546
    +tp4547
    +a(g189
    +V      
    +p4548
    +tp4549
    +a(g111
    +Vif
    +p4550
    +tp4551
    +a(g202
    +V(
    +tp4552
    +a(g344
    +V!
    +tp4553
    +a(g73
    +V$this
    +p4554
    +tp4555
    +a(g344
    +V->
    +p4556
    +tp4557
    +a(g41
    +Vadd_file
    +p4558
    +tp4559
    +a(g202
    +V(
    +tp4560
    +a(g73
    +V$entry
    +p4561
    +tp4562
    +a(g202
    +V[
    +tp4563
    +a(g272
    +V'name'
    +p4564
    +tp4565
    +a(g202
    +V],
    +p4566
    +tp4567
    +a(g189
    +V 
    +tp4568
    +a(g73
    +V$content
    +p4569
    +tp4570
    +a(g202
    +V))
    +p4571
    +tp4572
    +a(g189
    +V\u000a        
    +p4573
    +tp4574
    +a(g111
    +Vreturn
    +p4575
    +tp4576
    +a(g189
    +V 
    +tp4577
    +a(g111
    +Vfalse
    +p4578
    +tp4579
    +a(g202
    +V;
    +tp4580
    +a(g189
    +V\u000a    
    +p4581
    +tp4582
    +a(g202
    +V}
    +tp4583
    +a(g189
    +V\u000a\u000a    
    +p4584
    +tp4585
    +a(g111
    +Vreturn
    +p4586
    +tp4587
    +a(g189
    +V 
    +tp4588
    +a(g111
    +Vtrue
    +p4589
    +tp4590
    +a(g202
    +V;
    +tp4591
    +a(g189
    +V\u000a  
    +p4592
    +tp4593
    +a(g202
    +V}
    +tp4594
    +a(g189
    +V\u000a
    +tp4595
    +a(g202
    +V}
    +tp4596
    +a(g189
    +V\u000a\u000a
    +p4597
    +tp4598
    +a(g111
    +Vfunction
    +p4599
    +tp4600
    +a(g189
    +V 
    +tp4601
    +a(g344
    +V&
    +tp4602
    +a(g21
    +Vbyref
    +p4603
    +tp4604
    +a(g202
    +V()
    +p4605
    +tp4606
    +a(g189
    +V 
    +tp4607
    +a(g202
    +V{
    +tp4608
    +a(g189
    +V\u000a    
    +p4609
    +tp4610
    +a(g73
    +V$x
    +p4611
    +tp4612
    +a(g189
    +V 
    +tp4613
    +a(g344
    +V=
    +tp4614
    +a(g189
    +V 
    +tp4615
    +a(g111
    +Varray
    +p4616
    +tp4617
    +a(g202
    +V();
    +p4618
    +tp4619
    +a(g189
    +V\u000a    
    +p4620
    +tp4621
    +a(g111
    +Vreturn
    +p4622
    +tp4623
    +a(g189
    +V 
    +tp4624
    +a(g73
    +V$x
    +p4625
    +tp4626
    +a(g202
    +V;
    +tp4627
    +a(g189
    +V\u000a
    +tp4628
    +a(g202
    +V}
    +tp4629
    +a(g189
    +V\u000a
    +tp4630
    +a(g7
    +V?>
    +p4631
    +tp4632
    +a(g337
    +V\u000a
    +tp4633
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.plot b/tests/examplefiles/output/test.plot
    new file mode 100644
    index 0000000..94ec378
    --- /dev/null
    +++ b/tests/examplefiles/output/test.plot
    @@ -0,0 +1,18708 @@
    +(lp1
    +(ccopy_reg
    +_reconstructor
    +p2
    +(cpygments.token
    +_TokenType
    +p3
    +c__builtin__
    +tuple
    +p4
    +(S'Comment'
    +p5
    +ttRp6
    +(dp7
    +S'parent'
    +p8
    +g2
    +(g3
    +g4
    +(ttRp9
    +(dp10
    +g5
    +g6
    +sS'Name'
    +p11
    +g2
    +(g3
    +g4
    +(g11
    +ttRp12
    +(dp13
    +S'Function'
    +p14
    +g2
    +(g3
    +g4
    +(g11
    +g14
    +ttRp15
    +(dp16
    +S'subtypes'
    +p17
    +c__builtin__
    +set
    +p18
    +((ltRp19
    +sg8
    +g12
    +sbsS'Exception'
    +p20
    +g2
    +(g3
    +g4
    +(g11
    +g20
    +ttRp21
    +(dp22
    +g17
    +g18
    +((ltRp23
    +sg8
    +g12
    +sbsS'Tag'
    +p24
    +g2
    +(g3
    +g4
    +(g11
    +g24
    +ttRp25
    +(dp26
    +g17
    +g18
    +((ltRp27
    +sg8
    +g12
    +sbsS'Constant'
    +p28
    +g2
    +(g3
    +g4
    +(g11
    +g28
    +ttRp29
    +(dp30
    +g17
    +g18
    +((ltRp31
    +sg8
    +g12
    +sbsg8
    +g9
    +sS'Pseudo'
    +p32
    +g2
    +(g3
    +g4
    +(g11
    +g32
    +ttRp33
    +(dp34
    +g17
    +g18
    +((ltRp35
    +sg8
    +g12
    +sbsS'Attribute'
    +p36
    +g2
    +(g3
    +g4
    +(g11
    +g36
    +ttRp37
    +(dp38
    +g17
    +g18
    +((ltRp39
    +sg8
    +g12
    +sbsS'Label'
    +p40
    +g2
    +(g3
    +g4
    +(g11
    +g40
    +ttRp41
    +(dp42
    +g17
    +g18
    +((ltRp43
    +sg8
    +g12
    +sbsS'Blubb'
    +p44
    +g2
    +(g3
    +g4
    +(g11
    +g44
    +ttRp45
    +(dp46
    +g17
    +g18
    +((ltRp47
    +sg8
    +g12
    +sbsS'Entity'
    +p48
    +g2
    +(g3
    +g4
    +(g11
    +g48
    +ttRp49
    +(dp50
    +g17
    +g18
    +((ltRp51
    +sg8
    +g12
    +sbsS'Builtin'
    +p52
    +g2
    +(g3
    +g4
    +(g11
    +g52
    +ttRp53
    +(dp54
    +g17
    +g18
    +((lp55
    +g2
    +(g3
    +g4
    +(g11
    +g52
    +g32
    +ttRp56
    +(dp57
    +g17
    +g18
    +((ltRp58
    +sg8
    +g53
    +sbatRp59
    +sg32
    +g56
    +sg8
    +g12
    +sbsS'Other'
    +p60
    +g2
    +(g3
    +g4
    +(g11
    +g60
    +ttRp61
    +(dp62
    +g17
    +g18
    +((ltRp63
    +sg8
    +g12
    +sbsS'Identifier'
    +p64
    +g2
    +(g3
    +g4
    +(g11
    +g64
    +ttRp65
    +(dp66
    +g17
    +g18
    +((ltRp67
    +sg8
    +g12
    +sbsS'Variable'
    +p68
    +g2
    +(g3
    +g4
    +(g11
    +g68
    +ttRp69
    +(dp70
    +g8
    +g12
    +sS'Global'
    +p71
    +g2
    +(g3
    +g4
    +(g11
    +g68
    +g71
    +ttRp72
    +(dp73
    +g17
    +g18
    +((ltRp74
    +sg8
    +g69
    +sbsS'Instance'
    +p75
    +g2
    +(g3
    +g4
    +(g11
    +g68
    +g75
    +ttRp76
    +(dp77
    +g17
    +g18
    +((ltRp78
    +sg8
    +g69
    +sbsS'Anonymous'
    +p79
    +g2
    +(g3
    +g4
    +(g11
    +g68
    +g79
    +ttRp80
    +(dp81
    +g17
    +g18
    +((ltRp82
    +sg8
    +g69
    +sbsg17
    +g18
    +((lp83
    +g80
    +ag76
    +ag72
    +ag2
    +(g3
    +g4
    +(g11
    +g68
    +S'Class'
    +p84
    +ttRp85
    +(dp86
    +g17
    +g18
    +((ltRp87
    +sg8
    +g69
    +sbatRp88
    +sg84
    +g85
    +sbsg17
    +g18
    +((lp89
    +g2
    +(g3
    +g4
    +(g11
    +S'Decorator'
    +p90
    +ttRp91
    +(dp92
    +g17
    +g18
    +((ltRp93
    +sg8
    +g12
    +sbag37
    +ag29
    +ag33
    +ag2
    +(g3
    +g4
    +(g11
    +S'Namespace'
    +p94
    +ttRp95
    +(dp96
    +g17
    +g18
    +((ltRp97
    +sg8
    +g12
    +sbag65
    +ag53
    +ag69
    +ag61
    +ag45
    +ag49
    +ag15
    +ag2
    +(g3
    +g4
    +(g11
    +S'Property'
    +p98
    +ttRp99
    +(dp100
    +g17
    +g18
    +((ltRp101
    +sg8
    +g12
    +sbag41
    +ag25
    +ag21
    +ag2
    +(g3
    +g4
    +(g11
    +g84
    +ttRp102
    +(dp103
    +g17
    +g18
    +((ltRp104
    +sg8
    +g12
    +sbatRp105
    +sg98
    +g99
    +sg84
    +g102
    +sg90
    +g91
    +sg94
    +g95
    +sbsS'Keyword'
    +p106
    +g2
    +(g3
    +g4
    +(g106
    +ttRp107
    +(dp108
    +S'Pervasive'
    +p109
    +g2
    +(g3
    +g4
    +(g106
    +g109
    +ttRp110
    +(dp111
    +g17
    +g18
    +((ltRp112
    +sg8
    +g107
    +sbsg28
    +g2
    +(g3
    +g4
    +(g106
    +g28
    +ttRp113
    +(dp114
    +g17
    +g18
    +((ltRp115
    +sg8
    +g107
    +sbsg8
    +g9
    +sg94
    +g2
    +(g3
    +g4
    +(g106
    +g94
    +ttRp116
    +(dp117
    +g17
    +g18
    +((ltRp118
    +sg8
    +g107
    +sbsg32
    +g2
    +(g3
    +g4
    +(g106
    +g32
    +ttRp119
    +(dp120
    +g17
    +g18
    +((ltRp121
    +sg8
    +g107
    +sbsS'Reserved'
    +p122
    +g2
    +(g3
    +g4
    +(g106
    +g122
    +ttRp123
    +(dp124
    +g17
    +g18
    +((ltRp125
    +sg8
    +g107
    +sbsS'Declaration'
    +p126
    +g2
    +(g3
    +g4
    +(g106
    +g126
    +ttRp127
    +(dp128
    +g17
    +g18
    +((ltRp129
    +sg8
    +g107
    +sbsg68
    +g2
    +(g3
    +g4
    +(g106
    +g68
    +ttRp130
    +(dp131
    +g17
    +g18
    +((ltRp132
    +sg8
    +g107
    +sbsg17
    +g18
    +((lp133
    +g113
    +ag123
    +ag2
    +(g3
    +g4
    +(g106
    +S'Type'
    +p134
    +ttRp135
    +(dp136
    +g17
    +g18
    +((ltRp137
    +sg8
    +g107
    +sbag110
    +ag127
    +ag130
    +ag116
    +ag119
    +atRp138
    +sg134
    +g135
    +sbsS'Generic'
    +p139
    +g2
    +(g3
    +g4
    +(g139
    +ttRp140
    +(dp141
    +S'Prompt'
    +p142
    +g2
    +(g3
    +g4
    +(g139
    +g142
    +ttRp143
    +(dp144
    +g17
    +g18
    +((ltRp145
    +sg8
    +g140
    +sbsg8
    +g9
    +sS'Deleted'
    +p146
    +g2
    +(g3
    +g4
    +(g139
    +g146
    +ttRp147
    +(dp148
    +g17
    +g18
    +((ltRp149
    +sg8
    +g140
    +sbsS'Traceback'
    +p150
    +g2
    +(g3
    +g4
    +(g139
    +g150
    +ttRp151
    +(dp152
    +g17
    +g18
    +((ltRp153
    +sg8
    +g140
    +sbsS'Emph'
    +p154
    +g2
    +(g3
    +g4
    +(g139
    +g154
    +ttRp155
    +(dp156
    +g17
    +g18
    +((ltRp157
    +sg8
    +g140
    +sbsS'Output'
    +p158
    +g2
    +(g3
    +g4
    +(g139
    +g158
    +ttRp159
    +(dp160
    +g17
    +g18
    +((ltRp161
    +sg8
    +g140
    +sbsS'Subheading'
    +p162
    +g2
    +(g3
    +g4
    +(g139
    +g162
    +ttRp163
    +(dp164
    +g17
    +g18
    +((ltRp165
    +sg8
    +g140
    +sbsS'Error'
    +p166
    +g2
    +(g3
    +g4
    +(g139
    +g166
    +ttRp167
    +(dp168
    +g17
    +g18
    +((ltRp169
    +sg8
    +g140
    +sbsg17
    +g18
    +((lp170
    +g159
    +ag155
    +ag167
    +ag163
    +ag151
    +ag147
    +ag2
    +(g3
    +g4
    +(g139
    +S'Heading'
    +p171
    +ttRp172
    +(dp173
    +g17
    +g18
    +((ltRp174
    +sg8
    +g140
    +sbag2
    +(g3
    +g4
    +(g139
    +S'Inserted'
    +p175
    +ttRp176
    +(dp177
    +g17
    +g18
    +((ltRp178
    +sg8
    +g140
    +sbag2
    +(g3
    +g4
    +(g139
    +S'Strong'
    +p179
    +ttRp180
    +(dp181
    +g17
    +g18
    +((ltRp182
    +sg8
    +g140
    +sbag143
    +atRp183
    +sg179
    +g180
    +sg175
    +g176
    +sg171
    +g172
    +sbsS'Text'
    +p184
    +g2
    +(g3
    +g4
    +(g184
    +ttRp185
    +(dp186
    +g17
    +g18
    +((lp187
    +g2
    +(g3
    +g4
    +(g184
    +S'Symbol'
    +p188
    +ttRp189
    +(dp190
    +g17
    +g18
    +((ltRp191
    +sg8
    +g185
    +sbag2
    +(g3
    +g4
    +(g184
    +S'Whitespace'
    +p192
    +ttRp193
    +(dp194
    +g17
    +g18
    +((ltRp195
    +sg8
    +g185
    +sbatRp196
    +sg188
    +g189
    +sg192
    +g193
    +sg8
    +g9
    +sbsS'Punctuation'
    +p197
    +g2
    +(g3
    +g4
    +(g197
    +ttRp198
    +(dp199
    +g17
    +g18
    +((lp200
    +g2
    +(g3
    +g4
    +(g197
    +S'Indicator'
    +p201
    +ttRp202
    +(dp203
    +g17
    +g18
    +((ltRp204
    +sg8
    +g198
    +sbatRp205
    +sg201
    +g202
    +sg8
    +g9
    +sbsS'Token'
    +p206
    +g9
    +sS'Number'
    +p207
    +g2
    +(g3
    +g4
    +(S'Literal'
    +p208
    +g207
    +ttRp209
    +(dp210
    +S'Bin'
    +p211
    +g2
    +(g3
    +g4
    +(g208
    +g207
    +g211
    +ttRp212
    +(dp213
    +g17
    +g18
    +((ltRp214
    +sg8
    +g209
    +sbsS'Binary'
    +p215
    +g2
    +(g3
    +g4
    +(g208
    +g207
    +g215
    +ttRp216
    +(dp217
    +g17
    +g18
    +((ltRp218
    +sg8
    +g209
    +sbsg8
    +g2
    +(g3
    +g4
    +(g208
    +ttRp219
    +(dp220
    +S'String'
    +p221
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +ttRp222
    +(dp223
    +S'Regex'
    +p224
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g224
    +ttRp225
    +(dp226
    +g17
    +g18
    +((ltRp227
    +sg8
    +g222
    +sbsS'Interpol'
    +p228
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g228
    +ttRp229
    +(dp230
    +g17
    +g18
    +((ltRp231
    +sg8
    +g222
    +sbsS'Regexp'
    +p232
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g232
    +ttRp233
    +(dp234
    +g17
    +g18
    +((ltRp235
    +sg8
    +g222
    +sbsg8
    +g219
    +sS'Heredoc'
    +p236
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g236
    +ttRp237
    +(dp238
    +g17
    +g18
    +((ltRp239
    +sg8
    +g222
    +sbsS'Double'
    +p240
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g240
    +ttRp241
    +(dp242
    +g17
    +g18
    +((ltRp243
    +sg8
    +g222
    +sbsg188
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g188
    +ttRp244
    +(dp245
    +g17
    +g18
    +((ltRp246
    +sg8
    +g222
    +sbsS'Escape'
    +p247
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g247
    +ttRp248
    +(dp249
    +g17
    +g18
    +((ltRp250
    +sg8
    +g222
    +sbsS'Character'
    +p251
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g251
    +ttRp252
    +(dp253
    +g17
    +g18
    +((ltRp254
    +sg8
    +g222
    +sbsS'Interp'
    +p255
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g255
    +ttRp256
    +(dp257
    +g17
    +g18
    +((ltRp258
    +sg8
    +g222
    +sbsS'Backtick'
    +p259
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g259
    +ttRp260
    +(dp261
    +g17
    +g18
    +((ltRp262
    +sg8
    +g222
    +sbsS'Char'
    +p263
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g263
    +ttRp264
    +(dp265
    +g17
    +g18
    +((ltRp266
    +sg8
    +g222
    +sbsS'Single'
    +p267
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g267
    +ttRp268
    +(dp269
    +g17
    +g18
    +((ltRp270
    +sg8
    +g222
    +sbsg60
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g60
    +ttRp271
    +(dp272
    +g17
    +g18
    +((ltRp273
    +sg8
    +g222
    +sbsS'Doc'
    +p274
    +g2
    +(g3
    +g4
    +(g208
    +g221
    +g274
    +ttRp275
    +(dp276
    +g17
    +g18
    +((ltRp277
    +sg8
    +g222
    +sbsg17
    +g18
    +((lp278
    +g271
    +ag2
    +(g3
    +g4
    +(g208
    +g221
    +S'Atom'
    +p279
    +ttRp280
    +(dp281
    +g17
    +g18
    +((ltRp282
    +sg8
    +g222
    +sbag241
    +ag264
    +ag256
    +ag275
    +ag237
    +ag260
    +ag229
    +ag244
    +ag233
    +ag225
    +ag268
    +ag252
    +ag248
    +atRp283
    +sg279
    +g280
    +sbsg8
    +g9
    +sg207
    +g209
    +sS'Scalar'
    +p284
    +g2
    +(g3
    +g4
    +(g208
    +g284
    +ttRp285
    +(dp286
    +g17
    +g18
    +((lp287
    +g2
    +(g3
    +g4
    +(g208
    +g284
    +S'Plain'
    +p288
    +ttRp289
    +(dp290
    +g17
    +g18
    +((ltRp291
    +sg8
    +g285
    +sbatRp292
    +sg8
    +g219
    +sg288
    +g289
    +sbsg60
    +g2
    +(g3
    +g4
    +(g208
    +g60
    +ttRp293
    +(dp294
    +g17
    +g18
    +((ltRp295
    +sg8
    +g219
    +sbsS'Date'
    +p296
    +g2
    +(g3
    +g4
    +(g208
    +g296
    +ttRp297
    +(dp298
    +g17
    +g18
    +((ltRp299
    +sg8
    +g219
    +sbsg17
    +g18
    +((lp300
    +g297
    +ag222
    +ag293
    +ag209
    +ag285
    +atRp301
    +sbsS'Decimal'
    +p302
    +g2
    +(g3
    +g4
    +(g208
    +g207
    +g302
    +ttRp303
    +(dp304
    +g17
    +g18
    +((ltRp305
    +sg8
    +g209
    +sbsS'Float'
    +p306
    +g2
    +(g3
    +g4
    +(g208
    +g207
    +g306
    +ttRp307
    +(dp308
    +g17
    +g18
    +((ltRp309
    +sg8
    +g209
    +sbsS'Hex'
    +p310
    +g2
    +(g3
    +g4
    +(g208
    +g207
    +g310
    +ttRp311
    +(dp312
    +g17
    +g18
    +((ltRp313
    +sg8
    +g209
    +sbsS'Integer'
    +p314
    +g2
    +(g3
    +g4
    +(g208
    +g207
    +g314
    +ttRp315
    +(dp316
    +g17
    +g18
    +((lp317
    +g2
    +(g3
    +g4
    +(g208
    +g207
    +g314
    +S'Long'
    +p318
    +ttRp319
    +(dp320
    +g17
    +g18
    +((ltRp321
    +sg8
    +g315
    +sbatRp322
    +sg318
    +g319
    +sg8
    +g209
    +sbsS'Octal'
    +p323
    +g2
    +(g3
    +g4
    +(g208
    +g207
    +g323
    +ttRp324
    +(dp325
    +g17
    +g18
    +((ltRp326
    +sg8
    +g209
    +sbsg17
    +g18
    +((lp327
    +g212
    +ag216
    +ag324
    +ag303
    +ag2
    +(g3
    +g4
    +(g208
    +g207
    +S'Oct'
    +p328
    +ttRp329
    +(dp330
    +g17
    +g18
    +((ltRp331
    +sg8
    +g209
    +sbag315
    +ag307
    +ag311
    +atRp332
    +sg328
    +g329
    +sbsg208
    +g219
    +sg60
    +g2
    +(g3
    +g4
    +(g60
    +ttRp333
    +(dp334
    +g17
    +g18
    +((ltRp335
    +sg8
    +g9
    +sbsg166
    +g2
    +(g3
    +g4
    +(g166
    +ttRp336
    +(dp337
    +g17
    +g18
    +((ltRp338
    +sg8
    +g9
    +sbsS'Operator'
    +p339
    +g2
    +(g3
    +g4
    +(g339
    +ttRp340
    +(dp341
    +g17
    +g18
    +((lp342
    +g2
    +(g3
    +g4
    +(g339
    +S'Word'
    +p343
    +ttRp344
    +(dp345
    +g17
    +g18
    +((ltRp346
    +sg8
    +g340
    +sbatRp347
    +sg343
    +g344
    +sg8
    +g9
    +sbsg17
    +g18
    +((lp348
    +g6
    +ag336
    +ag140
    +ag185
    +ag12
    +ag198
    +ag107
    +ag219
    +ag340
    +ag333
    +atRp349
    +sg221
    +g222
    +sbsS'Preproc'
    +p350
    +g2
    +(g3
    +g4
    +(g5
    +g350
    +ttRp351
    +(dp352
    +g17
    +g18
    +((ltRp353
    +sg8
    +g6
    +sbsg267
    +g2
    +(g3
    +g4
    +(g5
    +g267
    +ttRp354
    +(dp355
    +g17
    +g18
    +((ltRp356
    +sg8
    +g6
    +sbsS'Multiline'
    +p357
    +g2
    +(g3
    +g4
    +(g5
    +g357
    +ttRp358
    +(dp359
    +g17
    +g18
    +((ltRp360
    +sg8
    +g6
    +sbsg17
    +g18
    +((lp361
    +g2
    +(g3
    +g4
    +(g5
    +S'Special'
    +p362
    +ttRp363
    +(dp364
    +g17
    +g18
    +((ltRp365
    +sg8
    +g6
    +sbag351
    +ag354
    +ag358
    +atRp366
    +sg362
    +g363
    +sbV#
    +tp367
    +a(g6
    +V
    +tp368
    +a(g185
    +V\u000a
    +tp369
    +a(g6
    +V#
    +tp370
    +a(g6
    +V 
    +tp371
    +a(g6
    +V$
    +tp372
    +a(g6
    +VI
    +tp373
    +a(g6
    +Vd
    +tp374
    +a(g6
    +V:
    +tp375
    +a(g6
    +V 
    +tp376
    +a(g6
    +Vp
    +tp377
    +a(g6
    +Vr
    +tp378
    +a(g6
    +Vo
    +tp379
    +a(g6
    +Vb
    +tp380
    +a(g6
    +V2
    +tp381
    +a(g6
    +V.
    +tp382
    +a(g6
    +Vd
    +tp383
    +a(g6
    +Ve
    +tp384
    +a(g6
    +Vm
    +tp385
    +a(g6
    +V,
    +tp386
    +a(g6
    +Vv
    +tp387
    +a(g6
    +V 
    +tp388
    +a(g6
    +V1
    +tp389
    +a(g6
    +V.
    +tp390
    +a(g6
    +V9
    +tp391
    +a(g6
    +V 
    +tp392
    +a(g6
    +V2
    +tp393
    +a(g6
    +V0
    +tp394
    +a(g6
    +V0
    +tp395
    +a(g6
    +V6
    +tp396
    +a(g6
    +V/
    +tp397
    +a(g6
    +V0
    +tp398
    +a(g6
    +V6
    +tp399
    +a(g6
    +V/
    +tp400
    +a(g6
    +V1
    +tp401
    +a(g6
    +V4
    +tp402
    +a(g6
    +V 
    +tp403
    +a(g6
    +V0
    +tp404
    +a(g6
    +V3
    +tp405
    +a(g6
    +V:
    +tp406
    +a(g6
    +V2
    +tp407
    +a(g6
    +V4
    +tp408
    +a(g6
    +V:
    +tp409
    +a(g6
    +V0
    +tp410
    +a(g6
    +V9
    +tp411
    +a(g6
    +V 
    +tp412
    +a(g6
    +Vs
    +tp413
    +a(g6
    +Vf
    +tp414
    +a(g6
    +Ve
    +tp415
    +a(g6
    +Va
    +tp416
    +a(g6
    +Vm
    +tp417
    +a(g6
    +V 
    +tp418
    +a(g6
    +VE
    +tp419
    +a(g6
    +Vx
    +tp420
    +a(g6
    +Vp
    +tp421
    +a(g6
    +V 
    +tp422
    +a(g6
    +V$
    +tp423
    +a(g6
    +V
    +tp424
    +a(g185
    +V\u000a
    +tp425
    +a(g6
    +V#
    +tp426
    +a(g6
    +V
    +tp427
    +a(g185
    +V\u000a
    +tp428
    +a(g6
    +V#
    +tp429
    +a(g6
    +V 
    +tp430
    +a(g6
    +VD
    +tp431
    +a(g6
    +Ve
    +tp432
    +a(g6
    +Vm
    +tp433
    +a(g6
    +Vo
    +tp434
    +a(g6
    +V 
    +tp435
    +a(g6
    +VS
    +tp436
    +a(g6
    +Vt
    +tp437
    +a(g6
    +Va
    +tp438
    +a(g6
    +Vt
    +tp439
    +a(g6
    +Vi
    +tp440
    +a(g6
    +Vs
    +tp441
    +a(g6
    +Vt
    +tp442
    +a(g6
    +Vi
    +tp443
    +a(g6
    +Vc
    +tp444
    +a(g6
    +Va
    +tp445
    +a(g6
    +Vl
    +tp446
    +a(g6
    +V 
    +tp447
    +a(g6
    +VA
    +tp448
    +a(g6
    +Vp
    +tp449
    +a(g6
    +Vp
    +tp450
    +a(g6
    +Vr
    +tp451
    +a(g6
    +Vo
    +tp452
    +a(g6
    +Vx
    +tp453
    +a(g6
    +Vi
    +tp454
    +a(g6
    +Vm
    +tp455
    +a(g6
    +Va
    +tp456
    +a(g6
    +Vt
    +tp457
    +a(g6
    +Vi
    +tp458
    +a(g6
    +Vo
    +tp459
    +a(g6
    +Vn
    +tp460
    +a(g6
    +Vs
    +tp461
    +a(g6
    +V 
    +tp462
    +a(g6
    +Vv
    +tp463
    +a(g6
    +Ve
    +tp464
    +a(g6
    +Vr
    +tp465
    +a(g6
    +Vs
    +tp466
    +a(g6
    +Vi
    +tp467
    +a(g6
    +Vo
    +tp468
    +a(g6
    +Vn
    +tp469
    +a(g6
    +V 
    +tp470
    +a(g6
    +V1
    +tp471
    +a(g6
    +V.
    +tp472
    +a(g6
    +V1
    +tp473
    +a(g6
    +V
    +tp474
    +a(g185
    +V\u000a
    +tp475
    +a(g6
    +V#
    +tp476
    +a(g6
    +V
    +tp477
    +a(g185
    +V\u000a
    +tp478
    +a(g6
    +V#
    +tp479
    +a(g6
    +V 
    +tp480
    +a(g6
    +VC
    +tp481
    +a(g6
    +Vo
    +tp482
    +a(g6
    +Vp
    +tp483
    +a(g6
    +Vy
    +tp484
    +a(g6
    +Vr
    +tp485
    +a(g6
    +Vi
    +tp486
    +a(g6
    +Vg
    +tp487
    +a(g6
    +Vh
    +tp488
    +a(g6
    +Vt
    +tp489
    +a(g6
    +V 
    +tp490
    +a(g6
    +V(
    +tp491
    +a(g6
    +Vc
    +tp492
    +a(g6
    +V)
    +tp493
    +a(g6
    +V 
    +tp494
    +a(g6
    +V1
    +tp495
    +a(g6
    +V9
    +tp496
    +a(g6
    +V9
    +tp497
    +a(g6
    +V1
    +tp498
    +a(g6
    +V,
    +tp499
    +a(g6
    +V 
    +tp500
    +a(g6
    +VJ
    +tp501
    +a(g6
    +Vo
    +tp502
    +a(g6
    +Vs
    +tp503
    +a(g6
    +V 
    +tp504
    +a(g6
    +Vv
    +tp505
    +a(g6
    +Va
    +tp506
    +a(g6
    +Vn
    +tp507
    +a(g6
    +V 
    +tp508
    +a(g6
    +Vd
    +tp509
    +a(g6
    +Ve
    +tp510
    +a(g6
    +Vr
    +tp511
    +a(g6
    +V 
    +tp512
    +a(g6
    +VW
    +tp513
    +a(g6
    +Vo
    +tp514
    +a(g6
    +Vu
    +tp515
    +a(g6
    +Vd
    +tp516
    +a(g6
    +Ve
    +tp517
    +a(g6
    +V,
    +tp518
    +a(g6
    +V 
    +tp519
    +a(g6
    +Vj
    +tp520
    +a(g6
    +Vv
    +tp521
    +a(g6
    +Vd
    +tp522
    +a(g6
    +Vw
    +tp523
    +a(g6
    +Vo
    +tp524
    +a(g6
    +Vu
    +tp525
    +a(g6
    +Vd
    +tp526
    +a(g6
    +Ve
    +tp527
    +a(g6
    +V@
    +tp528
    +a(g6
    +Vh
    +tp529
    +a(g6
    +Vu
    +tp530
    +a(g6
    +Vt
    +tp531
    +a(g6
    +V.
    +tp532
    +a(g6
    +Vn
    +tp533
    +a(g6
    +Vl
    +tp534
    +a(g6
    +V
    +tp535
    +a(g185
    +V\u000a
    +tp536
    +a(g185
    +V\u000a
    +tp537
    +a(g6
    +V#
    +tp538
    +a(g6
    +V 
    +tp539
    +a(g6
    +VH
    +tp540
    +a(g6
    +Vi
    +tp541
    +a(g6
    +Vs
    +tp542
    +a(g6
    +Vt
    +tp543
    +a(g6
    +Vo
    +tp544
    +a(g6
    +Vr
    +tp545
    +a(g6
    +Vy
    +tp546
    +a(g6
    +V:
    +tp547
    +a(g6
    +V
    +tp548
    +a(g185
    +V\u000a
    +tp549
    +a(g6
    +V#
    +tp550
    +a(g6
    +V 
    +tp551
    +a(g6
    +V 
    +tp552
    +a(g6
    +V 
    +tp553
    +a(g6
    +V 
    +tp554
    +a(g6
    +V-
    +tp555
    +a(g6
    +V-
    +tp556
    +a(g6
    +V 
    +tp557
    +a(g6
    +V-
    +tp558
    +a(g6
    +V-
    +tp559
    +a(g6
    +V-
    +tp560
    +a(g6
    +V 
    +tp561
    +a(g6
    +V1
    +tp562
    +a(g6
    +V9
    +tp563
    +a(g6
    +V9
    +tp564
    +a(g6
    +V1
    +tp565
    +a(g6
    +V 
    +tp566
    +a(g6
    +VJ
    +tp567
    +a(g6
    +Vo
    +tp568
    +a(g6
    +Vs
    +tp569
    +a(g6
    +V 
    +tp570
    +a(g6
    +Vv
    +tp571
    +a(g6
    +Va
    +tp572
    +a(g6
    +Vn
    +tp573
    +a(g6
    +V 
    +tp574
    +a(g6
    +Vd
    +tp575
    +a(g6
    +Ve
    +tp576
    +a(g6
    +Vr
    +tp577
    +a(g6
    +V 
    +tp578
    +a(g6
    +VW
    +tp579
    +a(g6
    +Vo
    +tp580
    +a(g6
    +Vu
    +tp581
    +a(g6
    +Vd
    +tp582
    +a(g6
    +Ve
    +tp583
    +a(g6
    +V:
    +tp584
    +a(g6
    +V 
    +tp585
    +a(g6
    +V 
    +tp586
    +a(g6
    +V1
    +tp587
    +a(g6
    +Vs
    +tp588
    +a(g6
    +Vt
    +tp589
    +a(g6
    +V 
    +tp590
    +a(g6
    +Vv
    +tp591
    +a(g6
    +Ve
    +tp592
    +a(g6
    +Vr
    +tp593
    +a(g6
    +Vs
    +tp594
    +a(g6
    +Vi
    +tp595
    +a(g6
    +Vo
    +tp596
    +a(g6
    +Vn
    +tp597
    +a(g6
    +V
    +tp598
    +a(g185
    +V\u000a
    +tp599
    +a(g6
    +V#
    +tp600
    +a(g6
    +V 
    +tp601
    +a(g6
    +V 
    +tp602
    +a(g6
    +V 
    +tp603
    +a(g6
    +V 
    +tp604
    +a(g6
    +V0
    +tp605
    +a(g6
    +V6
    +tp606
    +a(g6
    +V 
    +tp607
    +a(g6
    +VJ
    +tp608
    +a(g6
    +Vu
    +tp609
    +a(g6
    +Vn
    +tp610
    +a(g6
    +V 
    +tp611
    +a(g6
    +V2
    +tp612
    +a(g6
    +V0
    +tp613
    +a(g6
    +V0
    +tp614
    +a(g6
    +V6
    +tp615
    +a(g6
    +V 
    +tp616
    +a(g6
    +VD
    +tp617
    +a(g6
    +Va
    +tp618
    +a(g6
    +Vn
    +tp619
    +a(g6
    +V 
    +tp620
    +a(g6
    +VS
    +tp621
    +a(g6
    +Ve
    +tp622
    +a(g6
    +Vb
    +tp623
    +a(g6
    +Va
    +tp624
    +a(g6
    +Vl
    +tp625
    +a(g6
    +Vd
    +tp626
    +a(g6
    +V:
    +tp627
    +a(g6
    +V 
    +tp628
    +a(g6
    +V 
    +tp629
    +a(g6
    +VA
    +tp630
    +a(g6
    +Vd
    +tp631
    +a(g6
    +Vd
    +tp632
    +a(g6
    +Ve
    +tp633
    +a(g6
    +Vd
    +tp634
    +a(g6
    +V 
    +tp635
    +a(g6
    +Vp
    +tp636
    +a(g6
    +Vl
    +tp637
    +a(g6
    +Vo
    +tp638
    +a(g6
    +Vt
    +tp639
    +a(g6
    +V 
    +tp640
    +a(g6
    +Vm
    +tp641
    +a(g6
    +Ve
    +tp642
    +a(g6
    +Vt
    +tp643
    +a(g6
    +Vh
    +tp644
    +a(g6
    +Vo
    +tp645
    +a(g6
    +Vd
    +tp646
    +a(g6
    +Vs
    +tp647
    +a(g6
    +V 
    +tp648
    +a(g6
    +Vf
    +tp649
    +a(g6
    +Vo
    +tp650
    +a(g6
    +Vr
    +tp651
    +a(g6
    +V 
    +tp652
    +a(g6
    +Vb
    +tp653
    +a(g6
    +Ve
    +tp654
    +a(g6
    +Vt
    +tp655
    +a(g6
    +Vt
    +tp656
    +a(g6
    +Ve
    +tp657
    +a(g6
    +Vr
    +tp658
    +a(g6
    +V 
    +tp659
    +a(g6
    +Vv
    +tp660
    +a(g6
    +Vi
    +tp661
    +a(g6
    +Vs
    +tp662
    +a(g6
    +Vu
    +tp663
    +a(g6
    +Va
    +tp664
    +a(g6
    +Vl
    +tp665
    +a(g6
    +V 
    +tp666
    +a(g6
    +Ve
    +tp667
    +a(g6
    +Vf
    +tp668
    +a(g6
    +Vf
    +tp669
    +a(g6
    +Ve
    +tp670
    +a(g6
    +Vc
    +tp671
    +a(g6
    +Vt
    +tp672
    +a(g6
    +V.
    +tp673
    +a(g6
    +V
    +tp674
    +a(g185
    +V\u000a
    +tp675
    +a(g185
    +V\u000a
    +tp676
    +a(g107
    +Vprint
    +p677
    +tp678
    +a(g185
    +V 
    +tp679
    +a(g222
    +V"
    +tp680
    +a(g222
    +V"
    +tp681
    +a(g185
    +V\u000a
    +tp682
    +a(g107
    +Vprint
    +p683
    +tp684
    +a(g185
    +V 
    +tp685
    +a(g222
    +V"
    +tp686
    +a(g222
    +V"
    +tp687
    +a(g185
    +V\u000a
    +tp688
    +a(g107
    +Vprint
    +p689
    +tp690
    +a(g185
    +V 
    +tp691
    +a(g222
    +V"
    +tp692
    +a(g222
    +V"
    +tp693
    +a(g185
    +V\u000a
    +tp694
    +a(g107
    +Vprint
    +p695
    +tp696
    +a(g185
    +V 
    +tp697
    +a(g222
    +V"
    +tp698
    +a(g222
    +V"
    +tp699
    +a(g185
    +V\u000a
    +tp700
    +a(g107
    +Vprint
    +p701
    +tp702
    +a(g185
    +V 
    +tp703
    +a(g222
    +V"
    +tp704
    +a(g222
    +V"
    +tp705
    +a(g185
    +V\u000a
    +tp706
    +a(g107
    +Vprint
    +p707
    +tp708
    +a(g185
    +V 
    +tp709
    +a(g222
    +V"
    +tp710
    +a(g222
    +V"
    +tp711
    +a(g185
    +V\u000a
    +tp712
    +a(g107
    +Vprint
    +p713
    +tp714
    +a(g185
    +V 
    +tp715
    +a(g222
    +V"
    +tp716
    +a(g222
    +V                        Statistical Approximations, version 1.1
    +p717
    +tp718
    +a(g222
    +V"
    +tp719
    +a(g185
    +V\u000a
    +tp720
    +a(g107
    +Vprint
    +p721
    +tp722
    +a(g185
    +V 
    +tp723
    +a(g222
    +V"
    +tp724
    +a(g222
    +V"
    +tp725
    +a(g185
    +V\u000a
    +tp726
    +a(g107
    +Vprint
    +p727
    +tp728
    +a(g185
    +V 
    +tp729
    +a(g222
    +V"
    +tp730
    +a(g222
    +V        Copyright (c) 1991, 1992, Jos van de Woude, jvdwoude@hut.nl
    +p731
    +tp732
    +a(g222
    +V"
    +tp733
    +a(g185
    +V\u000a
    +tp734
    +a(g107
    +Vprint
    +p735
    +tp736
    +a(g185
    +V 
    +tp737
    +a(g222
    +V"
    +tp738
    +a(g222
    +V"
    +tp739
    +a(g185
    +V\u000a
    +tp740
    +a(g107
    +Vprint
    +p741
    +tp742
    +a(g185
    +V 
    +tp743
    +a(g222
    +V"
    +tp744
    +a(g222
    +V"
    +tp745
    +a(g185
    +V\u000a
    +tp746
    +a(g107
    +Vprint
    +p747
    +tp748
    +a(g185
    +V 
    +tp749
    +a(g222
    +V"
    +tp750
    +a(g222
    +V"
    +tp751
    +a(g185
    +V\u000a
    +tp752
    +a(g107
    +Vprint
    +p753
    +tp754
    +a(g185
    +V 
    +tp755
    +a(g222
    +V"
    +tp756
    +a(g222
    +V"
    +tp757
    +a(g185
    +V\u000a
    +tp758
    +a(g107
    +Vprint
    +p759
    +tp760
    +a(g185
    +V 
    +tp761
    +a(g222
    +V"
    +tp762
    +a(g222
    +V"
    +tp763
    +a(g185
    +V\u000a
    +tp764
    +a(g107
    +Vprint
    +p765
    +tp766
    +a(g185
    +V 
    +tp767
    +a(g222
    +V"
    +tp768
    +a(g222
    +V"
    +tp769
    +a(g185
    +V\u000a
    +tp770
    +a(g107
    +Vprint
    +p771
    +tp772
    +a(g185
    +V 
    +tp773
    +a(g222
    +V"
    +tp774
    +a(g222
    +V"
    +tp775
    +a(g185
    +V\u000a
    +tp776
    +a(g107
    +Vprint
    +p777
    +tp778
    +a(g185
    +V 
    +tp779
    +a(g222
    +V"
    +tp780
    +a(g222
    +V"
    +tp781
    +a(g185
    +V\u000a
    +tp782
    +a(g107
    +Vprint
    +p783
    +tp784
    +a(g185
    +V 
    +tp785
    +a(g222
    +V"
    +tp786
    +a(g222
    +V"
    +tp787
    +a(g185
    +V\u000a
    +tp788
    +a(g107
    +Vprint
    +p789
    +tp790
    +a(g185
    +V 
    +tp791
    +a(g222
    +V"
    +tp792
    +a(g222
    +V"
    +tp793
    +a(g185
    +V\u000a
    +tp794
    +a(g107
    +Vprint
    +p795
    +tp796
    +a(g185
    +V 
    +tp797
    +a(g222
    +V"
    +tp798
    +a(g222
    +V"
    +tp799
    +a(g185
    +V\u000a
    +tp800
    +a(g107
    +Vprint
    +p801
    +tp802
    +a(g185
    +V 
    +tp803
    +a(g222
    +V"
    +tp804
    +a(g222
    +V     NOTE: contains 10 plots and consequently takes some time to run
    +p805
    +tp806
    +a(g222
    +V"
    +tp807
    +a(g185
    +V\u000a
    +tp808
    +a(g107
    +Vprint
    +p809
    +tp810
    +a(g185
    +V 
    +tp811
    +a(g222
    +V"
    +tp812
    +a(g222
    +V                      Press Ctrl-C to exit right now
    +p813
    +tp814
    +a(g222
    +V"
    +tp815
    +a(g185
    +V\u000a
    +tp816
    +a(g107
    +Vprint
    +p817
    +tp818
    +a(g185
    +V 
    +tp819
    +a(g222
    +V"
    +tp820
    +a(g222
    +V"
    +tp821
    +a(g185
    +V\u000a
    +tp822
    +a(g107
    +Vpause
    +p823
    +tp824
    +a(g185
    +V 
    +tp825
    +a(g315
    +V-1
    +p826
    +tp827
    +a(g185
    +V 
    +tp828
    +a(g222
    +V"
    +tp829
    +a(g222
    +V                      Press Return to start demo ...
    +p830
    +tp831
    +a(g222
    +V"
    +tp832
    +a(g185
    +V\u000a
    +tp833
    +a(g185
    +V\u000a
    +tp834
    +a(g107
    +Vload
    +p835
    +tp836
    +a(g185
    +V 
    +tp837
    +a(g222
    +V"
    +tp838
    +a(g222
    +Vstat.inc
    +p839
    +tp840
    +a(g222
    +V"
    +tp841
    +a(g185
    +V\u000a
    +tp842
    +a(g15
    +Vrnd
    +p843
    +tp844
    +a(g185
    +V(x) 
    +p845
    +tp846
    +a(g340
    +V=
    +tp847
    +a(g185
    +V 
    +tp848
    +a(g15
    +Vfloor
    +p849
    +tp850
    +a(g198
    +V(
    +tp851
    +a(g12
    +Vx
    +tp852
    +a(g340
    +V+
    +tp853
    +a(g307
    +V0.5
    +p854
    +tp855
    +a(g198
    +V)
    +tp856
    +a(g185
    +V\u000a
    +tp857
    +a(g69
    +Vr_xmin
    +p858
    +tp859
    +a(g185
    +V 
    +tp860
    +a(g340
    +V=
    +tp861
    +a(g185
    +V 
    +tp862
    +a(g315
    +V-1
    +p863
    +tp864
    +a(g185
    +V\u000a
    +tp865
    +a(g69
    +Vr_sigma
    +p866
    +tp867
    +a(g185
    +V 
    +tp868
    +a(g340
    +V=
    +tp869
    +a(g185
    +V 
    +tp870
    +a(g307
    +V4.0
    +p871
    +tp872
    +a(g185
    +V\u000a
    +tp873
    +a(g185
    +V\u000a
    +tp874
    +a(g6
    +V#
    +tp875
    +a(g6
    +V 
    +tp876
    +a(g6
    +VB
    +tp877
    +a(g6
    +Vi
    +tp878
    +a(g6
    +Vn
    +tp879
    +a(g6
    +Vo
    +tp880
    +a(g6
    +Vm
    +tp881
    +a(g6
    +Vi
    +tp882
    +a(g6
    +Va
    +tp883
    +a(g6
    +Vl
    +tp884
    +a(g6
    +V 
    +tp885
    +a(g6
    +VP
    +tp886
    +a(g6
    +VD
    +tp887
    +a(g6
    +VF
    +tp888
    +a(g6
    +V 
    +tp889
    +a(g6
    +Vu
    +tp890
    +a(g6
    +Vs
    +tp891
    +a(g6
    +Vi
    +tp892
    +a(g6
    +Vn
    +tp893
    +a(g6
    +Vg
    +tp894
    +a(g6
    +V 
    +tp895
    +a(g6
    +Vn
    +tp896
    +a(g6
    +Vo
    +tp897
    +a(g6
    +Vr
    +tp898
    +a(g6
    +Vm
    +tp899
    +a(g6
    +Va
    +tp900
    +a(g6
    +Vl
    +tp901
    +a(g6
    +V 
    +tp902
    +a(g6
    +Va
    +tp903
    +a(g6
    +Vp
    +tp904
    +a(g6
    +Vp
    +tp905
    +a(g6
    +Vr
    +tp906
    +a(g6
    +Vo
    +tp907
    +a(g6
    +Vx
    +tp908
    +a(g6
    +Vi
    +tp909
    +a(g6
    +Vm
    +tp910
    +a(g6
    +Va
    +tp911
    +a(g6
    +Vt
    +tp912
    +a(g6
    +Vi
    +tp913
    +a(g6
    +Vo
    +tp914
    +a(g6
    +Vn
    +tp915
    +a(g6
    +V
    +tp916
    +a(g185
    +V\u000a
    +tp917
    +a(g69
    +Vn
    +tp918
    +a(g185
    +V 
    +tp919
    +a(g340
    +V=
    +tp920
    +a(g185
    +V 
    +tp921
    +a(g315
    +V25
    +p922
    +tp923
    +a(g198
    +V;
    +tp924
    +a(g185
    +V 
    +tp925
    +a(g107
    +Vp
    +tp926
    +a(g185
    +V 
    +tp927
    +a(g340
    +V=
    +tp928
    +a(g185
    +V 
    +tp929
    +a(g307
    +V0.15
    +p930
    +tp931
    +a(g185
    +V\u000a
    +tp932
    +a(g69
    +Vmu
    +p933
    +tp934
    +a(g185
    +V 
    +tp935
    +a(g340
    +V=
    +tp936
    +a(g185
    +V 
    +tp937
    +a(g12
    +Vn
    +tp938
    +a(g185
    +V 
    +tp939
    +a(g340
    +V*
    +tp940
    +a(g185
    +V 
    +tp941
    +a(g12
    +Vp
    +tp942
    +a(g185
    +V\u000a
    +tp943
    +a(g69
    +Vsigma
    +p944
    +tp945
    +a(g185
    +V 
    +tp946
    +a(g340
    +V=
    +tp947
    +a(g185
    +V 
    +tp948
    +a(g15
    +Vsqrt
    +p949
    +tp950
    +a(g198
    +V(
    +tp951
    +a(g12
    +Vn
    +tp952
    +a(g185
    +V 
    +tp953
    +a(g340
    +V*
    +tp954
    +a(g185
    +V 
    +tp955
    +a(g12
    +Vp
    +tp956
    +a(g185
    +V 
    +tp957
    +a(g340
    +V*
    +tp958
    +a(g185
    +V 
    +tp959
    +a(g198
    +V(
    +tp960
    +a(g307
    +V1.0
    +p961
    +tp962
    +a(g185
    +V 
    +tp963
    +a(g340
    +V-
    +tp964
    +a(g185
    +V 
    +tp965
    +a(g12
    +Vp
    +tp966
    +a(g198
    +V)
    +tp967
    +a(g198
    +V)
    +tp968
    +a(g185
    +V\u000a
    +tp969
    +a(g69
    +Vxmin
    +p970
    +tp971
    +a(g185
    +V 
    +tp972
    +a(g340
    +V=
    +tp973
    +a(g185
    +V 
    +tp974
    +a(g15
    +Vfloor
    +p975
    +tp976
    +a(g198
    +V(
    +tp977
    +a(g12
    +Vmu
    +p978
    +tp979
    +a(g185
    +V 
    +tp980
    +a(g340
    +V-
    +tp981
    +a(g185
    +V 
    +tp982
    +a(g12
    +Vr_sigma
    +p983
    +tp984
    +a(g185
    +V 
    +tp985
    +a(g340
    +V*
    +tp986
    +a(g185
    +V 
    +tp987
    +a(g12
    +Vsigma
    +p988
    +tp989
    +a(g198
    +V)
    +tp990
    +a(g185
    +V\u000a
    +tp991
    +a(g69
    +Vxmin
    +p992
    +tp993
    +a(g185
    +V 
    +tp994
    +a(g340
    +V=
    +tp995
    +a(g185
    +V 
    +tp996
    +a(g12
    +Vxmin
    +p997
    +tp998
    +a(g185
    +V 
    +tp999
    +a(g340
    +V<
    +tp1000
    +a(g185
    +V 
    +tp1001
    +a(g12
    +Vr_xmin
    +p1002
    +tp1003
    +a(g185
    +V 
    +tp1004
    +a(g340
    +V?
    +tp1005
    +a(g185
    +V 
    +tp1006
    +a(g12
    +Vr_xmin
    +p1007
    +tp1008
    +a(g185
    +V 
    +tp1009
    +a(g340
    +V:
    +tp1010
    +a(g185
    +V 
    +tp1011
    +a(g12
    +Vxmin
    +p1012
    +tp1013
    +a(g185
    +V\u000a
    +tp1014
    +a(g69
    +Vxmax
    +p1015
    +tp1016
    +a(g185
    +V 
    +tp1017
    +a(g340
    +V=
    +tp1018
    +a(g185
    +V 
    +tp1019
    +a(g15
    +Vceil
    +p1020
    +tp1021
    +a(g198
    +V(
    +tp1022
    +a(g12
    +Vmu
    +p1023
    +tp1024
    +a(g185
    +V 
    +tp1025
    +a(g340
    +V+
    +tp1026
    +a(g185
    +V 
    +tp1027
    +a(g12
    +Vr_sigma
    +p1028
    +tp1029
    +a(g185
    +V 
    +tp1030
    +a(g340
    +V*
    +tp1031
    +a(g185
    +V 
    +tp1032
    +a(g12
    +Vsigma
    +p1033
    +tp1034
    +a(g198
    +V)
    +tp1035
    +a(g185
    +V\u000a
    +tp1036
    +a(g69
    +Vymax
    +p1037
    +tp1038
    +a(g185
    +V 
    +tp1039
    +a(g340
    +V=
    +tp1040
    +a(g185
    +V 
    +tp1041
    +a(g307
    +V1.1
    +p1042
    +tp1043
    +a(g185
    +V 
    +tp1044
    +a(g340
    +V*
    +tp1045
    +a(g185
    +V 
    +tp1046
    +a(g15
    +Vbinom
    +p1047
    +tp1048
    +a(g198
    +V(
    +tp1049
    +a(g15
    +Vfloor
    +p1050
    +tp1051
    +a(g198
    +V(
    +tp1052
    +a(g198
    +V(
    +tp1053
    +a(g12
    +Vn
    +tp1054
    +a(g340
    +V+
    +tp1055
    +a(g315
    +V1
    +tp1056
    +a(g198
    +V)
    +tp1057
    +a(g340
    +V*
    +tp1058
    +a(g12
    +Vp
    +tp1059
    +a(g198
    +V)
    +tp1060
    +a(g340
    +V,
    +tp1061
    +a(g185
    +V 
    +tp1062
    +a(g12
    +Vn
    +tp1063
    +a(g340
    +V,
    +tp1064
    +a(g185
    +V 
    +tp1065
    +a(g12
    +Vp
    +tp1066
    +a(g198
    +V)
    +tp1067
    +a(g185
    +V 
    +tp1068
    +a(g6
    +V#
    +tp1069
    +a(g6
    +Vm
    +tp1070
    +a(g6
    +Vo
    +tp1071
    +a(g6
    +Vd
    +tp1072
    +a(g6
    +Ve
    +tp1073
    +a(g6
    +V 
    +tp1074
    +a(g6
    +Vo
    +tp1075
    +a(g6
    +Vf
    +tp1076
    +a(g6
    +V 
    +tp1077
    +a(g6
    +Vb
    +tp1078
    +a(g6
    +Vi
    +tp1079
    +a(g6
    +Vn
    +tp1080
    +a(g6
    +Vo
    +tp1081
    +a(g6
    +Vm
    +tp1082
    +a(g6
    +Vi
    +tp1083
    +a(g6
    +Va
    +tp1084
    +a(g6
    +Vl
    +tp1085
    +a(g6
    +V 
    +tp1086
    +a(g6
    +VP
    +tp1087
    +a(g6
    +VD
    +tp1088
    +a(g6
    +VF
    +tp1089
    +a(g6
    +V 
    +tp1090
    +a(g6
    +Vu
    +tp1091
    +a(g6
    +Vs
    +tp1092
    +a(g6
    +Ve
    +tp1093
    +a(g6
    +Vd
    +tp1094
    +a(g6
    +V
    +tp1095
    +a(g185
    +V\u000a
    +tp1096
    +a(g107
    +Vset
    +p1097
    +tp1098
    +a(g185
    +V 
    +tp1099
    +a(g53
    +Vkey
    +p1100
    +tp1101
    +a(g185
    +V 
    +tp1102
    +a(g12
    +Vbox
    +p1103
    +tp1104
    +a(g185
    +V\u000a
    +tp1105
    +a(g107
    +Vunset
    +p1106
    +tp1107
    +a(g185
    +V 
    +tp1108
    +a(g53
    +Vzeroaxis
    +p1109
    +tp1110
    +a(g185
    +V\u000a
    +tp1111
    +a(g107
    +Vset
    +p1112
    +tp1113
    +a(g185
    +V 
    +tp1114
    +a(g53
    +Vxrange
    +p1115
    +tp1116
    +a(g185
    +V 
    +tp1117
    +a(g198
    +V[
    +tp1118
    +a(g12
    +Vxmin
    +p1119
    +tp1120
    +a(g185
    +V 
    +tp1121
    +a(g340
    +V-
    +tp1122
    +a(g185
    +V 
    +tp1123
    +a(g315
    +V1
    +tp1124
    +a(g185
    +V 
    +tp1125
    +a(g340
    +V:
    +tp1126
    +a(g185
    +V 
    +tp1127
    +a(g12
    +Vxmax
    +p1128
    +tp1129
    +a(g185
    +V 
    +tp1130
    +a(g340
    +V+
    +tp1131
    +a(g185
    +V 
    +tp1132
    +a(g315
    +V1
    +tp1133
    +a(g198
    +V]
    +tp1134
    +a(g185
    +V\u000a
    +tp1135
    +a(g107
    +Vset
    +p1136
    +tp1137
    +a(g185
    +V 
    +tp1138
    +a(g53
    +Vyrange
    +p1139
    +tp1140
    +a(g185
    +V 
    +tp1141
    +a(g198
    +V[
    +tp1142
    +a(g315
    +V0
    +tp1143
    +a(g185
    +V 
    +tp1144
    +a(g340
    +V:
    +tp1145
    +a(g185
    +V 
    +tp1146
    +a(g12
    +Vymax
    +p1147
    +tp1148
    +a(g198
    +V]
    +tp1149
    +a(g185
    +V\u000a
    +tp1150
    +a(g107
    +Vset
    +p1151
    +tp1152
    +a(g185
    +V 
    +tp1153
    +a(g53
    +Vxlabel
    +p1154
    +tp1155
    +a(g185
    +V 
    +tp1156
    +a(g222
    +V"
    +tp1157
    +a(g222
    +Vk, x ->
    +p1158
    +tp1159
    +a(g222
    +V"
    +tp1160
    +a(g185
    +V\u000a
    +tp1161
    +a(g107
    +Vset
    +p1162
    +tp1163
    +a(g185
    +V 
    +tp1164
    +a(g53
    +Vylabel
    +p1165
    +tp1166
    +a(g185
    +V 
    +tp1167
    +a(g222
    +V"
    +tp1168
    +a(g222
    +Vprobability density ->
    +p1169
    +tp1170
    +a(g222
    +V"
    +tp1171
    +a(g185
    +V\u000a
    +tp1172
    +a(g107
    +Vset
    +p1173
    +tp1174
    +a(g185
    +V 
    +tp1175
    +a(g53
    +Vytics
    +p1176
    +tp1177
    +a(g185
    +V 
    +tp1178
    +a(g315
    +V0
    +tp1179
    +a(g340
    +V,
    +tp1180
    +a(g185
    +V 
    +tp1181
    +a(g12
    +Vymax
    +p1182
    +tp1183
    +a(g185
    +V 
    +tp1184
    +a(g340
    +V/
    +tp1185
    +a(g185
    +V 
    +tp1186
    +a(g307
    +V10.0
    +p1187
    +tp1188
    +a(g340
    +V,
    +tp1189
    +a(g185
    +V 
    +tp1190
    +a(g12
    +Vymax
    +p1191
    +tp1192
    +a(g185
    +V\u000a
    +tp1193
    +a(g107
    +Vset
    +p1194
    +tp1195
    +a(g185
    +V 
    +tp1196
    +a(g53
    +Vformat
    +p1197
    +tp1198
    +a(g185
    +V 
    +tp1199
    +a(g12
    +Vx
    +tp1200
    +a(g185
    +V 
    +tp1201
    +a(g222
    +V"
    +tp1202
    +a(g222
    +V%2.0f
    +p1203
    +tp1204
    +a(g222
    +V"
    +tp1205
    +a(g185
    +V\u000a
    +tp1206
    +a(g107
    +Vset
    +p1207
    +tp1208
    +a(g185
    +V 
    +tp1209
    +a(g53
    +Vformat
    +p1210
    +tp1211
    +a(g185
    +V 
    +tp1212
    +a(g12
    +Vy
    +tp1213
    +a(g185
    +V 
    +tp1214
    +a(g222
    +V"
    +tp1215
    +a(g222
    +V%3.2f
    +p1216
    +tp1217
    +a(g222
    +V"
    +tp1218
    +a(g185
    +V\u000a
    +tp1219
    +a(g107
    +Vset
    +p1220
    +tp1221
    +a(g185
    +V 
    +tp1222
    +a(g53
    +Vsample
    +p1223
    +tp1224
    +a(g185
    +V 
    +tp1225
    +a(g315
    +V200
    +p1226
    +tp1227
    +a(g185
    +V\u000a
    +tp1228
    +a(g107
    +Vset
    +p1229
    +tp1230
    +a(g185
    +V 
    +tp1231
    +a(g53
    +Vtitle
    +p1232
    +tp1233
    +a(g185
    +V 
    +tp1234
    +a(g222
    +V"
    +tp1235
    +a(g222
    +Vbinomial PDF using normal approximation
    +p1236
    +tp1237
    +a(g222
    +V"
    +tp1238
    +a(g185
    +V\u000a
    +tp1239
    +a(g107
    +Vset
    +p1240
    +tp1241
    +a(g185
    +V 
    +tp1242
    +a(g53
    +Varrow
    +p1243
    +tp1244
    +a(g185
    +V 
    +tp1245
    +a(g12
    +Vfrom
    +p1246
    +tp1247
    +a(g185
    +V 
    +tp1248
    +a(g12
    +Vmu
    +p1249
    +tp1250
    +a(g340
    +V,
    +tp1251
    +a(g185
    +V 
    +tp1252
    +a(g315
    +V0
    +tp1253
    +a(g185
    +V 
    +tp1254
    +a(g12
    +Vto
    +p1255
    +tp1256
    +a(g185
    +V 
    +tp1257
    +a(g12
    +Vmu
    +p1258
    +tp1259
    +a(g340
    +V,
    +tp1260
    +a(g185
    +V 
    +tp1261
    +a(g15
    +Vnormal
    +p1262
    +tp1263
    +a(g198
    +V(
    +tp1264
    +a(g12
    +Vmu
    +p1265
    +tp1266
    +a(g340
    +V,
    +tp1267
    +a(g185
    +V 
    +tp1268
    +a(g12
    +Vmu
    +p1269
    +tp1270
    +a(g340
    +V,
    +tp1271
    +a(g185
    +V 
    +tp1272
    +a(g12
    +Vsigma
    +p1273
    +tp1274
    +a(g198
    +V)
    +tp1275
    +a(g185
    +V 
    +tp1276
    +a(g12
    +Vnohead
    +p1277
    +tp1278
    +a(g185
    +V\u000a
    +tp1279
    +a(g107
    +Vset
    +p1280
    +tp1281
    +a(g185
    +V 
    +tp1282
    +a(g53
    +Varrow
    +p1283
    +tp1284
    +a(g185
    +V 
    +tp1285
    +a(g12
    +Vfrom
    +p1286
    +tp1287
    +a(g185
    +V 
    +tp1288
    +a(g12
    +Vmu
    +p1289
    +tp1290
    +a(g340
    +V,
    +tp1291
    +a(g185
    +V 
    +tp1292
    +a(g15
    +Vnormal
    +p1293
    +tp1294
    +a(g198
    +V(
    +tp1295
    +a(g12
    +Vmu
    +p1296
    +tp1297
    +a(g185
    +V 
    +tp1298
    +a(g340
    +V+
    +tp1299
    +a(g185
    +V 
    +tp1300
    +a(g12
    +Vsigma
    +p1301
    +tp1302
    +a(g340
    +V,
    +tp1303
    +a(g185
    +V 
    +tp1304
    +a(g12
    +Vmu
    +p1305
    +tp1306
    +a(g340
    +V,
    +tp1307
    +a(g185
    +V 
    +tp1308
    +a(g12
    +Vsigma
    +p1309
    +tp1310
    +a(g198
    +V)
    +tp1311
    +a(g185
    +V 
    +tp1312
    +a(g185
    +V\u005c\u000a
    +p1313
    +tp1314
    +a(g185
    +V          
    +p1315
    +tp1316
    +a(g12
    +Vto
    +p1317
    +tp1318
    +a(g185
    +V 
    +tp1319
    +a(g12
    +Vmu
    +p1320
    +tp1321
    +a(g185
    +V 
    +tp1322
    +a(g340
    +V+
    +tp1323
    +a(g185
    +V 
    +tp1324
    +a(g12
    +Vsigma
    +p1325
    +tp1326
    +a(g340
    +V,
    +tp1327
    +a(g185
    +V 
    +tp1328
    +a(g15
    +Vnormal
    +p1329
    +tp1330
    +a(g198
    +V(
    +tp1331
    +a(g12
    +Vmu
    +p1332
    +tp1333
    +a(g185
    +V 
    +tp1334
    +a(g340
    +V+
    +tp1335
    +a(g185
    +V 
    +tp1336
    +a(g12
    +Vsigma
    +p1337
    +tp1338
    +a(g340
    +V,
    +tp1339
    +a(g185
    +V 
    +tp1340
    +a(g12
    +Vmu
    +p1341
    +tp1342
    +a(g340
    +V,
    +tp1343
    +a(g185
    +V 
    +tp1344
    +a(g12
    +Vsigma
    +p1345
    +tp1346
    +a(g198
    +V)
    +tp1347
    +a(g185
    +V 
    +tp1348
    +a(g12
    +Vnohead
    +p1349
    +tp1350
    +a(g185
    +V\u000a
    +tp1351
    +a(g107
    +Vset
    +p1352
    +tp1353
    +a(g185
    +V 
    +tp1354
    +a(g53
    +Vlabel
    +p1355
    +tp1356
    +a(g185
    +V 
    +tp1357
    +a(g222
    +V"
    +tp1358
    +a(g222
    +Vmu
    +p1359
    +tp1360
    +a(g222
    +V"
    +tp1361
    +a(g185
    +V 
    +tp1362
    +a(g12
    +Vat
    +p1363
    +tp1364
    +a(g185
    +V 
    +tp1365
    +a(g12
    +Vmu
    +p1366
    +tp1367
    +a(g185
    +V 
    +tp1368
    +a(g340
    +V+
    +tp1369
    +a(g185
    +V 
    +tp1370
    +a(g307
    +V0.5
    +p1371
    +tp1372
    +a(g340
    +V,
    +tp1373
    +a(g185
    +V 
    +tp1374
    +a(g12
    +Vymax
    +p1375
    +tp1376
    +a(g185
    +V 
    +tp1377
    +a(g340
    +V/
    +tp1378
    +a(g185
    +V 
    +tp1379
    +a(g315
    +V10
    +p1380
    +tp1381
    +a(g185
    +V\u000a
    +tp1382
    +a(g107
    +Vset
    +p1383
    +tp1384
    +a(g185
    +V 
    +tp1385
    +a(g53
    +Vlabel
    +p1386
    +tp1387
    +a(g185
    +V 
    +tp1388
    +a(g222
    +V"
    +tp1389
    +a(g222
    +Vsigma
    +p1390
    +tp1391
    +a(g222
    +V"
    +tp1392
    +a(g185
    +V 
    +tp1393
    +a(g12
    +Vat
    +p1394
    +tp1395
    +a(g185
    +V 
    +tp1396
    +a(g12
    +Vmu
    +p1397
    +tp1398
    +a(g185
    +V 
    +tp1399
    +a(g340
    +V+
    +tp1400
    +a(g185
    +V 
    +tp1401
    +a(g307
    +V0.5
    +p1402
    +tp1403
    +a(g185
    +V 
    +tp1404
    +a(g340
    +V+
    +tp1405
    +a(g185
    +V 
    +tp1406
    +a(g12
    +Vsigma
    +p1407
    +tp1408
    +a(g340
    +V,
    +tp1409
    +a(g185
    +V 
    +tp1410
    +a(g15
    +Vnormal
    +p1411
    +tp1412
    +a(g198
    +V(
    +tp1413
    +a(g12
    +Vmu
    +p1414
    +tp1415
    +a(g185
    +V 
    +tp1416
    +a(g340
    +V+
    +tp1417
    +a(g185
    +V 
    +tp1418
    +a(g12
    +Vsigma
    +p1419
    +tp1420
    +a(g340
    +V,
    +tp1421
    +a(g185
    +V 
    +tp1422
    +a(g12
    +Vmu
    +p1423
    +tp1424
    +a(g340
    +V,
    +tp1425
    +a(g185
    +V 
    +tp1426
    +a(g12
    +Vsigma
    +p1427
    +tp1428
    +a(g198
    +V)
    +tp1429
    +a(g185
    +V\u000a
    +tp1430
    +a(g107
    +Vplot
    +p1431
    +tp1432
    +a(g185
    +V 
    +tp1433
    +a(g15
    +Vbinom
    +p1434
    +tp1435
    +a(g198
    +V(
    +tp1436
    +a(g15
    +Vrnd
    +p1437
    +tp1438
    +a(g198
    +V(
    +tp1439
    +a(g12
    +Vx
    +tp1440
    +a(g198
    +V)
    +tp1441
    +a(g340
    +V,
    +tp1442
    +a(g185
    +V 
    +tp1443
    +a(g12
    +Vn
    +tp1444
    +a(g340
    +V,
    +tp1445
    +a(g185
    +V 
    +tp1446
    +a(g12
    +Vp
    +tp1447
    +a(g198
    +V)
    +tp1448
    +a(g185
    +V 
    +tp1449
    +a(g53
    +Vwith
    +p1450
    +tp1451
    +a(g185
    +V 
    +tp1452
    +a(g12
    +Vhisteps
    +p1453
    +tp1454
    +a(g340
    +V,
    +tp1455
    +a(g185
    +V 
    +tp1456
    +a(g15
    +Vnormal
    +p1457
    +tp1458
    +a(g198
    +V(
    +tp1459
    +a(g12
    +Vx
    +tp1460
    +a(g340
    +V,
    +tp1461
    +a(g185
    +V 
    +tp1462
    +a(g12
    +Vmu
    +p1463
    +tp1464
    +a(g340
    +V,
    +tp1465
    +a(g185
    +V 
    +tp1466
    +a(g12
    +Vsigma
    +p1467
    +tp1468
    +a(g198
    +V)
    +tp1469
    +a(g185
    +V\u000a
    +tp1470
    +a(g107
    +Vpause
    +p1471
    +tp1472
    +a(g185
    +V 
    +tp1473
    +a(g315
    +V-1
    +p1474
    +tp1475
    +a(g185
    +V 
    +tp1476
    +a(g222
    +V"
    +tp1477
    +a(g222
    +VHit return to continue
    +p1478
    +tp1479
    +a(g222
    +V"
    +tp1480
    +a(g185
    +V\u000a
    +tp1481
    +a(g107
    +Vunset
    +p1482
    +tp1483
    +a(g185
    +V 
    +tp1484
    +a(g53
    +Varrow
    +p1485
    +tp1486
    +a(g185
    +V\u000a
    +tp1487
    +a(g107
    +Vunset
    +p1488
    +tp1489
    +a(g185
    +V 
    +tp1490
    +a(g53
    +Vlabel
    +p1491
    +tp1492
    +a(g185
    +V\u000a
    +tp1493
    +a(g185
    +V\u000a
    +tp1494
    +a(g6
    +V#
    +tp1495
    +a(g6
    +V 
    +tp1496
    +a(g6
    +VB
    +tp1497
    +a(g6
    +Vi
    +tp1498
    +a(g6
    +Vn
    +tp1499
    +a(g6
    +Vo
    +tp1500
    +a(g6
    +Vm
    +tp1501
    +a(g6
    +Vi
    +tp1502
    +a(g6
    +Va
    +tp1503
    +a(g6
    +Vl
    +tp1504
    +a(g6
    +V 
    +tp1505
    +a(g6
    +VP
    +tp1506
    +a(g6
    +VD
    +tp1507
    +a(g6
    +VF
    +tp1508
    +a(g6
    +V 
    +tp1509
    +a(g6
    +Vu
    +tp1510
    +a(g6
    +Vs
    +tp1511
    +a(g6
    +Vi
    +tp1512
    +a(g6
    +Vn
    +tp1513
    +a(g6
    +Vg
    +tp1514
    +a(g6
    +V 
    +tp1515
    +a(g6
    +Vp
    +tp1516
    +a(g6
    +Vo
    +tp1517
    +a(g6
    +Vi
    +tp1518
    +a(g6
    +Vs
    +tp1519
    +a(g6
    +Vs
    +tp1520
    +a(g6
    +Vo
    +tp1521
    +a(g6
    +Vn
    +tp1522
    +a(g6
    +V 
    +tp1523
    +a(g6
    +Va
    +tp1524
    +a(g6
    +Vp
    +tp1525
    +a(g6
    +Vp
    +tp1526
    +a(g6
    +Vr
    +tp1527
    +a(g6
    +Vo
    +tp1528
    +a(g6
    +Vx
    +tp1529
    +a(g6
    +Vi
    +tp1530
    +a(g6
    +Vm
    +tp1531
    +a(g6
    +Va
    +tp1532
    +a(g6
    +Vt
    +tp1533
    +a(g6
    +Vi
    +tp1534
    +a(g6
    +Vo
    +tp1535
    +a(g6
    +Vn
    +tp1536
    +a(g6
    +V
    +tp1537
    +a(g185
    +V\u000a
    +tp1538
    +a(g69
    +Vn
    +tp1539
    +a(g185
    +V 
    +tp1540
    +a(g340
    +V=
    +tp1541
    +a(g185
    +V 
    +tp1542
    +a(g315
    +V50
    +p1543
    +tp1544
    +a(g198
    +V;
    +tp1545
    +a(g185
    +V 
    +tp1546
    +a(g107
    +Vp
    +tp1547
    +a(g185
    +V 
    +tp1548
    +a(g340
    +V=
    +tp1549
    +a(g185
    +V 
    +tp1550
    +a(g307
    +V0.1
    +p1551
    +tp1552
    +a(g185
    +V\u000a
    +tp1553
    +a(g69
    +Vmu
    +p1554
    +tp1555
    +a(g185
    +V 
    +tp1556
    +a(g340
    +V=
    +tp1557
    +a(g185
    +V 
    +tp1558
    +a(g12
    +Vn
    +tp1559
    +a(g185
    +V 
    +tp1560
    +a(g340
    +V*
    +tp1561
    +a(g185
    +V 
    +tp1562
    +a(g12
    +Vp
    +tp1563
    +a(g185
    +V\u000a
    +tp1564
    +a(g69
    +Vsigma
    +p1565
    +tp1566
    +a(g185
    +V 
    +tp1567
    +a(g340
    +V=
    +tp1568
    +a(g185
    +V 
    +tp1569
    +a(g15
    +Vsqrt
    +p1570
    +tp1571
    +a(g198
    +V(
    +tp1572
    +a(g12
    +Vmu
    +p1573
    +tp1574
    +a(g198
    +V)
    +tp1575
    +a(g185
    +V\u000a
    +tp1576
    +a(g69
    +Vxmin
    +p1577
    +tp1578
    +a(g185
    +V 
    +tp1579
    +a(g340
    +V=
    +tp1580
    +a(g185
    +V 
    +tp1581
    +a(g15
    +Vfloor
    +p1582
    +tp1583
    +a(g198
    +V(
    +tp1584
    +a(g12
    +Vmu
    +p1585
    +tp1586
    +a(g185
    +V 
    +tp1587
    +a(g340
    +V-
    +tp1588
    +a(g185
    +V 
    +tp1589
    +a(g12
    +Vr_sigma
    +p1590
    +tp1591
    +a(g185
    +V 
    +tp1592
    +a(g340
    +V*
    +tp1593
    +a(g185
    +V 
    +tp1594
    +a(g12
    +Vsigma
    +p1595
    +tp1596
    +a(g198
    +V)
    +tp1597
    +a(g185
    +V\u000a
    +tp1598
    +a(g69
    +Vxmin
    +p1599
    +tp1600
    +a(g185
    +V 
    +tp1601
    +a(g340
    +V=
    +tp1602
    +a(g185
    +V 
    +tp1603
    +a(g12
    +Vxmin
    +p1604
    +tp1605
    +a(g185
    +V 
    +tp1606
    +a(g340
    +V<
    +tp1607
    +a(g185
    +V 
    +tp1608
    +a(g12
    +Vr_xmin
    +p1609
    +tp1610
    +a(g185
    +V 
    +tp1611
    +a(g340
    +V?
    +tp1612
    +a(g185
    +V 
    +tp1613
    +a(g12
    +Vr_xmin
    +p1614
    +tp1615
    +a(g185
    +V 
    +tp1616
    +a(g340
    +V:
    +tp1617
    +a(g185
    +V 
    +tp1618
    +a(g12
    +Vxmin
    +p1619
    +tp1620
    +a(g185
    +V\u000a
    +tp1621
    +a(g69
    +Vxmax
    +p1622
    +tp1623
    +a(g185
    +V 
    +tp1624
    +a(g340
    +V=
    +tp1625
    +a(g185
    +V 
    +tp1626
    +a(g15
    +Vceil
    +p1627
    +tp1628
    +a(g198
    +V(
    +tp1629
    +a(g12
    +Vmu
    +p1630
    +tp1631
    +a(g185
    +V 
    +tp1632
    +a(g340
    +V+
    +tp1633
    +a(g185
    +V 
    +tp1634
    +a(g12
    +Vr_sigma
    +p1635
    +tp1636
    +a(g185
    +V 
    +tp1637
    +a(g340
    +V*
    +tp1638
    +a(g185
    +V 
    +tp1639
    +a(g12
    +Vsigma
    +p1640
    +tp1641
    +a(g198
    +V)
    +tp1642
    +a(g185
    +V\u000a
    +tp1643
    +a(g69
    +Vymax
    +p1644
    +tp1645
    +a(g185
    +V 
    +tp1646
    +a(g340
    +V=
    +tp1647
    +a(g185
    +V 
    +tp1648
    +a(g307
    +V1.1
    +p1649
    +tp1650
    +a(g185
    +V 
    +tp1651
    +a(g340
    +V*
    +tp1652
    +a(g185
    +V 
    +tp1653
    +a(g15
    +Vbinom
    +p1654
    +tp1655
    +a(g198
    +V(
    +tp1656
    +a(g15
    +Vfloor
    +p1657
    +tp1658
    +a(g198
    +V(
    +tp1659
    +a(g198
    +V(
    +tp1660
    +a(g12
    +Vn
    +tp1661
    +a(g340
    +V+
    +tp1662
    +a(g315
    +V1
    +tp1663
    +a(g198
    +V)
    +tp1664
    +a(g340
    +V*
    +tp1665
    +a(g12
    +Vp
    +tp1666
    +a(g198
    +V)
    +tp1667
    +a(g340
    +V,
    +tp1668
    +a(g185
    +V 
    +tp1669
    +a(g12
    +Vn
    +tp1670
    +a(g340
    +V,
    +tp1671
    +a(g185
    +V 
    +tp1672
    +a(g12
    +Vp
    +tp1673
    +a(g198
    +V)
    +tp1674
    +a(g185
    +V 
    +tp1675
    +a(g6
    +V#
    +tp1676
    +a(g6
    +Vm
    +tp1677
    +a(g6
    +Vo
    +tp1678
    +a(g6
    +Vd
    +tp1679
    +a(g6
    +Ve
    +tp1680
    +a(g6
    +V 
    +tp1681
    +a(g6
    +Vo
    +tp1682
    +a(g6
    +Vf
    +tp1683
    +a(g6
    +V 
    +tp1684
    +a(g6
    +Vb
    +tp1685
    +a(g6
    +Vi
    +tp1686
    +a(g6
    +Vn
    +tp1687
    +a(g6
    +Vo
    +tp1688
    +a(g6
    +Vm
    +tp1689
    +a(g6
    +Vi
    +tp1690
    +a(g6
    +Va
    +tp1691
    +a(g6
    +Vl
    +tp1692
    +a(g6
    +V 
    +tp1693
    +a(g6
    +VP
    +tp1694
    +a(g6
    +VD
    +tp1695
    +a(g6
    +VF
    +tp1696
    +a(g6
    +V 
    +tp1697
    +a(g6
    +Vu
    +tp1698
    +a(g6
    +Vs
    +tp1699
    +a(g6
    +Ve
    +tp1700
    +a(g6
    +Vd
    +tp1701
    +a(g6
    +V
    +tp1702
    +a(g185
    +V\u000a
    +tp1703
    +a(g107
    +Vset
    +p1704
    +tp1705
    +a(g185
    +V 
    +tp1706
    +a(g53
    +Vkey
    +p1707
    +tp1708
    +a(g185
    +V 
    +tp1709
    +a(g12
    +Vbox
    +p1710
    +tp1711
    +a(g185
    +V\u000a
    +tp1712
    +a(g107
    +Vunset
    +p1713
    +tp1714
    +a(g185
    +V 
    +tp1715
    +a(g53
    +Vzeroaxis
    +p1716
    +tp1717
    +a(g185
    +V\u000a
    +tp1718
    +a(g107
    +Vset
    +p1719
    +tp1720
    +a(g185
    +V 
    +tp1721
    +a(g53
    +Vxrange
    +p1722
    +tp1723
    +a(g185
    +V 
    +tp1724
    +a(g198
    +V[
    +tp1725
    +a(g12
    +Vxmin
    +p1726
    +tp1727
    +a(g185
    +V 
    +tp1728
    +a(g340
    +V-
    +tp1729
    +a(g185
    +V 
    +tp1730
    +a(g315
    +V1
    +tp1731
    +a(g185
    +V 
    +tp1732
    +a(g340
    +V:
    +tp1733
    +a(g185
    +V 
    +tp1734
    +a(g12
    +Vxmax
    +p1735
    +tp1736
    +a(g185
    +V 
    +tp1737
    +a(g340
    +V+
    +tp1738
    +a(g185
    +V 
    +tp1739
    +a(g315
    +V1
    +tp1740
    +a(g198
    +V]
    +tp1741
    +a(g185
    +V\u000a
    +tp1742
    +a(g107
    +Vset
    +p1743
    +tp1744
    +a(g185
    +V 
    +tp1745
    +a(g53
    +Vyrange
    +p1746
    +tp1747
    +a(g185
    +V 
    +tp1748
    +a(g198
    +V[
    +tp1749
    +a(g315
    +V0
    +tp1750
    +a(g185
    +V 
    +tp1751
    +a(g340
    +V:
    +tp1752
    +a(g185
    +V 
    +tp1753
    +a(g12
    +Vymax
    +p1754
    +tp1755
    +a(g198
    +V]
    +tp1756
    +a(g185
    +V\u000a
    +tp1757
    +a(g107
    +Vset
    +p1758
    +tp1759
    +a(g185
    +V 
    +tp1760
    +a(g53
    +Vxlabel
    +p1761
    +tp1762
    +a(g185
    +V 
    +tp1763
    +a(g222
    +V"
    +tp1764
    +a(g222
    +Vk ->
    +p1765
    +tp1766
    +a(g222
    +V"
    +tp1767
    +a(g185
    +V\u000a
    +tp1768
    +a(g107
    +Vset
    +p1769
    +tp1770
    +a(g185
    +V 
    +tp1771
    +a(g53
    +Vylabel
    +p1772
    +tp1773
    +a(g185
    +V 
    +tp1774
    +a(g222
    +V"
    +tp1775
    +a(g222
    +Vprobability density ->
    +p1776
    +tp1777
    +a(g222
    +V"
    +tp1778
    +a(g185
    +V\u000a
    +tp1779
    +a(g107
    +Vset
    +p1780
    +tp1781
    +a(g185
    +V 
    +tp1782
    +a(g53
    +Vytics
    +p1783
    +tp1784
    +a(g185
    +V 
    +tp1785
    +a(g315
    +V0
    +tp1786
    +a(g340
    +V,
    +tp1787
    +a(g185
    +V 
    +tp1788
    +a(g12
    +Vymax
    +p1789
    +tp1790
    +a(g185
    +V 
    +tp1791
    +a(g340
    +V/
    +tp1792
    +a(g185
    +V 
    +tp1793
    +a(g307
    +V10.0
    +p1794
    +tp1795
    +a(g340
    +V,
    +tp1796
    +a(g185
    +V 
    +tp1797
    +a(g12
    +Vymax
    +p1798
    +tp1799
    +a(g185
    +V\u000a
    +tp1800
    +a(g107
    +Vset
    +p1801
    +tp1802
    +a(g185
    +V 
    +tp1803
    +a(g53
    +Vformat
    +p1804
    +tp1805
    +a(g185
    +V 
    +tp1806
    +a(g12
    +Vx
    +tp1807
    +a(g185
    +V 
    +tp1808
    +a(g222
    +V"
    +tp1809
    +a(g222
    +V%2.0f
    +p1810
    +tp1811
    +a(g222
    +V"
    +tp1812
    +a(g185
    +V\u000a
    +tp1813
    +a(g107
    +Vset
    +p1814
    +tp1815
    +a(g185
    +V 
    +tp1816
    +a(g53
    +Vformat
    +p1817
    +tp1818
    +a(g185
    +V 
    +tp1819
    +a(g12
    +Vy
    +tp1820
    +a(g185
    +V 
    +tp1821
    +a(g222
    +V"
    +tp1822
    +a(g222
    +V%3.2f
    +p1823
    +tp1824
    +a(g222
    +V"
    +tp1825
    +a(g185
    +V\u000a
    +tp1826
    +a(g107
    +Vset
    +p1827
    +tp1828
    +a(g185
    +V 
    +tp1829
    +a(g53
    +Vsample
    +p1830
    +tp1831
    +a(g185
    +V 
    +tp1832
    +a(g198
    +V(
    +tp1833
    +a(g12
    +Vxmax
    +p1834
    +tp1835
    +a(g185
    +V 
    +tp1836
    +a(g340
    +V-
    +tp1837
    +a(g185
    +V 
    +tp1838
    +a(g12
    +Vxmin
    +p1839
    +tp1840
    +a(g185
    +V 
    +tp1841
    +a(g340
    +V+
    +tp1842
    +a(g185
    +V 
    +tp1843
    +a(g315
    +V3
    +tp1844
    +a(g198
    +V)
    +tp1845
    +a(g185
    +V\u000a
    +tp1846
    +a(g107
    +Vset
    +p1847
    +tp1848
    +a(g185
    +V 
    +tp1849
    +a(g53
    +Vtitle
    +p1850
    +tp1851
    +a(g185
    +V 
    +tp1852
    +a(g222
    +V"
    +tp1853
    +a(g222
    +Vbinomial PDF using poisson approximation
    +p1854
    +tp1855
    +a(g222
    +V"
    +tp1856
    +a(g185
    +V\u000a
    +tp1857
    +a(g107
    +Vset
    +p1858
    +tp1859
    +a(g185
    +V 
    +tp1860
    +a(g53
    +Varrow
    +p1861
    +tp1862
    +a(g185
    +V 
    +tp1863
    +a(g12
    +Vfrom
    +p1864
    +tp1865
    +a(g185
    +V 
    +tp1866
    +a(g12
    +Vmu
    +p1867
    +tp1868
    +a(g340
    +V,
    +tp1869
    +a(g185
    +V 
    +tp1870
    +a(g315
    +V0
    +tp1871
    +a(g185
    +V 
    +tp1872
    +a(g12
    +Vto
    +p1873
    +tp1874
    +a(g185
    +V 
    +tp1875
    +a(g12
    +Vmu
    +p1876
    +tp1877
    +a(g340
    +V,
    +tp1878
    +a(g185
    +V 
    +tp1879
    +a(g15
    +Vnormal
    +p1880
    +tp1881
    +a(g198
    +V(
    +tp1882
    +a(g12
    +Vmu
    +p1883
    +tp1884
    +a(g340
    +V,
    +tp1885
    +a(g185
    +V 
    +tp1886
    +a(g12
    +Vmu
    +p1887
    +tp1888
    +a(g340
    +V,
    +tp1889
    +a(g185
    +V 
    +tp1890
    +a(g12
    +Vsigma
    +p1891
    +tp1892
    +a(g198
    +V)
    +tp1893
    +a(g185
    +V 
    +tp1894
    +a(g12
    +Vnohead
    +p1895
    +tp1896
    +a(g185
    +V\u000a
    +tp1897
    +a(g107
    +Vset
    +p1898
    +tp1899
    +a(g185
    +V 
    +tp1900
    +a(g53
    +Varrow
    +p1901
    +tp1902
    +a(g185
    +V 
    +tp1903
    +a(g12
    +Vfrom
    +p1904
    +tp1905
    +a(g185
    +V 
    +tp1906
    +a(g12
    +Vmu
    +p1907
    +tp1908
    +a(g340
    +V,
    +tp1909
    +a(g185
    +V 
    +tp1910
    +a(g15
    +Vnormal
    +p1911
    +tp1912
    +a(g198
    +V(
    +tp1913
    +a(g12
    +Vmu
    +p1914
    +tp1915
    +a(g185
    +V 
    +tp1916
    +a(g340
    +V+
    +tp1917
    +a(g185
    +V 
    +tp1918
    +a(g12
    +Vsigma
    +p1919
    +tp1920
    +a(g340
    +V,
    +tp1921
    +a(g185
    +V 
    +tp1922
    +a(g12
    +Vmu
    +p1923
    +tp1924
    +a(g340
    +V,
    +tp1925
    +a(g185
    +V 
    +tp1926
    +a(g12
    +Vsigma
    +p1927
    +tp1928
    +a(g198
    +V)
    +tp1929
    +a(g185
    +V 
    +tp1930
    +a(g185
    +V\u005c\u000a
    +p1931
    +tp1932
    +a(g185
    +V          
    +p1933
    +tp1934
    +a(g12
    +Vto
    +p1935
    +tp1936
    +a(g185
    +V 
    +tp1937
    +a(g12
    +Vmu
    +p1938
    +tp1939
    +a(g185
    +V 
    +tp1940
    +a(g340
    +V+
    +tp1941
    +a(g185
    +V 
    +tp1942
    +a(g12
    +Vsigma
    +p1943
    +tp1944
    +a(g340
    +V,
    +tp1945
    +a(g185
    +V 
    +tp1946
    +a(g15
    +Vnormal
    +p1947
    +tp1948
    +a(g198
    +V(
    +tp1949
    +a(g12
    +Vmu
    +p1950
    +tp1951
    +a(g185
    +V 
    +tp1952
    +a(g340
    +V+
    +tp1953
    +a(g185
    +V 
    +tp1954
    +a(g12
    +Vsigma
    +p1955
    +tp1956
    +a(g340
    +V,
    +tp1957
    +a(g185
    +V 
    +tp1958
    +a(g12
    +Vmu
    +p1959
    +tp1960
    +a(g340
    +V,
    +tp1961
    +a(g185
    +V 
    +tp1962
    +a(g12
    +Vsigma
    +p1963
    +tp1964
    +a(g198
    +V)
    +tp1965
    +a(g185
    +V 
    +tp1966
    +a(g12
    +Vnohead
    +p1967
    +tp1968
    +a(g185
    +V\u000a
    +tp1969
    +a(g107
    +Vset
    +p1970
    +tp1971
    +a(g185
    +V 
    +tp1972
    +a(g53
    +Vlabel
    +p1973
    +tp1974
    +a(g185
    +V 
    +tp1975
    +a(g222
    +V"
    +tp1976
    +a(g222
    +Vmu
    +p1977
    +tp1978
    +a(g222
    +V"
    +tp1979
    +a(g185
    +V 
    +tp1980
    +a(g12
    +Vat
    +p1981
    +tp1982
    +a(g185
    +V 
    +tp1983
    +a(g12
    +Vmu
    +p1984
    +tp1985
    +a(g185
    +V 
    +tp1986
    +a(g340
    +V+
    +tp1987
    +a(g185
    +V 
    +tp1988
    +a(g307
    +V0.5
    +p1989
    +tp1990
    +a(g340
    +V,
    +tp1991
    +a(g185
    +V 
    +tp1992
    +a(g12
    +Vymax
    +p1993
    +tp1994
    +a(g185
    +V 
    +tp1995
    +a(g340
    +V/
    +tp1996
    +a(g185
    +V 
    +tp1997
    +a(g315
    +V10
    +p1998
    +tp1999
    +a(g185
    +V\u000a
    +tp2000
    +a(g107
    +Vset
    +p2001
    +tp2002
    +a(g185
    +V 
    +tp2003
    +a(g53
    +Vlabel
    +p2004
    +tp2005
    +a(g185
    +V 
    +tp2006
    +a(g222
    +V"
    +tp2007
    +a(g222
    +Vsigma
    +p2008
    +tp2009
    +a(g222
    +V"
    +tp2010
    +a(g185
    +V 
    +tp2011
    +a(g12
    +Vat
    +p2012
    +tp2013
    +a(g185
    +V 
    +tp2014
    +a(g12
    +Vmu
    +p2015
    +tp2016
    +a(g185
    +V 
    +tp2017
    +a(g340
    +V+
    +tp2018
    +a(g185
    +V 
    +tp2019
    +a(g307
    +V0.5
    +p2020
    +tp2021
    +a(g185
    +V 
    +tp2022
    +a(g340
    +V+
    +tp2023
    +a(g185
    +V 
    +tp2024
    +a(g12
    +Vsigma
    +p2025
    +tp2026
    +a(g340
    +V,
    +tp2027
    +a(g185
    +V 
    +tp2028
    +a(g15
    +Vnormal
    +p2029
    +tp2030
    +a(g198
    +V(
    +tp2031
    +a(g12
    +Vmu
    +p2032
    +tp2033
    +a(g185
    +V 
    +tp2034
    +a(g340
    +V+
    +tp2035
    +a(g185
    +V 
    +tp2036
    +a(g12
    +Vsigma
    +p2037
    +tp2038
    +a(g340
    +V,
    +tp2039
    +a(g185
    +V 
    +tp2040
    +a(g12
    +Vmu
    +p2041
    +tp2042
    +a(g340
    +V,
    +tp2043
    +a(g185
    +V 
    +tp2044
    +a(g12
    +Vsigma
    +p2045
    +tp2046
    +a(g198
    +V)
    +tp2047
    +a(g185
    +V\u000a
    +tp2048
    +a(g107
    +Vplot
    +p2049
    +tp2050
    +a(g185
    +V 
    +tp2051
    +a(g15
    +Vbinom
    +p2052
    +tp2053
    +a(g198
    +V(
    +tp2054
    +a(g12
    +Vx
    +tp2055
    +a(g340
    +V,
    +tp2056
    +a(g185
    +V 
    +tp2057
    +a(g12
    +Vn
    +tp2058
    +a(g340
    +V,
    +tp2059
    +a(g185
    +V 
    +tp2060
    +a(g12
    +Vp
    +tp2061
    +a(g198
    +V)
    +tp2062
    +a(g185
    +V 
    +tp2063
    +a(g53
    +Vwith
    +p2064
    +tp2065
    +a(g185
    +V 
    +tp2066
    +a(g12
    +Vhisteps
    +p2067
    +tp2068
    +a(g340
    +V,
    +tp2069
    +a(g185
    +V 
    +tp2070
    +a(g15
    +Vpoisson
    +p2071
    +tp2072
    +a(g198
    +V(
    +tp2073
    +a(g12
    +Vx
    +tp2074
    +a(g340
    +V,
    +tp2075
    +a(g185
    +V 
    +tp2076
    +a(g12
    +Vmu
    +p2077
    +tp2078
    +a(g198
    +V)
    +tp2079
    +a(g185
    +V 
    +tp2080
    +a(g53
    +Vwith
    +p2081
    +tp2082
    +a(g185
    +V 
    +tp2083
    +a(g12
    +Vhisteps
    +p2084
    +tp2085
    +a(g185
    +V\u000a
    +tp2086
    +a(g107
    +Vpause
    +p2087
    +tp2088
    +a(g185
    +V 
    +tp2089
    +a(g315
    +V-1
    +p2090
    +tp2091
    +a(g185
    +V 
    +tp2092
    +a(g222
    +V"
    +tp2093
    +a(g222
    +VHit return to continue
    +p2094
    +tp2095
    +a(g222
    +V"
    +tp2096
    +a(g185
    +V\u000a
    +tp2097
    +a(g107
    +Vunset
    +p2098
    +tp2099
    +a(g185
    +V 
    +tp2100
    +a(g53
    +Varrow
    +p2101
    +tp2102
    +a(g185
    +V\u000a
    +tp2103
    +a(g107
    +Vunset
    +p2104
    +tp2105
    +a(g185
    +V 
    +tp2106
    +a(g53
    +Vlabel
    +p2107
    +tp2108
    +a(g185
    +V\u000a
    +tp2109
    +a(g185
    +V\u000a
    +tp2110
    +a(g6
    +V#
    +tp2111
    +a(g6
    +V 
    +tp2112
    +a(g6
    +VG
    +tp2113
    +a(g6
    +Ve
    +tp2114
    +a(g6
    +Vo
    +tp2115
    +a(g6
    +Vm
    +tp2116
    +a(g6
    +Ve
    +tp2117
    +a(g6
    +Vt
    +tp2118
    +a(g6
    +Vr
    +tp2119
    +a(g6
    +Vi
    +tp2120
    +a(g6
    +Vc
    +tp2121
    +a(g6
    +V 
    +tp2122
    +a(g6
    +VP
    +tp2123
    +a(g6
    +VD
    +tp2124
    +a(g6
    +VF
    +tp2125
    +a(g6
    +V 
    +tp2126
    +a(g6
    +Vu
    +tp2127
    +a(g6
    +Vs
    +tp2128
    +a(g6
    +Vi
    +tp2129
    +a(g6
    +Vn
    +tp2130
    +a(g6
    +Vg
    +tp2131
    +a(g6
    +V 
    +tp2132
    +a(g6
    +Vg
    +tp2133
    +a(g6
    +Va
    +tp2134
    +a(g6
    +Vm
    +tp2135
    +a(g6
    +Vm
    +tp2136
    +a(g6
    +Va
    +tp2137
    +a(g6
    +V 
    +tp2138
    +a(g6
    +Va
    +tp2139
    +a(g6
    +Vp
    +tp2140
    +a(g6
    +Vp
    +tp2141
    +a(g6
    +Vr
    +tp2142
    +a(g6
    +Vo
    +tp2143
    +a(g6
    +Vx
    +tp2144
    +a(g6
    +Vi
    +tp2145
    +a(g6
    +Vm
    +tp2146
    +a(g6
    +Va
    +tp2147
    +a(g6
    +Vt
    +tp2148
    +a(g6
    +Vi
    +tp2149
    +a(g6
    +Vo
    +tp2150
    +a(g6
    +Vn
    +tp2151
    +a(g6
    +V
    +tp2152
    +a(g185
    +V\u000a
    +tp2153
    +a(g107
    +Vp
    +tp2154
    +a(g185
    +V 
    +tp2155
    +a(g340
    +V=
    +tp2156
    +a(g185
    +V 
    +tp2157
    +a(g307
    +V0.3
    +p2158
    +tp2159
    +a(g185
    +V\u000a
    +tp2160
    +a(g69
    +Vmu
    +p2161
    +tp2162
    +a(g185
    +V 
    +tp2163
    +a(g340
    +V=
    +tp2164
    +a(g185
    +V 
    +tp2165
    +a(g198
    +V(
    +tp2166
    +a(g307
    +V1.0
    +p2167
    +tp2168
    +a(g185
    +V 
    +tp2169
    +a(g340
    +V-
    +tp2170
    +a(g185
    +V 
    +tp2171
    +a(g12
    +Vp
    +tp2172
    +a(g198
    +V)
    +tp2173
    +a(g185
    +V 
    +tp2174
    +a(g340
    +V/
    +tp2175
    +a(g185
    +V 
    +tp2176
    +a(g12
    +Vp
    +tp2177
    +a(g185
    +V\u000a
    +tp2178
    +a(g69
    +Vsigma
    +p2179
    +tp2180
    +a(g185
    +V 
    +tp2181
    +a(g340
    +V=
    +tp2182
    +a(g185
    +V 
    +tp2183
    +a(g15
    +Vsqrt
    +p2184
    +tp2185
    +a(g198
    +V(
    +tp2186
    +a(g12
    +Vmu
    +p2187
    +tp2188
    +a(g185
    +V 
    +tp2189
    +a(g340
    +V/
    +tp2190
    +a(g185
    +V 
    +tp2191
    +a(g12
    +Vp
    +tp2192
    +a(g198
    +V)
    +tp2193
    +a(g185
    +V\u000a
    +tp2194
    +a(g69
    +Vlambda
    +p2195
    +tp2196
    +a(g185
    +V 
    +tp2197
    +a(g340
    +V=
    +tp2198
    +a(g185
    +V 
    +tp2199
    +a(g12
    +Vp
    +tp2200
    +a(g185
    +V\u000a
    +tp2201
    +a(g69
    +Vrho
    +p2202
    +tp2203
    +a(g185
    +V 
    +tp2204
    +a(g340
    +V=
    +tp2205
    +a(g185
    +V 
    +tp2206
    +a(g307
    +V1.0
    +p2207
    +tp2208
    +a(g185
    +V 
    +tp2209
    +a(g340
    +V-
    +tp2210
    +a(g185
    +V 
    +tp2211
    +a(g12
    +Vp
    +tp2212
    +a(g185
    +V\u000a
    +tp2213
    +a(g69
    +Vxmin
    +p2214
    +tp2215
    +a(g185
    +V 
    +tp2216
    +a(g340
    +V=
    +tp2217
    +a(g185
    +V 
    +tp2218
    +a(g15
    +Vfloor
    +p2219
    +tp2220
    +a(g198
    +V(
    +tp2221
    +a(g12
    +Vmu
    +p2222
    +tp2223
    +a(g185
    +V 
    +tp2224
    +a(g340
    +V-
    +tp2225
    +a(g185
    +V 
    +tp2226
    +a(g12
    +Vr_sigma
    +p2227
    +tp2228
    +a(g185
    +V 
    +tp2229
    +a(g340
    +V*
    +tp2230
    +a(g185
    +V 
    +tp2231
    +a(g12
    +Vsigma
    +p2232
    +tp2233
    +a(g198
    +V)
    +tp2234
    +a(g185
    +V\u000a
    +tp2235
    +a(g69
    +Vxmin
    +p2236
    +tp2237
    +a(g185
    +V 
    +tp2238
    +a(g340
    +V=
    +tp2239
    +a(g185
    +V 
    +tp2240
    +a(g12
    +Vxmin
    +p2241
    +tp2242
    +a(g185
    +V 
    +tp2243
    +a(g340
    +V<
    +tp2244
    +a(g185
    +V 
    +tp2245
    +a(g12
    +Vr_xmin
    +p2246
    +tp2247
    +a(g185
    +V 
    +tp2248
    +a(g340
    +V?
    +tp2249
    +a(g185
    +V 
    +tp2250
    +a(g12
    +Vr_xmin
    +p2251
    +tp2252
    +a(g185
    +V 
    +tp2253
    +a(g340
    +V:
    +tp2254
    +a(g185
    +V 
    +tp2255
    +a(g12
    +Vxmin
    +p2256
    +tp2257
    +a(g185
    +V\u000a
    +tp2258
    +a(g69
    +Vxmax
    +p2259
    +tp2260
    +a(g185
    +V 
    +tp2261
    +a(g340
    +V=
    +tp2262
    +a(g185
    +V 
    +tp2263
    +a(g15
    +Vceil
    +p2264
    +tp2265
    +a(g198
    +V(
    +tp2266
    +a(g12
    +Vmu
    +p2267
    +tp2268
    +a(g185
    +V 
    +tp2269
    +a(g340
    +V+
    +tp2270
    +a(g185
    +V 
    +tp2271
    +a(g12
    +Vr_sigma
    +p2272
    +tp2273
    +a(g185
    +V 
    +tp2274
    +a(g340
    +V*
    +tp2275
    +a(g185
    +V 
    +tp2276
    +a(g12
    +Vsigma
    +p2277
    +tp2278
    +a(g198
    +V)
    +tp2279
    +a(g185
    +V\u000a
    +tp2280
    +a(g69
    +Vymax
    +p2281
    +tp2282
    +a(g185
    +V 
    +tp2283
    +a(g340
    +V=
    +tp2284
    +a(g185
    +V 
    +tp2285
    +a(g307
    +V1.1
    +p2286
    +tp2287
    +a(g185
    +V 
    +tp2288
    +a(g340
    +V*
    +tp2289
    +a(g185
    +V 
    +tp2290
    +a(g12
    +Vp
    +tp2291
    +a(g185
    +V\u000a
    +tp2292
    +a(g107
    +Vset
    +p2293
    +tp2294
    +a(g185
    +V 
    +tp2295
    +a(g53
    +Vkey
    +p2296
    +tp2297
    +a(g185
    +V 
    +tp2298
    +a(g12
    +Vbox
    +p2299
    +tp2300
    +a(g185
    +V\u000a
    +tp2301
    +a(g107
    +Vunset
    +p2302
    +tp2303
    +a(g185
    +V 
    +tp2304
    +a(g53
    +Vzeroaxis
    +p2305
    +tp2306
    +a(g185
    +V\u000a
    +tp2307
    +a(g107
    +Vset
    +p2308
    +tp2309
    +a(g185
    +V 
    +tp2310
    +a(g53
    +Vxrange
    +p2311
    +tp2312
    +a(g185
    +V 
    +tp2313
    +a(g198
    +V[
    +tp2314
    +a(g12
    +Vxmin
    +p2315
    +tp2316
    +a(g185
    +V 
    +tp2317
    +a(g340
    +V-
    +tp2318
    +a(g185
    +V 
    +tp2319
    +a(g315
    +V1
    +tp2320
    +a(g185
    +V 
    +tp2321
    +a(g340
    +V:
    +tp2322
    +a(g185
    +V 
    +tp2323
    +a(g12
    +Vxmax
    +p2324
    +tp2325
    +a(g185
    +V 
    +tp2326
    +a(g340
    +V+
    +tp2327
    +a(g185
    +V 
    +tp2328
    +a(g315
    +V1
    +tp2329
    +a(g198
    +V]
    +tp2330
    +a(g185
    +V\u000a
    +tp2331
    +a(g107
    +Vset
    +p2332
    +tp2333
    +a(g185
    +V 
    +tp2334
    +a(g53
    +Vyrange
    +p2335
    +tp2336
    +a(g185
    +V 
    +tp2337
    +a(g198
    +V[
    +tp2338
    +a(g315
    +V0
    +tp2339
    +a(g185
    +V 
    +tp2340
    +a(g340
    +V:
    +tp2341
    +a(g185
    +V 
    +tp2342
    +a(g12
    +Vymax
    +p2343
    +tp2344
    +a(g198
    +V]
    +tp2345
    +a(g185
    +V\u000a
    +tp2346
    +a(g107
    +Vset
    +p2347
    +tp2348
    +a(g185
    +V 
    +tp2349
    +a(g53
    +Vxlabel
    +p2350
    +tp2351
    +a(g185
    +V 
    +tp2352
    +a(g222
    +V"
    +tp2353
    +a(g222
    +Vk, x ->
    +p2354
    +tp2355
    +a(g222
    +V"
    +tp2356
    +a(g185
    +V\u000a
    +tp2357
    +a(g107
    +Vset
    +p2358
    +tp2359
    +a(g185
    +V 
    +tp2360
    +a(g53
    +Vylabel
    +p2361
    +tp2362
    +a(g185
    +V 
    +tp2363
    +a(g222
    +V"
    +tp2364
    +a(g222
    +Vprobability density ->
    +p2365
    +tp2366
    +a(g222
    +V"
    +tp2367
    +a(g185
    +V\u000a
    +tp2368
    +a(g107
    +Vset
    +p2369
    +tp2370
    +a(g185
    +V 
    +tp2371
    +a(g53
    +Vytics
    +p2372
    +tp2373
    +a(g185
    +V 
    +tp2374
    +a(g315
    +V0
    +tp2375
    +a(g340
    +V,
    +tp2376
    +a(g185
    +V 
    +tp2377
    +a(g12
    +Vymax
    +p2378
    +tp2379
    +a(g185
    +V 
    +tp2380
    +a(g340
    +V/
    +tp2381
    +a(g185
    +V 
    +tp2382
    +a(g307
    +V10.0
    +p2383
    +tp2384
    +a(g340
    +V,
    +tp2385
    +a(g185
    +V 
    +tp2386
    +a(g12
    +Vymax
    +p2387
    +tp2388
    +a(g185
    +V\u000a
    +tp2389
    +a(g107
    +Vset
    +p2390
    +tp2391
    +a(g185
    +V 
    +tp2392
    +a(g53
    +Vformat
    +p2393
    +tp2394
    +a(g185
    +V 
    +tp2395
    +a(g12
    +Vx
    +tp2396
    +a(g185
    +V 
    +tp2397
    +a(g222
    +V"
    +tp2398
    +a(g222
    +V%2.0f
    +p2399
    +tp2400
    +a(g222
    +V"
    +tp2401
    +a(g185
    +V\u000a
    +tp2402
    +a(g107
    +Vset
    +p2403
    +tp2404
    +a(g185
    +V 
    +tp2405
    +a(g53
    +Vformat
    +p2406
    +tp2407
    +a(g185
    +V 
    +tp2408
    +a(g12
    +Vy
    +tp2409
    +a(g185
    +V 
    +tp2410
    +a(g222
    +V"
    +tp2411
    +a(g222
    +V%3.2f
    +p2412
    +tp2413
    +a(g222
    +V"
    +tp2414
    +a(g185
    +V\u000a
    +tp2415
    +a(g107
    +Vset
    +p2416
    +tp2417
    +a(g185
    +V 
    +tp2418
    +a(g53
    +Vsample
    +p2419
    +tp2420
    +a(g185
    +V 
    +tp2421
    +a(g315
    +V200
    +p2422
    +tp2423
    +a(g185
    +V\u000a
    +tp2424
    +a(g107
    +Vset
    +p2425
    +tp2426
    +a(g185
    +V 
    +tp2427
    +a(g53
    +Vtitle
    +p2428
    +tp2429
    +a(g185
    +V 
    +tp2430
    +a(g222
    +V"
    +tp2431
    +a(g222
    +Vgeometric PDF using gamma approximation
    +p2432
    +tp2433
    +a(g222
    +V"
    +tp2434
    +a(g185
    +V\u000a
    +tp2435
    +a(g107
    +Vset
    +p2436
    +tp2437
    +a(g185
    +V 
    +tp2438
    +a(g53
    +Varrow
    +p2439
    +tp2440
    +a(g185
    +V 
    +tp2441
    +a(g12
    +Vfrom
    +p2442
    +tp2443
    +a(g185
    +V 
    +tp2444
    +a(g12
    +Vmu
    +p2445
    +tp2446
    +a(g340
    +V,
    +tp2447
    +a(g185
    +V 
    +tp2448
    +a(g315
    +V0
    +tp2449
    +a(g185
    +V 
    +tp2450
    +a(g12
    +Vto
    +p2451
    +tp2452
    +a(g185
    +V 
    +tp2453
    +a(g12
    +Vmu
    +p2454
    +tp2455
    +a(g340
    +V,
    +tp2456
    +a(g185
    +V 
    +tp2457
    +a(g15
    +Vgmm
    +p2458
    +tp2459
    +a(g198
    +V(
    +tp2460
    +a(g12
    +Vmu
    +p2461
    +tp2462
    +a(g340
    +V,
    +tp2463
    +a(g185
    +V 
    +tp2464
    +a(g12
    +Vrho
    +p2465
    +tp2466
    +a(g340
    +V,
    +tp2467
    +a(g185
    +V 
    +tp2468
    +a(g12
    +Vlambda
    +p2469
    +tp2470
    +a(g198
    +V)
    +tp2471
    +a(g185
    +V 
    +tp2472
    +a(g12
    +Vnohead
    +p2473
    +tp2474
    +a(g185
    +V\u000a
    +tp2475
    +a(g107
    +Vset
    +p2476
    +tp2477
    +a(g185
    +V 
    +tp2478
    +a(g53
    +Varrow
    +p2479
    +tp2480
    +a(g185
    +V 
    +tp2481
    +a(g12
    +Vfrom
    +p2482
    +tp2483
    +a(g185
    +V 
    +tp2484
    +a(g12
    +Vmu
    +p2485
    +tp2486
    +a(g340
    +V,
    +tp2487
    +a(g185
    +V 
    +tp2488
    +a(g15
    +Vgmm
    +p2489
    +tp2490
    +a(g198
    +V(
    +tp2491
    +a(g12
    +Vmu
    +p2492
    +tp2493
    +a(g185
    +V 
    +tp2494
    +a(g340
    +V+
    +tp2495
    +a(g185
    +V 
    +tp2496
    +a(g12
    +Vsigma
    +p2497
    +tp2498
    +a(g340
    +V,
    +tp2499
    +a(g185
    +V 
    +tp2500
    +a(g12
    +Vrho
    +p2501
    +tp2502
    +a(g340
    +V,
    +tp2503
    +a(g185
    +V 
    +tp2504
    +a(g12
    +Vlambda
    +p2505
    +tp2506
    +a(g198
    +V)
    +tp2507
    +a(g185
    +V 
    +tp2508
    +a(g185
    +V\u005c\u000a
    +p2509
    +tp2510
    +a(g185
    +V          
    +p2511
    +tp2512
    +a(g12
    +Vto
    +p2513
    +tp2514
    +a(g185
    +V 
    +tp2515
    +a(g12
    +Vmu
    +p2516
    +tp2517
    +a(g185
    +V 
    +tp2518
    +a(g340
    +V+
    +tp2519
    +a(g185
    +V 
    +tp2520
    +a(g12
    +Vsigma
    +p2521
    +tp2522
    +a(g340
    +V,
    +tp2523
    +a(g185
    +V 
    +tp2524
    +a(g15
    +Vgmm
    +p2525
    +tp2526
    +a(g198
    +V(
    +tp2527
    +a(g12
    +Vmu
    +p2528
    +tp2529
    +a(g185
    +V 
    +tp2530
    +a(g340
    +V+
    +tp2531
    +a(g185
    +V 
    +tp2532
    +a(g12
    +Vsigma
    +p2533
    +tp2534
    +a(g340
    +V,
    +tp2535
    +a(g185
    +V 
    +tp2536
    +a(g12
    +Vrho
    +p2537
    +tp2538
    +a(g340
    +V,
    +tp2539
    +a(g185
    +V 
    +tp2540
    +a(g12
    +Vlambda
    +p2541
    +tp2542
    +a(g198
    +V)
    +tp2543
    +a(g185
    +V 
    +tp2544
    +a(g12
    +Vnohead
    +p2545
    +tp2546
    +a(g185
    +V\u000a
    +tp2547
    +a(g107
    +Vset
    +p2548
    +tp2549
    +a(g185
    +V 
    +tp2550
    +a(g53
    +Vlabel
    +p2551
    +tp2552
    +a(g185
    +V 
    +tp2553
    +a(g222
    +V"
    +tp2554
    +a(g222
    +Vmu
    +p2555
    +tp2556
    +a(g222
    +V"
    +tp2557
    +a(g185
    +V 
    +tp2558
    +a(g12
    +Vat
    +p2559
    +tp2560
    +a(g185
    +V 
    +tp2561
    +a(g12
    +Vmu
    +p2562
    +tp2563
    +a(g185
    +V 
    +tp2564
    +a(g340
    +V+
    +tp2565
    +a(g185
    +V 
    +tp2566
    +a(g307
    +V0.5
    +p2567
    +tp2568
    +a(g340
    +V,
    +tp2569
    +a(g185
    +V 
    +tp2570
    +a(g12
    +Vymax
    +p2571
    +tp2572
    +a(g185
    +V 
    +tp2573
    +a(g340
    +V/
    +tp2574
    +a(g185
    +V 
    +tp2575
    +a(g315
    +V10
    +p2576
    +tp2577
    +a(g185
    +V\u000a
    +tp2578
    +a(g107
    +Vset
    +p2579
    +tp2580
    +a(g185
    +V 
    +tp2581
    +a(g53
    +Vlabel
    +p2582
    +tp2583
    +a(g185
    +V 
    +tp2584
    +a(g222
    +V"
    +tp2585
    +a(g222
    +Vsigma
    +p2586
    +tp2587
    +a(g222
    +V"
    +tp2588
    +a(g185
    +V 
    +tp2589
    +a(g12
    +Vat
    +p2590
    +tp2591
    +a(g185
    +V 
    +tp2592
    +a(g12
    +Vmu
    +p2593
    +tp2594
    +a(g185
    +V 
    +tp2595
    +a(g340
    +V+
    +tp2596
    +a(g185
    +V 
    +tp2597
    +a(g307
    +V0.5
    +p2598
    +tp2599
    +a(g185
    +V 
    +tp2600
    +a(g340
    +V+
    +tp2601
    +a(g185
    +V 
    +tp2602
    +a(g12
    +Vsigma
    +p2603
    +tp2604
    +a(g340
    +V,
    +tp2605
    +a(g185
    +V 
    +tp2606
    +a(g15
    +Vgmm
    +p2607
    +tp2608
    +a(g198
    +V(
    +tp2609
    +a(g12
    +Vmu
    +p2610
    +tp2611
    +a(g185
    +V 
    +tp2612
    +a(g340
    +V+
    +tp2613
    +a(g185
    +V 
    +tp2614
    +a(g12
    +Vsigma
    +p2615
    +tp2616
    +a(g340
    +V,
    +tp2617
    +a(g185
    +V 
    +tp2618
    +a(g12
    +Vrho
    +p2619
    +tp2620
    +a(g340
    +V,
    +tp2621
    +a(g185
    +V 
    +tp2622
    +a(g12
    +Vlambda
    +p2623
    +tp2624
    +a(g198
    +V)
    +tp2625
    +a(g185
    +V\u000a
    +tp2626
    +a(g107
    +Vplot
    +p2627
    +tp2628
    +a(g185
    +V 
    +tp2629
    +a(g15
    +Vgeometric
    +p2630
    +tp2631
    +a(g198
    +V(
    +tp2632
    +a(g15
    +Vrnd
    +p2633
    +tp2634
    +a(g198
    +V(
    +tp2635
    +a(g12
    +Vx
    +tp2636
    +a(g198
    +V)
    +tp2637
    +a(g340
    +V,
    +tp2638
    +a(g12
    +Vp
    +tp2639
    +a(g198
    +V)
    +tp2640
    +a(g185
    +V 
    +tp2641
    +a(g53
    +Vwith
    +p2642
    +tp2643
    +a(g185
    +V 
    +tp2644
    +a(g12
    +Vhisteps
    +p2645
    +tp2646
    +a(g340
    +V,
    +tp2647
    +a(g185
    +V 
    +tp2648
    +a(g15
    +Vgmm
    +p2649
    +tp2650
    +a(g198
    +V(
    +tp2651
    +a(g12
    +Vx
    +tp2652
    +a(g340
    +V,
    +tp2653
    +a(g185
    +V 
    +tp2654
    +a(g12
    +Vrho
    +p2655
    +tp2656
    +a(g340
    +V,
    +tp2657
    +a(g185
    +V 
    +tp2658
    +a(g12
    +Vlambda
    +p2659
    +tp2660
    +a(g198
    +V)
    +tp2661
    +a(g185
    +V\u000a
    +tp2662
    +a(g107
    +Vpause
    +p2663
    +tp2664
    +a(g185
    +V 
    +tp2665
    +a(g315
    +V-1
    +p2666
    +tp2667
    +a(g185
    +V 
    +tp2668
    +a(g222
    +V"
    +tp2669
    +a(g222
    +VHit return to continue
    +p2670
    +tp2671
    +a(g222
    +V"
    +tp2672
    +a(g185
    +V\u000a
    +tp2673
    +a(g107
    +Vunset
    +p2674
    +tp2675
    +a(g185
    +V 
    +tp2676
    +a(g53
    +Varrow
    +p2677
    +tp2678
    +a(g185
    +V\u000a
    +tp2679
    +a(g107
    +Vunset
    +p2680
    +tp2681
    +a(g185
    +V 
    +tp2682
    +a(g53
    +Vlabel
    +p2683
    +tp2684
    +a(g185
    +V\u000a
    +tp2685
    +a(g185
    +V\u000a
    +tp2686
    +a(g6
    +V#
    +tp2687
    +a(g6
    +V 
    +tp2688
    +a(g6
    +VG
    +tp2689
    +a(g6
    +Ve
    +tp2690
    +a(g6
    +Vo
    +tp2691
    +a(g6
    +Vm
    +tp2692
    +a(g6
    +Ve
    +tp2693
    +a(g6
    +Vt
    +tp2694
    +a(g6
    +Vr
    +tp2695
    +a(g6
    +Vi
    +tp2696
    +a(g6
    +Vc
    +tp2697
    +a(g6
    +V 
    +tp2698
    +a(g6
    +VP
    +tp2699
    +a(g6
    +VD
    +tp2700
    +a(g6
    +VF
    +tp2701
    +a(g6
    +V 
    +tp2702
    +a(g6
    +Vu
    +tp2703
    +a(g6
    +Vs
    +tp2704
    +a(g6
    +Vi
    +tp2705
    +a(g6
    +Vn
    +tp2706
    +a(g6
    +Vg
    +tp2707
    +a(g6
    +V 
    +tp2708
    +a(g6
    +Vn
    +tp2709
    +a(g6
    +Vo
    +tp2710
    +a(g6
    +Vr
    +tp2711
    +a(g6
    +Vm
    +tp2712
    +a(g6
    +Va
    +tp2713
    +a(g6
    +Vl
    +tp2714
    +a(g6
    +V 
    +tp2715
    +a(g6
    +Va
    +tp2716
    +a(g6
    +Vp
    +tp2717
    +a(g6
    +Vp
    +tp2718
    +a(g6
    +Vr
    +tp2719
    +a(g6
    +Vo
    +tp2720
    +a(g6
    +Vx
    +tp2721
    +a(g6
    +Vi
    +tp2722
    +a(g6
    +Vm
    +tp2723
    +a(g6
    +Va
    +tp2724
    +a(g6
    +Vt
    +tp2725
    +a(g6
    +Vi
    +tp2726
    +a(g6
    +Vo
    +tp2727
    +a(g6
    +Vn
    +tp2728
    +a(g6
    +V
    +tp2729
    +a(g185
    +V\u000a
    +tp2730
    +a(g107
    +Vp
    +tp2731
    +a(g185
    +V 
    +tp2732
    +a(g340
    +V=
    +tp2733
    +a(g185
    +V 
    +tp2734
    +a(g307
    +V0.3
    +p2735
    +tp2736
    +a(g185
    +V\u000a
    +tp2737
    +a(g69
    +Vmu
    +p2738
    +tp2739
    +a(g185
    +V 
    +tp2740
    +a(g340
    +V=
    +tp2741
    +a(g185
    +V 
    +tp2742
    +a(g198
    +V(
    +tp2743
    +a(g307
    +V1.0
    +p2744
    +tp2745
    +a(g185
    +V 
    +tp2746
    +a(g340
    +V-
    +tp2747
    +a(g185
    +V 
    +tp2748
    +a(g12
    +Vp
    +tp2749
    +a(g198
    +V)
    +tp2750
    +a(g185
    +V 
    +tp2751
    +a(g340
    +V/
    +tp2752
    +a(g185
    +V 
    +tp2753
    +a(g12
    +Vp
    +tp2754
    +a(g185
    +V\u000a
    +tp2755
    +a(g69
    +Vsigma
    +p2756
    +tp2757
    +a(g185
    +V 
    +tp2758
    +a(g340
    +V=
    +tp2759
    +a(g185
    +V 
    +tp2760
    +a(g15
    +Vsqrt
    +p2761
    +tp2762
    +a(g198
    +V(
    +tp2763
    +a(g12
    +Vmu
    +p2764
    +tp2765
    +a(g185
    +V 
    +tp2766
    +a(g340
    +V/
    +tp2767
    +a(g185
    +V 
    +tp2768
    +a(g12
    +Vp
    +tp2769
    +a(g198
    +V)
    +tp2770
    +a(g185
    +V\u000a
    +tp2771
    +a(g69
    +Vxmin
    +p2772
    +tp2773
    +a(g185
    +V 
    +tp2774
    +a(g340
    +V=
    +tp2775
    +a(g185
    +V 
    +tp2776
    +a(g15
    +Vfloor
    +p2777
    +tp2778
    +a(g198
    +V(
    +tp2779
    +a(g12
    +Vmu
    +p2780
    +tp2781
    +a(g185
    +V 
    +tp2782
    +a(g340
    +V-
    +tp2783
    +a(g185
    +V 
    +tp2784
    +a(g12
    +Vr_sigma
    +p2785
    +tp2786
    +a(g185
    +V 
    +tp2787
    +a(g340
    +V*
    +tp2788
    +a(g185
    +V 
    +tp2789
    +a(g12
    +Vsigma
    +p2790
    +tp2791
    +a(g198
    +V)
    +tp2792
    +a(g185
    +V\u000a
    +tp2793
    +a(g69
    +Vxmin
    +p2794
    +tp2795
    +a(g185
    +V 
    +tp2796
    +a(g340
    +V=
    +tp2797
    +a(g185
    +V 
    +tp2798
    +a(g12
    +Vxmin
    +p2799
    +tp2800
    +a(g185
    +V 
    +tp2801
    +a(g340
    +V<
    +tp2802
    +a(g185
    +V 
    +tp2803
    +a(g12
    +Vr_xmin
    +p2804
    +tp2805
    +a(g185
    +V 
    +tp2806
    +a(g340
    +V?
    +tp2807
    +a(g185
    +V 
    +tp2808
    +a(g12
    +Vr_xmin
    +p2809
    +tp2810
    +a(g185
    +V 
    +tp2811
    +a(g340
    +V:
    +tp2812
    +a(g185
    +V 
    +tp2813
    +a(g12
    +Vxmin
    +p2814
    +tp2815
    +a(g185
    +V\u000a
    +tp2816
    +a(g69
    +Vxmax
    +p2817
    +tp2818
    +a(g185
    +V 
    +tp2819
    +a(g340
    +V=
    +tp2820
    +a(g185
    +V 
    +tp2821
    +a(g15
    +Vceil
    +p2822
    +tp2823
    +a(g198
    +V(
    +tp2824
    +a(g12
    +Vmu
    +p2825
    +tp2826
    +a(g185
    +V 
    +tp2827
    +a(g340
    +V+
    +tp2828
    +a(g185
    +V 
    +tp2829
    +a(g12
    +Vr_sigma
    +p2830
    +tp2831
    +a(g185
    +V 
    +tp2832
    +a(g340
    +V*
    +tp2833
    +a(g185
    +V 
    +tp2834
    +a(g12
    +Vsigma
    +p2835
    +tp2836
    +a(g198
    +V)
    +tp2837
    +a(g185
    +V\u000a
    +tp2838
    +a(g69
    +Vymax
    +p2839
    +tp2840
    +a(g185
    +V 
    +tp2841
    +a(g340
    +V=
    +tp2842
    +a(g185
    +V 
    +tp2843
    +a(g307
    +V1.1
    +p2844
    +tp2845
    +a(g185
    +V 
    +tp2846
    +a(g340
    +V*
    +tp2847
    +a(g185
    +V 
    +tp2848
    +a(g12
    +Vp
    +tp2849
    +a(g185
    +V\u000a
    +tp2850
    +a(g107
    +Vset
    +p2851
    +tp2852
    +a(g185
    +V 
    +tp2853
    +a(g53
    +Vkey
    +p2854
    +tp2855
    +a(g185
    +V 
    +tp2856
    +a(g12
    +Vbox
    +p2857
    +tp2858
    +a(g185
    +V\u000a
    +tp2859
    +a(g107
    +Vunset
    +p2860
    +tp2861
    +a(g185
    +V 
    +tp2862
    +a(g53
    +Vzeroaxis
    +p2863
    +tp2864
    +a(g185
    +V\u000a
    +tp2865
    +a(g107
    +Vset
    +p2866
    +tp2867
    +a(g185
    +V 
    +tp2868
    +a(g53
    +Vxrange
    +p2869
    +tp2870
    +a(g185
    +V 
    +tp2871
    +a(g198
    +V[
    +tp2872
    +a(g12
    +Vxmin
    +p2873
    +tp2874
    +a(g185
    +V 
    +tp2875
    +a(g340
    +V-
    +tp2876
    +a(g185
    +V 
    +tp2877
    +a(g315
    +V1
    +tp2878
    +a(g185
    +V 
    +tp2879
    +a(g340
    +V:
    +tp2880
    +a(g185
    +V 
    +tp2881
    +a(g12
    +Vxmax
    +p2882
    +tp2883
    +a(g185
    +V 
    +tp2884
    +a(g340
    +V+
    +tp2885
    +a(g185
    +V 
    +tp2886
    +a(g315
    +V1
    +tp2887
    +a(g198
    +V]
    +tp2888
    +a(g185
    +V\u000a
    +tp2889
    +a(g107
    +Vset
    +p2890
    +tp2891
    +a(g185
    +V 
    +tp2892
    +a(g53
    +Vyrange
    +p2893
    +tp2894
    +a(g185
    +V 
    +tp2895
    +a(g198
    +V[
    +tp2896
    +a(g315
    +V0
    +tp2897
    +a(g185
    +V 
    +tp2898
    +a(g340
    +V:
    +tp2899
    +a(g185
    +V 
    +tp2900
    +a(g12
    +Vymax
    +p2901
    +tp2902
    +a(g198
    +V]
    +tp2903
    +a(g185
    +V\u000a
    +tp2904
    +a(g107
    +Vset
    +p2905
    +tp2906
    +a(g185
    +V 
    +tp2907
    +a(g53
    +Vxlabel
    +p2908
    +tp2909
    +a(g185
    +V 
    +tp2910
    +a(g222
    +V"
    +tp2911
    +a(g222
    +Vk, x ->
    +p2912
    +tp2913
    +a(g222
    +V"
    +tp2914
    +a(g185
    +V\u000a
    +tp2915
    +a(g107
    +Vset
    +p2916
    +tp2917
    +a(g185
    +V 
    +tp2918
    +a(g53
    +Vylabel
    +p2919
    +tp2920
    +a(g185
    +V 
    +tp2921
    +a(g222
    +V"
    +tp2922
    +a(g222
    +Vprobability density ->
    +p2923
    +tp2924
    +a(g222
    +V"
    +tp2925
    +a(g185
    +V\u000a
    +tp2926
    +a(g107
    +Vset
    +p2927
    +tp2928
    +a(g185
    +V 
    +tp2929
    +a(g53
    +Vytics
    +p2930
    +tp2931
    +a(g185
    +V 
    +tp2932
    +a(g315
    +V0
    +tp2933
    +a(g340
    +V,
    +tp2934
    +a(g185
    +V 
    +tp2935
    +a(g12
    +Vymax
    +p2936
    +tp2937
    +a(g185
    +V 
    +tp2938
    +a(g340
    +V/
    +tp2939
    +a(g185
    +V 
    +tp2940
    +a(g307
    +V10.0
    +p2941
    +tp2942
    +a(g340
    +V,
    +tp2943
    +a(g185
    +V 
    +tp2944
    +a(g12
    +Vymax
    +p2945
    +tp2946
    +a(g185
    +V\u000a
    +tp2947
    +a(g107
    +Vset
    +p2948
    +tp2949
    +a(g185
    +V 
    +tp2950
    +a(g53
    +Vformat
    +p2951
    +tp2952
    +a(g185
    +V 
    +tp2953
    +a(g12
    +Vx
    +tp2954
    +a(g185
    +V 
    +tp2955
    +a(g222
    +V"
    +tp2956
    +a(g222
    +V%2.0f
    +p2957
    +tp2958
    +a(g222
    +V"
    +tp2959
    +a(g185
    +V\u000a
    +tp2960
    +a(g107
    +Vset
    +p2961
    +tp2962
    +a(g185
    +V 
    +tp2963
    +a(g53
    +Vformat
    +p2964
    +tp2965
    +a(g185
    +V 
    +tp2966
    +a(g12
    +Vy
    +tp2967
    +a(g185
    +V 
    +tp2968
    +a(g222
    +V"
    +tp2969
    +a(g222
    +V%3.2f
    +p2970
    +tp2971
    +a(g222
    +V"
    +tp2972
    +a(g185
    +V\u000a
    +tp2973
    +a(g107
    +Vset
    +p2974
    +tp2975
    +a(g185
    +V 
    +tp2976
    +a(g53
    +Vsample
    +p2977
    +tp2978
    +a(g185
    +V 
    +tp2979
    +a(g315
    +V200
    +p2980
    +tp2981
    +a(g185
    +V\u000a
    +tp2982
    +a(g107
    +Vset
    +p2983
    +tp2984
    +a(g185
    +V 
    +tp2985
    +a(g53
    +Vtitle
    +p2986
    +tp2987
    +a(g185
    +V 
    +tp2988
    +a(g222
    +V"
    +tp2989
    +a(g222
    +Vgeometric PDF using normal approximation
    +p2990
    +tp2991
    +a(g222
    +V"
    +tp2992
    +a(g185
    +V\u000a
    +tp2993
    +a(g107
    +Vset
    +p2994
    +tp2995
    +a(g185
    +V 
    +tp2996
    +a(g53
    +Varrow
    +p2997
    +tp2998
    +a(g185
    +V 
    +tp2999
    +a(g12
    +Vfrom
    +p3000
    +tp3001
    +a(g185
    +V 
    +tp3002
    +a(g12
    +Vmu
    +p3003
    +tp3004
    +a(g340
    +V,
    +tp3005
    +a(g185
    +V 
    +tp3006
    +a(g315
    +V0
    +tp3007
    +a(g185
    +V 
    +tp3008
    +a(g12
    +Vto
    +p3009
    +tp3010
    +a(g185
    +V 
    +tp3011
    +a(g12
    +Vmu
    +p3012
    +tp3013
    +a(g340
    +V,
    +tp3014
    +a(g185
    +V 
    +tp3015
    +a(g15
    +Vnormal
    +p3016
    +tp3017
    +a(g198
    +V(
    +tp3018
    +a(g12
    +Vmu
    +p3019
    +tp3020
    +a(g340
    +V,
    +tp3021
    +a(g185
    +V 
    +tp3022
    +a(g12
    +Vmu
    +p3023
    +tp3024
    +a(g340
    +V,
    +tp3025
    +a(g185
    +V 
    +tp3026
    +a(g12
    +Vsigma
    +p3027
    +tp3028
    +a(g198
    +V)
    +tp3029
    +a(g185
    +V 
    +tp3030
    +a(g12
    +Vnohead
    +p3031
    +tp3032
    +a(g185
    +V\u000a
    +tp3033
    +a(g107
    +Vset
    +p3034
    +tp3035
    +a(g185
    +V 
    +tp3036
    +a(g53
    +Varrow
    +p3037
    +tp3038
    +a(g185
    +V 
    +tp3039
    +a(g12
    +Vfrom
    +p3040
    +tp3041
    +a(g185
    +V 
    +tp3042
    +a(g12
    +Vmu
    +p3043
    +tp3044
    +a(g340
    +V,
    +tp3045
    +a(g185
    +V 
    +tp3046
    +a(g15
    +Vnormal
    +p3047
    +tp3048
    +a(g198
    +V(
    +tp3049
    +a(g12
    +Vmu
    +p3050
    +tp3051
    +a(g185
    +V 
    +tp3052
    +a(g340
    +V+
    +tp3053
    +a(g185
    +V 
    +tp3054
    +a(g12
    +Vsigma
    +p3055
    +tp3056
    +a(g340
    +V,
    +tp3057
    +a(g185
    +V 
    +tp3058
    +a(g12
    +Vmu
    +p3059
    +tp3060
    +a(g340
    +V,
    +tp3061
    +a(g185
    +V 
    +tp3062
    +a(g12
    +Vsigma
    +p3063
    +tp3064
    +a(g198
    +V)
    +tp3065
    +a(g185
    +V 
    +tp3066
    +a(g185
    +V\u005c\u000a
    +p3067
    +tp3068
    +a(g185
    +V          
    +p3069
    +tp3070
    +a(g12
    +Vto
    +p3071
    +tp3072
    +a(g185
    +V 
    +tp3073
    +a(g12
    +Vmu
    +p3074
    +tp3075
    +a(g185
    +V 
    +tp3076
    +a(g340
    +V+
    +tp3077
    +a(g185
    +V 
    +tp3078
    +a(g12
    +Vsigma
    +p3079
    +tp3080
    +a(g340
    +V,
    +tp3081
    +a(g185
    +V 
    +tp3082
    +a(g15
    +Vnormal
    +p3083
    +tp3084
    +a(g198
    +V(
    +tp3085
    +a(g12
    +Vmu
    +p3086
    +tp3087
    +a(g185
    +V 
    +tp3088
    +a(g340
    +V+
    +tp3089
    +a(g185
    +V 
    +tp3090
    +a(g12
    +Vsigma
    +p3091
    +tp3092
    +a(g340
    +V,
    +tp3093
    +a(g185
    +V 
    +tp3094
    +a(g12
    +Vmu
    +p3095
    +tp3096
    +a(g340
    +V,
    +tp3097
    +a(g185
    +V 
    +tp3098
    +a(g12
    +Vsigma
    +p3099
    +tp3100
    +a(g198
    +V)
    +tp3101
    +a(g185
    +V 
    +tp3102
    +a(g12
    +Vnohead
    +p3103
    +tp3104
    +a(g185
    +V\u000a
    +tp3105
    +a(g107
    +Vset
    +p3106
    +tp3107
    +a(g185
    +V 
    +tp3108
    +a(g53
    +Vlabel
    +p3109
    +tp3110
    +a(g185
    +V 
    +tp3111
    +a(g222
    +V"
    +tp3112
    +a(g222
    +Vmu
    +p3113
    +tp3114
    +a(g222
    +V"
    +tp3115
    +a(g185
    +V 
    +tp3116
    +a(g12
    +Vat
    +p3117
    +tp3118
    +a(g185
    +V 
    +tp3119
    +a(g12
    +Vmu
    +p3120
    +tp3121
    +a(g185
    +V 
    +tp3122
    +a(g340
    +V+
    +tp3123
    +a(g185
    +V 
    +tp3124
    +a(g307
    +V0.5
    +p3125
    +tp3126
    +a(g340
    +V,
    +tp3127
    +a(g185
    +V 
    +tp3128
    +a(g12
    +Vymax
    +p3129
    +tp3130
    +a(g185
    +V 
    +tp3131
    +a(g340
    +V/
    +tp3132
    +a(g185
    +V 
    +tp3133
    +a(g315
    +V10
    +p3134
    +tp3135
    +a(g185
    +V\u000a
    +tp3136
    +a(g107
    +Vset
    +p3137
    +tp3138
    +a(g185
    +V 
    +tp3139
    +a(g53
    +Vlabel
    +p3140
    +tp3141
    +a(g185
    +V 
    +tp3142
    +a(g222
    +V"
    +tp3143
    +a(g222
    +Vsigma
    +p3144
    +tp3145
    +a(g222
    +V"
    +tp3146
    +a(g185
    +V 
    +tp3147
    +a(g12
    +Vat
    +p3148
    +tp3149
    +a(g185
    +V 
    +tp3150
    +a(g12
    +Vmu
    +p3151
    +tp3152
    +a(g185
    +V 
    +tp3153
    +a(g340
    +V+
    +tp3154
    +a(g185
    +V 
    +tp3155
    +a(g307
    +V0.5
    +p3156
    +tp3157
    +a(g185
    +V 
    +tp3158
    +a(g340
    +V+
    +tp3159
    +a(g185
    +V 
    +tp3160
    +a(g12
    +Vsigma
    +p3161
    +tp3162
    +a(g340
    +V,
    +tp3163
    +a(g185
    +V 
    +tp3164
    +a(g15
    +Vnormal
    +p3165
    +tp3166
    +a(g198
    +V(
    +tp3167
    +a(g12
    +Vmu
    +p3168
    +tp3169
    +a(g185
    +V 
    +tp3170
    +a(g340
    +V+
    +tp3171
    +a(g185
    +V 
    +tp3172
    +a(g12
    +Vsigma
    +p3173
    +tp3174
    +a(g340
    +V,
    +tp3175
    +a(g185
    +V 
    +tp3176
    +a(g12
    +Vmu
    +p3177
    +tp3178
    +a(g340
    +V,
    +tp3179
    +a(g185
    +V 
    +tp3180
    +a(g12
    +Vsigma
    +p3181
    +tp3182
    +a(g198
    +V)
    +tp3183
    +a(g185
    +V\u000a
    +tp3184
    +a(g107
    +Vplot
    +p3185
    +tp3186
    +a(g185
    +V 
    +tp3187
    +a(g15
    +Vgeometric
    +p3188
    +tp3189
    +a(g198
    +V(
    +tp3190
    +a(g15
    +Vrnd
    +p3191
    +tp3192
    +a(g198
    +V(
    +tp3193
    +a(g12
    +Vx
    +tp3194
    +a(g198
    +V)
    +tp3195
    +a(g340
    +V,
    +tp3196
    +a(g12
    +Vp
    +tp3197
    +a(g198
    +V)
    +tp3198
    +a(g185
    +V 
    +tp3199
    +a(g53
    +Vwith
    +p3200
    +tp3201
    +a(g185
    +V 
    +tp3202
    +a(g12
    +Vhisteps
    +p3203
    +tp3204
    +a(g340
    +V,
    +tp3205
    +a(g185
    +V 
    +tp3206
    +a(g15
    +Vnormal
    +p3207
    +tp3208
    +a(g198
    +V(
    +tp3209
    +a(g12
    +Vx
    +tp3210
    +a(g340
    +V,
    +tp3211
    +a(g185
    +V 
    +tp3212
    +a(g12
    +Vmu
    +p3213
    +tp3214
    +a(g340
    +V,
    +tp3215
    +a(g185
    +V 
    +tp3216
    +a(g12
    +Vsigma
    +p3217
    +tp3218
    +a(g198
    +V)
    +tp3219
    +a(g185
    +V\u000a
    +tp3220
    +a(g107
    +Vpause
    +p3221
    +tp3222
    +a(g185
    +V 
    +tp3223
    +a(g315
    +V-1
    +p3224
    +tp3225
    +a(g185
    +V 
    +tp3226
    +a(g222
    +V"
    +tp3227
    +a(g222
    +VHit return to continue
    +p3228
    +tp3229
    +a(g222
    +V"
    +tp3230
    +a(g185
    +V\u000a
    +tp3231
    +a(g107
    +Vunset
    +p3232
    +tp3233
    +a(g185
    +V 
    +tp3234
    +a(g53
    +Varrow
    +p3235
    +tp3236
    +a(g185
    +V\u000a
    +tp3237
    +a(g107
    +Vunset
    +p3238
    +tp3239
    +a(g185
    +V 
    +tp3240
    +a(g53
    +Vlabel
    +p3241
    +tp3242
    +a(g185
    +V\u000a
    +tp3243
    +a(g185
    +V\u000a
    +tp3244
    +a(g6
    +V#
    +tp3245
    +a(g6
    +V 
    +tp3246
    +a(g6
    +VH
    +tp3247
    +a(g6
    +Vy
    +tp3248
    +a(g6
    +Vp
    +tp3249
    +a(g6
    +Ve
    +tp3250
    +a(g6
    +Vr
    +tp3251
    +a(g6
    +Vg
    +tp3252
    +a(g6
    +Ve
    +tp3253
    +a(g6
    +Vo
    +tp3254
    +a(g6
    +Vm
    +tp3255
    +a(g6
    +Ve
    +tp3256
    +a(g6
    +Vt
    +tp3257
    +a(g6
    +Vr
    +tp3258
    +a(g6
    +Vi
    +tp3259
    +a(g6
    +Vc
    +tp3260
    +a(g6
    +V 
    +tp3261
    +a(g6
    +VP
    +tp3262
    +a(g6
    +VD
    +tp3263
    +a(g6
    +VF
    +tp3264
    +a(g6
    +V 
    +tp3265
    +a(g6
    +Vu
    +tp3266
    +a(g6
    +Vs
    +tp3267
    +a(g6
    +Vi
    +tp3268
    +a(g6
    +Vn
    +tp3269
    +a(g6
    +Vg
    +tp3270
    +a(g6
    +V 
    +tp3271
    +a(g6
    +Vb
    +tp3272
    +a(g6
    +Vi
    +tp3273
    +a(g6
    +Vn
    +tp3274
    +a(g6
    +Vo
    +tp3275
    +a(g6
    +Vm
    +tp3276
    +a(g6
    +Vi
    +tp3277
    +a(g6
    +Va
    +tp3278
    +a(g6
    +Vl
    +tp3279
    +a(g6
    +V 
    +tp3280
    +a(g6
    +Va
    +tp3281
    +a(g6
    +Vp
    +tp3282
    +a(g6
    +Vp
    +tp3283
    +a(g6
    +Vr
    +tp3284
    +a(g6
    +Vo
    +tp3285
    +a(g6
    +Vx
    +tp3286
    +a(g6
    +Vi
    +tp3287
    +a(g6
    +Vm
    +tp3288
    +a(g6
    +Va
    +tp3289
    +a(g6
    +Vt
    +tp3290
    +a(g6
    +Vi
    +tp3291
    +a(g6
    +Vo
    +tp3292
    +a(g6
    +Vn
    +tp3293
    +a(g6
    +V
    +tp3294
    +a(g185
    +V\u000a
    +tp3295
    +a(g69
    +Vnn
    +p3296
    +tp3297
    +a(g185
    +V 
    +tp3298
    +a(g340
    +V=
    +tp3299
    +a(g185
    +V 
    +tp3300
    +a(g315
    +V75
    +p3301
    +tp3302
    +a(g198
    +V;
    +tp3303
    +a(g185
    +V 
    +tp3304
    +a(g69
    +Vmm
    +p3305
    +tp3306
    +a(g185
    +V 
    +tp3307
    +a(g340
    +V=
    +tp3308
    +a(g185
    +V 
    +tp3309
    +a(g315
    +V25
    +p3310
    +tp3311
    +a(g198
    +V;
    +tp3312
    +a(g185
    +V 
    +tp3313
    +a(g69
    +Vn
    +tp3314
    +a(g185
    +V 
    +tp3315
    +a(g340
    +V=
    +tp3316
    +a(g185
    +V 
    +tp3317
    +a(g315
    +V10
    +p3318
    +tp3319
    +a(g185
    +V\u000a
    +tp3320
    +a(g107
    +Vp
    +tp3321
    +a(g185
    +V 
    +tp3322
    +a(g340
    +V=
    +tp3323
    +a(g185
    +V 
    +tp3324
    +a(g15
    +Vreal
    +p3325
    +tp3326
    +a(g198
    +V(
    +tp3327
    +a(g12
    +Vmm
    +p3328
    +tp3329
    +a(g198
    +V)
    +tp3330
    +a(g185
    +V 
    +tp3331
    +a(g340
    +V/
    +tp3332
    +a(g185
    +V 
    +tp3333
    +a(g12
    +Vnn
    +p3334
    +tp3335
    +a(g185
    +V\u000a
    +tp3336
    +a(g69
    +Vmu
    +p3337
    +tp3338
    +a(g185
    +V 
    +tp3339
    +a(g340
    +V=
    +tp3340
    +a(g185
    +V 
    +tp3341
    +a(g12
    +Vn
    +tp3342
    +a(g185
    +V 
    +tp3343
    +a(g340
    +V*
    +tp3344
    +a(g185
    +V 
    +tp3345
    +a(g12
    +Vp
    +tp3346
    +a(g185
    +V\u000a
    +tp3347
    +a(g69
    +Vsigma
    +p3348
    +tp3349
    +a(g185
    +V 
    +tp3350
    +a(g340
    +V=
    +tp3351
    +a(g185
    +V 
    +tp3352
    +a(g15
    +Vsqrt
    +p3353
    +tp3354
    +a(g198
    +V(
    +tp3355
    +a(g15
    +Vreal
    +p3356
    +tp3357
    +a(g198
    +V(
    +tp3358
    +a(g12
    +Vnn
    +p3359
    +tp3360
    +a(g185
    +V 
    +tp3361
    +a(g340
    +V-
    +tp3362
    +a(g185
    +V 
    +tp3363
    +a(g12
    +Vn
    +tp3364
    +a(g198
    +V)
    +tp3365
    +a(g185
    +V 
    +tp3366
    +a(g340
    +V/
    +tp3367
    +a(g185
    +V 
    +tp3368
    +a(g198
    +V(
    +tp3369
    +a(g12
    +Vnn
    +p3370
    +tp3371
    +a(g185
    +V 
    +tp3372
    +a(g340
    +V-
    +tp3373
    +a(g185
    +V 
    +tp3374
    +a(g307
    +V1.0
    +p3375
    +tp3376
    +a(g198
    +V)
    +tp3377
    +a(g185
    +V 
    +tp3378
    +a(g340
    +V*
    +tp3379
    +a(g185
    +V 
    +tp3380
    +a(g12
    +Vn
    +tp3381
    +a(g185
    +V 
    +tp3382
    +a(g340
    +V*
    +tp3383
    +a(g185
    +V 
    +tp3384
    +a(g12
    +Vp
    +tp3385
    +a(g185
    +V 
    +tp3386
    +a(g340
    +V*
    +tp3387
    +a(g185
    +V 
    +tp3388
    +a(g198
    +V(
    +tp3389
    +a(g307
    +V1.0
    +p3390
    +tp3391
    +a(g185
    +V 
    +tp3392
    +a(g340
    +V-
    +tp3393
    +a(g185
    +V 
    +tp3394
    +a(g12
    +Vp
    +tp3395
    +a(g198
    +V)
    +tp3396
    +a(g198
    +V)
    +tp3397
    +a(g185
    +V\u000a
    +tp3398
    +a(g69
    +Vxmin
    +p3399
    +tp3400
    +a(g185
    +V 
    +tp3401
    +a(g340
    +V=
    +tp3402
    +a(g185
    +V 
    +tp3403
    +a(g15
    +Vfloor
    +p3404
    +tp3405
    +a(g198
    +V(
    +tp3406
    +a(g12
    +Vmu
    +p3407
    +tp3408
    +a(g185
    +V 
    +tp3409
    +a(g340
    +V-
    +tp3410
    +a(g185
    +V 
    +tp3411
    +a(g12
    +Vr_sigma
    +p3412
    +tp3413
    +a(g185
    +V 
    +tp3414
    +a(g340
    +V*
    +tp3415
    +a(g185
    +V 
    +tp3416
    +a(g12
    +Vsigma
    +p3417
    +tp3418
    +a(g198
    +V)
    +tp3419
    +a(g185
    +V\u000a
    +tp3420
    +a(g69
    +Vxmin
    +p3421
    +tp3422
    +a(g185
    +V 
    +tp3423
    +a(g340
    +V=
    +tp3424
    +a(g185
    +V 
    +tp3425
    +a(g12
    +Vxmin
    +p3426
    +tp3427
    +a(g185
    +V 
    +tp3428
    +a(g340
    +V<
    +tp3429
    +a(g185
    +V 
    +tp3430
    +a(g12
    +Vr_xmin
    +p3431
    +tp3432
    +a(g185
    +V 
    +tp3433
    +a(g340
    +V?
    +tp3434
    +a(g185
    +V 
    +tp3435
    +a(g12
    +Vr_xmin
    +p3436
    +tp3437
    +a(g185
    +V 
    +tp3438
    +a(g340
    +V:
    +tp3439
    +a(g185
    +V 
    +tp3440
    +a(g12
    +Vxmin
    +p3441
    +tp3442
    +a(g185
    +V\u000a
    +tp3443
    +a(g69
    +Vxmax
    +p3444
    +tp3445
    +a(g185
    +V 
    +tp3446
    +a(g340
    +V=
    +tp3447
    +a(g185
    +V 
    +tp3448
    +a(g15
    +Vceil
    +p3449
    +tp3450
    +a(g198
    +V(
    +tp3451
    +a(g12
    +Vmu
    +p3452
    +tp3453
    +a(g185
    +V 
    +tp3454
    +a(g340
    +V+
    +tp3455
    +a(g185
    +V 
    +tp3456
    +a(g12
    +Vr_sigma
    +p3457
    +tp3458
    +a(g185
    +V 
    +tp3459
    +a(g340
    +V*
    +tp3460
    +a(g185
    +V 
    +tp3461
    +a(g12
    +Vsigma
    +p3462
    +tp3463
    +a(g198
    +V)
    +tp3464
    +a(g185
    +V\u000a
    +tp3465
    +a(g69
    +Vymax
    +p3466
    +tp3467
    +a(g185
    +V 
    +tp3468
    +a(g340
    +V=
    +tp3469
    +a(g185
    +V 
    +tp3470
    +a(g307
    +V1.1
    +p3471
    +tp3472
    +a(g185
    +V 
    +tp3473
    +a(g340
    +V*
    +tp3474
    +a(g185
    +V 
    +tp3475
    +a(g15
    +Vhypgeo
    +p3476
    +tp3477
    +a(g198
    +V(
    +tp3478
    +a(g15
    +Vfloor
    +p3479
    +tp3480
    +a(g198
    +V(
    +tp3481
    +a(g12
    +Vmu
    +p3482
    +tp3483
    +a(g198
    +V)
    +tp3484
    +a(g340
    +V,
    +tp3485
    +a(g185
    +V 
    +tp3486
    +a(g12
    +Vnn
    +p3487
    +tp3488
    +a(g340
    +V,
    +tp3489
    +a(g185
    +V 
    +tp3490
    +a(g12
    +Vmm
    +p3491
    +tp3492
    +a(g340
    +V,
    +tp3493
    +a(g185
    +V 
    +tp3494
    +a(g12
    +Vn
    +tp3495
    +a(g198
    +V)
    +tp3496
    +a(g185
    +V 
    +tp3497
    +a(g6
    +V#
    +tp3498
    +a(g6
    +Vm
    +tp3499
    +a(g6
    +Vo
    +tp3500
    +a(g6
    +Vd
    +tp3501
    +a(g6
    +Ve
    +tp3502
    +a(g6
    +V 
    +tp3503
    +a(g6
    +Vo
    +tp3504
    +a(g6
    +Vf
    +tp3505
    +a(g6
    +V 
    +tp3506
    +a(g6
    +Vb
    +tp3507
    +a(g6
    +Vi
    +tp3508
    +a(g6
    +Vn
    +tp3509
    +a(g6
    +Vo
    +tp3510
    +a(g6
    +Vm
    +tp3511
    +a(g6
    +V 
    +tp3512
    +a(g6
    +VP
    +tp3513
    +a(g6
    +VD
    +tp3514
    +a(g6
    +VF
    +tp3515
    +a(g6
    +V 
    +tp3516
    +a(g6
    +Vu
    +tp3517
    +a(g6
    +Vs
    +tp3518
    +a(g6
    +Ve
    +tp3519
    +a(g6
    +Vd
    +tp3520
    +a(g6
    +V
    +tp3521
    +a(g185
    +V\u000a
    +tp3522
    +a(g107
    +Vset
    +p3523
    +tp3524
    +a(g185
    +V 
    +tp3525
    +a(g53
    +Vkey
    +p3526
    +tp3527
    +a(g185
    +V 
    +tp3528
    +a(g12
    +Vbox
    +p3529
    +tp3530
    +a(g185
    +V\u000a
    +tp3531
    +a(g107
    +Vunset
    +p3532
    +tp3533
    +a(g185
    +V 
    +tp3534
    +a(g53
    +Vzeroaxis
    +p3535
    +tp3536
    +a(g185
    +V\u000a
    +tp3537
    +a(g107
    +Vset
    +p3538
    +tp3539
    +a(g185
    +V 
    +tp3540
    +a(g53
    +Vxrange
    +p3541
    +tp3542
    +a(g185
    +V 
    +tp3543
    +a(g198
    +V[
    +tp3544
    +a(g12
    +Vxmin
    +p3545
    +tp3546
    +a(g185
    +V 
    +tp3547
    +a(g340
    +V-
    +tp3548
    +a(g185
    +V 
    +tp3549
    +a(g315
    +V1
    +tp3550
    +a(g185
    +V 
    +tp3551
    +a(g340
    +V:
    +tp3552
    +a(g185
    +V 
    +tp3553
    +a(g12
    +Vxmax
    +p3554
    +tp3555
    +a(g185
    +V 
    +tp3556
    +a(g340
    +V+
    +tp3557
    +a(g185
    +V 
    +tp3558
    +a(g315
    +V1
    +tp3559
    +a(g198
    +V]
    +tp3560
    +a(g185
    +V\u000a
    +tp3561
    +a(g107
    +Vset
    +p3562
    +tp3563
    +a(g185
    +V 
    +tp3564
    +a(g53
    +Vyrange
    +p3565
    +tp3566
    +a(g185
    +V 
    +tp3567
    +a(g198
    +V[
    +tp3568
    +a(g315
    +V0
    +tp3569
    +a(g185
    +V 
    +tp3570
    +a(g340
    +V:
    +tp3571
    +a(g185
    +V 
    +tp3572
    +a(g12
    +Vymax
    +p3573
    +tp3574
    +a(g198
    +V]
    +tp3575
    +a(g185
    +V\u000a
    +tp3576
    +a(g107
    +Vset
    +p3577
    +tp3578
    +a(g185
    +V 
    +tp3579
    +a(g53
    +Vxlabel
    +p3580
    +tp3581
    +a(g185
    +V 
    +tp3582
    +a(g222
    +V"
    +tp3583
    +a(g222
    +Vk ->
    +p3584
    +tp3585
    +a(g222
    +V"
    +tp3586
    +a(g185
    +V\u000a
    +tp3587
    +a(g107
    +Vset
    +p3588
    +tp3589
    +a(g185
    +V 
    +tp3590
    +a(g53
    +Vylabel
    +p3591
    +tp3592
    +a(g185
    +V 
    +tp3593
    +a(g222
    +V"
    +tp3594
    +a(g222
    +Vprobability density ->
    +p3595
    +tp3596
    +a(g222
    +V"
    +tp3597
    +a(g185
    +V\u000a
    +tp3598
    +a(g107
    +Vset
    +p3599
    +tp3600
    +a(g185
    +V 
    +tp3601
    +a(g53
    +Vytics
    +p3602
    +tp3603
    +a(g185
    +V 
    +tp3604
    +a(g315
    +V0
    +tp3605
    +a(g340
    +V,
    +tp3606
    +a(g185
    +V 
    +tp3607
    +a(g12
    +Vymax
    +p3608
    +tp3609
    +a(g185
    +V 
    +tp3610
    +a(g340
    +V/
    +tp3611
    +a(g185
    +V 
    +tp3612
    +a(g307
    +V10.0
    +p3613
    +tp3614
    +a(g340
    +V,
    +tp3615
    +a(g185
    +V 
    +tp3616
    +a(g12
    +Vymax
    +p3617
    +tp3618
    +a(g185
    +V\u000a
    +tp3619
    +a(g107
    +Vset
    +p3620
    +tp3621
    +a(g185
    +V 
    +tp3622
    +a(g53
    +Vformat
    +p3623
    +tp3624
    +a(g185
    +V 
    +tp3625
    +a(g12
    +Vx
    +tp3626
    +a(g185
    +V 
    +tp3627
    +a(g222
    +V"
    +tp3628
    +a(g222
    +V%2.0f
    +p3629
    +tp3630
    +a(g222
    +V"
    +tp3631
    +a(g185
    +V\u000a
    +tp3632
    +a(g107
    +Vset
    +p3633
    +tp3634
    +a(g185
    +V 
    +tp3635
    +a(g53
    +Vformat
    +p3636
    +tp3637
    +a(g185
    +V 
    +tp3638
    +a(g12
    +Vy
    +tp3639
    +a(g185
    +V 
    +tp3640
    +a(g222
    +V"
    +tp3641
    +a(g222
    +V%3.2f
    +p3642
    +tp3643
    +a(g222
    +V"
    +tp3644
    +a(g185
    +V\u000a
    +tp3645
    +a(g107
    +Vset
    +p3646
    +tp3647
    +a(g185
    +V 
    +tp3648
    +a(g53
    +Vsample
    +p3649
    +tp3650
    +a(g185
    +V 
    +tp3651
    +a(g198
    +V(
    +tp3652
    +a(g12
    +Vxmax
    +p3653
    +tp3654
    +a(g185
    +V 
    +tp3655
    +a(g340
    +V-
    +tp3656
    +a(g185
    +V 
    +tp3657
    +a(g12
    +Vxmin
    +p3658
    +tp3659
    +a(g185
    +V 
    +tp3660
    +a(g340
    +V+
    +tp3661
    +a(g185
    +V 
    +tp3662
    +a(g315
    +V3
    +tp3663
    +a(g198
    +V)
    +tp3664
    +a(g185
    +V\u000a
    +tp3665
    +a(g107
    +Vset
    +p3666
    +tp3667
    +a(g185
    +V 
    +tp3668
    +a(g53
    +Vtitle
    +p3669
    +tp3670
    +a(g185
    +V 
    +tp3671
    +a(g222
    +V"
    +tp3672
    +a(g222
    +Vhypergeometric PDF using binomial approximation
    +p3673
    +tp3674
    +a(g222
    +V"
    +tp3675
    +a(g185
    +V\u000a
    +tp3676
    +a(g107
    +Vset
    +p3677
    +tp3678
    +a(g185
    +V 
    +tp3679
    +a(g53
    +Varrow
    +p3680
    +tp3681
    +a(g185
    +V 
    +tp3682
    +a(g12
    +Vfrom
    +p3683
    +tp3684
    +a(g185
    +V 
    +tp3685
    +a(g12
    +Vmu
    +p3686
    +tp3687
    +a(g340
    +V,
    +tp3688
    +a(g185
    +V 
    +tp3689
    +a(g315
    +V0
    +tp3690
    +a(g185
    +V 
    +tp3691
    +a(g12
    +Vto
    +p3692
    +tp3693
    +a(g185
    +V 
    +tp3694
    +a(g12
    +Vmu
    +p3695
    +tp3696
    +a(g340
    +V,
    +tp3697
    +a(g185
    +V 
    +tp3698
    +a(g15
    +Vbinom
    +p3699
    +tp3700
    +a(g198
    +V(
    +tp3701
    +a(g15
    +Vfloor
    +p3702
    +tp3703
    +a(g198
    +V(
    +tp3704
    +a(g12
    +Vmu
    +p3705
    +tp3706
    +a(g198
    +V)
    +tp3707
    +a(g340
    +V,
    +tp3708
    +a(g185
    +V 
    +tp3709
    +a(g12
    +Vn
    +tp3710
    +a(g340
    +V,
    +tp3711
    +a(g185
    +V 
    +tp3712
    +a(g12
    +Vp
    +tp3713
    +a(g198
    +V)
    +tp3714
    +a(g185
    +V 
    +tp3715
    +a(g12
    +Vnohead
    +p3716
    +tp3717
    +a(g185
    +V\u000a
    +tp3718
    +a(g107
    +Vset
    +p3719
    +tp3720
    +a(g185
    +V 
    +tp3721
    +a(g53
    +Varrow
    +p3722
    +tp3723
    +a(g185
    +V 
    +tp3724
    +a(g12
    +Vfrom
    +p3725
    +tp3726
    +a(g185
    +V 
    +tp3727
    +a(g12
    +Vmu
    +p3728
    +tp3729
    +a(g340
    +V,
    +tp3730
    +a(g185
    +V 
    +tp3731
    +a(g15
    +Vbinom
    +p3732
    +tp3733
    +a(g198
    +V(
    +tp3734
    +a(g15
    +Vfloor
    +p3735
    +tp3736
    +a(g198
    +V(
    +tp3737
    +a(g12
    +Vmu
    +p3738
    +tp3739
    +a(g185
    +V 
    +tp3740
    +a(g340
    +V+
    +tp3741
    +a(g185
    +V 
    +tp3742
    +a(g12
    +Vsigma
    +p3743
    +tp3744
    +a(g198
    +V)
    +tp3745
    +a(g340
    +V,
    +tp3746
    +a(g185
    +V 
    +tp3747
    +a(g12
    +Vn
    +tp3748
    +a(g340
    +V,
    +tp3749
    +a(g185
    +V 
    +tp3750
    +a(g12
    +Vp
    +tp3751
    +a(g198
    +V)
    +tp3752
    +a(g185
    +V 
    +tp3753
    +a(g185
    +V\u005c\u000a
    +p3754
    +tp3755
    +a(g185
    +V          
    +p3756
    +tp3757
    +a(g12
    +Vto
    +p3758
    +tp3759
    +a(g185
    +V 
    +tp3760
    +a(g12
    +Vmu
    +p3761
    +tp3762
    +a(g185
    +V 
    +tp3763
    +a(g340
    +V+
    +tp3764
    +a(g185
    +V 
    +tp3765
    +a(g12
    +Vsigma
    +p3766
    +tp3767
    +a(g340
    +V,
    +tp3768
    +a(g185
    +V 
    +tp3769
    +a(g15
    +Vbinom
    +p3770
    +tp3771
    +a(g198
    +V(
    +tp3772
    +a(g15
    +Vfloor
    +p3773
    +tp3774
    +a(g198
    +V(
    +tp3775
    +a(g12
    +Vmu
    +p3776
    +tp3777
    +a(g185
    +V 
    +tp3778
    +a(g340
    +V+
    +tp3779
    +a(g185
    +V 
    +tp3780
    +a(g12
    +Vsigma
    +p3781
    +tp3782
    +a(g198
    +V)
    +tp3783
    +a(g340
    +V,
    +tp3784
    +a(g185
    +V 
    +tp3785
    +a(g12
    +Vn
    +tp3786
    +a(g340
    +V,
    +tp3787
    +a(g185
    +V 
    +tp3788
    +a(g12
    +Vp
    +tp3789
    +a(g198
    +V)
    +tp3790
    +a(g185
    +V 
    +tp3791
    +a(g12
    +Vnohead
    +p3792
    +tp3793
    +a(g185
    +V\u000a
    +tp3794
    +a(g107
    +Vset
    +p3795
    +tp3796
    +a(g185
    +V 
    +tp3797
    +a(g53
    +Vlabel
    +p3798
    +tp3799
    +a(g185
    +V 
    +tp3800
    +a(g222
    +V"
    +tp3801
    +a(g222
    +Vmu
    +p3802
    +tp3803
    +a(g222
    +V"
    +tp3804
    +a(g185
    +V 
    +tp3805
    +a(g12
    +Vat
    +p3806
    +tp3807
    +a(g185
    +V 
    +tp3808
    +a(g12
    +Vmu
    +p3809
    +tp3810
    +a(g185
    +V 
    +tp3811
    +a(g340
    +V+
    +tp3812
    +a(g185
    +V 
    +tp3813
    +a(g307
    +V0.5
    +p3814
    +tp3815
    +a(g340
    +V,
    +tp3816
    +a(g185
    +V 
    +tp3817
    +a(g12
    +Vymax
    +p3818
    +tp3819
    +a(g185
    +V 
    +tp3820
    +a(g340
    +V/
    +tp3821
    +a(g185
    +V 
    +tp3822
    +a(g315
    +V10
    +p3823
    +tp3824
    +a(g185
    +V\u000a
    +tp3825
    +a(g107
    +Vset
    +p3826
    +tp3827
    +a(g185
    +V 
    +tp3828
    +a(g53
    +Vlabel
    +p3829
    +tp3830
    +a(g185
    +V 
    +tp3831
    +a(g222
    +V"
    +tp3832
    +a(g222
    +Vsigma
    +p3833
    +tp3834
    +a(g222
    +V"
    +tp3835
    +a(g185
    +V 
    +tp3836
    +a(g12
    +Vat
    +p3837
    +tp3838
    +a(g185
    +V 
    +tp3839
    +a(g12
    +Vmu
    +p3840
    +tp3841
    +a(g185
    +V 
    +tp3842
    +a(g340
    +V+
    +tp3843
    +a(g185
    +V 
    +tp3844
    +a(g307
    +V0.5
    +p3845
    +tp3846
    +a(g185
    +V 
    +tp3847
    +a(g340
    +V+
    +tp3848
    +a(g185
    +V 
    +tp3849
    +a(g12
    +Vsigma
    +p3850
    +tp3851
    +a(g340
    +V,
    +tp3852
    +a(g185
    +V 
    +tp3853
    +a(g15
    +Vbinom
    +p3854
    +tp3855
    +a(g198
    +V(
    +tp3856
    +a(g15
    +Vfloor
    +p3857
    +tp3858
    +a(g198
    +V(
    +tp3859
    +a(g12
    +Vmu
    +p3860
    +tp3861
    +a(g185
    +V 
    +tp3862
    +a(g340
    +V+
    +tp3863
    +a(g185
    +V 
    +tp3864
    +a(g12
    +Vsigma
    +p3865
    +tp3866
    +a(g198
    +V)
    +tp3867
    +a(g340
    +V,
    +tp3868
    +a(g185
    +V 
    +tp3869
    +a(g12
    +Vn
    +tp3870
    +a(g340
    +V,
    +tp3871
    +a(g185
    +V 
    +tp3872
    +a(g12
    +Vp
    +tp3873
    +a(g198
    +V)
    +tp3874
    +a(g185
    +V\u000a
    +tp3875
    +a(g107
    +Vplot
    +p3876
    +tp3877
    +a(g185
    +V 
    +tp3878
    +a(g15
    +Vhypgeo
    +p3879
    +tp3880
    +a(g198
    +V(
    +tp3881
    +a(g12
    +Vx
    +tp3882
    +a(g340
    +V,
    +tp3883
    +a(g185
    +V 
    +tp3884
    +a(g12
    +Vnn
    +p3885
    +tp3886
    +a(g340
    +V,
    +tp3887
    +a(g185
    +V 
    +tp3888
    +a(g12
    +Vmm
    +p3889
    +tp3890
    +a(g340
    +V,
    +tp3891
    +a(g185
    +V 
    +tp3892
    +a(g12
    +Vn
    +tp3893
    +a(g198
    +V)
    +tp3894
    +a(g185
    +V 
    +tp3895
    +a(g53
    +Vwith
    +p3896
    +tp3897
    +a(g185
    +V 
    +tp3898
    +a(g12
    +Vhisteps
    +p3899
    +tp3900
    +a(g340
    +V,
    +tp3901
    +a(g185
    +V 
    +tp3902
    +a(g15
    +Vbinom
    +p3903
    +tp3904
    +a(g198
    +V(
    +tp3905
    +a(g12
    +Vx
    +tp3906
    +a(g340
    +V,
    +tp3907
    +a(g185
    +V 
    +tp3908
    +a(g12
    +Vn
    +tp3909
    +a(g340
    +V,
    +tp3910
    +a(g185
    +V 
    +tp3911
    +a(g12
    +Vp
    +tp3912
    +a(g198
    +V)
    +tp3913
    +a(g185
    +V 
    +tp3914
    +a(g53
    +Vwith
    +p3915
    +tp3916
    +a(g185
    +V 
    +tp3917
    +a(g12
    +Vhisteps
    +p3918
    +tp3919
    +a(g185
    +V\u000a
    +tp3920
    +a(g107
    +Vpause
    +p3921
    +tp3922
    +a(g185
    +V 
    +tp3923
    +a(g315
    +V-1
    +p3924
    +tp3925
    +a(g185
    +V 
    +tp3926
    +a(g222
    +V"
    +tp3927
    +a(g222
    +VHit return to continue
    +p3928
    +tp3929
    +a(g222
    +V"
    +tp3930
    +a(g185
    +V\u000a
    +tp3931
    +a(g107
    +Vunset
    +p3932
    +tp3933
    +a(g185
    +V 
    +tp3934
    +a(g53
    +Varrow
    +p3935
    +tp3936
    +a(g185
    +V\u000a
    +tp3937
    +a(g107
    +Vunset
    +p3938
    +tp3939
    +a(g185
    +V 
    +tp3940
    +a(g53
    +Vlabel
    +p3941
    +tp3942
    +a(g185
    +V\u000a
    +tp3943
    +a(g185
    +V\u000a
    +tp3944
    +a(g6
    +V#
    +tp3945
    +a(g6
    +V 
    +tp3946
    +a(g6
    +VH
    +tp3947
    +a(g6
    +Vy
    +tp3948
    +a(g6
    +Vp
    +tp3949
    +a(g6
    +Ve
    +tp3950
    +a(g6
    +Vr
    +tp3951
    +a(g6
    +Vg
    +tp3952
    +a(g6
    +Ve
    +tp3953
    +a(g6
    +Vo
    +tp3954
    +a(g6
    +Vm
    +tp3955
    +a(g6
    +Ve
    +tp3956
    +a(g6
    +Vt
    +tp3957
    +a(g6
    +Vr
    +tp3958
    +a(g6
    +Vi
    +tp3959
    +a(g6
    +Vc
    +tp3960
    +a(g6
    +V 
    +tp3961
    +a(g6
    +VP
    +tp3962
    +a(g6
    +VD
    +tp3963
    +a(g6
    +VF
    +tp3964
    +a(g6
    +V 
    +tp3965
    +a(g6
    +Vu
    +tp3966
    +a(g6
    +Vs
    +tp3967
    +a(g6
    +Vi
    +tp3968
    +a(g6
    +Vn
    +tp3969
    +a(g6
    +Vg
    +tp3970
    +a(g6
    +V 
    +tp3971
    +a(g6
    +Vn
    +tp3972
    +a(g6
    +Vo
    +tp3973
    +a(g6
    +Vr
    +tp3974
    +a(g6
    +Vm
    +tp3975
    +a(g6
    +Va
    +tp3976
    +a(g6
    +Vl
    +tp3977
    +a(g6
    +V 
    +tp3978
    +a(g6
    +Va
    +tp3979
    +a(g6
    +Vp
    +tp3980
    +a(g6
    +Vp
    +tp3981
    +a(g6
    +Vr
    +tp3982
    +a(g6
    +Vo
    +tp3983
    +a(g6
    +Vx
    +tp3984
    +a(g6
    +Vi
    +tp3985
    +a(g6
    +Vm
    +tp3986
    +a(g6
    +Va
    +tp3987
    +a(g6
    +Vt
    +tp3988
    +a(g6
    +Vi
    +tp3989
    +a(g6
    +Vo
    +tp3990
    +a(g6
    +Vn
    +tp3991
    +a(g6
    +V
    +tp3992
    +a(g185
    +V\u000a
    +tp3993
    +a(g69
    +Vnn
    +p3994
    +tp3995
    +a(g185
    +V 
    +tp3996
    +a(g340
    +V=
    +tp3997
    +a(g185
    +V 
    +tp3998
    +a(g315
    +V75
    +p3999
    +tp4000
    +a(g198
    +V;
    +tp4001
    +a(g185
    +V 
    +tp4002
    +a(g69
    +Vmm
    +p4003
    +tp4004
    +a(g185
    +V 
    +tp4005
    +a(g340
    +V=
    +tp4006
    +a(g185
    +V 
    +tp4007
    +a(g315
    +V25
    +p4008
    +tp4009
    +a(g198
    +V;
    +tp4010
    +a(g185
    +V 
    +tp4011
    +a(g69
    +Vn
    +tp4012
    +a(g185
    +V 
    +tp4013
    +a(g340
    +V=
    +tp4014
    +a(g185
    +V 
    +tp4015
    +a(g315
    +V10
    +p4016
    +tp4017
    +a(g185
    +V\u000a
    +tp4018
    +a(g107
    +Vp
    +tp4019
    +a(g185
    +V 
    +tp4020
    +a(g340
    +V=
    +tp4021
    +a(g185
    +V 
    +tp4022
    +a(g15
    +Vreal
    +p4023
    +tp4024
    +a(g198
    +V(
    +tp4025
    +a(g12
    +Vmm
    +p4026
    +tp4027
    +a(g198
    +V)
    +tp4028
    +a(g185
    +V 
    +tp4029
    +a(g340
    +V/
    +tp4030
    +a(g185
    +V 
    +tp4031
    +a(g12
    +Vnn
    +p4032
    +tp4033
    +a(g185
    +V\u000a
    +tp4034
    +a(g69
    +Vmu
    +p4035
    +tp4036
    +a(g185
    +V 
    +tp4037
    +a(g340
    +V=
    +tp4038
    +a(g185
    +V 
    +tp4039
    +a(g12
    +Vn
    +tp4040
    +a(g185
    +V 
    +tp4041
    +a(g340
    +V*
    +tp4042
    +a(g185
    +V 
    +tp4043
    +a(g12
    +Vp
    +tp4044
    +a(g185
    +V\u000a
    +tp4045
    +a(g69
    +Vsigma
    +p4046
    +tp4047
    +a(g185
    +V 
    +tp4048
    +a(g340
    +V=
    +tp4049
    +a(g185
    +V 
    +tp4050
    +a(g15
    +Vsqrt
    +p4051
    +tp4052
    +a(g198
    +V(
    +tp4053
    +a(g15
    +Vreal
    +p4054
    +tp4055
    +a(g198
    +V(
    +tp4056
    +a(g12
    +Vnn
    +p4057
    +tp4058
    +a(g185
    +V 
    +tp4059
    +a(g340
    +V-
    +tp4060
    +a(g185
    +V 
    +tp4061
    +a(g12
    +Vn
    +tp4062
    +a(g198
    +V)
    +tp4063
    +a(g185
    +V 
    +tp4064
    +a(g340
    +V/
    +tp4065
    +a(g185
    +V 
    +tp4066
    +a(g198
    +V(
    +tp4067
    +a(g12
    +Vnn
    +p4068
    +tp4069
    +a(g185
    +V 
    +tp4070
    +a(g340
    +V-
    +tp4071
    +a(g185
    +V 
    +tp4072
    +a(g307
    +V1.0
    +p4073
    +tp4074
    +a(g198
    +V)
    +tp4075
    +a(g185
    +V 
    +tp4076
    +a(g340
    +V*
    +tp4077
    +a(g185
    +V 
    +tp4078
    +a(g12
    +Vn
    +tp4079
    +a(g185
    +V 
    +tp4080
    +a(g340
    +V*
    +tp4081
    +a(g185
    +V 
    +tp4082
    +a(g12
    +Vp
    +tp4083
    +a(g185
    +V 
    +tp4084
    +a(g340
    +V*
    +tp4085
    +a(g185
    +V 
    +tp4086
    +a(g198
    +V(
    +tp4087
    +a(g307
    +V1.0
    +p4088
    +tp4089
    +a(g185
    +V 
    +tp4090
    +a(g340
    +V-
    +tp4091
    +a(g185
    +V 
    +tp4092
    +a(g12
    +Vp
    +tp4093
    +a(g198
    +V)
    +tp4094
    +a(g198
    +V)
    +tp4095
    +a(g185
    +V\u000a
    +tp4096
    +a(g69
    +Vxmin
    +p4097
    +tp4098
    +a(g185
    +V 
    +tp4099
    +a(g340
    +V=
    +tp4100
    +a(g185
    +V 
    +tp4101
    +a(g15
    +Vfloor
    +p4102
    +tp4103
    +a(g198
    +V(
    +tp4104
    +a(g12
    +Vmu
    +p4105
    +tp4106
    +a(g185
    +V 
    +tp4107
    +a(g340
    +V-
    +tp4108
    +a(g185
    +V 
    +tp4109
    +a(g12
    +Vr_sigma
    +p4110
    +tp4111
    +a(g185
    +V 
    +tp4112
    +a(g340
    +V*
    +tp4113
    +a(g185
    +V 
    +tp4114
    +a(g12
    +Vsigma
    +p4115
    +tp4116
    +a(g198
    +V)
    +tp4117
    +a(g185
    +V\u000a
    +tp4118
    +a(g69
    +Vxmin
    +p4119
    +tp4120
    +a(g185
    +V 
    +tp4121
    +a(g340
    +V=
    +tp4122
    +a(g185
    +V 
    +tp4123
    +a(g12
    +Vxmin
    +p4124
    +tp4125
    +a(g185
    +V 
    +tp4126
    +a(g340
    +V<
    +tp4127
    +a(g185
    +V 
    +tp4128
    +a(g12
    +Vr_xmin
    +p4129
    +tp4130
    +a(g185
    +V 
    +tp4131
    +a(g340
    +V?
    +tp4132
    +a(g185
    +V 
    +tp4133
    +a(g12
    +Vr_xmin
    +p4134
    +tp4135
    +a(g185
    +V 
    +tp4136
    +a(g340
    +V:
    +tp4137
    +a(g185
    +V 
    +tp4138
    +a(g12
    +Vxmin
    +p4139
    +tp4140
    +a(g185
    +V\u000a
    +tp4141
    +a(g69
    +Vxmax
    +p4142
    +tp4143
    +a(g185
    +V 
    +tp4144
    +a(g340
    +V=
    +tp4145
    +a(g185
    +V 
    +tp4146
    +a(g15
    +Vceil
    +p4147
    +tp4148
    +a(g198
    +V(
    +tp4149
    +a(g12
    +Vmu
    +p4150
    +tp4151
    +a(g185
    +V 
    +tp4152
    +a(g340
    +V+
    +tp4153
    +a(g185
    +V 
    +tp4154
    +a(g12
    +Vr_sigma
    +p4155
    +tp4156
    +a(g185
    +V 
    +tp4157
    +a(g340
    +V*
    +tp4158
    +a(g185
    +V 
    +tp4159
    +a(g12
    +Vsigma
    +p4160
    +tp4161
    +a(g198
    +V)
    +tp4162
    +a(g185
    +V\u000a
    +tp4163
    +a(g69
    +Vymax
    +p4164
    +tp4165
    +a(g185
    +V 
    +tp4166
    +a(g340
    +V=
    +tp4167
    +a(g185
    +V 
    +tp4168
    +a(g307
    +V1.1
    +p4169
    +tp4170
    +a(g185
    +V 
    +tp4171
    +a(g340
    +V*
    +tp4172
    +a(g185
    +V 
    +tp4173
    +a(g15
    +Vhypgeo
    +p4174
    +tp4175
    +a(g198
    +V(
    +tp4176
    +a(g15
    +Vfloor
    +p4177
    +tp4178
    +a(g198
    +V(
    +tp4179
    +a(g12
    +Vmu
    +p4180
    +tp4181
    +a(g198
    +V)
    +tp4182
    +a(g340
    +V,
    +tp4183
    +a(g185
    +V 
    +tp4184
    +a(g12
    +Vnn
    +p4185
    +tp4186
    +a(g340
    +V,
    +tp4187
    +a(g185
    +V 
    +tp4188
    +a(g12
    +Vmm
    +p4189
    +tp4190
    +a(g340
    +V,
    +tp4191
    +a(g185
    +V 
    +tp4192
    +a(g12
    +Vn
    +tp4193
    +a(g198
    +V)
    +tp4194
    +a(g185
    +V 
    +tp4195
    +a(g6
    +V#
    +tp4196
    +a(g6
    +Vm
    +tp4197
    +a(g6
    +Vo
    +tp4198
    +a(g6
    +Vd
    +tp4199
    +a(g6
    +Ve
    +tp4200
    +a(g6
    +V 
    +tp4201
    +a(g6
    +Vo
    +tp4202
    +a(g6
    +Vf
    +tp4203
    +a(g6
    +V 
    +tp4204
    +a(g6
    +Vb
    +tp4205
    +a(g6
    +Vi
    +tp4206
    +a(g6
    +Vn
    +tp4207
    +a(g6
    +Vo
    +tp4208
    +a(g6
    +Vm
    +tp4209
    +a(g6
    +V 
    +tp4210
    +a(g6
    +VP
    +tp4211
    +a(g6
    +VD
    +tp4212
    +a(g6
    +VF
    +tp4213
    +a(g6
    +V 
    +tp4214
    +a(g6
    +Vu
    +tp4215
    +a(g6
    +Vs
    +tp4216
    +a(g6
    +Ve
    +tp4217
    +a(g6
    +Vd
    +tp4218
    +a(g6
    +V
    +tp4219
    +a(g185
    +V\u000a
    +tp4220
    +a(g107
    +Vset
    +p4221
    +tp4222
    +a(g185
    +V 
    +tp4223
    +a(g53
    +Vkey
    +p4224
    +tp4225
    +a(g185
    +V 
    +tp4226
    +a(g12
    +Vbox
    +p4227
    +tp4228
    +a(g185
    +V\u000a
    +tp4229
    +a(g107
    +Vunset
    +p4230
    +tp4231
    +a(g185
    +V 
    +tp4232
    +a(g53
    +Vzeroaxis
    +p4233
    +tp4234
    +a(g185
    +V\u000a
    +tp4235
    +a(g107
    +Vset
    +p4236
    +tp4237
    +a(g185
    +V 
    +tp4238
    +a(g53
    +Vxrange
    +p4239
    +tp4240
    +a(g185
    +V 
    +tp4241
    +a(g198
    +V[
    +tp4242
    +a(g12
    +Vxmin
    +p4243
    +tp4244
    +a(g185
    +V 
    +tp4245
    +a(g340
    +V-
    +tp4246
    +a(g185
    +V 
    +tp4247
    +a(g315
    +V1
    +tp4248
    +a(g185
    +V 
    +tp4249
    +a(g340
    +V:
    +tp4250
    +a(g185
    +V 
    +tp4251
    +a(g12
    +Vxmax
    +p4252
    +tp4253
    +a(g185
    +V 
    +tp4254
    +a(g340
    +V+
    +tp4255
    +a(g185
    +V 
    +tp4256
    +a(g315
    +V1
    +tp4257
    +a(g198
    +V]
    +tp4258
    +a(g185
    +V\u000a
    +tp4259
    +a(g107
    +Vset
    +p4260
    +tp4261
    +a(g185
    +V 
    +tp4262
    +a(g53
    +Vyrange
    +p4263
    +tp4264
    +a(g185
    +V 
    +tp4265
    +a(g198
    +V[
    +tp4266
    +a(g315
    +V0
    +tp4267
    +a(g185
    +V 
    +tp4268
    +a(g340
    +V:
    +tp4269
    +a(g185
    +V 
    +tp4270
    +a(g12
    +Vymax
    +p4271
    +tp4272
    +a(g198
    +V]
    +tp4273
    +a(g185
    +V\u000a
    +tp4274
    +a(g107
    +Vset
    +p4275
    +tp4276
    +a(g185
    +V 
    +tp4277
    +a(g53
    +Vxlabel
    +p4278
    +tp4279
    +a(g185
    +V 
    +tp4280
    +a(g222
    +V"
    +tp4281
    +a(g222
    +Vk, x ->
    +p4282
    +tp4283
    +a(g222
    +V"
    +tp4284
    +a(g185
    +V\u000a
    +tp4285
    +a(g107
    +Vset
    +p4286
    +tp4287
    +a(g185
    +V 
    +tp4288
    +a(g53
    +Vylabel
    +p4289
    +tp4290
    +a(g185
    +V 
    +tp4291
    +a(g222
    +V"
    +tp4292
    +a(g222
    +Vprobability density ->
    +p4293
    +tp4294
    +a(g222
    +V"
    +tp4295
    +a(g185
    +V\u000a
    +tp4296
    +a(g107
    +Vset
    +p4297
    +tp4298
    +a(g185
    +V 
    +tp4299
    +a(g53
    +Vytics
    +p4300
    +tp4301
    +a(g185
    +V 
    +tp4302
    +a(g315
    +V0
    +tp4303
    +a(g340
    +V,
    +tp4304
    +a(g185
    +V 
    +tp4305
    +a(g12
    +Vymax
    +p4306
    +tp4307
    +a(g185
    +V 
    +tp4308
    +a(g340
    +V/
    +tp4309
    +a(g185
    +V 
    +tp4310
    +a(g307
    +V10.0
    +p4311
    +tp4312
    +a(g340
    +V,
    +tp4313
    +a(g185
    +V 
    +tp4314
    +a(g12
    +Vymax
    +p4315
    +tp4316
    +a(g185
    +V\u000a
    +tp4317
    +a(g107
    +Vset
    +p4318
    +tp4319
    +a(g185
    +V 
    +tp4320
    +a(g53
    +Vformat
    +p4321
    +tp4322
    +a(g185
    +V 
    +tp4323
    +a(g12
    +Vx
    +tp4324
    +a(g185
    +V 
    +tp4325
    +a(g222
    +V"
    +tp4326
    +a(g222
    +V%2.0f
    +p4327
    +tp4328
    +a(g222
    +V"
    +tp4329
    +a(g185
    +V\u000a
    +tp4330
    +a(g107
    +Vset
    +p4331
    +tp4332
    +a(g185
    +V 
    +tp4333
    +a(g53
    +Vformat
    +p4334
    +tp4335
    +a(g185
    +V 
    +tp4336
    +a(g12
    +Vy
    +tp4337
    +a(g185
    +V 
    +tp4338
    +a(g222
    +V"
    +tp4339
    +a(g222
    +V%3.2f
    +p4340
    +tp4341
    +a(g222
    +V"
    +tp4342
    +a(g185
    +V\u000a
    +tp4343
    +a(g107
    +Vset
    +p4344
    +tp4345
    +a(g185
    +V 
    +tp4346
    +a(g53
    +Vsample
    +p4347
    +tp4348
    +a(g185
    +V 
    +tp4349
    +a(g315
    +V200
    +p4350
    +tp4351
    +a(g185
    +V\u000a
    +tp4352
    +a(g107
    +Vset
    +p4353
    +tp4354
    +a(g185
    +V 
    +tp4355
    +a(g53
    +Vtitle
    +p4356
    +tp4357
    +a(g185
    +V 
    +tp4358
    +a(g222
    +V"
    +tp4359
    +a(g222
    +Vhypergeometric PDF using normal approximation
    +p4360
    +tp4361
    +a(g222
    +V"
    +tp4362
    +a(g185
    +V\u000a
    +tp4363
    +a(g107
    +Vset
    +p4364
    +tp4365
    +a(g185
    +V 
    +tp4366
    +a(g53
    +Varrow
    +p4367
    +tp4368
    +a(g185
    +V 
    +tp4369
    +a(g12
    +Vfrom
    +p4370
    +tp4371
    +a(g185
    +V 
    +tp4372
    +a(g12
    +Vmu
    +p4373
    +tp4374
    +a(g340
    +V,
    +tp4375
    +a(g185
    +V 
    +tp4376
    +a(g315
    +V0
    +tp4377
    +a(g185
    +V 
    +tp4378
    +a(g12
    +Vto
    +p4379
    +tp4380
    +a(g185
    +V 
    +tp4381
    +a(g12
    +Vmu
    +p4382
    +tp4383
    +a(g340
    +V,
    +tp4384
    +a(g185
    +V 
    +tp4385
    +a(g15
    +Vnormal
    +p4386
    +tp4387
    +a(g198
    +V(
    +tp4388
    +a(g12
    +Vmu
    +p4389
    +tp4390
    +a(g340
    +V,
    +tp4391
    +a(g185
    +V 
    +tp4392
    +a(g12
    +Vmu
    +p4393
    +tp4394
    +a(g340
    +V,
    +tp4395
    +a(g185
    +V 
    +tp4396
    +a(g12
    +Vsigma
    +p4397
    +tp4398
    +a(g198
    +V)
    +tp4399
    +a(g185
    +V 
    +tp4400
    +a(g12
    +Vnohead
    +p4401
    +tp4402
    +a(g185
    +V\u000a
    +tp4403
    +a(g107
    +Vset
    +p4404
    +tp4405
    +a(g185
    +V 
    +tp4406
    +a(g53
    +Varrow
    +p4407
    +tp4408
    +a(g185
    +V 
    +tp4409
    +a(g12
    +Vfrom
    +p4410
    +tp4411
    +a(g185
    +V 
    +tp4412
    +a(g12
    +Vmu
    +p4413
    +tp4414
    +a(g340
    +V,
    +tp4415
    +a(g185
    +V 
    +tp4416
    +a(g15
    +Vnormal
    +p4417
    +tp4418
    +a(g198
    +V(
    +tp4419
    +a(g12
    +Vmu
    +p4420
    +tp4421
    +a(g185
    +V 
    +tp4422
    +a(g340
    +V+
    +tp4423
    +a(g185
    +V 
    +tp4424
    +a(g12
    +Vsigma
    +p4425
    +tp4426
    +a(g340
    +V,
    +tp4427
    +a(g185
    +V 
    +tp4428
    +a(g12
    +Vmu
    +p4429
    +tp4430
    +a(g340
    +V,
    +tp4431
    +a(g185
    +V 
    +tp4432
    +a(g12
    +Vsigma
    +p4433
    +tp4434
    +a(g198
    +V)
    +tp4435
    +a(g185
    +V 
    +tp4436
    +a(g185
    +V\u005c\u000a
    +p4437
    +tp4438
    +a(g185
    +V          
    +p4439
    +tp4440
    +a(g12
    +Vto
    +p4441
    +tp4442
    +a(g185
    +V 
    +tp4443
    +a(g12
    +Vmu
    +p4444
    +tp4445
    +a(g185
    +V 
    +tp4446
    +a(g340
    +V+
    +tp4447
    +a(g185
    +V 
    +tp4448
    +a(g12
    +Vsigma
    +p4449
    +tp4450
    +a(g340
    +V,
    +tp4451
    +a(g185
    +V 
    +tp4452
    +a(g15
    +Vnormal
    +p4453
    +tp4454
    +a(g198
    +V(
    +tp4455
    +a(g12
    +Vmu
    +p4456
    +tp4457
    +a(g185
    +V 
    +tp4458
    +a(g340
    +V+
    +tp4459
    +a(g185
    +V 
    +tp4460
    +a(g12
    +Vsigma
    +p4461
    +tp4462
    +a(g340
    +V,
    +tp4463
    +a(g185
    +V 
    +tp4464
    +a(g12
    +Vmu
    +p4465
    +tp4466
    +a(g340
    +V,
    +tp4467
    +a(g185
    +V 
    +tp4468
    +a(g12
    +Vsigma
    +p4469
    +tp4470
    +a(g198
    +V)
    +tp4471
    +a(g185
    +V 
    +tp4472
    +a(g12
    +Vnohead
    +p4473
    +tp4474
    +a(g185
    +V\u000a
    +tp4475
    +a(g107
    +Vset
    +p4476
    +tp4477
    +a(g185
    +V 
    +tp4478
    +a(g53
    +Vlabel
    +p4479
    +tp4480
    +a(g185
    +V 
    +tp4481
    +a(g222
    +V"
    +tp4482
    +a(g222
    +Vmu
    +p4483
    +tp4484
    +a(g222
    +V"
    +tp4485
    +a(g185
    +V 
    +tp4486
    +a(g12
    +Vat
    +p4487
    +tp4488
    +a(g185
    +V 
    +tp4489
    +a(g12
    +Vmu
    +p4490
    +tp4491
    +a(g185
    +V 
    +tp4492
    +a(g340
    +V+
    +tp4493
    +a(g185
    +V 
    +tp4494
    +a(g307
    +V0.5
    +p4495
    +tp4496
    +a(g340
    +V,
    +tp4497
    +a(g185
    +V 
    +tp4498
    +a(g12
    +Vymax
    +p4499
    +tp4500
    +a(g185
    +V 
    +tp4501
    +a(g340
    +V/
    +tp4502
    +a(g185
    +V 
    +tp4503
    +a(g315
    +V10
    +p4504
    +tp4505
    +a(g185
    +V\u000a
    +tp4506
    +a(g107
    +Vset
    +p4507
    +tp4508
    +a(g185
    +V 
    +tp4509
    +a(g53
    +Vlabel
    +p4510
    +tp4511
    +a(g185
    +V 
    +tp4512
    +a(g222
    +V"
    +tp4513
    +a(g222
    +Vsigma
    +p4514
    +tp4515
    +a(g222
    +V"
    +tp4516
    +a(g185
    +V 
    +tp4517
    +a(g12
    +Vat
    +p4518
    +tp4519
    +a(g185
    +V 
    +tp4520
    +a(g12
    +Vmu
    +p4521
    +tp4522
    +a(g185
    +V 
    +tp4523
    +a(g340
    +V+
    +tp4524
    +a(g185
    +V 
    +tp4525
    +a(g307
    +V0.5
    +p4526
    +tp4527
    +a(g185
    +V 
    +tp4528
    +a(g340
    +V+
    +tp4529
    +a(g185
    +V 
    +tp4530
    +a(g12
    +Vsigma
    +p4531
    +tp4532
    +a(g340
    +V,
    +tp4533
    +a(g185
    +V 
    +tp4534
    +a(g15
    +Vnormal
    +p4535
    +tp4536
    +a(g198
    +V(
    +tp4537
    +a(g12
    +Vmu
    +p4538
    +tp4539
    +a(g185
    +V 
    +tp4540
    +a(g340
    +V+
    +tp4541
    +a(g185
    +V 
    +tp4542
    +a(g12
    +Vsigma
    +p4543
    +tp4544
    +a(g340
    +V,
    +tp4545
    +a(g185
    +V 
    +tp4546
    +a(g12
    +Vmu
    +p4547
    +tp4548
    +a(g340
    +V,
    +tp4549
    +a(g185
    +V 
    +tp4550
    +a(g12
    +Vsigma
    +p4551
    +tp4552
    +a(g198
    +V)
    +tp4553
    +a(g185
    +V\u000a
    +tp4554
    +a(g107
    +Vplot
    +p4555
    +tp4556
    +a(g185
    +V 
    +tp4557
    +a(g15
    +Vhypgeo
    +p4558
    +tp4559
    +a(g198
    +V(
    +tp4560
    +a(g15
    +Vrnd
    +p4561
    +tp4562
    +a(g198
    +V(
    +tp4563
    +a(g12
    +Vx
    +tp4564
    +a(g198
    +V)
    +tp4565
    +a(g340
    +V,
    +tp4566
    +a(g185
    +V 
    +tp4567
    +a(g12
    +Vnn
    +p4568
    +tp4569
    +a(g340
    +V,
    +tp4570
    +a(g185
    +V 
    +tp4571
    +a(g12
    +Vmm
    +p4572
    +tp4573
    +a(g340
    +V,
    +tp4574
    +a(g185
    +V 
    +tp4575
    +a(g12
    +Vn
    +tp4576
    +a(g198
    +V)
    +tp4577
    +a(g185
    +V 
    +tp4578
    +a(g53
    +Vwith
    +p4579
    +tp4580
    +a(g185
    +V 
    +tp4581
    +a(g12
    +Vhisteps
    +p4582
    +tp4583
    +a(g340
    +V,
    +tp4584
    +a(g185
    +V 
    +tp4585
    +a(g15
    +Vnormal
    +p4586
    +tp4587
    +a(g198
    +V(
    +tp4588
    +a(g12
    +Vx
    +tp4589
    +a(g340
    +V,
    +tp4590
    +a(g185
    +V 
    +tp4591
    +a(g12
    +Vmu
    +p4592
    +tp4593
    +a(g340
    +V,
    +tp4594
    +a(g185
    +V 
    +tp4595
    +a(g12
    +Vsigma
    +p4596
    +tp4597
    +a(g198
    +V)
    +tp4598
    +a(g185
    +V\u000a
    +tp4599
    +a(g107
    +Vpause
    +p4600
    +tp4601
    +a(g185
    +V 
    +tp4602
    +a(g315
    +V-1
    +p4603
    +tp4604
    +a(g185
    +V 
    +tp4605
    +a(g222
    +V"
    +tp4606
    +a(g222
    +VHit return to continue
    +p4607
    +tp4608
    +a(g222
    +V"
    +tp4609
    +a(g185
    +V\u000a
    +tp4610
    +a(g107
    +Vunset
    +p4611
    +tp4612
    +a(g185
    +V 
    +tp4613
    +a(g53
    +Varrow
    +p4614
    +tp4615
    +a(g185
    +V\u000a
    +tp4616
    +a(g107
    +Vunset
    +p4617
    +tp4618
    +a(g185
    +V 
    +tp4619
    +a(g53
    +Vlabel
    +p4620
    +tp4621
    +a(g185
    +V\u000a
    +tp4622
    +a(g185
    +V\u000a
    +tp4623
    +a(g6
    +V#
    +tp4624
    +a(g6
    +V 
    +tp4625
    +a(g6
    +VN
    +tp4626
    +a(g6
    +Ve
    +tp4627
    +a(g6
    +Vg
    +tp4628
    +a(g6
    +Va
    +tp4629
    +a(g6
    +Vt
    +tp4630
    +a(g6
    +Vi
    +tp4631
    +a(g6
    +Vv
    +tp4632
    +a(g6
    +Ve
    +tp4633
    +a(g6
    +V 
    +tp4634
    +a(g6
    +Vb
    +tp4635
    +a(g6
    +Vi
    +tp4636
    +a(g6
    +Vn
    +tp4637
    +a(g6
    +Vo
    +tp4638
    +a(g6
    +Vm
    +tp4639
    +a(g6
    +Vi
    +tp4640
    +a(g6
    +Va
    +tp4641
    +a(g6
    +Vl
    +tp4642
    +a(g6
    +V 
    +tp4643
    +a(g6
    +VP
    +tp4644
    +a(g6
    +VD
    +tp4645
    +a(g6
    +VF
    +tp4646
    +a(g6
    +V 
    +tp4647
    +a(g6
    +Vu
    +tp4648
    +a(g6
    +Vs
    +tp4649
    +a(g6
    +Vi
    +tp4650
    +a(g6
    +Vn
    +tp4651
    +a(g6
    +Vg
    +tp4652
    +a(g6
    +V 
    +tp4653
    +a(g6
    +Vg
    +tp4654
    +a(g6
    +Va
    +tp4655
    +a(g6
    +Vm
    +tp4656
    +a(g6
    +Vm
    +tp4657
    +a(g6
    +Va
    +tp4658
    +a(g6
    +V 
    +tp4659
    +a(g6
    +Va
    +tp4660
    +a(g6
    +Vp
    +tp4661
    +a(g6
    +Vp
    +tp4662
    +a(g6
    +Vr
    +tp4663
    +a(g6
    +Vo
    +tp4664
    +a(g6
    +Vx
    +tp4665
    +a(g6
    +Vi
    +tp4666
    +a(g6
    +Vm
    +tp4667
    +a(g6
    +Va
    +tp4668
    +a(g6
    +Vt
    +tp4669
    +a(g6
    +Vi
    +tp4670
    +a(g6
    +Vo
    +tp4671
    +a(g6
    +Vn
    +tp4672
    +a(g6
    +V
    +tp4673
    +a(g185
    +V\u000a
    +tp4674
    +a(g69
    +Vr
    +tp4675
    +a(g185
    +V 
    +tp4676
    +a(g340
    +V=
    +tp4677
    +a(g185
    +V 
    +tp4678
    +a(g315
    +V8
    +tp4679
    +a(g198
    +V;
    +tp4680
    +a(g185
    +V 
    +tp4681
    +a(g107
    +Vp
    +tp4682
    +a(g185
    +V 
    +tp4683
    +a(g340
    +V=
    +tp4684
    +a(g185
    +V 
    +tp4685
    +a(g307
    +V0.6
    +p4686
    +tp4687
    +a(g185
    +V\u000a
    +tp4688
    +a(g69
    +Vmu
    +p4689
    +tp4690
    +a(g185
    +V 
    +tp4691
    +a(g340
    +V=
    +tp4692
    +a(g185
    +V 
    +tp4693
    +a(g12
    +Vr
    +tp4694
    +a(g185
    +V 
    +tp4695
    +a(g340
    +V*
    +tp4696
    +a(g185
    +V 
    +tp4697
    +a(g198
    +V(
    +tp4698
    +a(g307
    +V1.0
    +p4699
    +tp4700
    +a(g185
    +V 
    +tp4701
    +a(g340
    +V-
    +tp4702
    +a(g185
    +V 
    +tp4703
    +a(g12
    +Vp
    +tp4704
    +a(g198
    +V)
    +tp4705
    +a(g185
    +V 
    +tp4706
    +a(g340
    +V/
    +tp4707
    +a(g185
    +V 
    +tp4708
    +a(g12
    +Vp
    +tp4709
    +a(g185
    +V\u000a
    +tp4710
    +a(g69
    +Vsigma
    +p4711
    +tp4712
    +a(g185
    +V 
    +tp4713
    +a(g340
    +V=
    +tp4714
    +a(g185
    +V 
    +tp4715
    +a(g15
    +Vsqrt
    +p4716
    +tp4717
    +a(g198
    +V(
    +tp4718
    +a(g12
    +Vmu
    +p4719
    +tp4720
    +a(g185
    +V 
    +tp4721
    +a(g340
    +V/
    +tp4722
    +a(g185
    +V 
    +tp4723
    +a(g12
    +Vp
    +tp4724
    +a(g198
    +V)
    +tp4725
    +a(g185
    +V\u000a
    +tp4726
    +a(g69
    +Vlambda
    +p4727
    +tp4728
    +a(g185
    +V 
    +tp4729
    +a(g340
    +V=
    +tp4730
    +a(g185
    +V 
    +tp4731
    +a(g12
    +Vp
    +tp4732
    +a(g185
    +V\u000a
    +tp4733
    +a(g69
    +Vrho
    +p4734
    +tp4735
    +a(g185
    +V 
    +tp4736
    +a(g340
    +V=
    +tp4737
    +a(g185
    +V 
    +tp4738
    +a(g12
    +Vr
    +tp4739
    +a(g185
    +V 
    +tp4740
    +a(g340
    +V*
    +tp4741
    +a(g185
    +V 
    +tp4742
    +a(g198
    +V(
    +tp4743
    +a(g307
    +V1.0
    +p4744
    +tp4745
    +a(g185
    +V 
    +tp4746
    +a(g340
    +V-
    +tp4747
    +a(g185
    +V 
    +tp4748
    +a(g12
    +Vp
    +tp4749
    +a(g198
    +V)
    +tp4750
    +a(g185
    +V\u000a
    +tp4751
    +a(g69
    +Vxmin
    +p4752
    +tp4753
    +a(g185
    +V 
    +tp4754
    +a(g340
    +V=
    +tp4755
    +a(g185
    +V 
    +tp4756
    +a(g15
    +Vfloor
    +p4757
    +tp4758
    +a(g198
    +V(
    +tp4759
    +a(g12
    +Vmu
    +p4760
    +tp4761
    +a(g185
    +V 
    +tp4762
    +a(g340
    +V-
    +tp4763
    +a(g185
    +V 
    +tp4764
    +a(g12
    +Vr_sigma
    +p4765
    +tp4766
    +a(g185
    +V 
    +tp4767
    +a(g340
    +V*
    +tp4768
    +a(g185
    +V 
    +tp4769
    +a(g12
    +Vsigma
    +p4770
    +tp4771
    +a(g198
    +V)
    +tp4772
    +a(g185
    +V\u000a
    +tp4773
    +a(g69
    +Vxmin
    +p4774
    +tp4775
    +a(g185
    +V 
    +tp4776
    +a(g340
    +V=
    +tp4777
    +a(g185
    +V 
    +tp4778
    +a(g12
    +Vxmin
    +p4779
    +tp4780
    +a(g185
    +V 
    +tp4781
    +a(g340
    +V<
    +tp4782
    +a(g185
    +V 
    +tp4783
    +a(g12
    +Vr_xmin
    +p4784
    +tp4785
    +a(g185
    +V 
    +tp4786
    +a(g340
    +V?
    +tp4787
    +a(g185
    +V 
    +tp4788
    +a(g12
    +Vr_xmin
    +p4789
    +tp4790
    +a(g185
    +V 
    +tp4791
    +a(g340
    +V:
    +tp4792
    +a(g185
    +V 
    +tp4793
    +a(g12
    +Vxmin
    +p4794
    +tp4795
    +a(g185
    +V\u000a
    +tp4796
    +a(g69
    +Vxmax
    +p4797
    +tp4798
    +a(g185
    +V 
    +tp4799
    +a(g340
    +V=
    +tp4800
    +a(g185
    +V 
    +tp4801
    +a(g15
    +Vceil
    +p4802
    +tp4803
    +a(g198
    +V(
    +tp4804
    +a(g12
    +Vmu
    +p4805
    +tp4806
    +a(g185
    +V 
    +tp4807
    +a(g340
    +V+
    +tp4808
    +a(g185
    +V 
    +tp4809
    +a(g12
    +Vr_sigma
    +p4810
    +tp4811
    +a(g185
    +V 
    +tp4812
    +a(g340
    +V*
    +tp4813
    +a(g185
    +V 
    +tp4814
    +a(g12
    +Vsigma
    +p4815
    +tp4816
    +a(g198
    +V)
    +tp4817
    +a(g185
    +V\u000a
    +tp4818
    +a(g69
    +Vymax
    +p4819
    +tp4820
    +a(g185
    +V 
    +tp4821
    +a(g340
    +V=
    +tp4822
    +a(g185
    +V 
    +tp4823
    +a(g307
    +V1.1
    +p4824
    +tp4825
    +a(g185
    +V 
    +tp4826
    +a(g340
    +V*
    +tp4827
    +a(g185
    +V 
    +tp4828
    +a(g15
    +Vgmm
    +p4829
    +tp4830
    +a(g198
    +V(
    +tp4831
    +a(g198
    +V(
    +tp4832
    +a(g12
    +Vrho
    +p4833
    +tp4834
    +a(g185
    +V 
    +tp4835
    +a(g340
    +V-
    +tp4836
    +a(g185
    +V 
    +tp4837
    +a(g315
    +V1
    +tp4838
    +a(g198
    +V)
    +tp4839
    +a(g185
    +V 
    +tp4840
    +a(g340
    +V/
    +tp4841
    +a(g185
    +V 
    +tp4842
    +a(g12
    +Vlambda
    +p4843
    +tp4844
    +a(g340
    +V,
    +tp4845
    +a(g185
    +V 
    +tp4846
    +a(g12
    +Vrho
    +p4847
    +tp4848
    +a(g340
    +V,
    +tp4849
    +a(g185
    +V 
    +tp4850
    +a(g12
    +Vlambda
    +p4851
    +tp4852
    +a(g198
    +V)
    +tp4853
    +a(g185
    +V 
    +tp4854
    +a(g6
    +V#
    +tp4855
    +a(g6
    +Vm
    +tp4856
    +a(g6
    +Vo
    +tp4857
    +a(g6
    +Vd
    +tp4858
    +a(g6
    +Ve
    +tp4859
    +a(g6
    +V 
    +tp4860
    +a(g6
    +Vo
    +tp4861
    +a(g6
    +Vf
    +tp4862
    +a(g6
    +V 
    +tp4863
    +a(g6
    +Vg
    +tp4864
    +a(g6
    +Va
    +tp4865
    +a(g6
    +Vm
    +tp4866
    +a(g6
    +Vm
    +tp4867
    +a(g6
    +Va
    +tp4868
    +a(g6
    +V 
    +tp4869
    +a(g6
    +VP
    +tp4870
    +a(g6
    +VD
    +tp4871
    +a(g6
    +VF
    +tp4872
    +a(g6
    +V 
    +tp4873
    +a(g6
    +Vu
    +tp4874
    +a(g6
    +Vs
    +tp4875
    +a(g6
    +Ve
    +tp4876
    +a(g6
    +Vd
    +tp4877
    +a(g6
    +V
    +tp4878
    +a(g185
    +V\u000a
    +tp4879
    +a(g107
    +Vset
    +p4880
    +tp4881
    +a(g185
    +V 
    +tp4882
    +a(g53
    +Vkey
    +p4883
    +tp4884
    +a(g185
    +V 
    +tp4885
    +a(g12
    +Vbox
    +p4886
    +tp4887
    +a(g185
    +V\u000a
    +tp4888
    +a(g107
    +Vunset
    +p4889
    +tp4890
    +a(g185
    +V 
    +tp4891
    +a(g53
    +Vzeroaxis
    +p4892
    +tp4893
    +a(g185
    +V\u000a
    +tp4894
    +a(g107
    +Vset
    +p4895
    +tp4896
    +a(g185
    +V 
    +tp4897
    +a(g53
    +Vxrange
    +p4898
    +tp4899
    +a(g185
    +V 
    +tp4900
    +a(g198
    +V[
    +tp4901
    +a(g12
    +Vxmin
    +p4902
    +tp4903
    +a(g185
    +V 
    +tp4904
    +a(g340
    +V-
    +tp4905
    +a(g185
    +V 
    +tp4906
    +a(g315
    +V1
    +tp4907
    +a(g185
    +V 
    +tp4908
    +a(g340
    +V:
    +tp4909
    +a(g185
    +V 
    +tp4910
    +a(g12
    +Vxmax
    +p4911
    +tp4912
    +a(g185
    +V 
    +tp4913
    +a(g340
    +V+
    +tp4914
    +a(g185
    +V 
    +tp4915
    +a(g315
    +V1
    +tp4916
    +a(g198
    +V]
    +tp4917
    +a(g185
    +V\u000a
    +tp4918
    +a(g107
    +Vset
    +p4919
    +tp4920
    +a(g185
    +V 
    +tp4921
    +a(g53
    +Vyrange
    +p4922
    +tp4923
    +a(g185
    +V 
    +tp4924
    +a(g198
    +V[
    +tp4925
    +a(g315
    +V0
    +tp4926
    +a(g185
    +V 
    +tp4927
    +a(g340
    +V:
    +tp4928
    +a(g185
    +V 
    +tp4929
    +a(g12
    +Vymax
    +p4930
    +tp4931
    +a(g198
    +V]
    +tp4932
    +a(g185
    +V\u000a
    +tp4933
    +a(g107
    +Vset
    +p4934
    +tp4935
    +a(g185
    +V 
    +tp4936
    +a(g53
    +Vxlabel
    +p4937
    +tp4938
    +a(g185
    +V 
    +tp4939
    +a(g222
    +V"
    +tp4940
    +a(g222
    +Vk, x ->
    +p4941
    +tp4942
    +a(g222
    +V"
    +tp4943
    +a(g185
    +V\u000a
    +tp4944
    +a(g107
    +Vset
    +p4945
    +tp4946
    +a(g185
    +V 
    +tp4947
    +a(g53
    +Vylabel
    +p4948
    +tp4949
    +a(g185
    +V 
    +tp4950
    +a(g222
    +V"
    +tp4951
    +a(g222
    +Vprobability density ->
    +p4952
    +tp4953
    +a(g222
    +V"
    +tp4954
    +a(g185
    +V\u000a
    +tp4955
    +a(g107
    +Vset
    +p4956
    +tp4957
    +a(g185
    +V 
    +tp4958
    +a(g53
    +Vytics
    +p4959
    +tp4960
    +a(g185
    +V 
    +tp4961
    +a(g315
    +V0
    +tp4962
    +a(g340
    +V,
    +tp4963
    +a(g185
    +V 
    +tp4964
    +a(g12
    +Vymax
    +p4965
    +tp4966
    +a(g185
    +V 
    +tp4967
    +a(g340
    +V/
    +tp4968
    +a(g185
    +V 
    +tp4969
    +a(g307
    +V10.0
    +p4970
    +tp4971
    +a(g340
    +V,
    +tp4972
    +a(g185
    +V 
    +tp4973
    +a(g12
    +Vymax
    +p4974
    +tp4975
    +a(g185
    +V\u000a
    +tp4976
    +a(g107
    +Vset
    +p4977
    +tp4978
    +a(g185
    +V 
    +tp4979
    +a(g53
    +Vformat
    +p4980
    +tp4981
    +a(g185
    +V 
    +tp4982
    +a(g12
    +Vx
    +tp4983
    +a(g185
    +V 
    +tp4984
    +a(g222
    +V"
    +tp4985
    +a(g222
    +V%2.0f
    +p4986
    +tp4987
    +a(g222
    +V"
    +tp4988
    +a(g185
    +V\u000a
    +tp4989
    +a(g107
    +Vset
    +p4990
    +tp4991
    +a(g185
    +V 
    +tp4992
    +a(g53
    +Vformat
    +p4993
    +tp4994
    +a(g185
    +V 
    +tp4995
    +a(g12
    +Vy
    +tp4996
    +a(g185
    +V 
    +tp4997
    +a(g222
    +V"
    +tp4998
    +a(g222
    +V%3.2f
    +p4999
    +tp5000
    +a(g222
    +V"
    +tp5001
    +a(g185
    +V\u000a
    +tp5002
    +a(g107
    +Vset
    +p5003
    +tp5004
    +a(g185
    +V 
    +tp5005
    +a(g53
    +Vsample
    +p5006
    +tp5007
    +a(g185
    +V 
    +tp5008
    +a(g315
    +V200
    +p5009
    +tp5010
    +a(g185
    +V\u000a
    +tp5011
    +a(g107
    +Vset
    +p5012
    +tp5013
    +a(g185
    +V 
    +tp5014
    +a(g53
    +Vtitle
    +p5015
    +tp5016
    +a(g185
    +V 
    +tp5017
    +a(g222
    +V"
    +tp5018
    +a(g222
    +Vnegative binomial PDF using gamma approximation
    +p5019
    +tp5020
    +a(g222
    +V"
    +tp5021
    +a(g185
    +V\u000a
    +tp5022
    +a(g107
    +Vset
    +p5023
    +tp5024
    +a(g185
    +V 
    +tp5025
    +a(g53
    +Varrow
    +p5026
    +tp5027
    +a(g185
    +V 
    +tp5028
    +a(g12
    +Vfrom
    +p5029
    +tp5030
    +a(g185
    +V 
    +tp5031
    +a(g12
    +Vmu
    +p5032
    +tp5033
    +a(g340
    +V,
    +tp5034
    +a(g185
    +V 
    +tp5035
    +a(g315
    +V0
    +tp5036
    +a(g185
    +V 
    +tp5037
    +a(g12
    +Vto
    +p5038
    +tp5039
    +a(g185
    +V 
    +tp5040
    +a(g12
    +Vmu
    +p5041
    +tp5042
    +a(g340
    +V,
    +tp5043
    +a(g185
    +V 
    +tp5044
    +a(g15
    +Vgmm
    +p5045
    +tp5046
    +a(g198
    +V(
    +tp5047
    +a(g12
    +Vmu
    +p5048
    +tp5049
    +a(g340
    +V,
    +tp5050
    +a(g185
    +V 
    +tp5051
    +a(g12
    +Vrho
    +p5052
    +tp5053
    +a(g340
    +V,
    +tp5054
    +a(g185
    +V 
    +tp5055
    +a(g12
    +Vlambda
    +p5056
    +tp5057
    +a(g198
    +V)
    +tp5058
    +a(g185
    +V 
    +tp5059
    +a(g12
    +Vnohead
    +p5060
    +tp5061
    +a(g185
    +V\u000a
    +tp5062
    +a(g107
    +Vset
    +p5063
    +tp5064
    +a(g185
    +V 
    +tp5065
    +a(g53
    +Varrow
    +p5066
    +tp5067
    +a(g185
    +V 
    +tp5068
    +a(g12
    +Vfrom
    +p5069
    +tp5070
    +a(g185
    +V 
    +tp5071
    +a(g12
    +Vmu
    +p5072
    +tp5073
    +a(g340
    +V,
    +tp5074
    +a(g185
    +V 
    +tp5075
    +a(g15
    +Vgmm
    +p5076
    +tp5077
    +a(g198
    +V(
    +tp5078
    +a(g12
    +Vmu
    +p5079
    +tp5080
    +a(g185
    +V 
    +tp5081
    +a(g340
    +V+
    +tp5082
    +a(g185
    +V 
    +tp5083
    +a(g12
    +Vsigma
    +p5084
    +tp5085
    +a(g340
    +V,
    +tp5086
    +a(g185
    +V 
    +tp5087
    +a(g12
    +Vrho
    +p5088
    +tp5089
    +a(g340
    +V,
    +tp5090
    +a(g185
    +V 
    +tp5091
    +a(g12
    +Vlambda
    +p5092
    +tp5093
    +a(g198
    +V)
    +tp5094
    +a(g185
    +V 
    +tp5095
    +a(g185
    +V\u005c\u000a
    +p5096
    +tp5097
    +a(g185
    +V          
    +p5098
    +tp5099
    +a(g12
    +Vto
    +p5100
    +tp5101
    +a(g185
    +V 
    +tp5102
    +a(g12
    +Vmu
    +p5103
    +tp5104
    +a(g185
    +V 
    +tp5105
    +a(g340
    +V+
    +tp5106
    +a(g185
    +V 
    +tp5107
    +a(g12
    +Vsigma
    +p5108
    +tp5109
    +a(g340
    +V,
    +tp5110
    +a(g185
    +V 
    +tp5111
    +a(g15
    +Vgmm
    +p5112
    +tp5113
    +a(g198
    +V(
    +tp5114
    +a(g12
    +Vmu
    +p5115
    +tp5116
    +a(g185
    +V 
    +tp5117
    +a(g340
    +V+
    +tp5118
    +a(g185
    +V 
    +tp5119
    +a(g12
    +Vsigma
    +p5120
    +tp5121
    +a(g340
    +V,
    +tp5122
    +a(g185
    +V 
    +tp5123
    +a(g12
    +Vrho
    +p5124
    +tp5125
    +a(g340
    +V,
    +tp5126
    +a(g185
    +V 
    +tp5127
    +a(g12
    +Vlambda
    +p5128
    +tp5129
    +a(g198
    +V)
    +tp5130
    +a(g185
    +V 
    +tp5131
    +a(g12
    +Vnohead
    +p5132
    +tp5133
    +a(g185
    +V\u000a
    +tp5134
    +a(g107
    +Vset
    +p5135
    +tp5136
    +a(g185
    +V 
    +tp5137
    +a(g53
    +Vlabel
    +p5138
    +tp5139
    +a(g185
    +V 
    +tp5140
    +a(g222
    +V"
    +tp5141
    +a(g222
    +Vmu
    +p5142
    +tp5143
    +a(g222
    +V"
    +tp5144
    +a(g185
    +V 
    +tp5145
    +a(g12
    +Vat
    +p5146
    +tp5147
    +a(g185
    +V 
    +tp5148
    +a(g12
    +Vmu
    +p5149
    +tp5150
    +a(g185
    +V 
    +tp5151
    +a(g340
    +V+
    +tp5152
    +a(g185
    +V 
    +tp5153
    +a(g307
    +V0.5
    +p5154
    +tp5155
    +a(g340
    +V,
    +tp5156
    +a(g185
    +V 
    +tp5157
    +a(g12
    +Vymax
    +p5158
    +tp5159
    +a(g185
    +V 
    +tp5160
    +a(g340
    +V/
    +tp5161
    +a(g185
    +V 
    +tp5162
    +a(g315
    +V10
    +p5163
    +tp5164
    +a(g185
    +V\u000a
    +tp5165
    +a(g107
    +Vset
    +p5166
    +tp5167
    +a(g185
    +V 
    +tp5168
    +a(g53
    +Vlabel
    +p5169
    +tp5170
    +a(g185
    +V 
    +tp5171
    +a(g222
    +V"
    +tp5172
    +a(g222
    +Vsigma
    +p5173
    +tp5174
    +a(g222
    +V"
    +tp5175
    +a(g185
    +V 
    +tp5176
    +a(g12
    +Vat
    +p5177
    +tp5178
    +a(g185
    +V 
    +tp5179
    +a(g12
    +Vmu
    +p5180
    +tp5181
    +a(g185
    +V 
    +tp5182
    +a(g340
    +V+
    +tp5183
    +a(g185
    +V 
    +tp5184
    +a(g307
    +V0.5
    +p5185
    +tp5186
    +a(g185
    +V 
    +tp5187
    +a(g340
    +V+
    +tp5188
    +a(g185
    +V 
    +tp5189
    +a(g12
    +Vsigma
    +p5190
    +tp5191
    +a(g340
    +V,
    +tp5192
    +a(g185
    +V 
    +tp5193
    +a(g15
    +Vgmm
    +p5194
    +tp5195
    +a(g198
    +V(
    +tp5196
    +a(g12
    +Vmu
    +p5197
    +tp5198
    +a(g185
    +V 
    +tp5199
    +a(g340
    +V+
    +tp5200
    +a(g185
    +V 
    +tp5201
    +a(g12
    +Vsigma
    +p5202
    +tp5203
    +a(g340
    +V,
    +tp5204
    +a(g185
    +V 
    +tp5205
    +a(g12
    +Vrho
    +p5206
    +tp5207
    +a(g340
    +V,
    +tp5208
    +a(g185
    +V 
    +tp5209
    +a(g12
    +Vlambda
    +p5210
    +tp5211
    +a(g198
    +V)
    +tp5212
    +a(g185
    +V\u000a
    +tp5213
    +a(g107
    +Vplot
    +p5214
    +tp5215
    +a(g185
    +V 
    +tp5216
    +a(g15
    +Vnegbin
    +p5217
    +tp5218
    +a(g198
    +V(
    +tp5219
    +a(g15
    +Vrnd
    +p5220
    +tp5221
    +a(g198
    +V(
    +tp5222
    +a(g12
    +Vx
    +tp5223
    +a(g198
    +V)
    +tp5224
    +a(g340
    +V,
    +tp5225
    +a(g185
    +V 
    +tp5226
    +a(g12
    +Vr
    +tp5227
    +a(g340
    +V,
    +tp5228
    +a(g185
    +V 
    +tp5229
    +a(g12
    +Vp
    +tp5230
    +a(g198
    +V)
    +tp5231
    +a(g185
    +V 
    +tp5232
    +a(g53
    +Vwith
    +p5233
    +tp5234
    +a(g185
    +V 
    +tp5235
    +a(g12
    +Vhisteps
    +p5236
    +tp5237
    +a(g340
    +V,
    +tp5238
    +a(g185
    +V 
    +tp5239
    +a(g15
    +Vgmm
    +p5240
    +tp5241
    +a(g198
    +V(
    +tp5242
    +a(g12
    +Vx
    +tp5243
    +a(g340
    +V,
    +tp5244
    +a(g185
    +V 
    +tp5245
    +a(g12
    +Vrho
    +p5246
    +tp5247
    +a(g340
    +V,
    +tp5248
    +a(g185
    +V 
    +tp5249
    +a(g12
    +Vlambda
    +p5250
    +tp5251
    +a(g198
    +V)
    +tp5252
    +a(g185
    +V\u000a
    +tp5253
    +a(g107
    +Vpause
    +p5254
    +tp5255
    +a(g185
    +V 
    +tp5256
    +a(g315
    +V-1
    +p5257
    +tp5258
    +a(g185
    +V 
    +tp5259
    +a(g222
    +V"
    +tp5260
    +a(g222
    +VHit return to continue
    +p5261
    +tp5262
    +a(g222
    +V"
    +tp5263
    +a(g185
    +V\u000a
    +tp5264
    +a(g107
    +Vunset
    +p5265
    +tp5266
    +a(g185
    +V 
    +tp5267
    +a(g53
    +Varrow
    +p5268
    +tp5269
    +a(g185
    +V\u000a
    +tp5270
    +a(g107
    +Vunset
    +p5271
    +tp5272
    +a(g185
    +V 
    +tp5273
    +a(g53
    +Vlabel
    +p5274
    +tp5275
    +a(g185
    +V\u000a
    +tp5276
    +a(g185
    +V\u000a
    +tp5277
    +a(g6
    +V#
    +tp5278
    +a(g6
    +V 
    +tp5279
    +a(g6
    +VN
    +tp5280
    +a(g6
    +Ve
    +tp5281
    +a(g6
    +Vg
    +tp5282
    +a(g6
    +Va
    +tp5283
    +a(g6
    +Vt
    +tp5284
    +a(g6
    +Vi
    +tp5285
    +a(g6
    +Vv
    +tp5286
    +a(g6
    +Ve
    +tp5287
    +a(g6
    +V 
    +tp5288
    +a(g6
    +Vb
    +tp5289
    +a(g6
    +Vi
    +tp5290
    +a(g6
    +Vn
    +tp5291
    +a(g6
    +Vo
    +tp5292
    +a(g6
    +Vm
    +tp5293
    +a(g6
    +Vi
    +tp5294
    +a(g6
    +Va
    +tp5295
    +a(g6
    +Vl
    +tp5296
    +a(g6
    +V 
    +tp5297
    +a(g6
    +VP
    +tp5298
    +a(g6
    +VD
    +tp5299
    +a(g6
    +VF
    +tp5300
    +a(g6
    +V 
    +tp5301
    +a(g6
    +Vu
    +tp5302
    +a(g6
    +Vs
    +tp5303
    +a(g6
    +Vi
    +tp5304
    +a(g6
    +Vn
    +tp5305
    +a(g6
    +Vg
    +tp5306
    +a(g6
    +V 
    +tp5307
    +a(g6
    +Vn
    +tp5308
    +a(g6
    +Vo
    +tp5309
    +a(g6
    +Vr
    +tp5310
    +a(g6
    +Vm
    +tp5311
    +a(g6
    +Va
    +tp5312
    +a(g6
    +Vl
    +tp5313
    +a(g6
    +V 
    +tp5314
    +a(g6
    +Va
    +tp5315
    +a(g6
    +Vp
    +tp5316
    +a(g6
    +Vp
    +tp5317
    +a(g6
    +Vr
    +tp5318
    +a(g6
    +Vo
    +tp5319
    +a(g6
    +Vx
    +tp5320
    +a(g6
    +Vi
    +tp5321
    +a(g6
    +Vm
    +tp5322
    +a(g6
    +Va
    +tp5323
    +a(g6
    +Vt
    +tp5324
    +a(g6
    +Vi
    +tp5325
    +a(g6
    +Vo
    +tp5326
    +a(g6
    +Vn
    +tp5327
    +a(g6
    +V
    +tp5328
    +a(g185
    +V\u000a
    +tp5329
    +a(g69
    +Vr
    +tp5330
    +a(g185
    +V 
    +tp5331
    +a(g340
    +V=
    +tp5332
    +a(g185
    +V 
    +tp5333
    +a(g315
    +V8
    +tp5334
    +a(g198
    +V;
    +tp5335
    +a(g185
    +V 
    +tp5336
    +a(g107
    +Vp
    +tp5337
    +a(g185
    +V 
    +tp5338
    +a(g340
    +V=
    +tp5339
    +a(g185
    +V 
    +tp5340
    +a(g307
    +V0.4
    +p5341
    +tp5342
    +a(g185
    +V\u000a
    +tp5343
    +a(g69
    +Vmu
    +p5344
    +tp5345
    +a(g185
    +V 
    +tp5346
    +a(g340
    +V=
    +tp5347
    +a(g185
    +V 
    +tp5348
    +a(g12
    +Vr
    +tp5349
    +a(g185
    +V 
    +tp5350
    +a(g340
    +V*
    +tp5351
    +a(g185
    +V 
    +tp5352
    +a(g198
    +V(
    +tp5353
    +a(g307
    +V1.0
    +p5354
    +tp5355
    +a(g185
    +V 
    +tp5356
    +a(g340
    +V-
    +tp5357
    +a(g185
    +V 
    +tp5358
    +a(g12
    +Vp
    +tp5359
    +a(g198
    +V)
    +tp5360
    +a(g185
    +V 
    +tp5361
    +a(g340
    +V/
    +tp5362
    +a(g185
    +V 
    +tp5363
    +a(g12
    +Vp
    +tp5364
    +a(g185
    +V\u000a
    +tp5365
    +a(g69
    +Vsigma
    +p5366
    +tp5367
    +a(g185
    +V 
    +tp5368
    +a(g340
    +V=
    +tp5369
    +a(g185
    +V 
    +tp5370
    +a(g15
    +Vsqrt
    +p5371
    +tp5372
    +a(g198
    +V(
    +tp5373
    +a(g12
    +Vmu
    +p5374
    +tp5375
    +a(g185
    +V 
    +tp5376
    +a(g340
    +V/
    +tp5377
    +a(g185
    +V 
    +tp5378
    +a(g12
    +Vp
    +tp5379
    +a(g198
    +V)
    +tp5380
    +a(g185
    +V\u000a
    +tp5381
    +a(g69
    +Vxmin
    +p5382
    +tp5383
    +a(g185
    +V 
    +tp5384
    +a(g340
    +V=
    +tp5385
    +a(g185
    +V 
    +tp5386
    +a(g15
    +Vfloor
    +p5387
    +tp5388
    +a(g198
    +V(
    +tp5389
    +a(g12
    +Vmu
    +p5390
    +tp5391
    +a(g185
    +V 
    +tp5392
    +a(g340
    +V-
    +tp5393
    +a(g185
    +V 
    +tp5394
    +a(g12
    +Vr_sigma
    +p5395
    +tp5396
    +a(g185
    +V 
    +tp5397
    +a(g340
    +V*
    +tp5398
    +a(g185
    +V 
    +tp5399
    +a(g12
    +Vsigma
    +p5400
    +tp5401
    +a(g198
    +V)
    +tp5402
    +a(g185
    +V\u000a
    +tp5403
    +a(g69
    +Vxmin
    +p5404
    +tp5405
    +a(g185
    +V 
    +tp5406
    +a(g340
    +V=
    +tp5407
    +a(g185
    +V 
    +tp5408
    +a(g12
    +Vxmin
    +p5409
    +tp5410
    +a(g185
    +V 
    +tp5411
    +a(g340
    +V<
    +tp5412
    +a(g185
    +V 
    +tp5413
    +a(g12
    +Vr_xmin
    +p5414
    +tp5415
    +a(g185
    +V 
    +tp5416
    +a(g340
    +V?
    +tp5417
    +a(g185
    +V 
    +tp5418
    +a(g12
    +Vr_xmin
    +p5419
    +tp5420
    +a(g185
    +V 
    +tp5421
    +a(g340
    +V:
    +tp5422
    +a(g185
    +V 
    +tp5423
    +a(g12
    +Vxmin
    +p5424
    +tp5425
    +a(g185
    +V\u000a
    +tp5426
    +a(g69
    +Vxmax
    +p5427
    +tp5428
    +a(g185
    +V 
    +tp5429
    +a(g340
    +V=
    +tp5430
    +a(g185
    +V 
    +tp5431
    +a(g15
    +Vceil
    +p5432
    +tp5433
    +a(g198
    +V(
    +tp5434
    +a(g12
    +Vmu
    +p5435
    +tp5436
    +a(g185
    +V 
    +tp5437
    +a(g340
    +V+
    +tp5438
    +a(g185
    +V 
    +tp5439
    +a(g12
    +Vr_sigma
    +p5440
    +tp5441
    +a(g185
    +V 
    +tp5442
    +a(g340
    +V*
    +tp5443
    +a(g185
    +V 
    +tp5444
    +a(g12
    +Vsigma
    +p5445
    +tp5446
    +a(g198
    +V)
    +tp5447
    +a(g185
    +V\u000a
    +tp5448
    +a(g69
    +Vymax
    +p5449
    +tp5450
    +a(g185
    +V 
    +tp5451
    +a(g340
    +V=
    +tp5452
    +a(g185
    +V 
    +tp5453
    +a(g307
    +V1.1
    +p5454
    +tp5455
    +a(g185
    +V 
    +tp5456
    +a(g340
    +V*
    +tp5457
    +a(g185
    +V 
    +tp5458
    +a(g15
    +Vnegbin
    +p5459
    +tp5460
    +a(g198
    +V(
    +tp5461
    +a(g15
    +Vfloor
    +p5462
    +tp5463
    +a(g198
    +V(
    +tp5464
    +a(g198
    +V(
    +tp5465
    +a(g12
    +Vr
    +tp5466
    +a(g315
    +V-1
    +p5467
    +tp5468
    +a(g198
    +V)
    +tp5469
    +a(g340
    +V*
    +tp5470
    +a(g198
    +V(
    +tp5471
    +a(g315
    +V1
    +tp5472
    +a(g340
    +V-
    +tp5473
    +a(g12
    +Vp
    +tp5474
    +a(g198
    +V)
    +tp5475
    +a(g340
    +V/
    +tp5476
    +a(g12
    +Vp
    +tp5477
    +a(g198
    +V)
    +tp5478
    +a(g340
    +V,
    +tp5479
    +a(g185
    +V 
    +tp5480
    +a(g12
    +Vr
    +tp5481
    +a(g340
    +V,
    +tp5482
    +a(g185
    +V 
    +tp5483
    +a(g12
    +Vp
    +tp5484
    +a(g198
    +V)
    +tp5485
    +a(g185
    +V 
    +tp5486
    +a(g6
    +V#
    +tp5487
    +a(g6
    +Vm
    +tp5488
    +a(g6
    +Vo
    +tp5489
    +a(g6
    +Vd
    +tp5490
    +a(g6
    +Ve
    +tp5491
    +a(g6
    +V 
    +tp5492
    +a(g6
    +Vo
    +tp5493
    +a(g6
    +Vf
    +tp5494
    +a(g6
    +V 
    +tp5495
    +a(g6
    +Vg
    +tp5496
    +a(g6
    +Va
    +tp5497
    +a(g6
    +Vm
    +tp5498
    +a(g6
    +Vm
    +tp5499
    +a(g6
    +Va
    +tp5500
    +a(g6
    +V 
    +tp5501
    +a(g6
    +VP
    +tp5502
    +a(g6
    +VD
    +tp5503
    +a(g6
    +VF
    +tp5504
    +a(g6
    +V 
    +tp5505
    +a(g6
    +Vu
    +tp5506
    +a(g6
    +Vs
    +tp5507
    +a(g6
    +Ve
    +tp5508
    +a(g6
    +Vd
    +tp5509
    +a(g6
    +V
    +tp5510
    +a(g185
    +V\u000a
    +tp5511
    +a(g107
    +Vset
    +p5512
    +tp5513
    +a(g185
    +V 
    +tp5514
    +a(g53
    +Vkey
    +p5515
    +tp5516
    +a(g185
    +V 
    +tp5517
    +a(g12
    +Vbox
    +p5518
    +tp5519
    +a(g185
    +V\u000a
    +tp5520
    +a(g107
    +Vunset
    +p5521
    +tp5522
    +a(g185
    +V 
    +tp5523
    +a(g53
    +Vzeroaxis
    +p5524
    +tp5525
    +a(g185
    +V\u000a
    +tp5526
    +a(g107
    +Vset
    +p5527
    +tp5528
    +a(g185
    +V 
    +tp5529
    +a(g53
    +Vxrange
    +p5530
    +tp5531
    +a(g185
    +V 
    +tp5532
    +a(g198
    +V[
    +tp5533
    +a(g12
    +Vxmin
    +p5534
    +tp5535
    +a(g185
    +V 
    +tp5536
    +a(g340
    +V-
    +tp5537
    +a(g185
    +V 
    +tp5538
    +a(g315
    +V1
    +tp5539
    +a(g185
    +V 
    +tp5540
    +a(g340
    +V:
    +tp5541
    +a(g185
    +V 
    +tp5542
    +a(g12
    +Vxmax
    +p5543
    +tp5544
    +a(g185
    +V 
    +tp5545
    +a(g340
    +V+
    +tp5546
    +a(g185
    +V 
    +tp5547
    +a(g315
    +V1
    +tp5548
    +a(g198
    +V]
    +tp5549
    +a(g185
    +V\u000a
    +tp5550
    +a(g107
    +Vset
    +p5551
    +tp5552
    +a(g185
    +V 
    +tp5553
    +a(g53
    +Vyrange
    +p5554
    +tp5555
    +a(g185
    +V 
    +tp5556
    +a(g198
    +V[
    +tp5557
    +a(g315
    +V0
    +tp5558
    +a(g185
    +V 
    +tp5559
    +a(g340
    +V:
    +tp5560
    +a(g185
    +V 
    +tp5561
    +a(g12
    +Vymax
    +p5562
    +tp5563
    +a(g198
    +V]
    +tp5564
    +a(g185
    +V\u000a
    +tp5565
    +a(g107
    +Vset
    +p5566
    +tp5567
    +a(g185
    +V 
    +tp5568
    +a(g53
    +Vxlabel
    +p5569
    +tp5570
    +a(g185
    +V 
    +tp5571
    +a(g222
    +V"
    +tp5572
    +a(g222
    +Vk, x ->
    +p5573
    +tp5574
    +a(g222
    +V"
    +tp5575
    +a(g185
    +V\u000a
    +tp5576
    +a(g107
    +Vset
    +p5577
    +tp5578
    +a(g185
    +V 
    +tp5579
    +a(g53
    +Vylabel
    +p5580
    +tp5581
    +a(g185
    +V 
    +tp5582
    +a(g222
    +V"
    +tp5583
    +a(g222
    +Vprobability density ->
    +p5584
    +tp5585
    +a(g222
    +V"
    +tp5586
    +a(g185
    +V\u000a
    +tp5587
    +a(g107
    +Vset
    +p5588
    +tp5589
    +a(g185
    +V 
    +tp5590
    +a(g53
    +Vytics
    +p5591
    +tp5592
    +a(g185
    +V 
    +tp5593
    +a(g315
    +V0
    +tp5594
    +a(g340
    +V,
    +tp5595
    +a(g185
    +V 
    +tp5596
    +a(g12
    +Vymax
    +p5597
    +tp5598
    +a(g185
    +V 
    +tp5599
    +a(g340
    +V/
    +tp5600
    +a(g185
    +V 
    +tp5601
    +a(g307
    +V10.0
    +p5602
    +tp5603
    +a(g340
    +V,
    +tp5604
    +a(g185
    +V 
    +tp5605
    +a(g12
    +Vymax
    +p5606
    +tp5607
    +a(g185
    +V\u000a
    +tp5608
    +a(g107
    +Vset
    +p5609
    +tp5610
    +a(g185
    +V 
    +tp5611
    +a(g53
    +Vformat
    +p5612
    +tp5613
    +a(g185
    +V 
    +tp5614
    +a(g12
    +Vx
    +tp5615
    +a(g185
    +V 
    +tp5616
    +a(g222
    +V"
    +tp5617
    +a(g222
    +V%2.0f
    +p5618
    +tp5619
    +a(g222
    +V"
    +tp5620
    +a(g185
    +V\u000a
    +tp5621
    +a(g107
    +Vset
    +p5622
    +tp5623
    +a(g185
    +V 
    +tp5624
    +a(g53
    +Vformat
    +p5625
    +tp5626
    +a(g185
    +V 
    +tp5627
    +a(g12
    +Vy
    +tp5628
    +a(g185
    +V 
    +tp5629
    +a(g222
    +V"
    +tp5630
    +a(g222
    +V%3.2f
    +p5631
    +tp5632
    +a(g222
    +V"
    +tp5633
    +a(g185
    +V\u000a
    +tp5634
    +a(g107
    +Vset
    +p5635
    +tp5636
    +a(g185
    +V 
    +tp5637
    +a(g53
    +Vsample
    +p5638
    +tp5639
    +a(g185
    +V 
    +tp5640
    +a(g315
    +V200
    +p5641
    +tp5642
    +a(g185
    +V\u000a
    +tp5643
    +a(g107
    +Vset
    +p5644
    +tp5645
    +a(g185
    +V 
    +tp5646
    +a(g53
    +Vtitle
    +p5647
    +tp5648
    +a(g185
    +V 
    +tp5649
    +a(g222
    +V"
    +tp5650
    +a(g222
    +Vnegative binomial PDF using normal approximation
    +p5651
    +tp5652
    +a(g222
    +V"
    +tp5653
    +a(g185
    +V\u000a
    +tp5654
    +a(g107
    +Vset
    +p5655
    +tp5656
    +a(g185
    +V 
    +tp5657
    +a(g53
    +Varrow
    +p5658
    +tp5659
    +a(g185
    +V 
    +tp5660
    +a(g12
    +Vfrom
    +p5661
    +tp5662
    +a(g185
    +V 
    +tp5663
    +a(g12
    +Vmu
    +p5664
    +tp5665
    +a(g340
    +V,
    +tp5666
    +a(g185
    +V 
    +tp5667
    +a(g315
    +V0
    +tp5668
    +a(g185
    +V 
    +tp5669
    +a(g12
    +Vto
    +p5670
    +tp5671
    +a(g185
    +V 
    +tp5672
    +a(g12
    +Vmu
    +p5673
    +tp5674
    +a(g340
    +V,
    +tp5675
    +a(g185
    +V 
    +tp5676
    +a(g15
    +Vnormal
    +p5677
    +tp5678
    +a(g198
    +V(
    +tp5679
    +a(g12
    +Vmu
    +p5680
    +tp5681
    +a(g340
    +V,
    +tp5682
    +a(g185
    +V 
    +tp5683
    +a(g12
    +Vmu
    +p5684
    +tp5685
    +a(g340
    +V,
    +tp5686
    +a(g185
    +V 
    +tp5687
    +a(g12
    +Vsigma
    +p5688
    +tp5689
    +a(g198
    +V)
    +tp5690
    +a(g185
    +V 
    +tp5691
    +a(g12
    +Vnohead
    +p5692
    +tp5693
    +a(g185
    +V\u000a
    +tp5694
    +a(g107
    +Vset
    +p5695
    +tp5696
    +a(g185
    +V 
    +tp5697
    +a(g53
    +Varrow
    +p5698
    +tp5699
    +a(g185
    +V 
    +tp5700
    +a(g12
    +Vfrom
    +p5701
    +tp5702
    +a(g185
    +V 
    +tp5703
    +a(g12
    +Vmu
    +p5704
    +tp5705
    +a(g340
    +V,
    +tp5706
    +a(g185
    +V 
    +tp5707
    +a(g15
    +Vnormal
    +p5708
    +tp5709
    +a(g198
    +V(
    +tp5710
    +a(g12
    +Vmu
    +p5711
    +tp5712
    +a(g185
    +V 
    +tp5713
    +a(g340
    +V+
    +tp5714
    +a(g185
    +V 
    +tp5715
    +a(g12
    +Vsigma
    +p5716
    +tp5717
    +a(g340
    +V,
    +tp5718
    +a(g185
    +V 
    +tp5719
    +a(g12
    +Vmu
    +p5720
    +tp5721
    +a(g340
    +V,
    +tp5722
    +a(g185
    +V 
    +tp5723
    +a(g12
    +Vsigma
    +p5724
    +tp5725
    +a(g198
    +V)
    +tp5726
    +a(g185
    +V 
    +tp5727
    +a(g185
    +V\u005c\u000a
    +p5728
    +tp5729
    +a(g185
    +V          
    +p5730
    +tp5731
    +a(g12
    +Vto
    +p5732
    +tp5733
    +a(g185
    +V 
    +tp5734
    +a(g12
    +Vmu
    +p5735
    +tp5736
    +a(g185
    +V 
    +tp5737
    +a(g340
    +V+
    +tp5738
    +a(g185
    +V 
    +tp5739
    +a(g12
    +Vsigma
    +p5740
    +tp5741
    +a(g340
    +V,
    +tp5742
    +a(g185
    +V 
    +tp5743
    +a(g15
    +Vnormal
    +p5744
    +tp5745
    +a(g198
    +V(
    +tp5746
    +a(g12
    +Vmu
    +p5747
    +tp5748
    +a(g185
    +V 
    +tp5749
    +a(g340
    +V+
    +tp5750
    +a(g185
    +V 
    +tp5751
    +a(g12
    +Vsigma
    +p5752
    +tp5753
    +a(g340
    +V,
    +tp5754
    +a(g185
    +V 
    +tp5755
    +a(g12
    +Vmu
    +p5756
    +tp5757
    +a(g340
    +V,
    +tp5758
    +a(g185
    +V 
    +tp5759
    +a(g12
    +Vsigma
    +p5760
    +tp5761
    +a(g198
    +V)
    +tp5762
    +a(g185
    +V 
    +tp5763
    +a(g12
    +Vnohead
    +p5764
    +tp5765
    +a(g185
    +V\u000a
    +tp5766
    +a(g107
    +Vset
    +p5767
    +tp5768
    +a(g185
    +V 
    +tp5769
    +a(g53
    +Vlabel
    +p5770
    +tp5771
    +a(g185
    +V 
    +tp5772
    +a(g222
    +V"
    +tp5773
    +a(g222
    +Vmu
    +p5774
    +tp5775
    +a(g222
    +V"
    +tp5776
    +a(g185
    +V 
    +tp5777
    +a(g12
    +Vat
    +p5778
    +tp5779
    +a(g185
    +V 
    +tp5780
    +a(g12
    +Vmu
    +p5781
    +tp5782
    +a(g185
    +V 
    +tp5783
    +a(g340
    +V+
    +tp5784
    +a(g185
    +V 
    +tp5785
    +a(g307
    +V0.5
    +p5786
    +tp5787
    +a(g340
    +V,
    +tp5788
    +a(g185
    +V 
    +tp5789
    +a(g12
    +Vymax
    +p5790
    +tp5791
    +a(g185
    +V 
    +tp5792
    +a(g340
    +V/
    +tp5793
    +a(g185
    +V 
    +tp5794
    +a(g315
    +V10
    +p5795
    +tp5796
    +a(g185
    +V\u000a
    +tp5797
    +a(g107
    +Vset
    +p5798
    +tp5799
    +a(g185
    +V 
    +tp5800
    +a(g53
    +Vlabel
    +p5801
    +tp5802
    +a(g185
    +V 
    +tp5803
    +a(g222
    +V"
    +tp5804
    +a(g222
    +Vsigma
    +p5805
    +tp5806
    +a(g222
    +V"
    +tp5807
    +a(g185
    +V 
    +tp5808
    +a(g12
    +Vat
    +p5809
    +tp5810
    +a(g185
    +V 
    +tp5811
    +a(g12
    +Vmu
    +p5812
    +tp5813
    +a(g185
    +V 
    +tp5814
    +a(g340
    +V+
    +tp5815
    +a(g185
    +V 
    +tp5816
    +a(g307
    +V0.5
    +p5817
    +tp5818
    +a(g185
    +V 
    +tp5819
    +a(g340
    +V+
    +tp5820
    +a(g185
    +V 
    +tp5821
    +a(g12
    +Vsigma
    +p5822
    +tp5823
    +a(g340
    +V,
    +tp5824
    +a(g185
    +V 
    +tp5825
    +a(g15
    +Vnormal
    +p5826
    +tp5827
    +a(g198
    +V(
    +tp5828
    +a(g12
    +Vmu
    +p5829
    +tp5830
    +a(g185
    +V 
    +tp5831
    +a(g340
    +V+
    +tp5832
    +a(g185
    +V 
    +tp5833
    +a(g12
    +Vsigma
    +p5834
    +tp5835
    +a(g340
    +V,
    +tp5836
    +a(g185
    +V 
    +tp5837
    +a(g12
    +Vmu
    +p5838
    +tp5839
    +a(g340
    +V,
    +tp5840
    +a(g185
    +V 
    +tp5841
    +a(g12
    +Vsigma
    +p5842
    +tp5843
    +a(g198
    +V)
    +tp5844
    +a(g185
    +V\u000a
    +tp5845
    +a(g107
    +Vplot
    +p5846
    +tp5847
    +a(g185
    +V 
    +tp5848
    +a(g15
    +Vnegbin
    +p5849
    +tp5850
    +a(g198
    +V(
    +tp5851
    +a(g15
    +Vrnd
    +p5852
    +tp5853
    +a(g198
    +V(
    +tp5854
    +a(g12
    +Vx
    +tp5855
    +a(g198
    +V)
    +tp5856
    +a(g340
    +V,
    +tp5857
    +a(g185
    +V 
    +tp5858
    +a(g12
    +Vr
    +tp5859
    +a(g340
    +V,
    +tp5860
    +a(g185
    +V 
    +tp5861
    +a(g12
    +Vp
    +tp5862
    +a(g198
    +V)
    +tp5863
    +a(g185
    +V 
    +tp5864
    +a(g53
    +Vwith
    +p5865
    +tp5866
    +a(g185
    +V 
    +tp5867
    +a(g12
    +Vhisteps
    +p5868
    +tp5869
    +a(g340
    +V,
    +tp5870
    +a(g185
    +V 
    +tp5871
    +a(g15
    +Vnormal
    +p5872
    +tp5873
    +a(g198
    +V(
    +tp5874
    +a(g12
    +Vx
    +tp5875
    +a(g340
    +V,
    +tp5876
    +a(g185
    +V 
    +tp5877
    +a(g12
    +Vmu
    +p5878
    +tp5879
    +a(g340
    +V,
    +tp5880
    +a(g185
    +V 
    +tp5881
    +a(g12
    +Vsigma
    +p5882
    +tp5883
    +a(g198
    +V)
    +tp5884
    +a(g185
    +V\u000a
    +tp5885
    +a(g107
    +Vpause
    +p5886
    +tp5887
    +a(g185
    +V 
    +tp5888
    +a(g315
    +V-1
    +p5889
    +tp5890
    +a(g185
    +V 
    +tp5891
    +a(g222
    +V"
    +tp5892
    +a(g222
    +VHit return to continue
    +p5893
    +tp5894
    +a(g222
    +V"
    +tp5895
    +a(g185
    +V\u000a
    +tp5896
    +a(g107
    +Vunset
    +p5897
    +tp5898
    +a(g185
    +V 
    +tp5899
    +a(g53
    +Varrow
    +p5900
    +tp5901
    +a(g185
    +V\u000a
    +tp5902
    +a(g107
    +Vunset
    +p5903
    +tp5904
    +a(g185
    +V 
    +tp5905
    +a(g53
    +Vlabel
    +p5906
    +tp5907
    +a(g185
    +V\u000a
    +tp5908
    +a(g185
    +V\u000a
    +tp5909
    +a(g6
    +V#
    +tp5910
    +a(g6
    +V 
    +tp5911
    +a(g6
    +VN
    +tp5912
    +a(g6
    +Vo
    +tp5913
    +a(g6
    +Vr
    +tp5914
    +a(g6
    +Vm
    +tp5915
    +a(g6
    +Va
    +tp5916
    +a(g6
    +Vl
    +tp5917
    +a(g6
    +V 
    +tp5918
    +a(g6
    +VP
    +tp5919
    +a(g6
    +VD
    +tp5920
    +a(g6
    +VF
    +tp5921
    +a(g6
    +V 
    +tp5922
    +a(g6
    +Vu
    +tp5923
    +a(g6
    +Vs
    +tp5924
    +a(g6
    +Vi
    +tp5925
    +a(g6
    +Vn
    +tp5926
    +a(g6
    +Vg
    +tp5927
    +a(g6
    +V 
    +tp5928
    +a(g6
    +Vl
    +tp5929
    +a(g6
    +Vo
    +tp5930
    +a(g6
    +Vg
    +tp5931
    +a(g6
    +Vi
    +tp5932
    +a(g6
    +Vs
    +tp5933
    +a(g6
    +Vt
    +tp5934
    +a(g6
    +Vi
    +tp5935
    +a(g6
    +Vc
    +tp5936
    +a(g6
    +V 
    +tp5937
    +a(g6
    +Va
    +tp5938
    +a(g6
    +Vp
    +tp5939
    +a(g6
    +Vp
    +tp5940
    +a(g6
    +Vr
    +tp5941
    +a(g6
    +Vo
    +tp5942
    +a(g6
    +Vx
    +tp5943
    +a(g6
    +Vi
    +tp5944
    +a(g6
    +Vm
    +tp5945
    +a(g6
    +Va
    +tp5946
    +a(g6
    +Vt
    +tp5947
    +a(g6
    +Vi
    +tp5948
    +a(g6
    +Vo
    +tp5949
    +a(g6
    +Vn
    +tp5950
    +a(g6
    +V
    +tp5951
    +a(g185
    +V\u000a
    +tp5952
    +a(g69
    +Vmu
    +p5953
    +tp5954
    +a(g185
    +V 
    +tp5955
    +a(g340
    +V=
    +tp5956
    +a(g185
    +V 
    +tp5957
    +a(g307
    +V1.0
    +p5958
    +tp5959
    +a(g198
    +V;
    +tp5960
    +a(g185
    +V 
    +tp5961
    +a(g69
    +Vsigma
    +p5962
    +tp5963
    +a(g185
    +V 
    +tp5964
    +a(g340
    +V=
    +tp5965
    +a(g185
    +V 
    +tp5966
    +a(g307
    +V1.5
    +p5967
    +tp5968
    +a(g185
    +V\u000a
    +tp5969
    +a(g69
    +Va
    +tp5970
    +a(g185
    +V 
    +tp5971
    +a(g340
    +V=
    +tp5972
    +a(g185
    +V 
    +tp5973
    +a(g12
    +Vmu
    +p5974
    +tp5975
    +a(g185
    +V\u000a
    +tp5976
    +a(g69
    +Vlambda
    +p5977
    +tp5978
    +a(g185
    +V 
    +tp5979
    +a(g340
    +V=
    +tp5980
    +a(g185
    +V 
    +tp5981
    +a(g12
    +Vpi
    +p5982
    +tp5983
    +a(g185
    +V 
    +tp5984
    +a(g340
    +V/
    +tp5985
    +a(g185
    +V 
    +tp5986
    +a(g198
    +V(
    +tp5987
    +a(g15
    +Vsqrt
    +p5988
    +tp5989
    +a(g198
    +V(
    +tp5990
    +a(g307
    +V3.0
    +p5991
    +tp5992
    +a(g198
    +V)
    +tp5993
    +a(g185
    +V 
    +tp5994
    +a(g340
    +V*
    +tp5995
    +a(g185
    +V 
    +tp5996
    +a(g12
    +Vsigma
    +p5997
    +tp5998
    +a(g198
    +V)
    +tp5999
    +a(g185
    +V\u000a
    +tp6000
    +a(g69
    +Vxmin
    +p6001
    +tp6002
    +a(g185
    +V 
    +tp6003
    +a(g340
    +V=
    +tp6004
    +a(g185
    +V 
    +tp6005
    +a(g12
    +Vmu
    +p6006
    +tp6007
    +a(g185
    +V 
    +tp6008
    +a(g340
    +V-
    +tp6009
    +a(g185
    +V 
    +tp6010
    +a(g12
    +Vr_sigma
    +p6011
    +tp6012
    +a(g185
    +V 
    +tp6013
    +a(g340
    +V*
    +tp6014
    +a(g185
    +V 
    +tp6015
    +a(g12
    +Vsigma
    +p6016
    +tp6017
    +a(g185
    +V\u000a
    +tp6018
    +a(g69
    +Vxmax
    +p6019
    +tp6020
    +a(g185
    +V 
    +tp6021
    +a(g340
    +V=
    +tp6022
    +a(g185
    +V 
    +tp6023
    +a(g12
    +Vmu
    +p6024
    +tp6025
    +a(g185
    +V 
    +tp6026
    +a(g340
    +V+
    +tp6027
    +a(g185
    +V 
    +tp6028
    +a(g12
    +Vr_sigma
    +p6029
    +tp6030
    +a(g185
    +V 
    +tp6031
    +a(g340
    +V*
    +tp6032
    +a(g185
    +V 
    +tp6033
    +a(g12
    +Vsigma
    +p6034
    +tp6035
    +a(g185
    +V\u000a
    +tp6036
    +a(g69
    +Vymax
    +p6037
    +tp6038
    +a(g185
    +V 
    +tp6039
    +a(g340
    +V=
    +tp6040
    +a(g185
    +V 
    +tp6041
    +a(g307
    +V1.1
    +p6042
    +tp6043
    +a(g185
    +V 
    +tp6044
    +a(g340
    +V*
    +tp6045
    +a(g185
    +V 
    +tp6046
    +a(g15
    +Vlogistic
    +p6047
    +tp6048
    +a(g198
    +V(
    +tp6049
    +a(g12
    +Vmu
    +p6050
    +tp6051
    +a(g340
    +V,
    +tp6052
    +a(g185
    +V 
    +tp6053
    +a(g12
    +Va
    +tp6054
    +a(g340
    +V,
    +tp6055
    +a(g185
    +V 
    +tp6056
    +a(g12
    +Vlambda
    +p6057
    +tp6058
    +a(g198
    +V)
    +tp6059
    +a(g185
    +V 
    +tp6060
    +a(g6
    +V#
    +tp6061
    +a(g6
    +Vm
    +tp6062
    +a(g6
    +Vo
    +tp6063
    +a(g6
    +Vd
    +tp6064
    +a(g6
    +Ve
    +tp6065
    +a(g6
    +V 
    +tp6066
    +a(g6
    +Vo
    +tp6067
    +a(g6
    +Vf
    +tp6068
    +a(g6
    +V 
    +tp6069
    +a(g6
    +Vl
    +tp6070
    +a(g6
    +Vo
    +tp6071
    +a(g6
    +Vg
    +tp6072
    +a(g6
    +Vi
    +tp6073
    +a(g6
    +Vs
    +tp6074
    +a(g6
    +Vt
    +tp6075
    +a(g6
    +Vi
    +tp6076
    +a(g6
    +Vc
    +tp6077
    +a(g6
    +V 
    +tp6078
    +a(g6
    +VP
    +tp6079
    +a(g6
    +VD
    +tp6080
    +a(g6
    +VF
    +tp6081
    +a(g6
    +V 
    +tp6082
    +a(g6
    +Vu
    +tp6083
    +a(g6
    +Vs
    +tp6084
    +a(g6
    +Ve
    +tp6085
    +a(g6
    +Vd
    +tp6086
    +a(g6
    +V
    +tp6087
    +a(g185
    +V\u000a
    +tp6088
    +a(g107
    +Vset
    +p6089
    +tp6090
    +a(g185
    +V 
    +tp6091
    +a(g53
    +Vkey
    +p6092
    +tp6093
    +a(g185
    +V 
    +tp6094
    +a(g12
    +Vbox
    +p6095
    +tp6096
    +a(g185
    +V\u000a
    +tp6097
    +a(g107
    +Vunset
    +p6098
    +tp6099
    +a(g185
    +V 
    +tp6100
    +a(g53
    +Vzeroaxis
    +p6101
    +tp6102
    +a(g185
    +V\u000a
    +tp6103
    +a(g107
    +Vset
    +p6104
    +tp6105
    +a(g185
    +V 
    +tp6106
    +a(g53
    +Vxrange
    +p6107
    +tp6108
    +a(g185
    +V 
    +tp6109
    +a(g198
    +V[
    +tp6110
    +a(g12
    +Vxmin
    +p6111
    +tp6112
    +a(g340
    +V:
    +tp6113
    +a(g185
    +V 
    +tp6114
    +a(g12
    +Vxmax
    +p6115
    +tp6116
    +a(g198
    +V]
    +tp6117
    +a(g185
    +V\u000a
    +tp6118
    +a(g107
    +Vset
    +p6119
    +tp6120
    +a(g185
    +V 
    +tp6121
    +a(g53
    +Vyrange
    +p6122
    +tp6123
    +a(g185
    +V 
    +tp6124
    +a(g198
    +V[
    +tp6125
    +a(g315
    +V0
    +tp6126
    +a(g185
    +V 
    +tp6127
    +a(g340
    +V:
    +tp6128
    +a(g185
    +V 
    +tp6129
    +a(g12
    +Vymax
    +p6130
    +tp6131
    +a(g198
    +V]
    +tp6132
    +a(g185
    +V\u000a
    +tp6133
    +a(g107
    +Vset
    +p6134
    +tp6135
    +a(g185
    +V 
    +tp6136
    +a(g53
    +Vxlabel
    +p6137
    +tp6138
    +a(g185
    +V 
    +tp6139
    +a(g222
    +V"
    +tp6140
    +a(g222
    +Vx ->
    +p6141
    +tp6142
    +a(g222
    +V"
    +tp6143
    +a(g185
    +V\u000a
    +tp6144
    +a(g107
    +Vset
    +p6145
    +tp6146
    +a(g185
    +V 
    +tp6147
    +a(g53
    +Vylabel
    +p6148
    +tp6149
    +a(g185
    +V 
    +tp6150
    +a(g222
    +V"
    +tp6151
    +a(g222
    +Vprobability density ->
    +p6152
    +tp6153
    +a(g222
    +V"
    +tp6154
    +a(g185
    +V\u000a
    +tp6155
    +a(g107
    +Vset
    +p6156
    +tp6157
    +a(g185
    +V 
    +tp6158
    +a(g53
    +Vytics
    +p6159
    +tp6160
    +a(g185
    +V 
    +tp6161
    +a(g315
    +V0
    +tp6162
    +a(g340
    +V,
    +tp6163
    +a(g185
    +V 
    +tp6164
    +a(g12
    +Vymax
    +p6165
    +tp6166
    +a(g185
    +V 
    +tp6167
    +a(g340
    +V/
    +tp6168
    +a(g185
    +V 
    +tp6169
    +a(g307
    +V10.0
    +p6170
    +tp6171
    +a(g340
    +V,
    +tp6172
    +a(g185
    +V 
    +tp6173
    +a(g12
    +Vymax
    +p6174
    +tp6175
    +a(g185
    +V\u000a
    +tp6176
    +a(g107
    +Vset
    +p6177
    +tp6178
    +a(g185
    +V 
    +tp6179
    +a(g53
    +Vformat
    +p6180
    +tp6181
    +a(g185
    +V 
    +tp6182
    +a(g12
    +Vx
    +tp6183
    +a(g185
    +V 
    +tp6184
    +a(g222
    +V"
    +tp6185
    +a(g222
    +V%.1f
    +p6186
    +tp6187
    +a(g222
    +V"
    +tp6188
    +a(g185
    +V\u000a
    +tp6189
    +a(g107
    +Vset
    +p6190
    +tp6191
    +a(g185
    +V 
    +tp6192
    +a(g53
    +Vformat
    +p6193
    +tp6194
    +a(g185
    +V 
    +tp6195
    +a(g12
    +Vy
    +tp6196
    +a(g185
    +V 
    +tp6197
    +a(g222
    +V"
    +tp6198
    +a(g222
    +V%.2f
    +p6199
    +tp6200
    +a(g222
    +V"
    +tp6201
    +a(g185
    +V\u000a
    +tp6202
    +a(g107
    +Vset
    +p6203
    +tp6204
    +a(g185
    +V 
    +tp6205
    +a(g53
    +Vsample
    +p6206
    +tp6207
    +a(g185
    +V 
    +tp6208
    +a(g315
    +V200
    +p6209
    +tp6210
    +a(g185
    +V\u000a
    +tp6211
    +a(g107
    +Vset
    +p6212
    +tp6213
    +a(g185
    +V 
    +tp6214
    +a(g53
    +Vtitle
    +p6215
    +tp6216
    +a(g185
    +V 
    +tp6217
    +a(g222
    +V"
    +tp6218
    +a(g222
    +Vnormal PDF using logistic approximation
    +p6219
    +tp6220
    +a(g222
    +V"
    +tp6221
    +a(g185
    +V\u000a
    +tp6222
    +a(g107
    +Vset
    +p6223
    +tp6224
    +a(g185
    +V 
    +tp6225
    +a(g53
    +Varrow
    +p6226
    +tp6227
    +a(g185
    +V 
    +tp6228
    +a(g12
    +Vfrom
    +p6229
    +tp6230
    +a(g185
    +V 
    +tp6231
    +a(g12
    +Vmu
    +p6232
    +tp6233
    +a(g340
    +V,
    +tp6234
    +a(g315
    +V0
    +tp6235
    +a(g185
    +V 
    +tp6236
    +a(g12
    +Vto
    +p6237
    +tp6238
    +a(g185
    +V 
    +tp6239
    +a(g12
    +Vmu
    +p6240
    +tp6241
    +a(g340
    +V,
    +tp6242
    +a(g185
    +V 
    +tp6243
    +a(g15
    +Vnormal
    +p6244
    +tp6245
    +a(g198
    +V(
    +tp6246
    +a(g12
    +Vmu
    +p6247
    +tp6248
    +a(g340
    +V,
    +tp6249
    +a(g185
    +V 
    +tp6250
    +a(g12
    +Vmu
    +p6251
    +tp6252
    +a(g340
    +V,
    +tp6253
    +a(g185
    +V 
    +tp6254
    +a(g12
    +Vsigma
    +p6255
    +tp6256
    +a(g198
    +V)
    +tp6257
    +a(g185
    +V 
    +tp6258
    +a(g12
    +Vnohead
    +p6259
    +tp6260
    +a(g185
    +V\u000a
    +tp6261
    +a(g107
    +Vset
    +p6262
    +tp6263
    +a(g185
    +V 
    +tp6264
    +a(g53
    +Varrow
    +p6265
    +tp6266
    +a(g185
    +V 
    +tp6267
    +a(g12
    +Vfrom
    +p6268
    +tp6269
    +a(g185
    +V 
    +tp6270
    +a(g12
    +Vmu
    +p6271
    +tp6272
    +a(g340
    +V,
    +tp6273
    +a(g185
    +V 
    +tp6274
    +a(g15
    +Vnormal
    +p6275
    +tp6276
    +a(g198
    +V(
    +tp6277
    +a(g12
    +Vmu
    +p6278
    +tp6279
    +a(g185
    +V 
    +tp6280
    +a(g340
    +V+
    +tp6281
    +a(g185
    +V 
    +tp6282
    +a(g12
    +Vsigma
    +p6283
    +tp6284
    +a(g340
    +V,
    +tp6285
    +a(g185
    +V 
    +tp6286
    +a(g12
    +Vmu
    +p6287
    +tp6288
    +a(g340
    +V,
    +tp6289
    +a(g185
    +V 
    +tp6290
    +a(g12
    +Vsigma
    +p6291
    +tp6292
    +a(g198
    +V)
    +tp6293
    +a(g185
    +V 
    +tp6294
    +a(g185
    +V\u005c\u000a
    +p6295
    +tp6296
    +a(g185
    +V          
    +p6297
    +tp6298
    +a(g12
    +Vto
    +p6299
    +tp6300
    +a(g185
    +V 
    +tp6301
    +a(g12
    +Vmu
    +p6302
    +tp6303
    +a(g185
    +V 
    +tp6304
    +a(g340
    +V+
    +tp6305
    +a(g185
    +V 
    +tp6306
    +a(g12
    +Vsigma
    +p6307
    +tp6308
    +a(g340
    +V,
    +tp6309
    +a(g185
    +V 
    +tp6310
    +a(g15
    +Vnormal
    +p6311
    +tp6312
    +a(g198
    +V(
    +tp6313
    +a(g12
    +Vmu
    +p6314
    +tp6315
    +a(g185
    +V 
    +tp6316
    +a(g340
    +V+
    +tp6317
    +a(g185
    +V 
    +tp6318
    +a(g12
    +Vsigma
    +p6319
    +tp6320
    +a(g340
    +V,
    +tp6321
    +a(g185
    +V 
    +tp6322
    +a(g12
    +Vmu
    +p6323
    +tp6324
    +a(g340
    +V,
    +tp6325
    +a(g185
    +V 
    +tp6326
    +a(g12
    +Vsigma
    +p6327
    +tp6328
    +a(g198
    +V)
    +tp6329
    +a(g185
    +V 
    +tp6330
    +a(g12
    +Vnohead
    +p6331
    +tp6332
    +a(g185
    +V\u000a
    +tp6333
    +a(g107
    +Vset
    +p6334
    +tp6335
    +a(g185
    +V 
    +tp6336
    +a(g53
    +Vlabel
    +p6337
    +tp6338
    +a(g185
    +V 
    +tp6339
    +a(g222
    +V"
    +tp6340
    +a(g222
    +Vmu
    +p6341
    +tp6342
    +a(g222
    +V"
    +tp6343
    +a(g185
    +V 
    +tp6344
    +a(g12
    +Vat
    +p6345
    +tp6346
    +a(g185
    +V 
    +tp6347
    +a(g12
    +Vmu
    +p6348
    +tp6349
    +a(g185
    +V 
    +tp6350
    +a(g340
    +V+
    +tp6351
    +a(g185
    +V 
    +tp6352
    +a(g307
    +V0.5
    +p6353
    +tp6354
    +a(g340
    +V,
    +tp6355
    +a(g185
    +V 
    +tp6356
    +a(g12
    +Vymax
    +p6357
    +tp6358
    +a(g185
    +V 
    +tp6359
    +a(g340
    +V/
    +tp6360
    +a(g185
    +V 
    +tp6361
    +a(g315
    +V10
    +p6362
    +tp6363
    +a(g185
    +V\u000a
    +tp6364
    +a(g107
    +Vset
    +p6365
    +tp6366
    +a(g185
    +V 
    +tp6367
    +a(g53
    +Vlabel
    +p6368
    +tp6369
    +a(g185
    +V 
    +tp6370
    +a(g222
    +V"
    +tp6371
    +a(g222
    +Vsigma
    +p6372
    +tp6373
    +a(g222
    +V"
    +tp6374
    +a(g185
    +V 
    +tp6375
    +a(g12
    +Vat
    +p6376
    +tp6377
    +a(g185
    +V 
    +tp6378
    +a(g12
    +Vmu
    +p6379
    +tp6380
    +a(g185
    +V 
    +tp6381
    +a(g340
    +V+
    +tp6382
    +a(g185
    +V 
    +tp6383
    +a(g307
    +V0.5
    +p6384
    +tp6385
    +a(g185
    +V 
    +tp6386
    +a(g340
    +V+
    +tp6387
    +a(g185
    +V 
    +tp6388
    +a(g12
    +Vsigma
    +p6389
    +tp6390
    +a(g340
    +V,
    +tp6391
    +a(g185
    +V 
    +tp6392
    +a(g15
    +Vnormal
    +p6393
    +tp6394
    +a(g198
    +V(
    +tp6395
    +a(g12
    +Vmu
    +p6396
    +tp6397
    +a(g185
    +V 
    +tp6398
    +a(g340
    +V+
    +tp6399
    +a(g185
    +V 
    +tp6400
    +a(g12
    +Vsigma
    +p6401
    +tp6402
    +a(g340
    +V,
    +tp6403
    +a(g185
    +V 
    +tp6404
    +a(g12
    +Vmu
    +p6405
    +tp6406
    +a(g340
    +V,
    +tp6407
    +a(g185
    +V 
    +tp6408
    +a(g12
    +Vsigma
    +p6409
    +tp6410
    +a(g198
    +V)
    +tp6411
    +a(g185
    +V\u000a
    +tp6412
    +a(g107
    +Vplot
    +p6413
    +tp6414
    +a(g185
    +V 
    +tp6415
    +a(g15
    +Vlogistic
    +p6416
    +tp6417
    +a(g198
    +V(
    +tp6418
    +a(g12
    +Vx
    +tp6419
    +a(g340
    +V,
    +tp6420
    +a(g185
    +V 
    +tp6421
    +a(g12
    +Va
    +tp6422
    +a(g340
    +V,
    +tp6423
    +a(g185
    +V 
    +tp6424
    +a(g12
    +Vlambda
    +p6425
    +tp6426
    +a(g198
    +V)
    +tp6427
    +a(g340
    +V,
    +tp6428
    +a(g185
    +V 
    +tp6429
    +a(g15
    +Vnormal
    +p6430
    +tp6431
    +a(g198
    +V(
    +tp6432
    +a(g12
    +Vx
    +tp6433
    +a(g340
    +V,
    +tp6434
    +a(g185
    +V 
    +tp6435
    +a(g12
    +Vmu
    +p6436
    +tp6437
    +a(g340
    +V,
    +tp6438
    +a(g185
    +V 
    +tp6439
    +a(g12
    +Vsigma
    +p6440
    +tp6441
    +a(g198
    +V)
    +tp6442
    +a(g185
    +V\u000a
    +tp6443
    +a(g107
    +Vpause
    +p6444
    +tp6445
    +a(g185
    +V 
    +tp6446
    +a(g315
    +V-1
    +p6447
    +tp6448
    +a(g185
    +V 
    +tp6449
    +a(g222
    +V"
    +tp6450
    +a(g222
    +VHit return to continue
    +p6451
    +tp6452
    +a(g222
    +V"
    +tp6453
    +a(g185
    +V\u000a
    +tp6454
    +a(g107
    +Vunset
    +p6455
    +tp6456
    +a(g185
    +V 
    +tp6457
    +a(g53
    +Varrow
    +p6458
    +tp6459
    +a(g185
    +V\u000a
    +tp6460
    +a(g107
    +Vunset
    +p6461
    +tp6462
    +a(g185
    +V 
    +tp6463
    +a(g53
    +Vlabel
    +p6464
    +tp6465
    +a(g185
    +V\u000a
    +tp6466
    +a(g185
    +V\u000a
    +tp6467
    +a(g6
    +V#
    +tp6468
    +a(g6
    +V 
    +tp6469
    +a(g6
    +VP
    +tp6470
    +a(g6
    +Vo
    +tp6471
    +a(g6
    +Vi
    +tp6472
    +a(g6
    +Vs
    +tp6473
    +a(g6
    +Vs
    +tp6474
    +a(g6
    +Vo
    +tp6475
    +a(g6
    +Vn
    +tp6476
    +a(g6
    +V 
    +tp6477
    +a(g6
    +VP
    +tp6478
    +a(g6
    +VD
    +tp6479
    +a(g6
    +VF
    +tp6480
    +a(g6
    +V 
    +tp6481
    +a(g6
    +Vu
    +tp6482
    +a(g6
    +Vs
    +tp6483
    +a(g6
    +Vi
    +tp6484
    +a(g6
    +Vn
    +tp6485
    +a(g6
    +Vg
    +tp6486
    +a(g6
    +V 
    +tp6487
    +a(g6
    +Vn
    +tp6488
    +a(g6
    +Vo
    +tp6489
    +a(g6
    +Vr
    +tp6490
    +a(g6
    +Vm
    +tp6491
    +a(g6
    +Va
    +tp6492
    +a(g6
    +Vl
    +tp6493
    +a(g6
    +V 
    +tp6494
    +a(g6
    +Va
    +tp6495
    +a(g6
    +Vp
    +tp6496
    +a(g6
    +Vp
    +tp6497
    +a(g6
    +Vr
    +tp6498
    +a(g6
    +Vo
    +tp6499
    +a(g6
    +Vx
    +tp6500
    +a(g6
    +Vi
    +tp6501
    +a(g6
    +Vm
    +tp6502
    +a(g6
    +Va
    +tp6503
    +a(g6
    +Vt
    +tp6504
    +a(g6
    +Vi
    +tp6505
    +a(g6
    +Vo
    +tp6506
    +a(g6
    +Vn
    +tp6507
    +a(g6
    +V
    +tp6508
    +a(g185
    +V\u000a
    +tp6509
    +a(g69
    +Vmu
    +p6510
    +tp6511
    +a(g185
    +V 
    +tp6512
    +a(g340
    +V=
    +tp6513
    +a(g185
    +V 
    +tp6514
    +a(g307
    +V5.0
    +p6515
    +tp6516
    +a(g185
    +V\u000a
    +tp6517
    +a(g69
    +Vsigma
    +p6518
    +tp6519
    +a(g185
    +V 
    +tp6520
    +a(g340
    +V=
    +tp6521
    +a(g185
    +V 
    +tp6522
    +a(g15
    +Vsqrt
    +p6523
    +tp6524
    +a(g198
    +V(
    +tp6525
    +a(g12
    +Vmu
    +p6526
    +tp6527
    +a(g198
    +V)
    +tp6528
    +a(g185
    +V\u000a
    +tp6529
    +a(g69
    +Vxmin
    +p6530
    +tp6531
    +a(g185
    +V 
    +tp6532
    +a(g340
    +V=
    +tp6533
    +a(g185
    +V 
    +tp6534
    +a(g15
    +Vfloor
    +p6535
    +tp6536
    +a(g198
    +V(
    +tp6537
    +a(g12
    +Vmu
    +p6538
    +tp6539
    +a(g185
    +V 
    +tp6540
    +a(g340
    +V-
    +tp6541
    +a(g185
    +V 
    +tp6542
    +a(g12
    +Vr_sigma
    +p6543
    +tp6544
    +a(g185
    +V 
    +tp6545
    +a(g340
    +V*
    +tp6546
    +a(g185
    +V 
    +tp6547
    +a(g12
    +Vsigma
    +p6548
    +tp6549
    +a(g198
    +V)
    +tp6550
    +a(g185
    +V\u000a
    +tp6551
    +a(g69
    +Vxmin
    +p6552
    +tp6553
    +a(g185
    +V 
    +tp6554
    +a(g340
    +V=
    +tp6555
    +a(g185
    +V 
    +tp6556
    +a(g12
    +Vxmin
    +p6557
    +tp6558
    +a(g185
    +V 
    +tp6559
    +a(g340
    +V<
    +tp6560
    +a(g185
    +V 
    +tp6561
    +a(g12
    +Vr_xmin
    +p6562
    +tp6563
    +a(g185
    +V 
    +tp6564
    +a(g340
    +V?
    +tp6565
    +a(g185
    +V 
    +tp6566
    +a(g12
    +Vr_xmin
    +p6567
    +tp6568
    +a(g185
    +V 
    +tp6569
    +a(g340
    +V:
    +tp6570
    +a(g185
    +V 
    +tp6571
    +a(g12
    +Vxmin
    +p6572
    +tp6573
    +a(g185
    +V\u000a
    +tp6574
    +a(g69
    +Vxmax
    +p6575
    +tp6576
    +a(g185
    +V 
    +tp6577
    +a(g340
    +V=
    +tp6578
    +a(g185
    +V 
    +tp6579
    +a(g15
    +Vceil
    +p6580
    +tp6581
    +a(g198
    +V(
    +tp6582
    +a(g12
    +Vmu
    +p6583
    +tp6584
    +a(g185
    +V 
    +tp6585
    +a(g340
    +V+
    +tp6586
    +a(g185
    +V 
    +tp6587
    +a(g12
    +Vr_sigma
    +p6588
    +tp6589
    +a(g185
    +V 
    +tp6590
    +a(g340
    +V*
    +tp6591
    +a(g185
    +V 
    +tp6592
    +a(g12
    +Vsigma
    +p6593
    +tp6594
    +a(g198
    +V)
    +tp6595
    +a(g185
    +V\u000a
    +tp6596
    +a(g69
    +Vymax
    +p6597
    +tp6598
    +a(g185
    +V 
    +tp6599
    +a(g340
    +V=
    +tp6600
    +a(g185
    +V 
    +tp6601
    +a(g307
    +V1.1
    +p6602
    +tp6603
    +a(g185
    +V 
    +tp6604
    +a(g340
    +V*
    +tp6605
    +a(g185
    +V 
    +tp6606
    +a(g15
    +Vpoisson
    +p6607
    +tp6608
    +a(g198
    +V(
    +tp6609
    +a(g12
    +Vmu
    +p6610
    +tp6611
    +a(g340
    +V,
    +tp6612
    +a(g185
    +V 
    +tp6613
    +a(g12
    +Vmu
    +p6614
    +tp6615
    +a(g198
    +V)
    +tp6616
    +a(g185
    +V 
    +tp6617
    +a(g6
    +V#
    +tp6618
    +a(g6
    +Vm
    +tp6619
    +a(g6
    +Vo
    +tp6620
    +a(g6
    +Vd
    +tp6621
    +a(g6
    +Ve
    +tp6622
    +a(g6
    +V 
    +tp6623
    +a(g6
    +Vo
    +tp6624
    +a(g6
    +Vf
    +tp6625
    +a(g6
    +V 
    +tp6626
    +a(g6
    +Vp
    +tp6627
    +a(g6
    +Vo
    +tp6628
    +a(g6
    +Vi
    +tp6629
    +a(g6
    +Vs
    +tp6630
    +a(g6
    +Vs
    +tp6631
    +a(g6
    +Vo
    +tp6632
    +a(g6
    +Vn
    +tp6633
    +a(g6
    +V 
    +tp6634
    +a(g6
    +VP
    +tp6635
    +a(g6
    +VD
    +tp6636
    +a(g6
    +VF
    +tp6637
    +a(g6
    +V 
    +tp6638
    +a(g6
    +Vu
    +tp6639
    +a(g6
    +Vs
    +tp6640
    +a(g6
    +Ve
    +tp6641
    +a(g6
    +Vd
    +tp6642
    +a(g6
    +V
    +tp6643
    +a(g185
    +V\u000a
    +tp6644
    +a(g107
    +Vset
    +p6645
    +tp6646
    +a(g185
    +V 
    +tp6647
    +a(g53
    +Vkey
    +p6648
    +tp6649
    +a(g185
    +V 
    +tp6650
    +a(g12
    +Vbox
    +p6651
    +tp6652
    +a(g185
    +V\u000a
    +tp6653
    +a(g107
    +Vunset
    +p6654
    +tp6655
    +a(g185
    +V 
    +tp6656
    +a(g53
    +Vzeroaxis
    +p6657
    +tp6658
    +a(g185
    +V\u000a
    +tp6659
    +a(g107
    +Vset
    +p6660
    +tp6661
    +a(g185
    +V 
    +tp6662
    +a(g53
    +Vxrange
    +p6663
    +tp6664
    +a(g185
    +V 
    +tp6665
    +a(g198
    +V[
    +tp6666
    +a(g12
    +Vxmin
    +p6667
    +tp6668
    +a(g185
    +V 
    +tp6669
    +a(g340
    +V-
    +tp6670
    +a(g185
    +V 
    +tp6671
    +a(g315
    +V1
    +tp6672
    +a(g185
    +V 
    +tp6673
    +a(g340
    +V:
    +tp6674
    +a(g185
    +V 
    +tp6675
    +a(g12
    +Vxmax
    +p6676
    +tp6677
    +a(g185
    +V 
    +tp6678
    +a(g340
    +V+
    +tp6679
    +a(g185
    +V 
    +tp6680
    +a(g315
    +V1
    +tp6681
    +a(g198
    +V]
    +tp6682
    +a(g185
    +V\u000a
    +tp6683
    +a(g107
    +Vset
    +p6684
    +tp6685
    +a(g185
    +V 
    +tp6686
    +a(g53
    +Vyrange
    +p6687
    +tp6688
    +a(g185
    +V 
    +tp6689
    +a(g198
    +V[
    +tp6690
    +a(g315
    +V0
    +tp6691
    +a(g185
    +V 
    +tp6692
    +a(g340
    +V:
    +tp6693
    +a(g185
    +V 
    +tp6694
    +a(g12
    +Vymax
    +p6695
    +tp6696
    +a(g198
    +V]
    +tp6697
    +a(g185
    +V\u000a
    +tp6698
    +a(g107
    +Vset
    +p6699
    +tp6700
    +a(g185
    +V 
    +tp6701
    +a(g53
    +Vxlabel
    +p6702
    +tp6703
    +a(g185
    +V 
    +tp6704
    +a(g222
    +V"
    +tp6705
    +a(g222
    +Vk, x ->
    +p6706
    +tp6707
    +a(g222
    +V"
    +tp6708
    +a(g185
    +V\u000a
    +tp6709
    +a(g107
    +Vset
    +p6710
    +tp6711
    +a(g185
    +V 
    +tp6712
    +a(g53
    +Vylabel
    +p6713
    +tp6714
    +a(g185
    +V 
    +tp6715
    +a(g222
    +V"
    +tp6716
    +a(g222
    +Vprobability density ->
    +p6717
    +tp6718
    +a(g222
    +V"
    +tp6719
    +a(g185
    +V\u000a
    +tp6720
    +a(g107
    +Vset
    +p6721
    +tp6722
    +a(g185
    +V 
    +tp6723
    +a(g53
    +Vytics
    +p6724
    +tp6725
    +a(g185
    +V 
    +tp6726
    +a(g315
    +V0
    +tp6727
    +a(g340
    +V,
    +tp6728
    +a(g185
    +V 
    +tp6729
    +a(g12
    +Vymax
    +p6730
    +tp6731
    +a(g185
    +V 
    +tp6732
    +a(g340
    +V/
    +tp6733
    +a(g185
    +V 
    +tp6734
    +a(g307
    +V10.0
    +p6735
    +tp6736
    +a(g340
    +V,
    +tp6737
    +a(g185
    +V 
    +tp6738
    +a(g12
    +Vymax
    +p6739
    +tp6740
    +a(g185
    +V\u000a
    +tp6741
    +a(g107
    +Vset
    +p6742
    +tp6743
    +a(g185
    +V 
    +tp6744
    +a(g53
    +Vformat
    +p6745
    +tp6746
    +a(g185
    +V 
    +tp6747
    +a(g12
    +Vx
    +tp6748
    +a(g185
    +V 
    +tp6749
    +a(g222
    +V"
    +tp6750
    +a(g222
    +V%2.0f
    +p6751
    +tp6752
    +a(g222
    +V"
    +tp6753
    +a(g185
    +V\u000a
    +tp6754
    +a(g107
    +Vset
    +p6755
    +tp6756
    +a(g185
    +V 
    +tp6757
    +a(g53
    +Vformat
    +p6758
    +tp6759
    +a(g185
    +V 
    +tp6760
    +a(g12
    +Vy
    +tp6761
    +a(g185
    +V 
    +tp6762
    +a(g222
    +V"
    +tp6763
    +a(g222
    +V%3.2f
    +p6764
    +tp6765
    +a(g222
    +V"
    +tp6766
    +a(g185
    +V\u000a
    +tp6767
    +a(g107
    +Vset
    +p6768
    +tp6769
    +a(g185
    +V 
    +tp6770
    +a(g53
    +Vsample
    +p6771
    +tp6772
    +a(g185
    +V 
    +tp6773
    +a(g315
    +V200
    +p6774
    +tp6775
    +a(g185
    +V\u000a
    +tp6776
    +a(g107
    +Vset
    +p6777
    +tp6778
    +a(g185
    +V 
    +tp6779
    +a(g53
    +Vtitle
    +p6780
    +tp6781
    +a(g185
    +V 
    +tp6782
    +a(g222
    +V"
    +tp6783
    +a(g222
    +Vpoisson PDF using normal approximation
    +p6784
    +tp6785
    +a(g222
    +V"
    +tp6786
    +a(g185
    +V\u000a
    +tp6787
    +a(g107
    +Vset
    +p6788
    +tp6789
    +a(g185
    +V 
    +tp6790
    +a(g53
    +Varrow
    +p6791
    +tp6792
    +a(g185
    +V 
    +tp6793
    +a(g12
    +Vfrom
    +p6794
    +tp6795
    +a(g185
    +V 
    +tp6796
    +a(g12
    +Vmu
    +p6797
    +tp6798
    +a(g340
    +V,
    +tp6799
    +a(g185
    +V 
    +tp6800
    +a(g315
    +V0
    +tp6801
    +a(g185
    +V 
    +tp6802
    +a(g12
    +Vto
    +p6803
    +tp6804
    +a(g185
    +V 
    +tp6805
    +a(g12
    +Vmu
    +p6806
    +tp6807
    +a(g340
    +V,
    +tp6808
    +a(g185
    +V 
    +tp6809
    +a(g15
    +Vnormal
    +p6810
    +tp6811
    +a(g198
    +V(
    +tp6812
    +a(g12
    +Vmu
    +p6813
    +tp6814
    +a(g340
    +V,
    +tp6815
    +a(g185
    +V 
    +tp6816
    +a(g12
    +Vmu
    +p6817
    +tp6818
    +a(g340
    +V,
    +tp6819
    +a(g185
    +V 
    +tp6820
    +a(g12
    +Vsigma
    +p6821
    +tp6822
    +a(g198
    +V)
    +tp6823
    +a(g185
    +V 
    +tp6824
    +a(g12
    +Vnohead
    +p6825
    +tp6826
    +a(g185
    +V\u000a
    +tp6827
    +a(g107
    +Vset
    +p6828
    +tp6829
    +a(g185
    +V 
    +tp6830
    +a(g53
    +Varrow
    +p6831
    +tp6832
    +a(g185
    +V 
    +tp6833
    +a(g12
    +Vfrom
    +p6834
    +tp6835
    +a(g185
    +V 
    +tp6836
    +a(g12
    +Vmu
    +p6837
    +tp6838
    +a(g340
    +V,
    +tp6839
    +a(g185
    +V 
    +tp6840
    +a(g15
    +Vnormal
    +p6841
    +tp6842
    +a(g198
    +V(
    +tp6843
    +a(g12
    +Vmu
    +p6844
    +tp6845
    +a(g185
    +V 
    +tp6846
    +a(g340
    +V+
    +tp6847
    +a(g185
    +V 
    +tp6848
    +a(g12
    +Vsigma
    +p6849
    +tp6850
    +a(g340
    +V,
    +tp6851
    +a(g185
    +V 
    +tp6852
    +a(g12
    +Vmu
    +p6853
    +tp6854
    +a(g340
    +V,
    +tp6855
    +a(g185
    +V 
    +tp6856
    +a(g12
    +Vsigma
    +p6857
    +tp6858
    +a(g198
    +V)
    +tp6859
    +a(g185
    +V 
    +tp6860
    +a(g185
    +V\u005c\u000a
    +p6861
    +tp6862
    +a(g185
    +V          
    +p6863
    +tp6864
    +a(g12
    +Vto
    +p6865
    +tp6866
    +a(g185
    +V 
    +tp6867
    +a(g12
    +Vmu
    +p6868
    +tp6869
    +a(g185
    +V 
    +tp6870
    +a(g340
    +V+
    +tp6871
    +a(g185
    +V 
    +tp6872
    +a(g12
    +Vsigma
    +p6873
    +tp6874
    +a(g340
    +V,
    +tp6875
    +a(g185
    +V 
    +tp6876
    +a(g15
    +Vnormal
    +p6877
    +tp6878
    +a(g198
    +V(
    +tp6879
    +a(g12
    +Vmu
    +p6880
    +tp6881
    +a(g185
    +V 
    +tp6882
    +a(g340
    +V+
    +tp6883
    +a(g185
    +V 
    +tp6884
    +a(g12
    +Vsigma
    +p6885
    +tp6886
    +a(g340
    +V,
    +tp6887
    +a(g185
    +V 
    +tp6888
    +a(g12
    +Vmu
    +p6889
    +tp6890
    +a(g340
    +V,
    +tp6891
    +a(g185
    +V 
    +tp6892
    +a(g12
    +Vsigma
    +p6893
    +tp6894
    +a(g198
    +V)
    +tp6895
    +a(g185
    +V 
    +tp6896
    +a(g12
    +Vnohead
    +p6897
    +tp6898
    +a(g185
    +V\u000a
    +tp6899
    +a(g107
    +Vset
    +p6900
    +tp6901
    +a(g185
    +V 
    +tp6902
    +a(g53
    +Vlabel
    +p6903
    +tp6904
    +a(g185
    +V 
    +tp6905
    +a(g222
    +V"
    +tp6906
    +a(g222
    +Vmu
    +p6907
    +tp6908
    +a(g222
    +V"
    +tp6909
    +a(g185
    +V 
    +tp6910
    +a(g12
    +Vat
    +p6911
    +tp6912
    +a(g185
    +V 
    +tp6913
    +a(g12
    +Vmu
    +p6914
    +tp6915
    +a(g185
    +V 
    +tp6916
    +a(g340
    +V+
    +tp6917
    +a(g185
    +V 
    +tp6918
    +a(g307
    +V0.5
    +p6919
    +tp6920
    +a(g340
    +V,
    +tp6921
    +a(g185
    +V 
    +tp6922
    +a(g12
    +Vymax
    +p6923
    +tp6924
    +a(g185
    +V 
    +tp6925
    +a(g340
    +V/
    +tp6926
    +a(g185
    +V 
    +tp6927
    +a(g315
    +V10
    +p6928
    +tp6929
    +a(g185
    +V\u000a
    +tp6930
    +a(g107
    +Vset
    +p6931
    +tp6932
    +a(g185
    +V 
    +tp6933
    +a(g53
    +Vlabel
    +p6934
    +tp6935
    +a(g185
    +V 
    +tp6936
    +a(g222
    +V"
    +tp6937
    +a(g222
    +Vsigma
    +p6938
    +tp6939
    +a(g222
    +V"
    +tp6940
    +a(g185
    +V 
    +tp6941
    +a(g12
    +Vat
    +p6942
    +tp6943
    +a(g185
    +V 
    +tp6944
    +a(g12
    +Vmu
    +p6945
    +tp6946
    +a(g185
    +V 
    +tp6947
    +a(g340
    +V+
    +tp6948
    +a(g185
    +V 
    +tp6949
    +a(g307
    +V0.5
    +p6950
    +tp6951
    +a(g185
    +V 
    +tp6952
    +a(g340
    +V+
    +tp6953
    +a(g185
    +V 
    +tp6954
    +a(g12
    +Vsigma
    +p6955
    +tp6956
    +a(g340
    +V,
    +tp6957
    +a(g185
    +V 
    +tp6958
    +a(g15
    +Vnormal
    +p6959
    +tp6960
    +a(g198
    +V(
    +tp6961
    +a(g12
    +Vmu
    +p6962
    +tp6963
    +a(g185
    +V 
    +tp6964
    +a(g340
    +V+
    +tp6965
    +a(g185
    +V 
    +tp6966
    +a(g12
    +Vsigma
    +p6967
    +tp6968
    +a(g340
    +V,
    +tp6969
    +a(g185
    +V 
    +tp6970
    +a(g12
    +Vmu
    +p6971
    +tp6972
    +a(g340
    +V,
    +tp6973
    +a(g185
    +V 
    +tp6974
    +a(g12
    +Vsigma
    +p6975
    +tp6976
    +a(g198
    +V)
    +tp6977
    +a(g185
    +V\u000a
    +tp6978
    +a(g107
    +Vplot
    +p6979
    +tp6980
    +a(g185
    +V 
    +tp6981
    +a(g15
    +Vpoisson
    +p6982
    +tp6983
    +a(g198
    +V(
    +tp6984
    +a(g15
    +Vrnd
    +p6985
    +tp6986
    +a(g198
    +V(
    +tp6987
    +a(g12
    +Vx
    +tp6988
    +a(g198
    +V)
    +tp6989
    +a(g340
    +V,
    +tp6990
    +a(g185
    +V 
    +tp6991
    +a(g12
    +Vmu
    +p6992
    +tp6993
    +a(g198
    +V)
    +tp6994
    +a(g185
    +V 
    +tp6995
    +a(g53
    +Vwith
    +p6996
    +tp6997
    +a(g185
    +V 
    +tp6998
    +a(g12
    +Vhisteps
    +p6999
    +tp7000
    +a(g340
    +V,
    +tp7001
    +a(g185
    +V 
    +tp7002
    +a(g15
    +Vnormal
    +p7003
    +tp7004
    +a(g198
    +V(
    +tp7005
    +a(g12
    +Vx
    +tp7006
    +a(g340
    +V,
    +tp7007
    +a(g185
    +V 
    +tp7008
    +a(g12
    +Vmu
    +p7009
    +tp7010
    +a(g340
    +V,
    +tp7011
    +a(g185
    +V 
    +tp7012
    +a(g12
    +Vsigma
    +p7013
    +tp7014
    +a(g198
    +V)
    +tp7015
    +a(g185
    +V\u000a
    +tp7016
    +a(g107
    +Vpause
    +p7017
    +tp7018
    +a(g185
    +V 
    +tp7019
    +a(g315
    +V-1
    +p7020
    +tp7021
    +a(g185
    +V 
    +tp7022
    +a(g222
    +V"
    +tp7023
    +a(g222
    +VHit return to continue
    +p7024
    +tp7025
    +a(g222
    +V"
    +tp7026
    +a(g185
    +V\u000a
    +tp7027
    +a(g107
    +Vreset
    +p7028
    +tp7029
    +a(g185
    +V\u000a
    +tp7030
    +a.
    \ No newline at end of file
    diff --git a/tests/examplefiles/output/test.r3 b/tests/examplefiles/output/test.r3
    new file mode 100644
    index 0000000..76ad7c9
    --- /dev/null
    +++ b/tests/examplefiles/output/test.r3
    @@ -0,0 +1,2505 @@
    +(lp1
    +(ccopy_reg
    +_reconstructor
    +p2
    +(cpygments.token
    +_TokenType
    +p3
    +c__builtin__
    +tuple
    +p4
    +(S'Comment'
    +p5
    +S'Special'
    +p6
    +ttRp7
    +(dp8
    +S'subtypes'
    +p9
    +c__builtin__
    +set
    +p10
    +((ltRp11
    +sS'parent'
    +p12
    +g2
    +(g3
    +g4
    +(g5
    +ttRp13
    +(dp14
    +g12
    +g2
    +(g3
    +g4
    +(ttRp15
    +(dp16
    +g5
    +g13
    +sS'Name'
    +p17
    +g2
    +(g3
    +g4
    +(g17
    +ttRp18
    +(dp19
    +S'Function'
    +p20
    +g2
    +(g3
    +g4
    +(g17
    +g20
    +ttRp21
    +(dp22
    +g9
    +g10
    +((ltRp23
    +sg12
    +g18
    +sbsS'Exception'
    +p24
    +g2
    +(g3
    +g4
    +(g17
    +g24
    +ttRp25
    +(dp26
    +g9
    +g10
    +((ltRp27
    +sg12
    +g18
    +sbsS'Tag'
    +p28
    +g2
    +(g3
    +g4
    +(g17
    +g28
    +ttRp29
    +(dp30
    +g9
    +g10
    +((ltRp31
    +sg12
    +g18
    +sbsS'Constant'
    +p32
    +g2
    +(g3
    +g4
    +(g17
    +g32
    +ttRp33
    +(dp34
    +g9
    +g10
    +((ltRp35
    +sg12
    +g18
    +sbsg12
    +g15
    +sS'Pseudo'
    +p36
    +g2
    +(g3
    +g4
    +(g17
    +g36
    +ttRp37
    +(dp38
    +g9
    +g10
    +((ltRp39
    +sg12
    +g18
    +sbsS'Attribute'
    +p40
    +g2
    +(g3
    +g4
    +(g17
    +g40
    +ttRp41
    +(dp42
    +g9
    +g10
    +((ltRp43
    +sg12
    +g18
    +sbsS'Label'
    +p44
    +g2
    +(g3
    +g4
    +(g17
    +g44
    +ttRp45
    +(dp46
    +g9
    +g10
    +((ltRp47
    +sg12
    +g18
    +sbsS'Blubb'
    +p48
    +g2
    +(g3
    +g4
    +(g17
    +g48
    +ttRp49
    +(dp50
    +g9
    +g10
    +((ltRp51
    +sg12
    +g18
    +sbsS'Entity'
    +p52
    +g2
    +(g3
    +g4
    +(g17
    +g52
    +ttRp53
    +(dp54
    +g9
    +g10
    +((ltRp55
    +sg12
    +g18
    +sbsS'Builtin'
    +p56
    +g2
    +(g3
    +g4
    +(g17
    +g56
    +ttRp57
    +(dp58
    +g9
    +g10
    +((lp59
    +g2
    +(g3
    +g4
    +(g17
    +g56
    +g36
    +ttRp60
    +(dp61
    +g9
    +g10
    +((ltRp62
    +sg12
    +g57
    +sbatRp63
    +sg36
    +g60
    +sg12
    +g18
    +sbsS'Other'
    +p64
    +g2
    +(g3
    +g4
    +(g17
    +g64
    +ttRp65
    +(dp66
    +g9
    +g10
    +((ltRp67
    +sg12
    +g18
    +sbsS'Identifier'
    +p68
    +g2
    +(g3
    +g4
    +(g17
    +g68
    +ttRp69
    +(dp70
    +g9
    +g10
    +((ltRp71
    +sg12
    +g18
    +sbsS'Variable'
    +p72
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +ttRp73
    +(dp74
    +g12
    +g18
    +sS'Global'
    +p75
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +g75
    +ttRp76
    +(dp77
    +g9
    +g10
    +((ltRp78
    +sg12
    +g73
    +sbsS'Instance'
    +p79
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +g79
    +ttRp80
    +(dp81
    +g9
    +g10
    +((ltRp82
    +sg12
    +g73
    +sbsS'Anonymous'
    +p83
    +g2
    +(g3
    +g4
    +(g17
    +g72
    +g83
    +ttRp84
    +(dp85
    +g9
    +g10
    +((ltRp86
    +sg12
    +g73
    +sbsg9
    +g10
    +((lp87
    +g84
    +ag80
    +ag76
    +ag2
    +(g3
    +g4
    +(g17
    +g72
    +S'Class'
    +p88
    +ttRp89
    +(dp90
    +g9
    +g10
    +((ltRp91
    +sg12
    +g73
    +sbatRp92
    +sg88
    +g89
    +sbsg9
    +g10
    +((lp93
    +g2
    +(g3
    +g4
    +(g17
    +S'Decorator'
    +p94
    +ttRp95
    +(dp96
    +g9
    +g10
    +((ltRp97
    +sg12
    +g18
    +sbag41
    +ag33
    +ag37
    +ag2
    +(g3
    +g4
    +(g17
    +S'Namespace'
    +p98
    +ttRp99
    +(dp100
    +g9
    +g10
    +((ltRp101
    +sg12
    +g18
    +sbag69
    +ag57
    +ag73
    +ag65
    +ag49
    +ag53
    +ag21
    +ag2
    +(g3
    +g4
    +(g17
    +S'Property'
    +p102
    +ttRp103
    +(dp104
    +g9
    +g10
    +((ltRp105
    +sg12
    +g18
    +sbag45
    +ag29
    +ag25
    +ag2
    +(g3
    +g4
    +(g17
    +g88
    +ttRp106
    +(dp107
    +g9
    +g10
    +((ltRp108
    +sg12
    +g18
    +sbatRp109
    +sg102
    +g103
    +sg88
    +g106
    +sg94
    +g95
    +sg98
    +g99
    +sbsS'Keyword'
    +p110
    +g2
    +(g3
    +g4
    +(g110
    +ttRp111
    +(dp112
    +S'Pervasive'
    +p113
    +g2
    +(g3
    +g4
    +(g110
    +g113
    +ttRp114
    +(dp115
    +g9
    +g10
    +((ltRp116
    +sg12
    +g111
    +sbsg32
    +g2
    +(g3
    +g4
    +(g110
    +g32
    +ttRp117
    +(dp118
    +g9
    +g10
    +((ltRp119
    +sg12
    +g111
    +sbsg12
    +g15
    +sg98
    +g2
    +(g3
    +g4
    +(g110
    +g98
    +ttRp120
    +(dp121
    +g9
    +g10
    +((ltRp122
    +sg12
    +g111
    +sbsg36
    +g2
    +(g3
    +g4
    +(g110
    +g36
    +ttRp123
    +(dp124
    +g9
    +g10
    +((ltRp125
    +sg12
    +g111
    +sbsS'Reserved'
    +p126
    +g2
    +(g3
    +g4
    +(g110
    +g126
    +ttRp127
    +(dp128
    +g9
    +g10
    +((ltRp129
    +sg12
    +g111
    +sbsS'Declaration'
    +p130
    +g2
    +(g3
    +g4
    +(g110
    +g130
    +ttRp131
    +(dp132
    +g9
    +g10
    +((ltRp133
    +sg12
    +g111
    +sbsg72
    +g2
    +(g3
    +g4
    +(g110
    +g72
    +ttRp134
    +(dp135
    +g9
    +g10
    +((ltRp136
    +sg12
    +g111
    +sbsg9
    +g10
    +((lp137
    +g117
    +ag127
    +ag2
    +(g3
    +g4
    +(g110
    +S'Type'
    +p138
    +ttRp139
    +(dp140
    +g9
    +g10
    +((ltRp141
    +sg12
    +g111
    +sbag114
    +ag131
    +ag134
    +ag120
    +ag123
    +atRp142
    +sg138
    +g139
    +sbsS'Generic'
    +p143
    +g2
    +(g3
    +g4
    +(g143
    +ttRp144
    +(dp145
    +S'Prompt'
    +p146
    +g2
    +(g3
    +g4
    +(g143
    +g146
    +ttRp147
    +(dp148
    +g9
    +g10
    +((ltRp149
    +sg12
    +g144
    +sbsg12
    +g15
    +sS'Deleted'
    +p150
    +g2
    +(g3
    +g4
    +(g143
    +g150
    +ttRp151
    +(dp152
    +g9
    +g10
    +((ltRp153
    +sg12
    +g144
    +sbsS'Traceback'
    +p154
    +g2
    +(g3
    +g4
    +(g143
    +g154
    +ttRp155
    +(dp156
    +g9
    +g10
    +((ltRp157
    +sg12
    +g144
    +sbsS'Emph'
    +p158
    +g2
    +(g3
    +g4
    +(g143
    +g158
    +ttRp159
    +(dp160
    +g9
    +g10
    +((ltRp161
    +sg12
    +g144
    +sbsS'Output'
    +p162
    +g2
    +(g3
    +g4
    +(g143
    +g162
    +ttRp163
    +(dp164
    +g9
    +g10
    +((ltRp165
    +sg12
    +g144
    +sbsS'Subheading'
    +p166
    +g2
    +(g3
    +g4
    +(g143
    +g166
    +ttRp167
    +(dp168
    +g9
    +g10
    +((ltRp169
    +sg12
    +g144
    +sbsS'Error'
    +p170
    +g2
    +(g3
    +g4
    +(g143
    +g170
    +ttRp171
    +(dp172
    +g9
    +g10
    +((ltRp173
    +sg12
    +g144
    +sbsg9
    +g10
    +((lp174
    +g163
    +ag159
    +ag171
    +ag167
    +ag155
    +ag151
    +ag2
    +(g3
    +g4
    +(g143
    +S'Heading'
    +p175
    +ttRp176
    +(dp177
    +g9
    +g10
    +((ltRp178
    +sg12
    +g144
    +sbag2
    +(g3
    +g4
    +(g143
    +S'Inserted'
    +p179
    +ttRp180
    +(dp181
    +g9
    +g10
    +((ltRp182
    +sg12
    +g144
    +sbag2
    +(g3
    +g4
    +(g143
    +S'Strong'
    +p183
    +ttRp184
    +(dp185
    +g9
    +g10
    +((ltRp186
    +sg12
    +g144
    +sbag147
    +atRp187
    +sg183
    +g184
    +sg179
    +g180
    +sg175
    +g176
    +sbsS'Text'
    +p188
    +g2
    +(g3
    +g4
    +(g188
    +ttRp189
    +(dp190
    +g9
    +g10
    +((lp191
    +g2
    +(g3
    +g4
    +(g188
    +S'Symbol'
    +p192
    +ttRp193
    +(dp194
    +g9
    +g10
    +((ltRp195
    +sg12
    +g189
    +sbag2
    +(g3
    +g4
    +(g188
    +S'Whitespace'
    +p196
    +ttRp197
    +(dp198
    +g9
    +g10
    +((ltRp199
    +sg12
    +g189
    +sbatRp200
    +sg192
    +g193
    +sg196
    +g197
    +sg12
    +g15
    +sbsS'Punctuation'
    +p201
    +g2
    +(g3
    +g4
    +(g201
    +ttRp202
    +(dp203
    +g9
    +g10
    +((lp204
    +g2
    +(g3
    +g4
    +(g201
    +S'Indicator'
    +p205
    +ttRp206
    +(dp207
    +g9
    +g10
    +((ltRp208
    +sg12
    +g202
    +sbatRp209
    +sg205
    +g206
    +sg12
    +g15
    +sbsS'Token'
    +p210
    +g15
    +sS'Number'
    +p211
    +g2
    +(g3
    +g4
    +(S'Literal'
    +p212
    +g211
    +ttRp213
    +(dp214
    +S'Bin'
    +p215
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g215
    +ttRp216
    +(dp217
    +g9
    +g10
    +((ltRp218
    +sg12
    +g213
    +sbsS'Binary'
    +p219
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g219
    +ttRp220
    +(dp221
    +g9
    +g10
    +((ltRp222
    +sg12
    +g213
    +sbsg12
    +g2
    +(g3
    +g4
    +(g212
    +ttRp223
    +(dp224
    +S'String'
    +p225
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +ttRp226
    +(dp227
    +S'Regex'
    +p228
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g228
    +ttRp229
    +(dp230
    +g9
    +g10
    +((ltRp231
    +sg12
    +g226
    +sbsS'Interpol'
    +p232
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g232
    +ttRp233
    +(dp234
    +g9
    +g10
    +((ltRp235
    +sg12
    +g226
    +sbsS'Regexp'
    +p236
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g236
    +ttRp237
    +(dp238
    +g9
    +g10
    +((ltRp239
    +sg12
    +g226
    +sbsg12
    +g223
    +sS'Heredoc'
    +p240
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g240
    +ttRp241
    +(dp242
    +g9
    +g10
    +((ltRp243
    +sg12
    +g226
    +sbsS'Double'
    +p244
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g244
    +ttRp245
    +(dp246
    +g9
    +g10
    +((ltRp247
    +sg12
    +g226
    +sbsg192
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g192
    +ttRp248
    +(dp249
    +g9
    +g10
    +((ltRp250
    +sg12
    +g226
    +sbsS'Escape'
    +p251
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g251
    +ttRp252
    +(dp253
    +g9
    +g10
    +((ltRp254
    +sg12
    +g226
    +sbsS'Character'
    +p255
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g255
    +ttRp256
    +(dp257
    +g9
    +g10
    +((ltRp258
    +sg12
    +g226
    +sbsS'Interp'
    +p259
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g259
    +ttRp260
    +(dp261
    +g9
    +g10
    +((ltRp262
    +sg12
    +g226
    +sbsS'Backtick'
    +p263
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g263
    +ttRp264
    +(dp265
    +g9
    +g10
    +((ltRp266
    +sg12
    +g226
    +sbsS'Char'
    +p267
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g267
    +ttRp268
    +(dp269
    +g9
    +g10
    +((ltRp270
    +sg12
    +g226
    +sbsS'Single'
    +p271
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g271
    +ttRp272
    +(dp273
    +g9
    +g10
    +((ltRp274
    +sg12
    +g226
    +sbsg64
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g64
    +ttRp275
    +(dp276
    +g9
    +g10
    +((ltRp277
    +sg12
    +g226
    +sbsS'Doc'
    +p278
    +g2
    +(g3
    +g4
    +(g212
    +g225
    +g278
    +ttRp279
    +(dp280
    +g9
    +g10
    +((ltRp281
    +sg12
    +g226
    +sbsg9
    +g10
    +((lp282
    +g275
    +ag2
    +(g3
    +g4
    +(g212
    +g225
    +S'Atom'
    +p283
    +ttRp284
    +(dp285
    +g9
    +g10
    +((ltRp286
    +sg12
    +g226
    +sbag245
    +ag268
    +ag260
    +ag279
    +ag241
    +ag264
    +ag233
    +ag248
    +ag237
    +ag229
    +ag272
    +ag256
    +ag252
    +atRp287
    +sg283
    +g284
    +sbsg12
    +g15
    +sg211
    +g213
    +sS'Scalar'
    +p288
    +g2
    +(g3
    +g4
    +(g212
    +g288
    +ttRp289
    +(dp290
    +g9
    +g10
    +((lp291
    +g2
    +(g3
    +g4
    +(g212
    +g288
    +S'Plain'
    +p292
    +ttRp293
    +(dp294
    +g9
    +g10
    +((ltRp295
    +sg12
    +g289
    +sbatRp296
    +sg12
    +g223
    +sg292
    +g293
    +sbsg64
    +g2
    +(g3
    +g4
    +(g212
    +g64
    +ttRp297
    +(dp298
    +g9
    +g10
    +((ltRp299
    +sg12
    +g223
    +sbsS'Date'
    +p300
    +g2
    +(g3
    +g4
    +(g212
    +g300
    +ttRp301
    +(dp302
    +g9
    +g10
    +((ltRp303
    +sg12
    +g223
    +sbsg9
    +g10
    +((lp304
    +g301
    +ag226
    +ag297
    +ag213
    +ag289
    +atRp305
    +sbsS'Decimal'
    +p306
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g306
    +ttRp307
    +(dp308
    +g9
    +g10
    +((ltRp309
    +sg12
    +g213
    +sbsS'Float'
    +p310
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g310
    +ttRp311
    +(dp312
    +g9
    +g10
    +((ltRp313
    +sg12
    +g213
    +sbsS'Hex'
    +p314
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g314
    +ttRp315
    +(dp316
    +g9
    +g10
    +((ltRp317
    +sg12
    +g213
    +sbsS'Integer'
    +p318
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g318
    +ttRp319
    +(dp320
    +g9
    +g10
    +((lp321
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g318
    +S'Long'
    +p322
    +ttRp323
    +(dp324
    +g9
    +g10
    +((ltRp325
    +sg12
    +g319
    +sbatRp326
    +sg322
    +g323
    +sg12
    +g213
    +sbsS'Octal'
    +p327
    +g2
    +(g3
    +g4
    +(g212
    +g211
    +g327
    +ttRp328
    +(dp329
    +g9
    +g10
    +((ltRp330
    +sg12
    +g213
    +sbsg9
    +g10
    +((lp331
    +g216
    +ag220
    +ag328
    +ag307
    +ag2
    +(g3
    +g4
    +(g212
    +g211
    +S'Oct'
    +p332
    +ttRp333
    +(dp334
    +g9
    +g10
    +((ltRp335
    +sg12
    +g213
    +sbag319
    +ag311
    +ag315
    +atRp336
    +sg332
    +g333
    +sbsg212
    +g223
    +sg64
    +g2
    +(g3
    +g4
    +(g64
    +ttRp337
    +(dp338
    +g9
    +g10
    +((ltRp339
    +sg12
    +g15
    +sbsg170
    +g2
    +(g3
    +g4
    +(g170
    +ttRp340
    +(dp341
    +g9
    +g10
    +((ltRp342
    +sg12
    +g15
    +sbsS'Operator'
    +p343
    +g2
    +(g3
    +g4
    +(g343
    +ttRp344
    +(dp345
    +g9
    +g10
    +((lp346
    +g2
    +(g3
    +g4
    +(g343
    +S'Word'
    +p347
    +ttRp348
    +(dp349
    +g9
    +g10
    +((ltRp350
    +sg12
    +g344
    +sbatRp351
    +sg347
    +g348
    +sg12
    +g15
    +sbsg9
    +g10
    +((lp352
    +g13
    +ag340
    +ag144
    +ag189
    +ag18
    +ag202
    +ag111
    +ag223
    +ag344
    +ag337
    +atRp353
    +sg225
    +g226
    +sbsS'Preproc'
    +p354
    +g2
    +(g3
    +g4
    +(g5
    +g354
    +ttRp355
    +(dp356
    +g9
    +g10
    +((ltRp357
    +sg12
    +g13
    +sbsg271
    +g2
    +(g3
    +g4
    +(g5
    +g271
    +ttRp358
    +(dp359
    +g9
    +g10
    +((ltRp360
    +sg12
    +g13
    +sbsS'Multiline'
    +p361
    +g2
    +(g3
    +g4
    +(g5
    +g361
    +ttRp362
    +(dp363
    +g9
    +g10
    +((ltRp364
    +sg12
    +g13
    +sbsg9
    +g10
    +((lp365
    +g7
    +ag355
    +ag358
    +ag362
    +atRp366
    +sg6
    +g7
    +sbsbV;## String tests ##\u000a
    +p367
    +tp368
    +a(g57
    +Vprint
    +p369
    +tp370
    +a(g189
    +V 
    +tp371
    +a(g226
    +V"
    +tp372
    +a(g226
    +VHello 
    +p373
    +tp374
    +a(g252
    +V^"
    +p375
    +tp376
    +a(g226
    +VWorld
    +p377
    +tp378
    +a(g226
    +V"
    +tp379
    +a(g189
    +V 
    +tp380
    +a(g13
    +V;<- with escaped char\u000a
    +p381
    +tp382
    +a(g167
    +Vmultiline-string:
    +p383
    +tp384
    +a(g189
    +V 
    +tp385
    +a(g226
    +V{
    +tp386
    +a(g226
    +V\u000a    bla bla "bla" 
    +p387
    +tp388
    +a(g226
    +V{
    +tp389
    +a(g226
    +Vbla
    +p390
    +tp391
    +a(g226
    +V}
    +tp392
    +a(g226
    +V\u000a
    +tp393
    +a(g226
    +V}
    +tp394
    +a(g189
    +V\u000a
    +tp395
    +a(g167
    +Vchar-a:
    +p396
    +tp397
    +a(g189
    +V 
    +tp398
    +a(g268
    +V#"
    +p399
    +tp400
    +a(g268
    +Va"
    +p401
    +tp402
    +a(g189
    +V\u000a
    +tp403
    +a(g167
    +Vescaped-a:
    +p404
    +tp405
    +a(g189
    +V 
    +tp406
    +a(g268
    +V#"
    +p407
    +tp408
    +a(g268
    +V^(61)"
    +p409
    +tp410
    +a(g189
    +V\u000a
    +tp411
    +a(g167
    +Vnew-line:
    +p412
    +tp413
    +a(g189
    +V 
    +tp414
    +a(g268
    +V#"
    +p415
    +tp416
    +a(g268
    +V^/"
    +p417
    +tp418
    +a(g189
    +V\u000a\u000a
    +p419
    +tp420
    +a(g7
    +V;## Binaries ##\u000a
    +p421
    +tp422
    +a(g57
    +Vprint
    +p423
    +tp424
    +a(g189
    +V 
    +tp425
    +a(g57
    +Vdecompress
    +p426
    +tp427
    +a(g189
    +V 
    +tp428
    +a(g315
    +V64#{eJzLSM3JyQcABiwCFQUAAAA=}
    +p429
    +tp430
    +a(g189
    +V\u000a
    +tp431
    +a(g13
    +V;2#{0000 00000} ;<- this one is invalid!\u000a
    +p432
    +tp433
    +a(g315
    +V2#{
    +p434
    +tp435
    +a(g315
    +V}
    +tp436
    +a(g189
    +V\u000a
    +tp437
    +a(g315
    +V#{FF00}
    +p438
    +tp439
    +a(g189
    +V\u000a\u000a
    +p440
    +tp441
    +a(g7
    +V;##Date + time ##\u000a
    +p442
    +tp443
    +a(g275
    +V1-Feb-2009
    +p444
    +tp445
    +a(g189
    +V\u000a
    +tp446
    +a(g275
    +V1-Feb-2009/2:24:46+1:0
    +p447
    +tp448
    +a(g189
    +V\u000a
    +tp449
    +a(g275
    +V1:0
    +p450
    +tp451
    +a(g189
    +V 
    +tp452
    +a(g275
    +V1:1:1
    +p453
    +tp454
    +a(g189
    +V 
    +tp455
    +a(g275
    +V-0:1.1
    +p456
    +tp457
    +a(g189
    +V\u000a\u000a
    +p458
    +tp459
    +a(g7
    +V;## Tuple ##\u000a
    +p460
    +tp461
    +a(g167
    +Vred:
    +p462
    +tp463
    +a(g189
    +V 
    +tp464
    +a(g117
    +V255.0.0
    +p465
    +tp466
    +a(g189
    +V\u000a
    +tp467
    +a(g167
    +Vred-with-alpha:
    +p468
    +tp469
    +a(g189
    +V 
    +tp470
    +a(g117
    +V255.0.0.100
    +p471
    +tp472
    +a(g189
    +V\u000a\u000a
    +p473
    +tp474
    +a(g7
    +V;## url!, file! and email! ##\u000a
    +p475
    +tp476
    +a(g95
    +Vaaa@bbb.cz
    +p477
    +tp478
    +a(g189
    +V\u000a
    +tp479
    +a(g95
    +Vhttp://
    +p480
    +tp481
    +a(g189
    +V\u000a
    +tp482
    +a(g95
    +Vdns://
    +p483
    +tp484
    +a(g189
    +V\u000a
    +tp485
    +a(g95
    +Vtcp://127.0.0.1
    +p486
    +tp487
    +a(g189
    +V\u000a
    +tp488
    +a(g95
    +V%/c/rebol/
    +p489
    +tp490
    +a(g189
    +V\u000a
    +tp491
    +a(g95
    +V%"
    +p492
    +tp493
    +a(g95
    +Vc:\u005cProgram Files\u005c
    +p494
    +tp495
    +a(g95
    +V"
    +tp496
    +a(g189
    +V\u000a
    +tp497
    +a(g95
    +V%/c/Program%20Files/
    +p498
    +tp499
    +a(g189
    +V\u000a
    +tp500
    +a(g57
    +Vto-rebol-file
    +p501
    +tp502
    +a(g189
    +V 
    +tp503
    +a(g226
    +V"
    +tp504
    +a(g226
    +Vc:\u005cProgram Files\u005c
    +p505
    +tp506
    +a(g226
    +V"
    +tp507
    +a(g189
    +V\u000a
    +tp508
    +a(g111
    +Vsuffix?
    +p509
    +tp510
    +a(g189
    +V 
    +tp511
    +a(g95
    +V%bla.swf
    +p512
    +tp513
    +a(g189
    +V\u000a\u000a
    +p514
    +tp515
    +a(g7
    +V;## Money ##\u000a
    +p516
    +tp517
    +a(g311
    +V$1
    +p518
    +tp519
    +a(g189
    +V\u000a
    +tp520
    +a(g311
    +V-$1.2
    +p521
    +tp522
    +a(g189
    +V\u000a
    +tp523
    +a(g311
    +VUSA$100
    +p524
    +tp525
    +a(g189
    +V\u000a\u000a
    +p526
    +tp527
    +a(g7
    +V;## Tag! ##\u000a
    +p528
    +tp529
    +a(g29
    +V
    +p530
    +tp531
    +a(g189
    +V\u000a
    +tp532
    +a(g29
    +V
    +tp542
    +a(g189
    +V\u000a\u000a
    +p543
    +tp544
    +a(g7
    +V;## Pair! ##\u000a
    +p545
    +tp546
    +a(g117
    +V10x200
    +p547
    +tp548
    +a(g189
    +V\u000a\u000a
    +p549
    +tp550
    +a(g7
    +V;## Issue! ##\u000a
    +p551
    +tp552
    +a(g57
    +Vtype?
    +p553
    +tp554
    +a(g189
    +V 
    +tp555
    +a(g45
    +V#ff0000
    +p556
    +tp557
    +a(g189
    +V 
    +tp558
    +a(g13
    +V;== issue!\u000a
    +p559
    +tp560
    +a(g189
    +V\u000a
    +tp561
    +a(g7
    +V;## some numbers ##\u000a
    +p562
    +tp563
    +a(g21
    +Vto
    +p564
    +tp565
    +a(g189
    +V 
    +tp566
    +a(g139
    +Vinteger!
    +p567
    +tp568
    +a(g189
    +V 
    +tp569
    +a(g184
    +V(
    +tp570
    +a(g213
    +V1
    +tp571
    +a(g189
    +V 
    +tp572
    +a(g344
    +V+
    +tp573
    +a(g189
    +V 
    +tp574
    +a(g184
    +V(
    +tp575
    +a(g73
    +Vx
    +tp576
    +a(g189
    +V 
    +tp577
    +a(g41
    +V/
    +tp578
    +a(g189
    +V 
    +tp579
    +a(g311
    +V4.5
    +p580
    +tp581
    +a(g184
    +V)
    +tp582
    +a(g189
    +V 
    +tp583
    +a(g344
    +V*
    +tp584
    +a(g189
    +V 
    +tp585
    +a(g311
    +V1E-4
    +p586
    +tp587
    +a(g184
    +V)
    +tp588
    +a(g189
    +V\u000a\u000a
    +p589
    +tp590
    +a(g7
    +V;## some spec comments\u000a
    +p591
    +tp592
    +a(g13
    +Vcomment 
    +p593
    +tp594
    +a(g13
    +Vnow
    +p595
    +tp596
    +a(g189
    +V\u000a
    +tp597
    +a(g13
    +Vcomment 
    +p598
    +tp599
    +a(g13
    +V10
    +p600
    +tp601
    +a(g189
    +V\u000a
    +tp602
    +a(g13
    +Vcomment 
    +p603
    +tp604
    +a(g13
    +V{
    +tp605
    +a(g13
    +V\u000a    bla\u000a    bla\u000a
    +p606
    +tp607
    +a(g13
    +V}
    +tp608
    +a(g189
    +V\u000a
    +tp609
    +a(g13
    +Vcomment 
    +p610
    +tp611
    +a(g13
    +V[
    +tp612
    +a(g13
    +V\u000a    quit\u000a
    +p613
    +tp614
    +a(g13
    +V]
    +tp615
    +a(g189
    +V\u000a
    +tp616
    +a(g189
    +V\u000a
    +tp617
    +a(g7
    +V;## other tests ##\u000a
    +p618
    +tp619
    +a(g167
    +V---:
    +p620
    +tp621
    +a(g189
    +V 
    +tp622
    +a(g213
    +V1
    +tp623
    +a(g189
    +V\u000a
    +tp624
    +a(g73
    +Vx
    +tp625
    +a(g41
    +V/
    +tp626
    +a(g184
    +V(
    +tp627
    +a(g213
    +V1
    +tp628
    +a(g189
    +V 
    +tp629
    +a(g344
    +V+
    +tp630
    +a(g189
    +V 
    +tp631
    +a(g189
    +Vn
    +tp632
    +a(g184
    +V)
    +tp633
    +a(g41
    +V/y
    +p634
    +tp635
    +a(g189
    +V\u000a
    +tp636
    +a(g73
    +Vb
    +tp637
    +a(g41
    +V/:1
    +p638
    +tp639
    +a(g189
    +V\u000a\u000a
    +p640
    +tp641
    +a(g7
    +V;## and...\u000a
    +p642
    +tp643
    +a(g176
    +VREBOL
    +p644
    +tp645
    +a(g189
    +V 
    +tp646
    +a(g184
    +V[
    +tp647
    +a(g189
    +V\u000a    
    +p648
    +tp649
    +a(g167
    +Vpurpose:
    +p650
    +tp651
    +a(g189
    +V 
    +tp652
    +a(g226
    +V{
    +tp653
    +a(g226
    +V\u000a        reads css file and creates html from it\u000a        so one can see how the styles looks like\u000a    
    +p654
    +tp655
    +a(g226
    +V}
    +tp656
    +a(g189
    +V \u000a
    +p657
    +tp658
    +a(g184
    +V]
    +tp659
    +a(g189
    +V\u000a
    +tp660
    +a(g167
    +Vstyle:
    +p661
    +tp662
    +a(g189
    +V 
    +tp663
    +a(g95
    +V%default
    +p664
    +tp665
    +a(g189
    +V\u000a
    +tp666
    +a(g167
    +Vout:
    +p667
    +tp668
    +a(g189
    +V 
    +tp669
    +a(g120
    +Vrejoin
    +p670
    +tp671
    +a(g189
    +V 
    +tp672
    +a(g184
    +V[
    +tp673
    +a(g226
    +V{
    +tp674
    +a(g226
    +V\u000a\u000a\u000a  Pygments style: 
    +p675
    +tp676
    +a(g226
    +V}
    +tp677
    +a(g189
    +V 
    +tp678
    +a(g73
    +Vstyle
    +p679
    +tp680
    +a(g189
    +V 
    +tp681
    +a(g226
    +V{
    +tp682
    +a(g226
    +V.css\u000a  \u000a\u000a\u000a
    \u000a
    +p691
    +tp692
    +a(g226
    +V}
    +tp693
    +a(g184
    +V]
    +tp694
    +a(g189
    +V\u000a
    +tp695
    +a(g167
    +Vcss:
    +p696
    +tp697
    +a(g189
    +V 
    +tp698
    +a(g57
    +Vread
    +p699
    +tp700
    +a(g41
    +V/lines
    +p701
    +tp702
    +a(g189
    +V 
    +tp703
    +a(g120
    +Vjoin
    +p704
    +tp705
    +a(g189
    +V 
    +tp706
    +a(g73
    +Vstyle
    +p707
    +tp708
    +a(g189
    +V 
    +tp709
    +a(g95
    +V%.css
    +p710
    +tp711
    +a(g189
    +V\u000a
    +tp712
    +a(g57
    +Vforeach
    +p713
    +tp714
    +a(g189
    +V 
    +tp715
    +a(g73
    +Vline
    +p716
    +tp717
    +a(g189
    +V 
    +tp718
    +a(g73
    +Vcss
    +p719
    +tp720
    +a(g189
    +V 
    +tp721
    +a(g184
    +V[
    +tp722
    +a(g189
    +V\u000a    
    +p723
    +tp724
    +a(g25
    +Vparse
    +p725
    +tp726
    +a(g189
    +V 
    +tp727
    +a(g73
    +Vline
    +p728
    +tp729
    +a(g189
    +V 
    +tp730
    +a(g184
    +V[
    +tp731
    +a(g226
    +V"
    +tp732
    +a(g226
    +V.syntax .
    +p733
    +tp734
    +a(g226
    +V"
    +tp735
    +a(g189
    +V 
    +tp736
    +a(g21
    +Vcopy
    +p737
    +tp738
    +a(g189
    +V 
    +tp739
    +a(g73
    +Vc
    +tp740
    +a(g189
    +V 
    +tp741
    +a(g21
    +Vto
    +p742
    +tp743
    +a(g189
    +V 
    +tp744
    +a(g226
    +V"
    +tp745
    +a(g226
    +V 
    +tp746
    +a(g226
    +V"
    +tp747
    +a(g189
    +V 
    +tp748
    +a(g73
    +Vthru
    +p749
    +tp750
    +a(g189
    +V 
    +tp751
    +a(g226
    +V"
    +tp752
    +a(g226
    +V/*
    +p753
    +tp754
    +a(g226
    +V"
    +tp755
    +a(g189
    +V 
    +tp756
    +a(g21
    +Vcopy
    +p757
    +tp758
    +a(g189
    +V 
    +tp759
    +a(g73
    +Vt
    +tp760
    +a(g189
    +V 
    +tp761
    +a(g21
    +Vto
    +p762
    +tp763
    +a(g189
    +V 
    +tp764
    +a(g226
    +V"
    +tp765
    +a(g226
    +V*/
    +p766
    +tp767
    +a(g226
    +V"
    +tp768
    +a(g189
    +V 
    +tp769
    +a(g21
    +Vto
    +p770
    +tp771
    +a(g189
    +V 
    +tp772
    +a(g73
    +Vend
    +p773
    +tp774
    +a(g189
    +V 
    +tp775
    +a(g184
    +V(
    +tp776
    +a(g189
    +V\u000a        
    +p777
    +tp778
    +a(g120
    +Vappend
    +p779
    +tp780
    +a(g189
    +V 
    +tp781
    +a(g73
    +Vout
    +p782
    +tp783
    +a(g189
    +V 
    +tp784
    +a(g120
    +Vrejoin
    +p785
    +tp786
    +a(g189
    +V 
    +tp787
    +a(g184
    +V[
    +tp788
    +a(g226
    +V"
    +tp789
    +a(g226
    +V
    +tp797
    +a(g226
    +V"
    +tp798
    +a(g189
    +V 
    +tp799
    +a(g73
    +Vt
    +tp800
    +a(g189
    +V 
    +tp801
    +a(g226
    +V"
    +tp802
    +a(g226
    +V
    +p803
    +tp804
    +a(g252
    +V^/
    +p805
    +tp806
    +a(g226
    +V"
    +tp807
    +a(g184
    +V]
    +tp808
    +a(g184
    +V)
    +tp809
    +a(g189
    +V\u000a    
    +p810
    +tp811
    +a(g184
    +V]
    +tp812
    +a(g189
    +V\u000a
    +tp813
    +a(g184
    +V]
    +tp814
    +a(g189
    +V\u000a
    +tp815
    +a(g57
    +Vwrite
    +p816
    +tp817
    +a(g189
    +V 
    +tp818
    +a(g120
    +Vjoin
    +p819
    +tp820
    +a(g189
    +V 
    +tp821
    +a(g73
    +Vstyle
    +p822
    +tp823
    +a(g189
    +V 
    +tp824
    +a(g95
    +V%.html
    +p825
    +tp826
    +a(g189
    +V 
    +tp827
    +a(g120
    +Vjoin
    +p828
    +tp829
    +a(g189
    +V 
    +tp830
    +a(g73
    +Vout
    +p831
    +tp832
    +a(g189
    +V 
    +tp833
    +a(g226
    +V"
    +tp834
    +a(g226
    +V
    +p835 +tp836 +a(g226 +V" +tp837 +a(g189 +V\u000a +tp838 +a(g25 +Vhalt +p839 +tp840 +a(g189 +V\u000a +tp841 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.rb b/tests/examplefiles/output/test.rb new file mode 100644 index 0000000..cd6a43c --- /dev/null +++ b/tests/examplefiles/output/test.rb @@ -0,0 +1,4970 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Name' +p5 +ttRp6 +(dp7 +S'Function' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsS'Exception' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g11 +g12 +((ltRp18 +sg14 +g6 +sbsS'Tag' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g11 +g12 +((ltRp22 +sg14 +g6 +sbsS'Constant' +p23 +g2 +(g3 +g4 +(g5 +g23 +ttRp24 +(dp25 +g11 +g12 +((ltRp26 +sg14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp27 +(dp28 +S'Comment' +p29 +g2 +(g3 +g4 +(g29 +ttRp30 +(dp31 +g14 +g27 +sS'Preproc' +p32 +g2 +(g3 +g4 +(g29 +g32 +ttRp33 +(dp34 +g11 +g12 +((ltRp35 +sg14 +g30 +sbsS'Single' +p36 +g2 +(g3 +g4 +(g29 +g36 +ttRp37 +(dp38 +g11 +g12 +((ltRp39 +sg14 +g30 +sbsS'Multiline' +p40 +g2 +(g3 +g4 +(g29 +g40 +ttRp41 +(dp42 +g11 +g12 +((ltRp43 +sg14 +g30 +sbsg11 +g12 +((lp44 +g2 +(g3 +g4 +(g29 +S'Special' +p45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g30 +sbag33 +ag37 +ag41 +atRp49 +sg45 +g46 +sbsg5 +g6 +sS'Keyword' +p50 +g2 +(g3 +g4 +(g50 +ttRp51 +(dp52 +S'Pervasive' +p53 +g2 +(g3 +g4 +(g50 +g53 +ttRp54 +(dp55 +g11 +g12 +((ltRp56 +sg14 +g51 +sbsg23 +g2 +(g3 +g4 +(g50 +g23 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g51 +sbsg14 +g27 +sS'Namespace' +p60 +g2 +(g3 +g4 +(g50 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g51 +sbsS'Pseudo' +p64 +g2 +(g3 +g4 +(g50 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g51 +sbsS'Reserved' +p68 +g2 +(g3 +g4 +(g50 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g51 +sbsS'Declaration' +p72 +g2 +(g3 +g4 +(g50 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g51 +sbsS'Variable' +p76 +g2 +(g3 +g4 +(g50 +g76 +ttRp77 +(dp78 +g11 +g12 +((ltRp79 +sg14 +g51 +sbsg11 +g12 +((lp80 +g57 +ag69 +ag2 +(g3 +g4 +(g50 +S'Type' +p81 +ttRp82 +(dp83 +g11 +g12 +((ltRp84 +sg14 +g51 +sbag54 +ag73 +ag77 +ag61 +ag65 +atRp85 +sg81 +g82 +sbsS'Generic' +p86 +g2 +(g3 +g4 +(g86 +ttRp87 +(dp88 +S'Prompt' +p89 +g2 +(g3 +g4 +(g86 +g89 +ttRp90 +(dp91 +g11 +g12 +((ltRp92 +sg14 +g87 +sbsg14 +g27 +sS'Deleted' +p93 +g2 +(g3 +g4 +(g86 +g93 +ttRp94 +(dp95 +g11 +g12 +((ltRp96 +sg14 +g87 +sbsS'Traceback' +p97 +g2 +(g3 +g4 +(g86 +g97 +ttRp98 +(dp99 +g11 +g12 +((ltRp100 +sg14 +g87 +sbsS'Emph' +p101 +g2 +(g3 +g4 +(g86 +g101 +ttRp102 +(dp103 +g11 +g12 +((ltRp104 +sg14 +g87 +sbsS'Output' +p105 +g2 +(g3 +g4 +(g86 +g105 +ttRp106 +(dp107 +g11 +g12 +((ltRp108 +sg14 +g87 +sbsS'Subheading' +p109 +g2 +(g3 +g4 +(g86 +g109 +ttRp110 +(dp111 +g11 +g12 +((ltRp112 +sg14 +g87 +sbsS'Error' +p113 +g2 +(g3 +g4 +(g86 +g113 +ttRp114 +(dp115 +g11 +g12 +((ltRp116 +sg14 +g87 +sbsg11 +g12 +((lp117 +g106 +ag102 +ag114 +ag110 +ag98 +ag94 +ag2 +(g3 +g4 +(g86 +S'Heading' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g87 +sbag2 +(g3 +g4 +(g86 +S'Inserted' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g87 +sbag2 +(g3 +g4 +(g86 +S'Strong' +p126 +ttRp127 +(dp128 +g11 +g12 +((ltRp129 +sg14 +g87 +sbag90 +atRp130 +sg126 +g127 +sg122 +g123 +sg118 +g119 +sbsS'Text' +p131 +g2 +(g3 +g4 +(g131 +ttRp132 +(dp133 +g11 +g12 +((lp134 +g2 +(g3 +g4 +(g131 +S'Symbol' +p135 +ttRp136 +(dp137 +g11 +g12 +((ltRp138 +sg14 +g132 +sbag2 +(g3 +g4 +(g131 +S'Whitespace' +p139 +ttRp140 +(dp141 +g11 +g12 +((ltRp142 +sg14 +g132 +sbatRp143 +sg135 +g136 +sg139 +g140 +sg14 +g27 +sbsS'Punctuation' +p144 +g2 +(g3 +g4 +(g144 +ttRp145 +(dp146 +g11 +g12 +((lp147 +g2 +(g3 +g4 +(g144 +S'Indicator' +p148 +ttRp149 +(dp150 +g11 +g12 +((ltRp151 +sg14 +g145 +sbatRp152 +sg148 +g149 +sg14 +g27 +sbsS'Token' +p153 +g27 +sS'Number' +p154 +g2 +(g3 +g4 +(S'Literal' +p155 +g154 +ttRp156 +(dp157 +S'Bin' +p158 +g2 +(g3 +g4 +(g155 +g154 +g158 +ttRp159 +(dp160 +g11 +g12 +((ltRp161 +sg14 +g156 +sbsS'Binary' +p162 +g2 +(g3 +g4 +(g155 +g154 +g162 +ttRp163 +(dp164 +g11 +g12 +((ltRp165 +sg14 +g156 +sbsg14 +g2 +(g3 +g4 +(g155 +ttRp166 +(dp167 +S'String' +p168 +g2 +(g3 +g4 +(g155 +g168 +ttRp169 +(dp170 +S'Regex' +p171 +g2 +(g3 +g4 +(g155 +g168 +g171 +ttRp172 +(dp173 +g11 +g12 +((ltRp174 +sg14 +g169 +sbsS'Interpol' +p175 +g2 +(g3 +g4 +(g155 +g168 +g175 +ttRp176 +(dp177 +g11 +g12 +((ltRp178 +sg14 +g169 +sbsS'Regexp' +p179 +g2 +(g3 +g4 +(g155 +g168 +g179 +ttRp180 +(dp181 +g11 +g12 +((ltRp182 +sg14 +g169 +sbsg14 +g166 +sS'Heredoc' +p183 +g2 +(g3 +g4 +(g155 +g168 +g183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g169 +sbsS'Double' +p187 +g2 +(g3 +g4 +(g155 +g168 +g187 +ttRp188 +(dp189 +g11 +g12 +((ltRp190 +sg14 +g169 +sbsg135 +g2 +(g3 +g4 +(g155 +g168 +g135 +ttRp191 +(dp192 +g11 +g12 +((ltRp193 +sg14 +g169 +sbsS'Escape' +p194 +g2 +(g3 +g4 +(g155 +g168 +g194 +ttRp195 +(dp196 +g11 +g12 +((ltRp197 +sg14 +g169 +sbsS'Character' +p198 +g2 +(g3 +g4 +(g155 +g168 +g198 +ttRp199 +(dp200 +g11 +g12 +((ltRp201 +sg14 +g169 +sbsS'Interp' +p202 +g2 +(g3 +g4 +(g155 +g168 +g202 +ttRp203 +(dp204 +g11 +g12 +((ltRp205 +sg14 +g169 +sbsS'Backtick' +p206 +g2 +(g3 +g4 +(g155 +g168 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g169 +sbsS'Char' +p210 +g2 +(g3 +g4 +(g155 +g168 +g210 +ttRp211 +(dp212 +g11 +g12 +((ltRp213 +sg14 +g169 +sbsg36 +g2 +(g3 +g4 +(g155 +g168 +g36 +ttRp214 +(dp215 +g11 +g12 +((ltRp216 +sg14 +g169 +sbsS'Other' +p217 +g2 +(g3 +g4 +(g155 +g168 +g217 +ttRp218 +(dp219 +g11 +g12 +((ltRp220 +sg14 +g169 +sbsS'Doc' +p221 +g2 +(g3 +g4 +(g155 +g168 +g221 +ttRp222 +(dp223 +g11 +g12 +((ltRp224 +sg14 +g169 +sbsg11 +g12 +((lp225 +g218 +ag2 +(g3 +g4 +(g155 +g168 +S'Atom' +p226 +ttRp227 +(dp228 +g11 +g12 +((ltRp229 +sg14 +g169 +sbag188 +ag211 +ag203 +ag222 +ag184 +ag207 +ag176 +ag191 +ag180 +ag172 +ag214 +ag199 +ag195 +atRp230 +sg226 +g227 +sbsg14 +g27 +sg154 +g156 +sS'Scalar' +p231 +g2 +(g3 +g4 +(g155 +g231 +ttRp232 +(dp233 +g11 +g12 +((lp234 +g2 +(g3 +g4 +(g155 +g231 +S'Plain' +p235 +ttRp236 +(dp237 +g11 +g12 +((ltRp238 +sg14 +g232 +sbatRp239 +sg14 +g166 +sg235 +g236 +sbsg217 +g2 +(g3 +g4 +(g155 +g217 +ttRp240 +(dp241 +g11 +g12 +((ltRp242 +sg14 +g166 +sbsS'Date' +p243 +g2 +(g3 +g4 +(g155 +g243 +ttRp244 +(dp245 +g11 +g12 +((ltRp246 +sg14 +g166 +sbsg11 +g12 +((lp247 +g244 +ag169 +ag240 +ag156 +ag232 +atRp248 +sbsS'Decimal' +p249 +g2 +(g3 +g4 +(g155 +g154 +g249 +ttRp250 +(dp251 +g11 +g12 +((ltRp252 +sg14 +g156 +sbsS'Float' +p253 +g2 +(g3 +g4 +(g155 +g154 +g253 +ttRp254 +(dp255 +g11 +g12 +((ltRp256 +sg14 +g156 +sbsS'Hex' +p257 +g2 +(g3 +g4 +(g155 +g154 +g257 +ttRp258 +(dp259 +g11 +g12 +((ltRp260 +sg14 +g156 +sbsS'Integer' +p261 +g2 +(g3 +g4 +(g155 +g154 +g261 +ttRp262 +(dp263 +g11 +g12 +((lp264 +g2 +(g3 +g4 +(g155 +g154 +g261 +S'Long' +p265 +ttRp266 +(dp267 +g11 +g12 +((ltRp268 +sg14 +g262 +sbatRp269 +sg265 +g266 +sg14 +g156 +sbsS'Octal' +p270 +g2 +(g3 +g4 +(g155 +g154 +g270 +ttRp271 +(dp272 +g11 +g12 +((ltRp273 +sg14 +g156 +sbsg11 +g12 +((lp274 +g159 +ag163 +ag271 +ag250 +ag2 +(g3 +g4 +(g155 +g154 +S'Oct' +p275 +ttRp276 +(dp277 +g11 +g12 +((ltRp278 +sg14 +g156 +sbag262 +ag254 +ag258 +atRp279 +sg275 +g276 +sbsg155 +g166 +sg217 +g2 +(g3 +g4 +(g217 +ttRp280 +(dp281 +g11 +g12 +((ltRp282 +sg14 +g27 +sbsg113 +g2 +(g3 +g4 +(g113 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g27 +sbsS'Operator' +p286 +g2 +(g3 +g4 +(g286 +ttRp287 +(dp288 +g11 +g12 +((lp289 +g2 +(g3 +g4 +(g286 +S'Word' +p290 +ttRp291 +(dp292 +g11 +g12 +((ltRp293 +sg14 +g287 +sbatRp294 +sg290 +g291 +sg14 +g27 +sbsg11 +g12 +((lp295 +g30 +ag283 +ag87 +ag132 +ag6 +ag145 +ag51 +ag166 +ag287 +ag280 +atRp296 +sg168 +g169 +sbsg64 +g2 +(g3 +g4 +(g5 +g64 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g6 +sbsS'Attribute' +p300 +g2 +(g3 +g4 +(g5 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g6 +sbsS'Label' +p304 +g2 +(g3 +g4 +(g5 +g304 +ttRp305 +(dp306 +g11 +g12 +((ltRp307 +sg14 +g6 +sbsS'Blubb' +p308 +g2 +(g3 +g4 +(g5 +g308 +ttRp309 +(dp310 +g11 +g12 +((ltRp311 +sg14 +g6 +sbsS'Entity' +p312 +g2 +(g3 +g4 +(g5 +g312 +ttRp313 +(dp314 +g11 +g12 +((ltRp315 +sg14 +g6 +sbsS'Builtin' +p316 +g2 +(g3 +g4 +(g5 +g316 +ttRp317 +(dp318 +g11 +g12 +((lp319 +g2 +(g3 +g4 +(g5 +g316 +g64 +ttRp320 +(dp321 +g11 +g12 +((ltRp322 +sg14 +g317 +sbatRp323 +sg64 +g320 +sg14 +g6 +sbsg217 +g2 +(g3 +g4 +(g5 +g217 +ttRp324 +(dp325 +g11 +g12 +((ltRp326 +sg14 +g6 +sbsS'Identifier' +p327 +g2 +(g3 +g4 +(g5 +g327 +ttRp328 +(dp329 +g11 +g12 +((ltRp330 +sg14 +g6 +sbsg76 +g2 +(g3 +g4 +(g5 +g76 +ttRp331 +(dp332 +g14 +g6 +sS'Global' +p333 +g2 +(g3 +g4 +(g5 +g76 +g333 +ttRp334 +(dp335 +g11 +g12 +((ltRp336 +sg14 +g331 +sbsS'Instance' +p337 +g2 +(g3 +g4 +(g5 +g76 +g337 +ttRp338 +(dp339 +g11 +g12 +((ltRp340 +sg14 +g331 +sbsS'Anonymous' +p341 +g2 +(g3 +g4 +(g5 +g76 +g341 +ttRp342 +(dp343 +g11 +g12 +((ltRp344 +sg14 +g331 +sbsg11 +g12 +((lp345 +g342 +ag338 +ag334 +ag2 +(g3 +g4 +(g5 +g76 +S'Class' +p346 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g331 +sbatRp350 +sg346 +g347 +sbsg11 +g12 +((lp351 +g2 +(g3 +g4 +(g5 +S'Decorator' +p352 +ttRp353 +(dp354 +g11 +g12 +((ltRp355 +sg14 +g6 +sbag301 +ag24 +ag297 +ag2 +(g3 +g4 +(g5 +g60 +ttRp356 +(dp357 +g11 +g12 +((ltRp358 +sg14 +g6 +sbag328 +ag317 +ag331 +ag324 +ag309 +ag313 +ag9 +ag2 +(g3 +g4 +(g5 +S'Property' +p359 +ttRp360 +(dp361 +g11 +g12 +((ltRp362 +sg14 +g6 +sbag305 +ag20 +ag16 +ag2 +(g3 +g4 +(g5 +g346 +ttRp363 +(dp364 +g11 +g12 +((ltRp365 +sg14 +g6 +sbatRp366 +sg359 +g360 +sg346 +g363 +sg352 +g353 +sg60 +g356 +sbVa +tp367 +a(g287 +V. +tp368 +a(g6 +Veach +p369 +tp370 +a(g145 +V{ +tp371 +a(g287 +V| +tp372 +a(g6 +Vel +p373 +tp374 +a(g287 +V| +tp375 +a(g6 +Vanz +p376 +tp377 +a(g287 +V[ +tp378 +a(g6 +Vel +p379 +tp380 +a(g287 +V] +tp381 +a(g287 +V= +tp382 +a(g6 +Vanz +p383 +tp384 +a(g287 +V[ +tp385 +a(g6 +Vel +p386 +tp387 +a(g287 +V] +tp388 +a(g145 +V? +tp389 +a(g6 +Vanz +p390 +tp391 +a(g287 +V[ +tp392 +a(g6 +Vel +p393 +tp394 +a(g287 +V] +tp395 +a(g287 +V+ +tp396 +a(g262 +V1 +tp397 +a(g145 +V: +tp398 +a(g262 +V1 +tp399 +a(g145 +V} +tp400 +a(g132 +V\u000a +tp401 +a(g51 +Vwhile +p402 +tp403 +a(g132 +V +tp404 +a(g6 +Vx +tp405 +a(g287 +V< +tp406 +a(g262 +V10000 +p407 +tp408 +a(g132 +V\u000a +tp409 +a(g37 +V#a bis f dienen dazu die Nachbarschaft festzulegen. Man stelle sich die #Zahl von 1 bis 64 im Binärcode vor 1 bedeutet an 0 aus +p410 +tp411 +a(g132 +V\u000a +p412 +tp413 +a(g6 +Vb +tp414 +a(g287 +V= +tp415 +a(g145 +V( +tp416 +a(g317 +Vp +tp417 +a(g287 +V[ +tp418 +a(g6 +Vx +tp419 +a(g287 +V] +tp420 +a(g287 +V% +tp421 +a(g262 +V32 +p422 +tp423 +a(g145 +V) +tp424 +a(g287 +V/ +tp425 +a(g262 +V16 +p426 +tp427 +a(g287 +V< +tp428 +a(g262 +V1 +tp429 +a(g132 +V +tp430 +a(g287 +V? +tp431 +a(g132 +V +tp432 +a(g262 +V0 +tp433 +a(g132 +V +tp434 +a(g145 +V: +tp435 +a(g132 +V +tp436 +a(g262 +V1 +tp437 +a(g132 +V\u000a\u000a +p438 +tp439 +a(g145 +V( +tp440 +a(g6 +Vx +tp441 +a(g287 +V- +tp442 +a(g262 +V102 +p443 +tp444 +a(g287 +V> +tp445 +a(g287 +V= +tp446 +a(g262 +V0 +tp447 +a(g287 +V? +tp448 +a(g132 +V +tp449 +a(g6 +Vn +tp450 +a(g287 +V[ +tp451 +a(g6 +Vx +tp452 +a(g287 +V- +tp453 +a(g262 +V102 +p454 +tp455 +a(g287 +V] +tp456 +a(g287 +V. +tp457 +a(g6 +Vto_i +p458 +tp459 +a(g132 +V +tp460 +a(g145 +V: +tp461 +a(g132 +V +tp462 +a(g262 +V0 +tp463 +a(g145 +V) +tp464 +a(g287 +V* +tp465 +a(g6 +Va +tp466 +a(g287 +V+ +tp467 +a(g145 +V( +tp468 +a(g6 +Vx +tp469 +a(g287 +V- +tp470 +a(g262 +V101 +p471 +tp472 +a(g287 +V> +tp473 +a(g287 +V= +tp474 +a(g262 +V0 +tp475 +a(g287 +V? +tp476 +a(g6 +Vn +tp477 +a(g287 +V[ +tp478 +a(g6 +Vx +tp479 +a(g287 +V- +tp480 +a(g262 +V101 +p481 +tp482 +a(g287 +V] +tp483 +a(g287 +V. +tp484 +a(g6 +Vto_i +p485 +tp486 +a(g132 +V +tp487 +a(g145 +V: +tp488 +a(g132 +V +tp489 +a(g262 +V0 +tp490 +a(g145 +V) +tp491 +a(g287 +V* +tp492 +a(g6 +Ve +tp493 +a(g287 +V+ +tp494 +a(g6 +Vn +tp495 +a(g287 +V[ +tp496 +a(g6 +Vx +tp497 +a(g287 +V- +tp498 +a(g262 +V100 +p499 +tp500 +a(g287 +V] +tp501 +a(g287 +V. +tp502 +a(g6 +Vto_i +p503 +tp504 +a(g287 +V+ +tp505 +a(g145 +V( +tp506 +a(g6 +Vx +tp507 +a(g287 +V- +tp508 +a(g262 +V99 +p509 +tp510 +a(g287 +V> +tp511 +a(g287 +V= +tp512 +a(g262 +V0 +tp513 +a(g287 +V? +tp514 +a(g132 +V +tp515 +a(g6 +Vn +tp516 +a(g287 +V[ +tp517 +a(g6 +Vx +tp518 +a(g287 +V- +tp519 +a(g262 +V99 +p520 +tp521 +a(g287 +V] +tp522 +a(g287 +V. +tp523 +a(g6 +Vto_i +p524 +tp525 +a(g132 +V +tp526 +a(g145 +V: +tp527 +a(g132 +V +tp528 +a(g262 +V0 +tp529 +a(g145 +V) +tp530 +a(g287 +V* +tp531 +a(g6 +Vf +tp532 +a(g287 +V+ +tp533 +a(g145 +V( +tp534 +a(g6 +Vx +tp535 +a(g287 +V- +tp536 +a(g262 +V98 +p537 +tp538 +a(g287 +V> +tp539 +a(g287 +V= +tp540 +a(g262 +V0 +tp541 +a(g287 +V? +tp542 +a(g132 +V +tp543 +a(g6 +Vn +tp544 +a(g287 +V[ +tp545 +a(g6 +Vx +tp546 +a(g287 +V- +tp547 +a(g262 +V98 +p548 +tp549 +a(g287 +V] +tp550 +a(g287 +V. +tp551 +a(g6 +Vto_i +p552 +tp553 +a(g132 +V +tp554 +a(g145 +V: +tp555 +a(g132 +V +tp556 +a(g262 +V0 +tp557 +a(g145 +V) +tp558 +a(g287 +V* +tp559 +a(g6 +Va +tp560 +a(g287 +V+ +tp561 +a(g132 +V\u000a +p562 +tp563 +a(g6 +Vn +tp564 +a(g287 +V[ +tp565 +a(g6 +Vx +tp566 +a(g287 +V+ +tp567 +a(g262 +V199 +p568 +tp569 +a(g287 +V] +tp570 +a(g287 +V. +tp571 +a(g6 +Vto_i +p572 +tp573 +a(g287 +V* +tp574 +a(g6 +Vb +tp575 +a(g287 +V+ +tp576 +a(g6 +Vn +tp577 +a(g287 +V[ +tp578 +a(g6 +Vx +tp579 +a(g287 +V+ +tp580 +a(g262 +V200 +p581 +tp582 +a(g287 +V] +tp583 +a(g287 +V. +tp584 +a(g6 +Vto_i +p585 +tp586 +a(g287 +V* +tp587 +a(g6 +Vd +tp588 +a(g287 +V+ +tp589 +a(g6 +Vn +tp590 +a(g287 +V[ +tp591 +a(g6 +Vx +tp592 +a(g287 +V+ +tp593 +a(g262 +V201 +p594 +tp595 +a(g287 +V] +tp596 +a(g287 +V. +tp597 +a(g6 +Vto_i +p598 +tp599 +a(g287 +V* +tp600 +a(g6 +Vb +tp601 +a(g132 +V\u000a\u000a +p602 +tp603 +a(g37 +V#und die Ausgabe folgt +p604 +tp605 +a(g132 +V\u000a +tp606 +a(g6 +Vg +tp607 +a(g287 +V= +tp608 +a(g218 +V%w{ +p609 +tp610 +a(g218 +V} +tp611 +a(g132 +V\u000a +tp612 +a(g6 +Vx +tp613 +a(g287 +V= +tp614 +a(g262 +V0 +tp615 +a(g132 +V\u000a\u000a +p616 +tp617 +a(g51 +Vwhile +p618 +tp619 +a(g132 +V +tp620 +a(g6 +Vx +tp621 +a(g287 +V< +tp622 +a(g262 +V100 +p623 +tp624 +a(g132 +V\u000a +p625 +tp626 +a(g317 +Vputs +p627 +tp628 +a(g188 +V" +tp629 +a(g176 +V#{ +p630 +tp631 +a(g6 +Vg +tp632 +a(g287 +V[ +tp633 +a(g6 +Vx +tp634 +a(g287 +V] +tp635 +a(g176 +V} +tp636 +a(g188 +V" +tp637 +a(g132 +V\u000a +p638 +tp639 +a(g6 +Vx +tp640 +a(g287 +V+= +p641 +tp642 +a(g262 +V1 +tp643 +a(g132 +V\u000a +tp644 +a(g51 +Vend +p645 +tp646 +a(g132 +V\u000a\u000a +p647 +tp648 +a(g317 +Vputs +p649 +tp650 +a(g188 +V" +tp651 +a(g188 +V" +tp652 +a(g132 +V\u000a +tp653 +a(g317 +Vsleep +p654 +tp655 +a(g145 +V( +tp656 +a(g262 +V10 +p657 +tp658 +a(g145 +V) +tp659 +a(g132 +V\u000a\u000a +p660 +tp661 +a(g262 +V1 +tp662 +a(g24 +VE1E1 +p663 +tp664 +a(g132 +V\u000a +tp665 +a(g317 +Vputs +p666 +tp667 +a(g132 +V +tp668 +a(g262 +V30 +p669 +tp670 +a(g287 +V. +tp671 +a(g6 +Vsend +p672 +tp673 +a(g145 +V( +tp674 +a(g191 +V:/ +p675 +tp676 +a(g145 +V, +tp677 +a(g132 +V +tp678 +a(g262 +V5 +tp679 +a(g145 +V) +tp680 +a(g132 +V +tp681 +a(g37 +V# prints 6 +p682 +tp683 +a(g132 +V\u000a\u000a +p684 +tp685 +a(g37 +V# fun with class attributes +p686 +tp687 +a(g132 +V\u000a +tp688 +a(g51 +Vclass +p689 +tp690 +a(g132 +V +tp691 +a(g363 +VFoo +p692 +tp693 +a(g132 +V\u000a +p694 +tp695 +a(g51 +Vdef +p696 +tp697 +a(g132 +V +tp698 +a(g363 +Vself +p699 +tp700 +a(g287 +V. +tp701 +a(g9 +Vblub +p702 +tp703 +a(g132 +V +tp704 +a(g6 +Vx +tp705 +a(g132 +V\u000a +p706 +tp707 +a(g51 +Vif +p708 +tp709 +a(g132 +V +tp710 +a(g291 +Vnot +p711 +tp712 +a(g132 +V +tp713 +a(g6 +Vx +tp714 +a(g287 +V. +tp715 +a(g6 +Vnil? +p716 +tp717 +a(g132 +V\u000a +p718 +tp719 +a(g317 +Vself +p720 +tp721 +a(g287 +V. +tp722 +a(g6 +Vnew +p723 +tp724 +a(g132 +V\u000a +p725 +tp726 +a(g51 +Vend +p727 +tp728 +a(g132 +V\u000a +p729 +tp730 +a(g51 +Vend +p731 +tp732 +a(g132 +V\u000a +p733 +tp734 +a(g51 +Vdef +p735 +tp736 +a(g132 +V +tp737 +a(g9 +Vanother_way_to_get_class +p738 +tp739 +a(g132 +V\u000a +p740 +tp741 +a(g317 +Vself +p742 +tp743 +a(g287 +V. +tp744 +a(g6 +Vclass +p745 +tp746 +a(g132 +V\u000a +p747 +tp748 +a(g51 +Vend +p749 +tp750 +a(g132 +V\u000a +tp751 +a(g51 +Vend +p752 +tp753 +a(g132 +V\u000a\u000a +p754 +tp755 +a(g37 +V# ruby 1.9 "call operator" +p756 +tp757 +a(g132 +V\u000a +tp758 +a(g6 +Va +tp759 +a(g132 +V +tp760 +a(g287 +V= +tp761 +a(g132 +V +tp762 +a(g24 +VProc +p763 +tp764 +a(g287 +V. +tp765 +a(g6 +Vnew +p766 +tp767 +a(g132 +V +tp768 +a(g145 +V{ +tp769 +a(g132 +V +tp770 +a(g262 +V42 +p771 +tp772 +a(g132 +V +tp773 +a(g145 +V} +tp774 +a(g132 +V\u000a +tp775 +a(g6 +Va +tp776 +a(g287 +V. +tp777 +a(g145 +V( +tp778 +a(g145 +V) +tp779 +a(g132 +V\u000a\u000a +p780 +tp781 +a(g188 +V" +tp782 +a(g188 +Vinstance variables can be +p783 +tp784 +a(g176 +V#@included +p785 +tp786 +a(g188 +V, +p787 +tp788 +a(g176 +V#@@class_variables +p789 +tp790 +a(g195 +V\u005cn +p791 +tp792 +a(g188 +V and +p793 +tp794 +a(g176 +V#$globals +p795 +tp796 +a(g188 +V as well. +p797 +tp798 +a(g188 +V" +tp799 +a(g132 +V\u000a +tp800 +a(g207 +V` +tp801 +a(g207 +Vinstance variables can be +p802 +tp803 +a(g176 +V#@included +p804 +tp805 +a(g207 +V, +p806 +tp807 +a(g176 +V#@@class_variables +p808 +tp809 +a(g195 +V\u005cn +p810 +tp811 +a(g207 +V and +p812 +tp813 +a(g176 +V#$globals +p814 +tp815 +a(g207 +V as well. +p816 +tp817 +a(g207 +V` +tp818 +a(g132 +V\u000a +tp819 +a(g214 +V'instance variables can be #@included, #@@class_variables\u005cn and #$globals as well.' +p820 +tp821 +a(g172 +V\u000a/i +p822 +tp823 +a(g172 +Vnstance variables can be +p824 +tp825 +a(g176 +V#@included +p826 +tp827 +a(g172 +V, +p828 +tp829 +a(g176 +V#@@class_variables +p830 +tp831 +a(g172 +V\u005c +tp832 +a(g172 +Vn and +p833 +tp834 +a(g176 +V#$globals +p835 +tp836 +a(g172 +V as well. +p837 +tp838 +a(g172 +V/mousenix +p839 +tp840 +a(g132 +V\u000a +tp841 +a(g191 +V:" +p842 +tp843 +a(g191 +Vinstance variables can be +p844 +tp845 +a(g176 +V#@included +p846 +tp847 +a(g191 +V, +p848 +tp849 +a(g176 +V#@@class_variables +p850 +tp851 +a(g195 +V\u005cn +p852 +tp853 +a(g191 +V and +p854 +tp855 +a(g176 +V#$globals +p856 +tp857 +a(g191 +V as well. +p858 +tp859 +a(g191 +V" +tp860 +a(g132 +V\u000a +tp861 +a(g191 +V:'instance variables can be #@included, #@@class_variables\u005cn and #$globals as well.' +p862 +tp863 +a(g132 +V\u000a +tp864 +a(g218 +V%' +p865 +tp866 +a(g218 +Vinstance variables can be +p867 +tp868 +a(g176 +V#@included +p869 +tp870 +a(g218 +V, +p871 +tp872 +a(g176 +V#@@class_variables +p873 +tp874 +a(g218 +V\u005c +tp875 +a(g218 +Vn and +p876 +tp877 +a(g176 +V#$globals +p878 +tp879 +a(g218 +V as well. +p880 +tp881 +a(g218 +V' +tp882 +a(g132 +V\u000a +tp883 +a(g218 +V%q'instance variables can be #@included, #@@class_variables\u005cn and #$globals as well.' +p884 +tp885 +a(g132 +V\u000a +tp886 +a(g218 +V%Q' +p887 +tp888 +a(g218 +Vinstance variables can be +p889 +tp890 +a(g176 +V#@included +p891 +tp892 +a(g218 +V, +p893 +tp894 +a(g176 +V#@@class_variables +p895 +tp896 +a(g218 +V\u005c +tp897 +a(g218 +Vn and +p898 +tp899 +a(g176 +V#$globals +p900 +tp901 +a(g218 +V as well. +p902 +tp903 +a(g218 +V' +tp904 +a(g132 +V\u000a +tp905 +a(g218 +V%w'instance variables can be #@included, #@@class_variables\u005cn and #$globals as well.' +p906 +tp907 +a(g132 +V\u000a +tp908 +a(g218 +V%W' +p909 +tp910 +a(g218 +Vinstance variables can be +p911 +tp912 +a(g176 +V#@included +p913 +tp914 +a(g218 +V, +p915 +tp916 +a(g176 +V#@@class_variables +p917 +tp918 +a(g218 +V\u005c +tp919 +a(g218 +Vn and +p920 +tp921 +a(g176 +V#$globals +p922 +tp923 +a(g218 +V as well. +p924 +tp925 +a(g218 +V' +tp926 +a(g132 +V\u000a +tp927 +a(g218 +V%s'instance variables can be #@included, #@@class_variables\u005cn and #$globals as well.' +p928 +tp929 +a(g132 +V\u000a +tp930 +a(g172 +V%r' +p931 +tp932 +a(g172 +Vinstance variables can be +p933 +tp934 +a(g176 +V#@included +p935 +tp936 +a(g172 +V, +p937 +tp938 +a(g176 +V#@@class_variables +p939 +tp940 +a(g172 +V\u005c +tp941 +a(g172 +Vn and +p942 +tp943 +a(g176 +V#$globals +p944 +tp945 +a(g172 +V as well. +p946 +tp947 +a(g172 +V' +tp948 +a(g132 +V\u000a +tp949 +a(g218 +V%x' +p950 +tp951 +a(g218 +Vinstance variables can be +p952 +tp953 +a(g176 +V#@included +p954 +tp955 +a(g218 +V, +p956 +tp957 +a(g176 +V#@@class_variables +p958 +tp959 +a(g218 +V\u005c +tp960 +a(g218 +Vn and +p961 +tp962 +a(g176 +V#$globals +p963 +tp964 +a(g218 +V as well. +p965 +tp966 +a(g218 +V' +tp967 +a(g132 +V\u000a\u000a +p968 +tp969 +a(g37 +V#%W[ but #@0illegal_values look strange.] +p970 +tp971 +a(g132 +V\u000a\u000a +p972 +tp973 +a(g218 +V%s#ruby allows strange# +p974 +tp975 +a(g145 +V{ +tp976 +a(g6 +Vconstructs +p977 +tp978 +a(g145 +V} +tp979 +a(g132 +V\u000a +tp980 +a(g218 +V%s#ruby allows strange# +p981 +tp982 +a(g334 +V$constructs +p983 +tp984 +a(g132 +V\u000a +tp985 +a(g218 +V%s#ruby allows strange# +p986 +tp987 +a(g347 +V@@constructs +p988 +tp989 +a(g132 +V\u000a\u000a +p990 +tp991 +a(g37 +V################################################################## +p992 +tp993 +a(g132 +V\u000a +tp994 +a(g37 +V# HEREDOCS +p995 +tp996 +a(g132 +V\u000a +tp997 +a(g6 +Vfoo +p998 +tp999 +a(g145 +V( +tp1000 +a(g287 +V<<- +p1001 +tp1002 +a(g184 +V +tp1003 +a(g24 +VA +tp1004 +a(g184 +V +tp1005 +a(g145 +V, +tp1006 +a(g132 +V +tp1007 +a(g287 +V<<- +p1008 +tp1009 +a(g184 +V +tp1010 +a(g24 +VB +tp1011 +a(g184 +V +tp1012 +a(g145 +V) +tp1013 +a(g132 +V\u000a +tp1014 +a(g184 +Vthis is the text of a\u000a +p1015 +tp1016 +a(g24 +VA\u000a +p1017 +tp1018 +a(g184 +Vand this is the text of b\u000a +p1019 +tp1020 +a(g24 +VB\u000a +p1021 +tp1022 +a(g132 +V\u000a +tp1023 +a(g6 +Va +tp1024 +a(g132 +V +tp1025 +a(g287 +V= +tp1026 +a(g132 +V +tp1027 +a(g287 +V<< +p1028 +tp1029 +a(g184 +V" +tp1030 +a(g24 +VEOF +p1031 +tp1032 +a(g184 +V" +tp1033 +a(g132 +V\u000a +tp1034 +a(g184 +VThis is a multiline #$here document\u000a +p1035 +tp1036 +a(g184 +Vterminated by EOF on a line by itself\u000a +p1037 +tp1038 +a(g24 +VEOF\u000a +p1039 +tp1040 +a(g132 +V\u000a +tp1041 +a(g6 +Va +tp1042 +a(g132 +V +tp1043 +a(g287 +V= +tp1044 +a(g132 +V +tp1045 +a(g287 +V<< +p1046 +tp1047 +a(g184 +V' +tp1048 +a(g24 +VEOF +p1049 +tp1050 +a(g184 +V' +tp1051 +a(g132 +V\u000a +tp1052 +a(g184 +VThis is a multiline #$here document\u000a +p1053 +tp1054 +a(g184 +Vterminated by EOF on a line by itself\u000a +p1055 +tp1056 +a(g24 +VEOF\u000a +p1057 +tp1058 +a(g132 +V\u000a +tp1059 +a(g6 +Vb +tp1060 +a(g287 +V= +tp1061 +a(g145 +V( +tp1062 +a(g317 +Vp +tp1063 +a(g287 +V[ +tp1064 +a(g6 +Vx +tp1065 +a(g287 +V] +tp1066 +a(g132 +V +tp1067 +a(g287 +V% +tp1068 +a(g262 +V32 +p1069 +tp1070 +a(g145 +V) +tp1071 +a(g287 +V/ +tp1072 +a(g262 +V16 +p1073 +tp1074 +a(g287 +V< +tp1075 +a(g262 +V1 +tp1076 +a(g132 +V +tp1077 +a(g287 +V? +tp1078 +a(g132 +V +tp1079 +a(g262 +V0 +tp1080 +a(g132 +V +tp1081 +a(g145 +V: +tp1082 +a(g132 +V +tp1083 +a(g262 +V1 +tp1084 +a(g132 +V\u000a\u000a +p1085 +tp1086 +a(g287 +V<< +p1087 +tp1088 +a(g184 +V" +tp1089 +a(g24 +V +tp1090 +a(g184 +V" +tp1091 +a(g132 +V\u000a +tp1092 +a(g184 +V#{test}\u000a +p1093 +tp1094 +a(g184 +V#@bla\u000a +p1095 +tp1096 +a(g184 +V#die suppe!!!\u000a +p1097 +tp1098 +a(g184 +V\u005cxfffff\u000a +p1099 +tp1100 +a(g24 +V\u000a +tp1101 +a(g132 +V\u000a +tp1102 +a(g51 +Vsuper +p1103 +tp1104 +a(g132 +V +tp1105 +a(g287 +V<<- +p1106 +tp1107 +a(g184 +V +tp1108 +a(g24 +VEOE +p1109 +tp1110 +a(g184 +V +tp1111 +a(g132 +V +tp1112 +a(g287 +V% +tp1113 +a(g132 +V +tp1114 +a(g287 +V[ +tp1115 +a(g132 +V\u000a +tp1116 +a(g184 +V foo\u000a +p1117 +tp1118 +a(g24 +VEOE\u000a +p1119 +tp1120 +a(g132 +V\u000a +tp1121 +a(g287 +V<< +p1122 +tp1123 +a(g184 +V +tp1124 +a(g24 +VX +tp1125 +a(g184 +V +tp1126 +a(g132 +V\u000a +tp1127 +a(g24 +VX\u000a +p1128 +tp1129 +a(g6 +VX +tp1130 +a(g132 +V\u000a\u000a +p1131 +tp1132 +a(g218 +V%s( +p1133 +tp1134 +a(g218 +Vuninter +p1135 +tp1136 +a(g218 +V\u005c) +p1137 +tp1138 +a(g218 +Vpre +p1139 +tp1140 +a(g218 +V\u005c +tp1141 +a(g218 +Vted +p1142 +tp1143 +a(g218 +V) +tp1144 +a(g132 +V +p1145 +tp1146 +a(g37 +V# comment here +p1147 +tp1148 +a(g132 +V\u000a +tp1149 +a(g218 +V%q( +p1150 +tp1151 +a(g218 +Vuninter +p1152 +tp1153 +a(g218 +V\u005c) +p1154 +tp1155 +a(g218 +Vpre +p1156 +tp1157 +a(g218 +V\u005c +tp1158 +a(g218 +Vted +p1159 +tp1160 +a(g218 +V) +tp1161 +a(g132 +V +p1162 +tp1163 +a(g37 +V# comment here +p1164 +tp1165 +a(g132 +V\u000a +tp1166 +a(g218 +V%Q( +p1167 +tp1168 +a(g218 +Vinter +p1169 +tp1170 +a(g218 +V\u005c) +p1171 +tp1172 +a(g218 +Vpre +p1173 +tp1174 +a(g195 +V\u005ct +p1175 +tp1176 +a(g218 +Ved +p1177 +tp1178 +a(g218 +V) +tp1179 +a(g132 +V +p1180 +tp1181 +a(g37 +V# comment here +p1182 +tp1183 +a(g132 +V\u000a +tp1184 +a(g191 +V:" +p1185 +tp1186 +a(g191 +Vinter +p1187 +tp1188 +a(g191 +V\u005c +tp1189 +a(g191 +V)pre +p1190 +tp1191 +a(g195 +V\u005ct +p1192 +tp1193 +a(g191 +Ved +p1194 +tp1195 +a(g191 +V" +tp1196 +a(g132 +V +p1197 +tp1198 +a(g37 +V# comment here +p1199 +tp1200 +a(g132 +V\u000a +tp1201 +a(g191 +V:'uninter\u005c'pre\u005cted' +p1202 +tp1203 +a(g132 +V +p1204 +tp1205 +a(g37 +V# comment here +p1206 +tp1207 +a(g132 +V\u000a\u000a +p1208 +tp1209 +a(g218 +V%q[ +p1210 +tp1211 +a(g218 +Vhaha! +p1212 +tp1213 +a(g218 +V[ +tp1214 +a(g218 +Vnesting +p1215 +tp1216 +a(g218 +V[ +tp1217 +a(g218 +Vrocks +p1218 +tp1219 +a(g218 +V] +tp1220 +a(g218 +V ! +p1221 +tp1222 +a(g218 +V] +tp1223 +a(g218 +V +tp1224 +a(g218 +V] +tp1225 +a(g132 +V +tp1226 +a(g37 +V# commeht here +p1227 +tp1228 +a(g132 +V\u000a\u000a\u000a +p1229 +tp1230 +a(g37 +V################################################################## +p1231 +tp1232 +a(g132 +V\u000a +tp1233 +a(g51 +Vclass +p1234 +tp1235 +a(g132 +V +p1236 +tp1237 +a(g363 +VNP +p1238 +tp1239 +a(g132 +V\u000a +tp1240 +a(g51 +Vdef +p1241 +tp1242 +a(g132 +V +p1243 +tp1244 +a(g9 +Vinitialize +p1245 +tp1246 +a(g132 +V +tp1247 +a(g6 +Va +tp1248 +a(g287 +V= +tp1249 +a(g338 +V@p +p1250 +tp1251 +a(g287 +V= +tp1252 +a(g287 +V[ +tp1253 +a(g287 +V] +tp1254 +a(g145 +V, +tp1255 +a(g132 +V +tp1256 +a(g6 +Vb +tp1257 +a(g287 +V= +tp1258 +a(g338 +V@b +p1259 +tp1260 +a(g287 +V= +tp1261 +a(g287 +V[ +tp1262 +a(g287 +V] +tp1263 +a(g145 +V; +tp1264 +a(g132 +V +p1265 +tp1266 +a(g51 +Vend +p1267 +tp1268 +a(g132 +V\u000a +tp1269 +a(g51 +Vdef +p1270 +tp1271 +a(g132 +V +tp1272 +a(g9 +V+@ +p1273 +tp1274 +a(g145 +V; +tp1275 +a(g338 +V@b +p1276 +tp1277 +a(g287 +V<< +p1278 +tp1279 +a(g262 +V1 +tp1280 +a(g145 +V; +tp1281 +a(g6 +Vb2c +p1282 +tp1283 +a(g132 +V +tp1284 +a(g51 +Vend +p1285 +tp1286 +a(g145 +V; +tp1287 +a(g51 +Vdef +p1288 +tp1289 +a(g9 +V-@ +p1290 +tp1291 +a(g145 +V; +tp1292 +a(g338 +V@b +p1293 +tp1294 +a(g287 +V<< +p1295 +tp1296 +a(g262 +V0 +tp1297 +a(g145 +V; +tp1298 +a(g6 +Vb2c +p1299 +tp1300 +a(g132 +V +p1301 +tp1302 +a(g51 +Vend +p1303 +tp1304 +a(g132 +V\u000a +tp1305 +a(g51 +Vdef +p1306 +tp1307 +a(g132 +V +p1308 +tp1309 +a(g9 +Vb2c +p1310 +tp1311 +a(g145 +V; +tp1312 +a(g51 +Vif +p1313 +tp1314 +a(g132 +V +tp1315 +a(g338 +V@b +p1316 +tp1317 +a(g287 +V. +tp1318 +a(g6 +Vsize +p1319 +tp1320 +a(g287 +V== +p1321 +tp1322 +a(g262 +V8 +tp1323 +a(g145 +V; +tp1324 +a(g6 +Vc +tp1325 +a(g287 +V= +tp1326 +a(g262 +V0 +tp1327 +a(g145 +V; +tp1328 +a(g338 +V@b +p1329 +tp1330 +a(g287 +V. +tp1331 +a(g6 +Veach +p1332 +tp1333 +a(g145 +V{ +tp1334 +a(g287 +V| +tp1335 +a(g6 +Vb +tp1336 +a(g287 +V| +tp1337 +a(g6 +Vc +tp1338 +a(g287 +V<< +p1339 +tp1340 +a(g287 +V= +tp1341 +a(g262 +V1 +tp1342 +a(g145 +V; +tp1343 +a(g6 +Vc +tp1344 +a(g287 +V|= +p1345 +tp1346 +a(g6 +Vb +tp1347 +a(g145 +V} +tp1348 +a(g145 +V; +tp1349 +a(g317 +Vsend +p1350 +tp1351 +a(g145 +V( +tp1352 +a(g132 +V\u000a +p1353 +tp1354 +a(g214 +V'lave' +p1355 +tp1356 +a(g287 +V. +tp1357 +a(g6 +Vreverse +p1358 +tp1359 +a(g145 +V, +tp1360 +a(g145 +V( +tp1361 +a(g338 +V@p +p1362 +tp1363 +a(g287 +V. +tp1364 +a(g6 +Vjoin +p1365 +tp1366 +a(g145 +V) +tp1367 +a(g145 +V) +tp1368 +a(g51 +Vif +p1369 +tp1370 +a(g132 +V +tp1371 +a(g6 +Vc +tp1372 +a(g287 +V== +p1373 +tp1374 +a(g262 +V0 +tp1375 +a(g145 +V; +tp1376 +a(g338 +V@p +p1377 +tp1378 +a(g287 +V<< +p1379 +tp1380 +a(g132 +V +tp1381 +a(g6 +Vc +tp1382 +a(g287 +V. +tp1383 +a(g6 +Vchr +p1384 +tp1385 +a(g145 +V; +tp1386 +a(g338 +V@b +p1387 +tp1388 +a(g287 +V= +tp1389 +a(g287 +V[ +tp1390 +a(g287 +V] +tp1391 +a(g132 +V +tp1392 +a(g51 +Vend +p1393 +tp1394 +a(g132 +V\u000a +p1395 +tp1396 +a(g317 +Vself +p1397 +tp1398 +a(g132 +V +tp1399 +a(g51 +Vend +p1400 +tp1401 +a(g132 +V +tp1402 +a(g51 +Vend +p1403 +tp1404 +a(g132 +V +tp1405 +a(g145 +V; +tp1406 +a(g132 +V +tp1407 +a(g51 +Vbegin +p1408 +tp1409 +a(g132 +V +tp1410 +a(g6 +V_ +tp1411 +a(g132 +V +tp1412 +a(g287 +V= +tp1413 +a(g132 +V +tp1414 +a(g24 +VNP +p1415 +tp1416 +a(g287 +V. +tp1417 +a(g6 +Vnew +p1418 +tp1419 +a(g132 +V +p1420 +tp1421 +a(g51 +Vend +p1422 +tp1423 +a(g132 +V\u000a\u000a\u000a +p1424 +tp1425 +a(g37 +V# Regexes +p1426 +tp1427 +a(g132 +V\u000a +tp1428 +a(g172 +V/ +tp1429 +a(g172 +V\u000athis is a\u000amutliline\u000aregex\u000a +p1430 +tp1431 +a(g172 +V/ +tp1432 +a(g132 +V\u000a\u000a +p1433 +tp1434 +a(g6 +Vthis +p1435 +tp1436 +a(g172 +V /i +p1437 +tp1438 +a(g172 +Vs a\u000amultiline regex too +p1439 +tp1440 +a(g172 +V/ +tp1441 +a(g132 +V\u000a\u000a +p1442 +tp1443 +a(g6 +Valso +p1444 +tp1445 +a(g172 +V /4 +p1446 +tp1447 +a(g172 +V\u000ais one +p1448 +tp1449 +a(g172 +V/ +tp1450 +a(g132 +V\u000a\u000a +p1451 +tp1452 +a(g6 +Vthis +p1453 +tp1454 +a(g145 +V( +tp1455 +a(g172 +V/ +tp1456 +a(g172 +V\u000atoo\u000a +p1457 +tp1458 +a(g172 +V/ +tp1459 +a(g145 +V) +tp1460 +a(g132 +V\u000a\u000a +p1461 +tp1462 +a(g37 +V# this not +p1463 +tp1464 +a(g132 +V\u000a +tp1465 +a(g262 +V2 +tp1466 +a(g132 +V +tp1467 +a(g287 +V/ +tp1468 +a(g262 +V4 +tp1469 +a(g132 +V\u000a +tp1470 +a(g6 +Vasfsadf +p1471 +tp1472 +a(g287 +V/ +tp1473 +a(g132 +V\u000a\u000a\u000a +p1474 +tp1475 +a(g37 +V#from: http://coderay.rubychan.de/rays/show/383 +p1476 +tp1477 +a(g132 +V\u000a +tp1478 +a(g51 +Vclass +p1479 +tp1480 +a(g132 +V +tp1481 +a(g363 +VObject +p1482 +tp1483 +a(g132 +V\u000a +p1484 +tp1485 +a(g51 +Valias +p1486 +tp1487 +a(g132 +V +p1488 +tp1489 +a(g191 +V:xeq +p1490 +tp1491 +a(g132 +V +tp1492 +a(g191 +V:` +p1493 +tp1494 +a(g132 +V\u000a +p1495 +tp1496 +a(g51 +Vdef +p1497 +tp1498 +a(g132 +V +tp1499 +a(g9 +V` +tp1500 +a(g145 +V( +tp1501 +a(g6 +Vcmd +p1502 +tp1503 +a(g145 +V, +tp1504 +a(g132 +V +tp1505 +a(g6 +Vp2 +p1506 +tp1507 +a(g145 +V) +tp1508 +a(g132 +V\u000a +p1509 +tp1510 +a(g317 +Vself +p1511 +tp1512 +a(g287 +V. +tp1513 +a(g6 +Vmethod +p1514 +tp1515 +a(g145 +V( +tp1516 +a(g6 +Vcmd +p1517 +tp1518 +a(g287 +V. +tp1519 +a(g6 +Vto_sym +p1520 +tp1521 +a(g145 +V) +tp1522 +a(g287 +V. +tp1523 +a(g6 +Vcall +p1524 +tp1525 +a(g145 +V( +tp1526 +a(g6 +Vp2 +p1527 +tp1528 +a(g145 +V) +tp1529 +a(g132 +V\u000a +p1530 +tp1531 +a(g51 +Vend +p1532 +tp1533 +a(g132 +V\u000a +tp1534 +a(g51 +Vend +p1535 +tp1536 +a(g132 +V\u000a +tp1537 +a(g317 +Vp +tp1538 +a(g132 +V +tp1539 +a(g287 +V[ +tp1540 +a(g262 +V1 +tp1541 +a(g145 +V, +tp1542 +a(g262 +V2 +tp1543 +a(g145 +V, +tp1544 +a(g262 +V3 +tp1545 +a(g287 +V] +tp1546 +a(g287 +V. +tp1547 +a(g6 +V` +tp1548 +a(g145 +V( +tp1549 +a(g214 +V'concat' +p1550 +tp1551 +a(g145 +V, +tp1552 +a(g132 +V +tp1553 +a(g287 +V[ +tp1554 +a(g262 +V4 +tp1555 +a(g145 +V, +tp1556 +a(g262 +V5 +tp1557 +a(g145 +V, +tp1558 +a(g262 +V6 +tp1559 +a(g287 +V] +tp1560 +a(g145 +V) +tp1561 +a(g132 +V +tp1562 +a(g37 +V# => [1, 2, 3, 4, 5, 6] +p1563 +tp1564 +a(g132 +V\u000a +tp1565 +a(g317 +Vp +tp1566 +a(g132 +V +tp1567 +a(g287 +V[ +tp1568 +a(g262 +V1 +tp1569 +a(g145 +V, +tp1570 +a(g262 +V2 +tp1571 +a(g145 +V, +tp1572 +a(g262 +V3 +tp1573 +a(g287 +V] +tp1574 +a(g287 +V. +tp1575 +a(g6 +V` +tp1576 +a(g145 +V( +tp1577 +a(g191 +V:concat +p1578 +tp1579 +a(g145 +V, +tp1580 +a(g132 +V +tp1581 +a(g287 +V[ +tp1582 +a(g262 +V4 +tp1583 +a(g145 +V, +tp1584 +a(g262 +V5 +tp1585 +a(g145 +V, +tp1586 +a(g262 +V6 +tp1587 +a(g287 +V] +tp1588 +a(g145 +V) +tp1589 +a(g132 +V +tp1590 +a(g37 +V# => [1, 2, 3, 4, 5, 6] +p1591 +tp1592 +a(g132 +V\u000a +tp1593 +a(g317 +Vp +tp1594 +a(g132 +V +tp1595 +a(g188 +V" +tp1596 +a(g188 +VHurra! +p1597 +tp1598 +a(g188 +V" +tp1599 +a(g287 +V. +tp1600 +a(g6 +V` +tp1601 +a(g145 +V( +tp1602 +a(g191 +V:* +p1603 +tp1604 +a(g145 +V, +tp1605 +a(g132 +V +tp1606 +a(g262 +V3 +tp1607 +a(g145 +V) +tp1608 +a(g132 +V +tp1609 +a(g37 +V# => "Hurra! Hurra! Hurra! " +p1610 +tp1611 +a(g132 +V\u000a +tp1612 +a(g317 +Vp +tp1613 +a(g132 +V +tp1614 +a(g188 +V" +tp1615 +a(g188 +VHurra! +p1616 +tp1617 +a(g188 +V" +tp1618 +a(g287 +V. +tp1619 +a(g6 +V` +tp1620 +a(g145 +V( +tp1621 +a(g214 +V'*' +p1622 +tp1623 +a(g145 +V, +tp1624 +a(g132 +V +tp1625 +a(g262 +V3 +tp1626 +a(g145 +V) +tp1627 +a(g132 +V +tp1628 +a(g37 +V# => "Hurra! Hurra! Hurra! " +p1629 +tp1630 +a(g132 +V\u000a +tp1631 +a(g37 +V# Leider geht nicht die Wunschform +p1632 +tp1633 +a(g132 +V\u000a +tp1634 +a(g37 +V# [1,2,3] `concat` [4,5,6] +p1635 +tp1636 +a(g132 +V\u000a\u000a +p1637 +tp1638 +a(g51 +Vclass +p1639 +tp1640 +a(g132 +V +tp1641 +a(g363 +VObject +p1642 +tp1643 +a(g132 +V\u000a +p1644 +tp1645 +a(g347 +V@@infixops +p1646 +tp1647 +a(g132 +V +tp1648 +a(g287 +V= +tp1649 +a(g132 +V +tp1650 +a(g287 +V[ +tp1651 +a(g287 +V] +tp1652 +a(g132 +V\u000a +p1653 +tp1654 +a(g51 +Valias +p1655 +tp1656 +a(g132 +V +tp1657 +a(g191 +V:xeq +p1658 +tp1659 +a(g132 +V +tp1660 +a(g191 +V:` +p1661 +tp1662 +a(g132 +V\u000a +p1663 +tp1664 +a(g51 +Vdef +p1665 +tp1666 +a(g132 +V +tp1667 +a(g9 +Vaddinfix +p1668 +tp1669 +a(g145 +V( +tp1670 +a(g6 +Voperator +p1671 +tp1672 +a(g145 +V) +tp1673 +a(g132 +V\u000a +p1674 +tp1675 +a(g347 +V@@infixops +p1676 +tp1677 +a(g132 +V +tp1678 +a(g287 +V<< +p1679 +tp1680 +a(g132 +V +tp1681 +a(g6 +Voperator +p1682 +tp1683 +a(g132 +V\u000a +p1684 +tp1685 +a(g51 +Vend +p1686 +tp1687 +a(g132 +V\u000a +p1688 +tp1689 +a(g51 +Vdef +p1690 +tp1691 +a(g132 +V +tp1692 +a(g9 +V` +tp1693 +a(g145 +V( +tp1694 +a(g6 +Vexpression +p1695 +tp1696 +a(g145 +V) +tp1697 +a(g132 +V\u000a +p1698 +tp1699 +a(g347 +V@@infixops +p1700 +tp1701 +a(g287 +V. +tp1702 +a(g6 +Veach +p1703 +tp1704 +a(g145 +V{ +tp1705 +a(g287 +V| +tp1706 +a(g6 +Vop +p1707 +tp1708 +a(g287 +V| +tp1709 +a(g51 +Vbreak +p1710 +tp1711 +a(g132 +V +tp1712 +a(g51 +Vif +p1713 +tp1714 +a(g132 +V +tp1715 +a(g6 +Vexpression +p1716 +tp1717 +a(g287 +V. +tp1718 +a(g6 +Vmatch +p1719 +tp1720 +a(g145 +V( +tp1721 +a(g172 +V/ +tp1722 +a(g172 +V^(.*?) ( +p1723 +tp1724 +a(g176 +V#{ +p1725 +tp1726 +a(g6 +Vop +p1727 +tp1728 +a(g176 +V} +tp1729 +a(g172 +V) (.*)$ +p1730 +tp1731 +a(g172 +V/ +tp1732 +a(g145 +V) +tp1733 +a(g145 +V} +tp1734 +a(g132 +V\u000a +p1735 +tp1736 +a(g51 +Vraise +p1737 +tp1738 +a(g132 +V +tp1739 +a(g188 +V" +tp1740 +a(g188 +Vunknown infix operator in expression: +p1741 +tp1742 +a(g176 +V#{ +p1743 +tp1744 +a(g6 +Vexpression +p1745 +tp1746 +a(g176 +V} +tp1747 +a(g188 +V" +tp1748 +a(g132 +V +tp1749 +a(g51 +Vif +p1750 +tp1751 +a(g132 +V +tp1752 +a(g334 +V$2 +p1753 +tp1754 +a(g132 +V +tp1755 +a(g287 +V== +p1756 +tp1757 +a(g132 +V +tp1758 +a(g65 +Vnil +p1759 +tp1760 +a(g132 +V\u000a +p1761 +tp1762 +a(g317 +Veval +p1763 +tp1764 +a(g145 +V( +tp1765 +a(g334 +V$1 +p1766 +tp1767 +a(g145 +V) +tp1768 +a(g287 +V. +tp1769 +a(g6 +Vmethod +p1770 +tp1771 +a(g145 +V( +tp1772 +a(g334 +V$2 +p1773 +tp1774 +a(g287 +V. +tp1775 +a(g6 +Vto_sym +p1776 +tp1777 +a(g145 +V) +tp1778 +a(g287 +V. +tp1779 +a(g6 +Vcall +p1780 +tp1781 +a(g145 +V( +tp1782 +a(g317 +Veval +p1783 +tp1784 +a(g145 +V( +tp1785 +a(g334 +V$3 +p1786 +tp1787 +a(g145 +V) +tp1788 +a(g145 +V) +tp1789 +a(g132 +V\u000a +p1790 +tp1791 +a(g51 +Vend +p1792 +tp1793 +a(g132 +V\u000a +tp1794 +a(g51 +Vend +p1795 +tp1796 +a(g132 +V\u000a +tp1797 +a(g6 +Vaddinfix +p1798 +tp1799 +a(g145 +V( +tp1800 +a(g188 +V" +tp1801 +a(g188 +Vconcat +p1802 +tp1803 +a(g188 +V" +tp1804 +a(g145 +V) +tp1805 +a(g132 +V\u000a +tp1806 +a(g317 +Vp +tp1807 +a(g132 +V +tp1808 +a(g207 +V` +tp1809 +a(g207 +V[1,2,3] concat [4,5,6] +p1810 +tp1811 +a(g207 +V` +tp1812 +a(g132 +V +tp1813 +a(g37 +V# => [1, 2, 3, 4, 5, 6] +p1814 +tp1815 +a(g132 +V\u000a\u000a\u000a +p1816 +tp1817 +a(g37 +V# HEREDOC FUN!!!!!!!1111 +p1818 +tp1819 +a(g132 +V\u000a +tp1820 +a(g6 +Vfoo +p1821 +tp1822 +a(g145 +V( +tp1823 +a(g287 +V<< +p1824 +tp1825 +a(g184 +V +tp1826 +a(g24 +VA +tp1827 +a(g184 +V +tp1828 +a(g145 +V, +tp1829 +a(g132 +V +tp1830 +a(g287 +V<<- +p1831 +tp1832 +a(g184 +V +tp1833 +a(g24 +VB +tp1834 +a(g184 +V +tp1835 +a(g145 +V, +tp1836 +a(g132 +V +tp1837 +a(g287 +V<< +p1838 +tp1839 +a(g184 +V +tp1840 +a(g24 +VC +tp1841 +a(g184 +V +tp1842 +a(g145 +V) +tp1843 +a(g132 +V\u000a +tp1844 +a(g184 +Vthis is the text of a\u000a +p1845 +tp1846 +a(g184 +V A!!!!\u000a +p1847 +tp1848 +a(g24 +VA\u000a +p1849 +tp1850 +a(g184 +Vand this is text of B!!!!!!111\u000a +p1851 +tp1852 +a(g24 +V B\u000a +p1853 +tp1854 +a(g184 +Vand here some C\u000a +p1855 +tp1856 +a(g24 +VC\u000a +p1857 +tp1858 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.rhtml b/tests/examplefiles/output/test.rhtml new file mode 100644 index 0000000..f6ef7e5 --- /dev/null +++ b/tests/examplefiles/output/test.rhtml @@ -0,0 +1,2368 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Name' +p5 +S'Tag' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Function' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsS'Exception' +p19 +g2 +(g3 +g4 +(g5 +g19 +ttRp20 +(dp21 +g9 +g10 +((ltRp22 +sg12 +g13 +sbsg6 +g7 +sS'Constant' +p23 +g2 +(g3 +g4 +(g5 +g23 +ttRp24 +(dp25 +g9 +g10 +((ltRp26 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp27 +(dp28 +S'Comment' +p29 +g2 +(g3 +g4 +(g29 +ttRp30 +(dp31 +g12 +g27 +sS'Preproc' +p32 +g2 +(g3 +g4 +(g29 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g30 +sbsS'Single' +p36 +g2 +(g3 +g4 +(g29 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g30 +sbsS'Multiline' +p40 +g2 +(g3 +g4 +(g29 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g30 +sbsg9 +g10 +((lp44 +g2 +(g3 +g4 +(g29 +S'Special' +p45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g30 +sbag33 +ag37 +ag41 +atRp49 +sg45 +g46 +sbsg5 +g13 +sS'Keyword' +p50 +g2 +(g3 +g4 +(g50 +ttRp51 +(dp52 +S'Pervasive' +p53 +g2 +(g3 +g4 +(g50 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g51 +sbsg23 +g2 +(g3 +g4 +(g50 +g23 +ttRp57 +(dp58 +g9 +g10 +((ltRp59 +sg12 +g51 +sbsg12 +g27 +sS'Namespace' +p60 +g2 +(g3 +g4 +(g50 +g60 +ttRp61 +(dp62 +g9 +g10 +((ltRp63 +sg12 +g51 +sbsS'Pseudo' +p64 +g2 +(g3 +g4 +(g50 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g51 +sbsS'Reserved' +p68 +g2 +(g3 +g4 +(g50 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g51 +sbsS'Declaration' +p72 +g2 +(g3 +g4 +(g50 +g72 +ttRp73 +(dp74 +g9 +g10 +((ltRp75 +sg12 +g51 +sbsS'Variable' +p76 +g2 +(g3 +g4 +(g50 +g76 +ttRp77 +(dp78 +g9 +g10 +((ltRp79 +sg12 +g51 +sbsg9 +g10 +((lp80 +g57 +ag69 +ag2 +(g3 +g4 +(g50 +S'Type' +p81 +ttRp82 +(dp83 +g9 +g10 +((ltRp84 +sg12 +g51 +sbag54 +ag73 +ag77 +ag61 +ag65 +atRp85 +sg81 +g82 +sbsS'Generic' +p86 +g2 +(g3 +g4 +(g86 +ttRp87 +(dp88 +S'Prompt' +p89 +g2 +(g3 +g4 +(g86 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g87 +sbsg12 +g27 +sS'Deleted' +p93 +g2 +(g3 +g4 +(g86 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g87 +sbsS'Traceback' +p97 +g2 +(g3 +g4 +(g86 +g97 +ttRp98 +(dp99 +g9 +g10 +((ltRp100 +sg12 +g87 +sbsS'Emph' +p101 +g2 +(g3 +g4 +(g86 +g101 +ttRp102 +(dp103 +g9 +g10 +((ltRp104 +sg12 +g87 +sbsS'Output' +p105 +g2 +(g3 +g4 +(g86 +g105 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g87 +sbsS'Subheading' +p109 +g2 +(g3 +g4 +(g86 +g109 +ttRp110 +(dp111 +g9 +g10 +((ltRp112 +sg12 +g87 +sbsS'Error' +p113 +g2 +(g3 +g4 +(g86 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g87 +sbsg9 +g10 +((lp117 +g106 +ag102 +ag114 +ag110 +ag98 +ag94 +ag2 +(g3 +g4 +(g86 +S'Heading' +p118 +ttRp119 +(dp120 +g9 +g10 +((ltRp121 +sg12 +g87 +sbag2 +(g3 +g4 +(g86 +S'Inserted' +p122 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g87 +sbag2 +(g3 +g4 +(g86 +S'Strong' +p126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g87 +sbag90 +atRp130 +sg126 +g127 +sg122 +g123 +sg118 +g119 +sbsS'Text' +p131 +g2 +(g3 +g4 +(g131 +ttRp132 +(dp133 +g9 +g10 +((lp134 +g2 +(g3 +g4 +(g131 +S'Symbol' +p135 +ttRp136 +(dp137 +g9 +g10 +((ltRp138 +sg12 +g132 +sbag2 +(g3 +g4 +(g131 +S'Whitespace' +p139 +ttRp140 +(dp141 +g9 +g10 +((ltRp142 +sg12 +g132 +sbatRp143 +sg135 +g136 +sg139 +g140 +sg12 +g27 +sbsS'Punctuation' +p144 +g2 +(g3 +g4 +(g144 +ttRp145 +(dp146 +g9 +g10 +((lp147 +g2 +(g3 +g4 +(g144 +S'Indicator' +p148 +ttRp149 +(dp150 +g9 +g10 +((ltRp151 +sg12 +g145 +sbatRp152 +sg148 +g149 +sg12 +g27 +sbsS'Token' +p153 +g27 +sS'Number' +p154 +g2 +(g3 +g4 +(S'Literal' +p155 +g154 +ttRp156 +(dp157 +S'Bin' +p158 +g2 +(g3 +g4 +(g155 +g154 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g156 +sbsS'Binary' +p162 +g2 +(g3 +g4 +(g155 +g154 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g156 +sbsg12 +g2 +(g3 +g4 +(g155 +ttRp166 +(dp167 +S'String' +p168 +g2 +(g3 +g4 +(g155 +g168 +ttRp169 +(dp170 +S'Regex' +p171 +g2 +(g3 +g4 +(g155 +g168 +g171 +ttRp172 +(dp173 +g9 +g10 +((ltRp174 +sg12 +g169 +sbsS'Interpol' +p175 +g2 +(g3 +g4 +(g155 +g168 +g175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g169 +sbsS'Regexp' +p179 +g2 +(g3 +g4 +(g155 +g168 +g179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g169 +sbsg12 +g166 +sS'Heredoc' +p183 +g2 +(g3 +g4 +(g155 +g168 +g183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g169 +sbsS'Double' +p187 +g2 +(g3 +g4 +(g155 +g168 +g187 +ttRp188 +(dp189 +g9 +g10 +((ltRp190 +sg12 +g169 +sbsg135 +g2 +(g3 +g4 +(g155 +g168 +g135 +ttRp191 +(dp192 +g9 +g10 +((ltRp193 +sg12 +g169 +sbsS'Escape' +p194 +g2 +(g3 +g4 +(g155 +g168 +g194 +ttRp195 +(dp196 +g9 +g10 +((ltRp197 +sg12 +g169 +sbsS'Character' +p198 +g2 +(g3 +g4 +(g155 +g168 +g198 +ttRp199 +(dp200 +g9 +g10 +((ltRp201 +sg12 +g169 +sbsS'Interp' +p202 +g2 +(g3 +g4 +(g155 +g168 +g202 +ttRp203 +(dp204 +g9 +g10 +((ltRp205 +sg12 +g169 +sbsS'Backtick' +p206 +g2 +(g3 +g4 +(g155 +g168 +g206 +ttRp207 +(dp208 +g9 +g10 +((ltRp209 +sg12 +g169 +sbsS'Char' +p210 +g2 +(g3 +g4 +(g155 +g168 +g210 +ttRp211 +(dp212 +g9 +g10 +((ltRp213 +sg12 +g169 +sbsg36 +g2 +(g3 +g4 +(g155 +g168 +g36 +ttRp214 +(dp215 +g9 +g10 +((ltRp216 +sg12 +g169 +sbsS'Other' +p217 +g2 +(g3 +g4 +(g155 +g168 +g217 +ttRp218 +(dp219 +g9 +g10 +((ltRp220 +sg12 +g169 +sbsS'Doc' +p221 +g2 +(g3 +g4 +(g155 +g168 +g221 +ttRp222 +(dp223 +g9 +g10 +((ltRp224 +sg12 +g169 +sbsg9 +g10 +((lp225 +g218 +ag2 +(g3 +g4 +(g155 +g168 +S'Atom' +p226 +ttRp227 +(dp228 +g9 +g10 +((ltRp229 +sg12 +g169 +sbag188 +ag211 +ag203 +ag222 +ag184 +ag207 +ag176 +ag191 +ag180 +ag172 +ag214 +ag199 +ag195 +atRp230 +sg226 +g227 +sbsg12 +g27 +sg154 +g156 +sS'Scalar' +p231 +g2 +(g3 +g4 +(g155 +g231 +ttRp232 +(dp233 +g9 +g10 +((lp234 +g2 +(g3 +g4 +(g155 +g231 +S'Plain' +p235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g232 +sbatRp239 +sg12 +g166 +sg235 +g236 +sbsg217 +g2 +(g3 +g4 +(g155 +g217 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g166 +sbsS'Date' +p243 +g2 +(g3 +g4 +(g155 +g243 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g166 +sbsg9 +g10 +((lp247 +g244 +ag169 +ag240 +ag156 +ag232 +atRp248 +sbsS'Decimal' +p249 +g2 +(g3 +g4 +(g155 +g154 +g249 +ttRp250 +(dp251 +g9 +g10 +((ltRp252 +sg12 +g156 +sbsS'Float' +p253 +g2 +(g3 +g4 +(g155 +g154 +g253 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g156 +sbsS'Hex' +p257 +g2 +(g3 +g4 +(g155 +g154 +g257 +ttRp258 +(dp259 +g9 +g10 +((ltRp260 +sg12 +g156 +sbsS'Integer' +p261 +g2 +(g3 +g4 +(g155 +g154 +g261 +ttRp262 +(dp263 +g9 +g10 +((lp264 +g2 +(g3 +g4 +(g155 +g154 +g261 +S'Long' +p265 +ttRp266 +(dp267 +g9 +g10 +((ltRp268 +sg12 +g262 +sbatRp269 +sg265 +g266 +sg12 +g156 +sbsS'Octal' +p270 +g2 +(g3 +g4 +(g155 +g154 +g270 +ttRp271 +(dp272 +g9 +g10 +((ltRp273 +sg12 +g156 +sbsg9 +g10 +((lp274 +g159 +ag163 +ag271 +ag250 +ag2 +(g3 +g4 +(g155 +g154 +S'Oct' +p275 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g156 +sbag262 +ag254 +ag258 +atRp279 +sg275 +g276 +sbsg155 +g166 +sg217 +g2 +(g3 +g4 +(g217 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g27 +sbsg113 +g2 +(g3 +g4 +(g113 +ttRp283 +(dp284 +g9 +g10 +((ltRp285 +sg12 +g27 +sbsS'Operator' +p286 +g2 +(g3 +g4 +(g286 +ttRp287 +(dp288 +g9 +g10 +((lp289 +g2 +(g3 +g4 +(g286 +S'Word' +p290 +ttRp291 +(dp292 +g9 +g10 +((ltRp293 +sg12 +g287 +sbatRp294 +sg290 +g291 +sg12 +g27 +sbsg9 +g10 +((lp295 +g30 +ag283 +ag87 +ag132 +ag13 +ag145 +ag51 +ag166 +ag287 +ag280 +atRp296 +sg168 +g169 +sbsg64 +g2 +(g3 +g4 +(g5 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g13 +sbsS'Attribute' +p300 +g2 +(g3 +g4 +(g5 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g13 +sbsS'Label' +p304 +g2 +(g3 +g4 +(g5 +g304 +ttRp305 +(dp306 +g9 +g10 +((ltRp307 +sg12 +g13 +sbsS'Blubb' +p308 +g2 +(g3 +g4 +(g5 +g308 +ttRp309 +(dp310 +g9 +g10 +((ltRp311 +sg12 +g13 +sbsS'Entity' +p312 +g2 +(g3 +g4 +(g5 +g312 +ttRp313 +(dp314 +g9 +g10 +((ltRp315 +sg12 +g13 +sbsS'Builtin' +p316 +g2 +(g3 +g4 +(g5 +g316 +ttRp317 +(dp318 +g9 +g10 +((lp319 +g2 +(g3 +g4 +(g5 +g316 +g64 +ttRp320 +(dp321 +g9 +g10 +((ltRp322 +sg12 +g317 +sbatRp323 +sg64 +g320 +sg12 +g13 +sbsg217 +g2 +(g3 +g4 +(g5 +g217 +ttRp324 +(dp325 +g9 +g10 +((ltRp326 +sg12 +g13 +sbsS'Identifier' +p327 +g2 +(g3 +g4 +(g5 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g13 +sbsg76 +g2 +(g3 +g4 +(g5 +g76 +ttRp331 +(dp332 +g12 +g13 +sS'Global' +p333 +g2 +(g3 +g4 +(g5 +g76 +g333 +ttRp334 +(dp335 +g9 +g10 +((ltRp336 +sg12 +g331 +sbsS'Instance' +p337 +g2 +(g3 +g4 +(g5 +g76 +g337 +ttRp338 +(dp339 +g9 +g10 +((ltRp340 +sg12 +g331 +sbsS'Anonymous' +p341 +g2 +(g3 +g4 +(g5 +g76 +g341 +ttRp342 +(dp343 +g9 +g10 +((ltRp344 +sg12 +g331 +sbsg9 +g10 +((lp345 +g342 +ag338 +ag334 +ag2 +(g3 +g4 +(g5 +g76 +S'Class' +p346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g331 +sbatRp350 +sg346 +g347 +sbsg9 +g10 +((lp351 +g2 +(g3 +g4 +(g5 +S'Decorator' +p352 +ttRp353 +(dp354 +g9 +g10 +((ltRp355 +sg12 +g13 +sbag301 +ag24 +ag297 +ag2 +(g3 +g4 +(g5 +g60 +ttRp356 +(dp357 +g9 +g10 +((ltRp358 +sg12 +g13 +sbag328 +ag317 +ag331 +ag324 +ag309 +ag313 +ag16 +ag2 +(g3 +g4 +(g5 +S'Property' +p359 +ttRp360 +(dp361 +g9 +g10 +((ltRp362 +sg12 +g13 +sbag305 +ag7 +ag20 +ag2 +(g3 +g4 +(g5 +g346 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbatRp366 +sg359 +g360 +sg346 +g363 +sg352 +g353 +sg60 +g356 +sbsbV +tp369 +a(g132 +V\u000a +p370 +tp371 +a(g33 +V<% +p372 +tp373 +a(g132 +V +tp374 +a(g13 +Vrows +p375 +tp376 +a(g287 +V. +tp377 +a(g13 +Veach +p378 +tp379 +a(g132 +V +tp380 +a(g51 +Vdo +p381 +tp382 +a(g132 +V +tp383 +a(g287 +V| +tp384 +a(g13 +Vrow +p385 +tp386 +a(g287 +V| +tp387 +a(g132 +V +tp388 +a(g33 +V%> +p389 +tp390 +a(g132 +V\u000a +p391 +tp392 +a(g7 +V +p408 +tp409 +a(g169 +V" +tp410 +a(g7 +V> +tp411 +a(g132 +V\u000a +p412 +tp413 +a(g7 +V +p450 +tp451 +a(g132 +V\u000a +p452 +tp453 +a(g7 +V +p469 +tp470 +a(g132 +V\u000a +p471 +tp472 +a(g7 +V +p473 +tp474 +a(g132 +V\u000a +p475 +tp476 +a(g33 +V<% +p477 +tp478 +a(g132 +V +tp479 +a(g51 +Vend +p480 +tp481 +a(g132 +V +tp482 +a(g33 +V%> +p483 +tp484 +a(g132 +V\u000a +tp485 +a(g7 +V
    +tp416 +a(g7 +V +p432 +tp433 +a(g169 +V" +tp434 +a(g7 +V> +tp435 +a(g33 +V<%= +p436 +tp437 +a(g132 +V +tp438 +a(g13 +Vitem +p439 +tp440 +a(g287 +V. +tp441 +a(g13 +Vtitle +p442 +tp443 +a(g132 +V +tp444 +a(g33 +V%> +p445 +tp446 +a(g7 +V +tp447 +a(g7 +V +p448 +tp449 +a(g7 +V +tp456 +a(g33 +V<%= +p457 +tp458 +a(g132 +V +tp459 +a(g13 +Vitem +p460 +tp461 +a(g287 +V. +tp462 +a(g13 +Vdescription +p463 +tp464 +a(g132 +V +tp465 +a(g33 +V%> +p466 +tp467 +a(g7 +V +tp468 +a(g7 +V
    +p486 +tp487 +a(g132 +V\u000a\u000a\u000a +p488 +tp489 +a(g7 +V

    +tp492 +a(g132 +VPages +p493 +tp494 +a(g7 +V

    +p495 +tp496 +a(g132 +V\u000a\u000a +p497 +tp498 +a(g7 +V +tp526 +a(g132 +V\u000a +p527 +tp528 +a(g7 +V +tp531 +a(g132 +V\u000a +p532 +tp533 +a(g7 +V +tp536 +a(g132 +V\u000a +p537 +tp538 +a(g7 +V +p549 +tp550 +a(g132 +V\u000a +p551 +tp552 +a(g7 +V +p563 +tp564 +a(g132 +V\u000a +p565 +tp566 +a(g7 +V +p582 +tp583 +a(g132 +V\u000a +p584 +tp585 +a(g7 +V +p586 +tp587 +a(g132 +V\u000a +p588 +tp589 +a(g7 +V +p590 +tp591 +a(g132 +V\u000a +p592 +tp593 +a(g7 +V +tp596 +a(g132 +V\u000a +tp597 +a(g33 +V<% +p598 +tp599 +a(g132 +V +tp600 +a(g51 +Vif +p601 +tp602 +a(g132 +V +tp603 +a(g338 +V@homepage +p604 +tp605 +a(g132 +V +tp606 +a(g33 +V-%> +p607 +tp608 +a(g132 +V\u000a +tp609 +a(g33 +V<%= +p610 +tp611 +a(g132 +V +tp612 +a(g13 +Vrender_node +p613 +tp614 +a(g132 +V +tp615 +a(g338 +V@homepage +p616 +tp617 +a(g132 +V +tp618 +a(g33 +V-%> +p619 +tp620 +a(g132 +V\u000a +tp621 +a(g33 +V<% +p622 +tp623 +a(g132 +V +tp624 +a(g51 +Velse +p625 +tp626 +a(g132 +V +tp627 +a(g33 +V-%> +p628 +tp629 +a(g132 +V\u000a +p630 +tp631 +a(g7 +V +tp634 +a(g132 +V\u000a +p635 +tp636 +a(g7 +V +p652 +tp653 +a(g132 +V\u000a +p654 +tp655 +a(g7 +V +p656 +tp657 +a(g132 +V\u000a +tp658 +a(g33 +V<% +p659 +tp660 +a(g132 +V +tp661 +a(g51 +Vend +p662 +tp663 +a(g132 +V +tp664 +a(g33 +V-%> +p665 +tp666 +a(g132 +V\u000a +p667 +tp668 +a(g7 +V +p669 +tp670 +a(g132 +V\u000a +tp671 +a(g7 +V
    +tp546 +a(g132 +VPage +p547 +tp548 +a(g7 +V +tp560 +a(g132 +VStatus +p561 +tp562 +a(g7 +V +tp579 +a(g132 +VModify +p580 +tp581 +a(g7 +V
    +tp649 +a(g132 +VNo Pages +p650 +tp651 +a(g7 +V
    +p672 +tp673 +a(g132 +V\u000a +tp674 +a(g7 +V +p705 +tp706 +a(g132 +V\u000a +tp707 +a(g7 +V
    +p720 +tp721 +a(g169 +V" +tp722 +a(g132 +V +tp723 +a(g301 +Vmethod= +p724 +tp725 +a(g169 +V"post" +p726 +tp727 +a(g7 +V> +tp728 +a(g132 +V\u000a +p729 +tp730 +a(g7 +V

    +tp733 +a(g132 +V\u000a +tp734 +a(g33 +V<% +p735 +tp736 +a(g132 +V +tp737 +a(g51 +Vunless +p738 +tp739 +a(g132 +V +tp740 +a(g338 +V@homepage +p741 +tp742 +a(g132 +V +tp743 +a(g33 +V-%> +p744 +tp745 +a(g132 +V\u000a +p746 +tp747 +a(g33 +V<%= +p748 +tp749 +a(g132 +V +tp750 +a(g13 +Vlink_to +p751 +tp752 +a(g132 +V +tp753 +a(g13 +Vimage_tag +p754 +tp755 +a(g145 +V( +tp756 +a(g214 +V'new-homepage' +p757 +tp758 +a(g145 +V, +tp759 +a(g132 +V +tp760 +a(g191 +V:alt +p761 +tp762 +a(g132 +V +tp763 +a(g287 +V= +tp764 +a(g287 +V> +tp765 +a(g132 +V +tp766 +a(g214 +V'New Homepage' +p767 +tp768 +a(g145 +V) +tp769 +a(g145 +V, +tp770 +a(g132 +V +tp771 +a(g13 +Vhomepage_new_url +p772 +tp773 +a(g132 +V +tp774 +a(g33 +V%> +p775 +tp776 +a(g132 +V\u000a +tp777 +a(g33 +V<% +p778 +tp779 +a(g132 +V +tp780 +a(g51 +Vend +p781 +tp782 +a(g132 +V +tp783 +a(g33 +V-%> +p784 +tp785 +a(g132 +V\u000a +p786 +tp787 +a(g33 +V<%= +p788 +tp789 +a(g132 +V +tp790 +a(g13 +Vimage_submit_tag +p791 +tp792 +a(g132 +V +tp793 +a(g214 +V'clear-page-cache' +p794 +tp795 +a(g132 +V +tp796 +a(g33 +V%> +p797 +tp798 +a(g132 +V\u000a +p799 +tp800 +a(g7 +V

    +p801 +tp802 +a(g132 +V\u000a +tp803 +a(g7 +V
    +p804 +tp805 +a(g132 +V\u000a +tp806 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.tcsh b/tests/examplefiles/output/test.tcsh new file mode 100644 index 0000000..6fccadc --- /dev/null +++ b/tests/examplefiles/output/test.tcsh @@ -0,0 +1,15440 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +S'Pervasive' +p109 +g2 +(g3 +g4 +(g106 +g109 +ttRp110 +(dp111 +g17 +g18 +((ltRp112 +sg8 +g107 +sbsg28 +g2 +(g3 +g4 +(g106 +g28 +ttRp113 +(dp114 +g17 +g18 +((ltRp115 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp116 +(dp117 +g17 +g18 +((ltRp118 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g106 +g126 +ttRp127 +(dp128 +g17 +g18 +((ltRp129 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp130 +(dp131 +g17 +g18 +((ltRp132 +sg8 +g107 +sbsg17 +g18 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g106 +S'Type' +p134 +ttRp135 +(dp136 +g17 +g18 +((ltRp137 +sg8 +g107 +sbag110 +ag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g140 +sbsg8 +g9 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g17 +g18 +((ltRp169 +sg8 +g140 +sbsg17 +g18 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g17 +g18 +((ltRp182 +sg8 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g17 +g18 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g17 +g18 +((ltRp195 +sg8 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg8 +g9 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g17 +g18 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g17 +g18 +((ltRp204 +sg8 +g198 +sbatRp205 +sg201 +g202 +sg8 +g9 +sbsS'Token' +p206 +g9 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g17 +g18 +((ltRp218 +sg8 +g209 +sbsg8 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g222 +sbsg8 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g17 +g18 +((ltRp243 +sg8 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g222 +sbsS'Single' +p267 +g2 +(g3 +g4 +(g208 +g221 +g267 +ttRp268 +(dp269 +g17 +g18 +((ltRp270 +sg8 +g222 +sbsg60 +g2 +(g3 +g4 +(g208 +g221 +g60 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g222 +sbsS'Doc' +p274 +g2 +(g3 +g4 +(g208 +g221 +g274 +ttRp275 +(dp276 +g17 +g18 +((ltRp277 +sg8 +g222 +sbsg17 +g18 +((lp278 +g271 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p279 +ttRp280 +(dp281 +g17 +g18 +((ltRp282 +sg8 +g222 +sbag241 +ag264 +ag256 +ag275 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag268 +ag252 +ag248 +atRp283 +sg279 +g280 +sbsg8 +g9 +sg207 +g209 +sS'Scalar' +p284 +g2 +(g3 +g4 +(g208 +g284 +ttRp285 +(dp286 +g17 +g18 +((lp287 +g2 +(g3 +g4 +(g208 +g284 +S'Plain' +p288 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g285 +sbatRp292 +sg8 +g219 +sg288 +g289 +sbsg60 +g2 +(g3 +g4 +(g208 +g60 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g219 +sbsS'Date' +p296 +g2 +(g3 +g4 +(g208 +g296 +ttRp297 +(dp298 +g17 +g18 +((ltRp299 +sg8 +g219 +sbsg17 +g18 +((lp300 +g297 +ag222 +ag293 +ag209 +ag285 +atRp301 +sbsS'Decimal' +p302 +g2 +(g3 +g4 +(g208 +g207 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g209 +sbsS'Float' +p306 +g2 +(g3 +g4 +(g208 +g207 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g209 +sbsS'Hex' +p310 +g2 +(g3 +g4 +(g208 +g207 +g310 +ttRp311 +(dp312 +g17 +g18 +((ltRp313 +sg8 +g209 +sbsS'Integer' +p314 +g2 +(g3 +g4 +(g208 +g207 +g314 +ttRp315 +(dp316 +g17 +g18 +((lp317 +g2 +(g3 +g4 +(g208 +g207 +g314 +S'Long' +p318 +ttRp319 +(dp320 +g17 +g18 +((ltRp321 +sg8 +g315 +sbatRp322 +sg318 +g319 +sg8 +g209 +sbsS'Octal' +p323 +g2 +(g3 +g4 +(g208 +g207 +g323 +ttRp324 +(dp325 +g17 +g18 +((ltRp326 +sg8 +g209 +sbsg17 +g18 +((lp327 +g212 +ag216 +ag324 +ag303 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p328 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g209 +sbag315 +ag307 +ag311 +atRp332 +sg328 +g329 +sbsg208 +g219 +sg60 +g2 +(g3 +g4 +(g60 +ttRp333 +(dp334 +g17 +g18 +((ltRp335 +sg8 +g9 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp336 +(dp337 +g17 +g18 +((ltRp338 +sg8 +g9 +sbsS'Operator' +p339 +g2 +(g3 +g4 +(g339 +ttRp340 +(dp341 +g17 +g18 +((lp342 +g2 +(g3 +g4 +(g339 +S'Word' +p343 +ttRp344 +(dp345 +g17 +g18 +((ltRp346 +sg8 +g340 +sbatRp347 +sg343 +g344 +sg8 +g9 +sbsg17 +g18 +((lp348 +g6 +ag336 +ag140 +ag185 +ag12 +ag198 +ag107 +ag219 +ag340 +ag333 +atRp349 +sg221 +g222 +sbsS'Preproc' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g17 +g18 +((ltRp353 +sg8 +g6 +sbsg267 +g2 +(g3 +g4 +(g5 +g267 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g17 +g18 +((ltRp360 +sg8 +g6 +sbsg17 +g18 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g17 +g18 +((ltRp365 +sg8 +g6 +sbag351 +ag354 +ag358 +atRp366 +sg362 +g363 +sbV#\u000a +p367 +tp368 +a(g6 +V# $Id: complete.tcsh,v 1.2 1998/05/11 10:40:54 luisgh Exp $\u000a +p369 +tp370 +a(g6 +V# example file using the new completion code\u000a +p371 +tp372 +a(g6 +V#\u000a +p373 +tp374 +a(g185 +V\u000a +tp375 +a(g6 +V# Debian GNU/Linux\u000a +p376 +tp377 +a(g6 +V# file: /usr/share/doc/examples/tcsh/complete.tcsh\u000a +p378 +tp379 +a(g6 +V#\u000a +p380 +tp381 +a(g6 +V# This file may be read from user's .cshrc file by decompressing it into\u000a +p382 +tp383 +a(g6 +V# the home directory as ~/.complete and then adding the line\u000a +p384 +tp385 +a(g6 +V# "source ${HOME}/.complete" and maybe defining some of\u000a +p386 +tp387 +a(g6 +V# the shell variables described below.\u000a +p388 +tp389 +a(g6 +V#\u000a +p390 +tp391 +a(g6 +V# Debian enhancements by Vadim Vygonets :\u000a +p392 +tp393 +a(g6 +V#\u000a +p394 +tp395 +a(g6 +V# Added two Debian-specific completions: dpkg and dpkg-deb (who wrote\u000a +p396 +tp397 +a(g6 +V# them?). To turn it off, define no_debian_complete before loading\u000a +p398 +tp399 +a(g6 +V# this file.\u000a +p400 +tp401 +a(g6 +V#\u000a +p402 +tp403 +a(g6 +V# Added some new completions. To turn them off, define\u000a +p404 +tp405 +a(g6 +V# no_new_complete before loading this file.\u000a +p406 +tp407 +a(g6 +V#\u000a +p408 +tp409 +a(g6 +V# Changed completions of several commands. The ones are evaluated if\u000a +p410 +tp411 +a(g6 +V# the following shell variables are defined:\u000a +p412 +tp413 +a(g6 +V#\u000a +p414 +tp415 +a(g6 +V# traditional_cp_mv_complete\u000a +p416 +tp417 +a(g6 +V# for traditional completion of cp and mv commands\u000a +p418 +tp419 +a(g6 +V# traditional_zcat_complete\u000a +p420 +tp421 +a(g6 +V# for traditional completion of zcat command\u000a +p422 +tp423 +a(g6 +V# traditional_nm_complete\u000a +p424 +tp425 +a(g6 +V# for traditional completion of nm command\u000a +p426 +tp427 +a(g6 +V# traditilnal_tex_complete\u000a +p428 +tp429 +a(g6 +V# for traditional completion of tex command\u000a +p430 +tp431 +a(g6 +V# traditional_find_complete\u000a +p432 +tp433 +a(g6 +V# for traditional completion of find command\u000a +p434 +tp435 +a(g6 +V# traditional_configure_complete\u000a +p436 +tp437 +a(g6 +V# for traditional completion of ./configure command\u000a +p438 +tp439 +a(g6 +V# foolproof_rm_complete or traditional_rm_complete\u000a +p440 +tp441 +a(g6 +V# for traditional completion of rm command\u000a +p442 +tp443 +a(g6 +V# traditional_complete\u000a +p444 +tp445 +a(g6 +V# all of the above\u000a +p446 +tp447 +a(g185 +V\u000a +tp448 +a(g107 +Vif +p449 +tp450 +a(g185 +V +tp451 +a(g340 +V( +tp452 +a(g69 +V$? +p453 +tp454 +a(g185 +Vtraditional_complete +p455 +tp456 +a(g340 +V) +tp457 +a(g185 +V +tp458 +a(g107 +Vthen\u000a +p459 +tp460 +a(g53 +Vset +p461 +tp462 +a(g185 +Vtraditional_cp_mv_complete +p463 +tp464 +a(g185 +V\u000a +p465 +tp466 +a(g53 +Vset +p467 +tp468 +a(g185 +Vtraditional_zcat_complete +p469 +tp470 +a(g185 +V\u000a +p471 +tp472 +a(g53 +Vset +p473 +tp474 +a(g185 +Vtraditional_nm_complete +p475 +tp476 +a(g185 +V\u000a +p477 +tp478 +a(g53 +Vset +p479 +tp480 +a(g185 +Vtraditilnal_tex_complete +p481 +tp482 +a(g185 +V\u000a +p483 +tp484 +a(g53 +Vset +p485 +tp486 +a(g185 +Vtraditional_find_complete +p487 +tp488 +a(g185 +V\u000a +p489 +tp490 +a(g53 +Vset +p491 +tp492 +a(g185 +Vtraditional_configure_complete +p493 +tp494 +a(g185 +V\u000a +p495 +tp496 +a(g53 +Vset +p497 +tp498 +a(g185 +Vfoolproof_rm_complete +p499 +tp500 +a(g185 +V\u000a +tp501 +a(g107 +Vendif\u000a\u000a +p502 +tp503 +a(g107 +Vif +p504 +tp505 +a(g185 +V +tp506 +a(g340 +V( +tp507 +a(g69 +V$? +p508 +tp509 +a(g185 +Vtraditional_rm_complete +p510 +tp511 +a(g340 +V) +tp512 +a(g185 +V +tp513 +a(g107 +Vthen\u000a +p514 +tp515 +a(g53 +Vset +p516 +tp517 +a(g185 +Vfoolproof_rm_complete +p518 +tp519 +a(g185 +V\u000a +tp520 +a(g107 +Vendif\u000a\u000a +p521 +tp522 +a(g53 +Vonintr +p523 +tp524 +a(g185 +V +tp525 +a(g185 +V- +tp526 +a(g185 +V\u000a +tp527 +a(g107 +Vif +p528 +tp529 +a(g185 +V +tp530 +a(g340 +V( +tp531 +a(g185 +V! +tp532 +a(g185 +V +tp533 +a(g69 +V$? +p534 +tp535 +a(g185 +Vprompt +p536 +tp537 +a(g340 +V) +tp538 +a(g185 +V +tp539 +a(g107 +Vgoto +p540 +tp541 +a(g107 +Vend\u000a\u000a +p542 +tp543 +a(g107 +Vif +p544 +tp545 +a(g185 +V +tp546 +a(g340 +V( +tp547 +a(g69 +V$? +p548 +tp549 +a(g185 +Vtcsh +p550 +tp551 +a(g340 +V) +tp552 +a(g185 +V +tp553 +a(g107 +Vthen\u000a +p554 +tp555 +a(g107 +Vif +p556 +tp557 +a(g185 +V +tp558 +a(g340 +V( +tp559 +a(g69 +V$tcsh +p560 +tp561 +a(g185 +V +tp562 +a(g185 +V! +tp563 +a(g340 +V= +tp564 +a(g185 +V +tp565 +a(g185 +V1 +tp566 +a(g340 +V) +tp567 +a(g185 +V +tp568 +a(g107 +Vthen\u000a +p569 +tp570 +a(g53 +Vset +p571 +tp572 +a(g69 +Vrev +p573 +tp574 +a(g340 +V= +tp575 +a(g69 +V$tcsh +p576 +tp577 +a(g185 +V:r +p578 +tp579 +a(g185 +V\u000a +p580 +tp581 +a(g53 +Vset +p582 +tp583 +a(g69 +Vrel +p584 +tp585 +a(g340 +V= +tp586 +a(g69 +V$rev +p587 +tp588 +a(g185 +V:e +p589 +tp590 +a(g185 +V\u000a +p591 +tp592 +a(g53 +Vset +p593 +tp594 +a(g69 +Vpat +p595 +tp596 +a(g340 +V= +tp597 +a(g69 +V$tcsh +p598 +tp599 +a(g185 +V:e +p600 +tp601 +a(g185 +V\u000a +p602 +tp603 +a(g53 +Vset +p604 +tp605 +a(g69 +Vrev +p606 +tp607 +a(g340 +V= +tp608 +a(g69 +V$rev +p609 +tp610 +a(g185 +V:r +p611 +tp612 +a(g185 +V\u000a +p613 +tp614 +a(g107 +Vendif\u000a +p615 +tp616 +a(g107 +Vif +p617 +tp618 +a(g185 +V +tp619 +a(g340 +V( +tp620 +a(g69 +V$rev +p621 +tp622 +a(g185 +V +tp623 +a(g185 +V> +tp624 +a(g185 +V +tp625 +a(g185 +V5 +tp626 +a(g185 +V +tp627 +a(g185 +V&& +p628 +tp629 +a(g185 +V +tp630 +a(g69 +V$rel +p631 +tp632 +a(g185 +V +tp633 +a(g185 +V> +tp634 +a(g185 +V +tp635 +a(g185 +V1 +tp636 +a(g340 +V) +tp637 +a(g185 +V +tp638 +a(g107 +Vthen\u000a +p639 +tp640 +a(g53 +Vset +p641 +tp642 +a(g53 +Vcomplete +p643 +tp644 +a(g340 +V= +tp645 +a(g185 +V1 +tp646 +a(g185 +V\u000a +p647 +tp648 +a(g107 +Vendif\u000a +p649 +tp650 +a(g53 +Vunset +p651 +tp652 +a(g185 +Vrev +p653 +tp654 +a(g185 +V +tp655 +a(g185 +Vrel +p656 +tp657 +a(g185 +V +tp658 +a(g185 +Vpat +p659 +tp660 +a(g185 +V\u000a +tp661 +a(g107 +Vendif\u000a\u000a +p662 +tp663 +a(g107 +Vif +p664 +tp665 +a(g185 +V +tp666 +a(g340 +V( +tp667 +a(g69 +V$? +p668 +tp669 +a(g53 +Vcomplete +p670 +tp671 +a(g340 +V) +tp672 +a(g185 +V +tp673 +a(g107 +Vthen\u000a +p674 +tp675 +a(g53 +Vset +p676 +tp677 +a(g185 +Vnoglob +p678 +tp679 +a(g185 +V\u000a +p680 +tp681 +a(g53 +Vset +p682 +tp683 +a(g185 +Vhosts +p684 +tp685 +a(g185 +V\u000a +p686 +tp687 +a(g107 +Vforeach +p688 +tp689 +a(g185 +Vf +tp690 +a(g185 +V +tp691 +a(g340 +V( +tp692 +a(g69 +V$HOME +p693 +tp694 +a(g185 +V/.hosts +p695 +tp696 +a(g185 +V +tp697 +a(g185 +V/usr/local/etc/csh.hosts +p698 +tp699 +a(g185 +V +tp700 +a(g69 +V$HOME +p701 +tp702 +a(g185 +V/.rhosts +p703 +tp704 +a(g185 +V +tp705 +a(g185 +V/etc/hosts.equiv +p706 +tp707 +a(g340 +V) +tp708 +a(g185 +V\u000a +p709 +tp710 +a(g107 +Vif +p711 +tp712 +a(g185 +V +tp713 +a(g340 +V( +tp714 +a(g185 +V +tp715 +a(g185 +V-r +p716 +tp717 +a(g185 +V +tp718 +a(g69 +V$f +p719 +tp720 +a(g185 +V +tp721 +a(g340 +V) +tp722 +a(g185 +V +tp723 +a(g107 +Vthen\u000a +p724 +tp725 +a(g53 +Vset +p726 +tp727 +a(g69 +Vhosts +p728 +tp729 +a(g340 +V= +tp730 +a(g340 +V( +tp731 +a(g69 +V$hosts +p732 +tp733 +a(g185 +V +tp734 +a(g260 +V` +tp735 +a(g185 +Vcut +p736 +tp737 +a(g185 +V +tp738 +a(g185 +V-d +p739 +tp740 +a(g185 +V +tp741 +a(g241 +V" " +p742 +tp743 +a(g185 +V +tp744 +a(g185 +V-f +p745 +tp746 +a(g185 +V +tp747 +a(g185 +V1 +tp748 +a(g185 +V +tp749 +a(g69 +V$f +p750 +tp751 +a(g185 +V +tp752 +a(g185 +V| +tp753 +a(g185 +V +tp754 +a(g185 +Vgrep +p755 +tp756 +a(g185 +V +tp757 +a(g185 +V-v +p758 +tp759 +a(g185 +V +tp760 +a(g185 +V+ +tp761 +a(g260 +V` +tp762 +a(g340 +V) +tp763 +a(g185 +V\u000a +p764 +tp765 +a(g107 +Vendif\u000a +p766 +tp767 +a(g107 +Vend\u000a +p768 +tp769 +a(g107 +Vif +p770 +tp771 +a(g185 +V +tp772 +a(g340 +V( +tp773 +a(g185 +V +tp774 +a(g185 +V-r +p775 +tp776 +a(g185 +V +tp777 +a(g69 +V$HOME +p778 +tp779 +a(g185 +V/.netrc +p780 +tp781 +a(g185 +V +tp782 +a(g340 +V) +tp783 +a(g185 +V +tp784 +a(g107 +Vthen\u000a +p785 +tp786 +a(g53 +Vset +p787 +tp788 +a(g69 +Vf +tp789 +a(g340 +V= +tp790 +a(g260 +V` +tp791 +a(g185 +Vawk +p792 +tp793 +a(g185 +V +tp794 +a(g268 +V'/machine/ { print $2 }' +p795 +tp796 +a(g185 +V +tp797 +a(g185 +V< +tp798 +a(g185 +V +tp799 +a(g69 +V$HOME +p800 +tp801 +a(g185 +V/.netrc +p802 +tp803 +a(g260 +V` +tp804 +a(g185 +V +tp805 +a(g185 +V>& +p806 +tp807 +a(g185 +V +tp808 +a(g185 +V/dev/null +p809 +tp810 +a(g185 +V\u000a +p811 +tp812 +a(g53 +Vset +p813 +tp814 +a(g69 +Vhosts +p815 +tp816 +a(g340 +V= +tp817 +a(g340 +V( +tp818 +a(g69 +V$hosts +p819 +tp820 +a(g185 +V +tp821 +a(g69 +V$f +p822 +tp823 +a(g340 +V) +tp824 +a(g185 +V\u000a +p825 +tp826 +a(g107 +Vendif\u000a +p827 +tp828 +a(g53 +Vunset +p829 +tp830 +a(g185 +Vf +tp831 +a(g185 +V\u000a +p832 +tp833 +a(g107 +Vif +p834 +tp835 +a(g185 +V +tp836 +a(g340 +V( +tp837 +a(g185 +V +tp838 +a(g185 +V! +tp839 +a(g185 +V +tp840 +a(g69 +V$? +p841 +tp842 +a(g185 +Vhosts +p843 +tp844 +a(g185 +V +tp845 +a(g340 +V) +tp846 +a(g185 +V +tp847 +a(g107 +Vthen\u000a +p848 +tp849 +a(g53 +Vset +p850 +tp851 +a(g69 +Vhosts +p852 +tp853 +a(g340 +V= +tp854 +a(g340 +V( +tp855 +a(g185 +Vhyperion.ee.cornell.edu +p856 +tp857 +a(g185 +V +tp858 +a(g185 +Vphaeton.ee.cornell.edu +p859 +tp860 +a(g185 +V +tp861 +a(g248 +V\u005c\u000a +p862 +tp863 +a(g185 +V +p864 +tp865 +a(g185 +Vguillemin.ee.cornell.edu +p866 +tp867 +a(g185 +V +tp868 +a(g185 +Vvangogh.cs.berkeley.edu +p869 +tp870 +a(g185 +V +tp871 +a(g248 +V\u005c\u000a +p872 +tp873 +a(g185 +V +p874 +tp875 +a(g185 +Vftp.uu.net +p876 +tp877 +a(g185 +V +tp878 +a(g185 +Vprep.ai.mit.edu +p879 +tp880 +a(g185 +V +tp881 +a(g185 +Vexport.lcs.mit.edu +p882 +tp883 +a(g185 +V +tp884 +a(g248 +V\u005c\u000a +p885 +tp886 +a(g185 +V +p887 +tp888 +a(g185 +Vlabrea.stanford.edu +p889 +tp890 +a(g185 +V +tp891 +a(g185 +Vsumex-aim.stanford.edu +p892 +tp893 +a(g185 +V +tp894 +a(g248 +V\u005c\u000a +p895 +tp896 +a(g185 +V +p897 +tp898 +a(g185 +Vtut.cis.ohio-state.edu +p899 +tp900 +a(g340 +V) +tp901 +a(g185 +V\u000a +p902 +tp903 +a(g107 +Vendif\u000a\u000a +p904 +tp905 +a(g53 +Vcomplete +p906 +tp907 +a(g185 +Vywho +p908 +tp909 +a(g185 +V +p910 +tp911 +a(g185 +Vn/*/ +p912 +tp913 +a(g248 +V\u005c$ +p914 +tp915 +a(g185 +Vhosts/ +p916 +tp917 +a(g185 +V +tp918 +a(g6 +V# argument from list in $hosts\u000a +p919 +tp920 +a(g185 +V +p921 +tp922 +a(g53 +Vcomplete +p923 +tp924 +a(g185 +Vrsh +p925 +tp926 +a(g185 +V +tp927 +a(g185 +Vp/1/ +p928 +tp929 +a(g248 +V\u005c$ +p930 +tp931 +a(g185 +Vhosts/ +p932 +tp933 +a(g185 +V +tp934 +a(g185 +Vc/-/ +p935 +tp936 +a(g241 +V"(l n)" +p937 +tp938 +a(g185 +V/ +tp939 +a(g185 +V +p940 +tp941 +a(g185 +Vn/-l/u/ +p942 +tp943 +a(g185 +V +tp944 +a(g185 +VN/-l/c/ +p945 +tp946 +a(g185 +V +tp947 +a(g185 +Vn/-/c/ +p948 +tp949 +a(g185 +V +tp950 +a(g185 +Vp/2/c/ +p951 +tp952 +a(g185 +V +tp953 +a(g185 +Vp/*/f/ +p954 +tp955 +a(g185 +V\u000a +p956 +tp957 +a(g53 +Vcomplete +p958 +tp959 +a(g185 +Vxrsh +p960 +tp961 +a(g185 +V +tp962 +a(g185 +Vp/1/ +p963 +tp964 +a(g248 +V\u005c$ +p965 +tp966 +a(g185 +Vhosts/ +p967 +tp968 +a(g185 +V +tp969 +a(g185 +Vc/-/ +p970 +tp971 +a(g241 +V"(l 8 e)" +p972 +tp973 +a(g185 +V/ +tp974 +a(g185 +V +tp975 +a(g185 +Vn/-l/u/ +p976 +tp977 +a(g185 +V +tp978 +a(g185 +VN/-l/c/ +p979 +tp980 +a(g185 +V +tp981 +a(g185 +Vn/-/c/ +p982 +tp983 +a(g185 +V +tp984 +a(g185 +Vp/2/c/ +p985 +tp986 +a(g185 +V +tp987 +a(g185 +Vp/*/f/ +p988 +tp989 +a(g185 +V\u000a +p990 +tp991 +a(g53 +Vcomplete +p992 +tp993 +a(g185 +Vrlogin +p994 +tp995 +a(g185 +V +p996 +tp997 +a(g185 +Vp/1/ +p998 +tp999 +a(g248 +V\u005c$ +p1000 +tp1001 +a(g185 +Vhosts/ +p1002 +tp1003 +a(g185 +V +tp1004 +a(g185 +Vc/-/ +p1005 +tp1006 +a(g241 +V"(l 8 e)" +p1007 +tp1008 +a(g185 +V/ +tp1009 +a(g185 +V +tp1010 +a(g185 +Vn/-l/u/ +p1011 +tp1012 +a(g185 +V\u000a +p1013 +tp1014 +a(g53 +Vcomplete +p1015 +tp1016 +a(g185 +Vtelnet +p1017 +tp1018 +a(g185 +V +p1019 +tp1020 +a(g185 +Vp/1/ +p1021 +tp1022 +a(g248 +V\u005c$ +p1023 +tp1024 +a(g185 +Vhosts/ +p1025 +tp1026 +a(g185 +V +tp1027 +a(g185 +Vp/2/x: +p1028 +tp1029 +a(g268 +V'' +p1030 +tp1031 +a(g185 +V/ +tp1032 +a(g185 +V +tp1033 +a(g185 +Vn/*/n/ +p1034 +tp1035 +a(g185 +V\u000a\u000a +p1036 +tp1037 +a(g53 +Vcomplete +p1038 +tp1039 +a(g53 +Vcd +p1040 +tp1041 +a(g185 +Vp/1/d/ +p1042 +tp1043 +a(g185 +V +p1044 +tp1045 +a(g6 +V# Directories only\u000a +p1046 +tp1047 +a(g185 +V +p1048 +tp1049 +a(g53 +Vcomplete +p1050 +tp1051 +a(g53 +Vchdir +p1052 +tp1053 +a(g185 +Vp/1/d/ +p1054 +tp1055 +a(g185 +V\u000a +p1056 +tp1057 +a(g53 +Vcomplete +p1058 +tp1059 +a(g53 +Vpushd +p1060 +tp1061 +a(g185 +Vp/1/d/ +p1062 +tp1063 +a(g185 +V\u000a +p1064 +tp1065 +a(g53 +Vcomplete +p1066 +tp1067 +a(g53 +Vpopd +p1068 +tp1069 +a(g185 +Vp/1/d/ +p1070 +tp1071 +a(g185 +V\u000a +p1072 +tp1073 +a(g53 +Vcomplete +p1074 +tp1075 +a(g185 +Vpu +p1076 +tp1077 +a(g185 +V +p1078 +tp1079 +a(g185 +Vp/1/d/ +p1080 +tp1081 +a(g185 +V\u000a +p1082 +tp1083 +a(g53 +Vcomplete +p1084 +tp1085 +a(g185 +Vpo +p1086 +tp1087 +a(g185 +V +p1088 +tp1089 +a(g185 +Vp/1/d/ +p1090 +tp1091 +a(g185 +V\u000a +p1092 +tp1093 +a(g53 +Vcomplete +p1094 +tp1095 +a(g53 +Vcomplete +p1096 +tp1097 +a(g185 +Vp/1/X/ +p1098 +tp1099 +a(g185 +V +p1100 +tp1101 +a(g6 +V# Completions only\u000a +p1102 +tp1103 +a(g185 +V +p1104 +tp1105 +a(g53 +Vcomplete +p1106 +tp1107 +a(g53 +Vuncomplete +p1108 +tp1109 +a(g185 +Vn/*/X/ +p1110 +tp1111 +a(g185 +V\u000a +p1112 +tp1113 +a(g53 +Vcomplete +p1114 +tp1115 +a(g53 +Vexec +p1116 +tp1117 +a(g185 +Vp/1/c/ +p1118 +tp1119 +a(g185 +V +p1120 +tp1121 +a(g6 +V# Commands only\u000a +p1122 +tp1123 +a(g185 +V +p1124 +tp1125 +a(g53 +Vcomplete +p1126 +tp1127 +a(g185 +Vtrace +p1128 +tp1129 +a(g185 +V +p1130 +tp1131 +a(g185 +Vp/1/c/ +p1132 +tp1133 +a(g185 +V\u000a +p1134 +tp1135 +a(g53 +Vcomplete +p1136 +tp1137 +a(g185 +Vstrace +p1138 +tp1139 +a(g185 +V +p1140 +tp1141 +a(g185 +Vp/1/c/ +p1142 +tp1143 +a(g185 +V\u000a +p1144 +tp1145 +a(g53 +Vcomplete +p1146 +tp1147 +a(g53 +Vwhich +p1148 +tp1149 +a(g185 +Vn/*/c/ +p1150 +tp1151 +a(g185 +V\u000a +p1152 +tp1153 +a(g53 +Vcomplete +p1154 +tp1155 +a(g53 +Vwhere +p1156 +tp1157 +a(g185 +Vn/*/c/ +p1158 +tp1159 +a(g185 +V\u000a +p1160 +tp1161 +a(g53 +Vcomplete +p1162 +tp1163 +a(g185 +Vskill +p1164 +tp1165 +a(g185 +V +p1166 +tp1167 +a(g185 +Vp/1/c/ +p1168 +tp1169 +a(g185 +V\u000a +p1170 +tp1171 +a(g53 +Vcomplete +p1172 +tp1173 +a(g185 +Vdde +p1174 +tp1175 +a(g185 +V +tp1176 +a(g185 +Vp/1/c/ +p1177 +tp1178 +a(g185 +V \u000a +p1179 +tp1180 +a(g53 +Vcomplete +p1181 +tp1182 +a(g185 +Vadb +p1183 +tp1184 +a(g185 +V +tp1185 +a(g185 +Vc/-I/d/ +p1186 +tp1187 +a(g185 +V +tp1188 +a(g185 +Vn/-/c/ +p1189 +tp1190 +a(g185 +V +tp1191 +a(g185 +VN/-/ +p1192 +tp1193 +a(g241 +V"(core)" +p1194 +tp1195 +a(g185 +V/ +tp1196 +a(g185 +V +tp1197 +a(g185 +Vp/1/c/ +p1198 +tp1199 +a(g185 +V +tp1200 +a(g185 +Vp/2/ +p1201 +tp1202 +a(g241 +V"(core)" +p1203 +tp1204 +a(g185 +V/ +tp1205 +a(g185 +V\u000a +p1206 +tp1207 +a(g53 +Vcomplete +p1208 +tp1209 +a(g185 +Vsdb +p1210 +tp1211 +a(g185 +V +tp1212 +a(g185 +Vp/1/c/ +p1213 +tp1214 +a(g185 +V\u000a +p1215 +tp1216 +a(g53 +Vcomplete +p1217 +tp1218 +a(g185 +Vdbx +p1219 +tp1220 +a(g185 +V +tp1221 +a(g185 +Vc/-I/d/ +p1222 +tp1223 +a(g185 +V +tp1224 +a(g185 +Vn/-/c/ +p1225 +tp1226 +a(g185 +V +tp1227 +a(g185 +VN/-/ +p1228 +tp1229 +a(g241 +V"(core)" +p1230 +tp1231 +a(g185 +V/ +tp1232 +a(g185 +V +tp1233 +a(g185 +Vp/1/c/ +p1234 +tp1235 +a(g185 +V +tp1236 +a(g185 +Vp/2/ +p1237 +tp1238 +a(g241 +V"(core)" +p1239 +tp1240 +a(g185 +V/ +tp1241 +a(g185 +V\u000a +p1242 +tp1243 +a(g53 +Vcomplete +p1244 +tp1245 +a(g185 +Vxdb +p1246 +tp1247 +a(g185 +V +tp1248 +a(g185 +Vp/1/c/ +p1249 +tp1250 +a(g185 +V\u000a +p1251 +tp1252 +a(g53 +Vcomplete +p1253 +tp1254 +a(g185 +Vgdb +p1255 +tp1256 +a(g185 +V +tp1257 +a(g185 +Vn/-d/d/ +p1258 +tp1259 +a(g185 +V +tp1260 +a(g185 +Vn/*/c/ +p1261 +tp1262 +a(g185 +V\u000a +p1263 +tp1264 +a(g53 +Vcomplete +p1265 +tp1266 +a(g185 +Vups +p1267 +tp1268 +a(g185 +V +tp1269 +a(g185 +Vp/1/c/ +p1270 +tp1271 +a(g185 +V\u000a +p1272 +tp1273 +a(g53 +Vcomplete +p1274 +tp1275 +a(g53 +Vset +p1276 +tp1277 +a(g185 +V +tp1278 +a(g268 +V'c/*=/f/' +p1279 +tp1280 +a(g185 +V +tp1281 +a(g268 +V'p/1/s/=' +p1282 +tp1283 +a(g185 +V +tp1284 +a(g268 +V'n/=/f/' +p1285 +tp1286 +a(g185 +V\u000a +p1287 +tp1288 +a(g53 +Vcomplete +p1289 +tp1290 +a(g53 +Vunset +p1291 +tp1292 +a(g185 +Vn/*/s/ +p1293 +tp1294 +a(g185 +V\u000a +p1295 +tp1296 +a(g53 +Vcomplete +p1297 +tp1298 +a(g53 +Valias +p1299 +tp1300 +a(g185 +Vp/1/a/ +p1301 +tp1302 +a(g185 +V +p1303 +tp1304 +a(g6 +V# only aliases are valid\u000a +p1305 +tp1306 +a(g185 +V +p1307 +tp1308 +a(g53 +Vcomplete +p1309 +tp1310 +a(g53 +Vunalias +p1311 +tp1312 +a(g185 +Vn/*/a/ +p1313 +tp1314 +a(g185 +V\u000a +p1315 +tp1316 +a(g53 +Vcomplete +p1317 +tp1318 +a(g185 +Vxdvi +p1319 +tp1320 +a(g185 +V +p1321 +tp1322 +a(g185 +Vn/*/f:*.dvi/ +p1323 +tp1324 +a(g185 +V +tp1325 +a(g6 +V# Only files that match *.dvi\u000a +p1326 +tp1327 +a(g185 +V +p1328 +tp1329 +a(g53 +Vcomplete +p1330 +tp1331 +a(g185 +Vdvips +p1332 +tp1333 +a(g185 +V +p1334 +tp1335 +a(g185 +Vn/*/f:*.dvi/ +p1336 +tp1337 +a(g185 +V\u000a +tp1338 +a(g107 +Vif +p1339 +tp1340 +a(g185 +V +tp1341 +a(g340 +V( +tp1342 +a(g69 +V$? +p1343 +tp1344 +a(g185 +Vtraditilnal_tex_complete +p1345 +tp1346 +a(g340 +V) +tp1347 +a(g185 +V +tp1348 +a(g107 +Vthen\u000a +p1349 +tp1350 +a(g53 +Vcomplete +p1351 +tp1352 +a(g185 +Vtex +p1353 +tp1354 +a(g185 +V +p1355 +tp1356 +a(g185 +Vn/*/f:*.tex/ +p1357 +tp1358 +a(g185 +V +tp1359 +a(g6 +V# Only files that match *.tex\u000a +p1360 +tp1361 +a(g107 +Velse\u000a +p1362 +tp1363 +a(g53 +Vcomplete +p1364 +tp1365 +a(g185 +Vtex +p1366 +tp1367 +a(g185 +V +p1368 +tp1369 +a(g185 +Vn/*/f:*. +p1370 +tp1371 +a(g340 +V{ +tp1372 +a(g185 +Vtex,texi +p1373 +tp1374 +a(g340 +V} +tp1375 +a(g185 +V/ +tp1376 +a(g185 +V +tp1377 +a(g6 +V# Files that match *.tex and *.texi\u000a +p1378 +tp1379 +a(g107 +Vendif\u000a +p1380 +tp1381 +a(g53 +Vcomplete +p1382 +tp1383 +a(g185 +Vlatex +p1384 +tp1385 +a(g185 +V +p1386 +tp1387 +a(g185 +Vn/*/f:*. +p1388 +tp1389 +a(g340 +V{ +tp1390 +a(g185 +Vtex,ltx +p1391 +tp1392 +a(g340 +V} +tp1393 +a(g185 +V/ +tp1394 +a(g185 +V\u000a +p1395 +tp1396 +a(g53 +Vcomplete +p1397 +tp1398 +a(g185 +Vsu +p1399 +tp1400 +a(g185 +V +p1401 +tp1402 +a(g185 +Vc/--/ +p1403 +tp1404 +a(g241 +V"(login fast preserve-environment command shell \u005c\u000a help version)" +p1405 +tp1406 +a(g185 +V/ +tp1407 +a(g185 +V +tp1408 +a(g185 +Vc/-/ +p1409 +tp1410 +a(g241 +V"(f l m p c s -)" +p1411 +tp1412 +a(g185 +V/ +tp1413 +a(g185 +V +tp1414 +a(g248 +V\u005c\u000a +p1415 +tp1416 +a(g185 +V +p1417 +tp1418 +a(g185 +Vn/ +p1419 +tp1420 +a(g340 +V{ +tp1421 +a(g185 +V-c,--command +p1422 +tp1423 +a(g340 +V} +tp1424 +a(g185 +V/c/ +p1425 +tp1426 +a(g185 +V +tp1427 +a(g248 +V\u005c\u000a +p1428 +tp1429 +a(g185 +V +p1430 +tp1431 +a(g185 +Vn@ +p1432 +tp1433 +a(g340 +V{ +tp1434 +a(g185 +V-s,--shell +p1435 +tp1436 +a(g340 +V} +tp1437 +a(g185 +V@ +tp1438 +a(g268 +V'`cat /etc/shells`' +p1439 +tp1440 +a(g185 +V@ +tp1441 +a(g185 +V +tp1442 +a(g185 +Vn/*/u/ +p1443 +tp1444 +a(g185 +V\u000a +p1445 +tp1446 +a(g53 +Vcomplete +p1447 +tp1448 +a(g185 +Vcc +p1449 +tp1450 +a(g185 +V +p1451 +tp1452 +a(g185 +Vc/- +p1453 +tp1454 +a(g340 +V[ +tp1455 +a(g185 +VIL +p1456 +tp1457 +a(g340 +V] +tp1458 +a(g185 +V/d/ +p1459 +tp1460 +a(g185 +V +tp1461 +a(g248 +V\u005c\u000a +p1462 +tp1463 +a(g185 +V +p1464 +tp1465 +a(g185 +Vc@-l@ +p1466 +tp1467 +a(g268 +V'`\u005cls -1 /usr/lib/lib*.a | sed s%^.\u005c*/lib%%\u005c;s%\u005c\u005c.a\u005c$%%`' +p1468 +tp1469 +a(g185 +V@ +tp1470 +a(g185 +V +tp1471 +a(g248 +V\u005c\u000a +p1472 +tp1473 +a(g185 +V +p1474 +tp1475 +a(g185 +Vc/-/ +p1476 +tp1477 +a(g241 +V"(o l c g L I D U)" +p1478 +tp1479 +a(g185 +V/ +tp1480 +a(g185 +V +tp1481 +a(g185 +Vn/*/f:*. +p1482 +tp1483 +a(g340 +V[ +tp1484 +a(g185 +Vcoasi +p1485 +tp1486 +a(g340 +V] +tp1487 +a(g185 +V/ +tp1488 +a(g185 +V\u000a +p1489 +tp1490 +a(g53 +Vcomplete +p1491 +tp1492 +a(g185 +Vacc +p1493 +tp1494 +a(g185 +V +p1495 +tp1496 +a(g185 +Vc/- +p1497 +tp1498 +a(g340 +V[ +tp1499 +a(g185 +VIL +p1500 +tp1501 +a(g340 +V] +tp1502 +a(g185 +V/d/ +p1503 +tp1504 +a(g185 +V +tp1505 +a(g248 +V\u005c\u000a +p1506 +tp1507 +a(g185 +V +p1508 +tp1509 +a(g185 +Vc@-l@ +p1510 +tp1511 +a(g268 +V'`\u005cls -1 /usr/lang/SC1.0/lib*.a | sed s%^.\u005c*/lib%%\u005c;s%\u005c\u005c.a\u005c$%%`' +p1512 +tp1513 +a(g185 +V@ +tp1514 +a(g185 +V +tp1515 +a(g248 +V\u005c\u000a +p1516 +tp1517 +a(g185 +V +p1518 +tp1519 +a(g185 +Vc/-/ +p1520 +tp1521 +a(g241 +V"(o l c g L I D U)" +p1522 +tp1523 +a(g185 +V/ +tp1524 +a(g185 +V +tp1525 +a(g185 +Vn/*/f:*. +p1526 +tp1527 +a(g340 +V[ +tp1528 +a(g185 +Vcoasi +p1529 +tp1530 +a(g340 +V] +tp1531 +a(g185 +V/ +tp1532 +a(g185 +V\u000a +p1533 +tp1534 +a(g53 +Vcomplete +p1535 +tp1536 +a(g185 +Vgcc +p1537 +tp1538 +a(g185 +V +p1539 +tp1540 +a(g185 +Vc/- +p1541 +tp1542 +a(g340 +V[ +tp1543 +a(g185 +VIL +p1544 +tp1545 +a(g340 +V] +tp1546 +a(g185 +V/d/ +p1547 +tp1548 +a(g185 +V +tp1549 +a(g248 +V\u005c\u000a +p1550 +tp1551 +a(g185 +V +p1552 +tp1553 +a(g185 +Vc/-f/ +p1554 +tp1555 +a(g241 +V"(caller-saves cse-follow-jumps delayed-branch \u005c\u000a elide-constructors expensive-optimizations \u005c\u000a float-store force-addr force-mem inline \u005c\u000a inline-functions keep-inline-functions \u005c\u000a memoize-lookups no-default-inline \u005c\u000a no-defer-pop no-function-cse omit-frame-pointer \u005c\u000a rerun-cse-after-loop schedule-insns \u005c\u000a schedule-insns2 strength-reduce \u005c\u000a thread-jumps unroll-all-loops \u005c\u000a unroll-loops syntax-only all-virtual \u005c\u000a cond-mismatch dollars-in-identifiers \u005c\u000a enum-int-equiv no-asm no-builtin \u005c\u000a no-strict-prototype signed-bitfields \u005c\u000a signed-char this-is-variable unsigned-bitfields \u005c\u000a unsigned-char writable-strings call-saved-reg \u005c\u000a call-used-reg fixed-reg no-common \u005c\u000a no-gnu-binutils nonnull-objects \u005c\u000a pcc-struct-return pic PIC shared-data \u005c\u000a short-enums short-double volatile)" +p1556 +tp1557 +a(g185 +V/ +tp1558 +a(g185 +V +tp1559 +a(g248 +V\u005c\u000a +p1560 +tp1561 +a(g185 +V +p1562 +tp1563 +a(g185 +Vc/-W/ +p1564 +tp1565 +a(g241 +V"(all aggregate-return cast-align cast-qual \u005c\u000a comment conversion enum-clash error format \u005c\u000a id-clash-len implicit missing-prototypes \u005c\u000a no-parentheses pointer-arith return-type shadow \u005c\u000a strict-prototypes switch uninitialized unused \u005c\u000a write-strings)" +p1566 +tp1567 +a(g185 +V/ +tp1568 +a(g185 +V +tp1569 +a(g248 +V\u005c\u000a +p1570 +tp1571 +a(g185 +V +p1572 +tp1573 +a(g185 +Vc/-m/ +p1574 +tp1575 +a(g241 +V"(68000 68020 68881 bitfield fpa nobitfield rtd \u005c\u000a short c68000 c68020 soft-float g gnu unix fpu \u005c\u000a no-epilogue)" +p1576 +tp1577 +a(g185 +V/ +tp1578 +a(g185 +V +tp1579 +a(g248 +V\u005c\u000a +p1580 +tp1581 +a(g185 +V +p1582 +tp1583 +a(g185 +Vc/-d/ +p1584 +tp1585 +a(g241 +V"(D M N)" +p1586 +tp1587 +a(g185 +V/ +tp1588 +a(g185 +V +tp1589 +a(g248 +V\u005c\u000a +p1590 +tp1591 +a(g185 +V +p1592 +tp1593 +a(g185 +Vc/-/ +p1594 +tp1595 +a(g241 +V"(f W vspec v vpath ansi traditional \u005c\u000a traditional-cpp trigraphs pedantic x o l c g L \u005c\u000a I D U O O2 C E H B b V M MD MM i dynamic \u005c\u000a nodtdlib static nostdinc undef)" +p1596 +tp1597 +a(g185 +V/ +tp1598 +a(g185 +V +tp1599 +a(g248 +V\u005c\u000a +p1600 +tp1601 +a(g185 +V +p1602 +tp1603 +a(g185 +Vc/-l/f:*.a/ +p1604 +tp1605 +a(g185 +V +tp1606 +a(g248 +V\u005c\u000a +p1607 +tp1608 +a(g185 +V +p1609 +tp1610 +a(g185 +Vn/*/f:*. +p1611 +tp1612 +a(g340 +V{ +tp1613 +a(g185 +Vc,C,cc,o,a,s,i +p1614 +tp1615 +a(g340 +V} +tp1616 +a(g185 +V/ +tp1617 +a(g185 +V\u000a +p1618 +tp1619 +a(g53 +Vcomplete +p1620 +tp1621 +a(g185 +Vg++ +p1622 +tp1623 +a(g185 +V +p1624 +tp1625 +a(g185 +Vn/*/f:*. +p1626 +tp1627 +a(g340 +V{ +tp1628 +a(g185 +VC,cc,o,s,i +p1629 +tp1630 +a(g340 +V} +tp1631 +a(g185 +V/ +tp1632 +a(g185 +V\u000a +p1633 +tp1634 +a(g53 +Vcomplete +p1635 +tp1636 +a(g185 +VCC +p1637 +tp1638 +a(g185 +V +p1639 +tp1640 +a(g185 +Vn/*/f:*. +p1641 +tp1642 +a(g340 +V{ +tp1643 +a(g185 +VC,cc,o,s,i +p1644 +tp1645 +a(g340 +V} +tp1646 +a(g185 +V/ +tp1647 +a(g185 +V\u000a +tp1648 +a(g107 +Vif +p1649 +tp1650 +a(g185 +V +tp1651 +a(g340 +V( +tp1652 +a(g69 +V$? +p1653 +tp1654 +a(g185 +Vfoolproof_rm_complete +p1655 +tp1656 +a(g340 +V) +tp1657 +a(g185 +V +tp1658 +a(g107 +Vthen\u000a +p1659 +tp1660 +a(g53 +Vcomplete +p1661 +tp1662 +a(g185 +Vrm +p1663 +tp1664 +a(g185 +V +p1665 +tp1666 +a(g185 +Vc/--/ +p1667 +tp1668 +a(g241 +V"(directory force interactive verbose \u005c\u000a recursive help version)" +p1669 +tp1670 +a(g185 +V/ +tp1671 +a(g185 +V +tp1672 +a(g185 +Vc/-/ +p1673 +tp1674 +a(g241 +V"(d f i v r R -)" +p1675 +tp1676 +a(g185 +V/ +tp1677 +a(g185 +V +tp1678 +a(g248 +V\u005c\u000a +p1679 +tp1680 +a(g185 +V +p1681 +tp1682 +a(g185 +Vn/*/f:^*. +p1683 +tp1684 +a(g340 +V{ +tp1685 +a(g185 +Vc,cc,C,h,in +p1686 +tp1687 +a(g340 +V} +tp1688 +a(g185 +V/ +tp1689 +a(g185 +V +tp1690 +a(g6 +V# Protect precious files\u000a +p1691 +tp1692 +a(g107 +Velse\u000a +p1693 +tp1694 +a(g53 +Vcomplete +p1695 +tp1696 +a(g185 +Vrm +p1697 +tp1698 +a(g185 +V +p1699 +tp1700 +a(g185 +Vc/--/ +p1701 +tp1702 +a(g241 +V"(directory force interactive verbose \u005c\u000a recursive help version)" +p1703 +tp1704 +a(g185 +V/ +tp1705 +a(g185 +V +tp1706 +a(g185 +Vc/-/ +p1707 +tp1708 +a(g241 +V"(d f i v r R -)" +p1709 +tp1710 +a(g185 +V/ +tp1711 +a(g185 +V\u000a +tp1712 +a(g107 +Vendif\u000a +p1713 +tp1714 +a(g53 +Vcomplete +p1715 +tp1716 +a(g185 +Vvi +p1717 +tp1718 +a(g185 +V +p1719 +tp1720 +a(g185 +Vn/*/f:^*. +p1721 +tp1722 +a(g340 +V[ +tp1723 +a(g185 +Voa +p1724 +tp1725 +a(g340 +V] +tp1726 +a(g185 +V/ +tp1727 +a(g185 +V\u000a +p1728 +tp1729 +a(g53 +Vcomplete +p1730 +tp1731 +a(g53 +Vbindkey +p1732 +tp1733 +a(g185 +VN/-a/b/ +p1734 +tp1735 +a(g185 +V +tp1736 +a(g185 +VN/-c/c/ +p1737 +tp1738 +a(g185 +V +tp1739 +a(g185 +Vn/- +p1740 +tp1741 +a(g340 +V[ +tp1742 +a(g185 +Vascr +p1743 +tp1744 +a(g340 +V] +tp1745 +a(g185 +V/ +tp1746 +a(g268 +V'x:' +p1747 +tp1748 +a(g185 +V/ +tp1749 +a(g185 +V +tp1750 +a(g248 +V\u005c\u000a +p1751 +tp1752 +a(g185 +V +p1753 +tp1754 +a(g185 +Vn/- +p1755 +tp1756 +a(g340 +V[ +tp1757 +a(g185 +Vsvedlr +p1758 +tp1759 +a(g340 +V] +tp1760 +a(g185 +V/n/ +p1761 +tp1762 +a(g185 +V +tp1763 +a(g185 +Vc/- +p1764 +tp1765 +a(g340 +V[ +tp1766 +a(g185 +Vvedl +p1767 +tp1768 +a(g340 +V] +tp1769 +a(g185 +V/n/ +p1770 +tp1771 +a(g185 +V +tp1772 +a(g185 +Vc/-/ +p1773 +tp1774 +a(g241 +V"(a s k c v e d l r)" +p1775 +tp1776 +a(g185 +V/ +tp1777 +a(g248 +V\u005c\u000a +p1778 +tp1779 +a(g185 +V +p1780 +tp1781 +a(g185 +Vn/-k/ +p1782 +tp1783 +a(g241 +V"(left right up down)" +p1784 +tp1785 +a(g185 +V/ +tp1786 +a(g185 +V +tp1787 +a(g185 +Vp/2-/b/ +p1788 +tp1789 +a(g185 +V +tp1790 +a(g248 +V\u005c\u000a +p1791 +tp1792 +a(g185 +V +p1793 +tp1794 +a(g185 +Vp/1/ +p1795 +tp1796 +a(g268 +V'x:' +p1797 +tp1798 +a(g185 +V/ +tp1799 +a(g185 +V\u000a\u000a +p1800 +tp1801 +a(g107 +Vif +p1802 +tp1803 +a(g185 +V +tp1804 +a(g340 +V( +tp1805 +a(g69 +V$? +p1806 +tp1807 +a(g185 +Vtraditional_find_complete +p1808 +tp1809 +a(g340 +V) +tp1810 +a(g185 +V +tp1811 +a(g107 +Vthen\u000a +p1812 +tp1813 +a(g53 +Vcomplete +p1814 +tp1815 +a(g185 +Vfind +p1816 +tp1817 +a(g185 +V +p1818 +tp1819 +a(g185 +Vn/-fstype/ +p1820 +tp1821 +a(g241 +V"(nfs 4.2)" +p1822 +tp1823 +a(g185 +V/ +tp1824 +a(g185 +V +tp1825 +a(g185 +Vn/-name/f/ +p1826 +tp1827 +a(g185 +V +tp1828 +a(g248 +V\u005c\u000a +p1829 +tp1830 +a(g185 +V +p1831 +tp1832 +a(g185 +Vn/-type/ +p1833 +tp1834 +a(g241 +V"(c b d f p l s)" +p1835 +tp1836 +a(g185 +V/ +tp1837 +a(g185 +V +tp1838 +a(g185 +Vn/-user/u/ +p1839 +tp1840 +a(g185 +V +tp1841 +a(g185 +Vn/-group/g/ +p1842 +tp1843 +a(g185 +V +tp1844 +a(g248 +V\u005c\u000a +p1845 +tp1846 +a(g185 +V +p1847 +tp1848 +a(g185 +Vn/-exec/c/ +p1849 +tp1850 +a(g185 +V +tp1851 +a(g185 +Vn/-ok/c/ +p1852 +tp1853 +a(g185 +V +tp1854 +a(g185 +Vn/-cpio/f/ +p1855 +tp1856 +a(g185 +V +tp1857 +a(g185 +Vn/-ncpio/f/ +p1858 +tp1859 +a(g185 +V +tp1860 +a(g185 +Vn/-newer/f/ +p1861 +tp1862 +a(g185 +V +tp1863 +a(g248 +V\u005c\u000a +p1864 +tp1865 +a(g185 +V +p1866 +tp1867 +a(g185 +Vc/-/ +p1868 +tp1869 +a(g241 +V"(fstype name perm prune type user nouser \u005c\u000a group nogroup size inum atime mtime ctime exec \u005c\u000a ok print ls cpio ncpio newer xdev depth \u005c\u000a daystart follow maxdepth mindepth noleaf version \u005c\u000a anewer cnewer amin cmin mmin true false uid gid \u005c\u000a ilname iname ipath iregex links lname empty path \u005c\u000a regex used xtype fprint fprint0 fprintf \u005c\u000a print0 printf not a and o or)" +p1870 +tp1871 +a(g185 +V/ +tp1872 +a(g185 +V +tp1873 +a(g248 +V\u005c\u000a +p1874 +tp1875 +a(g185 +V +p1876 +tp1877 +a(g185 +Vn/*/d/ +p1878 +tp1879 +a(g185 +V\u000a +tp1880 +a(g107 +Velse\u000a +p1881 +tp1882 +a(g53 +Vcomplete +p1883 +tp1884 +a(g185 +Vfind +p1885 +tp1886 +a(g185 +V +p1887 +tp1888 +a(g185 +Vn/-fstype/ +p1889 +tp1890 +a(g241 +V"(ufs nfs tmp mfs minix ext2 msdos umsdos vfat proc iso9660 4.2 4.3 local)" +p1891 +tp1892 +a(g185 +V/ +tp1893 +a(g185 +V +tp1894 +a(g248 +V\u005c\u000a +p1895 +tp1896 +a(g185 +V +p1897 +tp1898 +a(g185 +Vn/-name/f/ +p1899 +tp1900 +a(g185 +V +tp1901 +a(g248 +V\u005c\u000a +p1902 +tp1903 +a(g185 +V +p1904 +tp1905 +a(g185 +Vn/-type/ +p1906 +tp1907 +a(g241 +V"(c b d f p l s)" +p1908 +tp1909 +a(g185 +V/ +tp1910 +a(g185 +V +tp1911 +a(g185 +Vn/-user/u/ +p1912 +tp1913 +a(g185 +V +tp1914 +a(g185 +Vn/-group/g/ +p1915 +tp1916 +a(g185 +V +tp1917 +a(g248 +V\u005c\u000a +p1918 +tp1919 +a(g185 +V +p1920 +tp1921 +a(g185 +Vn/-exec/c/ +p1922 +tp1923 +a(g185 +V +tp1924 +a(g185 +Vn/-ok/c/ +p1925 +tp1926 +a(g185 +V +tp1927 +a(g185 +Vn/-cpio/f/ +p1928 +tp1929 +a(g185 +V +tp1930 +a(g185 +Vn/-ncpio/f/ +p1931 +tp1932 +a(g185 +V +tp1933 +a(g185 +Vn/-newer/f/ +p1934 +tp1935 +a(g185 +V +tp1936 +a(g248 +V\u005c\u000a +p1937 +tp1938 +a(g185 +V +p1939 +tp1940 +a(g185 +Vc/-/ +p1941 +tp1942 +a(g241 +V"(fstype name perm prune type user nouser \u005c\u000a group nogroup size inum atime mtime ctime exec \u005c\u000a ok print ls cpio ncpio newer xdev depth \u005c\u000a daystart follow maxdepth mindepth noleaf version \u005c\u000a anewer cnewer amin cmin mmin true false uid gid \u005c\u000a ilname iname ipath iregex links lname empty path \u005c\u000a regex used xtype fprint fprint0 fprintf \u005c\u000a print0 printf not a and o or)" +p1943 +tp1944 +a(g185 +V/ +tp1945 +a(g185 +V +tp1946 +a(g248 +V\u005c\u000a +p1947 +tp1948 +a(g185 +V +p1949 +tp1950 +a(g185 +Vn/*/d/ +p1951 +tp1952 +a(g185 +V\u000a +tp1953 +a(g107 +Vendif\u000a +p1954 +tp1955 +a(g53 +Vcomplete +p1956 +tp1957 +a(g185 +V +tp1958 +a(g185 +V-%* +p1959 +tp1960 +a(g185 +V +tp1961 +a(g185 +Vc/%/j/ +p1962 +tp1963 +a(g185 +V +p1964 +tp1965 +a(g6 +V# fill in the jobs builtin\u000a +p1966 +tp1967 +a(g185 +V +p1968 +tp1969 +a(g53 +Vcomplete +p1970 +tp1971 +a(g185 +V +tp1972 +a(g340 +V{ +tp1973 +a(g53 +Vfg +p1974 +tp1975 +a(g185 +V,bg,stop +p1976 +tp1977 +a(g340 +V} +tp1978 +a(g185 +V +tp1979 +a(g185 +Vc/%/j/ +p1980 +tp1981 +a(g185 +V +tp1982 +a(g185 +Vp/1/ +p1983 +tp1984 +a(g241 +V"(%)" +p1985 +tp1986 +a(g185 +V// +p1987 +tp1988 +a(g185 +V\u000a\u000a +p1989 +tp1990 +a(g53 +Vcomplete +p1991 +tp1992 +a(g53 +Vlimit +p1993 +tp1994 +a(g185 +Vc/-/ +p1995 +tp1996 +a(g241 +V"(h)" +p1997 +tp1998 +a(g185 +V/ +tp1999 +a(g185 +V +tp2000 +a(g185 +Vn/*/l/ +p2001 +tp2002 +a(g185 +V\u000a +p2003 +tp2004 +a(g53 +Vcomplete +p2005 +tp2006 +a(g53 +Vunlimit +p2007 +tp2008 +a(g185 +Vc/-/ +p2009 +tp2010 +a(g241 +V"(h)" +p2011 +tp2012 +a(g185 +V/ +tp2013 +a(g185 +V +tp2014 +a(g185 +Vn/*/l/ +p2015 +tp2016 +a(g185 +V\u000a\u000a +p2017 +tp2018 +a(g53 +Vcomplete +p2019 +tp2020 +a(g185 +V +tp2021 +a(g185 +V-co* +p2022 +tp2023 +a(g185 +V +tp2024 +a(g185 +Vp/0/ +p2025 +tp2026 +a(g241 +V"(compress)" +p2027 +tp2028 +a(g185 +V/ +tp2029 +a(g185 +V +tp2030 +a(g6 +V# make compress completion\u000a +p2031 +tp2032 +a(g185 +V +p2033 +tp2034 +a(g6 +V# not ambiguous\u000a +p2035 +tp2036 +a(g107 +Vif +p2037 +tp2038 +a(g185 +V +tp2039 +a(g340 +V( +tp2040 +a(g69 +V$? +p2041 +tp2042 +a(g185 +Vtraditional_zcat_complete +p2043 +tp2044 +a(g340 +V) +tp2045 +a(g185 +V +tp2046 +a(g107 +Vthen\u000a +p2047 +tp2048 +a(g53 +Vcomplete +p2049 +tp2050 +a(g185 +Vzcat +p2051 +tp2052 +a(g185 +V +tp2053 +a(g185 +Vn/*/f:*.Z/ +p2054 +tp2055 +a(g185 +V\u000a +tp2056 +a(g107 +Velse\u000a +p2057 +tp2058 +a(g53 +Vcomplete +p2059 +tp2060 +a(g185 +Vzcat +p2061 +tp2062 +a(g185 +V +tp2063 +a(g185 +Vc/--/ +p2064 +tp2065 +a(g241 +V"(force help license quiet version)" +p2066 +tp2067 +a(g185 +V/ +tp2068 +a(g185 +V +tp2069 +a(g248 +V\u005c\u000a +p2070 +tp2071 +a(g185 +V +p2072 +tp2073 +a(g185 +Vc/-/ +p2074 +tp2075 +a(g241 +V"(f h L q V -)" +p2076 +tp2077 +a(g185 +V/ +tp2078 +a(g185 +V +tp2079 +a(g185 +Vn/*/f:*. +p2080 +tp2081 +a(g340 +V{ +tp2082 +a(g185 +Vgz,Z,z,zip +p2083 +tp2084 +a(g340 +V} +tp2085 +a(g185 +V/ +tp2086 +a(g185 +V\u000a +tp2087 +a(g107 +Vendif\u000a +p2088 +tp2089 +a(g107 +Vif +p2090 +tp2091 +a(g185 +V +tp2092 +a(g340 +V( +tp2093 +a(g69 +V$? +p2094 +tp2095 +a(g185 +Vtraditional_nm_complete +p2096 +tp2097 +a(g340 +V) +tp2098 +a(g185 +V +tp2099 +a(g107 +Vthen\u000a +p2100 +tp2101 +a(g53 +Vcomplete +p2102 +tp2103 +a(g185 +Vnm +p2104 +tp2105 +a(g185 +V +p2106 +tp2107 +a(g185 +Vn/*/f:^*. +p2108 +tp2109 +a(g340 +V{ +tp2110 +a(g185 +Vh,C,c,cc +p2111 +tp2112 +a(g340 +V} +tp2113 +a(g185 +V/ +tp2114 +a(g185 +V\u000a +tp2115 +a(g107 +Velse\u000a +p2116 +tp2117 +a(g53 +Vcomplete +p2118 +tp2119 +a(g185 +Vnm +p2120 +tp2121 +a(g185 +V +tp2122 +a(g268 +V'c/--radix=/x:/' +p2123 +tp2124 +a(g185 +V +tp2125 +a(g248 +V\u005c\u000a +p2126 +tp2127 +a(g185 +V +p2128 +tp2129 +a(g268 +V'c/--target=/x:/' +p2130 +tp2131 +a(g185 +V +tp2132 +a(g248 +V\u005c\u000a +p2133 +tp2134 +a(g185 +V +p2135 +tp2136 +a(g268 +V'c/--format=/(bsd sysv posix)/n/' +p2137 +tp2138 +a(g185 +V +tp2139 +a(g248 +V\u005c\u000a +p2140 +tp2141 +a(g185 +V +p2142 +tp2143 +a(g268 +V'c/--/(debugsyms extern-only demangle dynamic print-armap \u005c\u000a print-file-name numeric-sort no-sort reverse-sort \u005c\u000a size-sort undefined-only portability target= radix= \u005c\u000a format= defined-only\u005c line-numbers no-demangle version \u005c\u000a help)//' +p2144 +tp2145 +a(g185 +V +tp2146 +a(g248 +V\u005c\u000a +p2147 +tp2148 +a(g185 +V +p2149 +tp2150 +a(g268 +V'n/*/f:^*.{h,c,cc,s,S}/' +p2151 +tp2152 +a(g185 +V\u000a +tp2153 +a(g107 +Vendif\u000a\u000a +p2154 +tp2155 +a(g53 +Vcomplete +p2156 +tp2157 +a(g185 +Vfinger +p2158 +tp2159 +a(g185 +V +tp2160 +a(g185 +Vc/*@/ +p2161 +tp2162 +a(g248 +V\u005c$ +p2163 +tp2164 +a(g185 +Vhosts/ +p2165 +tp2166 +a(g185 +V +tp2167 +a(g185 +Vn/*/u/@ +p2168 +tp2169 +a(g185 +V \u000a +p2170 +tp2171 +a(g53 +Vcomplete +p2172 +tp2173 +a(g185 +Vping +p2174 +tp2175 +a(g185 +V +tp2176 +a(g185 +Vp/1/ +p2177 +tp2178 +a(g248 +V\u005c$ +p2179 +tp2180 +a(g185 +Vhosts/ +p2181 +tp2182 +a(g185 +V\u000a +p2183 +tp2184 +a(g53 +Vcomplete +p2185 +tp2186 +a(g185 +Vtraceroute +p2187 +tp2188 +a(g185 +V +tp2189 +a(g185 +Vp/1/ +p2190 +tp2191 +a(g248 +V\u005c$ +p2192 +tp2193 +a(g185 +Vhosts/ +p2194 +tp2195 +a(g185 +V\u000a\u000a +p2196 +tp2197 +a(g53 +Vcomplete +p2198 +tp2199 +a(g185 +V +tp2200 +a(g340 +V{ +tp2201 +a(g185 +Vtalk,ntalk,phone +p2202 +tp2203 +a(g340 +V} +tp2204 +a(g185 +V +tp2205 +a(g185 +Vp/1/ +p2206 +tp2207 +a(g268 +V'`users | tr " " "\u005c012" | uniq`' +p2208 +tp2209 +a(g185 +V/ +tp2210 +a(g185 +V +tp2211 +a(g248 +V\u005c\u000a +p2212 +tp2213 +a(g185 +V +p2214 +tp2215 +a(g185 +Vn/*/ +p2216 +tp2217 +a(g248 +V\u005c` +p2218 +tp2219 +a(g185 +Vwho +p2220 +tp2221 +a(g248 +V\u005c +p2222 +tp2223 +a(g248 +V\u005c| +p2224 +tp2225 +a(g248 +V\u005c +p2226 +tp2227 +a(g185 +Vgrep +p2228 +tp2229 +a(g248 +V\u005c +p2230 +tp2231 +a(g248 +V\u005c$ +p2232 +tp2233 +a(g185 +V:1 +p2234 +tp2235 +a(g248 +V\u005c +p2236 +tp2237 +a(g248 +V\u005c| +p2238 +tp2239 +a(g248 +V\u005c +p2240 +tp2241 +a(g185 +Vawk +p2242 +tp2243 +a(g248 +V\u005c +p2244 +tp2245 +a(g248 +V\u005c' +p2246 +tp2247 +a(g248 +V\u005c{ +p2248 +tp2249 +a(g248 +V\u005c +p2250 +tp2251 +a(g185 +Vprint +p2252 +tp2253 +a(g248 +V\u005c +p2254 +tp2255 +a(g248 +V\u005c$ +p2256 +tp2257 +a(g185 +V2 +tp2258 +a(g248 +V\u005c +p2259 +tp2260 +a(g248 +V\u005c} +p2261 +tp2262 +a(g248 +V\u005c' +p2263 +tp2264 +a(g248 +V\u005c` +p2265 +tp2266 +a(g185 +V/ +tp2267 +a(g185 +V\u000a\u000a +p2268 +tp2269 +a(g53 +Vcomplete +p2270 +tp2271 +a(g185 +Vftp +p2272 +tp2273 +a(g185 +V +tp2274 +a(g185 +Vc/-/ +p2275 +tp2276 +a(g241 +V"(d i g n v)" +p2277 +tp2278 +a(g185 +V/ +tp2279 +a(g185 +V +tp2280 +a(g185 +Vn/-/ +p2281 +tp2282 +a(g248 +V\u005c$ +p2283 +tp2284 +a(g185 +Vhosts/ +p2285 +tp2286 +a(g185 +V +tp2287 +a(g185 +Vp/1/ +p2288 +tp2289 +a(g248 +V\u005c$ +p2290 +tp2291 +a(g185 +Vhosts/ +p2292 +tp2293 +a(g185 +V +tp2294 +a(g185 +Vn/*/n/ +p2295 +tp2296 +a(g185 +V\u000a\u000a +p2297 +tp2298 +a(g6 +V# this one is simple...\u000a +p2299 +tp2300 +a(g185 +V +p2301 +tp2302 +a(g6 +V#complete rcp c/*:/f/ C@[./\u005c$~]*@f@ n/*/\u005c$hosts/:\u000a +p2303 +tp2304 +a(g185 +V +p2305 +tp2306 +a(g6 +V# From Michael Schroeder \u000a +p2307 +tp2308 +a(g185 +V +p2309 +tp2310 +a(g6 +V# This one will rsh to the file to fetch the list of files!\u000a +p2311 +tp2312 +a(g185 +V +p2313 +tp2314 +a(g53 +Vcomplete +p2315 +tp2316 +a(g185 +Vrcp +p2317 +tp2318 +a(g185 +V +tp2319 +a(g268 +V'c%*@*:%`set q=$:-0;set q="$q:s/@/ /";set q="$q:s/:/ /";set q=($q " ");rsh $q[2] -l $q[1] ls -dp $q[3]\u005c*`%' +p2320 +tp2321 +a(g185 +V +tp2322 +a(g268 +V'c%*:%`set q=$:-0;set q="$q:s/:/ /";set q=($q " ");rsh $q[1] ls -dp $q[2]\u005c*`%' +p2323 +tp2324 +a(g185 +V +tp2325 +a(g268 +V'c%*@%$hosts%:' +p2326 +tp2327 +a(g185 +V +tp2328 +a(g268 +V'C@[./$~]*@f@' +p2329 +tp2330 +a(g185 +V +p2331 +tp2332 +a(g268 +V'n/*/$hosts/:' +p2333 +tp2334 +a(g185 +V\u000a\u000a +p2335 +tp2336 +a(g53 +Vcomplete +p2337 +tp2338 +a(g185 +Vdd +p2339 +tp2340 +a(g185 +V +tp2341 +a(g185 +Vc/--/ +p2342 +tp2343 +a(g241 +V"(help version)" +p2344 +tp2345 +a(g185 +V/ +tp2346 +a(g185 +V +tp2347 +a(g185 +Vc/ +p2348 +tp2349 +a(g340 +V[ +tp2350 +a(g185 +Vio +p2351 +tp2352 +a(g340 +V] +tp2353 +a(g69 +Vf +tp2354 +a(g340 +V= +tp2355 +a(g185 +V/f/ +p2356 +tp2357 +a(g185 +V +tp2358 +a(g248 +V\u005c\u000a +p2359 +tp2360 +a(g185 +V +p2361 +tp2362 +a(g185 +Vc/conv +p2363 +tp2364 +a(g340 +V= +tp2365 +a(g185 +V*,/ +p2366 +tp2367 +a(g241 +V"(ascii ebcdic ibm block unblock \u005c\u000a lcase ucase swab noerror sync)" +p2368 +tp2369 +a(g185 +V/, +p2370 +tp2371 +a(g248 +V\u005c\u000a +p2372 +tp2373 +a(g185 +V +p2374 +tp2375 +a(g185 +Vc/conv +p2376 +tp2377 +a(g340 +V= +tp2378 +a(g185 +V/ +tp2379 +a(g241 +V"(ascii ebcdic ibm block unblock \u005c\u000a lcase ucase swab noerror sync)" +p2380 +tp2381 +a(g185 +V/, +p2382 +tp2383 +a(g248 +V\u005c\u000a +p2384 +tp2385 +a(g185 +V +p2386 +tp2387 +a(g185 +Vc/* +p2388 +tp2389 +a(g340 +V= +tp2390 +a(g185 +V/x: +p2391 +tp2392 +a(g268 +V'' +p2393 +tp2394 +a(g185 +V/ +tp2395 +a(g185 +V +tp2396 +a(g248 +V\u005c\u000a +p2397 +tp2398 +a(g185 +V +p2399 +tp2400 +a(g185 +Vn/*/ +p2401 +tp2402 +a(g241 +V"(if of conv ibs obs bs cbs files skip file seek count)" +p2403 +tp2404 +a(g185 +V/ +tp2405 +a(g340 +V= +tp2406 +a(g185 +V\u000a\u000a +p2407 +tp2408 +a(g53 +Vcomplete +p2409 +tp2410 +a(g185 +Vnslookup +p2411 +tp2412 +a(g185 +V +p2413 +tp2414 +a(g185 +Vp/1/x: +p2415 +tp2416 +a(g268 +V'' +p2417 +tp2418 +a(g185 +V/ +tp2419 +a(g185 +V +tp2420 +a(g185 +Vp/2/ +p2421 +tp2422 +a(g248 +V\u005c$ +p2423 +tp2424 +a(g185 +Vhosts/ +p2425 +tp2426 +a(g185 +V\u000a\u000a +p2427 +tp2428 +a(g53 +Vcomplete +p2429 +tp2430 +a(g185 +Var +p2431 +tp2432 +a(g185 +V +tp2433 +a(g185 +Vc/ +p2434 +tp2435 +a(g340 +V[ +tp2436 +a(g185 +Vdmpqrtx +p2437 +tp2438 +a(g340 +V] +tp2439 +a(g185 +V/ +tp2440 +a(g241 +V"(c l o u v a b i)" +p2441 +tp2442 +a(g185 +V/ +tp2443 +a(g185 +V +tp2444 +a(g185 +Vp/1/ +p2445 +tp2446 +a(g241 +V"(d m p q r t x)" +p2447 +tp2448 +a(g185 +V// +p2449 +tp2450 +a(g185 +V +tp2451 +a(g248 +V\u005c\u000a +p2452 +tp2453 +a(g185 +V +p2454 +tp2455 +a(g185 +Vp/2/f:*.a/ +p2456 +tp2457 +a(g185 +V +tp2458 +a(g185 +Vp/*/f:*.o/ +p2459 +tp2460 +a(g185 +V\u000a\u000a +p2461 +tp2462 +a(g53 +Vcomplete +p2463 +tp2464 +a(g185 +V +tp2465 +a(g340 +V{ +tp2466 +a(g185 +Vrefile,sprev,snext,scan,pick,rmm,inc,folder,show +p2467 +tp2468 +a(g340 +V} +tp2469 +a(g185 +V +tp2470 +a(g248 +V\u005c\u000a +p2471 +tp2472 +a(g185 +V +p2473 +tp2474 +a(g185 +Vc@+@F: +p2475 +tp2476 +a(g69 +V$HOME +p2477 +tp2478 +a(g185 +V/Mail/@ +p2479 +tp2480 +a(g185 +V\u000a\u000a +p2481 +tp2482 +a(g6 +V# these and interrupt handling from Jaap Vermeulen \u000a +p2483 +tp2484 +a(g185 +V +p2485 +tp2486 +a(g53 +Vcomplete +p2487 +tp2488 +a(g185 +V +tp2489 +a(g340 +V{ +tp2490 +a(g185 +Vrexec,rxexec,rxterm,rmterm +p2491 +tp2492 +a(g340 +V} +tp2493 +a(g185 +V +tp2494 +a(g248 +V\u005c\u000a +p2495 +tp2496 +a(g185 +V +p2497 +tp2498 +a(g268 +V'p/1/$hosts/' +p2499 +tp2500 +a(g185 +V +tp2501 +a(g268 +V'c/-/(l L E)/' +p2502 +tp2503 +a(g185 +V +tp2504 +a(g268 +V'n/-l/u/' +p2505 +tp2506 +a(g185 +V +tp2507 +a(g268 +V'n/-L/f/' +p2508 +tp2509 +a(g185 +V +tp2510 +a(g248 +V\u005c\u000a +p2511 +tp2512 +a(g185 +V +p2513 +tp2514 +a(g268 +V'n/-E/e/' +p2515 +tp2516 +a(g185 +V +tp2517 +a(g268 +V'n/*/c/' +p2518 +tp2519 +a(g185 +V\u000a +p2520 +tp2521 +a(g53 +Vcomplete +p2522 +tp2523 +a(g53 +Vkill +p2524 +tp2525 +a(g185 +V +tp2526 +a(g268 +V'c/-/S/' +p2527 +tp2528 +a(g185 +V +tp2529 +a(g268 +V'c/%/j/' +p2530 +tp2531 +a(g185 +V +tp2532 +a(g248 +V\u005c\u000a +p2533 +tp2534 +a(g185 +V +p2535 +tp2536 +a(g268 +V'n/*/`ps -u $LOGNAME | awk ' +p2537 +tp2538 +a(g241 +V"'" +p2539 +tp2540 +a(g268 +V'{print $1}' +p2541 +tp2542 +a(g241 +V"'" +p2543 +tp2544 +a(g268 +V'`/' +p2545 +tp2546 +a(g185 +V\u000a\u000a +p2547 +tp2548 +a(g6 +V# these from Marc Horowitz \u000a +p2549 +tp2550 +a(g185 +V +p2551 +tp2552 +a(g53 +Vcomplete +p2553 +tp2554 +a(g185 +Vattach +p2555 +tp2556 +a(g185 +V +tp2557 +a(g268 +V'n/-mountpoint/d/' +p2558 +tp2559 +a(g185 +V +tp2560 +a(g268 +V'n/-m/d/' +p2561 +tp2562 +a(g185 +V +tp2563 +a(g268 +V'n/-type/(afs nfs rvd ufs)/' +p2564 +tp2565 +a(g185 +V +tp2566 +a(g248 +V\u005c\u000a +p2567 +tp2568 +a(g185 +V +p2569 +tp2570 +a(g268 +V'n/-t/(afs nfs rvd ufs)/' +p2571 +tp2572 +a(g185 +V +tp2573 +a(g268 +V'n/-user/u/' +p2574 +tp2575 +a(g185 +V +tp2576 +a(g268 +V'n/-U/u/' +p2577 +tp2578 +a(g185 +V +tp2579 +a(g248 +V\u005c\u000a +p2580 +tp2581 +a(g185 +V +p2582 +tp2583 +a(g268 +V'c/-/(verbose quiet force printpath lookup debug map \u005c\u000a nomap remap zephyr nozephyr readonly write \u005c\u000a mountpoint noexplicit explicit type mountoptions \u005c\u000a nosetuid setuid override skipfsck lock user host)/' +p2584 +tp2585 +a(g185 +V +tp2586 +a(g248 +V\u005c\u000a +p2587 +tp2588 +a(g185 +V +p2589 +tp2590 +a(g268 +V'n/-e/f/' +p2591 +tp2592 +a(g185 +V +tp2593 +a(g268 +V'n/*/()/' +p2594 +tp2595 +a(g185 +V\u000a +p2596 +tp2597 +a(g53 +Vcomplete +p2598 +tp2599 +a(g185 +Vhesinfo +p2600 +tp2601 +a(g185 +V +tp2602 +a(g268 +V'p/1/u/' +p2603 +tp2604 +a(g185 +V +tp2605 +a(g248 +V\u005c\u000a +p2606 +tp2607 +a(g185 +V +p2608 +tp2609 +a(g268 +V'p/2/(passwd group uid grplist pcap pobox cluster \u005c\u000a filsys sloc service)/' +p2610 +tp2611 +a(g185 +V\u000a\u000a +p2612 +tp2613 +a(g6 +V# these from E. Jay Berkenbilt \u000a +p2614 +tp2615 +a(g107 +Vif +p2616 +tp2617 +a(g185 +V +tp2618 +a(g340 +V( +tp2619 +a(g69 +V$? +p2620 +tp2621 +a(g185 +Vtraditional_configure_complete +p2622 +tp2623 +a(g340 +V) +tp2624 +a(g185 +V +tp2625 +a(g107 +Vthen\u000a +p2626 +tp2627 +a(g53 +Vcomplete +p2628 +tp2629 +a(g185 +V +tp2630 +a(g185 +V./configure +p2631 +tp2632 +a(g185 +V +tp2633 +a(g268 +V'c/--*=/f/' +p2634 +tp2635 +a(g185 +V +tp2636 +a(g268 +V'c/--{cache-file,prefix,srcdir}/(=)//' +p2637 +tp2638 +a(g185 +V +tp2639 +a(g248 +V\u005c\u000a +p2640 +tp2641 +a(g185 +V +p2642 +tp2643 +a(g268 +V'c/--/(cache-file verbose prefix srcdir)//' +p2644 +tp2645 +a(g185 +V\u000a +tp2646 +a(g107 +Velse\u000a +p2647 +tp2648 +a(g53 +Vcomplete +p2649 +tp2650 +a(g185 +V +tp2651 +a(g185 +V./configure +p2652 +tp2653 +a(g185 +V +tp2654 +a(g248 +V\u005c\u000a +p2655 +tp2656 +a(g185 +V +p2657 +tp2658 +a(g268 +V'c@--{prefix,exec-prefix,bindir,sbindir,libexecdir,datadir,sysconfdir,sharedstatedir,localstatedir,infodir,mandir,srcdir,x-includes,x-libraries}=*@x:' +p2659 +tp2660 +a(g185 +V@ +tp2661 +a(g185 +V +tp2662 +a(g248 +V\u005c\u000a +p2663 +tp2664 +a(g185 +V +p2665 +tp2666 +a(g268 +V'c/--cachefile=*/x:/' +p2667 +tp2668 +a(g185 +V +tp2669 +a(g248 +V\u005c\u000a +p2670 +tp2671 +a(g185 +V +p2672 +tp2673 +a(g268 +V'c/--{enable,disable,with}-*/x://' +p2674 +tp2675 +a(g185 +V +tp2676 +a(g248 +V\u005c\u000a +p2677 +tp2678 +a(g185 +V +p2679 +tp2680 +a(g268 +V'c/--*=/x:/' +p2681 +tp2682 +a(g185 +V +tp2683 +a(g248 +V\u005c\u000a +p2684 +tp2685 +a(g185 +V +p2686 +tp2687 +a(g268 +V'c/--/(prefix= exec-prefix= bindir= sbindir= \u005c\u000a libexecdir= datadir= sysconfdir= \u005c\u000a sharedstatedir= localstatedir= infodir= \u005c\u000a mandir= srcdir= x-includes= x-libraries= \u005c\u000a enable- disable- with- )//' +p2688 +tp2689 +a(g185 +V +tp2690 +a(g248 +V\u005c\u000a +p2691 +tp2692 +a(g185 +V +p2693 +tp2694 +a(g268 +V'c/--(help no-create quiet silent version \u005c\u000a verbose)/' +p2695 +tp2696 +a(g185 +V\u000a +tp2697 +a(g107 +Vendif\u000a +p2698 +tp2699 +a(g53 +Vcomplete +p2700 +tp2701 +a(g185 +Vgs +p2702 +tp2703 +a(g185 +V +tp2704 +a(g268 +V'c/-sDEVICE=/(x11 cdjmono cdj550 epson eps9high epsonc \u005c\u000a dfaxhigh dfaxlow laserjet ljet4 sparc pbm \u005c\u000a pbmraw pgm pgmraw ppm ppmraw bit)/' +p2705 +tp2706 +a(g185 +V +tp2707 +a(g248 +V\u005c\u000a +p2708 +tp2709 +a(g185 +V +p2710 +tp2711 +a(g268 +V'c/-sOutputFile=/f/' +p2712 +tp2713 +a(g185 +V +tp2714 +a(g268 +V'c/-s/(DEVICE OutputFile)/=' +p2715 +tp2716 +a(g185 +V +tp2717 +a(g248 +V\u005c\u000a +p2718 +tp2719 +a(g185 +V +p2720 +tp2721 +a(g268 +V'c/-d/(NODISPLAY NOPLATFONTS NOPAUSE)/' +p2722 +tp2723 +a(g185 +V +tp2724 +a(g268 +V'n/*/f/' +p2725 +tp2726 +a(g185 +V\u000a +p2727 +tp2728 +a(g53 +Vcomplete +p2729 +tp2730 +a(g185 +Vperl +p2731 +tp2732 +a(g185 +V +tp2733 +a(g268 +V'n/-S/c/' +p2734 +tp2735 +a(g185 +V\u000a +p2736 +tp2737 +a(g53 +Vcomplete +p2738 +tp2739 +a(g53 +Vprintenv +p2740 +tp2741 +a(g185 +V +tp2742 +a(g268 +V'n/*/e/' +p2743 +tp2744 +a(g185 +V\u000a +p2745 +tp2746 +a(g53 +Vcomplete +p2747 +tp2748 +a(g185 +Vsccs +p2749 +tp2750 +a(g185 +V +tp2751 +a(g185 +Vp/1/ +p2752 +tp2753 +a(g241 +V"(admin cdc check clean comb deledit delget \u005c\u000a delta diffs edit enter fix get help info \u005c\u000a print prs prt rmdel sccsdiff tell unedit \u005c\u000a unget val what)" +p2754 +tp2755 +a(g185 +V/ +tp2756 +a(g185 +V\u000a +p2757 +tp2758 +a(g53 +Vcomplete +p2759 +tp2760 +a(g53 +Vsetenv +p2761 +tp2762 +a(g185 +V +tp2763 +a(g268 +V'p/1/e/' +p2764 +tp2765 +a(g185 +V +tp2766 +a(g268 +V'c/*:/f/' +p2767 +tp2768 +a(g185 +V\u000a\u000a +p2769 +tp2770 +a(g6 +V# these and method of setting hosts from Kimmo Suominen \u000a +p2771 +tp2772 +a(g185 +V +p2773 +tp2774 +a(g107 +Vif +p2775 +tp2776 +a(g185 +V +tp2777 +a(g340 +V( +tp2778 +a(g185 +V +tp2779 +a(g185 +V-f +p2780 +tp2781 +a(g185 +V +tp2782 +a(g69 +V$HOME +p2783 +tp2784 +a(g185 +V/.mh_profile +p2785 +tp2786 +a(g185 +V +tp2787 +a(g185 +V&& +p2788 +tp2789 +a(g185 +V +tp2790 +a(g185 +V-x +p2791 +tp2792 +a(g185 +V +tp2793 +a(g241 +V"`which folders`" +p2794 +tp2795 +a(g185 +V +tp2796 +a(g340 +V) +tp2797 +a(g185 +V +tp2798 +a(g107 +Vthen \u000a\u000a +p2799 +tp2800 +a(g107 +Vif +p2801 +tp2802 +a(g185 +V +tp2803 +a(g340 +V( +tp2804 +a(g185 +V +tp2805 +a(g185 +V! +tp2806 +a(g185 +V +tp2807 +a(g69 +V$? +p2808 +tp2809 +a(g185 +VFOLDERS +p2810 +tp2811 +a(g185 +V +tp2812 +a(g340 +V) +tp2813 +a(g185 +V +tp2814 +a(g53 +Vsetenv +p2815 +tp2816 +a(g185 +VFOLDERS +p2817 +tp2818 +a(g185 +V +tp2819 +a(g241 +V"`folders -fast -recurse`" +p2820 +tp2821 +a(g185 +V\u000a +p2822 +tp2823 +a(g107 +Vif +p2824 +tp2825 +a(g185 +V +tp2826 +a(g340 +V( +tp2827 +a(g185 +V +tp2828 +a(g185 +V! +tp2829 +a(g185 +V +tp2830 +a(g69 +V$? +p2831 +tp2832 +a(g185 +VMHA +p2833 +tp2834 +a(g185 +V +tp2835 +a(g340 +V) +tp2836 +a(g185 +V +p2837 +tp2838 +a(g53 +Vsetenv +p2839 +tp2840 +a(g185 +VMHA +p2841 +tp2842 +a(g185 +V +p2843 +tp2844 +a(g241 +V"`ali | sed -e '/^ /d' -e 's/:.*//'`" +p2845 +tp2846 +a(g185 +V\u000a\u000a +p2847 +tp2848 +a(g53 +Vset +p2849 +tp2850 +a(g69 +Vfolders +p2851 +tp2852 +a(g185 +V +tp2853 +a(g340 +V= +tp2854 +a(g185 +V +tp2855 +a(g340 +V( +tp2856 +a(g185 +V +tp2857 +a(g69 +V$FOLDERS +p2858 +tp2859 +a(g185 +V +tp2860 +a(g340 +V) +tp2861 +a(g185 +V\u000a +p2862 +tp2863 +a(g53 +Vset +p2864 +tp2865 +a(g69 +Vmha +p2866 +tp2867 +a(g185 +V +tp2868 +a(g340 +V= +tp2869 +a(g185 +V +tp2870 +a(g340 +V( +tp2871 +a(g185 +V +tp2872 +a(g69 +V$MHA +p2873 +tp2874 +a(g185 +V +tp2875 +a(g340 +V) +tp2876 +a(g185 +V\u000a\u000a +p2877 +tp2878 +a(g53 +Vcomplete +p2879 +tp2880 +a(g185 +Vali +p2881 +tp2882 +a(g185 +V +tp2883 +a(g248 +V\u005c\u000a +p2884 +tp2885 +a(g185 +V +p2886 +tp2887 +a(g268 +V'c/-/(alias nolist list nonormalize normalize nouser user help)/' +p2888 +tp2889 +a(g185 +V +tp2890 +a(g248 +V\u005c\u000a +p2891 +tp2892 +a(g185 +V +p2893 +tp2894 +a(g268 +V'n,-alias,f,' +p2895 +tp2896 +a(g185 +V\u000a\u000a +p2897 +tp2898 +a(g53 +Vcomplete +p2899 +tp2900 +a(g185 +Vanno +p2901 +tp2902 +a(g185 +V +tp2903 +a(g248 +V\u005c\u000a +p2904 +tp2905 +a(g185 +V +p2906 +tp2907 +a(g268 +V'c/-/(component noinplace inplace nodate date text help)/' +p2908 +tp2909 +a(g185 +V +tp2910 +a(g248 +V\u005c\u000a +p2911 +tp2912 +a(g185 +V +p2913 +tp2914 +a(g268 +V'c,+,$folders,' +p2915 +tp2916 +a(g185 +V +p2917 +tp2918 +a(g248 +V\u005c\u000a +p2919 +tp2920 +a(g185 +V +p2921 +tp2922 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p2923 +tp2924 +a(g185 +V\u000a\u000a +p2925 +tp2926 +a(g53 +Vcomplete +p2927 +tp2928 +a(g185 +Vburst +p2929 +tp2930 +a(g185 +V +tp2931 +a(g248 +V\u005c\u000a +p2932 +tp2933 +a(g185 +V +p2934 +tp2935 +a(g268 +V'c/-/(noinplace inplace noquiet quiet noverbose verbose help)/' +p2936 +tp2937 +a(g185 +V +tp2938 +a(g248 +V\u005c\u000a +p2939 +tp2940 +a(g185 +V +p2941 +tp2942 +a(g268 +V'c,+,$folders,' +p2943 +tp2944 +a(g185 +V +p2945 +tp2946 +a(g248 +V\u005c\u000a +p2947 +tp2948 +a(g185 +V +p2949 +tp2950 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p2951 +tp2952 +a(g185 +V\u000a\u000a +p2953 +tp2954 +a(g53 +Vcomplete +p2955 +tp2956 +a(g185 +Vcomp +p2957 +tp2958 +a(g185 +V +tp2959 +a(g248 +V\u005c\u000a +p2960 +tp2961 +a(g185 +V +p2962 +tp2963 +a(g268 +V'c/-/(draftfolder draftmessage nodraftfolder editor noedit file form nouse use whatnowproc nowhatnowproc help)/' +p2964 +tp2965 +a(g185 +V +tp2966 +a(g248 +V\u005c\u000a +p2967 +tp2968 +a(g185 +V +p2969 +tp2970 +a(g268 +V'c,+,$folders,' +p2971 +tp2972 +a(g185 +V +p2973 +tp2974 +a(g248 +V\u005c\u000a +p2975 +tp2976 +a(g185 +V +p2977 +tp2978 +a(g268 +V'n,-whatnowproc,c,' +p2979 +tp2980 +a(g185 +V +p2981 +tp2982 +a(g248 +V\u005c\u000a +p2983 +tp2984 +a(g185 +V +p2985 +tp2986 +a(g268 +V'n,-file,f,' +p2987 +tp2988 +a(g248 +V\u005c\u000a +p2989 +tp2990 +a(g185 +V +p2991 +tp2992 +a(g268 +V'n,-form,f,' +p2993 +tp2994 +a(g248 +V\u005c\u000a +p2995 +tp2996 +a(g185 +V +p2997 +tp2998 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p2999 +tp3000 +a(g185 +V\u000a\u000a +p3001 +tp3002 +a(g53 +Vcomplete +p3003 +tp3004 +a(g185 +Vdist +p3005 +tp3006 +a(g185 +V +tp3007 +a(g248 +V\u005c\u000a +p3008 +tp3009 +a(g185 +V +p3010 +tp3011 +a(g268 +V'c/-/(noannotate annotate draftfolder draftmessage nodraftfolder editor noedit form noinplace inplace whatnowproc nowhatnowproc help)/' +p3012 +tp3013 +a(g185 +V +tp3014 +a(g248 +V\u005c\u000a +p3015 +tp3016 +a(g185 +V +p3017 +tp3018 +a(g268 +V'c,+,$folders,' +p3019 +tp3020 +a(g185 +V +p3021 +tp3022 +a(g248 +V\u005c\u000a +p3023 +tp3024 +a(g185 +V +p3025 +tp3026 +a(g268 +V'n,-whatnowproc,c,' +p3027 +tp3028 +a(g185 +V +p3029 +tp3030 +a(g248 +V\u005c\u000a +p3031 +tp3032 +a(g185 +V +p3033 +tp3034 +a(g268 +V'n,-form,f,' +p3035 +tp3036 +a(g248 +V\u005c\u000a +p3037 +tp3038 +a(g185 +V +p3039 +tp3040 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3041 +tp3042 +a(g185 +V\u000a\u000a +p3043 +tp3044 +a(g53 +Vcomplete +p3045 +tp3046 +a(g185 +Vfolder +p3047 +tp3048 +a(g185 +V +tp3049 +a(g248 +V\u005c\u000a +p3050 +tp3051 +a(g185 +V +p3052 +tp3053 +a(g268 +V'c/-/(all nofast fast noheader header nopack pack noverbose verbose norecurse recurse nototal total noprint print nolist list push pop help)/' +p3054 +tp3055 +a(g185 +V +tp3056 +a(g248 +V\u005c\u000a +p3057 +tp3058 +a(g185 +V +p3059 +tp3060 +a(g268 +V'c,+,$folders,' +p3061 +tp3062 +a(g185 +V +p3063 +tp3064 +a(g248 +V\u005c\u000a +p3065 +tp3066 +a(g185 +V +p3067 +tp3068 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3069 +tp3070 +a(g185 +V\u000a\u000a +p3071 +tp3072 +a(g53 +Vcomplete +p3073 +tp3074 +a(g185 +Vfolders +p3075 +tp3076 +a(g185 +V +tp3077 +a(g248 +V\u005c\u000a +p3078 +tp3079 +a(g185 +V +p3080 +tp3081 +a(g268 +V'c/-/(all nofast fast noheader header nopack pack noverbose verbose norecurse recurse nototal total noprint print nolist list push pop help)/' +p3082 +tp3083 +a(g185 +V +tp3084 +a(g248 +V\u005c\u000a +p3085 +tp3086 +a(g185 +V +p3087 +tp3088 +a(g268 +V'c,+,$folders,' +p3089 +tp3090 +a(g185 +V +p3091 +tp3092 +a(g248 +V\u005c\u000a +p3093 +tp3094 +a(g185 +V +p3095 +tp3096 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3097 +tp3098 +a(g185 +V\u000a\u000a +p3099 +tp3100 +a(g53 +Vcomplete +p3101 +tp3102 +a(g185 +Vforw +p3103 +tp3104 +a(g185 +V +tp3105 +a(g248 +V\u005c\u000a +p3106 +tp3107 +a(g185 +V +p3108 +tp3109 +a(g268 +V'c/-/(noannotate annotate draftfolder draftmessage nodraftfolder editor noedit filter form noformat format noinplace inplace digest issue volume whatnowproc nowhatnowproc help)/' +p3110 +tp3111 +a(g185 +V +tp3112 +a(g248 +V\u005c\u000a +p3113 +tp3114 +a(g185 +V +p3115 +tp3116 +a(g268 +V'c,+,$folders,' +p3117 +tp3118 +a(g185 +V +p3119 +tp3120 +a(g248 +V\u005c\u000a +p3121 +tp3122 +a(g185 +V +p3123 +tp3124 +a(g268 +V'n,-whatnowproc,c,' +p3125 +tp3126 +a(g185 +V +p3127 +tp3128 +a(g248 +V\u005c\u000a +p3129 +tp3130 +a(g185 +V +p3131 +tp3132 +a(g268 +V'n,-filter,f,' +p3133 +tp3134 +a(g248 +V\u005c\u000a +p3135 +tp3136 +a(g185 +V +p3137 +tp3138 +a(g268 +V'n,-form,f,' +p3139 +tp3140 +a(g248 +V\u005c\u000a +p3141 +tp3142 +a(g185 +V +p3143 +tp3144 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3145 +tp3146 +a(g185 +V\u000a\u000a +p3147 +tp3148 +a(g53 +Vcomplete +p3149 +tp3150 +a(g185 +Vinc +p3151 +tp3152 +a(g185 +V +tp3153 +a(g248 +V\u005c\u000a +p3154 +tp3155 +a(g185 +V +p3156 +tp3157 +a(g268 +V'c/-/(audit file noaudit nochangecur changecur file form format nosilent silent notruncate truncate width help)/' +p3158 +tp3159 +a(g185 +V +tp3160 +a(g248 +V\u005c\u000a +p3161 +tp3162 +a(g185 +V +p3163 +tp3164 +a(g268 +V'c,+,$folders,' +p3165 +tp3166 +a(g185 +V +p3167 +tp3168 +a(g248 +V\u005c\u000a +p3169 +tp3170 +a(g185 +V +p3171 +tp3172 +a(g268 +V'n,-audit,f,' +p3173 +tp3174 +a(g248 +V\u005c\u000a +p3175 +tp3176 +a(g185 +V +p3177 +tp3178 +a(g268 +V'n,-form,f,' +p3179 +tp3180 +a(g185 +V\u000a\u000a +p3181 +tp3182 +a(g53 +Vcomplete +p3183 +tp3184 +a(g185 +Vmark +p3185 +tp3186 +a(g185 +V +tp3187 +a(g248 +V\u005c\u000a +p3188 +tp3189 +a(g185 +V +p3190 +tp3191 +a(g268 +V'c/-/(add delete list sequence nopublic public nozero zero help)/' +p3192 +tp3193 +a(g185 +V +tp3194 +a(g248 +V\u005c\u000a +p3195 +tp3196 +a(g185 +V +p3197 +tp3198 +a(g268 +V'c,+,$folders,' +p3199 +tp3200 +a(g185 +V +p3201 +tp3202 +a(g248 +V\u005c\u000a +p3203 +tp3204 +a(g185 +V +p3205 +tp3206 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3207 +tp3208 +a(g185 +V\u000a\u000a +p3209 +tp3210 +a(g53 +Vcomplete +p3211 +tp3212 +a(g185 +Vmhmail +p3213 +tp3214 +a(g185 +V +tp3215 +a(g248 +V\u005c\u000a +p3216 +tp3217 +a(g185 +V +p3218 +tp3219 +a(g268 +V'c/-/(body cc from subject help)/' +p3220 +tp3221 +a(g185 +V +tp3222 +a(g248 +V\u005c\u000a +p3223 +tp3224 +a(g185 +V +p3225 +tp3226 +a(g268 +V'n,-cc,$mha,' +p3227 +tp3228 +a(g185 +V +p3229 +tp3230 +a(g248 +V\u005c\u000a +p3231 +tp3232 +a(g185 +V +p3233 +tp3234 +a(g268 +V'n,-from,$mha,' +p3235 +tp3236 +a(g185 +V +p3237 +tp3238 +a(g248 +V\u005c\u000a +p3239 +tp3240 +a(g185 +V +p3241 +tp3242 +a(g268 +V'n/*/$mha/' +p3243 +tp3244 +a(g185 +V\u000a\u000a +p3245 +tp3246 +a(g53 +Vcomplete +p3247 +tp3248 +a(g185 +Vmhpath +p3249 +tp3250 +a(g185 +V +tp3251 +a(g248 +V\u005c\u000a +p3252 +tp3253 +a(g185 +V +p3254 +tp3255 +a(g268 +V'c/-/(help)/' +p3256 +tp3257 +a(g185 +V +tp3258 +a(g248 +V\u005c\u000a +p3259 +tp3260 +a(g185 +V +p3261 +tp3262 +a(g268 +V'c,+,$folders,' +p3263 +tp3264 +a(g185 +V +p3265 +tp3266 +a(g248 +V\u005c\u000a +p3267 +tp3268 +a(g185 +V +p3269 +tp3270 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3271 +tp3272 +a(g185 +V\u000a\u000a +p3273 +tp3274 +a(g53 +Vcomplete +p3275 +tp3276 +a(g185 +Vmsgchk +p3277 +tp3278 +a(g185 +V +tp3279 +a(g248 +V\u005c\u000a +p3280 +tp3281 +a(g185 +V +p3282 +tp3283 +a(g268 +V'c/-/(nodate date nonotify notify help)/' +p3284 +tp3285 +a(g185 +V \u000a\u000a +p3286 +tp3287 +a(g53 +Vcomplete +p3288 +tp3289 +a(g185 +Vmsh +p3290 +tp3291 +a(g185 +V +tp3292 +a(g248 +V\u005c\u000a +p3293 +tp3294 +a(g185 +V +p3295 +tp3296 +a(g268 +V'c/-/(prompt noscan scan notopcur topcur help)/' +p3297 +tp3298 +a(g185 +V \u000a\u000a +p3299 +tp3300 +a(g53 +Vcomplete +p3301 +tp3302 +a(g185 +Vnext +p3303 +tp3304 +a(g185 +V +tp3305 +a(g248 +V\u005c\u000a +p3306 +tp3307 +a(g185 +V +p3308 +tp3309 +a(g268 +V'c/-/(draft form moreproc nomoreproc length width showproc noshowproc header noheader help)/' +p3310 +tp3311 +a(g185 +V +tp3312 +a(g248 +V\u005c\u000a +p3313 +tp3314 +a(g185 +V +p3315 +tp3316 +a(g268 +V'c,+,$folders,' +p3317 +tp3318 +a(g185 +V +p3319 +tp3320 +a(g248 +V\u005c\u000a +p3321 +tp3322 +a(g185 +V +p3323 +tp3324 +a(g268 +V'n,-moreproc,c,' +p3325 +tp3326 +a(g185 +V +p3327 +tp3328 +a(g248 +V\u005c\u000a +p3329 +tp3330 +a(g185 +V +p3331 +tp3332 +a(g268 +V'n,-showproc,c,' +p3333 +tp3334 +a(g185 +V +p3335 +tp3336 +a(g248 +V\u005c\u000a +p3337 +tp3338 +a(g185 +V +p3339 +tp3340 +a(g268 +V'n,-form,f,' +p3341 +tp3342 +a(g185 +V\u000a\u000a +p3343 +tp3344 +a(g53 +Vcomplete +p3345 +tp3346 +a(g185 +Vpackf +p3347 +tp3348 +a(g185 +V +tp3349 +a(g248 +V\u005c\u000a +p3350 +tp3351 +a(g185 +V +p3352 +tp3353 +a(g268 +V'c/-/(file help)/' +p3354 +tp3355 +a(g185 +V +tp3356 +a(g248 +V\u005c\u000a +p3357 +tp3358 +a(g185 +V +p3359 +tp3360 +a(g268 +V'c,+,$folders,' +p3361 +tp3362 +a(g185 +V +p3363 +tp3364 +a(g248 +V\u005c\u000a +p3365 +tp3366 +a(g185 +V +p3367 +tp3368 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3369 +tp3370 +a(g185 +V\u000a\u000a +p3371 +tp3372 +a(g53 +Vcomplete +p3373 +tp3374 +a(g185 +Vpick +p3375 +tp3376 +a(g185 +V +tp3377 +a(g248 +V\u005c\u000a +p3378 +tp3379 +a(g185 +V +p3380 +tp3381 +a(g268 +V'c/-/(and or not lbrace rbrace cc date from search subject to othercomponent after before datefield sequence nopublic public nozero zero nolist list help)/' +p3382 +tp3383 +a(g185 +V +tp3384 +a(g248 +V\u005c\u000a +p3385 +tp3386 +a(g185 +V +p3387 +tp3388 +a(g268 +V'c,+,$folders,' +p3389 +tp3390 +a(g185 +V +p3391 +tp3392 +a(g248 +V\u005c\u000a +p3393 +tp3394 +a(g185 +V +p3395 +tp3396 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3397 +tp3398 +a(g185 +V\u000a\u000a +p3399 +tp3400 +a(g53 +Vcomplete +p3401 +tp3402 +a(g185 +Vprev +p3403 +tp3404 +a(g185 +V +tp3405 +a(g248 +V\u005c\u000a +p3406 +tp3407 +a(g185 +V +p3408 +tp3409 +a(g268 +V'c/-/(draft form moreproc nomoreproc length width showproc noshowproc header noheader help)/' +p3410 +tp3411 +a(g185 +V +tp3412 +a(g248 +V\u005c\u000a +p3413 +tp3414 +a(g185 +V +p3415 +tp3416 +a(g268 +V'c,+,$folders,' +p3417 +tp3418 +a(g185 +V +p3419 +tp3420 +a(g248 +V\u005c\u000a +p3421 +tp3422 +a(g185 +V +p3423 +tp3424 +a(g268 +V'n,-moreproc,c,' +p3425 +tp3426 +a(g185 +V +p3427 +tp3428 +a(g248 +V\u005c\u000a +p3429 +tp3430 +a(g185 +V +p3431 +tp3432 +a(g268 +V'n,-showproc,c,' +p3433 +tp3434 +a(g185 +V +p3435 +tp3436 +a(g248 +V\u005c\u000a +p3437 +tp3438 +a(g185 +V +p3439 +tp3440 +a(g268 +V'n,-form,f,' +p3441 +tp3442 +a(g185 +V\u000a\u000a +p3443 +tp3444 +a(g53 +Vcomplete +p3445 +tp3446 +a(g185 +Vprompter +p3447 +tp3448 +a(g185 +V +tp3449 +a(g248 +V\u005c\u000a +p3450 +tp3451 +a(g185 +V +p3452 +tp3453 +a(g268 +V'c/-/(erase kill noprepend prepend norapid rapid nodoteof doteof help)/' +p3454 +tp3455 +a(g185 +V \u000a\u000a +p3456 +tp3457 +a(g53 +Vcomplete +p3458 +tp3459 +a(g185 +Vrefile +p3460 +tp3461 +a(g185 +V +tp3462 +a(g248 +V\u005c\u000a +p3463 +tp3464 +a(g185 +V +p3465 +tp3466 +a(g268 +V'c/-/(draft nolink link nopreserve preserve src file help)/' +p3467 +tp3468 +a(g185 +V +tp3469 +a(g248 +V\u005c\u000a +p3470 +tp3471 +a(g185 +V +p3472 +tp3473 +a(g268 +V'c,+,$folders,' +p3474 +tp3475 +a(g185 +V +p3476 +tp3477 +a(g248 +V\u005c\u000a +p3478 +tp3479 +a(g185 +V +p3480 +tp3481 +a(g268 +V'n,-file,f,' +p3482 +tp3483 +a(g248 +V\u005c\u000a +p3484 +tp3485 +a(g185 +V +p3486 +tp3487 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3488 +tp3489 +a(g185 +V\u000a\u000a +p3490 +tp3491 +a(g53 +Vcomplete +p3492 +tp3493 +a(g185 +Vrmf +p3494 +tp3495 +a(g185 +V +tp3496 +a(g248 +V\u005c\u000a +p3497 +tp3498 +a(g185 +V +p3499 +tp3500 +a(g268 +V'c/-/(nointeractive interactive help)/' +p3501 +tp3502 +a(g185 +V +tp3503 +a(g248 +V\u005c\u000a +p3504 +tp3505 +a(g185 +V +p3506 +tp3507 +a(g268 +V'c,+,$folders,' +p3508 +tp3509 +a(g185 +V \u000a\u000a +p3510 +tp3511 +a(g53 +Vcomplete +p3512 +tp3513 +a(g185 +Vrmm +p3514 +tp3515 +a(g185 +V +tp3516 +a(g248 +V\u005c\u000a +p3517 +tp3518 +a(g185 +V +p3519 +tp3520 +a(g268 +V'c/-/(help)/' +p3521 +tp3522 +a(g185 +V +tp3523 +a(g248 +V\u005c\u000a +p3524 +tp3525 +a(g185 +V +p3526 +tp3527 +a(g268 +V'c,+,$folders,' +p3528 +tp3529 +a(g185 +V +p3530 +tp3531 +a(g248 +V\u005c\u000a +p3532 +tp3533 +a(g185 +V +p3534 +tp3535 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3536 +tp3537 +a(g185 +V\u000a\u000a +p3538 +tp3539 +a(g53 +Vcomplete +p3540 +tp3541 +a(g185 +Vscan +p3542 +tp3543 +a(g185 +V +tp3544 +a(g248 +V\u005c\u000a +p3545 +tp3546 +a(g185 +V +p3547 +tp3548 +a(g268 +V'c/-/(noclear clear form format noheader header width noreverse reverse file help)/' +p3549 +tp3550 +a(g185 +V +tp3551 +a(g248 +V\u005c\u000a +p3552 +tp3553 +a(g185 +V +p3554 +tp3555 +a(g268 +V'c,+,$folders,' +p3556 +tp3557 +a(g185 +V +p3558 +tp3559 +a(g248 +V\u005c\u000a +p3560 +tp3561 +a(g185 +V +p3562 +tp3563 +a(g268 +V'n,-form,f,' +p3564 +tp3565 +a(g248 +V\u005c\u000a +p3566 +tp3567 +a(g185 +V +p3568 +tp3569 +a(g268 +V'n,-file,f,' +p3570 +tp3571 +a(g248 +V\u005c\u000a +p3572 +tp3573 +a(g185 +V +p3574 +tp3575 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3576 +tp3577 +a(g185 +V\u000a\u000a +p3578 +tp3579 +a(g53 +Vcomplete +p3580 +tp3581 +a(g185 +Vsend +p3582 +tp3583 +a(g185 +V +tp3584 +a(g248 +V\u005c\u000a +p3585 +tp3586 +a(g185 +V +p3587 +tp3588 +a(g268 +V'c/-/(alias draft draftfolder draftmessage nodraftfolder filter nofilter noformat format noforward forward nomsgid msgid nopush push noverbose verbose nowatch watch width help)/' +p3589 +tp3590 +a(g185 +V +tp3591 +a(g248 +V\u005c\u000a +p3592 +tp3593 +a(g185 +V +p3594 +tp3595 +a(g268 +V'n,-alias,f,' +p3596 +tp3597 +a(g248 +V\u005c\u000a +p3598 +tp3599 +a(g185 +V +p3600 +tp3601 +a(g268 +V'n,-filter,f,' +p3602 +tp3603 +a(g185 +V\u000a\u000a +p3604 +tp3605 +a(g53 +Vcomplete +p3606 +tp3607 +a(g185 +Vshow +p3608 +tp3609 +a(g185 +V +tp3610 +a(g248 +V\u005c\u000a +p3611 +tp3612 +a(g185 +V +p3613 +tp3614 +a(g268 +V'c/-/(draft form moreproc nomoreproc length width showproc noshowproc header noheader help)/' +p3615 +tp3616 +a(g185 +V +tp3617 +a(g248 +V\u005c\u000a +p3618 +tp3619 +a(g185 +V +p3620 +tp3621 +a(g268 +V'c,+,$folders,' +p3622 +tp3623 +a(g185 +V +p3624 +tp3625 +a(g248 +V\u005c\u000a +p3626 +tp3627 +a(g185 +V +p3628 +tp3629 +a(g268 +V'n,-moreproc,c,' +p3630 +tp3631 +a(g185 +V +p3632 +tp3633 +a(g248 +V\u005c\u000a +p3634 +tp3635 +a(g185 +V +p3636 +tp3637 +a(g268 +V'n,-showproc,c,' +p3638 +tp3639 +a(g185 +V +p3640 +tp3641 +a(g248 +V\u005c\u000a +p3642 +tp3643 +a(g185 +V +p3644 +tp3645 +a(g268 +V'n,-form,f,' +p3646 +tp3647 +a(g248 +V\u005c\u000a +p3648 +tp3649 +a(g185 +V +p3650 +tp3651 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3652 +tp3653 +a(g185 +V\u000a\u000a +p3654 +tp3655 +a(g53 +Vcomplete +p3656 +tp3657 +a(g185 +Vsortm +p3658 +tp3659 +a(g185 +V +tp3660 +a(g248 +V\u005c\u000a +p3661 +tp3662 +a(g185 +V +p3663 +tp3664 +a(g268 +V'c/-/(datefield textfield notextfield limit nolimit noverbose verbose help)/' +p3665 +tp3666 +a(g185 +V +tp3667 +a(g248 +V\u005c\u000a +p3668 +tp3669 +a(g185 +V +p3670 +tp3671 +a(g268 +V'c,+,$folders,' +p3672 +tp3673 +a(g185 +V +p3674 +tp3675 +a(g248 +V\u005c\u000a +p3676 +tp3677 +a(g185 +V +p3678 +tp3679 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3680 +tp3681 +a(g185 +V\u000a\u000a +p3682 +tp3683 +a(g53 +Vcomplete +p3684 +tp3685 +a(g185 +Vvmh +p3686 +tp3687 +a(g185 +V +tp3688 +a(g248 +V\u005c\u000a +p3689 +tp3690 +a(g185 +V +p3691 +tp3692 +a(g268 +V'c/-/(prompt vmhproc novmhproc help)/' +p3693 +tp3694 +a(g185 +V +tp3695 +a(g248 +V\u005c\u000a +p3696 +tp3697 +a(g185 +V +p3698 +tp3699 +a(g268 +V'n,-vmhproc,c,' +p3700 +tp3701 +a(g185 +V \u000a\u000a +p3702 +tp3703 +a(g53 +Vcomplete +p3704 +tp3705 +a(g185 +Vwhatnow +p3706 +tp3707 +a(g185 +V +tp3708 +a(g248 +V\u005c\u000a +p3709 +tp3710 +a(g185 +V +p3711 +tp3712 +a(g268 +V'c/-/(draftfolder draftmessage nodraftfolder editor noedit prompt help)/' +p3713 +tp3714 +a(g185 +V \u000a\u000a +p3715 +tp3716 +a(g53 +Vcomplete +p3717 +tp3718 +a(g185 +Vwhom +p3719 +tp3720 +a(g185 +V +tp3721 +a(g248 +V\u005c\u000a +p3722 +tp3723 +a(g185 +V +p3724 +tp3725 +a(g268 +V'c/-/(alias nocheck check draft draftfolder draftmessage nodraftfolder help)/' +p3726 +tp3727 +a(g185 +V +tp3728 +a(g248 +V\u005c\u000a +p3729 +tp3730 +a(g185 +V +p3731 +tp3732 +a(g268 +V'n,-alias,f,' +p3733 +tp3734 +a(g185 +V\u000a\u000a +p3735 +tp3736 +a(g53 +Vcomplete +p3737 +tp3738 +a(g185 +Vplum +p3739 +tp3740 +a(g185 +V +tp3741 +a(g248 +V\u005c\u000a +p3742 +tp3743 +a(g185 +V +p3744 +tp3745 +a(g268 +V'c/-/()/' +p3746 +tp3747 +a(g185 +V +tp3748 +a(g248 +V\u005c\u000a +p3749 +tp3750 +a(g185 +V +p3751 +tp3752 +a(g268 +V'c,+,$folders,' +p3753 +tp3754 +a(g185 +V +p3755 +tp3756 +a(g248 +V\u005c\u000a +p3757 +tp3758 +a(g185 +V +p3759 +tp3760 +a(g268 +V'n,*,`(mark | sed "s/:.*//";echo next cur prev first last)|tr " " "\u005c12" | sort -u`,' +p3761 +tp3762 +a(g185 +V\u000a\u000a +p3763 +tp3764 +a(g53 +Vcomplete +p3765 +tp3766 +a(g185 +Vmail +p3767 +tp3768 +a(g185 +V +tp3769 +a(g248 +V\u005c\u000a +p3770 +tp3771 +a(g185 +V +p3772 +tp3773 +a(g268 +V'c/-/()/' +p3774 +tp3775 +a(g185 +V +tp3776 +a(g248 +V\u005c\u000a +p3777 +tp3778 +a(g185 +V +p3779 +tp3780 +a(g268 +V'n/*/$mha/' +p3781 +tp3782 +a(g185 +V\u000a\u000a +p3783 +tp3784 +a(g107 +Vendif +p3785 +tp3786 +a(g185 +V\u000a\u000a +p3787 +tp3788 +a(g6 +V# these from Tom Warzeka \u000a +p3789 +tp3790 +a(g185 +V +p3791 +tp3792 +a(g6 +V# you may need to set the following variables for your host\u000a +p3793 +tp3794 +a(g185 +V +p3795 +tp3796 +a(g53 +Vset +p3797 +tp3798 +a(g69 +V_elispdir +p3799 +tp3800 +a(g185 +V +tp3801 +a(g340 +V= +tp3802 +a(g185 +V +tp3803 +a(g185 +V/usr/lib/emacs/19.34/lisp +p3804 +tp3805 +a(g185 +V +p3806 +tp3807 +a(g6 +V# GNU Emacs lisp directory\u000a +p3808 +tp3809 +a(g185 +V +p3810 +tp3811 +a(g53 +Vset +p3812 +tp3813 +a(g69 +V_maildir +p3814 +tp3815 +a(g185 +V +tp3816 +a(g340 +V= +tp3817 +a(g185 +V +tp3818 +a(g185 +V/var/spool/mail +p3819 +tp3820 +a(g185 +V +p3821 +tp3822 +a(g6 +V# Post Office: /var/spool/mail or /usr/mail\u000a +p3823 +tp3824 +a(g185 +V +p3825 +tp3826 +a(g53 +Vset +p3827 +tp3828 +a(g69 +V_ypdir +p3829 +tp3830 +a(g185 +V +p3831 +tp3832 +a(g340 +V= +tp3833 +a(g185 +V +tp3834 +a(g185 +V/var/yp +p3835 +tp3836 +a(g185 +V +tp3837 +a(g6 +V# directory where NIS (YP) maps are kept\u000a +p3838 +tp3839 +a(g185 +V +p3840 +tp3841 +a(g53 +Vset +p3842 +tp3843 +a(g69 +V_domain +p3844 +tp3845 +a(g185 +V +tp3846 +a(g340 +V= +tp3847 +a(g185 +V +tp3848 +a(g241 +V"`dnsdomainname`" +p3849 +tp3850 +a(g185 +V\u000a\u000a +p3851 +tp3852 +a(g6 +V# this one works but is slow and doesn't descend into subdirectories\u000a +p3853 +tp3854 +a(g185 +V +p3855 +tp3856 +a(g6 +V# complete cd C@[./\u005c$~]*@d@ \u005c\u000a +p3857 +tp3858 +a(g185 +V +p3859 +tp3860 +a(g6 +V# p@1@'`\u005cls -1F . $cdpath | grep /\u005c$ | sort -u`'@ n@*@n@\u000a +p3861 +tp3862 +a(g185 +V\u000a +p3863 +tp3864 +a(g107 +Vif +p3865 +tp3866 +a(g185 +V +tp3867 +a(g340 +V( +tp3868 +a(g185 +V +tp3869 +a(g185 +V-r +p3870 +tp3871 +a(g185 +V +tp3872 +a(g185 +V/etc/shells +p3873 +tp3874 +a(g185 +V +tp3875 +a(g340 +V) +tp3876 +a(g185 +V +tp3877 +a(g107 +Vthen\u000a +p3878 +tp3879 +a(g53 +Vcomplete +p3880 +tp3881 +a(g53 +Vsetenv +p3882 +tp3883 +a(g185 +Vp@1@e@ +p3884 +tp3885 +a(g185 +V +tp3886 +a(g185 +Vn@DISPLAY@ +p3887 +tp3888 +a(g248 +V\u005c$ +p3889 +tp3890 +a(g185 +Vhosts@: +p3891 +tp3892 +a(g185 +V +tp3893 +a(g185 +Vn@SHELL@ +p3894 +tp3895 +a(g268 +V'`cat /etc/shells`' +p3896 +tp3897 +a(g185 +V@ +tp3898 +a(g185 +V\u000a +p3899 +tp3900 +a(g107 +Velse\u000a +p3901 +tp3902 +a(g53 +Vcomplete +p3903 +tp3904 +a(g53 +Vsetenv +p3905 +tp3906 +a(g185 +Vp@1@e@ +p3907 +tp3908 +a(g185 +V +tp3909 +a(g185 +Vn@DISPLAY@ +p3910 +tp3911 +a(g248 +V\u005c$ +p3912 +tp3913 +a(g185 +Vhosts@: +p3914 +tp3915 +a(g185 +V\u000a +p3916 +tp3917 +a(g107 +Vendif\u000a +p3918 +tp3919 +a(g53 +Vcomplete +p3920 +tp3921 +a(g53 +Vunsetenv +p3922 +tp3923 +a(g185 +Vn/*/e/ +p3924 +tp3925 +a(g185 +V\u000a\u000a +p3926 +tp3927 +a(g107 +Vif +p3928 +tp3929 +a(g185 +V +tp3930 +a(g340 +V( +tp3931 +a(g185 +V-r +p3932 +tp3933 +a(g185 +V +tp3934 +a(g69 +V$HOME +p3935 +tp3936 +a(g185 +V/.mailrc +p3937 +tp3938 +a(g340 +V) +tp3939 +a(g185 +V +tp3940 +a(g107 +Vthen\u000a +p3941 +tp3942 +a(g53 +Vcomplete +p3943 +tp3944 +a(g185 +Vmail +p3945 +tp3946 +a(g185 +V +tp3947 +a(g185 +Vc/-/ +p3948 +tp3949 +a(g241 +V"(e i f n s u v)" +p3950 +tp3951 +a(g185 +V/ +tp3952 +a(g185 +V +tp3953 +a(g185 +Vc/*@/ +p3954 +tp3955 +a(g248 +V\u005c$ +p3956 +tp3957 +a(g185 +Vhosts/ +p3958 +tp3959 +a(g185 +V +tp3960 +a(g248 +V\u005c\u000a +p3961 +tp3962 +a(g185 +V +p3963 +tp3964 +a(g185 +Vc@+@F: +p3965 +tp3966 +a(g69 +V$HOME +p3967 +tp3968 +a(g185 +V/Mail@ +p3969 +tp3970 +a(g185 +V +tp3971 +a(g185 +VC@ +p3972 +tp3973 +a(g340 +V[ +tp3974 +a(g185 +V./ +p3975 +tp3976 +a(g248 +V\u005c$ +p3977 +tp3978 +a(g185 +V~ +tp3979 +a(g340 +V] +tp3980 +a(g185 +V@f@ +p3981 +tp3982 +a(g185 +V +tp3983 +a(g185 +Vn/-s/x: +p3984 +tp3985 +a(g268 +V'' +p3986 +tp3987 +a(g185 +V/ +tp3988 +a(g185 +V +tp3989 +a(g248 +V\u005c\u000a +p3990 +tp3991 +a(g185 +V +p3992 +tp3993 +a(g185 +Vn@-u@T: +p3994 +tp3995 +a(g69 +V$_maildir +p3996 +tp3997 +a(g185 +V@ +tp3998 +a(g185 +V +tp3999 +a(g185 +Vn/-f/f/ +p4000 +tp4001 +a(g185 +V +tp4002 +a(g248 +V\u005c\u000a +p4003 +tp4004 +a(g185 +V +p4005 +tp4006 +a(g185 +Vn@*@ +p4007 +tp4008 +a(g268 +V'`sed -n s/alias//p $HOME/.mailrc | tr -s " " " " | cut -f 2`' +p4009 +tp4010 +a(g185 +V@ +tp4011 +a(g185 +V\u000a +p4012 +tp4013 +a(g107 +Velse\u000a +p4014 +tp4015 +a(g53 +Vcomplete +p4016 +tp4017 +a(g185 +Vmail +p4018 +tp4019 +a(g185 +V +tp4020 +a(g185 +Vc/-/ +p4021 +tp4022 +a(g241 +V"(e i f n s u v)" +p4023 +tp4024 +a(g185 +V/ +tp4025 +a(g185 +V +tp4026 +a(g185 +Vc/*@/ +p4027 +tp4028 +a(g248 +V\u005c$ +p4029 +tp4030 +a(g185 +Vhosts/ +p4031 +tp4032 +a(g185 +V +tp4033 +a(g248 +V\u005c\u000a +p4034 +tp4035 +a(g185 +V +p4036 +tp4037 +a(g185 +Vc@+@F: +p4038 +tp4039 +a(g69 +V$HOME +p4040 +tp4041 +a(g185 +V/Mail@ +p4042 +tp4043 +a(g185 +V +tp4044 +a(g185 +VC@ +p4045 +tp4046 +a(g340 +V[ +tp4047 +a(g185 +V./ +p4048 +tp4049 +a(g248 +V\u005c$ +p4050 +tp4051 +a(g185 +V~ +tp4052 +a(g340 +V] +tp4053 +a(g185 +V@f@ +p4054 +tp4055 +a(g185 +V +tp4056 +a(g185 +Vn/-s/x: +p4057 +tp4058 +a(g268 +V'' +p4059 +tp4060 +a(g185 +V/ +tp4061 +a(g185 +V +tp4062 +a(g248 +V\u005c\u000a +p4063 +tp4064 +a(g185 +V +p4065 +tp4066 +a(g185 +Vn@-u@T: +p4067 +tp4068 +a(g69 +V$_maildir +p4069 +tp4070 +a(g185 +V@ +tp4071 +a(g185 +V +tp4072 +a(g185 +Vn/-f/f/ +p4073 +tp4074 +a(g185 +V +tp4075 +a(g185 +Vn/*/u/ +p4076 +tp4077 +a(g185 +V\u000a +p4078 +tp4079 +a(g107 +Vendif\u000a\u000a +p4080 +tp4081 +a(g53 +Vcomplete +p4082 +tp4083 +a(g185 +Vman +p4084 +tp4085 +a(g185 +V +p4086 +tp4087 +a(g185 +Vn@1@ +p4088 +tp4089 +a(g268 +V'`\u005cls -1 /usr/man/man1 | sed s%\u005c\u005c.1.\u005c*\u005c$%%`' +p4090 +tp4091 +a(g185 +V@ +tp4092 +a(g185 +V +tp4093 +a(g248 +V\u005c\u000a +p4094 +tp4095 +a(g185 +V +p4096 +tp4097 +a(g185 +Vn@2@ +p4098 +tp4099 +a(g268 +V'`\u005cls -1 /usr/man/man2 | sed s%\u005c\u005c.2.\u005c*\u005c$%%`' +p4100 +tp4101 +a(g185 +V@ +tp4102 +a(g185 +V +tp4103 +a(g248 +V\u005c\u000a +p4104 +tp4105 +a(g185 +V +p4106 +tp4107 +a(g185 +Vn@3@ +p4108 +tp4109 +a(g268 +V'`\u005cls -1 /usr/man/man3 | sed s%\u005c\u005c.3.\u005c*\u005c$%%`' +p4110 +tp4111 +a(g185 +V@ +tp4112 +a(g185 +V +tp4113 +a(g248 +V\u005c\u000a +p4114 +tp4115 +a(g185 +V +p4116 +tp4117 +a(g185 +Vn@4@ +p4118 +tp4119 +a(g268 +V'`\u005cls -1 /usr/man/man4 | sed s%\u005c\u005c.4.\u005c*\u005c$%%`' +p4120 +tp4121 +a(g185 +V@ +tp4122 +a(g185 +V +tp4123 +a(g248 +V\u005c\u000a +p4124 +tp4125 +a(g185 +V +p4126 +tp4127 +a(g185 +Vn@5@ +p4128 +tp4129 +a(g268 +V'`\u005cls -1 /usr/man/man5 | sed s%\u005c\u005c.5.\u005c*\u005c$%%`' +p4130 +tp4131 +a(g185 +V@ +tp4132 +a(g185 +V +tp4133 +a(g248 +V\u005c\u000a +p4134 +tp4135 +a(g185 +V +p4136 +tp4137 +a(g185 +Vn@6@ +p4138 +tp4139 +a(g268 +V'`\u005cls -1 /usr/man/man6 | sed s%\u005c\u005c.6.\u005c*\u005c$%%`' +p4140 +tp4141 +a(g185 +V@ +tp4142 +a(g185 +V +tp4143 +a(g248 +V\u005c\u000a +p4144 +tp4145 +a(g185 +V +p4146 +tp4147 +a(g185 +Vn@7@ +p4148 +tp4149 +a(g268 +V'`\u005cls -1 /usr/man/man7 | sed s%\u005c\u005c.7.\u005c*\u005c$%%`' +p4150 +tp4151 +a(g185 +V@ +tp4152 +a(g185 +V +tp4153 +a(g248 +V\u005c\u000a +p4154 +tp4155 +a(g185 +V +p4156 +tp4157 +a(g185 +Vn@8@ +p4158 +tp4159 +a(g268 +V'`\u005cls -1 /usr/man/man8 | sed s%\u005c\u005c.8.\u005c*\u005c$%%`' +p4160 +tp4161 +a(g185 +V@ +tp4162 +a(g185 +V +tp4163 +a(g248 +V\u005c\u000a +p4164 +tp4165 +a(g185 +V +p4166 +tp4167 +a(g185 +Vn@9@ +p4168 +tp4169 +a(g268 +V'`[ -r /usr/man/man9 ] && \u005cls -1 /usr/man/man9 | sed s%\u005c\u005c.9.\u005c*\u005c$%%`' +p4170 +tp4171 +a(g185 +V@ +tp4172 +a(g185 +V +tp4173 +a(g248 +V\u005c\u000a +p4174 +tp4175 +a(g185 +V +p4176 +tp4177 +a(g185 +Vn@0@ +p4178 +tp4179 +a(g268 +V'`[ -r /usr/man/man0 ] && \u005cls -1 /usr/man/man0 | sed s%\u005c\u005c.0.\u005c*\u005c$%%`' +p4180 +tp4181 +a(g185 +V@ +tp4182 +a(g185 +V +tp4183 +a(g248 +V\u005c\u000a +p4184 +tp4185 +a(g185 +V +p4186 +tp4187 +a(g185 +Vn@new@ +p4188 +tp4189 +a(g268 +V'`[ -r /usr/man/mann ] && \u005cls -1 /usr/man/mann | sed s%\u005c\u005c.n.\u005c*\u005c$%%`' +p4190 +tp4191 +a(g185 +V@ +tp4192 +a(g185 +V +tp4193 +a(g248 +V\u005c\u000a +p4194 +tp4195 +a(g185 +V +p4196 +tp4197 +a(g185 +Vn@old@ +p4198 +tp4199 +a(g268 +V'`[ -r /usr/man/mano ] && \u005cls -1 /usr/man/mano | sed s%\u005c\u005c.o.\u005c*\u005c$%%`' +p4200 +tp4201 +a(g185 +V@ +tp4202 +a(g185 +V +tp4203 +a(g248 +V\u005c\u000a +p4204 +tp4205 +a(g185 +Vn@local@ +p4206 +tp4207 +a(g268 +V'`[ -r /usr/man/manl ] && \u005cls -1 /usr/man/manl | sed s%\u005c\u005c.l.\u005c*\u005c$%%`' +p4208 +tp4209 +a(g185 +V@ +tp4210 +a(g185 +V +tp4211 +a(g248 +V\u005c\u000a +p4212 +tp4213 +a(g185 +Vn@public@ +p4214 +tp4215 +a(g268 +V'`[ -r /usr/man/manp ]&& \u005cls -1 /usr/man/manp | sed s%\u005c\u005c.p.\u005c*\u005c$%%`' +p4216 +tp4217 +a(g185 +V@ +tp4218 +a(g185 +V +tp4219 +a(g248 +V\u005c\u000a +p4220 +tp4221 +a(g185 +V +p4222 +tp4223 +a(g185 +Vc/-/ +p4224 +tp4225 +a(g241 +V"(- f k P s t)" +p4226 +tp4227 +a(g185 +V/ +tp4228 +a(g185 +V +tp4229 +a(g185 +Vn/-f/c/ +p4230 +tp4231 +a(g185 +V +tp4232 +a(g185 +Vn/-k/x: +p4233 +tp4234 +a(g268 +V'' +p4235 +tp4236 +a(g185 +V/ +tp4237 +a(g185 +V +tp4238 +a(g185 +Vn/-P/d/ +p4239 +tp4240 +a(g185 +V +tp4241 +a(g248 +V\u005c\u000a +p4242 +tp4243 +a(g185 +V +p4244 +tp4245 +a(g185 +VN@-P@ +p4246 +tp4247 +a(g268 +V'`\u005cls -1 $:-1/man? | sed s%\u005c\u005c..\u005c*\u005c$%%`' +p4248 +tp4249 +a(g185 +V@ +tp4250 +a(g185 +V +tp4251 +a(g185 +Vn/*/c/ +p4252 +tp4253 +a(g185 +V\u000a\u000a +p4254 +tp4255 +a(g53 +Vcomplete +p4256 +tp4257 +a(g185 +Vps +p4258 +tp4259 +a(g185 +V +p4260 +tp4261 +a(g185 +Vc/-t/x: +p4262 +tp4263 +a(g268 +V'' +p4264 +tp4265 +a(g185 +V/ +tp4266 +a(g185 +V +tp4267 +a(g185 +Vc/-/ +p4268 +tp4269 +a(g241 +V"(a c C e g k l S t u v w x)" +p4270 +tp4271 +a(g185 +V/ +tp4272 +a(g185 +V +tp4273 +a(g248 +V\u005c\u000a +p4274 +tp4275 +a(g185 +V +p4276 +tp4277 +a(g185 +Vn/-k/x: +p4278 +tp4279 +a(g268 +V'' +p4280 +tp4281 +a(g185 +V/ +tp4282 +a(g185 +V +tp4283 +a(g185 +VN/-k/x: +p4284 +tp4285 +a(g268 +V'' +p4286 +tp4287 +a(g185 +V/ +tp4288 +a(g185 +V +tp4289 +a(g185 +Vn/*/x: +p4290 +tp4291 +a(g268 +V'' +p4292 +tp4293 +a(g185 +V/ +tp4294 +a(g185 +V\u000a +p4295 +tp4296 +a(g53 +Vcomplete +p4297 +tp4298 +a(g185 +Vcompress +p4299 +tp4300 +a(g185 +V +tp4301 +a(g185 +Vc/-/ +p4302 +tp4303 +a(g241 +V"(c f v b)" +p4304 +tp4305 +a(g185 +V/ +tp4306 +a(g185 +V +tp4307 +a(g185 +Vn/-b/x: +p4308 +tp4309 +a(g268 +V'' +p4310 +tp4311 +a(g185 +V/ +tp4312 +a(g185 +V +tp4313 +a(g185 +Vn/*/f:^*.Z/ +p4314 +tp4315 +a(g185 +V\u000a +p4316 +tp4317 +a(g53 +Vcomplete +p4318 +tp4319 +a(g185 +Vuncompress +p4320 +tp4321 +a(g185 +V +tp4322 +a(g185 +Vc/-/ +p4323 +tp4324 +a(g241 +V"(c f v)" +p4325 +tp4326 +a(g185 +V/ +tp4327 +a(g185 +V +p4328 +tp4329 +a(g185 +Vn/*/f:*.Z/ +p4330 +tp4331 +a(g185 +V\u000a\u000a +p4332 +tp4333 +a(g53 +Vcomplete +p4334 +tp4335 +a(g185 +Vxhost +p4336 +tp4337 +a(g185 +V +tp4338 +a(g185 +Vc/ +p4339 +tp4340 +a(g340 +V[ +tp4341 +a(g185 +V+- +p4342 +tp4343 +a(g340 +V] +tp4344 +a(g185 +V/ +tp4345 +a(g248 +V\u005c$ +p4346 +tp4347 +a(g185 +Vhosts/ +p4348 +tp4349 +a(g185 +V +tp4350 +a(g185 +Vn/*/ +p4351 +tp4352 +a(g248 +V\u005c$ +p4353 +tp4354 +a(g185 +Vhosts/ +p4355 +tp4356 +a(g185 +V\u000a\u000a +p4357 +tp4358 +a(g6 +V# these conform to the latest GNU versions available at press time ...\u000a +p4359 +tp4360 +a(g185 +V\u000a +p4361 +tp4362 +a(g53 +Vcomplete +p4363 +tp4364 +a(g185 +Vemacs +p4365 +tp4366 +a(g185 +V +tp4367 +a(g185 +Vc/-/ +p4368 +tp4369 +a(g241 +V"(batch d f funcall i insert kill l load \u005c\u000a no-init-file nw q t u user)" +p4370 +tp4371 +a(g185 +V/ +tp4372 +a(g185 +V +tp4373 +a(g185 +Vc/+/x: +p4374 +tp4375 +a(g268 +V'' +p4376 +tp4377 +a(g185 +V/ +tp4378 +a(g185 +V +tp4379 +a(g248 +V\u005c\u000a +p4380 +tp4381 +a(g185 +V +p4382 +tp4383 +a(g185 +Vn/-d/x: +p4384 +tp4385 +a(g268 +V'' +p4386 +tp4387 +a(g185 +V/ +tp4388 +a(g185 +V +tp4389 +a(g185 +Vn/-f/x: +p4390 +tp4391 +a(g268 +V'' +p4392 +tp4393 +a(g185 +V/ +tp4394 +a(g185 +V +tp4395 +a(g185 +Vn/-i/f/ +p4396 +tp4397 +a(g185 +V +tp4398 +a(g248 +V\u005c\u000a +p4399 +tp4400 +a(g185 +V +p4401 +tp4402 +a(g185 +Vn@-l@F: +p4403 +tp4404 +a(g69 +V$_elispdir +p4405 +tp4406 +a(g185 +V@ +tp4407 +a(g185 +V +tp4408 +a(g185 +Vn/-t/x: +p4409 +tp4410 +a(g268 +V'' +p4411 +tp4412 +a(g185 +V/ +tp4413 +a(g185 +V +tp4414 +a(g248 +V\u005c\u000a +p4415 +tp4416 +a(g185 +V +p4417 +tp4418 +a(g185 +Vn/-u/u/ +p4419 +tp4420 +a(g185 +V +tp4421 +a(g185 +Vn/*/f:^* +p4422 +tp4423 +a(g340 +V[ +tp4424 +a(g248 +V\u005c# +p4425 +tp4426 +a(g185 +V~ +tp4427 +a(g340 +V] +tp4428 +a(g185 +V/ +tp4429 +a(g185 +V\u000a\u000a +p4430 +tp4431 +a(g53 +Vcomplete +p4432 +tp4433 +a(g185 +Vgzcat +p4434 +tp4435 +a(g185 +V +tp4436 +a(g185 +Vc/--/ +p4437 +tp4438 +a(g241 +V"(force help license quiet version)" +p4439 +tp4440 +a(g185 +V/ +tp4441 +a(g185 +V +tp4442 +a(g248 +V\u005c\u000a +p4443 +tp4444 +a(g185 +V +p4445 +tp4446 +a(g185 +Vc/-/ +p4447 +tp4448 +a(g241 +V"(f h L q V -)" +p4449 +tp4450 +a(g185 +V/ +tp4451 +a(g185 +V +tp4452 +a(g185 +Vn/*/f:*. +p4453 +tp4454 +a(g340 +V{ +tp4455 +a(g185 +Vgz,Z,z,zip +p4456 +tp4457 +a(g340 +V} +tp4458 +a(g185 +V/ +tp4459 +a(g185 +V\u000a +p4460 +tp4461 +a(g53 +Vcomplete +p4462 +tp4463 +a(g185 +Vgzip +p4464 +tp4465 +a(g185 +V +tp4466 +a(g185 +Vc/--/ +p4467 +tp4468 +a(g241 +V"(stdout to-stdout decompress uncompress \u005c\u000a force help list license no-name quiet recurse \u005c\u000a suffix test verbose version fast best)" +p4469 +tp4470 +a(g185 +V/ +tp4471 +a(g185 +V +tp4472 +a(g248 +V\u005c\u000a +p4473 +tp4474 +a(g185 +V +p4475 +tp4476 +a(g185 +Vc/-/ +p4477 +tp4478 +a(g241 +V"(c d f h l L n q r S t v V 1 2 3 4 5 6 7 8 9 -)" +p4479 +tp4480 +a(g185 +V/ +tp4481 +a(g248 +V\u005c\u000a +p4482 +tp4483 +a(g185 +V +p4484 +tp4485 +a(g185 +Vn/ +p4486 +tp4487 +a(g340 +V{ +tp4488 +a(g185 +V-S,--suffix +p4489 +tp4490 +a(g340 +V} +tp4491 +a(g185 +V/x: +p4492 +tp4493 +a(g268 +V'' +p4494 +tp4495 +a(g185 +V/ +tp4496 +a(g185 +V +tp4497 +a(g248 +V\u005c\u000a +p4498 +tp4499 +a(g185 +V +p4500 +tp4501 +a(g185 +Vn/ +p4502 +tp4503 +a(g340 +V{ +tp4504 +a(g185 +V-d,-- +p4505 +tp4506 +a(g340 +V{ +tp4507 +a(g185 +Vde,un +p4508 +tp4509 +a(g340 +V} +tp4510 +a(g185 +Vcompress +p4511 +tp4512 +a(g340 +V} +tp4513 +a(g185 +V/f:*. +p4514 +tp4515 +a(g340 +V{ +tp4516 +a(g185 +Vgz,Z,z,zip,taz,tgz +p4517 +tp4518 +a(g340 +V} +tp4519 +a(g185 +V/ +tp4520 +a(g185 +V +tp4521 +a(g248 +V\u005c\u000a +p4522 +tp4523 +a(g185 +V +p4524 +tp4525 +a(g185 +VN/ +p4526 +tp4527 +a(g340 +V{ +tp4528 +a(g185 +V-d,-- +p4529 +tp4530 +a(g340 +V{ +tp4531 +a(g185 +Vde,un +p4532 +tp4533 +a(g340 +V} +tp4534 +a(g185 +Vcompress +p4535 +tp4536 +a(g340 +V} +tp4537 +a(g185 +V/f:*. +p4538 +tp4539 +a(g340 +V{ +tp4540 +a(g185 +Vgz,Z,z,zip,taz,tgz +p4541 +tp4542 +a(g340 +V} +tp4543 +a(g185 +V/ +tp4544 +a(g185 +V +tp4545 +a(g248 +V\u005c\u000a +p4546 +tp4547 +a(g185 +V +p4548 +tp4549 +a(g185 +Vn/*/f:^*. +p4550 +tp4551 +a(g340 +V{ +tp4552 +a(g185 +Vgz,Z,z,zip,taz,tgz +p4553 +tp4554 +a(g340 +V} +tp4555 +a(g185 +V/ +tp4556 +a(g185 +V\u000a +p4557 +tp4558 +a(g53 +Vcomplete +p4559 +tp4560 +a(g185 +V +tp4561 +a(g340 +V{ +tp4562 +a(g185 +Vgunzip,ungzip +p4563 +tp4564 +a(g340 +V} +tp4565 +a(g185 +V +tp4566 +a(g185 +Vc/--/ +p4567 +tp4568 +a(g241 +V"(stdout to-stdout force help list license \u005c\u000a no-name quiet recurse suffix test verbose version)" +p4569 +tp4570 +a(g185 +V/ +tp4571 +a(g185 +V +tp4572 +a(g248 +V\u005c\u000a +p4573 +tp4574 +a(g185 +V +p4575 +tp4576 +a(g185 +Vc/-/ +p4577 +tp4578 +a(g241 +V"(c f h l L n q r S t v V -)" +p4579 +tp4580 +a(g185 +V/ +tp4581 +a(g185 +V +tp4582 +a(g248 +V\u005c\u000a +p4583 +tp4584 +a(g185 +V +p4585 +tp4586 +a(g185 +Vn/ +p4587 +tp4588 +a(g340 +V{ +tp4589 +a(g185 +V-S,--suffix +p4590 +tp4591 +a(g340 +V} +tp4592 +a(g185 +V/x: +p4593 +tp4594 +a(g268 +V'' +p4595 +tp4596 +a(g185 +V/ +tp4597 +a(g185 +V +tp4598 +a(g248 +V\u005c\u000a +p4599 +tp4600 +a(g185 +V +p4601 +tp4602 +a(g185 +Vn/*/f:*. +p4603 +tp4604 +a(g340 +V{ +tp4605 +a(g185 +Vgz,Z,z,zip,taz,tgz +p4606 +tp4607 +a(g340 +V} +tp4608 +a(g185 +V/ +tp4609 +a(g185 +V\u000a +p4610 +tp4611 +a(g53 +Vcomplete +p4612 +tp4613 +a(g185 +Vzgrep +p4614 +tp4615 +a(g185 +V +tp4616 +a(g185 +Vc/-*A/x: +p4617 +tp4618 +a(g268 +V'<#_lines_after>' +p4619 +tp4620 +a(g185 +V/ +tp4621 +a(g185 +V +tp4622 +a(g185 +Vc/-*B/x: +p4623 +tp4624 +a(g268 +V'<#_lines_before>' +p4625 +tp4626 +a(g185 +V/ +tp4627 +a(g248 +V\u005c\u000a +p4628 +tp4629 +a(g185 +V +p4630 +tp4631 +a(g185 +Vc/-/ +p4632 +tp4633 +a(g241 +V"(A b B c C e f h i l n s v V w x)" +p4634 +tp4635 +a(g185 +V/ +tp4636 +a(g185 +V +tp4637 +a(g248 +V\u005c\u000a +p4638 +tp4639 +a(g185 +V +p4640 +tp4641 +a(g185 +Vp/1/x: +p4642 +tp4643 +a(g268 +V'' +p4644 +tp4645 +a(g185 +V/ +tp4646 +a(g185 +V +tp4647 +a(g248 +V\u005c\u000a +p4648 +tp4649 +a(g185 +V +p4650 +tp4651 +a(g185 +Vn/-*e/x: +p4652 +tp4653 +a(g268 +V'' +p4654 +tp4655 +a(g185 +V/ +tp4656 +a(g185 +V +tp4657 +a(g185 +Vn/-*f/f/ +p4658 +tp4659 +a(g185 +V +tp4660 +a(g185 +Vn/*/f/ +p4661 +tp4662 +a(g185 +V\u000a +p4663 +tp4664 +a(g53 +Vcomplete +p4665 +tp4666 +a(g185 +Vzegrep +p4667 +tp4668 +a(g185 +V +tp4669 +a(g185 +Vc/-*A/x: +p4670 +tp4671 +a(g268 +V'<#_lines_after>' +p4672 +tp4673 +a(g185 +V/ +tp4674 +a(g185 +V +tp4675 +a(g185 +Vc/-*B/x: +p4676 +tp4677 +a(g268 +V'<#_lines_before>' +p4678 +tp4679 +a(g185 +V/ +tp4680 +a(g248 +V\u005c\u000a +p4681 +tp4682 +a(g185 +V +p4683 +tp4684 +a(g185 +Vc/-/ +p4685 +tp4686 +a(g241 +V"(A b B c C e f h i l n s v V w x)" +p4687 +tp4688 +a(g185 +V/ +tp4689 +a(g185 +V +tp4690 +a(g248 +V\u005c\u000a +p4691 +tp4692 +a(g185 +V +p4693 +tp4694 +a(g185 +Vp/1/x: +p4695 +tp4696 +a(g268 +V'' +p4697 +tp4698 +a(g185 +V/ +tp4699 +a(g185 +V +tp4700 +a(g248 +V\u005c\u000a +p4701 +tp4702 +a(g185 +V +p4703 +tp4704 +a(g185 +Vn/-*e/x: +p4705 +tp4706 +a(g268 +V'' +p4707 +tp4708 +a(g185 +V/ +tp4709 +a(g185 +V +tp4710 +a(g185 +Vn/-*f/f/ +p4711 +tp4712 +a(g185 +V +tp4713 +a(g185 +Vn/*/f/ +p4714 +tp4715 +a(g185 +V\u000a +p4716 +tp4717 +a(g53 +Vcomplete +p4718 +tp4719 +a(g185 +Vzfgrep +p4720 +tp4721 +a(g185 +V +tp4722 +a(g185 +Vc/-*A/x: +p4723 +tp4724 +a(g268 +V'<#_lines_after>' +p4725 +tp4726 +a(g185 +V/ +tp4727 +a(g185 +V +tp4728 +a(g185 +Vc/-*B/x: +p4729 +tp4730 +a(g268 +V'<#_lines_before>' +p4731 +tp4732 +a(g185 +V/ +tp4733 +a(g248 +V\u005c\u000a +p4734 +tp4735 +a(g185 +V +p4736 +tp4737 +a(g185 +Vc/-/ +p4738 +tp4739 +a(g241 +V"(A b B c C e f h i l n s v V w x)" +p4740 +tp4741 +a(g185 +V/ +tp4742 +a(g185 +V +tp4743 +a(g248 +V\u005c\u000a +p4744 +tp4745 +a(g185 +V +p4746 +tp4747 +a(g185 +Vp/1/x: +p4748 +tp4749 +a(g268 +V'' +p4750 +tp4751 +a(g185 +V/ +tp4752 +a(g185 +V +tp4753 +a(g248 +V\u005c\u000a +p4754 +tp4755 +a(g185 +V +p4756 +tp4757 +a(g185 +Vn/-*e/x: +p4758 +tp4759 +a(g268 +V'' +p4760 +tp4761 +a(g185 +V/ +tp4762 +a(g185 +V +tp4763 +a(g185 +Vn/-*f/f/ +p4764 +tp4765 +a(g185 +V +tp4766 +a(g185 +Vn/*/f/ +p4767 +tp4768 +a(g185 +V\u000a\u000a +p4769 +tp4770 +a(g53 +Vcomplete +p4771 +tp4772 +a(g185 +Vznew +p4773 +tp4774 +a(g185 +V +tp4775 +a(g185 +Vc/-/ +p4776 +tp4777 +a(g241 +V"(f t v 9 P K)" +p4778 +tp4779 +a(g185 +V/ +tp4780 +a(g185 +V +tp4781 +a(g185 +Vn/*/f:*.Z/ +p4782 +tp4783 +a(g185 +V\u000a +p4784 +tp4785 +a(g53 +Vcomplete +p4786 +tp4787 +a(g185 +Vzmore +p4788 +tp4789 +a(g185 +V +tp4790 +a(g185 +Vn/*/f:*. +p4791 +tp4792 +a(g340 +V{ +tp4793 +a(g185 +Vgz,Z,z,zip +p4794 +tp4795 +a(g340 +V} +tp4796 +a(g185 +V/ +tp4797 +a(g185 +V\u000a +p4798 +tp4799 +a(g53 +Vcomplete +p4800 +tp4801 +a(g185 +Vzfile +p4802 +tp4803 +a(g185 +V +tp4804 +a(g185 +Vn/*/f:*. +p4805 +tp4806 +a(g340 +V{ +tp4807 +a(g185 +Vgz,Z,z,zip,taz,tgz +p4808 +tp4809 +a(g340 +V} +tp4810 +a(g185 +V/ +tp4811 +a(g185 +V\u000a +p4812 +tp4813 +a(g53 +Vcomplete +p4814 +tp4815 +a(g185 +Vztouch +p4816 +tp4817 +a(g185 +V +tp4818 +a(g185 +Vn/*/f:*. +p4819 +tp4820 +a(g340 +V{ +tp4821 +a(g185 +Vgz,Z,z,zip,taz,tgz +p4822 +tp4823 +a(g340 +V} +tp4824 +a(g185 +V/ +tp4825 +a(g185 +V\u000a +p4826 +tp4827 +a(g53 +Vcomplete +p4828 +tp4829 +a(g185 +Vzforce +p4830 +tp4831 +a(g185 +V +tp4832 +a(g185 +Vn/*/f:^*. +p4833 +tp4834 +a(g340 +V{ +tp4835 +a(g185 +Vgz,tgz +p4836 +tp4837 +a(g340 +V} +tp4838 +a(g185 +V/ +tp4839 +a(g185 +V\u000a\u000a +p4840 +tp4841 +a(g53 +Vcomplete +p4842 +tp4843 +a(g185 +Vgrep +p4844 +tp4845 +a(g185 +V +tp4846 +a(g185 +Vc/-*A/x: +p4847 +tp4848 +a(g268 +V'<#_lines_after>' +p4849 +tp4850 +a(g185 +V/ +tp4851 +a(g185 +V +tp4852 +a(g185 +Vc/-*B/x: +p4853 +tp4854 +a(g268 +V'<#_lines_before>' +p4855 +tp4856 +a(g185 +V/ +tp4857 +a(g248 +V\u005c\u000a +p4858 +tp4859 +a(g185 +V +p4860 +tp4861 +a(g185 +Vc/-/ +p4862 +tp4863 +a(g241 +V"(A b B c C e f h i l n s v V w x)" +p4864 +tp4865 +a(g185 +V/ +tp4866 +a(g185 +V +tp4867 +a(g248 +V\u005c\u000a +p4868 +tp4869 +a(g185 +V +p4870 +tp4871 +a(g185 +Vp/1/x: +p4872 +tp4873 +a(g268 +V'' +p4874 +tp4875 +a(g185 +V/ +tp4876 +a(g185 +V +tp4877 +a(g248 +V\u005c\u000a +p4878 +tp4879 +a(g185 +V +p4880 +tp4881 +a(g185 +Vn/-*e/x: +p4882 +tp4883 +a(g268 +V'' +p4884 +tp4885 +a(g185 +V/ +tp4886 +a(g185 +V +tp4887 +a(g185 +Vn/-*f/f/ +p4888 +tp4889 +a(g185 +V +tp4890 +a(g185 +Vn/*/f/ +p4891 +tp4892 +a(g185 +V\u000a +p4893 +tp4894 +a(g53 +Vcomplete +p4895 +tp4896 +a(g185 +Vegrep +p4897 +tp4898 +a(g185 +V +tp4899 +a(g185 +Vc/-*A/x: +p4900 +tp4901 +a(g268 +V'<#_lines_after>' +p4902 +tp4903 +a(g185 +V/ +tp4904 +a(g185 +V +tp4905 +a(g185 +Vc/-*B/x: +p4906 +tp4907 +a(g268 +V'<#_lines_before>' +p4908 +tp4909 +a(g185 +V/ +tp4910 +a(g248 +V\u005c\u000a +p4911 +tp4912 +a(g185 +V +p4913 +tp4914 +a(g185 +Vc/-/ +p4915 +tp4916 +a(g241 +V"(A b B c C e f h i l n s v V w x)" +p4917 +tp4918 +a(g185 +V/ +tp4919 +a(g185 +V +tp4920 +a(g248 +V\u005c\u000a +p4921 +tp4922 +a(g185 +V +p4923 +tp4924 +a(g185 +Vp/1/x: +p4925 +tp4926 +a(g268 +V'' +p4927 +tp4928 +a(g185 +V/ +tp4929 +a(g185 +V +tp4930 +a(g248 +V\u005c\u000a +p4931 +tp4932 +a(g185 +V +p4933 +tp4934 +a(g185 +Vn/-*e/x: +p4935 +tp4936 +a(g268 +V'' +p4937 +tp4938 +a(g185 +V/ +tp4939 +a(g185 +V +tp4940 +a(g185 +Vn/-*f/f/ +p4941 +tp4942 +a(g185 +V +tp4943 +a(g185 +Vn/*/f/ +p4944 +tp4945 +a(g185 +V\u000a +p4946 +tp4947 +a(g53 +Vcomplete +p4948 +tp4949 +a(g185 +Vfgrep +p4950 +tp4951 +a(g185 +V +tp4952 +a(g185 +Vc/-*A/x: +p4953 +tp4954 +a(g268 +V'<#_lines_after>' +p4955 +tp4956 +a(g185 +V/ +tp4957 +a(g185 +V +tp4958 +a(g185 +Vc/-*B/x: +p4959 +tp4960 +a(g268 +V'<#_lines_before>' +p4961 +tp4962 +a(g185 +V/ +tp4963 +a(g248 +V\u005c\u000a +p4964 +tp4965 +a(g185 +V +p4966 +tp4967 +a(g185 +Vc/-/ +p4968 +tp4969 +a(g241 +V"(A b B c C e f h i l n s v V w x)" +p4970 +tp4971 +a(g185 +V/ +tp4972 +a(g185 +V +tp4973 +a(g248 +V\u005c\u000a +p4974 +tp4975 +a(g185 +V +p4976 +tp4977 +a(g185 +Vp/1/x: +p4978 +tp4979 +a(g268 +V'' +p4980 +tp4981 +a(g185 +V/ +tp4982 +a(g185 +V +tp4983 +a(g248 +V\u005c\u000a +p4984 +tp4985 +a(g185 +V +p4986 +tp4987 +a(g185 +Vn/-*e/x: +p4988 +tp4989 +a(g268 +V'' +p4990 +tp4991 +a(g185 +V/ +tp4992 +a(g185 +V +tp4993 +a(g185 +Vn/-*f/f/ +p4994 +tp4995 +a(g185 +V +tp4996 +a(g185 +Vn/*/f/ +p4997 +tp4998 +a(g185 +V\u000a\u000a +p4999 +tp5000 +a(g53 +Vcomplete +p5001 +tp5002 +a(g185 +Vusers +p5003 +tp5004 +a(g185 +V +tp5005 +a(g185 +Vc/--/ +p5006 +tp5007 +a(g241 +V"(help version)" +p5008 +tp5009 +a(g185 +V/ +tp5010 +a(g185 +V +tp5011 +a(g185 +Vp/1/x: +p5012 +tp5013 +a(g268 +V'' +p5014 +tp5015 +a(g185 +V/ +tp5016 +a(g185 +V\u000a +p5017 +tp5018 +a(g53 +Vcomplete +p5019 +tp5020 +a(g185 +Vwho +p5021 +tp5022 +a(g185 +V +tp5023 +a(g185 +Vc/--/ +p5024 +tp5025 +a(g241 +V"(heading mesg idle count help message version \u005c\u000a writable)" +p5026 +tp5027 +a(g185 +V/ +tp5028 +a(g185 +V +tp5029 +a(g185 +Vc/-/ +p5030 +tp5031 +a(g241 +V"(H T w i u m q s -)" +p5032 +tp5033 +a(g185 +V/ +tp5034 +a(g185 +V +tp5035 +a(g248 +V\u005c\u000a +p5036 +tp5037 +a(g185 +V +p5038 +tp5039 +a(g185 +Vp/1/x: +p5040 +tp5041 +a(g268 +V'' +p5042 +tp5043 +a(g185 +V/ +tp5044 +a(g185 +V +tp5045 +a(g185 +Vn/am/ +p5046 +tp5047 +a(g241 +V"(i)" +p5048 +tp5049 +a(g185 +V/ +tp5050 +a(g185 +V +tp5051 +a(g185 +Vn/are/ +p5052 +tp5053 +a(g241 +V"(you)" +p5054 +tp5055 +a(g185 +V/ +tp5056 +a(g185 +V\u000a\u000a +p5057 +tp5058 +a(g53 +Vcomplete +p5059 +tp5060 +a(g185 +Vchown +p5061 +tp5062 +a(g185 +V +tp5063 +a(g185 +Vc/--/ +p5064 +tp5065 +a(g241 +V"(changes silent quiet verbose recursive help \u005c\u000a version)" +p5066 +tp5067 +a(g185 +V/ +tp5068 +a(g185 +V +tp5069 +a(g185 +Vc/-/ +p5070 +tp5071 +a(g241 +V"(c f v R -)" +p5072 +tp5073 +a(g185 +V/ +tp5074 +a(g185 +V +tp5075 +a(g185 +VC@ +p5076 +tp5077 +a(g340 +V[ +tp5078 +a(g185 +V./ +p5079 +tp5080 +a(g248 +V\u005c$ +p5081 +tp5082 +a(g185 +V~ +tp5083 +a(g340 +V] +tp5084 +a(g185 +V@f@ +p5085 +tp5086 +a(g185 +V +tp5087 +a(g185 +Vc/* +p5088 +tp5089 +a(g340 +V[ +tp5090 +a(g185 +V.: +p5091 +tp5092 +a(g340 +V] +tp5093 +a(g185 +V/g/ +p5094 +tp5095 +a(g185 +V +tp5096 +a(g248 +V\u005c\u000a +p5097 +tp5098 +a(g185 +V +p5099 +tp5100 +a(g185 +Vn/-/u/. +p5101 +tp5102 +a(g185 +V +tp5103 +a(g185 +Vp/1/u/. +p5104 +tp5105 +a(g185 +V +tp5106 +a(g185 +Vn/*/f/ +p5107 +tp5108 +a(g185 +V\u000a +p5109 +tp5110 +a(g53 +Vcomplete +p5111 +tp5112 +a(g185 +Vchgrp +p5113 +tp5114 +a(g185 +V +tp5115 +a(g185 +Vc/--/ +p5116 +tp5117 +a(g241 +V"(changes silent quiet verbose recursive help \u005c\u000a version)" +p5118 +tp5119 +a(g185 +V/ +tp5120 +a(g185 +V +tp5121 +a(g185 +Vc/-/ +p5122 +tp5123 +a(g241 +V"(c f v R -)" +p5124 +tp5125 +a(g185 +V/ +tp5126 +a(g185 +V +tp5127 +a(g185 +Vn/-/g/ +p5128 +tp5129 +a(g185 +V +tp5130 +a(g185 +Vp/1/g/ +p5131 +tp5132 +a(g185 +V +tp5133 +a(g185 +Vn/*/f/ +p5134 +tp5135 +a(g185 +V\u000a\u000a +p5136 +tp5137 +a(g53 +Vcomplete +p5138 +tp5139 +a(g185 +Vcat +p5140 +tp5141 +a(g185 +V +tp5142 +a(g185 +Vc/--/ +p5143 +tp5144 +a(g241 +V"(number-nonblank number squeeze-blank show-all \u005c\u000a show-nonprinting show-ends show-tabs help version)" +p5145 +tp5146 +a(g185 +V/ +tp5147 +a(g185 +V +tp5148 +a(g248 +V\u005c\u000a +p5149 +tp5150 +a(g185 +V +p5151 +tp5152 +a(g185 +Vc/-/ +p5153 +tp5154 +a(g241 +V"(b e n s t u v A E T -)" +p5155 +tp5156 +a(g185 +V/ +tp5157 +a(g185 +V +tp5158 +a(g185 +Vn/*/f/ +p5159 +tp5160 +a(g185 +V\u000a +tp5161 +a(g107 +Vif +p5162 +tp5163 +a(g185 +V +tp5164 +a(g340 +V( +tp5165 +a(g69 +V$? +p5166 +tp5167 +a(g185 +Vtraditional_cp_mv_complete +p5168 +tp5169 +a(g340 +V) +tp5170 +a(g185 +V +tp5171 +a(g107 +Vthen\u000a +p5172 +tp5173 +a(g53 +Vcomplete +p5174 +tp5175 +a(g185 +Vmv +p5176 +tp5177 +a(g185 +V +p5178 +tp5179 +a(g185 +Vc/--/ +p5180 +tp5181 +a(g241 +V"(backup force interactive update verbose suffix \u005c\u000a version-control help version)" +p5182 +tp5183 +a(g185 +V/ +tp5184 +a(g185 +V +tp5185 +a(g248 +V\u005c\u000a +p5186 +tp5187 +a(g185 +V +p5188 +tp5189 +a(g185 +Vc/-/ +p5190 +tp5191 +a(g241 +V"(b f i u v S V -)" +p5192 +tp5193 +a(g185 +V/ +tp5194 +a(g185 +V +tp5195 +a(g248 +V\u005c\u000a +p5196 +tp5197 +a(g185 +V +p5198 +tp5199 +a(g185 +Vn/ +p5200 +tp5201 +a(g340 +V{ +tp5202 +a(g185 +V-S,--suffix +p5203 +tp5204 +a(g340 +V} +tp5205 +a(g185 +V/x: +p5206 +tp5207 +a(g268 +V'' +p5208 +tp5209 +a(g185 +V/ +tp5210 +a(g185 +V +tp5211 +a(g248 +V\u005c\u000a +p5212 +tp5213 +a(g185 +V +p5214 +tp5215 +a(g185 +Vn/ +p5216 +tp5217 +a(g340 +V{ +tp5218 +a(g185 +V-V,--version-control +p5219 +tp5220 +a(g340 +V} +tp5221 +a(g185 +V/ +tp5222 +a(g241 +V"(t numbered nil existing \u005c\u000a never simple)" +p5223 +tp5224 +a(g185 +V/ +tp5225 +a(g185 +V +tp5226 +a(g185 +Vn/-/f/ +p5227 +tp5228 +a(g185 +V +tp5229 +a(g185 +VN/-/d/ +p5230 +tp5231 +a(g185 +V +tp5232 +a(g185 +Vp/1/f/ +p5233 +tp5234 +a(g185 +V +tp5235 +a(g185 +Vp/2/d/ +p5236 +tp5237 +a(g185 +V +tp5238 +a(g185 +Vn/*/f/ +p5239 +tp5240 +a(g185 +V\u000a +p5241 +tp5242 +a(g53 +Vcomplete +p5243 +tp5244 +a(g185 +Vcp +p5245 +tp5246 +a(g185 +V +p5247 +tp5248 +a(g185 +Vc/--/ +p5249 +tp5250 +a(g241 +V"(archive backup no-dereference force interactive \u005c\u000a link preserve symbolic-link update verbose parents \u005c\u000a one-file-system recursive suffix version-control help \u005c\u000a version)" +p5251 +tp5252 +a(g185 +V/ +tp5253 +a(g185 +V +tp5254 +a(g185 +Vc/-/ +p5255 +tp5256 +a(g241 +V"(a b d f i l p r s u v x P R S V -)" +p5257 +tp5258 +a(g185 +V/ +tp5259 +a(g185 +V +tp5260 +a(g248 +V\u005c\u000a +p5261 +tp5262 +a(g185 +V +p5263 +tp5264 +a(g185 +Vn/-*r/d/ +p5265 +tp5266 +a(g185 +V +tp5267 +a(g185 +Vn/ +p5268 +tp5269 +a(g340 +V{ +tp5270 +a(g185 +V-S,--suffix +p5271 +tp5272 +a(g340 +V} +tp5273 +a(g185 +V/x: +p5274 +tp5275 +a(g268 +V'' +p5276 +tp5277 +a(g185 +V/ +tp5278 +a(g185 +V +tp5279 +a(g248 +V\u005c\u000a +p5280 +tp5281 +a(g185 +V +p5282 +tp5283 +a(g185 +Vn/ +p5284 +tp5285 +a(g340 +V{ +tp5286 +a(g185 +V-V,--version-control +p5287 +tp5288 +a(g340 +V} +tp5289 +a(g185 +V/ +tp5290 +a(g241 +V"(t numbered nil existing \u005c\u000a never simple)" +p5291 +tp5292 +a(g185 +V/ +tp5293 +a(g185 +V +tp5294 +a(g185 +Vn/-/f/ +p5295 +tp5296 +a(g185 +V +tp5297 +a(g185 +VN/-/d/ +p5298 +tp5299 +a(g185 +V +tp5300 +a(g185 +Vp/1/f/ +p5301 +tp5302 +a(g185 +V +tp5303 +a(g185 +Vp/2/d/ +p5304 +tp5305 +a(g185 +V +tp5306 +a(g185 +Vn/*/f/ +p5307 +tp5308 +a(g185 +V\u000a +tp5309 +a(g107 +Velse\u000a +p5310 +tp5311 +a(g53 +Vcomplete +p5312 +tp5313 +a(g185 +Vmv +p5314 +tp5315 +a(g185 +V +p5316 +tp5317 +a(g185 +Vc/--/ +p5318 +tp5319 +a(g241 +V"(backup force interactive update verbose suffix \u005c\u000a version-control help version)" +p5320 +tp5321 +a(g185 +V/ +tp5322 +a(g185 +V +tp5323 +a(g248 +V\u005c\u000a +p5324 +tp5325 +a(g185 +V +p5326 +tp5327 +a(g185 +Vc/-/ +p5328 +tp5329 +a(g241 +V"(b f i u v S V -)" +p5330 +tp5331 +a(g185 +V/ +tp5332 +a(g185 +V +tp5333 +a(g248 +V\u005c\u000a +p5334 +tp5335 +a(g185 +V +p5336 +tp5337 +a(g185 +Vn/ +p5338 +tp5339 +a(g340 +V{ +tp5340 +a(g185 +V-S,--suffix +p5341 +tp5342 +a(g340 +V} +tp5343 +a(g185 +V/x: +p5344 +tp5345 +a(g268 +V'' +p5346 +tp5347 +a(g185 +V/ +tp5348 +a(g185 +V +tp5349 +a(g248 +V\u005c\u000a +p5350 +tp5351 +a(g185 +V +p5352 +tp5353 +a(g185 +Vn/ +p5354 +tp5355 +a(g340 +V{ +tp5356 +a(g185 +V-V,--version-control +p5357 +tp5358 +a(g340 +V} +tp5359 +a(g185 +V/ +tp5360 +a(g241 +V"(t numbered nil existing \u005c\u000a never simple)" +p5361 +tp5362 +a(g185 +V/ +tp5363 +a(g185 +V +tp5364 +a(g185 +Vn/-/f/ +p5365 +tp5366 +a(g185 +V +tp5367 +a(g185 +VN/-/d/ +p5368 +tp5369 +a(g185 +V +tp5370 +a(g185 +Vn/*/f/ +p5371 +tp5372 +a(g185 +V\u000a +p5373 +tp5374 +a(g53 +Vcomplete +p5375 +tp5376 +a(g185 +Vcp +p5377 +tp5378 +a(g185 +V +p5379 +tp5380 +a(g185 +Vc/--/ +p5381 +tp5382 +a(g241 +V"(archive backup no-dereference force interactive \u005c\u000a link preserve symbolic-link update verbose parents \u005c\u000a one-file-system recursive suffix version-control help \u005c\u000a version)" +p5383 +tp5384 +a(g185 +V/ +tp5385 +a(g185 +V +tp5386 +a(g185 +Vc/-/ +p5387 +tp5388 +a(g241 +V"(a b d f i l p r s u v x P R S V -)" +p5389 +tp5390 +a(g185 +V/ +tp5391 +a(g185 +V +tp5392 +a(g248 +V\u005c\u000a +p5393 +tp5394 +a(g185 +V +p5395 +tp5396 +a(g185 +Vn/-*r/d/ +p5397 +tp5398 +a(g185 +V +tp5399 +a(g185 +Vn/ +p5400 +tp5401 +a(g340 +V{ +tp5402 +a(g185 +V-S,--suffix +p5403 +tp5404 +a(g340 +V} +tp5405 +a(g185 +V/x: +p5406 +tp5407 +a(g268 +V'' +p5408 +tp5409 +a(g185 +V/ +tp5410 +a(g185 +V +tp5411 +a(g248 +V\u005c\u000a +p5412 +tp5413 +a(g185 +V +p5414 +tp5415 +a(g185 +Vn/ +p5416 +tp5417 +a(g340 +V{ +tp5418 +a(g185 +V-V,--version-control +p5419 +tp5420 +a(g340 +V} +tp5421 +a(g185 +V/ +tp5422 +a(g241 +V"(t numbered nil existing \u005c\u000a never simple)" +p5423 +tp5424 +a(g185 +V/ +tp5425 +a(g185 +V +tp5426 +a(g185 +Vn/-/f/ +p5427 +tp5428 +a(g185 +V +tp5429 +a(g185 +VN/-/d/ +p5430 +tp5431 +a(g185 +V +tp5432 +a(g185 +Vn/*/f/ +p5433 +tp5434 +a(g185 +V\u000a +tp5435 +a(g107 +Vendif\u000a +p5436 +tp5437 +a(g53 +Vcomplete +p5438 +tp5439 +a(g185 +Vln +p5440 +tp5441 +a(g185 +V +p5442 +tp5443 +a(g185 +Vc/--/ +p5444 +tp5445 +a(g241 +V"(backup directory force interactive symbolic \u005c\u000a verbose suffix version-control help version)" +p5446 +tp5447 +a(g185 +V/ +tp5448 +a(g185 +V +tp5449 +a(g248 +V\u005c\u000a +p5450 +tp5451 +a(g185 +V +p5452 +tp5453 +a(g185 +Vc/-/ +p5454 +tp5455 +a(g241 +V"(b d F f i s v S V -)" +p5456 +tp5457 +a(g185 +V/ +tp5458 +a(g185 +V +tp5459 +a(g248 +V\u005c\u000a +p5460 +tp5461 +a(g185 +V +p5462 +tp5463 +a(g185 +Vn/ +p5464 +tp5465 +a(g340 +V{ +tp5466 +a(g185 +V-S,--suffix +p5467 +tp5468 +a(g340 +V} +tp5469 +a(g185 +V/x: +p5470 +tp5471 +a(g268 +V'' +p5472 +tp5473 +a(g185 +V/ +tp5474 +a(g185 +V +tp5475 +a(g248 +V\u005c\u000a +p5476 +tp5477 +a(g185 +V +p5478 +tp5479 +a(g185 +Vn/ +p5480 +tp5481 +a(g340 +V{ +tp5482 +a(g185 +V-V,--version-control +p5483 +tp5484 +a(g340 +V} +tp5485 +a(g185 +V/ +tp5486 +a(g241 +V"(t numbered nil existing \u005c\u000a never simple)" +p5487 +tp5488 +a(g185 +V/ +tp5489 +a(g185 +V +tp5490 +a(g185 +Vn/-/f/ +p5491 +tp5492 +a(g185 +V +tp5493 +a(g185 +VN/-/x: +p5494 +tp5495 +a(g268 +V'' +p5496 +tp5497 +a(g185 +V/ +tp5498 +a(g185 +V +tp5499 +a(g248 +V\u005c\u000a +p5500 +tp5501 +a(g185 +V +p5502 +tp5503 +a(g185 +Vp/1/f/ +p5504 +tp5505 +a(g185 +V +tp5506 +a(g185 +Vp/2/x: +p5507 +tp5508 +a(g268 +V'' +p5509 +tp5510 +a(g185 +V/ +tp5511 +a(g185 +V\u000a +p5512 +tp5513 +a(g53 +Vcomplete +p5514 +tp5515 +a(g185 +Vtouch +p5516 +tp5517 +a(g185 +V +tp5518 +a(g185 +Vc/--/ +p5519 +tp5520 +a(g241 +V"(date file help time version)" +p5521 +tp5522 +a(g185 +V/ +tp5523 +a(g185 +V +tp5524 +a(g248 +V\u005c\u000a +p5525 +tp5526 +a(g185 +V +p5527 +tp5528 +a(g185 +Vc/-/ +p5529 +tp5530 +a(g241 +V"(a c d f m r t -)" +p5531 +tp5532 +a(g185 +V/ +tp5533 +a(g185 +V +tp5534 +a(g248 +V\u005c\u000a +p5535 +tp5536 +a(g185 +V +p5537 +tp5538 +a(g185 +Vn/ +p5539 +tp5540 +a(g340 +V{ +tp5541 +a(g185 +V-d,--date +p5542 +tp5543 +a(g340 +V} +tp5544 +a(g185 +V/x: +p5545 +tp5546 +a(g268 +V'' +p5547 +tp5548 +a(g185 +V/ +tp5549 +a(g185 +V +tp5550 +a(g248 +V\u005c\u000a +p5551 +tp5552 +a(g185 +V +p5553 +tp5554 +a(g185 +Vc/--time/ +p5555 +tp5556 +a(g241 +V"(access atime mtime modify use)" +p5557 +tp5558 +a(g185 +V/ +tp5559 +a(g185 +V +tp5560 +a(g248 +V\u005c\u000a +p5561 +tp5562 +a(g185 +V +p5563 +tp5564 +a(g185 +Vn/ +p5565 +tp5566 +a(g340 +V{ +tp5567 +a(g185 +V-r,--file +p5568 +tp5569 +a(g340 +V} +tp5570 +a(g185 +V/f/ +p5571 +tp5572 +a(g185 +V +tp5573 +a(g185 +Vn/-t/x: +p5574 +tp5575 +a(g268 +V'' +p5576 +tp5577 +a(g185 +V/ +tp5578 +a(g185 +V +tp5579 +a(g185 +Vn/*/f/ +p5580 +tp5581 +a(g185 +V\u000a +p5582 +tp5583 +a(g53 +Vcomplete +p5584 +tp5585 +a(g185 +Vmkdir +p5586 +tp5587 +a(g185 +V +tp5588 +a(g185 +Vc/--/ +p5589 +tp5590 +a(g241 +V"(parents help version mode)" +p5591 +tp5592 +a(g185 +V/ +tp5593 +a(g185 +V +tp5594 +a(g185 +Vc/-/ +p5595 +tp5596 +a(g241 +V"(p m -)" +p5597 +tp5598 +a(g185 +V/ +tp5599 +a(g185 +V +tp5600 +a(g248 +V\u005c\u000a +p5601 +tp5602 +a(g185 +V +p5603 +tp5604 +a(g185 +Vn/ +p5605 +tp5606 +a(g340 +V{ +tp5607 +a(g185 +V-m,--mode +p5608 +tp5609 +a(g340 +V} +tp5610 +a(g185 +V/x: +p5611 +tp5612 +a(g268 +V'' +p5613 +tp5614 +a(g185 +V/ +tp5615 +a(g185 +V +tp5616 +a(g185 +Vn/*/d/ +p5617 +tp5618 +a(g185 +V\u000a +p5619 +tp5620 +a(g53 +Vcomplete +p5621 +tp5622 +a(g185 +Vrmdir +p5623 +tp5624 +a(g185 +V +tp5625 +a(g185 +Vc/--/ +p5626 +tp5627 +a(g241 +V"(parents help version)" +p5628 +tp5629 +a(g185 +V/ +tp5630 +a(g185 +V +tp5631 +a(g185 +Vc/-/ +p5632 +tp5633 +a(g241 +V"(p -)" +p5634 +tp5635 +a(g185 +V/ +tp5636 +a(g185 +V +tp5637 +a(g185 +Vn/*/d/ +p5638 +tp5639 +a(g185 +V\u000a\u000a +p5640 +tp5641 +a(g53 +Vcomplete +p5642 +tp5643 +a(g185 +Vtar +p5644 +tp5645 +a(g185 +V +tp5646 +a(g185 +Vc/- +p5647 +tp5648 +a(g340 +V[ +tp5649 +a(g185 +VAcru +p5650 +tp5651 +a(g340 +V] +tp5652 +a(g185 +V*/ +p5653 +tp5654 +a(g241 +V"(b B C f F g G h i l L M N o P \u005c\u000a R S T v V w W X z Z)" +p5655 +tp5656 +a(g185 +V/ +tp5657 +a(g185 +V +tp5658 +a(g248 +V\u005c\u000a +p5659 +tp5660 +a(g185 +V +p5661 +tp5662 +a(g185 +Vc/- +p5663 +tp5664 +a(g340 +V[ +tp5665 +a(g185 +Vdtx +p5666 +tp5667 +a(g340 +V] +tp5668 +a(g185 +V*/ +p5669 +tp5670 +a(g241 +V"( B C f F g G i k K m M O p P \u005c\u000a R s S T v w x X z Z)" +p5671 +tp5672 +a(g185 +V/ +tp5673 +a(g185 +V +tp5674 +a(g248 +V\u005c\u000a +p5675 +tp5676 +a(g185 +V +p5677 +tp5678 +a(g185 +Vp/1/ +p5679 +tp5680 +a(g241 +V"(A c d r t u x -A -c -d -r -t -u -x \u005c\u000a --catenate --concatenate --create --diff --compare \u005c\u000a --delete --append --list --update --extract --get)" +p5681 +tp5682 +a(g185 +V/ +tp5683 +a(g185 +V +tp5684 +a(g248 +V\u005c\u000a +p5685 +tp5686 +a(g185 +V +p5687 +tp5688 +a(g185 +Vc/--/ +p5689 +tp5690 +a(g241 +V"(catenate concatenate create diff compare \u005c\u000a delete append list update extract get atime-preserve \u005c\u000a block-size read-full-blocks directory checkpoint file \u005c\u000a force-local info-script new-volume-script incremental \u005c\u000a listed-incremental dereference ignore-zeros \u005c\u000a ignore-failed-read keep-old-files starting-file \u005c\u000a one-file-system tape-length modification-time \u005c\u000a multi-volume after-date newer old-archive portability \u005c\u000a to-stdout same-permissions preserve-permissions \u005c\u000a absolute-paths preserve record-number remove-files \u005c\u000a same-order preserve-order same-owner sparse \u005c\u000a files-from null totals verbose label version \u005c\u000a interactive confirmation verify exclude exclude-from \u005c\u000a compress uncompress gzip ungzip use-compress-program \u005c\u000a block-compress)" +p5691 +tp5692 +a(g185 +V/ +tp5693 +a(g185 +V +tp5694 +a(g248 +V\u005c\u000a +p5695 +tp5696 +a(g185 +V +p5697 +tp5698 +a(g185 +Vc/-/ +p5699 +tp5700 +a(g241 +V"(b B C f F g G h i k K l L m M N o O p P R s S \u005c\u000a T v V w W X z Z 0 1 2 3 4 5 6 7 -)" +p5701 +tp5702 +a(g185 +V/ +tp5703 +a(g185 +V +tp5704 +a(g248 +V\u005c\u000a +p5705 +tp5706 +a(g185 +V +p5707 +tp5708 +a(g185 +Vn/-c*f/x: +p5709 +tp5710 +a(g268 +V'' +p5711 +tp5712 +a(g185 +V/ +tp5713 +a(g185 +V +tp5714 +a(g248 +V\u005c\u000a +p5715 +tp5716 +a(g185 +V +p5717 +tp5718 +a(g185 +Vn/ +p5719 +tp5720 +a(g340 +V{ +tp5721 +a(g185 +V- +tp5722 +a(g340 +V[ +tp5723 +a(g185 +VAdrtux +p5724 +tp5725 +a(g340 +V] +tp5726 +a(g185 +V*f,--file +p5727 +tp5728 +a(g340 +V} +tp5729 +a(g185 +V/f:*.tar/ +p5730 +tp5731 +a(g185 +V +tp5732 +a(g248 +V\u005c\u000a +p5733 +tp5734 +a(g185 +V +p5735 +tp5736 +a(g185 +VN/ +p5737 +tp5738 +a(g340 +V{ +tp5739 +a(g185 +V-x*f,--file +p5740 +tp5741 +a(g340 +V} +tp5742 +a(g185 +V/ +tp5743 +a(g268 +V'`tar -tf $:-1`' +p5744 +tp5745 +a(g185 +V/ +tp5746 +a(g185 +V +tp5747 +a(g248 +V\u005c\u000a +p5748 +tp5749 +a(g185 +V +p5750 +tp5751 +a(g185 +Vn/--use-compress-program/c/ +p5752 +tp5753 +a(g185 +V +tp5754 +a(g248 +V\u005c\u000a +p5755 +tp5756 +a(g185 +V +p5757 +tp5758 +a(g185 +Vn/ +p5759 +tp5760 +a(g340 +V{ +tp5761 +a(g185 +V-b,--block-size +p5762 +tp5763 +a(g340 +V} +tp5764 +a(g185 +V/x: +p5765 +tp5766 +a(g268 +V'' +p5767 +tp5768 +a(g185 +V/ +tp5769 +a(g185 +V +tp5770 +a(g248 +V\u005c\u000a +p5771 +tp5772 +a(g185 +V +p5773 +tp5774 +a(g185 +Vn/ +p5775 +tp5776 +a(g340 +V{ +tp5777 +a(g185 +V-V,--label +p5778 +tp5779 +a(g340 +V} +tp5780 +a(g185 +V/x: +p5781 +tp5782 +a(g268 +V'' +p5783 +tp5784 +a(g185 +V/ +tp5785 +a(g185 +V +tp5786 +a(g248 +V\u005c\u000a +p5787 +tp5788 +a(g185 +V +p5789 +tp5790 +a(g185 +Vn/ +p5791 +tp5792 +a(g340 +V{ +tp5793 +a(g185 +V-N,-- +p5794 +tp5795 +a(g340 +V{ +tp5796 +a(g185 +Vafter-date,newer +p5797 +tp5798 +a(g340 +V}} +p5799 +tp5800 +a(g185 +V/x: +p5801 +tp5802 +a(g268 +V'' +p5803 +tp5804 +a(g185 +V/ +tp5805 +a(g185 +V +tp5806 +a(g248 +V\u005c\u000a +p5807 +tp5808 +a(g185 +V +p5809 +tp5810 +a(g185 +Vn/ +p5811 +tp5812 +a(g340 +V{ +tp5813 +a(g185 +V-L,--tape-length +p5814 +tp5815 +a(g340 +V} +tp5816 +a(g185 +V/x: +p5817 +tp5818 +a(g268 +V'' +p5819 +tp5820 +a(g185 +V/ +tp5821 +a(g185 +V +tp5822 +a(g248 +V\u005c\u000a +p5823 +tp5824 +a(g185 +V +p5825 +tp5826 +a(g185 +Vn/ +p5827 +tp5828 +a(g340 +V{ +tp5829 +a(g185 +V-C,--directory +p5830 +tp5831 +a(g340 +V} +tp5832 +a(g185 +V/d/ +p5833 +tp5834 +a(g185 +V +tp5835 +a(g248 +V\u005c\u000a +p5836 +tp5837 +a(g185 +V +p5838 +tp5839 +a(g185 +VN/ +p5840 +tp5841 +a(g340 +V{ +tp5842 +a(g185 +V-C,--directory +p5843 +tp5844 +a(g340 +V} +tp5845 +a(g185 +V/ +tp5846 +a(g268 +V'`\u005cls $:-1`' +p5847 +tp5848 +a(g185 +V/ +tp5849 +a(g185 +V +tp5850 +a(g248 +V\u005c\u000a +p5851 +tp5852 +a(g185 +V +p5853 +tp5854 +a(g185 +Vn/- +p5855 +tp5856 +a(g340 +V[ +tp5857 +a(g185 +V0-7 +p5858 +tp5859 +a(g340 +V] +tp5860 +a(g185 +V/ +tp5861 +a(g241 +V"(l m h)" +p5862 +tp5863 +a(g185 +V/ +tp5864 +a(g185 +V\u000a\u000a +p5865 +tp5866 +a(g6 +V# BSD 4.3 filesystems\u000a +p5867 +tp5868 +a(g185 +V +p5869 +tp5870 +a(g53 +Vcomplete +p5871 +tp5872 +a(g185 +Vmount +p5873 +tp5874 +a(g185 +V +tp5875 +a(g185 +Vc/-/ +p5876 +tp5877 +a(g241 +V"(a h v t r)" +p5878 +tp5879 +a(g185 +V/ +tp5880 +a(g185 +V +tp5881 +a(g185 +Vn/-h/ +p5882 +tp5883 +a(g248 +V\u005c$ +p5884 +tp5885 +a(g185 +Vhosts/ +p5886 +tp5887 +a(g185 +V +tp5888 +a(g185 +Vn/-t/ +p5889 +tp5890 +a(g241 +V"(4.2 nfs)" +p5891 +tp5892 +a(g185 +V/ +tp5893 +a(g185 +V +tp5894 +a(g248 +V\u005c\u000a +p5895 +tp5896 +a(g185 +V +p5897 +tp5898 +a(g185 +Vn@*@ +p5899 +tp5900 +a(g268 +V'`cut -d " " -f 2 /etc/fstab`' +p5901 +tp5902 +a(g185 +V@ +tp5903 +a(g185 +V\u000a +p5904 +tp5905 +a(g53 +Vcomplete +p5906 +tp5907 +a(g185 +Vumount +p5908 +tp5909 +a(g185 +V +tp5910 +a(g185 +Vc/-/ +p5911 +tp5912 +a(g241 +V"(a h v t)" +p5913 +tp5914 +a(g185 +V/ +tp5915 +a(g185 +V +p5916 +tp5917 +a(g185 +Vn/-h/ +p5918 +tp5919 +a(g248 +V\u005c$ +p5920 +tp5921 +a(g185 +Vhosts/ +p5922 +tp5923 +a(g185 +V +tp5924 +a(g185 +Vn/-t/ +p5925 +tp5926 +a(g241 +V"(4.2 nfs)" +p5927 +tp5928 +a(g185 +V/ +tp5929 +a(g185 +V +tp5930 +a(g248 +V\u005c\u000a +p5931 +tp5932 +a(g185 +V +p5933 +tp5934 +a(g185 +Vn/*/ +p5935 +tp5936 +a(g268 +V'`mount | cut -d " " -f 3`' +p5937 +tp5938 +a(g185 +V/ +tp5939 +a(g185 +V\u000a +p5940 +tp5941 +a(g6 +V# BSD 4.2 filesystems\u000a +p5942 +tp5943 +a(g185 +V +p5944 +tp5945 +a(g6 +V#complete mount c/-/"(a h v t r)"/ n/-h/\u005c$hosts/ n/-t/"(ufs nfs)"/ \u005c\u000a +p5946 +tp5947 +a(g185 +V +p5948 +tp5949 +a(g6 +V# n@*@'`cut -d ":" -f 2 /etc/fstab`'@\u000a +p5950 +tp5951 +a(g185 +V +p5952 +tp5953 +a(g6 +V#complete umount c/-/"(a h v t)"/ n/-h/\u005c$hosts/ n/-t/"(ufs nfs)"/ \u005c\u000a +p5954 +tp5955 +a(g185 +V +p5956 +tp5957 +a(g6 +V# n/*/'`mount | cut -d " " -f 3`'/\u000a +p5958 +tp5959 +a(g185 +V\u000a +p5960 +tp5961 +a(g6 +V# these deal with NIS (formerly YP); if it's not running you don't need 'em\u000a +p5962 +tp5963 +a(g185 +V +p5964 +tp5965 +a(g53 +Vcomplete +p5966 +tp5967 +a(g185 +Vdomainname +p5968 +tp5969 +a(g185 +V +tp5970 +a(g185 +Vp@1@D: +p5971 +tp5972 +a(g69 +V$_ypdir +p5973 +tp5974 +a(g185 +V@ +tp5975 +a(g241 +V" " +p5976 +tp5977 +a(g185 +V +tp5978 +a(g185 +Vn@*@n@ +p5979 +tp5980 +a(g185 +V\u000a +p5981 +tp5982 +a(g53 +Vcomplete +p5983 +tp5984 +a(g185 +Vypcat +p5985 +tp5986 +a(g185 +V +tp5987 +a(g185 +Vc@-@ +p5988 +tp5989 +a(g241 +V"(d k t x)" +p5990 +tp5991 +a(g185 +V@ +tp5992 +a(g185 +V +tp5993 +a(g185 +Vn@-x@n@ +p5994 +tp5995 +a(g185 +V +tp5996 +a(g185 +Vn@-d@D: +p5997 +tp5998 +a(g69 +V$_ypdir +p5999 +tp6000 +a(g185 +V@ +tp6001 +a(g241 +V" " +p6002 +tp6003 +a(g185 +V +tp6004 +a(g248 +V\u005c\u000a +p6005 +tp6006 +a(g185 +V +p6007 +tp6008 +a(g185 +VN@-d@ +p6009 +tp6010 +a(g248 +V\u005c` +p6011 +tp6012 +a(g248 +V\u005c\u005c +p6013 +tp6014 +a(g185 +Vls +p6015 +tp6016 +a(g248 +V\u005c +p6017 +tp6018 +a(g185 +V-1 +p6019 +tp6020 +a(g248 +V\u005c +p6021 +tp6022 +a(g69 +V$_ypdir +p6023 +tp6024 +a(g185 +V/ +tp6025 +a(g248 +V\u005c$ +p6026 +tp6027 +a(g185 +V:-1 +p6028 +tp6029 +a(g248 +V\u005c +p6030 +tp6031 +a(g248 +V\u005c| +p6032 +tp6033 +a(g248 +V\u005c +p6034 +tp6035 +a(g185 +Vsed +p6036 +tp6037 +a(g248 +V\u005c +p6038 +tp6039 +a(g185 +V-n +p6040 +tp6041 +a(g248 +V\u005c +p6042 +tp6043 +a(g185 +Vs% +p6044 +tp6045 +a(g248 +V\u005c\u005c +p6046 +tp6047 +a(g248 +V\u005c\u005c +p6048 +tp6049 +a(g185 +V.pag +p6050 +tp6051 +a(g248 +V\u005c\u005c +p6052 +tp6053 +a(g248 +V\u005c$ +p6054 +tp6055 +a(g185 +V%%p +p6056 +tp6057 +a(g248 +V\u005c` +p6058 +tp6059 +a(g185 +V@ +tp6060 +a(g185 +V +tp6061 +a(g248 +V\u005c\u000a +p6062 +tp6063 +a(g185 +V +p6064 +tp6065 +a(g185 +Vn@*@ +p6066 +tp6067 +a(g248 +V\u005c` +p6068 +tp6069 +a(g248 +V\u005c\u005c +p6070 +tp6071 +a(g185 +Vls +p6072 +tp6073 +a(g248 +V\u005c +p6074 +tp6075 +a(g185 +V-1 +p6076 +tp6077 +a(g248 +V\u005c +p6078 +tp6079 +a(g69 +V$_ypdir +p6080 +tp6081 +a(g185 +V/ +tp6082 +a(g69 +V$_domain +p6083 +tp6084 +a(g248 +V\u005c +p6085 +tp6086 +a(g248 +V\u005c| +p6087 +tp6088 +a(g248 +V\u005c +p6089 +tp6090 +a(g185 +Vsed +p6091 +tp6092 +a(g248 +V\u005c +p6093 +tp6094 +a(g185 +V-n +p6095 +tp6096 +a(g248 +V\u005c +p6097 +tp6098 +a(g185 +Vs% +p6099 +tp6100 +a(g248 +V\u005c\u005c +p6101 +tp6102 +a(g248 +V\u005c\u005c +p6103 +tp6104 +a(g185 +V.pag +p6105 +tp6106 +a(g248 +V\u005c\u005c +p6107 +tp6108 +a(g248 +V\u005c$ +p6109 +tp6110 +a(g185 +V%%p +p6111 +tp6112 +a(g248 +V\u005c` +p6113 +tp6114 +a(g185 +V@ +tp6115 +a(g185 +V\u000a +p6116 +tp6117 +a(g53 +Vcomplete +p6118 +tp6119 +a(g185 +Vypmatch +p6120 +tp6121 +a(g185 +V +tp6122 +a(g185 +Vc@-@ +p6123 +tp6124 +a(g241 +V"(d k t x)" +p6125 +tp6126 +a(g185 +V@ +tp6127 +a(g185 +V +tp6128 +a(g185 +Vn@-x@n@ +p6129 +tp6130 +a(g185 +V +tp6131 +a(g185 +Vn@-d@D: +p6132 +tp6133 +a(g69 +V$_ypdir +p6134 +tp6135 +a(g185 +V@ +tp6136 +a(g241 +V" " +p6137 +tp6138 +a(g185 +V +tp6139 +a(g248 +V\u005c\u000a +p6140 +tp6141 +a(g185 +V +p6142 +tp6143 +a(g185 +VN@-d@x: +p6144 +tp6145 +a(g268 +V'' +p6146 +tp6147 +a(g185 +V@ +tp6148 +a(g185 +V +tp6149 +a(g185 +Vn@-@x: +p6150 +tp6151 +a(g268 +V'' +p6152 +tp6153 +a(g185 +V@ +tp6154 +a(g185 +V +tp6155 +a(g185 +Vp@1@x: +p6156 +tp6157 +a(g268 +V'' +p6158 +tp6159 +a(g185 +V@ +tp6160 +a(g185 +V +tp6161 +a(g248 +V\u005c\u000a +p6162 +tp6163 +a(g185 +V +p6164 +tp6165 +a(g185 +Vn@*@ +p6166 +tp6167 +a(g248 +V\u005c` +p6168 +tp6169 +a(g248 +V\u005c\u005c +p6170 +tp6171 +a(g185 +Vls +p6172 +tp6173 +a(g248 +V\u005c +p6174 +tp6175 +a(g185 +V-1 +p6176 +tp6177 +a(g248 +V\u005c +p6178 +tp6179 +a(g69 +V$_ypdir +p6180 +tp6181 +a(g185 +V/ +tp6182 +a(g69 +V$_domain +p6183 +tp6184 +a(g248 +V\u005c +p6185 +tp6186 +a(g248 +V\u005c| +p6187 +tp6188 +a(g248 +V\u005c +p6189 +tp6190 +a(g185 +Vsed +p6191 +tp6192 +a(g248 +V\u005c +p6193 +tp6194 +a(g185 +V-n +p6195 +tp6196 +a(g248 +V\u005c +p6197 +tp6198 +a(g185 +Vs% +p6199 +tp6200 +a(g248 +V\u005c\u005c +p6201 +tp6202 +a(g248 +V\u005c\u005c +p6203 +tp6204 +a(g185 +V.pag +p6205 +tp6206 +a(g248 +V\u005c\u005c +p6207 +tp6208 +a(g248 +V\u005c$ +p6209 +tp6210 +a(g185 +V%%p +p6211 +tp6212 +a(g248 +V\u005c` +p6213 +tp6214 +a(g185 +V@ +tp6215 +a(g185 +V\u000a +p6216 +tp6217 +a(g53 +Vcomplete +p6218 +tp6219 +a(g185 +Vypwhich +p6220 +tp6221 +a(g185 +V +tp6222 +a(g185 +Vc@-@ +p6223 +tp6224 +a(g241 +V"(d m t x V1 V2)" +p6225 +tp6226 +a(g185 +V@ +tp6227 +a(g185 +V +tp6228 +a(g185 +Vn@-x@n@ +p6229 +tp6230 +a(g185 +V +tp6231 +a(g185 +Vn@-d@D: +p6232 +tp6233 +a(g69 +V$_ypdir +p6234 +tp6235 +a(g185 +V@ +tp6236 +a(g241 +V" " +p6237 +tp6238 +a(g185 +V +tp6239 +a(g248 +V\u005c\u000a +p6240 +tp6241 +a(g185 +V +p6242 +tp6243 +a(g185 +Vn@-m@ +p6244 +tp6245 +a(g248 +V\u005c` +p6246 +tp6247 +a(g248 +V\u005c\u005c +p6248 +tp6249 +a(g185 +Vls +p6250 +tp6251 +a(g248 +V\u005c +p6252 +tp6253 +a(g185 +V-1 +p6254 +tp6255 +a(g248 +V\u005c +p6256 +tp6257 +a(g69 +V$_ypdir +p6258 +tp6259 +a(g185 +V/ +tp6260 +a(g69 +V$_domain +p6261 +tp6262 +a(g248 +V\u005c +p6263 +tp6264 +a(g248 +V\u005c| +p6265 +tp6266 +a(g248 +V\u005c +p6267 +tp6268 +a(g185 +Vsed +p6269 +tp6270 +a(g248 +V\u005c +p6271 +tp6272 +a(g185 +V-n +p6273 +tp6274 +a(g248 +V\u005c +p6275 +tp6276 +a(g185 +Vs% +p6277 +tp6278 +a(g248 +V\u005c\u005c +p6279 +tp6280 +a(g248 +V\u005c\u005c +p6281 +tp6282 +a(g185 +V.pag +p6283 +tp6284 +a(g248 +V\u005c\u005c +p6285 +tp6286 +a(g248 +V\u005c$ +p6287 +tp6288 +a(g185 +V%%p +p6289 +tp6290 +a(g248 +V\u005c` +p6291 +tp6292 +a(g185 +V@ +tp6293 +a(g185 +V +tp6294 +a(g248 +V\u005c\u000a +p6295 +tp6296 +a(g185 +V +p6297 +tp6298 +a(g185 +VN@-m@n@ +p6299 +tp6300 +a(g185 +V +tp6301 +a(g185 +Vn@*@ +p6302 +tp6303 +a(g248 +V\u005c$ +p6304 +tp6305 +a(g185 +Vhosts@ +p6306 +tp6307 +a(g185 +V\u000a\u000a +p6308 +tp6309 +a(g6 +V# there's no need to clutter the user's shell with these\u000a +p6310 +tp6311 +a(g185 +V +p6312 +tp6313 +a(g53 +Vunset +p6314 +tp6315 +a(g185 +V_elispdir +p6316 +tp6317 +a(g185 +V +tp6318 +a(g185 +V_maildir +p6319 +tp6320 +a(g185 +V +tp6321 +a(g185 +V_ypdir +p6322 +tp6323 +a(g185 +V +tp6324 +a(g185 +V_domain +p6325 +tp6326 +a(g185 +V\u000a\u000a +p6327 +tp6328 +a(g53 +Vcomplete +p6329 +tp6330 +a(g185 +Vmake +p6331 +tp6332 +a(g185 +V +tp6333 +a(g248 +V\u005c\u000a +p6334 +tp6335 +a(g185 +V +tp6336 +a(g268 +V'n/-f/f/' +p6337 +tp6338 +a(g185 +V +tp6339 +a(g248 +V\u005c\u000a +p6340 +tp6341 +a(g185 +V +p6342 +tp6343 +a(g268 +V'c/*=/f/' +p6344 +tp6345 +a(g185 +V +tp6346 +a(g248 +V\u005c\u000a +p6347 +tp6348 +a(g185 +V +tp6349 +a(g268 +V'n@*@`cat -s GNUmakefile Makefile makefile |& sed -n -e "/No such file/d" -e "/^[^ #].*:/s/:.*//p"`@' +p6350 +tp6351 +a(g185 +V\u000a\u000a +p6352 +tp6353 +a(g107 +Vif +p6354 +tp6355 +a(g185 +V +tp6356 +a(g340 +V( +tp6357 +a(g185 +V +tp6358 +a(g185 +V-f +p6359 +tp6360 +a(g185 +V +tp6361 +a(g185 +V/etc/printcap +p6362 +tp6363 +a(g185 +V +tp6364 +a(g340 +V) +tp6365 +a(g185 +V +tp6366 +a(g107 +Vthen\u000a +p6367 +tp6368 +a(g53 +Vset +p6369 +tp6370 +a(g69 +Vprinters +p6371 +tp6372 +a(g340 +V= +tp6373 +a(g340 +V( +tp6374 +a(g260 +V` +tp6375 +a(g185 +Vsed +p6376 +tp6377 +a(g185 +V +tp6378 +a(g185 +V-n +p6379 +tp6380 +a(g185 +V +tp6381 +a(g185 +V-e +p6382 +tp6383 +a(g185 +V +tp6384 +a(g241 +V"/^[^ #].*:/s/:.*//p" +p6385 +tp6386 +a(g185 +V +tp6387 +a(g185 +V/etc/printcap +p6388 +tp6389 +a(g260 +V` +tp6390 +a(g340 +V) +tp6391 +a(g185 +V\u000a\u000a +p6392 +tp6393 +a(g53 +Vcomplete +p6394 +tp6395 +a(g185 +Vlpr +p6396 +tp6397 +a(g185 +V +p6398 +tp6399 +a(g268 +V'c/-P/$printers/' +p6400 +tp6401 +a(g185 +V\u000a +p6402 +tp6403 +a(g53 +Vcomplete +p6404 +tp6405 +a(g185 +Vlpq +p6406 +tp6407 +a(g185 +V +p6408 +tp6409 +a(g268 +V'c/-P/$printers/' +p6410 +tp6411 +a(g185 +V\u000a +p6412 +tp6413 +a(g53 +Vcomplete +p6414 +tp6415 +a(g185 +Vlprm +p6416 +tp6417 +a(g185 +V +p6418 +tp6419 +a(g268 +V'c/-P/$printers/' +p6420 +tp6421 +a(g185 +V\u000a +p6422 +tp6423 +a(g53 +Vcomplete +p6424 +tp6425 +a(g185 +Vlpquota +p6426 +tp6427 +a(g185 +V +p6428 +tp6429 +a(g268 +V'p/1/(-Qprlogger)/' +p6430 +tp6431 +a(g185 +V +tp6432 +a(g268 +V'c/-P/$printers/' +p6433 +tp6434 +a(g185 +V\u000a +p6435 +tp6436 +a(g53 +Vcomplete +p6437 +tp6438 +a(g185 +Vdvips +p6439 +tp6440 +a(g185 +V +p6441 +tp6442 +a(g268 +V'c/-P/$printers/' +p6443 +tp6444 +a(g185 +V +tp6445 +a(g268 +V'n/-o/f:*.{ps,PS}/' +p6446 +tp6447 +a(g185 +V +tp6448 +a(g268 +V'n/*/f:*.dvi/' +p6449 +tp6450 +a(g185 +V\u000a +p6451 +tp6452 +a(g107 +Vendif +p6453 +tp6454 +a(g185 +V\u000a\u000a +p6455 +tp6456 +a(g6 +V# New\u000a +p6457 +tp6458 +a(g107 +Vif +p6459 +tp6460 +a(g185 +V +tp6461 +a(g340 +V( +tp6462 +a(g185 +V! +tp6463 +a(g185 +V +tp6464 +a(g69 +V$? +p6465 +tp6466 +a(g185 +Vno_new_complete +p6467 +tp6468 +a(g340 +V) +tp6469 +a(g185 +V +tp6470 +a(g107 +Vthen\u000a +p6471 +tp6472 +a(g53 +Vuncomplete +p6473 +tp6474 +a(g185 +Vvi +p6475 +tp6476 +a(g185 +V\u000a +p6477 +tp6478 +a(g53 +Vcomplete +p6479 +tp6480 +a(g185 +V +tp6481 +a(g340 +V{ +tp6482 +a(g185 +Vvi,vim,gvim,nvi,elvis +p6483 +tp6484 +a(g340 +V} +tp6485 +a(g185 +V +p6486 +tp6487 +a(g185 +Vn/*/f:^*. +p6488 +tp6489 +a(g340 +V{ +tp6490 +a(g185 +Vo,a,so,sa,aux,dvi,log,fig,bbl,blg,bst,idx,ilg,ind,toc +p6491 +tp6492 +a(g340 +V} +tp6493 +a(g185 +V/ +tp6494 +a(g185 +V\u000a +p6495 +tp6496 +a(g53 +Vcomplete +p6497 +tp6498 +a(g185 +V +tp6499 +a(g340 +V{ +tp6500 +a(g185 +Vispell,spell,spellword +p6501 +tp6502 +a(g340 +V} +tp6503 +a(g185 +V +tp6504 +a(g268 +V'n@-d@`ls /usr/lib/ispell/*.aff | sed -e "s/\u005c.aff//" `@' +p6505 +tp6506 +a(g185 +V +tp6507 +a(g268 +V'n/*/f:^*.{o,a,so,sa,aux,dvi,log,fig,bbl,blg,bst,idx,ilg,ind,toc}/' +p6508 +tp6509 +a(g185 +V\u000a +p6510 +tp6511 +a(g53 +Vcomplete +p6512 +tp6513 +a(g185 +Vmutt +p6514 +tp6515 +a(g185 +V +tp6516 +a(g268 +V'n/-[ai]/f/' +p6517 +tp6518 +a(g185 +V +tp6519 +a(g268 +V'n/-c/u/' +p6520 +tp6521 +a(g185 +V +tp6522 +a(g185 +Vc@ +p6523 +tp6524 +a(g340 +V= +tp6525 +a(g185 +V@F: +p6526 +tp6527 +a(g69 +V$HOME +p6528 +tp6529 +a(g185 +V/Mail/@ +p6530 +tp6531 +a(g185 +V +tp6532 +a(g248 +V\u005c\u000a +p6533 +tp6534 +a(g185 +V +p6535 +tp6536 +a(g268 +V'n/-s/x:\u005c/' +p6537 +tp6538 +a(g185 +V +tp6539 +a(g268 +V'n/[^-]/u/' +p6540 +tp6541 +a(g185 +V\u000a +p6542 +tp6543 +a(g53 +Vcomplete +p6544 +tp6545 +a(g185 +Velm +p6546 +tp6547 +a(g185 +V +tp6548 +a(g268 +V'n/-[Ai]/f/' +p6549 +tp6550 +a(g185 +V +tp6551 +a(g268 +V'c@=@F:$HOME/Mail/@' +p6552 +tp6553 +a(g185 +V +tp6554 +a(g268 +V'n/-s/x:\u005c/' +p6555 +tp6556 +a(g185 +V\u000a +p6557 +tp6558 +a(g53 +Vcomplete +p6559 +tp6560 +a(g185 +Vncftp +p6561 +tp6562 +a(g185 +V +tp6563 +a(g268 +V'n@*@`sed -e ' +p6564 +tp6565 +a(g185 +V1,2d +p6566 +tp6567 +a(g268 +V' $HOME/.ncftp/bookmarks | cut -f 1,2 -d "," | tr "," "\u005c012" | sort | uniq ` ' +p6568 +tp6569 +a(g185 +V@ +tp6570 +a(g185 +V\u000a +p6571 +tp6572 +a(g53 +Vcomplete +p6573 +tp6574 +a(g185 +Vbibtex +p6575 +tp6576 +a(g185 +V +tp6577 +a(g268 +V'n@*@`ls *.aux | sed -e "s/\u005c.aux//"`' +p6578 +tp6579 +a(g185 +V@ +tp6580 +a(g185 +V\u000a +p6581 +tp6582 +a(g53 +Vcomplete +p6583 +tp6584 +a(g185 +Vdvi2tty +p6585 +tp6586 +a(g185 +V +p6587 +tp6588 +a(g185 +Vn/*/f:*.dvi/ +p6589 +tp6590 +a(g185 +V +tp6591 +a(g6 +V# Only files that match *.dvi\u000a +p6592 +tp6593 +a(g185 +V +p6594 +tp6595 +a(g53 +Vcomplete +p6596 +tp6597 +a(g185 +V +tp6598 +a(g340 +V{ +tp6599 +a(g185 +Vxpdf,acroread +p6600 +tp6601 +a(g340 +V} +tp6602 +a(g185 +V +tp6603 +a(g268 +V'n/*/f:*.pdf/' +p6604 +tp6605 +a(g185 +V\u000a +p6606 +tp6607 +a(g53 +Vcomplete +p6608 +tp6609 +a(g185 +V +tp6610 +a(g340 +V{ +tp6611 +a(g185 +Vgv,ghostview +p6612 +tp6613 +a(g340 +V} +tp6614 +a(g185 +V +tp6615 +a(g268 +V'n/*/f:*.{ps,eps,epsi}/' +p6616 +tp6617 +a(g185 +V\u000a +p6618 +tp6619 +a(g53 +Vcomplete +p6620 +tp6621 +a(g185 +Venscript +p6622 +tp6623 +a(g185 +V +tp6624 +a(g248 +V\u005c\u000a +p6625 +tp6626 +a(g185 +V +p6627 +tp6628 +a(g268 +V'c/--/(columns= pages= header= no-header truncate-lines \u005c\u000a line-numbers setpagedevice= escapes font= \u005c\u000a header-font= fancy-header no-job-header \u005c\u000a highlight-bars indent= filter= borders page-prefeed \u005c\u000a no-page-prefeed lineprinter lines-per-page= mail \u005c\u000a media= copies= newline= output= missing-characters \u005c\u000a printer= quiet silent landscape portrait \u005c\u000a baselineskip= statusdict= title= tabsize= underlay= \u005c\u000a verbose version encoding pass-through download-font= \u005c\u000a filter-stdin= help highlight-bar-gray= list-media \u005c\u000a list-options non-printable-format= page-label-format= \u005c\u000a printer-options= ul-angle= ul-font= ul-gray= \u005c\u000a ul-position= ul-style= \u005c\u000a )/' +p6629 +tp6630 +a(g185 +V\u000a +tp6631 +a(g107 +Vendif +p6632 +tp6633 +a(g185 +V +tp6634 +a(g6 +V# ! $?no_new_complete\u000a +p6635 +tp6636 +a(g185 +V\u000a +tp6637 +a(g6 +V# Debian specific\u000a +p6638 +tp6639 +a(g107 +Vif +p6640 +tp6641 +a(g185 +V +tp6642 +a(g340 +V( +tp6643 +a(g185 +V! +tp6644 +a(g185 +V +tp6645 +a(g69 +V$? +p6646 +tp6647 +a(g185 +Vno_debian_complete +p6648 +tp6649 +a(g340 +V) +tp6650 +a(g185 +V +tp6651 +a(g107 +Vthen\u000a +p6652 +tp6653 +a(g53 +Vcomplete +p6654 +tp6655 +a(g185 +Vdpkg +p6656 +tp6657 +a(g185 +V +tp6658 +a(g268 +V'c/--{admindir,instdir,root}=/d/' +p6659 +tp6660 +a(g185 +V +tp6661 +a(g248 +V\u005c\u000a +p6662 +tp6663 +a(g185 +V +p6664 +tp6665 +a(g268 +V'c/--debug=/n/' +p6666 +tp6667 +a(g185 +V +tp6668 +a(g248 +V\u005c\u000a +p6669 +tp6670 +a(g185 +V +p6671 +tp6672 +a(g268 +V'c/--{admindir,debug,instdir,root}/(=)//' +p6673 +tp6674 +a(g185 +V +tp6675 +a(g248 +V\u005c\u000a +p6676 +tp6677 +a(g185 +V +p6678 +tp6679 +a(g268 +V'c/--/(admindir= debug= instdir= root= \u005c\u000a assert-support-predepends assert-working-epoch \u005c\u000a audit auto-deconfigure clear-avail \u005c\u000a compare-versions configure contents control \u005c\u000a extract force-bad-path field \u005c\u000a force-configure-any force-conflicts \u005c\u000a force-depends force-depends-version force-help \u005c\u000a force-hold force-non-root \u005c\u000a force-overwrite-diverted \u005c\u000a force-remove-essential force-remove-reinstreq \u005c\u000a forget-old-unavail fsys-tarfile get-selections \u005c\u000a help ignore-depends info install largemem \u005c\u000a license list listfiles merge-avail no-act \u005c\u000a pending predep-package print-architecture \u005c\u000a print-gnu-build-architecture \u005c\u000a print-installation-architecture print-avail \u005c\u000a purge record-avail recursive refuse-downgrade \u005c\u000a remove search set-selections selected-only \u005c\u000a skip-same-version smallmem status unpack \u005c\u000a update-avail version vextract \u005c\u000a )//' +p6680 +tp6681 +a(g185 +V +tp6682 +a(g248 +V\u005c\u000a +p6683 +tp6684 +a(g185 +V +p6685 +tp6686 +a(g268 +V'n/*/f:*.deb' +p6687 +tp6688 +a(g185 +V/ +tp6689 +a(g185 +V\u000a +tp6690 +a(g53 +Vcomplete +p6691 +tp6692 +a(g185 +Vdpkg-deb +p6693 +tp6694 +a(g185 +V +tp6695 +a(g268 +V'c/--{build}=/d/' +p6696 +tp6697 +a(g185 +V +tp6698 +a(g248 +V\u005c\u000a +p6699 +tp6700 +a(g185 +V +p6701 +tp6702 +a(g268 +V'c/--/"( build contents info field control extract \u005c\u000a vextract fsys-tarfile help version \u005c\u000a license )"' +p6703 +tp6704 +a(g185 +V +tp6705 +a(g248 +V\u005c\u000a +p6706 +tp6707 +a(g185 +V +p6708 +tp6709 +a(g268 +V'n/*/f:*.deb/' +p6710 +tp6711 +a(g185 +V\u000a +tp6712 +a(g107 +Vendif +p6713 +tp6714 +a(g185 +V +tp6715 +a(g6 +V# ! $?no_debian_complete\u000a +p6716 +tp6717 +a(g185 +V\u000a +p6718 +tp6719 +a(g53 +Vunset +p6720 +tp6721 +a(g185 +Vnoglob +p6722 +tp6723 +a(g185 +V\u000a +p6724 +tp6725 +a(g53 +Vunset +p6726 +tp6727 +a(g53 +Vcomplete\u000a +p6728 +tp6729 +a(g53 +Vunset +p6730 +tp6731 +a(g185 +Vtraditional_complete +p6732 +tp6733 +a(g185 +V\u000a +p6734 +tp6735 +a(g53 +Vunset +p6736 +tp6737 +a(g185 +Vtraditional_cp_mv_complete +p6738 +tp6739 +a(g185 +V\u000a +p6740 +tp6741 +a(g53 +Vunset +p6742 +tp6743 +a(g185 +Vtraditional_zcat_complete +p6744 +tp6745 +a(g185 +V\u000a +p6746 +tp6747 +a(g53 +Vunset +p6748 +tp6749 +a(g185 +Vtraditional_nm_complete +p6750 +tp6751 +a(g185 +V\u000a +p6752 +tp6753 +a(g53 +Vunset +p6754 +tp6755 +a(g185 +Vtraditilnal_tex_complete +p6756 +tp6757 +a(g185 +V\u000a +p6758 +tp6759 +a(g53 +Vunset +p6760 +tp6761 +a(g185 +Vtraditional_find_complete +p6762 +tp6763 +a(g185 +V\u000a +p6764 +tp6765 +a(g53 +Vunset +p6766 +tp6767 +a(g185 +Vtraditional_configure_complete +p6768 +tp6769 +a(g185 +V\u000a +p6770 +tp6771 +a(g53 +Vunset +p6772 +tp6773 +a(g185 +Vtraditional_rm_complete +p6774 +tp6775 +a(g185 +V\u000a +p6776 +tp6777 +a(g53 +Vunset +p6778 +tp6779 +a(g185 +Vfoolproof_rm_complete +p6780 +tp6781 +a(g185 +V\u000a +p6782 +tp6783 +a(g53 +Vunset +p6784 +tp6785 +a(g185 +Vno_new_complete +p6786 +tp6787 +a(g185 +V\u000a +p6788 +tp6789 +a(g53 +Vunset +p6790 +tp6791 +a(g185 +Vno_debian_complete +p6792 +tp6793 +a(g185 +V\u000a +tp6794 +a(g107 +Vendif\u000a\u000a +p6795 +tp6796 +a(g107 +Vend +p6797 +tp6798 +a(g185 +V: +tp6799 +a(g185 +V\u000a +p6800 +tp6801 +a(g53 +Vonintr +p6802 +tp6803 +a(g185 +V\u000a +tp6804 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/test.xsl b/tests/examplefiles/output/test.xsl new file mode 100644 index 0000000..43a998b --- /dev/null +++ b/tests/examplefiles/output/test.xsl @@ -0,0 +1,1750 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Preproc' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsg6 +g7 +sg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag7 +ag354 +ag358 +atRp366 +sg362 +g363 +sbsbV +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g111 +V +tp382 +a(g189 +V\u000a +p383 +tp384 +a(g111 +V +p392 +tp393 +a(g189 +V\u000a +p394 +tp395 +a(g111 +V +tp403 +a(g189 +V\u000a +p404 +tp405 +a(g29 +V +tp408 +a(g189 +V\u000a +p409 +tp410 +a(g111 +V +p418 +tp419 +a(g189 +V\u000a +p420 +tp421 +a(g29 +V +p422 +tp423 +a(g189 +V\u000a +p424 +tp425 +a(g111 +V +p426 +tp427 +a(g189 +V\u000a +p428 +tp429 +a(g111 +V +tp437 +a(g189 +V\u000a +p438 +tp439 +a(g111 +V +p442 +tp443 +a(g189 +V\u000a\u000a +p444 +tp445 +a(g111 +V +p446 +tp447 +a(g189 +V\u000a +p448 +tp449 +a(g111 +V +tp457 +a(g189 +V\u000a +p458 +tp459 +a(g29 +V +tp462 +a(g189 +V\u000a +p463 +tp464 +a(g111 +V +tp472 +a(g111 +V +p480 +tp481 +a(g111 +V +p482 +tp483 +a(g189 +V\u000a +p484 +tp485 +a(g111 +V +tp493 +a(g111 +V +p501 +tp502 +a(g111 +V +p503 +tp504 +a(g189 +V\u000a +p505 +tp506 +a(g111 +V +tp514 +a(g111 +V +p522 +tp523 +a(g111 +V +p524 +tp525 +a(g189 +V\u000a +p526 +tp527 +a(g29 +V +p528 +tp529 +a(g189 +V\u000a +p530 +tp531 +a(g111 +V +p534 +tp535 +a(g189 +V\u000a +p536 +tp537 +a(g111 +V +p538 +tp539 +a(g189 +V\u000a\u000a +p540 +tp541 +a(g111 +V +p542 +tp543 +a(g189 +V\u000a +tp544 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/truncated.pytb b/tests/examplefiles/output/truncated.pytb new file mode 100644 index 0000000..3079ce8 --- /dev/null +++ b/tests/examplefiles/output/truncated.pytb @@ -0,0 +1,1879 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Generic' +p5 +S'Traceback' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +S'Prompt' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g9 +g10 +((ltRp18 +sg12 +g13 +sbsg12 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g12 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g22 +sbsg9 +g10 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g9 +g10 +((ltRp40 +sg12 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g9 +g10 +((ltRp48 +sg12 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g9 +g10 +((ltRp52 +sg12 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g9 +g10 +((ltRp56 +sg12 +g43 +sbsS'Constant' +p57 +g2 +(g3 +g4 +(g42 +g57 +ttRp58 +(dp59 +g9 +g10 +((ltRp60 +sg12 +g43 +sbsg12 +g19 +sS'Pseudo' +p61 +g2 +(g3 +g4 +(g42 +g61 +ttRp62 +(dp63 +g9 +g10 +((ltRp64 +sg12 +g43 +sbsS'Attribute' +p65 +g2 +(g3 +g4 +(g42 +g65 +ttRp66 +(dp67 +g9 +g10 +((ltRp68 +sg12 +g43 +sbsS'Label' +p69 +g2 +(g3 +g4 +(g42 +g69 +ttRp70 +(dp71 +g9 +g10 +((ltRp72 +sg12 +g43 +sbsS'Blubb' +p73 +g2 +(g3 +g4 +(g42 +g73 +ttRp74 +(dp75 +g9 +g10 +((ltRp76 +sg12 +g43 +sbsS'Entity' +p77 +g2 +(g3 +g4 +(g42 +g77 +ttRp78 +(dp79 +g9 +g10 +((ltRp80 +sg12 +g43 +sbsS'Builtin' +p81 +g2 +(g3 +g4 +(g42 +g81 +ttRp82 +(dp83 +g9 +g10 +((lp84 +g2 +(g3 +g4 +(g42 +g81 +g61 +ttRp85 +(dp86 +g9 +g10 +((ltRp87 +sg12 +g82 +sbatRp88 +sg61 +g85 +sg12 +g43 +sbsS'Other' +p89 +g2 +(g3 +g4 +(g42 +g89 +ttRp90 +(dp91 +g9 +g10 +((ltRp92 +sg12 +g43 +sbsS'Identifier' +p93 +g2 +(g3 +g4 +(g42 +g93 +ttRp94 +(dp95 +g9 +g10 +((ltRp96 +sg12 +g43 +sbsS'Variable' +p97 +g2 +(g3 +g4 +(g42 +g97 +ttRp98 +(dp99 +g12 +g43 +sS'Global' +p100 +g2 +(g3 +g4 +(g42 +g97 +g100 +ttRp101 +(dp102 +g9 +g10 +((ltRp103 +sg12 +g98 +sbsS'Instance' +p104 +g2 +(g3 +g4 +(g42 +g97 +g104 +ttRp105 +(dp106 +g9 +g10 +((ltRp107 +sg12 +g98 +sbsS'Anonymous' +p108 +g2 +(g3 +g4 +(g42 +g97 +g108 +ttRp109 +(dp110 +g9 +g10 +((ltRp111 +sg12 +g98 +sbsg9 +g10 +((lp112 +g109 +ag105 +ag101 +ag2 +(g3 +g4 +(g42 +g97 +S'Class' +p113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g98 +sbatRp117 +sg113 +g114 +sbsg9 +g10 +((lp118 +g2 +(g3 +g4 +(g42 +S'Decorator' +p119 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g43 +sbag66 +ag58 +ag62 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p123 +ttRp124 +(dp125 +g9 +g10 +((ltRp126 +sg12 +g43 +sbag94 +ag82 +ag98 +ag90 +ag74 +ag78 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p127 +ttRp128 +(dp129 +g9 +g10 +((ltRp130 +sg12 +g43 +sbag70 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g113 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g43 +sbatRp134 +sg127 +g128 +sg113 +g131 +sg119 +g120 +sg123 +g124 +sbsS'Keyword' +p135 +g2 +(g3 +g4 +(g135 +ttRp136 +(dp137 +S'Pervasive' +p138 +g2 +(g3 +g4 +(g135 +g138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g136 +sbsg57 +g2 +(g3 +g4 +(g135 +g57 +ttRp142 +(dp143 +g9 +g10 +((ltRp144 +sg12 +g136 +sbsg12 +g19 +sg123 +g2 +(g3 +g4 +(g135 +g123 +ttRp145 +(dp146 +g9 +g10 +((ltRp147 +sg12 +g136 +sbsg61 +g2 +(g3 +g4 +(g135 +g61 +ttRp148 +(dp149 +g9 +g10 +((ltRp150 +sg12 +g136 +sbsS'Reserved' +p151 +g2 +(g3 +g4 +(g135 +g151 +ttRp152 +(dp153 +g9 +g10 +((ltRp154 +sg12 +g136 +sbsS'Declaration' +p155 +g2 +(g3 +g4 +(g135 +g155 +ttRp156 +(dp157 +g9 +g10 +((ltRp158 +sg12 +g136 +sbsg97 +g2 +(g3 +g4 +(g135 +g97 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g136 +sbsg9 +g10 +((lp162 +g142 +ag152 +ag2 +(g3 +g4 +(g135 +S'Type' +p163 +ttRp164 +(dp165 +g9 +g10 +((ltRp166 +sg12 +g136 +sbag139 +ag156 +ag159 +ag145 +ag148 +atRp167 +sg163 +g164 +sbsg5 +g13 +sS'Text' +p168 +g2 +(g3 +g4 +(g168 +ttRp169 +(dp170 +g9 +g10 +((lp171 +g2 +(g3 +g4 +(g168 +S'Symbol' +p172 +ttRp173 +(dp174 +g9 +g10 +((ltRp175 +sg12 +g169 +sbag2 +(g3 +g4 +(g168 +S'Whitespace' +p176 +ttRp177 +(dp178 +g9 +g10 +((ltRp179 +sg12 +g169 +sbatRp180 +sg172 +g173 +sg176 +g177 +sg12 +g19 +sbsS'Punctuation' +p181 +g2 +(g3 +g4 +(g181 +ttRp182 +(dp183 +g9 +g10 +((lp184 +g2 +(g3 +g4 +(g181 +S'Indicator' +p185 +ttRp186 +(dp187 +g9 +g10 +((ltRp188 +sg12 +g182 +sbatRp189 +sg185 +g186 +sg12 +g19 +sbsS'Token' +p190 +g19 +sS'Number' +p191 +g2 +(g3 +g4 +(S'Literal' +p192 +g191 +ttRp193 +(dp194 +S'Bin' +p195 +g2 +(g3 +g4 +(g192 +g191 +g195 +ttRp196 +(dp197 +g9 +g10 +((ltRp198 +sg12 +g193 +sbsS'Binary' +p199 +g2 +(g3 +g4 +(g192 +g191 +g199 +ttRp200 +(dp201 +g9 +g10 +((ltRp202 +sg12 +g193 +sbsg12 +g2 +(g3 +g4 +(g192 +ttRp203 +(dp204 +S'String' +p205 +g2 +(g3 +g4 +(g192 +g205 +ttRp206 +(dp207 +S'Regex' +p208 +g2 +(g3 +g4 +(g192 +g205 +g208 +ttRp209 +(dp210 +g9 +g10 +((ltRp211 +sg12 +g206 +sbsS'Interpol' +p212 +g2 +(g3 +g4 +(g192 +g205 +g212 +ttRp213 +(dp214 +g9 +g10 +((ltRp215 +sg12 +g206 +sbsS'Regexp' +p216 +g2 +(g3 +g4 +(g192 +g205 +g216 +ttRp217 +(dp218 +g9 +g10 +((ltRp219 +sg12 +g206 +sbsg12 +g203 +sS'Heredoc' +p220 +g2 +(g3 +g4 +(g192 +g205 +g220 +ttRp221 +(dp222 +g9 +g10 +((ltRp223 +sg12 +g206 +sbsS'Double' +p224 +g2 +(g3 +g4 +(g192 +g205 +g224 +ttRp225 +(dp226 +g9 +g10 +((ltRp227 +sg12 +g206 +sbsg172 +g2 +(g3 +g4 +(g192 +g205 +g172 +ttRp228 +(dp229 +g9 +g10 +((ltRp230 +sg12 +g206 +sbsS'Escape' +p231 +g2 +(g3 +g4 +(g192 +g205 +g231 +ttRp232 +(dp233 +g9 +g10 +((ltRp234 +sg12 +g206 +sbsS'Character' +p235 +g2 +(g3 +g4 +(g192 +g205 +g235 +ttRp236 +(dp237 +g9 +g10 +((ltRp238 +sg12 +g206 +sbsS'Interp' +p239 +g2 +(g3 +g4 +(g192 +g205 +g239 +ttRp240 +(dp241 +g9 +g10 +((ltRp242 +sg12 +g206 +sbsS'Backtick' +p243 +g2 +(g3 +g4 +(g192 +g205 +g243 +ttRp244 +(dp245 +g9 +g10 +((ltRp246 +sg12 +g206 +sbsS'Char' +p247 +g2 +(g3 +g4 +(g192 +g205 +g247 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g206 +sbsg28 +g2 +(g3 +g4 +(g192 +g205 +g28 +ttRp251 +(dp252 +g9 +g10 +((ltRp253 +sg12 +g206 +sbsg89 +g2 +(g3 +g4 +(g192 +g205 +g89 +ttRp254 +(dp255 +g9 +g10 +((ltRp256 +sg12 +g206 +sbsS'Doc' +p257 +g2 +(g3 +g4 +(g192 +g205 +g257 +ttRp258 +(dp259 +g9 +g10 +((ltRp260 +sg12 +g206 +sbsg9 +g10 +((lp261 +g254 +ag2 +(g3 +g4 +(g192 +g205 +S'Atom' +p262 +ttRp263 +(dp264 +g9 +g10 +((ltRp265 +sg12 +g206 +sbag225 +ag248 +ag240 +ag258 +ag221 +ag244 +ag213 +ag228 +ag217 +ag209 +ag251 +ag236 +ag232 +atRp266 +sg262 +g263 +sbsg12 +g19 +sg191 +g193 +sS'Scalar' +p267 +g2 +(g3 +g4 +(g192 +g267 +ttRp268 +(dp269 +g9 +g10 +((lp270 +g2 +(g3 +g4 +(g192 +g267 +S'Plain' +p271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g268 +sbatRp275 +sg12 +g203 +sg271 +g272 +sbsg89 +g2 +(g3 +g4 +(g192 +g89 +ttRp276 +(dp277 +g9 +g10 +((ltRp278 +sg12 +g203 +sbsS'Date' +p279 +g2 +(g3 +g4 +(g192 +g279 +ttRp280 +(dp281 +g9 +g10 +((ltRp282 +sg12 +g203 +sbsg9 +g10 +((lp283 +g280 +ag206 +ag276 +ag193 +ag268 +atRp284 +sbsS'Decimal' +p285 +g2 +(g3 +g4 +(g192 +g191 +g285 +ttRp286 +(dp287 +g9 +g10 +((ltRp288 +sg12 +g193 +sbsS'Float' +p289 +g2 +(g3 +g4 +(g192 +g191 +g289 +ttRp290 +(dp291 +g9 +g10 +((ltRp292 +sg12 +g193 +sbsS'Hex' +p293 +g2 +(g3 +g4 +(g192 +g191 +g293 +ttRp294 +(dp295 +g9 +g10 +((ltRp296 +sg12 +g193 +sbsS'Integer' +p297 +g2 +(g3 +g4 +(g192 +g191 +g297 +ttRp298 +(dp299 +g9 +g10 +((lp300 +g2 +(g3 +g4 +(g192 +g191 +g297 +S'Long' +p301 +ttRp302 +(dp303 +g9 +g10 +((ltRp304 +sg12 +g298 +sbatRp305 +sg301 +g302 +sg12 +g193 +sbsS'Octal' +p306 +g2 +(g3 +g4 +(g192 +g191 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g193 +sbsg9 +g10 +((lp310 +g196 +ag200 +ag307 +ag286 +ag2 +(g3 +g4 +(g192 +g191 +S'Oct' +p311 +ttRp312 +(dp313 +g9 +g10 +((ltRp314 +sg12 +g193 +sbag298 +ag290 +ag294 +atRp315 +sg311 +g312 +sbsg192 +g203 +sg89 +g2 +(g3 +g4 +(g89 +ttRp316 +(dp317 +g9 +g10 +((ltRp318 +sg12 +g19 +sbsS'Error' +p319 +g2 +(g3 +g4 +(g319 +ttRp320 +(dp321 +g9 +g10 +((ltRp322 +sg12 +g19 +sbsS'Operator' +p323 +g2 +(g3 +g4 +(g323 +ttRp324 +(dp325 +g9 +g10 +((lp326 +g2 +(g3 +g4 +(g323 +S'Word' +p327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g324 +sbatRp331 +sg327 +g328 +sg12 +g19 +sbsg9 +g10 +((lp332 +g22 +ag320 +ag13 +ag169 +ag43 +ag182 +ag136 +ag203 +ag324 +ag316 +atRp333 +sg205 +g206 +sbsS'Deleted' +p334 +g2 +(g3 +g4 +(g5 +g334 +ttRp335 +(dp336 +g9 +g10 +((ltRp337 +sg12 +g13 +sbsg6 +g7 +sS'Emph' +p338 +g2 +(g3 +g4 +(g5 +g338 +ttRp339 +(dp340 +g9 +g10 +((ltRp341 +sg12 +g13 +sbsS'Output' +p342 +g2 +(g3 +g4 +(g5 +g342 +ttRp343 +(dp344 +g9 +g10 +((ltRp345 +sg12 +g13 +sbsS'Subheading' +p346 +g2 +(g3 +g4 +(g5 +g346 +ttRp347 +(dp348 +g9 +g10 +((ltRp349 +sg12 +g13 +sbsg319 +g2 +(g3 +g4 +(g5 +g319 +ttRp350 +(dp351 +g9 +g10 +((ltRp352 +sg12 +g13 +sbsg9 +g10 +((lp353 +g343 +ag339 +ag350 +ag347 +ag7 +ag335 +ag2 +(g3 +g4 +(g5 +S'Heading' +p354 +ttRp355 +(dp356 +g9 +g10 +((ltRp357 +sg12 +g13 +sbag2 +(g3 +g4 +(g5 +S'Inserted' +p358 +ttRp359 +(dp360 +g9 +g10 +((ltRp361 +sg12 +g13 +sbag2 +(g3 +g4 +(g5 +S'Strong' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag16 +atRp366 +sg362 +g363 +sg358 +g359 +sg354 +g355 +sbsbV +tp367 +a(g169 +V File +p368 +tp369 +a(g82 +V"/usr/lib/python2.3/site-packages/trac/web/main.py" +p370 +tp371 +a(g169 +V, line +p372 +tp373 +a(g193 +V314 +p374 +tp375 +a(g169 +V, in +p376 +tp377 +a(g94 +Vdispatch_request +p378 +tp379 +a(g169 +V\u000a +tp380 +a(g169 +V +p381 +tp382 +a(g43 +Vdispatcher +p383 +tp384 +a(g324 +V. +tp385 +a(g43 +Vdispatch +p386 +tp387 +a(g182 +V( +tp388 +a(g43 +Vreq +p389 +tp390 +a(g182 +V) +tp391 +a(g169 +V\u000a +tp392 +a(g169 +V File +p393 +tp394 +a(g82 +V"/usr/lib/python2.3/site-packages/trac/web/main.py" +p395 +tp396 +a(g169 +V, line +p397 +tp398 +a(g193 +V186 +p399 +tp400 +a(g169 +V, in +p401 +tp402 +a(g94 +Vdispatch +p403 +tp404 +a(g169 +V\u000a +tp405 +a(g169 +V +p406 +tp407 +a(g43 +Vreq +p408 +tp409 +a(g324 +V. +tp410 +a(g43 +Vsession +p411 +tp412 +a(g169 +V +tp413 +a(g324 +V= +tp414 +a(g169 +V +tp415 +a(g43 +VSession +p416 +tp417 +a(g182 +V( +tp418 +a(g85 +Vself +p419 +tp420 +a(g324 +V. +tp421 +a(g43 +Venv +p422 +tp423 +a(g182 +V, +tp424 +a(g169 +V +tp425 +a(g43 +Vreq +p426 +tp427 +a(g182 +V) +tp428 +a(g169 +V\u000a +tp429 +a(g169 +V File +p430 +tp431 +a(g82 +V"/usr/lib/python2.3/site-packages/trac/web/session.py" +p432 +tp433 +a(g169 +V, line +p434 +tp435 +a(g193 +V52 +p436 +tp437 +a(g169 +V, in +p438 +tp439 +a(g94 +V__init__ +p440 +tp441 +a(g169 +V\u000a +tp442 +a(g169 +V +p443 +tp444 +a(g85 +Vself +p445 +tp446 +a(g324 +V. +tp447 +a(g43 +Vpromote_session +p448 +tp449 +a(g182 +V( +tp450 +a(g43 +Vsid +p451 +tp452 +a(g182 +V) +tp453 +a(g169 +V\u000a +tp454 +a(g169 +V File +p455 +tp456 +a(g82 +V"/usr/lib/python2.3/site-packages/trac/web/session.py" +p457 +tp458 +a(g169 +V, line +p459 +tp460 +a(g193 +V125 +p461 +tp462 +a(g169 +V, in +p463 +tp464 +a(g94 +Vpromote_session +p465 +tp466 +a(g169 +V\u000a +tp467 +a(g169 +V +p468 +tp469 +a(g206 +V" +tp470 +a(g206 +VAND authenticated=0 +p471 +tp472 +a(g206 +V" +tp473 +a(g182 +V, +tp474 +a(g169 +V +tp475 +a(g182 +V( +tp476 +a(g43 +Vsid +p477 +tp478 +a(g182 +V, +tp479 +a(g182 +V) +tp480 +a(g182 +V) +tp481 +a(g169 +V\u000a +tp482 +a(g169 +V File +p483 +tp484 +a(g82 +V"/usr/lib/python2.3/site-packages/trac/db/util.py" +p485 +tp486 +a(g169 +V, line +p487 +tp488 +a(g193 +V47 +p489 +tp490 +a(g169 +V, in +p491 +tp492 +a(g94 +Vexecute +p493 +tp494 +a(g169 +V\u000a +tp495 +a(g169 +V +p496 +tp497 +a(g136 +Vreturn +p498 +tp499 +a(g169 +V +tp500 +a(g85 +Vself +p501 +tp502 +a(g324 +V. +tp503 +a(g43 +Vcursor +p504 +tp505 +a(g324 +V. +tp506 +a(g43 +Vexecute +p507 +tp508 +a(g182 +V( +tp509 +a(g43 +Vsql_escape_percent +p510 +tp511 +a(g182 +V( +tp512 +a(g43 +Vsql +p513 +tp514 +a(g182 +V) +tp515 +a(g182 +V, +tp516 +a(g169 +V +tp517 +a(g43 +Vargs +p518 +tp519 +a(g182 +V) +tp520 +a(g169 +V\u000a +tp521 +a(g169 +V File +p522 +tp523 +a(g82 +V"/usr/lib/python2.3/site-packages/trac/db/sqlite_backend.py" +p524 +tp525 +a(g169 +V, line +p526 +tp527 +a(g193 +V44 +p528 +tp529 +a(g169 +V, in +p530 +tp531 +a(g94 +Vexecute +p532 +tp533 +a(g169 +V\u000a +tp534 +a(g169 +V +p535 +tp536 +a(g43 +Vargs +p537 +tp538 +a(g169 +V +tp539 +a(g328 +Vor +p540 +tp541 +a(g169 +V +tp542 +a(g182 +V[ +tp543 +a(g182 +V] +tp544 +a(g182 +V) +tp545 +a(g169 +V\u000a +tp546 +a(g169 +V File +p547 +tp548 +a(g82 +V"/usr/lib/python2.3/site-packages/trac/db/sqlite_backend.py" +p549 +tp550 +a(g169 +V, line +p551 +tp552 +a(g193 +V36 +p553 +tp554 +a(g169 +V, in +p555 +tp556 +a(g94 +V_rollback_on_error +p557 +tp558 +a(g169 +V\u000a +tp559 +a(g169 +V +p560 +tp561 +a(g136 +Vreturn +p562 +tp563 +a(g169 +V +tp564 +a(g43 +Vfunction +p565 +tp566 +a(g182 +V( +tp567 +a(g85 +Vself +p568 +tp569 +a(g182 +V, +tp570 +a(g169 +V +tp571 +a(g324 +V* +tp572 +a(g43 +Vargs +p573 +tp574 +a(g182 +V, +tp575 +a(g169 +V +tp576 +a(g324 +V* +tp577 +a(g324 +V* +tp578 +a(g43 +Vkwargs +p579 +tp580 +a(g182 +V) +tp581 +a(g169 +V\u000a +tp582 +a(g131 +VOperationalError +p583 +tp584 +a(g169 +V: +p585 +tp586 +a(g94 +Vdatabase is locked +p587 +tp588 +a(g169 +V\u000a +tp589 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/type.lisp b/tests/examplefiles/output/type.lisp new file mode 100644 index 0000000..719db5f --- /dev/null +++ b/tests/examplefiles/output/type.lisp @@ -0,0 +1,38261 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +g59 +g2 +(g3 +g4 +(g137 +g59 +ttRp140 +(dp141 +g8 +g9 +((ltRp142 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp143 +(dp144 +g8 +g9 +((ltRp145 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp146 +(dp147 +g8 +g9 +((ltRp148 +sg15 +g138 +sbsS'Reserved' +p149 +g2 +(g3 +g4 +(g137 +g149 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Declaration' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp157 +(dp158 +g8 +g9 +((ltRp159 +sg15 +g138 +sbsg8 +g9 +((lp160 +g140 +ag150 +ag2 +(g3 +g4 +(g137 +S'Type' +p161 +ttRp162 +(dp163 +g8 +g9 +((ltRp164 +sg15 +g138 +sbag154 +ag157 +ag143 +ag146 +atRp165 +sg161 +g162 +sbsS'Generic' +p166 +g2 +(g3 +g4 +(g166 +ttRp167 +(dp168 +S'Prompt' +p169 +g2 +(g3 +g4 +(g166 +g169 +ttRp170 +(dp171 +g8 +g9 +((ltRp172 +sg15 +g167 +sbsg15 +g21 +sS'Deleted' +p173 +g2 +(g3 +g4 +(g166 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g167 +sbsS'Traceback' +p177 +g2 +(g3 +g4 +(g166 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g167 +sbsS'Emph' +p181 +g2 +(g3 +g4 +(g166 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g167 +sbsS'Output' +p185 +g2 +(g3 +g4 +(g166 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g167 +sbsS'Subheading' +p189 +g2 +(g3 +g4 +(g166 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g167 +sbsS'Error' +p193 +g2 +(g3 +g4 +(g166 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g167 +sbsg8 +g9 +((lp197 +g186 +ag182 +ag194 +ag190 +ag178 +ag174 +ag2 +(g3 +g4 +(g166 +S'Heading' +p198 +ttRp199 +(dp200 +g8 +g9 +((ltRp201 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Inserted' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g167 +sbag2 +(g3 +g4 +(g166 +S'Strong' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g167 +sbag170 +atRp210 +sg206 +g207 +sg202 +g203 +sg198 +g199 +sbsg5 +g6 +sS'Punctuation' +p211 +g2 +(g3 +g4 +(g211 +ttRp212 +(dp213 +g8 +g9 +((lp214 +g2 +(g3 +g4 +(g211 +S'Indicator' +p215 +ttRp216 +(dp217 +g8 +g9 +((ltRp218 +sg15 +g212 +sbatRp219 +sg215 +g216 +sg15 +g21 +sbsS'Token' +p220 +g21 +sS'Number' +p221 +g2 +(g3 +g4 +(S'Literal' +p222 +g221 +ttRp223 +(dp224 +S'Bin' +p225 +g2 +(g3 +g4 +(g222 +g221 +g225 +ttRp226 +(dp227 +g8 +g9 +((ltRp228 +sg15 +g223 +sbsS'Binary' +p229 +g2 +(g3 +g4 +(g222 +g221 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g223 +sbsg15 +g2 +(g3 +g4 +(g222 +ttRp233 +(dp234 +S'String' +p235 +g2 +(g3 +g4 +(g222 +g235 +ttRp236 +(dp237 +S'Regex' +p238 +g2 +(g3 +g4 +(g222 +g235 +g238 +ttRp239 +(dp240 +g8 +g9 +((ltRp241 +sg15 +g236 +sbsS'Interpol' +p242 +g2 +(g3 +g4 +(g222 +g235 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g236 +sbsS'Regexp' +p246 +g2 +(g3 +g4 +(g222 +g235 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g236 +sbsg15 +g233 +sS'Heredoc' +p250 +g2 +(g3 +g4 +(g222 +g235 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g236 +sbsS'Double' +p254 +g2 +(g3 +g4 +(g222 +g235 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g236 +sbsg11 +g2 +(g3 +g4 +(g222 +g235 +g11 +ttRp258 +(dp259 +g8 +g9 +((ltRp260 +sg15 +g236 +sbsS'Escape' +p261 +g2 +(g3 +g4 +(g222 +g235 +g261 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g236 +sbsS'Character' +p265 +g2 +(g3 +g4 +(g222 +g235 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g236 +sbsS'Interp' +p269 +g2 +(g3 +g4 +(g222 +g235 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g236 +sbsS'Backtick' +p273 +g2 +(g3 +g4 +(g222 +g235 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g236 +sbsS'Char' +p277 +g2 +(g3 +g4 +(g222 +g235 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g236 +sbsg30 +g2 +(g3 +g4 +(g222 +g235 +g30 +ttRp281 +(dp282 +g8 +g9 +((ltRp283 +sg15 +g236 +sbsg91 +g2 +(g3 +g4 +(g222 +g235 +g91 +ttRp284 +(dp285 +g8 +g9 +((ltRp286 +sg15 +g236 +sbsS'Doc' +p287 +g2 +(g3 +g4 +(g222 +g235 +g287 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g236 +sbsg8 +g9 +((lp291 +g284 +ag2 +(g3 +g4 +(g222 +g235 +S'Atom' +p292 +ttRp293 +(dp294 +g8 +g9 +((ltRp295 +sg15 +g236 +sbag255 +ag278 +ag270 +ag288 +ag251 +ag274 +ag243 +ag258 +ag247 +ag239 +ag281 +ag266 +ag262 +atRp296 +sg292 +g293 +sbsg15 +g21 +sg221 +g223 +sS'Scalar' +p297 +g2 +(g3 +g4 +(g222 +g297 +ttRp298 +(dp299 +g8 +g9 +((lp300 +g2 +(g3 +g4 +(g222 +g297 +S'Plain' +p301 +ttRp302 +(dp303 +g8 +g9 +((ltRp304 +sg15 +g298 +sbatRp305 +sg15 +g233 +sg301 +g302 +sbsg91 +g2 +(g3 +g4 +(g222 +g91 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g233 +sbsS'Date' +p309 +g2 +(g3 +g4 +(g222 +g309 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g233 +sbsg8 +g9 +((lp313 +g310 +ag236 +ag306 +ag223 +ag298 +atRp314 +sbsS'Decimal' +p315 +g2 +(g3 +g4 +(g222 +g221 +g315 +ttRp316 +(dp317 +g8 +g9 +((ltRp318 +sg15 +g223 +sbsS'Float' +p319 +g2 +(g3 +g4 +(g222 +g221 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g223 +sbsS'Hex' +p323 +g2 +(g3 +g4 +(g222 +g221 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g223 +sbsS'Integer' +p327 +g2 +(g3 +g4 +(g222 +g221 +g327 +ttRp328 +(dp329 +g8 +g9 +((lp330 +g2 +(g3 +g4 +(g222 +g221 +g327 +S'Long' +p331 +ttRp332 +(dp333 +g8 +g9 +((ltRp334 +sg15 +g328 +sbatRp335 +sg331 +g332 +sg15 +g223 +sbsS'Octal' +p336 +g2 +(g3 +g4 +(g222 +g221 +g336 +ttRp337 +(dp338 +g8 +g9 +((ltRp339 +sg15 +g223 +sbsg8 +g9 +((lp340 +g226 +ag230 +ag337 +ag316 +ag2 +(g3 +g4 +(g222 +g221 +S'Oct' +p341 +ttRp342 +(dp343 +g8 +g9 +((ltRp344 +sg15 +g223 +sbag328 +ag320 +ag324 +atRp345 +sg341 +g342 +sbsg222 +g233 +sg91 +g2 +(g3 +g4 +(g91 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g21 +sbsg193 +g2 +(g3 +g4 +(g193 +ttRp349 +(dp350 +g8 +g9 +((ltRp351 +sg15 +g21 +sbsS'Operator' +p352 +g2 +(g3 +g4 +(g352 +ttRp353 +(dp354 +g8 +g9 +((lp355 +g2 +(g3 +g4 +(g352 +S'Word' +p356 +ttRp357 +(dp358 +g8 +g9 +((ltRp359 +sg15 +g353 +sbatRp360 +sg356 +g357 +sg15 +g21 +sbsg8 +g9 +((lp361 +g24 +ag349 +ag167 +ag6 +ag45 +ag212 +ag138 +ag233 +ag353 +ag346 +atRp362 +sg235 +g236 +sbsbV +tp363 +a(g31 +V;;;; TYPEP und Verwandtes +p364 +tp365 +a(g6 +V\u000a +tp366 +a(g31 +V;;;; Michael Stoll, 21. 10. 1988 +p367 +tp368 +a(g6 +V\u000a +tp369 +a(g31 +V;;;; Bruno Haible, 10.6.1989 +p370 +tp371 +a(g6 +V\u000a +tp372 +a(g31 +V;;;; Sam Steingold 2000-2005 +p373 +tp374 +a(g6 +V\u000a\u000a +p375 +tp376 +a(g31 +V;;; Datenstrukturen für TYPEP: +p377 +tp378 +a(g6 +V\u000a +tp379 +a(g31 +V;;; - Ein Type-Specifier-Symbol hat auf seiner Propertyliste unter dem +p380 +tp381 +a(g6 +V\u000a +tp382 +a(g31 +V;;; Indikator SYS::TYPE-SYMBOL eine Funktion von einem Argument, die +p383 +tp384 +a(g6 +V\u000a +tp385 +a(g31 +V;;; testet, ob ein Objekt vom richtigen Typ ist. +p386 +tp387 +a(g6 +V\u000a +tp388 +a(g31 +V;;; - Ein Symbol, das eine Type-Specifier-Liste beginnen kann, hat auf seiner +p389 +tp390 +a(g6 +V\u000a +tp391 +a(g31 +V;;; Propertyliste unter dem Indikator SYS::TYPE-LIST eine Funktion von +p392 +tp393 +a(g6 +V\u000a +tp394 +a(g31 +V;;; einem Argument für das zu testende Objekt und zusätzlichen Argumenten +p395 +tp396 +a(g6 +V\u000a +tp397 +a(g31 +V;;; für die Listenelemente. +p398 +tp399 +a(g6 +V\u000a +tp400 +a(g31 +V;;; - Ein Symbol, das als Typmacro definiert wurde, hat auf seiner Property- +p401 +tp402 +a(g6 +V\u000a +tp403 +a(g31 +V;;; liste unter dem Indikator SYSTEM::DEFTYPE-EXPANDER den zugehörigen +p404 +tp405 +a(g6 +V\u000a +tp406 +a(g31 +V;;; Expander: eine Funktion, die den zu expandierenden Type-Specifier (eine +p407 +tp408 +a(g6 +V\u000a +tp409 +a(g31 +V;;; mindestens einelementige Liste) als Argument bekommt. +p410 +tp411 +a(g6 +V\u000a\u000a +p412 +tp413 +a(g212 +V( +tp414 +a(g84 +Vin-package +p415 +tp416 +a(g6 +V +tp417 +a(g236 +V"EXT" +p418 +tp419 +a(g212 +V) +tp420 +a(g6 +V\u000a +tp421 +a(g212 +V( +tp422 +a(g84 +Vexport +p423 +tp424 +a(g6 +V +tp425 +a(g353 +V' +tp426 +a(g212 +V( +tp427 +a(g100 +Vtype-expand +p428 +tp429 +a(g212 +V) +tp430 +a(g212 +V) +tp431 +a(g6 +V\u000a +tp432 +a(g212 +V( +tp433 +a(g84 +Vin-package +p434 +tp435 +a(g6 +V +tp436 +a(g236 +V"SYSTEM" +p437 +tp438 +a(g212 +V) +tp439 +a(g6 +V\u000a\u000a +p440 +tp441 +a(g31 +V; vorläufig, solange bis clos.lisp geladen wird: +p442 +tp443 +a(g6 +V\u000a +tp444 +a(g212 +V( +tp445 +a(g138 +Veval-when +p446 +tp447 +a(g6 +V +tp448 +a(g212 +V( +tp449 +a(g84 +Veval +p450 +tp451 +a(g212 +V) +tp452 +a(g6 +V\u000a +p453 +tp454 +a(g212 +V( +tp455 +a(g100 +Vpredefun +p456 +tp457 +a(g6 +V +tp458 +a(g100 +Vclos::built-in-class-p +p459 +tp460 +a(g6 +V +tp461 +a(g212 +V( +tp462 +a(g100 +Vobject +p463 +tp464 +a(g212 +V) +tp465 +a(g6 +V +tp466 +a(g212 +V( +tp467 +a(g138 +Vdeclare +p468 +tp469 +a(g6 +V +tp470 +a(g212 +V( +tp471 +a(g138 +Vignore +p472 +tp473 +a(g6 +V +tp474 +a(g100 +Vobject +p475 +tp476 +a(g212 +V) +tp477 +a(g212 +V) +tp478 +a(g6 +V +tp479 +a(g60 +Vnil +p480 +tp481 +a(g212 +V) +tp482 +a(g212 +V) +tp483 +a(g6 +V\u000a +tp484 +a(g212 +V( +tp485 +a(g84 +Vunless +p486 +tp487 +a(g6 +V +tp488 +a(g212 +V( +tp489 +a(g84 +Vfboundp +p490 +tp491 +a(g6 +V +tp492 +a(g258 +V'clos::class-name +p493 +tp494 +a(g212 +V) +tp495 +a(g6 +V\u000a +p496 +tp497 +a(g212 +V( +tp498 +a(g84 +Vdefun +p499 +tp500 +a(g6 +V +tp501 +a(g100 +Vclos::class-name +p502 +tp503 +a(g6 +V +tp504 +a(g212 +V( +tp505 +a(g100 +Vc +tp506 +a(g212 +V) +tp507 +a(g6 +V +tp508 +a(g212 +V( +tp509 +a(g138 +Vdeclare +p510 +tp511 +a(g6 +V +tp512 +a(g212 +V( +tp513 +a(g138 +Vignore +p514 +tp515 +a(g6 +V +tp516 +a(g100 +Vc +tp517 +a(g212 +V) +tp518 +a(g212 +V) +tp519 +a(g6 +V +tp520 +a(g60 +Vnil +p521 +tp522 +a(g212 +V) +tp523 +a(g6 +V\u000a +tp524 +a(g212 +V) +tp525 +a(g6 +V\u000a\u000a +p526 +tp527 +a(g212 +V( +tp528 +a(g84 +Vdefun +p529 +tp530 +a(g6 +V +tp531 +a(g100 +Vtypespec-error +p532 +tp533 +a(g6 +V +tp534 +a(g212 +V( +tp535 +a(g100 +Vfun +p536 +tp537 +a(g6 +V +tp538 +a(g138 +Vtype +p539 +tp540 +a(g212 +V) +tp541 +a(g6 +V\u000a +p542 +tp543 +a(g212 +V( +tp544 +a(g100 +Verror-of-type +p545 +tp546 +a(g6 +V +tp547 +a(g258 +V'error +p548 +tp549 +a(g6 +V\u000a +p550 +tp551 +a(g212 +V( +tp552 +a(g100 +VTEXT +p553 +tp554 +a(g6 +V +tp555 +a(g236 +V"~S: invalid type specification ~S" +p556 +tp557 +a(g212 +V) +tp558 +a(g6 +V\u000a +p559 +tp560 +a(g100 +Vfun +p561 +tp562 +a(g6 +V +tp563 +a(g138 +Vtype +p564 +tp565 +a(g6 +V\u000a +tp566 +a(g212 +V) +tp567 +a(g6 +V +tp568 +a(g212 +V) +tp569 +a(g6 +V\u000a\u000a +p570 +tp571 +a(g31 +V;; ============================================================================ +p572 +tp573 +a(g6 +V\u000a\u000a +p574 +tp575 +a(g31 +V;; return the CLOS class named by TYPESPEC or NIL +p576 +tp577 +a(g6 +V\u000a +tp578 +a(g212 +V( +tp579 +a(g84 +Vdefun +p580 +tp581 +a(g6 +V +tp582 +a(g100 +Vclos-class +p583 +tp584 +a(g6 +V +tp585 +a(g212 +V( +tp586 +a(g100 +Vtypespec +p587 +tp588 +a(g212 +V) +tp589 +a(g6 +V\u000a +p590 +tp591 +a(g212 +V( +tp592 +a(g138 +Vlet +p593 +tp594 +a(g6 +V +tp595 +a(g212 +V( +tp596 +a(g212 +V( +tp597 +a(g100 +Vcc +p598 +tp599 +a(g6 +V +tp600 +a(g212 +V( +tp601 +a(g84 +Vget +p602 +tp603 +a(g6 +V +tp604 +a(g100 +Vtypespec +p605 +tp606 +a(g6 +V +tp607 +a(g258 +V'CLOS::CLOSCLASS +p608 +tp609 +a(g212 +V) +tp610 +a(g212 +V) +tp611 +a(g212 +V) +tp612 +a(g6 +V\u000a +p613 +tp614 +a(g212 +V( +tp615 +a(g84 +Vwhen +p616 +tp617 +a(g6 +V +tp618 +a(g212 +V( +tp619 +a(g84 +Vand +p620 +tp621 +a(g6 +V +tp622 +a(g100 +Vcc +p623 +tp624 +a(g6 +V +tp625 +a(g212 +V( +tp626 +a(g100 +Vclos::defined-class-p +p627 +tp628 +a(g6 +V +tp629 +a(g100 +Vcc +p630 +tp631 +a(g212 +V) +tp632 +a(g6 +V +tp633 +a(g212 +V( +tp634 +a(g84 +Veq +p635 +tp636 +a(g6 +V +tp637 +a(g212 +V( +tp638 +a(g100 +Vclos:class-name +p639 +tp640 +a(g6 +V +tp641 +a(g100 +Vcc +p642 +tp643 +a(g212 +V) +tp644 +a(g6 +V +tp645 +a(g100 +Vtypespec +p646 +tp647 +a(g212 +V) +tp648 +a(g212 +V) +tp649 +a(g6 +V\u000a +p650 +tp651 +a(g100 +Vcc +p652 +tp653 +a(g212 +V) +tp654 +a(g212 +V) +tp655 +a(g212 +V) +tp656 +a(g6 +V\u000a\u000a +p657 +tp658 +a(g31 +V;;; TYPEP, CLTL S. 72, S. 42-51 +p659 +tp660 +a(g6 +V\u000a +tp661 +a(g212 +V( +tp662 +a(g84 +Vdefun +p663 +tp664 +a(g6 +V +tp665 +a(g84 +Vtypep +p666 +tp667 +a(g6 +V +tp668 +a(g212 +V( +tp669 +a(g100 +Vx +tp670 +a(g6 +V +tp671 +a(g100 +Vy +tp672 +a(g6 +V +tp673 +a(g138 +V&optional +p674 +tp675 +a(g6 +V +tp676 +a(g100 +Venv +p677 +tp678 +a(g6 +V +tp679 +a(g138 +V&aux +p680 +tp681 +a(g6 +V +tp682 +a(g100 +Vf +tp683 +a(g212 +V) +tp684 +a(g6 +V +tp685 +a(g31 +V; x = Objekt, y = Typ +p686 +tp687 +a(g6 +V\u000a +p688 +tp689 +a(g212 +V( +tp690 +a(g138 +Vdeclare +p691 +tp692 +a(g6 +V +tp693 +a(g212 +V( +tp694 +a(g138 +Vignore +p695 +tp696 +a(g6 +V +tp697 +a(g100 +Venv +p698 +tp699 +a(g212 +V) +tp700 +a(g212 +V) +tp701 +a(g6 +V\u000a +p702 +tp703 +a(g212 +V( +tp704 +a(g138 +Vsetq +p705 +tp706 +a(g6 +V +tp707 +a(g100 +Vy +tp708 +a(g6 +V +tp709 +a(g212 +V( +tp710 +a(g100 +Vexpand-deftype +p711 +tp712 +a(g6 +V +tp713 +a(g100 +Vy +tp714 +a(g212 +V) +tp715 +a(g212 +V) +tp716 +a(g6 +V\u000a +p717 +tp718 +a(g212 +V( +tp719 +a(g84 +Vcond +p720 +tp721 +a(g6 +V\u000a +p722 +tp723 +a(g212 +V( +tp724 +a(g212 +V( +tp725 +a(g84 +Vsymbolp +p726 +tp727 +a(g6 +V +tp728 +a(g100 +Vy +tp729 +a(g212 +V) +tp730 +a(g6 +V\u000a +p731 +tp732 +a(g212 +V( +tp733 +a(g84 +Vcond +p734 +tp735 +a(g6 +V +tp736 +a(g212 +V( +tp737 +a(g212 +V( +tp738 +a(g138 +Vsetq +p739 +tp740 +a(g6 +V +tp741 +a(g100 +Vf +tp742 +a(g6 +V +tp743 +a(g212 +V( +tp744 +a(g84 +Vget +p745 +tp746 +a(g6 +V +tp747 +a(g100 +Vy +tp748 +a(g6 +V +tp749 +a(g258 +V'TYPE-SYMBOL +p750 +tp751 +a(g212 +V) +tp752 +a(g212 +V) +tp753 +a(g6 +V +tp754 +a(g212 +V( +tp755 +a(g84 +Vfuncall +p756 +tp757 +a(g6 +V +tp758 +a(g100 +Vf +tp759 +a(g6 +V +tp760 +a(g100 +Vx +tp761 +a(g212 +V) +tp762 +a(g212 +V) +tp763 +a(g6 +V\u000a +p764 +tp765 +a(g212 +V( +tp766 +a(g212 +V( +tp767 +a(g138 +Vsetq +p768 +tp769 +a(g6 +V +tp770 +a(g100 +Vf +tp771 +a(g6 +V +tp772 +a(g212 +V( +tp773 +a(g84 +Vget +p774 +tp775 +a(g6 +V +tp776 +a(g100 +Vy +tp777 +a(g6 +V +tp778 +a(g258 +V'TYPE-LIST +p779 +tp780 +a(g212 +V) +tp781 +a(g212 +V) +tp782 +a(g6 +V +tp783 +a(g212 +V( +tp784 +a(g84 +Vfuncall +p785 +tp786 +a(g6 +V +tp787 +a(g100 +Vf +tp788 +a(g6 +V +tp789 +a(g100 +Vx +tp790 +a(g212 +V) +tp791 +a(g212 +V) +tp792 +a(g6 +V\u000a +p793 +tp794 +a(g212 +V( +tp795 +a(g212 +V( +tp796 +a(g138 +Vsetq +p797 +tp798 +a(g6 +V +tp799 +a(g100 +Vf +tp800 +a(g6 +V +tp801 +a(g212 +V( +tp802 +a(g84 +Vget +p803 +tp804 +a(g6 +V +tp805 +a(g100 +Vy +tp806 +a(g6 +V +tp807 +a(g258 +V'DEFSTRUCT-DESCRIPTION +p808 +tp809 +a(g212 +V) +tp810 +a(g212 +V) +tp811 +a(g6 +V +tp812 +a(g212 +V( +tp813 +a(g100 +Vds-typep +p814 +tp815 +a(g6 +V +tp816 +a(g100 +Vx +tp817 +a(g6 +V +tp818 +a(g100 +Vy +tp819 +a(g6 +V +tp820 +a(g100 +Vf +tp821 +a(g212 +V) +tp822 +a(g212 +V) +tp823 +a(g6 +V\u000a +p824 +tp825 +a(g212 +V( +tp826 +a(g212 +V( +tp827 +a(g138 +Vsetq +p828 +tp829 +a(g6 +V +tp830 +a(g100 +Vf +tp831 +a(g6 +V +tp832 +a(g212 +V( +tp833 +a(g100 +Vclos-class +p834 +tp835 +a(g6 +V +tp836 +a(g100 +Vy +tp837 +a(g212 +V) +tp838 +a(g212 +V) +tp839 +a(g6 +V\u000a +p840 +tp841 +a(g31 +V; It's not worth handling structure classes specially here. +p842 +tp843 +a(g6 +V\u000a +p844 +tp845 +a(g212 +V( +tp846 +a(g100 +Vclos::typep-class +p847 +tp848 +a(g6 +V +tp849 +a(g100 +Vx +tp850 +a(g6 +V +tp851 +a(g100 +Vf +tp852 +a(g212 +V) +tp853 +a(g212 +V) +tp854 +a(g6 +V\u000a +p855 +tp856 +a(g212 +V( +tp857 +a(g60 +Vt +tp858 +a(g6 +V +tp859 +a(g212 +V( +tp860 +a(g100 +Vtypespec-error +p861 +tp862 +a(g6 +V +tp863 +a(g258 +V'typep +p864 +tp865 +a(g6 +V +tp866 +a(g100 +Vy +tp867 +a(g212 +V) +tp868 +a(g212 +V) +tp869 +a(g6 +V\u000a +p870 +tp871 +a(g212 +V) +tp872 +a(g6 +V +p873 +tp874 +a(g212 +V) +tp875 +a(g6 +V\u000a +p876 +tp877 +a(g212 +V( +tp878 +a(g212 +V( +tp879 +a(g84 +Vand +p880 +tp881 +a(g6 +V +tp882 +a(g212 +V( +tp883 +a(g84 +Vconsp +p884 +tp885 +a(g6 +V +tp886 +a(g100 +Vy +tp887 +a(g212 +V) +tp888 +a(g6 +V +tp889 +a(g212 +V( +tp890 +a(g84 +Vsymbolp +p891 +tp892 +a(g6 +V +tp893 +a(g212 +V( +tp894 +a(g84 +Vfirst +p895 +tp896 +a(g6 +V +tp897 +a(g100 +Vy +tp898 +a(g212 +V) +tp899 +a(g212 +V) +tp900 +a(g212 +V) +tp901 +a(g6 +V\u000a +p902 +tp903 +a(g212 +V( +tp904 +a(g84 +Vcond +p905 +tp906 +a(g6 +V\u000a +p907 +tp908 +a(g212 +V( +tp909 +a(g212 +V( +tp910 +a(g84 +Vand +p911 +tp912 +a(g6 +V +tp913 +a(g212 +V( +tp914 +a(g84 +Veq +p915 +tp916 +a(g6 +V +tp917 +a(g212 +V( +tp918 +a(g84 +Vfirst +p919 +tp920 +a(g6 +V +tp921 +a(g100 +Vy +tp922 +a(g212 +V) +tp923 +a(g6 +V +tp924 +a(g258 +V'SATISFIES +p925 +tp926 +a(g212 +V) +tp927 +a(g6 +V +tp928 +a(g212 +V( +tp929 +a(g84 +Veql +p930 +tp931 +a(g6 +V +tp932 +a(g212 +V( +tp933 +a(g84 +Vlength +p934 +tp935 +a(g6 +V +tp936 +a(g100 +Vy +tp937 +a(g212 +V) +tp938 +a(g6 +V +tp939 +a(g328 +V2 +tp940 +a(g212 +V) +tp941 +a(g212 +V) +tp942 +a(g6 +V\u000a +p943 +tp944 +a(g212 +V( +tp945 +a(g84 +Vunless +p946 +tp947 +a(g6 +V +tp948 +a(g212 +V( +tp949 +a(g84 +Vsymbolp +p950 +tp951 +a(g6 +V +tp952 +a(g212 +V( +tp953 +a(g84 +Vsecond +p954 +tp955 +a(g6 +V +tp956 +a(g100 +Vy +tp957 +a(g212 +V) +tp958 +a(g212 +V) +tp959 +a(g6 +V\u000a +p960 +tp961 +a(g212 +V( +tp962 +a(g100 +Verror-of-type +p963 +tp964 +a(g6 +V +tp965 +a(g258 +V'error +p966 +tp967 +a(g6 +V\u000a +p968 +tp969 +a(g212 +V( +tp970 +a(g100 +VTEXT +p971 +tp972 +a(g6 +V +tp973 +a(g236 +V"~S: argument to SATISFIES must be a symbol: ~S" +p974 +tp975 +a(g212 +V) +tp976 +a(g6 +V\u000a +p977 +tp978 +a(g258 +V'typep +p979 +tp980 +a(g6 +V +tp981 +a(g212 +V( +tp982 +a(g84 +Vsecond +p983 +tp984 +a(g6 +V +tp985 +a(g100 +Vy +tp986 +a(g212 +V) +tp987 +a(g6 +V\u000a +p988 +tp989 +a(g212 +V) +tp990 +a(g6 +V +tp991 +a(g212 +V) +tp992 +a(g6 +V\u000a +p993 +tp994 +a(g212 +V( +tp995 +a(g138 +Vif +p996 +tp997 +a(g6 +V +tp998 +a(g212 +V( +tp999 +a(g84 +Vfuncall +p1000 +tp1001 +a(g6 +V +tp1002 +a(g212 +V( +tp1003 +a(g84 +Vsymbol-function +p1004 +tp1005 +a(g6 +V +tp1006 +a(g212 +V( +tp1007 +a(g84 +Vsecond +p1008 +tp1009 +a(g6 +V +tp1010 +a(g100 +Vy +tp1011 +a(g212 +V) +tp1012 +a(g212 +V) +tp1013 +a(g6 +V +tp1014 +a(g100 +Vx +tp1015 +a(g212 +V) +tp1016 +a(g6 +V +tp1017 +a(g60 +Vt +tp1018 +a(g6 +V +tp1019 +a(g60 +Vnil +p1020 +tp1021 +a(g212 +V) +tp1022 +a(g6 +V\u000a +p1023 +tp1024 +a(g212 +V) +tp1025 +a(g6 +V\u000a +p1026 +tp1027 +a(g212 +V( +tp1028 +a(g212 +V( +tp1029 +a(g84 +Veq +p1030 +tp1031 +a(g6 +V +tp1032 +a(g212 +V( +tp1033 +a(g84 +Vfirst +p1034 +tp1035 +a(g6 +V +tp1036 +a(g100 +Vy +tp1037 +a(g212 +V) +tp1038 +a(g6 +V +tp1039 +a(g258 +V'MEMBER +p1040 +tp1041 +a(g212 +V) +tp1042 +a(g6 +V\u000a +p1043 +tp1044 +a(g212 +V( +tp1045 +a(g138 +Vif +p1046 +tp1047 +a(g6 +V +tp1048 +a(g212 +V( +tp1049 +a(g84 +Vmember +p1050 +tp1051 +a(g6 +V +tp1052 +a(g100 +Vx +tp1053 +a(g6 +V +tp1054 +a(g212 +V( +tp1055 +a(g84 +Vrest +p1056 +tp1057 +a(g6 +V +tp1058 +a(g100 +Vy +tp1059 +a(g212 +V) +tp1060 +a(g212 +V) +tp1061 +a(g6 +V +tp1062 +a(g60 +Vt +tp1063 +a(g6 +V +tp1064 +a(g60 +Vnil +p1065 +tp1066 +a(g212 +V) +tp1067 +a(g6 +V\u000a +p1068 +tp1069 +a(g212 +V) +tp1070 +a(g6 +V\u000a +p1071 +tp1072 +a(g212 +V( +tp1073 +a(g212 +V( +tp1074 +a(g84 +Vand +p1075 +tp1076 +a(g6 +V +tp1077 +a(g212 +V( +tp1078 +a(g84 +Veq +p1079 +tp1080 +a(g6 +V +tp1081 +a(g212 +V( +tp1082 +a(g84 +Vfirst +p1083 +tp1084 +a(g6 +V +tp1085 +a(g100 +Vy +tp1086 +a(g212 +V) +tp1087 +a(g6 +V +tp1088 +a(g258 +V'EQL +p1089 +tp1090 +a(g212 +V) +tp1091 +a(g6 +V +tp1092 +a(g212 +V( +tp1093 +a(g84 +Veql +p1094 +tp1095 +a(g6 +V +tp1096 +a(g212 +V( +tp1097 +a(g84 +Vlength +p1098 +tp1099 +a(g6 +V +tp1100 +a(g100 +Vy +tp1101 +a(g212 +V) +tp1102 +a(g6 +V +tp1103 +a(g328 +V2 +tp1104 +a(g212 +V) +tp1105 +a(g212 +V) +tp1106 +a(g6 +V\u000a +p1107 +tp1108 +a(g212 +V( +tp1109 +a(g84 +Veql +p1110 +tp1111 +a(g6 +V +tp1112 +a(g100 +Vx +tp1113 +a(g6 +V +tp1114 +a(g212 +V( +tp1115 +a(g84 +Vsecond +p1116 +tp1117 +a(g6 +V +tp1118 +a(g100 +Vy +tp1119 +a(g212 +V) +tp1120 +a(g212 +V) +tp1121 +a(g6 +V\u000a +p1122 +tp1123 +a(g212 +V) +tp1124 +a(g6 +V\u000a +p1125 +tp1126 +a(g212 +V( +tp1127 +a(g212 +V( +tp1128 +a(g84 +Vand +p1129 +tp1130 +a(g6 +V +tp1131 +a(g212 +V( +tp1132 +a(g84 +Veq +p1133 +tp1134 +a(g6 +V +tp1135 +a(g212 +V( +tp1136 +a(g84 +Vfirst +p1137 +tp1138 +a(g6 +V +tp1139 +a(g100 +Vy +tp1140 +a(g212 +V) +tp1141 +a(g6 +V +tp1142 +a(g258 +V'NOT +p1143 +tp1144 +a(g212 +V) +tp1145 +a(g6 +V +tp1146 +a(g212 +V( +tp1147 +a(g84 +Veql +p1148 +tp1149 +a(g6 +V +tp1150 +a(g212 +V( +tp1151 +a(g84 +Vlength +p1152 +tp1153 +a(g6 +V +tp1154 +a(g100 +Vy +tp1155 +a(g212 +V) +tp1156 +a(g6 +V +tp1157 +a(g328 +V2 +tp1158 +a(g212 +V) +tp1159 +a(g212 +V) +tp1160 +a(g6 +V\u000a +p1161 +tp1162 +a(g212 +V( +tp1163 +a(g84 +Vnot +p1164 +tp1165 +a(g6 +V +tp1166 +a(g212 +V( +tp1167 +a(g84 +Vtypep +p1168 +tp1169 +a(g6 +V +tp1170 +a(g100 +Vx +tp1171 +a(g6 +V +tp1172 +a(g212 +V( +tp1173 +a(g84 +Vsecond +p1174 +tp1175 +a(g6 +V +tp1176 +a(g100 +Vy +tp1177 +a(g212 +V) +tp1178 +a(g212 +V) +tp1179 +a(g212 +V) +tp1180 +a(g6 +V\u000a +p1181 +tp1182 +a(g212 +V) +tp1183 +a(g6 +V\u000a +p1184 +tp1185 +a(g212 +V( +tp1186 +a(g212 +V( +tp1187 +a(g84 +Veq +p1188 +tp1189 +a(g6 +V +tp1190 +a(g212 +V( +tp1191 +a(g84 +Vfirst +p1192 +tp1193 +a(g6 +V +tp1194 +a(g100 +Vy +tp1195 +a(g212 +V) +tp1196 +a(g6 +V +tp1197 +a(g258 +V'AND +p1198 +tp1199 +a(g212 +V) +tp1200 +a(g6 +V\u000a +p1201 +tp1202 +a(g212 +V( +tp1203 +a(g84 +Vdolist +p1204 +tp1205 +a(g6 +V +tp1206 +a(g212 +V( +tp1207 +a(g138 +Vtype +p1208 +tp1209 +a(g6 +V +tp1210 +a(g212 +V( +tp1211 +a(g84 +Vrest +p1212 +tp1213 +a(g6 +V +tp1214 +a(g100 +Vy +tp1215 +a(g212 +V) +tp1216 +a(g6 +V +tp1217 +a(g60 +Vt +tp1218 +a(g212 +V) +tp1219 +a(g6 +V\u000a +p1220 +tp1221 +a(g212 +V( +tp1222 +a(g84 +Vunless +p1223 +tp1224 +a(g6 +V +tp1225 +a(g212 +V( +tp1226 +a(g84 +Vtypep +p1227 +tp1228 +a(g6 +V +tp1229 +a(g100 +Vx +tp1230 +a(g6 +V +tp1231 +a(g138 +Vtype +p1232 +tp1233 +a(g212 +V) +tp1234 +a(g6 +V +tp1235 +a(g212 +V( +tp1236 +a(g84 +Vreturn +p1237 +tp1238 +a(g6 +V +tp1239 +a(g60 +Vnil +p1240 +tp1241 +a(g212 +V) +tp1242 +a(g212 +V) +tp1243 +a(g6 +V\u000a +p1244 +tp1245 +a(g212 +V) +tp1246 +a(g6 +V +p1247 +tp1248 +a(g212 +V) +tp1249 +a(g6 +V\u000a +p1250 +tp1251 +a(g212 +V( +tp1252 +a(g212 +V( +tp1253 +a(g84 +Veq +p1254 +tp1255 +a(g6 +V +tp1256 +a(g212 +V( +tp1257 +a(g84 +Vfirst +p1258 +tp1259 +a(g6 +V +tp1260 +a(g100 +Vy +tp1261 +a(g212 +V) +tp1262 +a(g6 +V +tp1263 +a(g258 +V'OR +p1264 +tp1265 +a(g212 +V) +tp1266 +a(g6 +V\u000a +p1267 +tp1268 +a(g212 +V( +tp1269 +a(g84 +Vdolist +p1270 +tp1271 +a(g6 +V +tp1272 +a(g212 +V( +tp1273 +a(g138 +Vtype +p1274 +tp1275 +a(g6 +V +tp1276 +a(g212 +V( +tp1277 +a(g84 +Vrest +p1278 +tp1279 +a(g6 +V +tp1280 +a(g100 +Vy +tp1281 +a(g212 +V) +tp1282 +a(g6 +V +tp1283 +a(g60 +Vnil +p1284 +tp1285 +a(g212 +V) +tp1286 +a(g6 +V\u000a +p1287 +tp1288 +a(g212 +V( +tp1289 +a(g84 +Vwhen +p1290 +tp1291 +a(g6 +V +tp1292 +a(g212 +V( +tp1293 +a(g84 +Vtypep +p1294 +tp1295 +a(g6 +V +tp1296 +a(g100 +Vx +tp1297 +a(g6 +V +tp1298 +a(g138 +Vtype +p1299 +tp1300 +a(g212 +V) +tp1301 +a(g6 +V +tp1302 +a(g212 +V( +tp1303 +a(g84 +Vreturn +p1304 +tp1305 +a(g6 +V +tp1306 +a(g60 +Vt +tp1307 +a(g212 +V) +tp1308 +a(g212 +V) +tp1309 +a(g6 +V\u000a +p1310 +tp1311 +a(g212 +V) +tp1312 +a(g6 +V +p1313 +tp1314 +a(g212 +V) +tp1315 +a(g6 +V\u000a +p1316 +tp1317 +a(g212 +V( +tp1318 +a(g212 +V( +tp1319 +a(g138 +Vsetq +p1320 +tp1321 +a(g6 +V +tp1322 +a(g100 +Vf +tp1323 +a(g6 +V +tp1324 +a(g212 +V( +tp1325 +a(g84 +Vget +p1326 +tp1327 +a(g6 +V +tp1328 +a(g212 +V( +tp1329 +a(g84 +Vfirst +p1330 +tp1331 +a(g6 +V +tp1332 +a(g100 +Vy +tp1333 +a(g212 +V) +tp1334 +a(g6 +V +tp1335 +a(g258 +V'TYPE-LIST +p1336 +tp1337 +a(g212 +V) +tp1338 +a(g212 +V) +tp1339 +a(g6 +V +tp1340 +a(g212 +V( +tp1341 +a(g84 +Vapply +p1342 +tp1343 +a(g6 +V +tp1344 +a(g100 +Vf +tp1345 +a(g6 +V +tp1346 +a(g100 +Vx +tp1347 +a(g6 +V +tp1348 +a(g212 +V( +tp1349 +a(g84 +Vrest +p1350 +tp1351 +a(g6 +V +tp1352 +a(g100 +Vy +tp1353 +a(g212 +V) +tp1354 +a(g212 +V) +tp1355 +a(g212 +V) +tp1356 +a(g6 +V\u000a +p1357 +tp1358 +a(g212 +V( +tp1359 +a(g60 +Vt +tp1360 +a(g6 +V +tp1361 +a(g212 +V( +tp1362 +a(g100 +Vtypespec-error +p1363 +tp1364 +a(g6 +V +tp1365 +a(g258 +V'typep +p1366 +tp1367 +a(g6 +V +tp1368 +a(g100 +Vy +tp1369 +a(g212 +V) +tp1370 +a(g212 +V) +tp1371 +a(g6 +V\u000a +p1372 +tp1373 +a(g212 +V) +tp1374 +a(g6 +V +p1375 +tp1376 +a(g212 +V) +tp1377 +a(g6 +V\u000a +p1378 +tp1379 +a(g212 +V( +tp1380 +a(g212 +V( +tp1381 +a(g100 +Vclos::defined-class-p +p1382 +tp1383 +a(g6 +V +tp1384 +a(g100 +Vy +tp1385 +a(g212 +V) +tp1386 +a(g6 +V +tp1387 +a(g212 +V( +tp1388 +a(g100 +Vclos::typep-class +p1389 +tp1390 +a(g6 +V +tp1391 +a(g100 +Vx +tp1392 +a(g6 +V +tp1393 +a(g100 +Vy +tp1394 +a(g212 +V) +tp1395 +a(g212 +V) +tp1396 +a(g6 +V\u000a +p1397 +tp1398 +a(g212 +V( +tp1399 +a(g212 +V( +tp1400 +a(g100 +Vclos::eql-specializer-p +p1401 +tp1402 +a(g6 +V +tp1403 +a(g100 +Vy +tp1404 +a(g212 +V) +tp1405 +a(g6 +V +tp1406 +a(g212 +V( +tp1407 +a(g84 +Veql +p1408 +tp1409 +a(g6 +V +tp1410 +a(g100 +Vx +tp1411 +a(g6 +V +tp1412 +a(g212 +V( +tp1413 +a(g100 +Vclos::eql-specializer-singleton +p1414 +tp1415 +a(g6 +V +tp1416 +a(g100 +Vy +tp1417 +a(g212 +V) +tp1418 +a(g212 +V) +tp1419 +a(g212 +V) +tp1420 +a(g6 +V\u000a +p1421 +tp1422 +a(g212 +V( +tp1423 +a(g212 +V( +tp1424 +a(g100 +Vencodingp +p1425 +tp1426 +a(g6 +V +tp1427 +a(g100 +Vy +tp1428 +a(g212 +V) +tp1429 +a(g6 +V +tp1430 +a(g212 +V( +tp1431 +a(g100 +Vcharset-typep +p1432 +tp1433 +a(g6 +V +tp1434 +a(g100 +Vx +tp1435 +a(g6 +V +tp1436 +a(g100 +Vy +tp1437 +a(g212 +V) +tp1438 +a(g212 +V) +tp1439 +a(g6 +V\u000a +p1440 +tp1441 +a(g212 +V( +tp1442 +a(g60 +Vt +tp1443 +a(g6 +V +tp1444 +a(g212 +V( +tp1445 +a(g100 +Vtypespec-error +p1446 +tp1447 +a(g6 +V +tp1448 +a(g258 +V'typep +p1449 +tp1450 +a(g6 +V +tp1451 +a(g100 +Vy +tp1452 +a(g212 +V) +tp1453 +a(g212 +V) +tp1454 +a(g6 +V\u000a +tp1455 +a(g212 +V) +tp1456 +a(g6 +V +tp1457 +a(g212 +V) +tp1458 +a(g6 +V\u000a\u000a +p1459 +tp1460 +a(g31 +V;; ---------------------------------------------------------------------------- +p1461 +tp1462 +a(g6 +V\u000a\u000a +p1463 +tp1464 +a(g31 +V;; UPGRADED-ARRAY-ELEMENT-TYPE is a lattice homomorphism, see +p1465 +tp1466 +a(g6 +V\u000a +tp1467 +a(g31 +V;; ANSI CL 15.1.2.1. +p1468 +tp1469 +a(g6 +V\u000a +tp1470 +a(g212 +V( +tp1471 +a(g84 +Vdefun +p1472 +tp1473 +a(g6 +V +tp1474 +a(g84 +Vupgraded-array-element-type +p1475 +tp1476 +a(g6 +V +tp1477 +a(g212 +V( +tp1478 +a(g138 +Vtype +p1479 +tp1480 +a(g6 +V +tp1481 +a(g138 +V&optional +p1482 +tp1483 +a(g6 +V +tp1484 +a(g100 +Venvironment +p1485 +tp1486 +a(g212 +V) +tp1487 +a(g6 +V\u000a +p1488 +tp1489 +a(g212 +V( +tp1490 +a(g138 +Vdeclare +p1491 +tp1492 +a(g6 +V +tp1493 +a(g212 +V( +tp1494 +a(g138 +Vignore +p1495 +tp1496 +a(g6 +V +tp1497 +a(g100 +Venvironment +p1498 +tp1499 +a(g212 +V) +tp1500 +a(g212 +V) +tp1501 +a(g6 +V\u000a +p1502 +tp1503 +a(g31 +V;; see array.d +p1504 +tp1505 +a(g6 +V\u000a +p1506 +tp1507 +a(g212 +V( +tp1508 +a(g84 +Vcase +p1509 +tp1510 +a(g6 +V +tp1511 +a(g138 +Vtype +p1512 +tp1513 +a(g6 +V\u000a +p1514 +tp1515 +a(g212 +V( +tp1516 +a(g212 +V( +tp1517 +a(g100 +VBIT +p1518 +tp1519 +a(g212 +V) +tp1520 +a(g6 +V +tp1521 +a(g258 +V'BIT +p1522 +tp1523 +a(g212 +V) +tp1524 +a(g6 +V\u000a +p1525 +tp1526 +a(g212 +V( +tp1527 +a(g212 +V( +tp1528 +a(g100 +VCHARACTER +p1529 +tp1530 +a(g212 +V) +tp1531 +a(g6 +V +tp1532 +a(g258 +V'CHARACTER +p1533 +tp1534 +a(g212 +V) +tp1535 +a(g6 +V\u000a +p1536 +tp1537 +a(g212 +V( +tp1538 +a(g212 +V( +tp1539 +a(g60 +VT +tp1540 +a(g212 +V) +tp1541 +a(g6 +V +tp1542 +a(g258 +V'T +p1543 +tp1544 +a(g212 +V) +tp1545 +a(g6 +V\u000a +p1546 +tp1547 +a(g212 +V( +tp1548 +a(g212 +V( +tp1549 +a(g60 +VNIL +p1550 +tp1551 +a(g212 +V) +tp1552 +a(g6 +V +tp1553 +a(g258 +V'NIL +p1554 +tp1555 +a(g212 +V) +tp1556 +a(g6 +V\u000a +p1557 +tp1558 +a(g212 +V( +tp1559 +a(g60 +Vt +tp1560 +a(g6 +V +tp1561 +a(g212 +V( +tp1562 +a(g138 +Vif +p1563 +tp1564 +a(g6 +V +tp1565 +a(g212 +V( +tp1566 +a(g84 +Vsubtypep +p1567 +tp1568 +a(g6 +V +tp1569 +a(g138 +Vtype +p1570 +tp1571 +a(g6 +V +tp1572 +a(g258 +V'NIL +p1573 +tp1574 +a(g212 +V) +tp1575 +a(g6 +V\u000a +p1576 +tp1577 +a(g258 +V'NIL +p1578 +tp1579 +a(g6 +V\u000a +p1580 +tp1581 +a(g212 +V( +tp1582 +a(g84 +Vmultiple-value-bind +p1583 +tp1584 +a(g6 +V +tp1585 +a(g212 +V( +tp1586 +a(g100 +Vlow +p1587 +tp1588 +a(g6 +V +tp1589 +a(g100 +Vhigh +p1590 +tp1591 +a(g212 +V) +tp1592 +a(g6 +V +tp1593 +a(g212 +V( +tp1594 +a(g100 +Vsys::subtype-integer +p1595 +tp1596 +a(g6 +V +tp1597 +a(g138 +Vtype +p1598 +tp1599 +a(g212 +V) +tp1600 +a(g6 +V\u000a +p1601 +tp1602 +a(g31 +V; Es gilt (or (null low) (subtypep type `(INTEGER ,low ,high))) +p1603 +tp1604 +a(g6 +V\u000a +p1605 +tp1606 +a(g212 +V( +tp1607 +a(g138 +Vif +p1608 +tp1609 +a(g6 +V +tp1610 +a(g212 +V( +tp1611 +a(g84 +Vand +p1612 +tp1613 +a(g6 +V +tp1614 +a(g212 +V( +tp1615 +a(g84 +Vintegerp +p1616 +tp1617 +a(g6 +V +tp1618 +a(g100 +Vlow +p1619 +tp1620 +a(g212 +V) +tp1621 +a(g6 +V +tp1622 +a(g212 +V( +tp1623 +a(g84 +Vnot +p1624 +tp1625 +a(g6 +V +tp1626 +a(g212 +V( +tp1627 +a(g84 +Vminusp +p1628 +tp1629 +a(g6 +V +tp1630 +a(g100 +Vlow +p1631 +tp1632 +a(g212 +V) +tp1633 +a(g212 +V) +tp1634 +a(g6 +V +tp1635 +a(g212 +V( +tp1636 +a(g84 +Vintegerp +p1637 +tp1638 +a(g6 +V +tp1639 +a(g100 +Vhigh +p1640 +tp1641 +a(g212 +V) +tp1642 +a(g212 +V) +tp1643 +a(g6 +V\u000a +p1644 +tp1645 +a(g212 +V( +tp1646 +a(g138 +Vlet +p1647 +tp1648 +a(g6 +V +tp1649 +a(g212 +V( +tp1650 +a(g212 +V( +tp1651 +a(g100 +Vl +tp1652 +a(g6 +V +tp1653 +a(g212 +V( +tp1654 +a(g84 +Vinteger-length +p1655 +tp1656 +a(g6 +V +tp1657 +a(g100 +Vhigh +p1658 +tp1659 +a(g212 +V) +tp1660 +a(g212 +V) +tp1661 +a(g212 +V) +tp1662 +a(g6 +V\u000a +p1663 +tp1664 +a(g31 +V; Es gilt (subtypep type `(UNSIGNED-BYTE ,l)) +p1665 +tp1666 +a(g6 +V\u000a +p1667 +tp1668 +a(g212 +V( +tp1669 +a(g84 +Vcond +p1670 +tp1671 +a(g6 +V +tp1672 +a(g212 +V( +tp1673 +a(g212 +V( +tp1674 +a(g84 +V<= +p1675 +tp1676 +a(g6 +V +tp1677 +a(g100 +Vl +tp1678 +a(g6 +V +tp1679 +a(g328 +V1 +tp1680 +a(g212 +V) +tp1681 +a(g6 +V +tp1682 +a(g258 +V'BIT +p1683 +tp1684 +a(g212 +V) +tp1685 +a(g6 +V\u000a +p1686 +tp1687 +a(g212 +V( +tp1688 +a(g212 +V( +tp1689 +a(g84 +V<= +p1690 +tp1691 +a(g6 +V +tp1692 +a(g100 +Vl +tp1693 +a(g6 +V +tp1694 +a(g328 +V2 +tp1695 +a(g212 +V) +tp1696 +a(g6 +V +tp1697 +a(g353 +V' +tp1698 +a(g212 +V( +tp1699 +a(g100 +VUNSIGNED-BYTE +p1700 +tp1701 +a(g6 +V +tp1702 +a(g328 +V2 +tp1703 +a(g212 +V) +tp1704 +a(g212 +V) +tp1705 +a(g6 +V\u000a +p1706 +tp1707 +a(g212 +V( +tp1708 +a(g212 +V( +tp1709 +a(g84 +V<= +p1710 +tp1711 +a(g6 +V +tp1712 +a(g100 +Vl +tp1713 +a(g6 +V +tp1714 +a(g328 +V4 +tp1715 +a(g212 +V) +tp1716 +a(g6 +V +tp1717 +a(g353 +V' +tp1718 +a(g212 +V( +tp1719 +a(g100 +VUNSIGNED-BYTE +p1720 +tp1721 +a(g6 +V +tp1722 +a(g328 +V4 +tp1723 +a(g212 +V) +tp1724 +a(g212 +V) +tp1725 +a(g6 +V\u000a +p1726 +tp1727 +a(g212 +V( +tp1728 +a(g212 +V( +tp1729 +a(g84 +V<= +p1730 +tp1731 +a(g6 +V +tp1732 +a(g100 +Vl +tp1733 +a(g6 +V +tp1734 +a(g328 +V8 +tp1735 +a(g212 +V) +tp1736 +a(g6 +V +tp1737 +a(g353 +V' +tp1738 +a(g212 +V( +tp1739 +a(g100 +VUNSIGNED-BYTE +p1740 +tp1741 +a(g6 +V +tp1742 +a(g328 +V8 +tp1743 +a(g212 +V) +tp1744 +a(g212 +V) +tp1745 +a(g6 +V\u000a +p1746 +tp1747 +a(g212 +V( +tp1748 +a(g212 +V( +tp1749 +a(g84 +V<= +p1750 +tp1751 +a(g6 +V +tp1752 +a(g100 +Vl +tp1753 +a(g6 +V +tp1754 +a(g328 +V16 +p1755 +tp1756 +a(g212 +V) +tp1757 +a(g6 +V +tp1758 +a(g353 +V' +tp1759 +a(g212 +V( +tp1760 +a(g100 +VUNSIGNED-BYTE +p1761 +tp1762 +a(g6 +V +tp1763 +a(g328 +V16 +p1764 +tp1765 +a(g212 +V) +tp1766 +a(g212 +V) +tp1767 +a(g6 +V\u000a +p1768 +tp1769 +a(g212 +V( +tp1770 +a(g212 +V( +tp1771 +a(g84 +V<= +p1772 +tp1773 +a(g6 +V +tp1774 +a(g100 +Vl +tp1775 +a(g6 +V +tp1776 +a(g328 +V32 +p1777 +tp1778 +a(g212 +V) +tp1779 +a(g6 +V +tp1780 +a(g353 +V' +tp1781 +a(g212 +V( +tp1782 +a(g100 +VUNSIGNED-BYTE +p1783 +tp1784 +a(g6 +V +tp1785 +a(g328 +V32 +p1786 +tp1787 +a(g212 +V) +tp1788 +a(g212 +V) +tp1789 +a(g6 +V\u000a +p1790 +tp1791 +a(g212 +V( +tp1792 +a(g60 +Vt +tp1793 +a(g6 +V +tp1794 +a(g258 +V'T +p1795 +tp1796 +a(g212 +V) +tp1797 +a(g212 +V) +tp1798 +a(g212 +V) +tp1799 +a(g6 +V\u000a +p1800 +tp1801 +a(g212 +V( +tp1802 +a(g138 +Vif +p1803 +tp1804 +a(g6 +V +tp1805 +a(g212 +V( +tp1806 +a(g84 +Vsubtypep +p1807 +tp1808 +a(g6 +V +tp1809 +a(g138 +Vtype +p1810 +tp1811 +a(g6 +V +tp1812 +a(g258 +V'CHARACTER +p1813 +tp1814 +a(g212 +V) +tp1815 +a(g6 +V\u000a +p1816 +tp1817 +a(g258 +V'CHARACTER +p1818 +tp1819 +a(g6 +V\u000a +p1820 +tp1821 +a(g258 +V'T +p1822 +tp1823 +a(g212 +V) +tp1824 +a(g212 +V) +tp1825 +a(g212 +V) +tp1826 +a(g212 +V) +tp1827 +a(g212 +V) +tp1828 +a(g212 +V) +tp1829 +a(g212 +V) +tp1830 +a(g6 +V\u000a\u000a +p1831 +tp1832 +a(g31 +V;; ---------------------------------------------------------------------------- +p1833 +tp1834 +a(g6 +V\u000a\u000a +p1835 +tp1836 +a(g31 +V;; UPGRADED-COMPLEX-PART-TYPE is a lattice homomorphism, see +p1837 +tp1838 +a(g6 +V\u000a +tp1839 +a(g31 +V;; HyperSpec/Body/fun_complex.html and HyperSpec/Body/syscla_complex.html, +p1840 +tp1841 +a(g6 +V\u000a +tp1842 +a(g31 +V;; and an idempotent. Therefore +p1843 +tp1844 +a(g6 +V\u000a +tp1845 +a(g31 +V;; (subtypep (upgraded-complex-part-type T1) (upgraded-complex-part-type T2)) +p1846 +tp1847 +a(g6 +V\u000a +tp1848 +a(g31 +V;; is equivalent to +p1849 +tp1850 +a(g6 +V\u000a +tp1851 +a(g31 +V;; (subtypep T1 (upgraded-complex-part-type T2)) +p1852 +tp1853 +a(g6 +V\u000a +tp1854 +a(g31 +V;; (Proof: Let U T be an abbreviation for (upgraded-complex-part-type T). +p1855 +tp1856 +a(g6 +V\u000a +tp1857 +a(g31 +V;; If U T1 <= U T2, then T1 <= U T1 <= U T2. +p1858 +tp1859 +a(g6 +V\u000a +tp1860 +a(g31 +V;; If T1 <= U T2, then by homomorphism U T1 <= U U T2 = U T2.) +p1861 +tp1862 +a(g6 +V\u000a +tp1863 +a(g31 +V;; +p1864 +tp1865 +a(g6 +V\u000a +tp1866 +a(g31 +V;; For _any_ CL implementation, you could define +p1867 +tp1868 +a(g6 +V\u000a +tp1869 +a(g31 +V;; (defun upgraded-complex-part-type (type) 'REAL) +p1870 +tp1871 +a(g6 +V\u000a +tp1872 +a(g31 +V;; Likewise for _any_ CL implementation, you could define +p1873 +tp1874 +a(g6 +V\u000a +tp1875 +a(g31 +V;; (defun upgraded-complex-part-type (type) type) +p1876 +tp1877 +a(g6 +V\u000a +tp1878 +a(g31 +V;; or - again for _any_ CL implementation: +p1879 +tp1880 +a(g6 +V\u000a +tp1881 +a(g31 +V;; (defun upgraded-complex-part-type (type) +p1882 +tp1883 +a(g6 +V\u000a +tp1884 +a(g31 +V;; (cond ((subtypep type 'NIL) 'NIL) +p1885 +tp1886 +a(g6 +V\u000a +tp1887 +a(g31 +V;; ((subtypep type 'SHORT-FLOAT) 'SHORT-FLOAT) +p1888 +tp1889 +a(g6 +V\u000a +tp1890 +a(g31 +V;; ((subtypep type 'SINGLE-FLOAT) 'SINGLE-FLOAT) +p1891 +tp1892 +a(g6 +V\u000a +tp1893 +a(g31 +V;; ((subtypep type 'DOUBLE-FLOAT) 'DOUBLE-FLOAT) +p1894 +tp1895 +a(g6 +V\u000a +tp1896 +a(g31 +V;; ((subtypep type 'LONG-FLOAT) 'LONG-FLOAT) +p1897 +tp1898 +a(g6 +V\u000a +tp1899 +a(g31 +V;; ((subtypep type 'RATIONAL) 'RATIONAL) +p1900 +tp1901 +a(g6 +V\u000a +tp1902 +a(g31 +V;; ((subtypep type 'REAL) 'REAL) +p1903 +tp1904 +a(g6 +V\u000a +tp1905 +a(g31 +V;; (t (error ...)))) +p1906 +tp1907 +a(g6 +V\u000a +tp1908 +a(g31 +V;; The reason is that a complex number is immutable: no setters for the +p1909 +tp1910 +a(g6 +V\u000a +tp1911 +a(g31 +V;; realpart and imagpart exist. +p1912 +tp1913 +a(g6 +V\u000a +tp1914 +a(g31 +V;; +p1915 +tp1916 +a(g6 +V\u000a +tp1917 +a(g31 +V;; We choose the second implementation because it allows the most precise +p1918 +tp1919 +a(g6 +V\u000a +tp1920 +a(g31 +V;; type inference. +p1921 +tp1922 +a(g6 +V\u000a +tp1923 +a(g212 +V( +tp1924 +a(g84 +Vdefun +p1925 +tp1926 +a(g6 +V +tp1927 +a(g84 +Vupgraded-complex-part-type +p1928 +tp1929 +a(g6 +V +tp1930 +a(g212 +V( +tp1931 +a(g138 +Vtype +p1932 +tp1933 +a(g6 +V +tp1934 +a(g138 +V&optional +p1935 +tp1936 +a(g6 +V +tp1937 +a(g100 +Venvironment +p1938 +tp1939 +a(g212 +V) +tp1940 +a(g6 +V\u000a +p1941 +tp1942 +a(g212 +V( +tp1943 +a(g138 +Vdeclare +p1944 +tp1945 +a(g6 +V +tp1946 +a(g212 +V( +tp1947 +a(g138 +Vignore +p1948 +tp1949 +a(g6 +V +tp1950 +a(g100 +Venvironment +p1951 +tp1952 +a(g212 +V) +tp1953 +a(g212 +V) +tp1954 +a(g6 +V\u000a +p1955 +tp1956 +a(g212 +V( +tp1957 +a(g138 +Vif +p1958 +tp1959 +a(g6 +V +tp1960 +a(g212 +V( +tp1961 +a(g84 +Vsubtypep +p1962 +tp1963 +a(g6 +V +tp1964 +a(g138 +Vtype +p1965 +tp1966 +a(g6 +V +tp1967 +a(g258 +V'REAL +p1968 +tp1969 +a(g212 +V) +tp1970 +a(g6 +V\u000a +p1971 +tp1972 +a(g138 +Vtype +p1973 +tp1974 +a(g6 +V\u000a +p1975 +tp1976 +a(g212 +V( +tp1977 +a(g100 +Verror-of-type +p1978 +tp1979 +a(g6 +V +tp1980 +a(g258 +V'error +p1981 +tp1982 +a(g6 +V\u000a +p1983 +tp1984 +a(g212 +V( +tp1985 +a(g100 +VTEXT +p1986 +tp1987 +a(g6 +V +tp1988 +a(g236 +V"~S: type ~S is not a subtype of ~S" +p1989 +tp1990 +a(g212 +V) +tp1991 +a(g6 +V\u000a +p1992 +tp1993 +a(g258 +V'upgraded-complex-part-type +p1994 +tp1995 +a(g6 +V +tp1996 +a(g138 +Vtype +p1997 +tp1998 +a(g6 +V +tp1999 +a(g258 +V'real +p2000 +tp2001 +a(g212 +V) +tp2002 +a(g212 +V) +tp2003 +a(g212 +V) +tp2004 +a(g6 +V\u000a\u000a +p2005 +tp2006 +a(g31 +V;; ---------------------------------------------------------------------------- +p2007 +tp2008 +a(g6 +V\u000a\u000a +p2009 +tp2010 +a(g31 +V;; Macros for defining the various built-in "atomic type specifier"s and +p2011 +tp2012 +a(g6 +V\u000a +tp2013 +a(g31 +V;; "compound type specifier"s. The following macros add information for both +p2014 +tp2015 +a(g6 +V\u000a +tp2016 +a(g31 +V;; the TYPEP function above and the c-TYPEP in the compiler. +p2017 +tp2018 +a(g6 +V\u000a\u000a +p2019 +tp2020 +a(g31 +V; Alist symbol -> funname, used by the compiler. +p2021 +tp2022 +a(g6 +V\u000a +tp2023 +a(g212 +V( +tp2024 +a(g84 +Vdefparameter +p2025 +tp2026 +a(g6 +V +tp2027 +a(g100 +Vc-typep-alist1 +p2028 +tp2029 +a(g6 +V +tp2030 +a(g353 +V' +tp2031 +a(g212 +V( +tp2032 +a(g212 +V) +tp2033 +a(g212 +V) +tp2034 +a(g6 +V\u000a +tp2035 +a(g31 +V; Alist symbol -> lambdabody, used by the compiler. +p2036 +tp2037 +a(g6 +V\u000a +tp2038 +a(g212 +V( +tp2039 +a(g84 +Vdefparameter +p2040 +tp2041 +a(g6 +V +tp2042 +a(g100 +Vc-typep-alist2 +p2043 +tp2044 +a(g6 +V +tp2045 +a(g353 +V' +tp2046 +a(g212 +V( +tp2047 +a(g212 +V) +tp2048 +a(g212 +V) +tp2049 +a(g6 +V\u000a +tp2050 +a(g31 +V; Alist symbol -> expander function, used by the compiler. +p2051 +tp2052 +a(g6 +V\u000a +tp2053 +a(g212 +V( +tp2054 +a(g84 +Vdefparameter +p2055 +tp2056 +a(g6 +V +tp2057 +a(g100 +Vc-typep-alist3 +p2058 +tp2059 +a(g6 +V +tp2060 +a(g353 +V' +tp2061 +a(g212 +V( +tp2062 +a(g212 +V) +tp2063 +a(g212 +V) +tp2064 +a(g6 +V\u000a\u000a +p2065 +tp2066 +a(g31 +V; (def-atomic-type symbol function-name) +p2067 +tp2068 +a(g6 +V\u000a +tp2069 +a(g31 +V; defines an atomic type. The function-name designates a function taking one +p2070 +tp2071 +a(g6 +V\u000a +tp2072 +a(g31 +V; argument and returning a generalized boolean value. It can be either a +p2073 +tp2074 +a(g6 +V\u000a +tp2075 +a(g31 +V; symbol or a lambda expression. +p2076 +tp2077 +a(g6 +V\u000a +tp2078 +a(g212 +V( +tp2079 +a(g84 +Vdefmacro +p2080 +tp2081 +a(g6 +V +tp2082 +a(g100 +Vdef-atomic-type +p2083 +tp2084 +a(g6 +V +tp2085 +a(g212 +V( +tp2086 +a(g133 +Vsymbol +p2087 +tp2088 +a(g6 +V +tp2089 +a(g100 +Vfunname +p2090 +tp2091 +a(g212 +V) +tp2092 +a(g6 +V\u000a +p2093 +tp2094 +a(g212 +V( +tp2095 +a(g138 +Vlet +p2096 +tp2097 +a(g6 +V +tp2098 +a(g212 +V( +tp2099 +a(g212 +V( +tp2100 +a(g100 +Vlambdap +p2101 +tp2102 +a(g6 +V +tp2103 +a(g212 +V( +tp2104 +a(g84 +Vand +p2105 +tp2106 +a(g6 +V +tp2107 +a(g212 +V( +tp2108 +a(g84 +Vconsp +p2109 +tp2110 +a(g6 +V +tp2111 +a(g100 +Vfunname +p2112 +tp2113 +a(g212 +V) +tp2114 +a(g6 +V +tp2115 +a(g212 +V( +tp2116 +a(g84 +Veq +p2117 +tp2118 +a(g6 +V +tp2119 +a(g212 +V( +tp2120 +a(g84 +Vcar +p2121 +tp2122 +a(g6 +V +tp2123 +a(g100 +Vfunname +p2124 +tp2125 +a(g212 +V) +tp2126 +a(g6 +V +tp2127 +a(g258 +V'LAMBDA +p2128 +tp2129 +a(g212 +V) +tp2130 +a(g212 +V) +tp2131 +a(g212 +V) +tp2132 +a(g212 +V) +tp2133 +a(g6 +V\u000a +p2134 +tp2135 +a(g353 +V` +tp2136 +a(g212 +V( +tp2137 +a(g100 +VPROGN +p2138 +tp2139 +a(g6 +V\u000a +p2140 +tp2141 +a(g212 +V( +tp2142 +a(g100 +VSETF +p2143 +tp2144 +a(g6 +V +tp2145 +a(g212 +V( +tp2146 +a(g100 +VGET +p2147 +tp2148 +a(g6 +V +tp2149 +a(g258 +V',symbol +p2150 +tp2151 +a(g6 +V +tp2152 +a(g258 +V'TYPE-SYMBOL +p2153 +tp2154 +a(g212 +V) +tp2155 +a(g6 +V\u000a +p2156 +tp2157 +a(g353 +V, +tp2158 +a(g212 +V( +tp2159 +a(g138 +Vif +p2160 +tp2161 +a(g6 +V +tp2162 +a(g100 +Vlambdap +p2163 +tp2164 +a(g6 +V\u000a +p2165 +tp2166 +a(g353 +V` +tp2167 +a(g212 +V( +tp2168 +a(g100 +VFUNCTION +p2169 +tp2170 +a(g6 +V +tp2171 +a(g353 +V, +tp2172 +a(g212 +V( +tp2173 +a(g100 +Vconcat-pnames +p2174 +tp2175 +a(g6 +V +tp2176 +a(g236 +V"TYPE-SYMBOL-" +p2177 +tp2178 +a(g6 +V +tp2179 +a(g133 +Vsymbol +p2180 +tp2181 +a(g212 +V) +tp2182 +a(g6 +V +tp2183 +a(g353 +V, +tp2184 +a(g100 +Vfunname +p2185 +tp2186 +a(g212 +V) +tp2187 +a(g6 +V\u000a +p2188 +tp2189 +a(g353 +V` +tp2190 +a(g212 +V( +tp2191 +a(g100 +VFUNCTION +p2192 +tp2193 +a(g6 +V +tp2194 +a(g353 +V, +tp2195 +a(g100 +Vfunname +p2196 +tp2197 +a(g212 +V) +tp2198 +a(g6 +V\u000a +p2199 +tp2200 +a(g212 +V) +tp2201 +a(g6 +V\u000a +p2202 +tp2203 +a(g212 +V) +tp2204 +a(g6 +V\u000a +p2205 +tp2206 +a(g353 +V, +tp2207 +a(g212 +V( +tp2208 +a(g138 +Vif +p2209 +tp2210 +a(g6 +V +tp2211 +a(g100 +Vlambdap +p2212 +tp2213 +a(g6 +V\u000a +p2214 +tp2215 +a(g353 +V` +tp2216 +a(g212 +V( +tp2217 +a(g100 +VSETQ +p2218 +tp2219 +a(g6 +V +tp2220 +a(g100 +VC-TYPEP-ALIST2 +p2221 +tp2222 +a(g6 +V\u000a +p2223 +tp2224 +a(g212 +V( +tp2225 +a(g100 +VNCONC +p2226 +tp2227 +a(g6 +V +tp2228 +a(g100 +VC-TYPEP-ALIST2 +p2229 +tp2230 +a(g6 +V +tp2231 +a(g212 +V( +tp2232 +a(g100 +VLIST +p2233 +tp2234 +a(g6 +V +tp2235 +a(g212 +V( +tp2236 +a(g100 +VCONS +p2237 +tp2238 +a(g6 +V +tp2239 +a(g258 +V',symbol +p2240 +tp2241 +a(g6 +V +tp2242 +a(g258 +V', +p2243 +tp2244 +a(g212 +V( +tp2245 +a(g84 +Vcdr +p2246 +tp2247 +a(g6 +V +tp2248 +a(g100 +Vfunname +p2249 +tp2250 +a(g212 +V) +tp2251 +a(g212 +V) +tp2252 +a(g212 +V) +tp2253 +a(g212 +V) +tp2254 +a(g6 +V\u000a +p2255 +tp2256 +a(g212 +V) +tp2257 +a(g6 +V\u000a +p2258 +tp2259 +a(g353 +V` +tp2260 +a(g212 +V( +tp2261 +a(g100 +VSETQ +p2262 +tp2263 +a(g6 +V +tp2264 +a(g100 +VC-TYPEP-ALIST1 +p2265 +tp2266 +a(g6 +V\u000a +p2267 +tp2268 +a(g212 +V( +tp2269 +a(g100 +VNCONC +p2270 +tp2271 +a(g6 +V +tp2272 +a(g100 +VC-TYPEP-ALIST1 +p2273 +tp2274 +a(g6 +V +tp2275 +a(g212 +V( +tp2276 +a(g100 +VLIST +p2277 +tp2278 +a(g6 +V +tp2279 +a(g212 +V( +tp2280 +a(g100 +VCONS +p2281 +tp2282 +a(g6 +V +tp2283 +a(g258 +V',symbol +p2284 +tp2285 +a(g6 +V +tp2286 +a(g258 +V',funname +p2287 +tp2288 +a(g212 +V) +tp2289 +a(g212 +V) +tp2290 +a(g212 +V) +tp2291 +a(g6 +V\u000a +p2292 +tp2293 +a(g212 +V) +tp2294 +a(g6 +V\u000a +p2295 +tp2296 +a(g212 +V) +tp2297 +a(g6 +V\u000a +p2298 +tp2299 +a(g258 +V',symbol +p2300 +tp2301 +a(g6 +V\u000a +p2302 +tp2303 +a(g212 +V) +tp2304 +a(g6 +V\u000a +tp2305 +a(g212 +V) +tp2306 +a(g6 +V +tp2307 +a(g212 +V) +tp2308 +a(g6 +V\u000a\u000a +p2309 +tp2310 +a(g31 +V; (def-compound-type symbol lambda-list (x) check-form typep-form c-typep-form) +p2311 +tp2312 +a(g6 +V\u000a +tp2313 +a(g31 +V; defines a compound type. The lambda-list is of the form (&optional ...) +p2314 +tp2315 +a(g6 +V\u000a +tp2316 +a(g31 +V; where the arguments come from the CDR of the type specifier. +p2317 +tp2318 +a(g6 +V\u000a +tp2319 +a(g31 +V; For typep-form, x is an object. +p2320 +tp2321 +a(g6 +V\u000a +tp2322 +a(g31 +V; For c-typep-form, x is a multiply evaluatable form (actually a gensym). +p2323 +tp2324 +a(g6 +V\u000a +tp2325 +a(g31 +V; check-form is a form performing error checking, may call `error'. +p2326 +tp2327 +a(g6 +V\u000a +tp2328 +a(g31 +V; typep-form should return a generalized boolean value. +p2329 +tp2330 +a(g6 +V\u000a +tp2331 +a(g31 +V; c-typep-form should produce a form returning a generalized boolean value. +p2332 +tp2333 +a(g6 +V\u000a +tp2334 +a(g212 +V( +tp2335 +a(g84 +Vdefmacro +p2336 +tp2337 +a(g6 +V +tp2338 +a(g100 +Vdef-compound-type +p2339 +tp2340 +a(g6 +V +tp2341 +a(g212 +V( +tp2342 +a(g133 +Vsymbol +p2343 +tp2344 +a(g6 +V +tp2345 +a(g100 +Vlambdalist +p2346 +tp2347 +a(g6 +V +tp2348 +a(g212 +V( +tp2349 +a(g100 +Vvar +p2350 +tp2351 +a(g212 +V) +tp2352 +a(g6 +V +tp2353 +a(g100 +Vcheck-form +p2354 +tp2355 +a(g6 +V +tp2356 +a(g100 +Vtypep-form +p2357 +tp2358 +a(g6 +V +tp2359 +a(g100 +Vc-typep-form +p2360 +tp2361 +a(g212 +V) +tp2362 +a(g6 +V\u000a +p2363 +tp2364 +a(g353 +V` +tp2365 +a(g212 +V( +tp2366 +a(g100 +VPROGN +p2367 +tp2368 +a(g6 +V\u000a +p2369 +tp2370 +a(g212 +V( +tp2371 +a(g100 +VSETF +p2372 +tp2373 +a(g6 +V +tp2374 +a(g212 +V( +tp2375 +a(g100 +VGET +p2376 +tp2377 +a(g6 +V +tp2378 +a(g258 +V',symbol +p2379 +tp2380 +a(g6 +V +tp2381 +a(g258 +V'TYPE-LIST +p2382 +tp2383 +a(g212 +V) +tp2384 +a(g6 +V\u000a +p2385 +tp2386 +a(g212 +V( +tp2387 +a(g100 +VFUNCTION +p2388 +tp2389 +a(g6 +V +tp2390 +a(g353 +V, +tp2391 +a(g212 +V( +tp2392 +a(g100 +Vconcat-pnames +p2393 +tp2394 +a(g6 +V +tp2395 +a(g236 +V"TYPE-LIST-" +p2396 +tp2397 +a(g6 +V +tp2398 +a(g133 +Vsymbol +p2399 +tp2400 +a(g212 +V) +tp2401 +a(g6 +V\u000a +p2402 +tp2403 +a(g212 +V( +tp2404 +a(g100 +VLAMBDA +p2405 +tp2406 +a(g6 +V +tp2407 +a(g212 +V( +tp2408 +a(g353 +V, +tp2409 +a(g100 +Vvar +p2410 +tp2411 +a(g6 +V +tp2412 +a(g353 +V,@ +p2413 +tp2414 +a(g100 +Vlambdalist +p2415 +tp2416 +a(g212 +V) +tp2417 +a(g6 +V\u000a +p2418 +tp2419 +a(g353 +V,@ +p2420 +tp2421 +a(g212 +V( +tp2422 +a(g138 +Vif +p2423 +tp2424 +a(g6 +V +tp2425 +a(g100 +Vcheck-form +p2426 +tp2427 +a(g6 +V\u000a +p2428 +tp2429 +a(g353 +V` +tp2430 +a(g212 +V( +tp2431 +a(g212 +V( +tp2432 +a(g100 +VMACROLET +p2433 +tp2434 +a(g6 +V +tp2435 +a(g212 +V( +tp2436 +a(g212 +V( +tp2437 +a(g100 +VERROR +p2438 +tp2439 +a(g6 +V +tp2440 +a(g212 +V( +tp2441 +a(g100 +V&REST +p2442 +tp2443 +a(g6 +V +tp2444 +a(g100 +VERROR-ARGS +p2445 +tp2446 +a(g212 +V) +tp2447 +a(g6 +V\u000a +p2448 +tp2449 +a(g212 +V( +tp2450 +a(g100 +VLIST* +p2451 +tp2452 +a(g6 +V +tp2453 +a(g258 +V'ERROR-OF-TYPE +p2454 +tp2455 +a(g6 +V +tp2456 +a(g353 +V' +tp2457 +a(g258 +V'ERROR +p2458 +tp2459 +a(g6 +V +tp2460 +a(g100 +VERROR-ARGS +p2461 +tp2462 +a(g212 +V) +tp2463 +a(g6 +V\u000a +p2464 +tp2465 +a(g212 +V) +tp2466 +a(g212 +V) +tp2467 +a(g6 +V\u000a +p2468 +tp2469 +a(g353 +V, +tp2470 +a(g100 +Vcheck-form +p2471 +tp2472 +a(g6 +V\u000a +p2473 +tp2474 +a(g212 +V) +tp2475 +a(g212 +V) +tp2476 +a(g6 +V\u000a +p2477 +tp2478 +a(g212 +V) +tp2479 +a(g6 +V\u000a +p2480 +tp2481 +a(g353 +V, +tp2482 +a(g100 +Vtypep-form +p2483 +tp2484 +a(g6 +V\u000a +p2485 +tp2486 +a(g212 +V) +tp2487 +a(g6 +V +p2488 +tp2489 +a(g212 +V) +tp2490 +a(g6 +V +tp2491 +a(g212 +V) +tp2492 +a(g6 +V\u000a +p2493 +tp2494 +a(g212 +V( +tp2495 +a(g100 +VSETQ +p2496 +tp2497 +a(g6 +V +tp2498 +a(g100 +VC-TYPEP-ALIST3 +p2499 +tp2500 +a(g6 +V\u000a +p2501 +tp2502 +a(g212 +V( +tp2503 +a(g100 +VNCONC +p2504 +tp2505 +a(g6 +V +tp2506 +a(g100 +VC-TYPEP-ALIST3 +p2507 +tp2508 +a(g6 +V\u000a +p2509 +tp2510 +a(g212 +V( +tp2511 +a(g100 +VLIST +p2512 +tp2513 +a(g6 +V +tp2514 +a(g212 +V( +tp2515 +a(g100 +VCONS +p2516 +tp2517 +a(g6 +V +tp2518 +a(g258 +V',symbol +p2519 +tp2520 +a(g6 +V\u000a +p2521 +tp2522 +a(g48 +V#' +p2523 +tp2524 +a(g212 +V( +tp2525 +a(g100 +VLAMBDA +p2526 +tp2527 +a(g6 +V +tp2528 +a(g212 +V( +tp2529 +a(g353 +V, +tp2530 +a(g100 +Vvar +p2531 +tp2532 +a(g6 +V +tp2533 +a(g353 +V,@ +p2534 +tp2535 +a(g100 +Vlambdalist +p2536 +tp2537 +a(g6 +V +tp2538 +a(g100 +V&REST +p2539 +tp2540 +a(g6 +V +tp2541 +a(g100 +VILLEGAL-ARGS +p2542 +tp2543 +a(g212 +V) +tp2544 +a(g6 +V\u000a +p2545 +tp2546 +a(g212 +V( +tp2547 +a(g100 +VDECLARE +p2548 +tp2549 +a(g6 +V +tp2550 +a(g212 +V( +tp2551 +a(g100 +VIGNORE +p2552 +tp2553 +a(g6 +V +tp2554 +a(g100 +VILLEGAL-ARGS +p2555 +tp2556 +a(g212 +V) +tp2557 +a(g212 +V) +tp2558 +a(g6 +V\u000a +p2559 +tp2560 +a(g353 +V,@ +p2561 +tp2562 +a(g212 +V( +tp2563 +a(g138 +Vif +p2564 +tp2565 +a(g6 +V +tp2566 +a(g100 +Vcheck-form +p2567 +tp2568 +a(g6 +V\u000a +p2569 +tp2570 +a(g353 +V` +tp2571 +a(g212 +V( +tp2572 +a(g212 +V( +tp2573 +a(g100 +VMACROLET +p2574 +tp2575 +a(g6 +V +tp2576 +a(g212 +V( +tp2577 +a(g212 +V( +tp2578 +a(g100 +VERROR +p2579 +tp2580 +a(g6 +V +tp2581 +a(g212 +V( +tp2582 +a(g100 +V&REST +p2583 +tp2584 +a(g6 +V +tp2585 +a(g100 +VERROR-ARGS +p2586 +tp2587 +a(g212 +V) +tp2588 +a(g6 +V\u000a +p2589 +tp2590 +a(g212 +V( +tp2591 +a(g100 +VLIST +p2592 +tp2593 +a(g6 +V +tp2594 +a(g258 +V'PROGN +p2595 +tp2596 +a(g6 +V\u000a +p2597 +tp2598 +a(g212 +V( +tp2599 +a(g100 +VLIST* +p2600 +tp2601 +a(g6 +V +tp2602 +a(g258 +V'C-WARN +p2603 +tp2604 +a(g6 +V +tp2605 +a(g100 +VERROR-ARGS +p2606 +tp2607 +a(g212 +V) +tp2608 +a(g6 +V\u000a +p2609 +tp2610 +a(g353 +V' +tp2611 +a(g212 +V( +tp2612 +a(g100 +VTHROW +p2613 +tp2614 +a(g6 +V +tp2615 +a(g258 +V'C-TYPEP +p2616 +tp2617 +a(g6 +V +tp2618 +a(g60 +VNIL +p2619 +tp2620 +a(g212 +V) +tp2621 +a(g6 +V\u000a +p2622 +tp2623 +a(g212 +V) +tp2624 +a(g212 +V) +tp2625 +a(g6 +V +tp2626 +a(g212 +V) +tp2627 +a(g6 +V\u000a +p2628 +tp2629 +a(g353 +V, +tp2630 +a(g100 +Vcheck-form +p2631 +tp2632 +a(g6 +V\u000a +p2633 +tp2634 +a(g212 +V) +tp2635 +a(g212 +V) +tp2636 +a(g6 +V\u000a +p2637 +tp2638 +a(g212 +V) +tp2639 +a(g6 +V\u000a +p2640 +tp2641 +a(g353 +V, +tp2642 +a(g100 +Vc-typep-form +p2643 +tp2644 +a(g6 +V\u000a +p2645 +tp2646 +a(g212 +V) +tp2647 +a(g6 +V\u000a +p2648 +tp2649 +a(g212 +V) +tp2650 +a(g6 +V +p2651 +tp2652 +a(g212 +V) +tp2653 +a(g6 +V +p2654 +tp2655 +a(g212 +V) +tp2656 +a(g6 +V +p2657 +tp2658 +a(g212 +V) +tp2659 +a(g6 +V\u000a +p2660 +tp2661 +a(g258 +V',symbol +p2662 +tp2663 +a(g6 +V\u000a +p2664 +tp2665 +a(g212 +V) +tp2666 +a(g6 +V\u000a +tp2667 +a(g212 +V) +tp2668 +a(g6 +V\u000a\u000a +p2669 +tp2670 +a(g31 +V; CLtL1 p. 43 +p2671 +tp2672 +a(g6 +V\u000a +tp2673 +a(g212 +V( +tp2674 +a(g100 +Vdef-atomic-type +p2675 +tp2676 +a(g6 +V +tp2677 +a(g100 +VARRAY +p2678 +tp2679 +a(g6 +V +tp2680 +a(g84 +Varrayp +p2681 +tp2682 +a(g212 +V) +tp2683 +a(g6 +V\u000a +tp2684 +a(g212 +V( +tp2685 +a(g100 +Vdef-atomic-type +p2686 +tp2687 +a(g6 +V +tp2688 +a(g100 +VATOM +p2689 +tp2690 +a(g6 +V +tp2691 +a(g84 +Vatom +p2692 +tp2693 +a(g212 +V) +tp2694 +a(g6 +V\u000a +tp2695 +a(g212 +V( +tp2696 +a(g100 +Vdef-atomic-type +p2697 +tp2698 +a(g6 +V +tp2699 +a(g100 +VBASE-CHAR +p2700 +tp2701 +a(g6 +V\u000a +p2702 +tp2703 +a(g353 +V#+ +p2704 +tp2705 +a(g100 +VBASE-CHAR=CHARACTER +p2706 +tp2707 +a(g6 +V\u000a +p2708 +tp2709 +a(g84 +Vcharacterp +p2710 +tp2711 +a(g6 +V\u000a +p2712 +tp2713 +a(g353 +V#- +p2714 +tp2715 +a(g100 +VBASE-CHAR=CHARACTER +p2716 +tp2717 +a(g6 +V\u000a +p2718 +tp2719 +a(g212 +V( +tp2720 +a(g138 +Vlambda +p2721 +tp2722 +a(g6 +V +tp2723 +a(g212 +V( +tp2724 +a(g100 +Vx +tp2725 +a(g212 +V) +tp2726 +a(g6 +V +tp2727 +a(g212 +V( +tp2728 +a(g84 +Vand +p2729 +tp2730 +a(g6 +V +tp2731 +a(g212 +V( +tp2732 +a(g84 +Vcharacterp +p2733 +tp2734 +a(g6 +V +tp2735 +a(g100 +Vx +tp2736 +a(g212 +V) +tp2737 +a(g6 +V +tp2738 +a(g212 +V( +tp2739 +a(g100 +Vbase-char-p +p2740 +tp2741 +a(g6 +V +tp2742 +a(g100 +Vx +tp2743 +a(g212 +V) +tp2744 +a(g212 +V) +tp2745 +a(g212 +V) +tp2746 +a(g6 +V\u000a +tp2747 +a(g212 +V) +tp2748 +a(g6 +V\u000a +tp2749 +a(g212 +V( +tp2750 +a(g100 +Vdef-atomic-type +p2751 +tp2752 +a(g6 +V +tp2753 +a(g100 +VBASE-STRING +p2754 +tp2755 +a(g6 +V\u000a +p2756 +tp2757 +a(g212 +V( +tp2758 +a(g138 +Vlambda +p2759 +tp2760 +a(g6 +V +tp2761 +a(g212 +V( +tp2762 +a(g100 +Vx +tp2763 +a(g212 +V) +tp2764 +a(g6 +V\u000a +p2765 +tp2766 +a(g212 +V( +tp2767 +a(g84 +Vand +p2768 +tp2769 +a(g6 +V +tp2770 +a(g212 +V( +tp2771 +a(g84 +Vstringp +p2772 +tp2773 +a(g6 +V +tp2774 +a(g100 +Vx +tp2775 +a(g212 +V) +tp2776 +a(g6 +V\u000a +p2777 +tp2778 +a(g212 +V( +tp2779 +a(g84 +Veq +p2780 +tp2781 +a(g6 +V +tp2782 +a(g212 +V( +tp2783 +a(g84 +Varray-element-type +p2784 +tp2785 +a(g6 +V +tp2786 +a(g100 +Vx +tp2787 +a(g212 +V) +tp2788 +a(g6 +V\u000a +p2789 +tp2790 +a(g353 +V#+ +p2791 +tp2792 +a(g100 +VBASE-CHAR=CHARACTER +p2793 +tp2794 +a(g6 +V +tp2795 +a(g258 +V'CHARACTER +p2796 +tp2797 +a(g6 +V +tp2798 +a(g353 +V#- +p2799 +tp2800 +a(g100 +VBASE-CHAR=CHARACTER +p2801 +tp2802 +a(g6 +V +tp2803 +a(g258 +V'BASE-CHAR +p2804 +tp2805 +a(g6 +V\u000a +tp2806 +a(g212 +V) +tp2807 +a(g6 +V +tp2808 +a(g212 +V) +tp2809 +a(g6 +V +tp2810 +a(g212 +V) +tp2811 +a(g6 +V +p2812 +tp2813 +a(g212 +V) +tp2814 +a(g6 +V\u000a +tp2815 +a(g212 +V( +tp2816 +a(g100 +Vdef-atomic-type +p2817 +tp2818 +a(g6 +V +tp2819 +a(g100 +VBIGNUM +p2820 +tp2821 +a(g6 +V\u000a +p2822 +tp2823 +a(g212 +V( +tp2824 +a(g138 +Vlambda +p2825 +tp2826 +a(g6 +V +tp2827 +a(g212 +V( +tp2828 +a(g100 +Vx +tp2829 +a(g212 +V) +tp2830 +a(g6 +V +tp2831 +a(g212 +V( +tp2832 +a(g84 +Vand +p2833 +tp2834 +a(g6 +V +tp2835 +a(g212 +V( +tp2836 +a(g84 +Vintegerp +p2837 +tp2838 +a(g6 +V +tp2839 +a(g100 +Vx +tp2840 +a(g212 +V) +tp2841 +a(g6 +V +tp2842 +a(g212 +V( +tp2843 +a(g84 +Vnot +p2844 +tp2845 +a(g6 +V +tp2846 +a(g212 +V( +tp2847 +a(g100 +Vfixnump +p2848 +tp2849 +a(g6 +V +tp2850 +a(g100 +Vx +tp2851 +a(g212 +V) +tp2852 +a(g212 +V) +tp2853 +a(g212 +V) +tp2854 +a(g212 +V) +tp2855 +a(g6 +V\u000a +tp2856 +a(g212 +V) +tp2857 +a(g6 +V\u000a +tp2858 +a(g212 +V( +tp2859 +a(g100 +Vdef-atomic-type +p2860 +tp2861 +a(g6 +V +tp2862 +a(g100 +VBIT +p2863 +tp2864 +a(g6 +V\u000a +p2865 +tp2866 +a(g212 +V( +tp2867 +a(g138 +Vlambda +p2868 +tp2869 +a(g6 +V +tp2870 +a(g212 +V( +tp2871 +a(g100 +Vx +tp2872 +a(g212 +V) +tp2873 +a(g6 +V +tp2874 +a(g212 +V( +tp2875 +a(g84 +Vor +p2876 +tp2877 +a(g6 +V +tp2878 +a(g212 +V( +tp2879 +a(g84 +Veql +p2880 +tp2881 +a(g6 +V +tp2882 +a(g100 +Vx +tp2883 +a(g6 +V +tp2884 +a(g328 +V0 +tp2885 +a(g212 +V) +tp2886 +a(g6 +V +tp2887 +a(g212 +V( +tp2888 +a(g84 +Veql +p2889 +tp2890 +a(g6 +V +tp2891 +a(g100 +Vx +tp2892 +a(g6 +V +tp2893 +a(g328 +V1 +tp2894 +a(g212 +V) +tp2895 +a(g212 +V) +tp2896 +a(g212 +V) +tp2897 +a(g6 +V\u000a +tp2898 +a(g212 +V) +tp2899 +a(g6 +V\u000a +tp2900 +a(g212 +V( +tp2901 +a(g100 +Vdef-atomic-type +p2902 +tp2903 +a(g6 +V +tp2904 +a(g100 +VBIT-VECTOR +p2905 +tp2906 +a(g6 +V +tp2907 +a(g84 +Vbit-vector-p +p2908 +tp2909 +a(g212 +V) +tp2910 +a(g6 +V\u000a +tp2911 +a(g212 +V( +tp2912 +a(g100 +Vdef-atomic-type +p2913 +tp2914 +a(g6 +V +tp2915 +a(g100 +VBOOLEAN +p2916 +tp2917 +a(g6 +V\u000a +p2918 +tp2919 +a(g212 +V( +tp2920 +a(g138 +Vlambda +p2921 +tp2922 +a(g6 +V +tp2923 +a(g212 +V( +tp2924 +a(g100 +Vx +tp2925 +a(g212 +V) +tp2926 +a(g6 +V +tp2927 +a(g212 +V( +tp2928 +a(g84 +Vor +p2929 +tp2930 +a(g6 +V +tp2931 +a(g212 +V( +tp2932 +a(g84 +Veq +p2933 +tp2934 +a(g6 +V +tp2935 +a(g100 +Vx +tp2936 +a(g6 +V +tp2937 +a(g258 +V'nil +p2938 +tp2939 +a(g212 +V) +tp2940 +a(g6 +V +tp2941 +a(g212 +V( +tp2942 +a(g84 +Veq +p2943 +tp2944 +a(g6 +V +tp2945 +a(g100 +Vx +tp2946 +a(g6 +V +tp2947 +a(g258 +V't +p2948 +tp2949 +a(g212 +V) +tp2950 +a(g212 +V) +tp2951 +a(g212 +V) +tp2952 +a(g6 +V\u000a +tp2953 +a(g212 +V) +tp2954 +a(g6 +V\u000a +tp2955 +a(g212 +V( +tp2956 +a(g100 +Vdef-atomic-type +p2957 +tp2958 +a(g6 +V +tp2959 +a(g100 +VCHARACTER +p2960 +tp2961 +a(g6 +V +tp2962 +a(g84 +Vcharacterp +p2963 +tp2964 +a(g212 +V) +tp2965 +a(g6 +V\u000a +tp2966 +a(g212 +V( +tp2967 +a(g100 +Vdef-atomic-type +p2968 +tp2969 +a(g6 +V +tp2970 +a(g100 +VCOMPILED-FUNCTION +p2971 +tp2972 +a(g6 +V +tp2973 +a(g84 +Vcompiled-function-p +p2974 +tp2975 +a(g212 +V) +tp2976 +a(g6 +V\u000a +tp2977 +a(g212 +V( +tp2978 +a(g100 +Vdef-atomic-type +p2979 +tp2980 +a(g6 +V +tp2981 +a(g100 +VCOMPLEX +p2982 +tp2983 +a(g6 +V +tp2984 +a(g84 +Vcomplexp +p2985 +tp2986 +a(g212 +V) +tp2987 +a(g6 +V\u000a +tp2988 +a(g212 +V( +tp2989 +a(g100 +Vdef-atomic-type +p2990 +tp2991 +a(g6 +V +tp2992 +a(g100 +VCONS +p2993 +tp2994 +a(g6 +V +tp2995 +a(g84 +Vconsp +p2996 +tp2997 +a(g212 +V) +tp2998 +a(g6 +V\u000a +tp2999 +a(g212 +V( +tp3000 +a(g100 +Vdef-atomic-type +p3001 +tp3002 +a(g6 +V +tp3003 +a(g100 +VDOUBLE-FLOAT +p3004 +tp3005 +a(g6 +V +tp3006 +a(g100 +Vdouble-float-p +p3007 +tp3008 +a(g212 +V) +tp3009 +a(g6 +V\u000a +tp3010 +a(g212 +V( +tp3011 +a(g100 +Vdef-atomic-type +p3012 +tp3013 +a(g6 +V +tp3014 +a(g100 +VENCODING +p3015 +tp3016 +a(g6 +V +tp3017 +a(g100 +Vencodingp +p3018 +tp3019 +a(g212 +V) +tp3020 +a(g6 +V\u000a +tp3021 +a(g212 +V( +tp3022 +a(g100 +Vdef-atomic-type +p3023 +tp3024 +a(g6 +V +tp3025 +a(g100 +VEXTENDED-CHAR +p3026 +tp3027 +a(g6 +V\u000a +p3028 +tp3029 +a(g353 +V#+ +p3030 +tp3031 +a(g100 +VBASE-CHAR=CHARACTER +p3032 +tp3033 +a(g6 +V\u000a +p3034 +tp3035 +a(g212 +V( +tp3036 +a(g138 +Vlambda +p3037 +tp3038 +a(g6 +V +tp3039 +a(g212 +V( +tp3040 +a(g100 +Vx +tp3041 +a(g212 +V) +tp3042 +a(g6 +V +tp3043 +a(g212 +V( +tp3044 +a(g138 +Vdeclare +p3045 +tp3046 +a(g6 +V +tp3047 +a(g212 +V( +tp3048 +a(g138 +Vignore +p3049 +tp3050 +a(g6 +V +tp3051 +a(g100 +Vx +tp3052 +a(g212 +V) +tp3053 +a(g212 +V) +tp3054 +a(g6 +V +tp3055 +a(g60 +Vnil +p3056 +tp3057 +a(g212 +V) +tp3058 +a(g6 +V\u000a +p3059 +tp3060 +a(g353 +V#- +p3061 +tp3062 +a(g100 +VBASE-CHAR=CHARACTER +p3063 +tp3064 +a(g6 +V\u000a +p3065 +tp3066 +a(g212 +V( +tp3067 +a(g138 +Vlambda +p3068 +tp3069 +a(g6 +V +tp3070 +a(g212 +V( +tp3071 +a(g100 +Vx +tp3072 +a(g212 +V) +tp3073 +a(g6 +V +tp3074 +a(g212 +V( +tp3075 +a(g84 +Vand +p3076 +tp3077 +a(g6 +V +tp3078 +a(g212 +V( +tp3079 +a(g84 +Vcharacterp +p3080 +tp3081 +a(g6 +V +tp3082 +a(g100 +Vx +tp3083 +a(g212 +V) +tp3084 +a(g6 +V +tp3085 +a(g212 +V( +tp3086 +a(g84 +Vnot +p3087 +tp3088 +a(g6 +V +tp3089 +a(g212 +V( +tp3090 +a(g100 +Vbase-char-p +p3091 +tp3092 +a(g6 +V +tp3093 +a(g100 +Vx +tp3094 +a(g212 +V) +tp3095 +a(g212 +V) +tp3096 +a(g212 +V) +tp3097 +a(g212 +V) +tp3098 +a(g6 +V\u000a +tp3099 +a(g212 +V) +tp3100 +a(g6 +V\u000a +tp3101 +a(g212 +V( +tp3102 +a(g100 +Vdef-atomic-type +p3103 +tp3104 +a(g6 +V +tp3105 +a(g100 +VFIXNUM +p3106 +tp3107 +a(g6 +V +tp3108 +a(g100 +Vfixnump +p3109 +tp3110 +a(g212 +V) +tp3111 +a(g6 +V\u000a +tp3112 +a(g212 +V( +tp3113 +a(g100 +Vdef-atomic-type +p3114 +tp3115 +a(g6 +V +tp3116 +a(g100 +VFLOAT +p3117 +tp3118 +a(g6 +V +tp3119 +a(g84 +Vfloatp +p3120 +tp3121 +a(g212 +V) +tp3122 +a(g6 +V\u000a +tp3123 +a(g212 +V( +tp3124 +a(g100 +Vdef-atomic-type +p3125 +tp3126 +a(g6 +V +tp3127 +a(g100 +VFUNCTION +p3128 +tp3129 +a(g6 +V +tp3130 +a(g84 +Vfunctionp +p3131 +tp3132 +a(g212 +V) +tp3133 +a(g6 +V\u000a +tp3134 +a(g212 +V( +tp3135 +a(g100 +Vdef-atomic-type +p3136 +tp3137 +a(g6 +V +tp3138 +a(g100 +VHASH-TABLE +p3139 +tp3140 +a(g6 +V +tp3141 +a(g84 +Vhash-table-p +p3142 +tp3143 +a(g212 +V) +tp3144 +a(g6 +V\u000a +tp3145 +a(g212 +V( +tp3146 +a(g100 +Vdef-atomic-type +p3147 +tp3148 +a(g6 +V +tp3149 +a(g100 +VINTEGER +p3150 +tp3151 +a(g6 +V +tp3152 +a(g84 +Vintegerp +p3153 +tp3154 +a(g212 +V) +tp3155 +a(g6 +V\u000a +tp3156 +a(g212 +V( +tp3157 +a(g100 +Vdef-atomic-type +p3158 +tp3159 +a(g6 +V +tp3160 +a(g100 +VKEYWORD +p3161 +tp3162 +a(g6 +V +tp3163 +a(g84 +Vkeywordp +p3164 +tp3165 +a(g212 +V) +tp3166 +a(g6 +V\u000a +tp3167 +a(g212 +V( +tp3168 +a(g100 +Vdef-atomic-type +p3169 +tp3170 +a(g6 +V +tp3171 +a(g100 +VLIST +p3172 +tp3173 +a(g6 +V +tp3174 +a(g84 +Vlistp +p3175 +tp3176 +a(g212 +V) +tp3177 +a(g6 +V\u000a +tp3178 +a(g353 +V#+ +p3179 +tp3180 +a(g100 +VLOGICAL-PATHNAMES +p3181 +tp3182 +a(g6 +V\u000a +tp3183 +a(g212 +V( +tp3184 +a(g100 +Vdef-atomic-type +p3185 +tp3186 +a(g6 +V +tp3187 +a(g100 +VLOGICAL-PATHNAME +p3188 +tp3189 +a(g6 +V +tp3190 +a(g100 +Vlogical-pathname-p +p3191 +tp3192 +a(g212 +V) +tp3193 +a(g6 +V\u000a +tp3194 +a(g212 +V( +tp3195 +a(g100 +Vdef-atomic-type +p3196 +tp3197 +a(g6 +V +tp3198 +a(g100 +VLONG-FLOAT +p3199 +tp3200 +a(g6 +V +tp3201 +a(g100 +Vlong-float-p +p3202 +tp3203 +a(g212 +V) +tp3204 +a(g6 +V\u000a +tp3205 +a(g212 +V( +tp3206 +a(g100 +Vdef-atomic-type +p3207 +tp3208 +a(g6 +V +tp3209 +a(g60 +VNIL +p3210 +tp3211 +a(g6 +V\u000a +p3212 +tp3213 +a(g212 +V( +tp3214 +a(g138 +Vlambda +p3215 +tp3216 +a(g6 +V +tp3217 +a(g212 +V( +tp3218 +a(g100 +Vx +tp3219 +a(g212 +V) +tp3220 +a(g6 +V +tp3221 +a(g212 +V( +tp3222 +a(g138 +Vdeclare +p3223 +tp3224 +a(g6 +V +tp3225 +a(g212 +V( +tp3226 +a(g138 +Vignore +p3227 +tp3228 +a(g6 +V +tp3229 +a(g100 +Vx +tp3230 +a(g212 +V) +tp3231 +a(g212 +V) +tp3232 +a(g6 +V +tp3233 +a(g60 +Vnil +p3234 +tp3235 +a(g212 +V) +tp3236 +a(g6 +V\u000a +tp3237 +a(g212 +V) +tp3238 +a(g6 +V\u000a +tp3239 +a(g212 +V( +tp3240 +a(g100 +Vdef-atomic-type +p3241 +tp3242 +a(g6 +V +tp3243 +a(g100 +VNULL +p3244 +tp3245 +a(g6 +V +tp3246 +a(g84 +Vnull +p3247 +tp3248 +a(g212 +V) +tp3249 +a(g6 +V\u000a +tp3250 +a(g212 +V( +tp3251 +a(g100 +Vdef-atomic-type +p3252 +tp3253 +a(g6 +V +tp3254 +a(g100 +VNUMBER +p3255 +tp3256 +a(g6 +V +tp3257 +a(g84 +Vnumberp +p3258 +tp3259 +a(g212 +V) +tp3260 +a(g6 +V\u000a +tp3261 +a(g212 +V( +tp3262 +a(g100 +Vdef-atomic-type +p3263 +tp3264 +a(g6 +V +tp3265 +a(g100 +VPACKAGE +p3266 +tp3267 +a(g6 +V +tp3268 +a(g84 +Vpackagep +p3269 +tp3270 +a(g212 +V) +tp3271 +a(g6 +V\u000a +tp3272 +a(g212 +V( +tp3273 +a(g100 +Vdef-atomic-type +p3274 +tp3275 +a(g6 +V +tp3276 +a(g100 +VPATHNAME +p3277 +tp3278 +a(g6 +V +tp3279 +a(g84 +Vpathnamep +p3280 +tp3281 +a(g212 +V) +tp3282 +a(g6 +V\u000a +tp3283 +a(g212 +V( +tp3284 +a(g100 +Vdef-atomic-type +p3285 +tp3286 +a(g6 +V +tp3287 +a(g100 +VRANDOM-STATE +p3288 +tp3289 +a(g6 +V +tp3290 +a(g84 +Vrandom-state-p +p3291 +tp3292 +a(g212 +V) +tp3293 +a(g6 +V\u000a +tp3294 +a(g212 +V( +tp3295 +a(g100 +Vdef-atomic-type +p3296 +tp3297 +a(g6 +V +tp3298 +a(g100 +VRATIO +p3299 +tp3300 +a(g6 +V\u000a +p3301 +tp3302 +a(g212 +V( +tp3303 +a(g138 +Vlambda +p3304 +tp3305 +a(g6 +V +tp3306 +a(g212 +V( +tp3307 +a(g100 +Vx +tp3308 +a(g212 +V) +tp3309 +a(g6 +V +tp3310 +a(g212 +V( +tp3311 +a(g84 +Vand +p3312 +tp3313 +a(g6 +V +tp3314 +a(g212 +V( +tp3315 +a(g84 +Vrationalp +p3316 +tp3317 +a(g6 +V +tp3318 +a(g100 +Vx +tp3319 +a(g212 +V) +tp3320 +a(g6 +V +tp3321 +a(g212 +V( +tp3322 +a(g84 +Vnot +p3323 +tp3324 +a(g6 +V +tp3325 +a(g212 +V( +tp3326 +a(g84 +Vintegerp +p3327 +tp3328 +a(g6 +V +tp3329 +a(g100 +Vx +tp3330 +a(g212 +V) +tp3331 +a(g212 +V) +tp3332 +a(g212 +V) +tp3333 +a(g212 +V) +tp3334 +a(g6 +V\u000a +tp3335 +a(g212 +V) +tp3336 +a(g6 +V\u000a +tp3337 +a(g212 +V( +tp3338 +a(g100 +Vdef-atomic-type +p3339 +tp3340 +a(g6 +V +tp3341 +a(g100 +VRATIONAL +p3342 +tp3343 +a(g6 +V +tp3344 +a(g84 +Vrationalp +p3345 +tp3346 +a(g212 +V) +tp3347 +a(g6 +V\u000a +tp3348 +a(g212 +V( +tp3349 +a(g100 +Vdef-atomic-type +p3350 +tp3351 +a(g6 +V +tp3352 +a(g100 +VREADTABLE +p3353 +tp3354 +a(g6 +V +tp3355 +a(g84 +Vreadtablep +p3356 +tp3357 +a(g212 +V) +tp3358 +a(g6 +V\u000a +tp3359 +a(g212 +V( +tp3360 +a(g100 +Vdef-atomic-type +p3361 +tp3362 +a(g6 +V +tp3363 +a(g100 +VREAL +p3364 +tp3365 +a(g6 +V +tp3366 +a(g84 +Vrealp +p3367 +tp3368 +a(g212 +V) +tp3369 +a(g6 +V\u000a +tp3370 +a(g212 +V( +tp3371 +a(g100 +Vdef-atomic-type +p3372 +tp3373 +a(g6 +V +tp3374 +a(g100 +VSEQUENCE +p3375 +tp3376 +a(g6 +V +tp3377 +a(g100 +Vsequencep +p3378 +tp3379 +a(g212 +V) +tp3380 +a(g6 +V\u000a +tp3381 +a(g212 +V( +tp3382 +a(g100 +Vdef-atomic-type +p3383 +tp3384 +a(g6 +V +tp3385 +a(g100 +VSHORT-FLOAT +p3386 +tp3387 +a(g6 +V +tp3388 +a(g100 +Vshort-float-p +p3389 +tp3390 +a(g212 +V) +tp3391 +a(g6 +V\u000a +tp3392 +a(g212 +V( +tp3393 +a(g100 +Vdef-atomic-type +p3394 +tp3395 +a(g6 +V +tp3396 +a(g100 +VSIMPLE-ARRAY +p3397 +tp3398 +a(g6 +V +tp3399 +a(g100 +Vsimple-array-p +p3400 +tp3401 +a(g212 +V) +tp3402 +a(g6 +V\u000a +tp3403 +a(g212 +V( +tp3404 +a(g100 +Vdef-atomic-type +p3405 +tp3406 +a(g6 +V +tp3407 +a(g100 +VSIMPLE-BASE-STRING +p3408 +tp3409 +a(g6 +V\u000a +p3410 +tp3411 +a(g212 +V( +tp3412 +a(g138 +Vlambda +p3413 +tp3414 +a(g6 +V +tp3415 +a(g212 +V( +tp3416 +a(g100 +Vx +tp3417 +a(g212 +V) +tp3418 +a(g6 +V\u000a +p3419 +tp3420 +a(g212 +V( +tp3421 +a(g84 +Vand +p3422 +tp3423 +a(g6 +V +tp3424 +a(g212 +V( +tp3425 +a(g84 +Vsimple-string-p +p3426 +tp3427 +a(g6 +V +tp3428 +a(g100 +Vx +tp3429 +a(g212 +V) +tp3430 +a(g6 +V\u000a +p3431 +tp3432 +a(g212 +V( +tp3433 +a(g84 +Veq +p3434 +tp3435 +a(g6 +V +tp3436 +a(g212 +V( +tp3437 +a(g84 +Varray-element-type +p3438 +tp3439 +a(g6 +V +tp3440 +a(g100 +Vx +tp3441 +a(g212 +V) +tp3442 +a(g6 +V\u000a +p3443 +tp3444 +a(g353 +V#+ +p3445 +tp3446 +a(g100 +VBASE-CHAR=CHARACTER +p3447 +tp3448 +a(g6 +V +tp3449 +a(g258 +V'CHARACTER +p3450 +tp3451 +a(g6 +V +tp3452 +a(g353 +V#- +p3453 +tp3454 +a(g100 +VBASE-CHAR=CHARACTER +p3455 +tp3456 +a(g6 +V +tp3457 +a(g258 +V'BASE-CHAR +p3458 +tp3459 +a(g6 +V\u000a +tp3460 +a(g212 +V) +tp3461 +a(g6 +V +tp3462 +a(g212 +V) +tp3463 +a(g6 +V +tp3464 +a(g212 +V) +tp3465 +a(g6 +V +p3466 +tp3467 +a(g212 +V) +tp3468 +a(g6 +V\u000a +tp3469 +a(g212 +V( +tp3470 +a(g100 +Vdef-atomic-type +p3471 +tp3472 +a(g6 +V +tp3473 +a(g100 +VSIMPLE-BIT-VECTOR +p3474 +tp3475 +a(g6 +V +tp3476 +a(g84 +Vsimple-bit-vector-p +p3477 +tp3478 +a(g212 +V) +tp3479 +a(g6 +V\u000a +tp3480 +a(g212 +V( +tp3481 +a(g100 +Vdef-atomic-type +p3482 +tp3483 +a(g6 +V +tp3484 +a(g100 +VSIMPLE-STRING +p3485 +tp3486 +a(g6 +V +tp3487 +a(g84 +Vsimple-string-p +p3488 +tp3489 +a(g212 +V) +tp3490 +a(g6 +V\u000a +tp3491 +a(g212 +V( +tp3492 +a(g100 +Vdef-atomic-type +p3493 +tp3494 +a(g6 +V +tp3495 +a(g100 +VSIMPLE-VECTOR +p3496 +tp3497 +a(g6 +V +tp3498 +a(g84 +Vsimple-vector-p +p3499 +tp3500 +a(g212 +V) +tp3501 +a(g6 +V\u000a +tp3502 +a(g212 +V( +tp3503 +a(g100 +Vdef-atomic-type +p3504 +tp3505 +a(g6 +V +tp3506 +a(g100 +VSINGLE-FLOAT +p3507 +tp3508 +a(g6 +V +tp3509 +a(g100 +Vsingle-float-p +p3510 +tp3511 +a(g212 +V) +tp3512 +a(g6 +V\u000a +tp3513 +a(g212 +V( +tp3514 +a(g84 +Vdefun +p3515 +tp3516 +a(g6 +V +tp3517 +a(g100 +V%standard-char-p +p3518 +tp3519 +a(g6 +V +tp3520 +a(g212 +V( +tp3521 +a(g100 +Vx +tp3522 +a(g212 +V) +tp3523 +a(g6 +V +tp3524 +a(g212 +V( +tp3525 +a(g84 +Vand +p3526 +tp3527 +a(g6 +V +tp3528 +a(g212 +V( +tp3529 +a(g84 +Vcharacterp +p3530 +tp3531 +a(g6 +V +tp3532 +a(g100 +Vx +tp3533 +a(g212 +V) +tp3534 +a(g6 +V +tp3535 +a(g212 +V( +tp3536 +a(g84 +Vstandard-char-p +p3537 +tp3538 +a(g6 +V +tp3539 +a(g100 +Vx +tp3540 +a(g212 +V) +tp3541 +a(g212 +V) +tp3542 +a(g212 +V) +tp3543 +a(g6 +V +tp3544 +a(g31 +V; ABI +p3545 +tp3546 +a(g6 +V\u000a +tp3547 +a(g212 +V( +tp3548 +a(g100 +Vdef-atomic-type +p3549 +tp3550 +a(g6 +V +tp3551 +a(g100 +VSTANDARD-CHAR +p3552 +tp3553 +a(g6 +V +tp3554 +a(g100 +V%standard-char-p +p3555 +tp3556 +a(g212 +V) +tp3557 +a(g6 +V\u000a +tp3558 +a(g212 +V( +tp3559 +a(g100 +Vdef-atomic-type +p3560 +tp3561 +a(g6 +V +tp3562 +a(g100 +VCLOS:STANDARD-OBJECT +p3563 +tp3564 +a(g6 +V +tp3565 +a(g100 +Vclos::std-instance-p +p3566 +tp3567 +a(g212 +V) +tp3568 +a(g6 +V\u000a +tp3569 +a(g212 +V( +tp3570 +a(g100 +Vdef-atomic-type +p3571 +tp3572 +a(g6 +V +tp3573 +a(g100 +VSTREAM +p3574 +tp3575 +a(g6 +V +tp3576 +a(g84 +Vstreamp +p3577 +tp3578 +a(g212 +V) +tp3579 +a(g6 +V\u000a +tp3580 +a(g212 +V( +tp3581 +a(g100 +Vdef-atomic-type +p3582 +tp3583 +a(g6 +V +tp3584 +a(g100 +VFILE-STREAM +p3585 +tp3586 +a(g6 +V +tp3587 +a(g100 +Vfile-stream-p +p3588 +tp3589 +a(g212 +V) +tp3590 +a(g6 +V\u000a +tp3591 +a(g212 +V( +tp3592 +a(g100 +Vdef-atomic-type +p3593 +tp3594 +a(g6 +V +tp3595 +a(g100 +VSYNONYM-STREAM +p3596 +tp3597 +a(g6 +V +tp3598 +a(g100 +Vsynonym-stream-p +p3599 +tp3600 +a(g212 +V) +tp3601 +a(g6 +V\u000a +tp3602 +a(g212 +V( +tp3603 +a(g100 +Vdef-atomic-type +p3604 +tp3605 +a(g6 +V +tp3606 +a(g100 +VBROADCAST-STREAM +p3607 +tp3608 +a(g6 +V +tp3609 +a(g100 +Vbroadcast-stream-p +p3610 +tp3611 +a(g212 +V) +tp3612 +a(g6 +V\u000a +tp3613 +a(g212 +V( +tp3614 +a(g100 +Vdef-atomic-type +p3615 +tp3616 +a(g6 +V +tp3617 +a(g100 +VCONCATENATED-STREAM +p3618 +tp3619 +a(g6 +V +tp3620 +a(g100 +Vconcatenated-stream-p +p3621 +tp3622 +a(g212 +V) +tp3623 +a(g6 +V\u000a +tp3624 +a(g212 +V( +tp3625 +a(g100 +Vdef-atomic-type +p3626 +tp3627 +a(g6 +V +tp3628 +a(g100 +VTWO-WAY-STREAM +p3629 +tp3630 +a(g6 +V +tp3631 +a(g100 +Vtwo-way-stream-p +p3632 +tp3633 +a(g212 +V) +tp3634 +a(g6 +V\u000a +tp3635 +a(g212 +V( +tp3636 +a(g100 +Vdef-atomic-type +p3637 +tp3638 +a(g6 +V +tp3639 +a(g100 +VECHO-STREAM +p3640 +tp3641 +a(g6 +V +tp3642 +a(g100 +Vecho-stream-p +p3643 +tp3644 +a(g212 +V) +tp3645 +a(g6 +V\u000a +tp3646 +a(g212 +V( +tp3647 +a(g100 +Vdef-atomic-type +p3648 +tp3649 +a(g6 +V +tp3650 +a(g100 +VSTRING-STREAM +p3651 +tp3652 +a(g6 +V +tp3653 +a(g100 +Vstring-stream-p +p3654 +tp3655 +a(g212 +V) +tp3656 +a(g6 +V\u000a +tp3657 +a(g212 +V( +tp3658 +a(g100 +Vdef-atomic-type +p3659 +tp3660 +a(g6 +V +tp3661 +a(g100 +VSTRING +p3662 +tp3663 +a(g6 +V +tp3664 +a(g84 +Vstringp +p3665 +tp3666 +a(g212 +V) +tp3667 +a(g6 +V\u000a +tp3668 +a(g212 +V( +tp3669 +a(g100 +Vdef-atomic-type +p3670 +tp3671 +a(g6 +V +tp3672 +a(g100 +VSTRING-CHAR +p3673 +tp3674 +a(g6 +V +tp3675 +a(g84 +Vcharacterp +p3676 +tp3677 +a(g212 +V) +tp3678 +a(g6 +V\u000a +tp3679 +a(g212 +V( +tp3680 +a(g100 +Vdef-atomic-type +p3681 +tp3682 +a(g6 +V +tp3683 +a(g100 +VCLOS:STRUCTURE-OBJECT +p3684 +tp3685 +a(g6 +V +tp3686 +a(g100 +Vclos::structure-object-p +p3687 +tp3688 +a(g212 +V) +tp3689 +a(g6 +V\u000a +tp3690 +a(g212 +V( +tp3691 +a(g100 +Vdef-atomic-type +p3692 +tp3693 +a(g6 +V +tp3694 +a(g100 +VSYMBOL +p3695 +tp3696 +a(g6 +V +tp3697 +a(g84 +Vsymbolp +p3698 +tp3699 +a(g212 +V) +tp3700 +a(g6 +V\u000a +tp3701 +a(g212 +V( +tp3702 +a(g100 +Vdef-atomic-type +p3703 +tp3704 +a(g6 +V +tp3705 +a(g60 +VT +tp3706 +a(g6 +V +tp3707 +a(g212 +V( +tp3708 +a(g138 +Vlambda +p3709 +tp3710 +a(g6 +V +tp3711 +a(g212 +V( +tp3712 +a(g100 +Vx +tp3713 +a(g212 +V) +tp3714 +a(g6 +V +tp3715 +a(g212 +V( +tp3716 +a(g138 +Vdeclare +p3717 +tp3718 +a(g6 +V +tp3719 +a(g212 +V( +tp3720 +a(g138 +Vignore +p3721 +tp3722 +a(g6 +V +tp3723 +a(g100 +Vx +tp3724 +a(g212 +V) +tp3725 +a(g212 +V) +tp3726 +a(g6 +V +tp3727 +a(g60 +Vt +tp3728 +a(g212 +V) +tp3729 +a(g212 +V) +tp3730 +a(g6 +V\u000a +tp3731 +a(g31 +V;; foreign1.lisp is loaded after this file, +p3732 +tp3733 +a(g6 +V\u000a +tp3734 +a(g31 +V;; so these symbols are not external yet +p3735 +tp3736 +a(g6 +V\u000a +tp3737 +a(g353 +V#+ +p3738 +tp3739 +a(g100 +Vffi +p3740 +tp3741 +a(g6 +V\u000a +tp3742 +a(g212 +V( +tp3743 +a(g100 +Vdef-atomic-type +p3744 +tp3745 +a(g6 +V +tp3746 +a(g100 +Vffi::foreign-function +p3747 +tp3748 +a(g6 +V\u000a +p3749 +tp3750 +a(g212 +V( +tp3751 +a(g138 +Vlambda +p3752 +tp3753 +a(g6 +V +tp3754 +a(g212 +V( +tp3755 +a(g100 +Vx +tp3756 +a(g212 +V) +tp3757 +a(g6 +V +tp3758 +a(g212 +V( +tp3759 +a(g84 +Veq +p3760 +tp3761 +a(g6 +V +tp3762 +a(g258 +V'ffi::foreign-function +p3763 +tp3764 +a(g6 +V +tp3765 +a(g212 +V( +tp3766 +a(g84 +Vtype-of +p3767 +tp3768 +a(g6 +V +tp3769 +a(g100 +Vx +tp3770 +a(g212 +V) +tp3771 +a(g212 +V) +tp3772 +a(g212 +V) +tp3773 +a(g212 +V) +tp3774 +a(g6 +V\u000a +tp3775 +a(g353 +V#+ +p3776 +tp3777 +a(g100 +Vffi +p3778 +tp3779 +a(g6 +V\u000a +tp3780 +a(g212 +V( +tp3781 +a(g100 +Vdef-atomic-type +p3782 +tp3783 +a(g6 +V +tp3784 +a(g100 +Vffi::foreign-variable +p3785 +tp3786 +a(g6 +V\u000a +p3787 +tp3788 +a(g212 +V( +tp3789 +a(g138 +Vlambda +p3790 +tp3791 +a(g6 +V +tp3792 +a(g212 +V( +tp3793 +a(g100 +Vx +tp3794 +a(g212 +V) +tp3795 +a(g6 +V +tp3796 +a(g212 +V( +tp3797 +a(g84 +Veq +p3798 +tp3799 +a(g6 +V +tp3800 +a(g258 +V'ffi::foreign-variable +p3801 +tp3802 +a(g6 +V +tp3803 +a(g212 +V( +tp3804 +a(g84 +Vtype-of +p3805 +tp3806 +a(g6 +V +tp3807 +a(g100 +Vx +tp3808 +a(g212 +V) +tp3809 +a(g212 +V) +tp3810 +a(g212 +V) +tp3811 +a(g212 +V) +tp3812 +a(g6 +V\u000a +tp3813 +a(g353 +V#+ +p3814 +tp3815 +a(g100 +Vffi +p3816 +tp3817 +a(g6 +V\u000a +tp3818 +a(g212 +V( +tp3819 +a(g100 +Vdef-atomic-type +p3820 +tp3821 +a(g6 +V +tp3822 +a(g100 +Vffi::foreign-address +p3823 +tp3824 +a(g6 +V\u000a +p3825 +tp3826 +a(g212 +V( +tp3827 +a(g138 +Vlambda +p3828 +tp3829 +a(g6 +V +tp3830 +a(g212 +V( +tp3831 +a(g100 +Vx +tp3832 +a(g212 +V) +tp3833 +a(g6 +V +tp3834 +a(g212 +V( +tp3835 +a(g84 +Veq +p3836 +tp3837 +a(g6 +V +tp3838 +a(g258 +V'ffi::foreign-address +p3839 +tp3840 +a(g6 +V +tp3841 +a(g212 +V( +tp3842 +a(g84 +Vtype-of +p3843 +tp3844 +a(g6 +V +tp3845 +a(g100 +Vx +tp3846 +a(g212 +V) +tp3847 +a(g212 +V) +tp3848 +a(g212 +V) +tp3849 +a(g212 +V) +tp3850 +a(g6 +V\u000a +tp3851 +a(g31 +V;; see lispbibl.d (#define FOREIGN) and predtype.d (TYPE-OF): +p3852 +tp3853 +a(g6 +V\u000a +tp3854 +a(g353 +V#+ +p3855 +tp3856 +a(g212 +V( +tp3857 +a(g84 +Vor +p3858 +tp3859 +a(g6 +V +tp3860 +a(g100 +Vunix +p3861 +tp3862 +a(g6 +V +tp3863 +a(g100 +Vffi +p3864 +tp3865 +a(g6 +V +tp3866 +a(g100 +Vaffi +p3867 +tp3868 +a(g6 +V +tp3869 +a(g100 +Vwin32 +p3870 +tp3871 +a(g212 +V) +tp3872 +a(g6 +V\u000a +tp3873 +a(g212 +V( +tp3874 +a(g100 +Vdef-atomic-type +p3875 +tp3876 +a(g6 +V +tp3877 +a(g100 +Vforeign-pointer +p3878 +tp3879 +a(g6 +V\u000a +p3880 +tp3881 +a(g212 +V( +tp3882 +a(g138 +Vlambda +p3883 +tp3884 +a(g6 +V +tp3885 +a(g212 +V( +tp3886 +a(g100 +Vx +tp3887 +a(g212 +V) +tp3888 +a(g6 +V +tp3889 +a(g212 +V( +tp3890 +a(g84 +Veq +p3891 +tp3892 +a(g6 +V +tp3893 +a(g258 +V'foreign-pointer +p3894 +tp3895 +a(g6 +V +tp3896 +a(g212 +V( +tp3897 +a(g84 +Vtype-of +p3898 +tp3899 +a(g6 +V +tp3900 +a(g100 +Vx +tp3901 +a(g212 +V) +tp3902 +a(g212 +V) +tp3903 +a(g212 +V) +tp3904 +a(g212 +V) +tp3905 +a(g6 +V\u000a +tp3906 +a(g212 +V( +tp3907 +a(g100 +Vdef-atomic-type +p3908 +tp3909 +a(g6 +V +tp3910 +a(g100 +VVECTOR +p3911 +tp3912 +a(g6 +V +tp3913 +a(g84 +Vvectorp +p3914 +tp3915 +a(g212 +V) +tp3916 +a(g6 +V\u000a +tp3917 +a(g212 +V( +tp3918 +a(g100 +Vdef-atomic-type +p3919 +tp3920 +a(g6 +V +tp3921 +a(g100 +VPLIST +p3922 +tp3923 +a(g6 +V\u000a +p3924 +tp3925 +a(g212 +V( +tp3926 +a(g138 +Vlambda +p3927 +tp3928 +a(g6 +V +tp3929 +a(g212 +V( +tp3930 +a(g100 +Vx +tp3931 +a(g212 +V) +tp3932 +a(g6 +V +tp3933 +a(g212 +V( +tp3934 +a(g84 +Vmultiple-value-bind +p3935 +tp3936 +a(g6 +V +tp3937 +a(g212 +V( +tp3938 +a(g84 +Vlength +p3939 +tp3940 +a(g6 +V +tp3941 +a(g100 +Vtail +p3942 +tp3943 +a(g212 +V) +tp3944 +a(g6 +V +tp3945 +a(g212 +V( +tp3946 +a(g100 +Vlist-length-dotted +p3947 +tp3948 +a(g6 +V +tp3949 +a(g100 +Vx +tp3950 +a(g212 +V) +tp3951 +a(g6 +V\u000a +p3952 +tp3953 +a(g212 +V( +tp3954 +a(g84 +Vand +p3955 +tp3956 +a(g6 +V +tp3957 +a(g212 +V( +tp3958 +a(g84 +Vnull +p3959 +tp3960 +a(g6 +V +tp3961 +a(g100 +Vtail +p3962 +tp3963 +a(g212 +V) +tp3964 +a(g6 +V +tp3965 +a(g212 +V( +tp3966 +a(g84 +Vevenp +p3967 +tp3968 +a(g6 +V +tp3969 +a(g84 +Vlength +p3970 +tp3971 +a(g212 +V) +tp3972 +a(g212 +V) +tp3973 +a(g212 +V) +tp3974 +a(g212 +V) +tp3975 +a(g212 +V) +tp3976 +a(g6 +V\u000a\u000a +p3977 +tp3978 +a(g212 +V( +tp3979 +a(g84 +Vdefmacro +p3980 +tp3981 +a(g6 +V +tp3982 +a(g100 +Vensure-dim +p3983 +tp3984 +a(g6 +V +tp3985 +a(g212 +V( +tp3986 +a(g138 +Vtype +p3987 +tp3988 +a(g6 +V +tp3989 +a(g100 +Vdim +p3990 +tp3991 +a(g212 +V) +tp3992 +a(g6 +V\u000a +p3993 +tp3994 +a(g31 +V;; make sure DIM is a valid dimension +p3995 +tp3996 +a(g6 +V\u000a +p3997 +tp3998 +a(g353 +V` +tp3999 +a(g212 +V( +tp4000 +a(g84 +Vunless +p4001 +tp4002 +a(g6 +V +tp4003 +a(g212 +V( +tp4004 +a(g84 +Vor +p4005 +tp4006 +a(g6 +V +tp4007 +a(g212 +V( +tp4008 +a(g84 +Veq +p4009 +tp4010 +a(g6 +V +tp4011 +a(g353 +V, +tp4012 +a(g100 +Vdim +p4013 +tp4014 +a(g6 +V +tp4015 +a(g258 +V'* +p4016 +tp4017 +a(g212 +V) +tp4018 +a(g6 +V +tp4019 +a(g212 +V( +tp4020 +a(g84 +Vtypep +p4021 +tp4022 +a(g6 +V +tp4023 +a(g353 +V, +tp4024 +a(g100 +Vdim +p4025 +tp4026 +a(g6 +V +tp4027 +a(g353 +V` +tp4028 +a(g212 +V( +tp4029 +a(g100 +VINTEGER +p4030 +tp4031 +a(g6 +V +tp4032 +a(g328 +V0 +tp4033 +a(g6 +V +tp4034 +a(g212 +V( +tp4035 +a(g353 +V, +tp4036 +a(g100 +VARRAY-DIMENSION-LIMIT +p4037 +tp4038 +a(g212 +V) +tp4039 +a(g212 +V) +tp4040 +a(g212 +V) +tp4041 +a(g212 +V) +tp4042 +a(g6 +V\u000a +p4043 +tp4044 +a(g212 +V( +tp4045 +a(g84 +Verror +p4046 +tp4047 +a(g6 +V +tp4048 +a(g212 +V( +tp4049 +a(g100 +VTEXT +p4050 +tp4051 +a(g6 +V +tp4052 +a(g236 +V"~S: dimension ~S is invalid" +p4053 +tp4054 +a(g212 +V) +tp4055 +a(g6 +V +tp4056 +a(g258 +V',type +p4057 +tp4058 +a(g6 +V +tp4059 +a(g353 +V, +tp4060 +a(g100 +Vdim +p4061 +tp4062 +a(g212 +V) +tp4063 +a(g212 +V) +tp4064 +a(g212 +V) +tp4065 +a(g6 +V\u000a\u000a +p4066 +tp4067 +a(g212 +V( +tp4068 +a(g84 +Vdefmacro +p4069 +tp4070 +a(g6 +V +tp4071 +a(g100 +Vensure-rank +p4072 +tp4073 +a(g6 +V +tp4074 +a(g212 +V( +tp4075 +a(g138 +Vtype +p4076 +tp4077 +a(g6 +V +tp4078 +a(g100 +Vrank +p4079 +tp4080 +a(g212 +V) +tp4081 +a(g6 +V\u000a +p4082 +tp4083 +a(g31 +V;; make sure RANK is a valid rank +p4084 +tp4085 +a(g6 +V\u000a +p4086 +tp4087 +a(g353 +V` +tp4088 +a(g212 +V( +tp4089 +a(g84 +Vunless +p4090 +tp4091 +a(g6 +V +tp4092 +a(g212 +V( +tp4093 +a(g84 +Vtypep +p4094 +tp4095 +a(g6 +V +tp4096 +a(g353 +V, +tp4097 +a(g100 +Vrank +p4098 +tp4099 +a(g6 +V +tp4100 +a(g353 +V` +tp4101 +a(g212 +V( +tp4102 +a(g100 +VINTEGER +p4103 +tp4104 +a(g6 +V +tp4105 +a(g328 +V0 +tp4106 +a(g6 +V +tp4107 +a(g212 +V( +tp4108 +a(g353 +V, +tp4109 +a(g100 +VARRAY-RANK-LIMIT +p4110 +tp4111 +a(g212 +V) +tp4112 +a(g212 +V) +tp4113 +a(g212 +V) +tp4114 +a(g6 +V\u000a +p4115 +tp4116 +a(g212 +V( +tp4117 +a(g84 +Verror +p4118 +tp4119 +a(g6 +V +tp4120 +a(g212 +V( +tp4121 +a(g100 +VTEXT +p4122 +tp4123 +a(g6 +V +tp4124 +a(g236 +V"~S: rank ~S is invalid" +p4125 +tp4126 +a(g212 +V) +tp4127 +a(g6 +V +tp4128 +a(g258 +V',type +p4129 +tp4130 +a(g6 +V +tp4131 +a(g353 +V, +tp4132 +a(g100 +Vrank +p4133 +tp4134 +a(g212 +V) +tp4135 +a(g212 +V) +tp4136 +a(g212 +V) +tp4137 +a(g6 +V\u000a\u000a +p4138 +tp4139 +a(g31 +V; CLtL1 p. 46-50 +p4140 +tp4141 +a(g6 +V\u000a +tp4142 +a(g212 +V( +tp4143 +a(g84 +Vdefun +p4144 +tp4145 +a(g6 +V +tp4146 +a(g100 +Vc-typep-array +p4147 +tp4148 +a(g6 +V +tp4149 +a(g212 +V( +tp4150 +a(g100 +Vtester +p4151 +tp4152 +a(g6 +V +tp4153 +a(g100 +Vel-type +p4154 +tp4155 +a(g6 +V +tp4156 +a(g100 +Vdims +p4157 +tp4158 +a(g6 +V +tp4159 +a(g100 +Vx +tp4160 +a(g212 +V) +tp4161 +a(g6 +V\u000a +p4162 +tp4163 +a(g353 +V` +tp4164 +a(g212 +V( +tp4165 +a(g100 +VAND +p4166 +tp4167 +a(g6 +V +tp4168 +a(g212 +V( +tp4169 +a(g353 +V, +tp4170 +a(g100 +Vtester +p4171 +tp4172 +a(g6 +V +tp4173 +a(g353 +V, +tp4174 +a(g100 +Vx +tp4175 +a(g212 +V) +tp4176 +a(g6 +V\u000a +p4177 +tp4178 +a(g353 +V,@ +p4179 +tp4180 +a(g212 +V( +tp4181 +a(g138 +Vif +p4182 +tp4183 +a(g6 +V +tp4184 +a(g212 +V( +tp4185 +a(g84 +Veq +p4186 +tp4187 +a(g6 +V +tp4188 +a(g100 +Vel-type +p4189 +tp4190 +a(g6 +V +tp4191 +a(g258 +V'* +p4192 +tp4193 +a(g212 +V) +tp4194 +a(g6 +V\u000a +p4195 +tp4196 +a(g353 +V' +tp4197 +a(g212 +V( +tp4198 +a(g212 +V) +tp4199 +a(g6 +V\u000a +p4200 +tp4201 +a(g353 +V` +tp4202 +a(g212 +V( +tp4203 +a(g212 +V( +tp4204 +a(g100 +VEQUAL +p4205 +tp4206 +a(g6 +V +tp4207 +a(g212 +V( +tp4208 +a(g100 +VARRAY-ELEMENT-TYPE +p4209 +tp4210 +a(g6 +V +tp4211 +a(g353 +V, +tp4212 +a(g100 +Vx +tp4213 +a(g212 +V) +tp4214 +a(g6 +V +tp4215 +a(g258 +V', +p4216 +tp4217 +a(g212 +V( +tp4218 +a(g84 +Vupgraded-array-element-type +p4219 +tp4220 +a(g6 +V +tp4221 +a(g100 +Vel-type +p4222 +tp4223 +a(g212 +V) +tp4224 +a(g212 +V) +tp4225 +a(g212 +V) +tp4226 +a(g6 +V\u000a +p4227 +tp4228 +a(g212 +V) +tp4229 +a(g6 +V\u000a +p4230 +tp4231 +a(g353 +V,@ +p4232 +tp4233 +a(g212 +V( +tp4234 +a(g138 +Vif +p4235 +tp4236 +a(g6 +V +tp4237 +a(g212 +V( +tp4238 +a(g84 +Veq +p4239 +tp4240 +a(g6 +V +tp4241 +a(g100 +Vdims +p4242 +tp4243 +a(g6 +V +tp4244 +a(g258 +V'* +p4245 +tp4246 +a(g212 +V) +tp4247 +a(g6 +V\u000a +p4248 +tp4249 +a(g353 +V' +tp4250 +a(g212 +V( +tp4251 +a(g212 +V) +tp4252 +a(g6 +V\u000a +p4253 +tp4254 +a(g212 +V( +tp4255 +a(g138 +Vif +p4256 +tp4257 +a(g6 +V +tp4258 +a(g212 +V( +tp4259 +a(g84 +Vnumberp +p4260 +tp4261 +a(g6 +V +tp4262 +a(g100 +Vdims +p4263 +tp4264 +a(g212 +V) +tp4265 +a(g6 +V\u000a +p4266 +tp4267 +a(g353 +V` +tp4268 +a(g212 +V( +tp4269 +a(g212 +V( +tp4270 +a(g100 +VEQL +p4271 +tp4272 +a(g6 +V +tp4273 +a(g353 +V, +tp4274 +a(g100 +Vdims +p4275 +tp4276 +a(g6 +V +tp4277 +a(g212 +V( +tp4278 +a(g100 +VARRAY-RANK +p4279 +tp4280 +a(g6 +V +tp4281 +a(g353 +V, +tp4282 +a(g100 +Vx +tp4283 +a(g212 +V) +tp4284 +a(g212 +V) +tp4285 +a(g212 +V) +tp4286 +a(g6 +V\u000a +p4287 +tp4288 +a(g353 +V` +tp4289 +a(g212 +V( +tp4290 +a(g212 +V( +tp4291 +a(g100 +VEQL +p4292 +tp4293 +a(g6 +V +tp4294 +a(g353 +V, +tp4295 +a(g212 +V( +tp4296 +a(g84 +Vlength +p4297 +tp4298 +a(g6 +V +tp4299 +a(g100 +Vdims +p4300 +tp4301 +a(g212 +V) +tp4302 +a(g6 +V +tp4303 +a(g212 +V( +tp4304 +a(g100 +VARRAY-RANK +p4305 +tp4306 +a(g6 +V +tp4307 +a(g353 +V, +tp4308 +a(g100 +Vx +tp4309 +a(g212 +V) +tp4310 +a(g212 +V) +tp4311 +a(g6 +V\u000a +p4312 +tp4313 +a(g353 +V,@ +p4314 +tp4315 +a(g212 +V( +tp4316 +a(g138 +Vlet +p4317 +tp4318 +a(g6 +V +tp4319 +a(g212 +V( +tp4320 +a(g212 +V( +tp4321 +a(g100 +Vi +tp4322 +a(g6 +V +tp4323 +a(g328 +V0 +tp4324 +a(g212 +V) +tp4325 +a(g212 +V) +tp4326 +a(g6 +V\u000a +p4327 +tp4328 +a(g212 +V( +tp4329 +a(g100 +Vmapcap +p4330 +tp4331 +a(g6 +V +tp4332 +a(g48 +V#' +p4333 +tp4334 +a(g212 +V( +tp4335 +a(g138 +Vlambda +p4336 +tp4337 +a(g6 +V +tp4338 +a(g212 +V( +tp4339 +a(g100 +Vdim +p4340 +tp4341 +a(g212 +V) +tp4342 +a(g6 +V\u000a +p4343 +tp4344 +a(g212 +V( +tp4345 +a(g84 +Vprog1 +p4346 +tp4347 +a(g6 +V\u000a +p4348 +tp4349 +a(g212 +V( +tp4350 +a(g138 +Vif +p4351 +tp4352 +a(g6 +V +tp4353 +a(g212 +V( +tp4354 +a(g84 +Veq +p4355 +tp4356 +a(g6 +V +tp4357 +a(g100 +Vdim +p4358 +tp4359 +a(g6 +V +tp4360 +a(g258 +V'* +p4361 +tp4362 +a(g212 +V) +tp4363 +a(g6 +V\u000a +p4364 +tp4365 +a(g353 +V' +tp4366 +a(g212 +V( +tp4367 +a(g212 +V) +tp4368 +a(g6 +V\u000a +p4369 +tp4370 +a(g353 +V` +tp4371 +a(g212 +V( +tp4372 +a(g212 +V( +tp4373 +a(g100 +VEQL +p4374 +tp4375 +a(g6 +V +tp4376 +a(g258 +V',dim +p4377 +tp4378 +a(g6 +V +tp4379 +a(g212 +V( +tp4380 +a(g100 +VARRAY-DIMENSION +p4381 +tp4382 +a(g6 +V +tp4383 +a(g353 +V, +tp4384 +a(g100 +Vx +tp4385 +a(g6 +V +tp4386 +a(g353 +V, +tp4387 +a(g100 +Vi +tp4388 +a(g212 +V) +tp4389 +a(g212 +V) +tp4390 +a(g212 +V) +tp4391 +a(g6 +V\u000a +p4392 +tp4393 +a(g212 +V) +tp4394 +a(g6 +V\u000a +p4395 +tp4396 +a(g212 +V( +tp4397 +a(g84 +Vincf +p4398 +tp4399 +a(g6 +V +tp4400 +a(g100 +Vi +tp4401 +a(g212 +V) +tp4402 +a(g6 +V\u000a +p4403 +tp4404 +a(g212 +V) +tp4405 +a(g6 +V +tp4406 +a(g212 +V) +tp4407 +a(g6 +V\u000a +p4408 +tp4409 +a(g100 +Vdims +p4410 +tp4411 +a(g6 +V\u000a +p4412 +tp4413 +a(g212 +V) +tp4414 +a(g6 +V +tp4415 +a(g212 +V) +tp4416 +a(g6 +V\u000a +p4417 +tp4418 +a(g212 +V) +tp4419 +a(g6 +V\u000a +p4420 +tp4421 +a(g212 +V) +tp4422 +a(g6 +V +tp4423 +a(g212 +V) +tp4424 +a(g6 +V\u000a +p4425 +tp4426 +a(g212 +V) +tp4427 +a(g6 +V\u000a +tp4428 +a(g212 +V) +tp4429 +a(g6 +V\u000a +tp4430 +a(g212 +V( +tp4431 +a(g84 +Vdefun +p4432 +tp4433 +a(g6 +V +tp4434 +a(g100 +Vc-typep-vector +p4435 +tp4436 +a(g6 +V +tp4437 +a(g212 +V( +tp4438 +a(g100 +Vtester +p4439 +tp4440 +a(g6 +V +tp4441 +a(g100 +Vsize +p4442 +tp4443 +a(g6 +V +tp4444 +a(g100 +Vx +tp4445 +a(g212 +V) +tp4446 +a(g6 +V\u000a +p4447 +tp4448 +a(g353 +V` +tp4449 +a(g212 +V( +tp4450 +a(g100 +VAND +p4451 +tp4452 +a(g6 +V +tp4453 +a(g212 +V( +tp4454 +a(g353 +V, +tp4455 +a(g100 +Vtester +p4456 +tp4457 +a(g6 +V +tp4458 +a(g353 +V, +tp4459 +a(g100 +Vx +tp4460 +a(g212 +V) +tp4461 +a(g6 +V\u000a +p4462 +tp4463 +a(g353 +V,@ +p4464 +tp4465 +a(g212 +V( +tp4466 +a(g138 +Vif +p4467 +tp4468 +a(g6 +V +tp4469 +a(g212 +V( +tp4470 +a(g84 +Veq +p4471 +tp4472 +a(g6 +V +tp4473 +a(g100 +Vsize +p4474 +tp4475 +a(g6 +V +tp4476 +a(g258 +V'* +p4477 +tp4478 +a(g212 +V) +tp4479 +a(g6 +V\u000a +p4480 +tp4481 +a(g353 +V' +tp4482 +a(g212 +V( +tp4483 +a(g212 +V) +tp4484 +a(g6 +V\u000a +p4485 +tp4486 +a(g353 +V` +tp4487 +a(g212 +V( +tp4488 +a(g212 +V( +tp4489 +a(g100 +VEQL +p4490 +tp4491 +a(g6 +V +tp4492 +a(g258 +V',size +p4493 +tp4494 +a(g6 +V +tp4495 +a(g212 +V( +tp4496 +a(g100 +VARRAY-DIMENSION +p4497 +tp4498 +a(g6 +V +tp4499 +a(g353 +V, +tp4500 +a(g100 +Vx +tp4501 +a(g6 +V +tp4502 +a(g328 +V0 +tp4503 +a(g212 +V) +tp4504 +a(g212 +V) +tp4505 +a(g212 +V) +tp4506 +a(g6 +V\u000a +p4507 +tp4508 +a(g212 +V) +tp4509 +a(g6 +V\u000a +p4510 +tp4511 +a(g212 +V) +tp4512 +a(g6 +V\u000a +tp4513 +a(g212 +V) +tp4514 +a(g6 +V\u000a +tp4515 +a(g212 +V( +tp4516 +a(g84 +Vdefun +p4517 +tp4518 +a(g6 +V +tp4519 +a(g100 +Vtypep-number-test +p4520 +tp4521 +a(g6 +V +tp4522 +a(g212 +V( +tp4523 +a(g100 +Vx +tp4524 +a(g6 +V +tp4525 +a(g100 +Vlow +p4526 +tp4527 +a(g6 +V +tp4528 +a(g100 +Vhigh +p4529 +tp4530 +a(g6 +V +tp4531 +a(g100 +Vtest +p4532 +tp4533 +a(g6 +V +tp4534 +a(g138 +Vtype +p4535 +tp4536 +a(g212 +V) +tp4537 +a(g6 +V\u000a +p4538 +tp4539 +a(g212 +V( +tp4540 +a(g84 +Vand +p4541 +tp4542 +a(g6 +V +tp4543 +a(g212 +V( +tp4544 +a(g84 +Vfuncall +p4545 +tp4546 +a(g6 +V +tp4547 +a(g100 +Vtest +p4548 +tp4549 +a(g6 +V +tp4550 +a(g100 +Vx +tp4551 +a(g212 +V) +tp4552 +a(g6 +V\u000a +p4553 +tp4554 +a(g212 +V( +tp4555 +a(g84 +Vcond +p4556 +tp4557 +a(g6 +V +tp4558 +a(g212 +V( +tp4559 +a(g212 +V( +tp4560 +a(g84 +Veq +p4561 +tp4562 +a(g6 +V +tp4563 +a(g100 +Vlow +p4564 +tp4565 +a(g6 +V +tp4566 +a(g258 +V'* +p4567 +tp4568 +a(g212 +V) +tp4569 +a(g212 +V) +tp4570 +a(g6 +V\u000a +p4571 +tp4572 +a(g212 +V( +tp4573 +a(g212 +V( +tp4574 +a(g84 +Vfuncall +p4575 +tp4576 +a(g6 +V +tp4577 +a(g100 +Vtest +p4578 +tp4579 +a(g6 +V +tp4580 +a(g100 +Vlow +p4581 +tp4582 +a(g212 +V) +tp4583 +a(g6 +V +tp4584 +a(g212 +V( +tp4585 +a(g84 +V<= +p4586 +tp4587 +a(g6 +V +tp4588 +a(g100 +Vlow +p4589 +tp4590 +a(g6 +V +tp4591 +a(g100 +Vx +tp4592 +a(g212 +V) +tp4593 +a(g212 +V) +tp4594 +a(g6 +V\u000a +p4595 +tp4596 +a(g212 +V( +tp4597 +a(g212 +V( +tp4598 +a(g84 +Vand +p4599 +tp4600 +a(g6 +V +tp4601 +a(g212 +V( +tp4602 +a(g84 +Vconsp +p4603 +tp4604 +a(g6 +V +tp4605 +a(g100 +Vlow +p4606 +tp4607 +a(g212 +V) +tp4608 +a(g6 +V +tp4609 +a(g212 +V( +tp4610 +a(g84 +Vnull +p4611 +tp4612 +a(g6 +V +tp4613 +a(g212 +V( +tp4614 +a(g84 +Vrest +p4615 +tp4616 +a(g6 +V +tp4617 +a(g100 +Vlow +p4618 +tp4619 +a(g212 +V) +tp4620 +a(g212 +V) +tp4621 +a(g6 +V +tp4622 +a(g212 +V( +tp4623 +a(g84 +Vfuncall +p4624 +tp4625 +a(g6 +V +tp4626 +a(g100 +Vtest +p4627 +tp4628 +a(g6 +V +tp4629 +a(g212 +V( +tp4630 +a(g84 +Vfirst +p4631 +tp4632 +a(g6 +V +tp4633 +a(g100 +Vlow +p4634 +tp4635 +a(g212 +V) +tp4636 +a(g212 +V) +tp4637 +a(g212 +V) +tp4638 +a(g6 +V\u000a +p4639 +tp4640 +a(g212 +V( +tp4641 +a(g84 +V< +tp4642 +a(g6 +V +tp4643 +a(g212 +V( +tp4644 +a(g84 +Vfirst +p4645 +tp4646 +a(g6 +V +tp4647 +a(g100 +Vlow +p4648 +tp4649 +a(g212 +V) +tp4650 +a(g6 +V +tp4651 +a(g100 +Vx +tp4652 +a(g212 +V) +tp4653 +a(g6 +V\u000a +p4654 +tp4655 +a(g212 +V) +tp4656 +a(g6 +V\u000a +p4657 +tp4658 +a(g212 +V( +tp4659 +a(g60 +Vt +tp4660 +a(g6 +V +tp4661 +a(g212 +V( +tp4662 +a(g100 +Verror-of-type +p4663 +tp4664 +a(g6 +V +tp4665 +a(g258 +V'error +p4666 +tp4667 +a(g6 +V\u000a +p4668 +tp4669 +a(g353 +V#1= +p4670 +tp4671 +a(g212 +V( +tp4672 +a(g100 +VTEXT +p4673 +tp4674 +a(g6 +V +tp4675 +a(g236 +V"~S: argument to ~S must be *, ~S or a list of ~S: ~S" +p4676 +tp4677 +a(g212 +V) +tp4678 +a(g6 +V\u000a +p4679 +tp4680 +a(g258 +V'typep +p4681 +tp4682 +a(g6 +V +tp4683 +a(g138 +Vtype +p4684 +tp4685 +a(g6 +V +tp4686 +a(g138 +Vtype +p4687 +tp4688 +a(g6 +V +tp4689 +a(g138 +Vtype +p4690 +tp4691 +a(g6 +V +tp4692 +a(g100 +Vlow +p4693 +tp4694 +a(g6 +V\u000a +p4695 +tp4696 +a(g212 +V) +tp4697 +a(g6 +V +p4698 +tp4699 +a(g212 +V) +tp4700 +a(g6 +V +p4701 +tp4702 +a(g212 +V) +tp4703 +a(g6 +V\u000a +p4704 +tp4705 +a(g212 +V( +tp4706 +a(g84 +Vcond +p4707 +tp4708 +a(g6 +V +tp4709 +a(g212 +V( +tp4710 +a(g212 +V( +tp4711 +a(g84 +Veq +p4712 +tp4713 +a(g6 +V +tp4714 +a(g100 +Vhigh +p4715 +tp4716 +a(g6 +V +tp4717 +a(g258 +V'* +p4718 +tp4719 +a(g212 +V) +tp4720 +a(g212 +V) +tp4721 +a(g6 +V\u000a +p4722 +tp4723 +a(g212 +V( +tp4724 +a(g212 +V( +tp4725 +a(g84 +Vfuncall +p4726 +tp4727 +a(g6 +V +tp4728 +a(g100 +Vtest +p4729 +tp4730 +a(g6 +V +tp4731 +a(g100 +Vhigh +p4732 +tp4733 +a(g212 +V) +tp4734 +a(g6 +V +tp4735 +a(g212 +V( +tp4736 +a(g84 +V>= +p4737 +tp4738 +a(g6 +V +tp4739 +a(g100 +Vhigh +p4740 +tp4741 +a(g6 +V +tp4742 +a(g100 +Vx +tp4743 +a(g212 +V) +tp4744 +a(g212 +V) +tp4745 +a(g6 +V\u000a +p4746 +tp4747 +a(g212 +V( +tp4748 +a(g212 +V( +tp4749 +a(g84 +Vand +p4750 +tp4751 +a(g6 +V +tp4752 +a(g212 +V( +tp4753 +a(g84 +Vconsp +p4754 +tp4755 +a(g6 +V +tp4756 +a(g100 +Vhigh +p4757 +tp4758 +a(g212 +V) +tp4759 +a(g6 +V +tp4760 +a(g212 +V( +tp4761 +a(g84 +Vnull +p4762 +tp4763 +a(g6 +V +tp4764 +a(g212 +V( +tp4765 +a(g84 +Vrest +p4766 +tp4767 +a(g6 +V +tp4768 +a(g100 +Vhigh +p4769 +tp4770 +a(g212 +V) +tp4771 +a(g212 +V) +tp4772 +a(g6 +V +tp4773 +a(g212 +V( +tp4774 +a(g84 +Vfuncall +p4775 +tp4776 +a(g6 +V +tp4777 +a(g100 +Vtest +p4778 +tp4779 +a(g6 +V +tp4780 +a(g212 +V( +tp4781 +a(g84 +Vfirst +p4782 +tp4783 +a(g6 +V +tp4784 +a(g100 +Vhigh +p4785 +tp4786 +a(g212 +V) +tp4787 +a(g212 +V) +tp4788 +a(g212 +V) +tp4789 +a(g6 +V\u000a +p4790 +tp4791 +a(g212 +V( +tp4792 +a(g84 +V> +tp4793 +a(g6 +V +tp4794 +a(g212 +V( +tp4795 +a(g84 +Vfirst +p4796 +tp4797 +a(g6 +V +tp4798 +a(g100 +Vhigh +p4799 +tp4800 +a(g212 +V) +tp4801 +a(g6 +V +tp4802 +a(g100 +Vx +tp4803 +a(g212 +V) +tp4804 +a(g6 +V\u000a +p4805 +tp4806 +a(g212 +V) +tp4807 +a(g6 +V\u000a +p4808 +tp4809 +a(g212 +V( +tp4810 +a(g60 +Vt +tp4811 +a(g6 +V +tp4812 +a(g212 +V( +tp4813 +a(g100 +Verror-of-type +p4814 +tp4815 +a(g6 +V +tp4816 +a(g258 +V'error +p4817 +tp4818 +a(g6 +V\u000a +p4819 +tp4820 +a(g353 +V#1# +p4821 +tp4822 +a(g6 +V +tp4823 +a(g258 +V'typep +p4824 +tp4825 +a(g6 +V +tp4826 +a(g138 +Vtype +p4827 +tp4828 +a(g6 +V +tp4829 +a(g138 +Vtype +p4830 +tp4831 +a(g6 +V +tp4832 +a(g138 +Vtype +p4833 +tp4834 +a(g6 +V +tp4835 +a(g100 +Vhigh +p4836 +tp4837 +a(g6 +V\u000a +tp4838 +a(g212 +V) +tp4839 +a(g6 +V +tp4840 +a(g212 +V) +tp4841 +a(g6 +V +p4842 +tp4843 +a(g212 +V) +tp4844 +a(g6 +V +p4845 +tp4846 +a(g212 +V) +tp4847 +a(g6 +V +p4848 +tp4849 +a(g212 +V) +tp4850 +a(g6 +V\u000a +tp4851 +a(g212 +V( +tp4852 +a(g84 +Vdefun +p4853 +tp4854 +a(g6 +V +tp4855 +a(g100 +Vc-typep-number +p4856 +tp4857 +a(g6 +V +tp4858 +a(g212 +V( +tp4859 +a(g100 +Vcaller +p4860 +tp4861 +a(g6 +V +tp4862 +a(g100 +Vtester +p4863 +tp4864 +a(g6 +V +tp4865 +a(g100 +Vlow +p4866 +tp4867 +a(g6 +V +tp4868 +a(g100 +Vhigh +p4869 +tp4870 +a(g6 +V +tp4871 +a(g100 +Vx +tp4872 +a(g212 +V) +tp4873 +a(g6 +V\u000a +p4874 +tp4875 +a(g353 +V` +tp4876 +a(g212 +V( +tp4877 +a(g100 +VAND +p4878 +tp4879 +a(g6 +V +tp4880 +a(g212 +V( +tp4881 +a(g353 +V, +tp4882 +a(g100 +Vtester +p4883 +tp4884 +a(g6 +V +tp4885 +a(g353 +V, +tp4886 +a(g100 +Vx +tp4887 +a(g212 +V) +tp4888 +a(g6 +V\u000a +p4889 +tp4890 +a(g353 +V,@ +p4891 +tp4892 +a(g212 +V( +tp4893 +a(g84 +Vcond +p4894 +tp4895 +a(g6 +V +tp4896 +a(g212 +V( +tp4897 +a(g212 +V( +tp4898 +a(g84 +Veq +p4899 +tp4900 +a(g6 +V +tp4901 +a(g100 +Vlow +p4902 +tp4903 +a(g6 +V +tp4904 +a(g258 +V'* +p4905 +tp4906 +a(g212 +V) +tp4907 +a(g6 +V +tp4908 +a(g353 +V' +tp4909 +a(g212 +V( +tp4910 +a(g212 +V) +tp4911 +a(g212 +V) +tp4912 +a(g6 +V\u000a +p4913 +tp4914 +a(g212 +V( +tp4915 +a(g212 +V( +tp4916 +a(g84 +Vfuncall +p4917 +tp4918 +a(g6 +V +tp4919 +a(g100 +Vtester +p4920 +tp4921 +a(g6 +V +tp4922 +a(g100 +Vlow +p4923 +tp4924 +a(g212 +V) +tp4925 +a(g6 +V +tp4926 +a(g353 +V` +tp4927 +a(g212 +V( +tp4928 +a(g212 +V( +tp4929 +a(g84 +V<= +p4930 +tp4931 +a(g6 +V +tp4932 +a(g353 +V, +tp4933 +a(g100 +Vlow +p4934 +tp4935 +a(g6 +V +tp4936 +a(g353 +V, +tp4937 +a(g100 +Vx +tp4938 +a(g212 +V) +tp4939 +a(g212 +V) +tp4940 +a(g212 +V) +tp4941 +a(g6 +V\u000a +p4942 +tp4943 +a(g212 +V( +tp4944 +a(g212 +V( +tp4945 +a(g84 +Vand +p4946 +tp4947 +a(g6 +V +tp4948 +a(g212 +V( +tp4949 +a(g84 +Vconsp +p4950 +tp4951 +a(g6 +V +tp4952 +a(g100 +Vlow +p4953 +tp4954 +a(g212 +V) +tp4955 +a(g6 +V +tp4956 +a(g212 +V( +tp4957 +a(g84 +Vnull +p4958 +tp4959 +a(g6 +V +tp4960 +a(g212 +V( +tp4961 +a(g84 +Vrest +p4962 +tp4963 +a(g6 +V +tp4964 +a(g100 +Vlow +p4965 +tp4966 +a(g212 +V) +tp4967 +a(g212 +V) +tp4968 +a(g6 +V +tp4969 +a(g212 +V( +tp4970 +a(g84 +Vfuncall +p4971 +tp4972 +a(g6 +V +tp4973 +a(g100 +Vtester +p4974 +tp4975 +a(g6 +V +tp4976 +a(g212 +V( +tp4977 +a(g84 +Vfirst +p4978 +tp4979 +a(g6 +V +tp4980 +a(g100 +Vlow +p4981 +tp4982 +a(g212 +V) +tp4983 +a(g212 +V) +tp4984 +a(g212 +V) +tp4985 +a(g6 +V\u000a +p4986 +tp4987 +a(g353 +V` +tp4988 +a(g212 +V( +tp4989 +a(g212 +V( +tp4990 +a(g84 +V< +tp4991 +a(g6 +V +tp4992 +a(g353 +V, +tp4993 +a(g212 +V( +tp4994 +a(g84 +Vfirst +p4995 +tp4996 +a(g6 +V +tp4997 +a(g100 +Vlow +p4998 +tp4999 +a(g212 +V) +tp5000 +a(g6 +V +tp5001 +a(g353 +V, +tp5002 +a(g100 +Vx +tp5003 +a(g212 +V) +tp5004 +a(g212 +V) +tp5005 +a(g6 +V\u000a +p5006 +tp5007 +a(g212 +V) +tp5008 +a(g6 +V\u000a +p5009 +tp5010 +a(g212 +V( +tp5011 +a(g60 +Vt +tp5012 +a(g6 +V +tp5013 +a(g212 +V( +tp5014 +a(g100 +Vc-warn +p5015 +tp5016 +a(g6 +V +tp5017 +a(g353 +V#1= +p5018 +tp5019 +a(g212 +V( +tp5020 +a(g100 +VTEXT +p5021 +tp5022 +a(g6 +V +tp5023 +a(g236 +V"~S: argument to ~S must be *, ~S or a list of ~S: ~S" +p5024 +tp5025 +a(g212 +V) +tp5026 +a(g6 +V\u000a +p5027 +tp5028 +a(g258 +V'typep +p5029 +tp5030 +a(g6 +V +tp5031 +a(g100 +Vcaller +p5032 +tp5033 +a(g6 +V +tp5034 +a(g100 +Vcaller +p5035 +tp5036 +a(g6 +V +tp5037 +a(g100 +Vcaller +p5038 +tp5039 +a(g6 +V +tp5040 +a(g100 +Vlow +p5041 +tp5042 +a(g6 +V\u000a +p5043 +tp5044 +a(g212 +V) +tp5045 +a(g6 +V\u000a +p5046 +tp5047 +a(g212 +V( +tp5048 +a(g138 +Vthrow +p5049 +tp5050 +a(g6 +V +tp5051 +a(g258 +V'c-TYPEP +p5052 +tp5053 +a(g6 +V +tp5054 +a(g60 +Vnil +p5055 +tp5056 +a(g212 +V) +tp5057 +a(g6 +V\u000a +p5058 +tp5059 +a(g212 +V) +tp5060 +a(g6 +V +p5061 +tp5062 +a(g212 +V) +tp5063 +a(g6 +V\u000a +p5064 +tp5065 +a(g353 +V,@ +p5066 +tp5067 +a(g212 +V( +tp5068 +a(g84 +Vcond +p5069 +tp5070 +a(g6 +V +tp5071 +a(g212 +V( +tp5072 +a(g212 +V( +tp5073 +a(g84 +Veq +p5074 +tp5075 +a(g6 +V +tp5076 +a(g100 +Vhigh +p5077 +tp5078 +a(g6 +V +tp5079 +a(g258 +V'* +p5080 +tp5081 +a(g212 +V) +tp5082 +a(g6 +V +tp5083 +a(g353 +V' +tp5084 +a(g212 +V( +tp5085 +a(g212 +V) +tp5086 +a(g212 +V) +tp5087 +a(g6 +V\u000a +p5088 +tp5089 +a(g212 +V( +tp5090 +a(g212 +V( +tp5091 +a(g84 +Vfuncall +p5092 +tp5093 +a(g6 +V +tp5094 +a(g100 +Vtester +p5095 +tp5096 +a(g6 +V +tp5097 +a(g100 +Vhigh +p5098 +tp5099 +a(g212 +V) +tp5100 +a(g6 +V +tp5101 +a(g353 +V` +tp5102 +a(g212 +V( +tp5103 +a(g212 +V( +tp5104 +a(g84 +V>= +p5105 +tp5106 +a(g6 +V +tp5107 +a(g353 +V, +tp5108 +a(g100 +Vhigh +p5109 +tp5110 +a(g6 +V +tp5111 +a(g353 +V, +tp5112 +a(g100 +Vx +tp5113 +a(g212 +V) +tp5114 +a(g212 +V) +tp5115 +a(g212 +V) +tp5116 +a(g6 +V\u000a +p5117 +tp5118 +a(g212 +V( +tp5119 +a(g212 +V( +tp5120 +a(g84 +Vand +p5121 +tp5122 +a(g6 +V +tp5123 +a(g212 +V( +tp5124 +a(g84 +Vconsp +p5125 +tp5126 +a(g6 +V +tp5127 +a(g100 +Vhigh +p5128 +tp5129 +a(g212 +V) +tp5130 +a(g6 +V +tp5131 +a(g212 +V( +tp5132 +a(g84 +Vnull +p5133 +tp5134 +a(g6 +V +tp5135 +a(g212 +V( +tp5136 +a(g84 +Vrest +p5137 +tp5138 +a(g6 +V +tp5139 +a(g100 +Vhigh +p5140 +tp5141 +a(g212 +V) +tp5142 +a(g212 +V) +tp5143 +a(g6 +V +tp5144 +a(g212 +V( +tp5145 +a(g84 +Vfuncall +p5146 +tp5147 +a(g6 +V +tp5148 +a(g100 +Vtester +p5149 +tp5150 +a(g6 +V +tp5151 +a(g212 +V( +tp5152 +a(g84 +Vfirst +p5153 +tp5154 +a(g6 +V +tp5155 +a(g100 +Vhigh +p5156 +tp5157 +a(g212 +V) +tp5158 +a(g212 +V) +tp5159 +a(g212 +V) +tp5160 +a(g6 +V\u000a +p5161 +tp5162 +a(g353 +V` +tp5163 +a(g212 +V( +tp5164 +a(g212 +V( +tp5165 +a(g84 +V> +tp5166 +a(g6 +V +tp5167 +a(g353 +V, +tp5168 +a(g212 +V( +tp5169 +a(g84 +Vfirst +p5170 +tp5171 +a(g6 +V +tp5172 +a(g100 +Vhigh +p5173 +tp5174 +a(g212 +V) +tp5175 +a(g6 +V +tp5176 +a(g353 +V, +tp5177 +a(g100 +Vx +tp5178 +a(g212 +V) +tp5179 +a(g212 +V) +tp5180 +a(g6 +V\u000a +p5181 +tp5182 +a(g212 +V) +tp5183 +a(g6 +V\u000a +p5184 +tp5185 +a(g212 +V( +tp5186 +a(g60 +Vt +tp5187 +a(g6 +V +tp5188 +a(g212 +V( +tp5189 +a(g100 +Vc-warn +p5190 +tp5191 +a(g6 +V +tp5192 +a(g353 +V#1# +p5193 +tp5194 +a(g6 +V +tp5195 +a(g258 +V'typep +p5196 +tp5197 +a(g6 +V +tp5198 +a(g100 +Vcaller +p5199 +tp5200 +a(g6 +V +tp5201 +a(g100 +Vcaller +p5202 +tp5203 +a(g6 +V +tp5204 +a(g100 +Vcaller +p5205 +tp5206 +a(g6 +V +tp5207 +a(g100 +Vhigh +p5208 +tp5209 +a(g212 +V) +tp5210 +a(g6 +V\u000a +p5211 +tp5212 +a(g212 +V( +tp5213 +a(g138 +Vthrow +p5214 +tp5215 +a(g6 +V +tp5216 +a(g258 +V'c-TYPEP +p5217 +tp5218 +a(g6 +V +tp5219 +a(g60 +Vnil +p5220 +tp5221 +a(g212 +V) +tp5222 +a(g6 +V\u000a +p5223 +tp5224 +a(g212 +V) +tp5225 +a(g6 +V +p5226 +tp5227 +a(g212 +V) +tp5228 +a(g6 +V\u000a +p5229 +tp5230 +a(g212 +V) +tp5231 +a(g6 +V\u000a +tp5232 +a(g212 +V) +tp5233 +a(g6 +V\u000a +tp5234 +a(g212 +V( +tp5235 +a(g100 +Vdef-compound-type +p5236 +tp5237 +a(g6 +V +tp5238 +a(g100 +VARRAY +p5239 +tp5240 +a(g6 +V +tp5241 +a(g212 +V( +tp5242 +a(g138 +V&optional +p5243 +tp5244 +a(g6 +V +tp5245 +a(g212 +V( +tp5246 +a(g100 +Vel-type +p5247 +tp5248 +a(g6 +V +tp5249 +a(g258 +V'* +p5250 +tp5251 +a(g212 +V) +tp5252 +a(g6 +V +tp5253 +a(g212 +V( +tp5254 +a(g100 +Vdims +p5255 +tp5256 +a(g6 +V +tp5257 +a(g258 +V'* +p5258 +tp5259 +a(g212 +V) +tp5260 +a(g212 +V) +tp5261 +a(g6 +V +tp5262 +a(g212 +V( +tp5263 +a(g100 +Vx +tp5264 +a(g212 +V) +tp5265 +a(g6 +V\u000a +p5266 +tp5267 +a(g212 +V( +tp5268 +a(g84 +Vunless +p5269 +tp5270 +a(g6 +V +tp5271 +a(g212 +V( +tp5272 +a(g84 +Veq +p5273 +tp5274 +a(g6 +V +tp5275 +a(g100 +Vdims +p5276 +tp5277 +a(g6 +V +tp5278 +a(g258 +V'* +p5279 +tp5280 +a(g212 +V) +tp5281 +a(g6 +V\u000a +p5282 +tp5283 +a(g212 +V( +tp5284 +a(g138 +Vif +p5285 +tp5286 +a(g6 +V +tp5287 +a(g212 +V( +tp5288 +a(g84 +Vnumberp +p5289 +tp5290 +a(g6 +V +tp5291 +a(g100 +Vdims +p5292 +tp5293 +a(g212 +V) +tp5294 +a(g6 +V\u000a +p5295 +tp5296 +a(g212 +V( +tp5297 +a(g100 +Vensure-rank +p5298 +tp5299 +a(g6 +V +tp5300 +a(g100 +VARRAY +p5301 +tp5302 +a(g6 +V +tp5303 +a(g100 +Vdims +p5304 +tp5305 +a(g212 +V) +tp5306 +a(g6 +V\u000a +p5307 +tp5308 +a(g212 +V( +tp5309 +a(g84 +Vdolist +p5310 +tp5311 +a(g6 +V +tp5312 +a(g212 +V( +tp5313 +a(g100 +Vdim +p5314 +tp5315 +a(g6 +V +tp5316 +a(g100 +Vdims +p5317 +tp5318 +a(g212 +V) +tp5319 +a(g6 +V +tp5320 +a(g212 +V( +tp5321 +a(g100 +Vensure-dim +p5322 +tp5323 +a(g6 +V +tp5324 +a(g100 +VARRAY +p5325 +tp5326 +a(g6 +V +tp5327 +a(g100 +Vdim +p5328 +tp5329 +a(g212 +V) +tp5330 +a(g212 +V) +tp5331 +a(g212 +V) +tp5332 +a(g212 +V) +tp5333 +a(g6 +V\u000a +p5334 +tp5335 +a(g212 +V( +tp5336 +a(g84 +Vand +p5337 +tp5338 +a(g6 +V +tp5339 +a(g212 +V( +tp5340 +a(g84 +Varrayp +p5341 +tp5342 +a(g6 +V +tp5343 +a(g100 +Vx +tp5344 +a(g212 +V) +tp5345 +a(g6 +V\u000a +p5346 +tp5347 +a(g212 +V( +tp5348 +a(g84 +Vor +p5349 +tp5350 +a(g6 +V +tp5351 +a(g212 +V( +tp5352 +a(g84 +Veq +p5353 +tp5354 +a(g6 +V +tp5355 +a(g100 +Vel-type +p5356 +tp5357 +a(g6 +V +tp5358 +a(g258 +V'* +p5359 +tp5360 +a(g212 +V) +tp5361 +a(g6 +V\u000a +p5362 +tp5363 +a(g212 +V( +tp5364 +a(g84 +Vequal +p5365 +tp5366 +a(g6 +V +tp5367 +a(g212 +V( +tp5368 +a(g84 +Varray-element-type +p5369 +tp5370 +a(g6 +V +tp5371 +a(g100 +Vx +tp5372 +a(g212 +V) +tp5373 +a(g6 +V +tp5374 +a(g212 +V( +tp5375 +a(g84 +Vupgraded-array-element-type +p5376 +tp5377 +a(g6 +V +tp5378 +a(g100 +Vel-type +p5379 +tp5380 +a(g212 +V) +tp5381 +a(g212 +V) +tp5382 +a(g6 +V\u000a +p5383 +tp5384 +a(g212 +V) +tp5385 +a(g6 +V\u000a +p5386 +tp5387 +a(g212 +V( +tp5388 +a(g84 +Vor +p5389 +tp5390 +a(g6 +V +tp5391 +a(g212 +V( +tp5392 +a(g84 +Veq +p5393 +tp5394 +a(g6 +V +tp5395 +a(g100 +Vdims +p5396 +tp5397 +a(g6 +V +tp5398 +a(g258 +V'* +p5399 +tp5400 +a(g212 +V) +tp5401 +a(g6 +V\u000a +p5402 +tp5403 +a(g212 +V( +tp5404 +a(g138 +Vif +p5405 +tp5406 +a(g6 +V +tp5407 +a(g212 +V( +tp5408 +a(g84 +Vnumberp +p5409 +tp5410 +a(g6 +V +tp5411 +a(g100 +Vdims +p5412 +tp5413 +a(g212 +V) +tp5414 +a(g6 +V\u000a +p5415 +tp5416 +a(g212 +V( +tp5417 +a(g84 +Veql +p5418 +tp5419 +a(g6 +V +tp5420 +a(g100 +Vdims +p5421 +tp5422 +a(g6 +V +tp5423 +a(g212 +V( +tp5424 +a(g84 +Varray-rank +p5425 +tp5426 +a(g6 +V +tp5427 +a(g100 +Vx +tp5428 +a(g212 +V) +tp5429 +a(g212 +V) +tp5430 +a(g6 +V\u000a +p5431 +tp5432 +a(g212 +V( +tp5433 +a(g84 +Vand +p5434 +tp5435 +a(g6 +V +tp5436 +a(g212 +V( +tp5437 +a(g84 +Veql +p5438 +tp5439 +a(g6 +V +tp5440 +a(g212 +V( +tp5441 +a(g84 +Vlength +p5442 +tp5443 +a(g6 +V +tp5444 +a(g100 +Vdims +p5445 +tp5446 +a(g212 +V) +tp5447 +a(g6 +V +tp5448 +a(g212 +V( +tp5449 +a(g84 +Varray-rank +p5450 +tp5451 +a(g6 +V +tp5452 +a(g100 +Vx +tp5453 +a(g212 +V) +tp5454 +a(g212 +V) +tp5455 +a(g6 +V\u000a +p5456 +tp5457 +a(g212 +V( +tp5458 +a(g84 +Vevery +p5459 +tp5460 +a(g6 +V +tp5461 +a(g48 +V#' +p5462 +tp5463 +a(g212 +V( +tp5464 +a(g138 +Vlambda +p5465 +tp5466 +a(g6 +V +tp5467 +a(g212 +V( +tp5468 +a(g100 +Va +tp5469 +a(g6 +V +tp5470 +a(g100 +Vb +tp5471 +a(g212 +V) +tp5472 +a(g6 +V +tp5473 +a(g212 +V( +tp5474 +a(g84 +Vor +p5475 +tp5476 +a(g6 +V +tp5477 +a(g212 +V( +tp5478 +a(g84 +Veq +p5479 +tp5480 +a(g6 +V +tp5481 +a(g100 +Va +tp5482 +a(g6 +V +tp5483 +a(g258 +V'* +p5484 +tp5485 +a(g212 +V) +tp5486 +a(g6 +V +tp5487 +a(g212 +V( +tp5488 +a(g84 +Veql +p5489 +tp5490 +a(g6 +V +tp5491 +a(g100 +Va +tp5492 +a(g6 +V +tp5493 +a(g100 +Vb +tp5494 +a(g212 +V) +tp5495 +a(g212 +V) +tp5496 +a(g212 +V) +tp5497 +a(g6 +V\u000a +p5498 +tp5499 +a(g100 +Vdims +p5500 +tp5501 +a(g6 +V +tp5502 +a(g212 +V( +tp5503 +a(g84 +Varray-dimensions +p5504 +tp5505 +a(g6 +V +tp5506 +a(g100 +Vx +tp5507 +a(g212 +V) +tp5508 +a(g6 +V\u000a +p5509 +tp5510 +a(g212 +V) +tp5511 +a(g6 +V +p5512 +tp5513 +a(g212 +V) +tp5514 +a(g6 +V +p5515 +tp5516 +a(g212 +V) +tp5517 +a(g6 +V +tp5518 +a(g212 +V) +tp5519 +a(g6 +V +p5520 +tp5521 +a(g212 +V) +tp5522 +a(g6 +V\u000a +p5523 +tp5524 +a(g212 +V( +tp5525 +a(g100 +Vc-typep-array +p5526 +tp5527 +a(g6 +V +tp5528 +a(g258 +V'ARRAYP +p5529 +tp5530 +a(g6 +V +tp5531 +a(g100 +Vel-type +p5532 +tp5533 +a(g6 +V +tp5534 +a(g100 +Vdims +p5535 +tp5536 +a(g6 +V +tp5537 +a(g100 +Vx +tp5538 +a(g212 +V) +tp5539 +a(g6 +V\u000a +tp5540 +a(g212 +V) +tp5541 +a(g6 +V\u000a +tp5542 +a(g212 +V( +tp5543 +a(g100 +Vdef-compound-type +p5544 +tp5545 +a(g6 +V +tp5546 +a(g100 +VSIMPLE-ARRAY +p5547 +tp5548 +a(g6 +V +tp5549 +a(g212 +V( +tp5550 +a(g138 +V&optional +p5551 +tp5552 +a(g6 +V +tp5553 +a(g212 +V( +tp5554 +a(g100 +Vel-type +p5555 +tp5556 +a(g6 +V +tp5557 +a(g258 +V'* +p5558 +tp5559 +a(g212 +V) +tp5560 +a(g6 +V +tp5561 +a(g212 +V( +tp5562 +a(g100 +Vdims +p5563 +tp5564 +a(g6 +V +tp5565 +a(g258 +V'* +p5566 +tp5567 +a(g212 +V) +tp5568 +a(g212 +V) +tp5569 +a(g6 +V +tp5570 +a(g212 +V( +tp5571 +a(g100 +Vx +tp5572 +a(g212 +V) +tp5573 +a(g6 +V\u000a +p5574 +tp5575 +a(g212 +V( +tp5576 +a(g84 +Vunless +p5577 +tp5578 +a(g6 +V +tp5579 +a(g212 +V( +tp5580 +a(g84 +Veq +p5581 +tp5582 +a(g6 +V +tp5583 +a(g100 +Vdims +p5584 +tp5585 +a(g6 +V +tp5586 +a(g258 +V'* +p5587 +tp5588 +a(g212 +V) +tp5589 +a(g6 +V\u000a +p5590 +tp5591 +a(g212 +V( +tp5592 +a(g138 +Vif +p5593 +tp5594 +a(g6 +V +tp5595 +a(g212 +V( +tp5596 +a(g84 +Vnumberp +p5597 +tp5598 +a(g6 +V +tp5599 +a(g100 +Vdims +p5600 +tp5601 +a(g212 +V) +tp5602 +a(g6 +V\u000a +p5603 +tp5604 +a(g212 +V( +tp5605 +a(g100 +Vensure-rank +p5606 +tp5607 +a(g6 +V +tp5608 +a(g100 +VSIMPLE-ARRAY +p5609 +tp5610 +a(g6 +V +tp5611 +a(g100 +Vdims +p5612 +tp5613 +a(g212 +V) +tp5614 +a(g6 +V\u000a +p5615 +tp5616 +a(g212 +V( +tp5617 +a(g84 +Vdolist +p5618 +tp5619 +a(g6 +V +tp5620 +a(g212 +V( +tp5621 +a(g100 +Vdim +p5622 +tp5623 +a(g6 +V +tp5624 +a(g100 +Vdims +p5625 +tp5626 +a(g212 +V) +tp5627 +a(g6 +V +tp5628 +a(g212 +V( +tp5629 +a(g100 +Vensure-dim +p5630 +tp5631 +a(g6 +V +tp5632 +a(g100 +VSIMPLE-ARRAY +p5633 +tp5634 +a(g6 +V +tp5635 +a(g100 +Vdim +p5636 +tp5637 +a(g212 +V) +tp5638 +a(g212 +V) +tp5639 +a(g212 +V) +tp5640 +a(g212 +V) +tp5641 +a(g6 +V\u000a +p5642 +tp5643 +a(g212 +V( +tp5644 +a(g84 +Vand +p5645 +tp5646 +a(g6 +V +tp5647 +a(g212 +V( +tp5648 +a(g100 +Vsimple-array-p +p5649 +tp5650 +a(g6 +V +tp5651 +a(g100 +Vx +tp5652 +a(g212 +V) +tp5653 +a(g6 +V\u000a +p5654 +tp5655 +a(g212 +V( +tp5656 +a(g84 +Vor +p5657 +tp5658 +a(g6 +V +tp5659 +a(g212 +V( +tp5660 +a(g84 +Veq +p5661 +tp5662 +a(g6 +V +tp5663 +a(g100 +Vel-type +p5664 +tp5665 +a(g6 +V +tp5666 +a(g258 +V'* +p5667 +tp5668 +a(g212 +V) +tp5669 +a(g6 +V\u000a +p5670 +tp5671 +a(g212 +V( +tp5672 +a(g84 +Vequal +p5673 +tp5674 +a(g6 +V +tp5675 +a(g212 +V( +tp5676 +a(g84 +Varray-element-type +p5677 +tp5678 +a(g6 +V +tp5679 +a(g100 +Vx +tp5680 +a(g212 +V) +tp5681 +a(g6 +V +tp5682 +a(g212 +V( +tp5683 +a(g84 +Vupgraded-array-element-type +p5684 +tp5685 +a(g6 +V +tp5686 +a(g100 +Vel-type +p5687 +tp5688 +a(g212 +V) +tp5689 +a(g212 +V) +tp5690 +a(g6 +V\u000a +p5691 +tp5692 +a(g212 +V) +tp5693 +a(g6 +V\u000a +p5694 +tp5695 +a(g212 +V( +tp5696 +a(g84 +Vor +p5697 +tp5698 +a(g6 +V +tp5699 +a(g212 +V( +tp5700 +a(g84 +Veq +p5701 +tp5702 +a(g6 +V +tp5703 +a(g100 +Vdims +p5704 +tp5705 +a(g6 +V +tp5706 +a(g258 +V'* +p5707 +tp5708 +a(g212 +V) +tp5709 +a(g6 +V\u000a +p5710 +tp5711 +a(g212 +V( +tp5712 +a(g138 +Vif +p5713 +tp5714 +a(g6 +V +tp5715 +a(g212 +V( +tp5716 +a(g84 +Vnumberp +p5717 +tp5718 +a(g6 +V +tp5719 +a(g100 +Vdims +p5720 +tp5721 +a(g212 +V) +tp5722 +a(g6 +V\u000a +p5723 +tp5724 +a(g212 +V( +tp5725 +a(g84 +Veql +p5726 +tp5727 +a(g6 +V +tp5728 +a(g100 +Vdims +p5729 +tp5730 +a(g6 +V +tp5731 +a(g212 +V( +tp5732 +a(g84 +Varray-rank +p5733 +tp5734 +a(g6 +V +tp5735 +a(g100 +Vx +tp5736 +a(g212 +V) +tp5737 +a(g212 +V) +tp5738 +a(g6 +V\u000a +p5739 +tp5740 +a(g212 +V( +tp5741 +a(g84 +Vand +p5742 +tp5743 +a(g6 +V +tp5744 +a(g212 +V( +tp5745 +a(g84 +Veql +p5746 +tp5747 +a(g6 +V +tp5748 +a(g212 +V( +tp5749 +a(g84 +Vlength +p5750 +tp5751 +a(g6 +V +tp5752 +a(g100 +Vdims +p5753 +tp5754 +a(g212 +V) +tp5755 +a(g6 +V +tp5756 +a(g212 +V( +tp5757 +a(g84 +Varray-rank +p5758 +tp5759 +a(g6 +V +tp5760 +a(g100 +Vx +tp5761 +a(g212 +V) +tp5762 +a(g212 +V) +tp5763 +a(g6 +V\u000a +p5764 +tp5765 +a(g212 +V( +tp5766 +a(g84 +Vevery +p5767 +tp5768 +a(g6 +V +tp5769 +a(g48 +V#' +p5770 +tp5771 +a(g212 +V( +tp5772 +a(g138 +Vlambda +p5773 +tp5774 +a(g6 +V +tp5775 +a(g212 +V( +tp5776 +a(g100 +Va +tp5777 +a(g6 +V +tp5778 +a(g100 +Vb +tp5779 +a(g212 +V) +tp5780 +a(g6 +V +tp5781 +a(g212 +V( +tp5782 +a(g84 +Vor +p5783 +tp5784 +a(g6 +V +tp5785 +a(g212 +V( +tp5786 +a(g84 +Veq +p5787 +tp5788 +a(g6 +V +tp5789 +a(g100 +Va +tp5790 +a(g6 +V +tp5791 +a(g258 +V'* +p5792 +tp5793 +a(g212 +V) +tp5794 +a(g6 +V +tp5795 +a(g212 +V( +tp5796 +a(g84 +Veql +p5797 +tp5798 +a(g6 +V +tp5799 +a(g100 +Va +tp5800 +a(g6 +V +tp5801 +a(g100 +Vb +tp5802 +a(g212 +V) +tp5803 +a(g212 +V) +tp5804 +a(g212 +V) +tp5805 +a(g6 +V\u000a +p5806 +tp5807 +a(g100 +Vdims +p5808 +tp5809 +a(g6 +V +tp5810 +a(g212 +V( +tp5811 +a(g84 +Varray-dimensions +p5812 +tp5813 +a(g6 +V +tp5814 +a(g100 +Vx +tp5815 +a(g212 +V) +tp5816 +a(g6 +V\u000a +p5817 +tp5818 +a(g212 +V) +tp5819 +a(g6 +V +p5820 +tp5821 +a(g212 +V) +tp5822 +a(g6 +V +p5823 +tp5824 +a(g212 +V) +tp5825 +a(g6 +V +tp5826 +a(g212 +V) +tp5827 +a(g6 +V +p5828 +tp5829 +a(g212 +V) +tp5830 +a(g6 +V\u000a +p5831 +tp5832 +a(g212 +V( +tp5833 +a(g100 +Vc-typep-array +p5834 +tp5835 +a(g6 +V +tp5836 +a(g258 +V'SIMPLE-ARRAY-P +p5837 +tp5838 +a(g6 +V +tp5839 +a(g100 +Vel-type +p5840 +tp5841 +a(g6 +V +tp5842 +a(g100 +Vdims +p5843 +tp5844 +a(g6 +V +tp5845 +a(g100 +Vx +tp5846 +a(g212 +V) +tp5847 +a(g6 +V\u000a +tp5848 +a(g212 +V) +tp5849 +a(g6 +V\u000a +tp5850 +a(g212 +V( +tp5851 +a(g100 +Vdef-compound-type +p5852 +tp5853 +a(g6 +V +tp5854 +a(g100 +VVECTOR +p5855 +tp5856 +a(g6 +V +tp5857 +a(g212 +V( +tp5858 +a(g138 +V&optional +p5859 +tp5860 +a(g6 +V +tp5861 +a(g212 +V( +tp5862 +a(g100 +Vel-type +p5863 +tp5864 +a(g6 +V +tp5865 +a(g258 +V'* +p5866 +tp5867 +a(g212 +V) +tp5868 +a(g6 +V +tp5869 +a(g212 +V( +tp5870 +a(g100 +Vsize +p5871 +tp5872 +a(g6 +V +tp5873 +a(g258 +V'* +p5874 +tp5875 +a(g212 +V) +tp5876 +a(g212 +V) +tp5877 +a(g6 +V +tp5878 +a(g212 +V( +tp5879 +a(g100 +Vx +tp5880 +a(g212 +V) +tp5881 +a(g6 +V\u000a +p5882 +tp5883 +a(g212 +V( +tp5884 +a(g100 +Vensure-dim +p5885 +tp5886 +a(g6 +V +tp5887 +a(g100 +VVECTOR +p5888 +tp5889 +a(g6 +V +tp5890 +a(g100 +Vsize +p5891 +tp5892 +a(g212 +V) +tp5893 +a(g6 +V\u000a +p5894 +tp5895 +a(g212 +V( +tp5896 +a(g84 +Vand +p5897 +tp5898 +a(g6 +V +tp5899 +a(g212 +V( +tp5900 +a(g84 +Vvectorp +p5901 +tp5902 +a(g6 +V +tp5903 +a(g100 +Vx +tp5904 +a(g212 +V) +tp5905 +a(g6 +V\u000a +p5906 +tp5907 +a(g212 +V( +tp5908 +a(g84 +Vor +p5909 +tp5910 +a(g6 +V +tp5911 +a(g212 +V( +tp5912 +a(g84 +Veq +p5913 +tp5914 +a(g6 +V +tp5915 +a(g100 +Vel-type +p5916 +tp5917 +a(g6 +V +tp5918 +a(g258 +V'* +p5919 +tp5920 +a(g212 +V) +tp5921 +a(g6 +V\u000a +p5922 +tp5923 +a(g212 +V( +tp5924 +a(g84 +Vequal +p5925 +tp5926 +a(g6 +V +tp5927 +a(g212 +V( +tp5928 +a(g84 +Varray-element-type +p5929 +tp5930 +a(g6 +V +tp5931 +a(g100 +Vx +tp5932 +a(g212 +V) +tp5933 +a(g6 +V +tp5934 +a(g212 +V( +tp5935 +a(g84 +Vupgraded-array-element-type +p5936 +tp5937 +a(g6 +V +tp5938 +a(g100 +Vel-type +p5939 +tp5940 +a(g212 +V) +tp5941 +a(g212 +V) +tp5942 +a(g6 +V\u000a +p5943 +tp5944 +a(g212 +V) +tp5945 +a(g6 +V\u000a +p5946 +tp5947 +a(g212 +V( +tp5948 +a(g84 +Vor +p5949 +tp5950 +a(g6 +V +tp5951 +a(g212 +V( +tp5952 +a(g84 +Veq +p5953 +tp5954 +a(g6 +V +tp5955 +a(g100 +Vsize +p5956 +tp5957 +a(g6 +V +tp5958 +a(g258 +V'* +p5959 +tp5960 +a(g212 +V) +tp5961 +a(g6 +V +tp5962 +a(g212 +V( +tp5963 +a(g84 +Veql +p5964 +tp5965 +a(g6 +V +tp5966 +a(g212 +V( +tp5967 +a(g84 +Varray-dimension +p5968 +tp5969 +a(g6 +V +tp5970 +a(g100 +Vx +tp5971 +a(g6 +V +tp5972 +a(g328 +V0 +tp5973 +a(g212 +V) +tp5974 +a(g6 +V +tp5975 +a(g100 +Vsize +p5976 +tp5977 +a(g212 +V) +tp5978 +a(g212 +V) +tp5979 +a(g6 +V\u000a +p5980 +tp5981 +a(g212 +V) +tp5982 +a(g6 +V\u000a +p5983 +tp5984 +a(g353 +V` +tp5985 +a(g212 +V( +tp5986 +a(g100 +VAND +p5987 +tp5988 +a(g6 +V +tp5989 +a(g212 +V( +tp5990 +a(g100 +VVECTORP +p5991 +tp5992 +a(g6 +V +tp5993 +a(g353 +V, +tp5994 +a(g100 +Vx +tp5995 +a(g212 +V) +tp5996 +a(g6 +V\u000a +p5997 +tp5998 +a(g353 +V,@ +p5999 +tp6000 +a(g212 +V( +tp6001 +a(g138 +Vif +p6002 +tp6003 +a(g6 +V +tp6004 +a(g212 +V( +tp6005 +a(g84 +Veq +p6006 +tp6007 +a(g6 +V +tp6008 +a(g100 +Vel-type +p6009 +tp6010 +a(g6 +V +tp6011 +a(g258 +V'* +p6012 +tp6013 +a(g212 +V) +tp6014 +a(g6 +V\u000a +p6015 +tp6016 +a(g353 +V' +tp6017 +a(g212 +V( +tp6018 +a(g212 +V) +tp6019 +a(g6 +V\u000a +p6020 +tp6021 +a(g353 +V` +tp6022 +a(g212 +V( +tp6023 +a(g212 +V( +tp6024 +a(g100 +VEQUAL +p6025 +tp6026 +a(g6 +V +tp6027 +a(g212 +V( +tp6028 +a(g100 +VARRAY-ELEMENT-TYPE +p6029 +tp6030 +a(g6 +V +tp6031 +a(g353 +V, +tp6032 +a(g100 +Vx +tp6033 +a(g212 +V) +tp6034 +a(g6 +V +tp6035 +a(g258 +V', +p6036 +tp6037 +a(g212 +V( +tp6038 +a(g84 +Vupgraded-array-element-type +p6039 +tp6040 +a(g6 +V +tp6041 +a(g100 +Vel-type +p6042 +tp6043 +a(g212 +V) +tp6044 +a(g212 +V) +tp6045 +a(g212 +V) +tp6046 +a(g6 +V\u000a +p6047 +tp6048 +a(g212 +V) +tp6049 +a(g6 +V\u000a +p6050 +tp6051 +a(g353 +V,@ +p6052 +tp6053 +a(g212 +V( +tp6054 +a(g138 +Vif +p6055 +tp6056 +a(g6 +V +tp6057 +a(g212 +V( +tp6058 +a(g84 +Veq +p6059 +tp6060 +a(g6 +V +tp6061 +a(g100 +Vsize +p6062 +tp6063 +a(g6 +V +tp6064 +a(g258 +V'* +p6065 +tp6066 +a(g212 +V) +tp6067 +a(g6 +V\u000a +p6068 +tp6069 +a(g353 +V' +tp6070 +a(g212 +V( +tp6071 +a(g212 +V) +tp6072 +a(g6 +V\u000a +p6073 +tp6074 +a(g353 +V` +tp6075 +a(g212 +V( +tp6076 +a(g212 +V( +tp6077 +a(g100 +VEQL +p6078 +tp6079 +a(g6 +V +tp6080 +a(g212 +V( +tp6081 +a(g100 +VARRAY-DIMENSION +p6082 +tp6083 +a(g6 +V +tp6084 +a(g353 +V, +tp6085 +a(g100 +Vx +tp6086 +a(g6 +V +tp6087 +a(g328 +V0 +tp6088 +a(g212 +V) +tp6089 +a(g6 +V +tp6090 +a(g258 +V',size +p6091 +tp6092 +a(g212 +V) +tp6093 +a(g212 +V) +tp6094 +a(g6 +V\u000a +p6095 +tp6096 +a(g212 +V) +tp6097 +a(g6 +V\u000a +p6098 +tp6099 +a(g212 +V) +tp6100 +a(g6 +V\u000a +tp6101 +a(g212 +V) +tp6102 +a(g6 +V\u000a +tp6103 +a(g212 +V( +tp6104 +a(g100 +Vdef-compound-type +p6105 +tp6106 +a(g6 +V +tp6107 +a(g100 +VSIMPLE-VECTOR +p6108 +tp6109 +a(g6 +V +tp6110 +a(g212 +V( +tp6111 +a(g138 +V&optional +p6112 +tp6113 +a(g6 +V +tp6114 +a(g212 +V( +tp6115 +a(g100 +Vsize +p6116 +tp6117 +a(g6 +V +tp6118 +a(g258 +V'* +p6119 +tp6120 +a(g212 +V) +tp6121 +a(g212 +V) +tp6122 +a(g6 +V +tp6123 +a(g212 +V( +tp6124 +a(g100 +Vx +tp6125 +a(g212 +V) +tp6126 +a(g6 +V\u000a +p6127 +tp6128 +a(g212 +V( +tp6129 +a(g100 +Vensure-dim +p6130 +tp6131 +a(g6 +V +tp6132 +a(g100 +VSIMLPE-VECTOR +p6133 +tp6134 +a(g6 +V +tp6135 +a(g100 +Vsize +p6136 +tp6137 +a(g212 +V) +tp6138 +a(g6 +V\u000a +p6139 +tp6140 +a(g212 +V( +tp6141 +a(g84 +Vand +p6142 +tp6143 +a(g6 +V +tp6144 +a(g212 +V( +tp6145 +a(g84 +Vsimple-vector-p +p6146 +tp6147 +a(g6 +V +tp6148 +a(g100 +Vx +tp6149 +a(g212 +V) +tp6150 +a(g6 +V\u000a +p6151 +tp6152 +a(g212 +V( +tp6153 +a(g84 +Vor +p6154 +tp6155 +a(g6 +V +tp6156 +a(g212 +V( +tp6157 +a(g84 +Veq +p6158 +tp6159 +a(g6 +V +tp6160 +a(g100 +Vsize +p6161 +tp6162 +a(g6 +V +tp6163 +a(g258 +V'* +p6164 +tp6165 +a(g212 +V) +tp6166 +a(g6 +V +tp6167 +a(g212 +V( +tp6168 +a(g84 +Veql +p6169 +tp6170 +a(g6 +V +tp6171 +a(g100 +Vsize +p6172 +tp6173 +a(g6 +V +tp6174 +a(g212 +V( +tp6175 +a(g84 +Varray-dimension +p6176 +tp6177 +a(g6 +V +tp6178 +a(g100 +Vx +tp6179 +a(g6 +V +tp6180 +a(g328 +V0 +tp6181 +a(g212 +V) +tp6182 +a(g212 +V) +tp6183 +a(g212 +V) +tp6184 +a(g6 +V\u000a +p6185 +tp6186 +a(g212 +V) +tp6187 +a(g6 +V\u000a +p6188 +tp6189 +a(g212 +V( +tp6190 +a(g100 +Vc-typep-vector +p6191 +tp6192 +a(g6 +V +tp6193 +a(g258 +V'SIMPLE-VECTOR-P +p6194 +tp6195 +a(g6 +V +tp6196 +a(g100 +Vsize +p6197 +tp6198 +a(g6 +V +tp6199 +a(g100 +Vx +tp6200 +a(g212 +V) +tp6201 +a(g6 +V\u000a +tp6202 +a(g212 +V) +tp6203 +a(g6 +V\u000a +tp6204 +a(g212 +V( +tp6205 +a(g100 +Vdef-compound-type +p6206 +tp6207 +a(g6 +V +tp6208 +a(g100 +VCOMPLEX +p6209 +tp6210 +a(g6 +V +tp6211 +a(g212 +V( +tp6212 +a(g138 +V&optional +p6213 +tp6214 +a(g6 +V +tp6215 +a(g212 +V( +tp6216 +a(g100 +Vrtype +p6217 +tp6218 +a(g6 +V +tp6219 +a(g258 +V'* +p6220 +tp6221 +a(g212 +V) +tp6222 +a(g6 +V +tp6223 +a(g212 +V( +tp6224 +a(g100 +Vitype +p6225 +tp6226 +a(g6 +V +tp6227 +a(g100 +Vrtype +p6228 +tp6229 +a(g212 +V) +tp6230 +a(g212 +V) +tp6231 +a(g6 +V +tp6232 +a(g212 +V( +tp6233 +a(g100 +Vx +tp6234 +a(g212 +V) +tp6235 +a(g6 +V\u000a +p6236 +tp6237 +a(g60 +Vnil +p6238 +tp6239 +a(g6 +V\u000a +p6240 +tp6241 +a(g212 +V( +tp6242 +a(g84 +Vand +p6243 +tp6244 +a(g6 +V +tp6245 +a(g212 +V( +tp6246 +a(g84 +Vcomplexp +p6247 +tp6248 +a(g6 +V +tp6249 +a(g100 +Vx +tp6250 +a(g212 +V) +tp6251 +a(g6 +V\u000a +p6252 +tp6253 +a(g212 +V( +tp6254 +a(g84 +Vor +p6255 +tp6256 +a(g6 +V +tp6257 +a(g212 +V( +tp6258 +a(g84 +Veq +p6259 +tp6260 +a(g6 +V +tp6261 +a(g100 +Vrtype +p6262 +tp6263 +a(g6 +V +tp6264 +a(g258 +V'* +p6265 +tp6266 +a(g212 +V) +tp6267 +a(g6 +V\u000a +p6268 +tp6269 +a(g212 +V( +tp6270 +a(g84 +Vtypep +p6271 +tp6272 +a(g6 +V +tp6273 +a(g212 +V( +tp6274 +a(g84 +Vrealpart +p6275 +tp6276 +a(g6 +V +tp6277 +a(g100 +Vx +tp6278 +a(g212 +V) +tp6279 +a(g6 +V +tp6280 +a(g212 +V( +tp6281 +a(g84 +Vupgraded-complex-part-type +p6282 +tp6283 +a(g6 +V +tp6284 +a(g100 +Vrtype +p6285 +tp6286 +a(g212 +V) +tp6287 +a(g212 +V) +tp6288 +a(g212 +V) +tp6289 +a(g6 +V\u000a +p6290 +tp6291 +a(g212 +V( +tp6292 +a(g84 +Vor +p6293 +tp6294 +a(g6 +V +tp6295 +a(g212 +V( +tp6296 +a(g84 +Veq +p6297 +tp6298 +a(g6 +V +tp6299 +a(g100 +Vitype +p6300 +tp6301 +a(g6 +V +tp6302 +a(g258 +V'* +p6303 +tp6304 +a(g212 +V) +tp6305 +a(g6 +V\u000a +p6306 +tp6307 +a(g212 +V( +tp6308 +a(g84 +Vtypep +p6309 +tp6310 +a(g6 +V +tp6311 +a(g212 +V( +tp6312 +a(g84 +Vimagpart +p6313 +tp6314 +a(g6 +V +tp6315 +a(g100 +Vx +tp6316 +a(g212 +V) +tp6317 +a(g6 +V +tp6318 +a(g212 +V( +tp6319 +a(g84 +Vupgraded-complex-part-type +p6320 +tp6321 +a(g6 +V +tp6322 +a(g100 +Vitype +p6323 +tp6324 +a(g212 +V) +tp6325 +a(g212 +V) +tp6326 +a(g212 +V) +tp6327 +a(g212 +V) +tp6328 +a(g6 +V\u000a +p6329 +tp6330 +a(g353 +V` +tp6331 +a(g212 +V( +tp6332 +a(g100 +VAND +p6333 +tp6334 +a(g6 +V +tp6335 +a(g212 +V( +tp6336 +a(g100 +VCOMPLEXP +p6337 +tp6338 +a(g6 +V +tp6339 +a(g353 +V, +tp6340 +a(g100 +Vx +tp6341 +a(g212 +V) +tp6342 +a(g6 +V\u000a +p6343 +tp6344 +a(g353 +V,@ +p6345 +tp6346 +a(g212 +V( +tp6347 +a(g138 +Vif +p6348 +tp6349 +a(g6 +V +tp6350 +a(g212 +V( +tp6351 +a(g84 +Veq +p6352 +tp6353 +a(g6 +V +tp6354 +a(g100 +Vrtype +p6355 +tp6356 +a(g6 +V +tp6357 +a(g258 +V'* +p6358 +tp6359 +a(g212 +V) +tp6360 +a(g6 +V\u000a +p6361 +tp6362 +a(g353 +V' +tp6363 +a(g212 +V( +tp6364 +a(g212 +V) +tp6365 +a(g6 +V\u000a +p6366 +tp6367 +a(g353 +V` +tp6368 +a(g212 +V( +tp6369 +a(g212 +V( +tp6370 +a(g100 +VTYPEP +p6371 +tp6372 +a(g6 +V +tp6373 +a(g212 +V( +tp6374 +a(g100 +VREALPART +p6375 +tp6376 +a(g6 +V +tp6377 +a(g353 +V, +tp6378 +a(g100 +Vx +tp6379 +a(g212 +V) +tp6380 +a(g6 +V +tp6381 +a(g258 +V', +p6382 +tp6383 +a(g212 +V( +tp6384 +a(g84 +Vupgraded-complex-part-type +p6385 +tp6386 +a(g6 +V +tp6387 +a(g100 +Vrtype +p6388 +tp6389 +a(g212 +V) +tp6390 +a(g212 +V) +tp6391 +a(g212 +V) +tp6392 +a(g212 +V) +tp6393 +a(g6 +V\u000a +p6394 +tp6395 +a(g353 +V,@ +p6396 +tp6397 +a(g212 +V( +tp6398 +a(g138 +Vif +p6399 +tp6400 +a(g6 +V +tp6401 +a(g212 +V( +tp6402 +a(g84 +Veq +p6403 +tp6404 +a(g6 +V +tp6405 +a(g100 +Vitype +p6406 +tp6407 +a(g6 +V +tp6408 +a(g258 +V'* +p6409 +tp6410 +a(g212 +V) +tp6411 +a(g6 +V\u000a +p6412 +tp6413 +a(g353 +V' +tp6414 +a(g212 +V( +tp6415 +a(g212 +V) +tp6416 +a(g6 +V\u000a +p6417 +tp6418 +a(g353 +V` +tp6419 +a(g212 +V( +tp6420 +a(g212 +V( +tp6421 +a(g100 +VTYPEP +p6422 +tp6423 +a(g6 +V +tp6424 +a(g212 +V( +tp6425 +a(g100 +VIMAGPART +p6426 +tp6427 +a(g6 +V +tp6428 +a(g353 +V, +tp6429 +a(g100 +Vx +tp6430 +a(g212 +V) +tp6431 +a(g6 +V +tp6432 +a(g258 +V', +p6433 +tp6434 +a(g212 +V( +tp6435 +a(g84 +Vupgraded-complex-part-type +p6436 +tp6437 +a(g6 +V +tp6438 +a(g100 +Vitype +p6439 +tp6440 +a(g212 +V) +tp6441 +a(g212 +V) +tp6442 +a(g212 +V) +tp6443 +a(g212 +V) +tp6444 +a(g212 +V) +tp6445 +a(g212 +V) +tp6446 +a(g6 +V\u000a +tp6447 +a(g212 +V( +tp6448 +a(g100 +Vdef-compound-type +p6449 +tp6450 +a(g6 +V +tp6451 +a(g100 +VINTEGER +p6452 +tp6453 +a(g6 +V +tp6454 +a(g212 +V( +tp6455 +a(g138 +V&optional +p6456 +tp6457 +a(g6 +V +tp6458 +a(g212 +V( +tp6459 +a(g100 +Vlow +p6460 +tp6461 +a(g6 +V +tp6462 +a(g258 +V'* +p6463 +tp6464 +a(g212 +V) +tp6465 +a(g6 +V +tp6466 +a(g212 +V( +tp6467 +a(g100 +Vhigh +p6468 +tp6469 +a(g6 +V +tp6470 +a(g258 +V'* +p6471 +tp6472 +a(g212 +V) +tp6473 +a(g212 +V) +tp6474 +a(g6 +V +tp6475 +a(g212 +V( +tp6476 +a(g100 +Vx +tp6477 +a(g212 +V) +tp6478 +a(g6 +V\u000a +p6479 +tp6480 +a(g60 +Vnil +p6481 +tp6482 +a(g6 +V\u000a +p6483 +tp6484 +a(g212 +V( +tp6485 +a(g100 +Vtypep-number-test +p6486 +tp6487 +a(g6 +V +tp6488 +a(g100 +Vx +tp6489 +a(g6 +V +tp6490 +a(g100 +Vlow +p6491 +tp6492 +a(g6 +V +tp6493 +a(g100 +Vhigh +p6494 +tp6495 +a(g6 +V +tp6496 +a(g48 +V#' +p6497 +tp6498 +a(g84 +Vintegerp +p6499 +tp6500 +a(g6 +V +tp6501 +a(g258 +V'INTEGER +p6502 +tp6503 +a(g212 +V) +tp6504 +a(g6 +V\u000a +p6505 +tp6506 +a(g212 +V( +tp6507 +a(g100 +Vc-typep-number +p6508 +tp6509 +a(g6 +V +tp6510 +a(g258 +V'INTEGER +p6511 +tp6512 +a(g6 +V +tp6513 +a(g258 +V'INTEGERP +p6514 +tp6515 +a(g6 +V +tp6516 +a(g100 +Vlow +p6517 +tp6518 +a(g6 +V +tp6519 +a(g100 +Vhigh +p6520 +tp6521 +a(g6 +V +tp6522 +a(g100 +Vx +tp6523 +a(g212 +V) +tp6524 +a(g6 +V\u000a +tp6525 +a(g212 +V) +tp6526 +a(g6 +V\u000a +tp6527 +a(g212 +V( +tp6528 +a(g100 +Vdef-compound-type +p6529 +tp6530 +a(g6 +V +tp6531 +a(g100 +VMOD +p6532 +tp6533 +a(g6 +V +tp6534 +a(g212 +V( +tp6535 +a(g100 +Vn +tp6536 +a(g212 +V) +tp6537 +a(g6 +V +tp6538 +a(g212 +V( +tp6539 +a(g100 +Vx +tp6540 +a(g212 +V) +tp6541 +a(g6 +V\u000a +p6542 +tp6543 +a(g212 +V( +tp6544 +a(g84 +Vunless +p6545 +tp6546 +a(g6 +V +tp6547 +a(g212 +V( +tp6548 +a(g84 +Vintegerp +p6549 +tp6550 +a(g6 +V +tp6551 +a(g100 +Vn +tp6552 +a(g212 +V) +tp6553 +a(g6 +V\u000a +p6554 +tp6555 +a(g212 +V( +tp6556 +a(g84 +Verror +p6557 +tp6558 +a(g6 +V +tp6559 +a(g212 +V( +tp6560 +a(g100 +VTEXT +p6561 +tp6562 +a(g6 +V +tp6563 +a(g236 +V"~S: argument to MOD must be an integer: ~S" +p6564 +tp6565 +a(g212 +V) +tp6566 +a(g6 +V\u000a +p6567 +tp6568 +a(g258 +V'typep +p6569 +tp6570 +a(g6 +V +tp6571 +a(g100 +Vn +tp6572 +a(g6 +V\u000a +p6573 +tp6574 +a(g212 +V) +tp6575 +a(g6 +V +tp6576 +a(g212 +V) +tp6577 +a(g6 +V\u000a +p6578 +tp6579 +a(g212 +V( +tp6580 +a(g84 +Vand +p6581 +tp6582 +a(g6 +V +tp6583 +a(g212 +V( +tp6584 +a(g84 +Vintegerp +p6585 +tp6586 +a(g6 +V +tp6587 +a(g100 +Vx +tp6588 +a(g212 +V) +tp6589 +a(g6 +V +tp6590 +a(g212 +V( +tp6591 +a(g84 +V<= +p6592 +tp6593 +a(g6 +V +tp6594 +a(g328 +V0 +tp6595 +a(g6 +V +tp6596 +a(g100 +Vx +tp6597 +a(g212 +V) +tp6598 +a(g6 +V +tp6599 +a(g212 +V( +tp6600 +a(g84 +V< +tp6601 +a(g6 +V +tp6602 +a(g100 +Vx +tp6603 +a(g6 +V +tp6604 +a(g100 +Vn +tp6605 +a(g212 +V) +tp6606 +a(g212 +V) +tp6607 +a(g6 +V\u000a +p6608 +tp6609 +a(g353 +V` +tp6610 +a(g212 +V( +tp6611 +a(g100 +VAND +p6612 +tp6613 +a(g6 +V +tp6614 +a(g212 +V( +tp6615 +a(g100 +VINTEGERP +p6616 +tp6617 +a(g6 +V +tp6618 +a(g353 +V, +tp6619 +a(g100 +Vx +tp6620 +a(g212 +V) +tp6621 +a(g6 +V +tp6622 +a(g212 +V( +tp6623 +a(g100 +VNOT +p6624 +tp6625 +a(g6 +V +tp6626 +a(g212 +V( +tp6627 +a(g100 +VMINUSP +p6628 +tp6629 +a(g6 +V +tp6630 +a(g353 +V, +tp6631 +a(g100 +Vx +tp6632 +a(g212 +V) +tp6633 +a(g212 +V) +tp6634 +a(g6 +V +tp6635 +a(g212 +V( +tp6636 +a(g84 +V< +tp6637 +a(g6 +V +tp6638 +a(g353 +V, +tp6639 +a(g100 +Vx +tp6640 +a(g6 +V +tp6641 +a(g353 +V, +tp6642 +a(g100 +Vn +tp6643 +a(g212 +V) +tp6644 +a(g212 +V) +tp6645 +a(g6 +V\u000a +tp6646 +a(g212 +V) +tp6647 +a(g6 +V\u000a +tp6648 +a(g212 +V( +tp6649 +a(g100 +Vdef-compound-type +p6650 +tp6651 +a(g6 +V +tp6652 +a(g100 +VSIGNED-BYTE +p6653 +tp6654 +a(g6 +V +tp6655 +a(g212 +V( +tp6656 +a(g138 +V&optional +p6657 +tp6658 +a(g6 +V +tp6659 +a(g212 +V( +tp6660 +a(g100 +Vn +tp6661 +a(g6 +V +tp6662 +a(g258 +V'* +p6663 +tp6664 +a(g212 +V) +tp6665 +a(g212 +V) +tp6666 +a(g6 +V +tp6667 +a(g212 +V( +tp6668 +a(g100 +Vx +tp6669 +a(g212 +V) +tp6670 +a(g6 +V\u000a +p6671 +tp6672 +a(g212 +V( +tp6673 +a(g84 +Vunless +p6674 +tp6675 +a(g6 +V +tp6676 +a(g212 +V( +tp6677 +a(g84 +Vor +p6678 +tp6679 +a(g6 +V +tp6680 +a(g212 +V( +tp6681 +a(g84 +Veq +p6682 +tp6683 +a(g6 +V +tp6684 +a(g100 +Vn +tp6685 +a(g6 +V +tp6686 +a(g258 +V'* +p6687 +tp6688 +a(g212 +V) +tp6689 +a(g6 +V +tp6690 +a(g212 +V( +tp6691 +a(g84 +Vintegerp +p6692 +tp6693 +a(g6 +V +tp6694 +a(g100 +Vn +tp6695 +a(g212 +V) +tp6696 +a(g212 +V) +tp6697 +a(g6 +V\u000a +p6698 +tp6699 +a(g212 +V( +tp6700 +a(g84 +Verror +p6701 +tp6702 +a(g6 +V +tp6703 +a(g212 +V( +tp6704 +a(g100 +VTEXT +p6705 +tp6706 +a(g6 +V +tp6707 +a(g236 +V"~S: argument to SIGNED-BYTE must be an integer or * : ~S" +p6708 +tp6709 +a(g212 +V) +tp6710 +a(g6 +V\u000a +p6711 +tp6712 +a(g258 +V'typep +p6713 +tp6714 +a(g6 +V +tp6715 +a(g100 +Vn +tp6716 +a(g6 +V\u000a +p6717 +tp6718 +a(g212 +V) +tp6719 +a(g6 +V +tp6720 +a(g212 +V) +tp6721 +a(g6 +V\u000a +p6722 +tp6723 +a(g212 +V( +tp6724 +a(g84 +Vand +p6725 +tp6726 +a(g6 +V +tp6727 +a(g212 +V( +tp6728 +a(g84 +Vintegerp +p6729 +tp6730 +a(g6 +V +tp6731 +a(g100 +Vx +tp6732 +a(g212 +V) +tp6733 +a(g6 +V +tp6734 +a(g212 +V( +tp6735 +a(g84 +Vor +p6736 +tp6737 +a(g6 +V +tp6738 +a(g212 +V( +tp6739 +a(g84 +Veq +p6740 +tp6741 +a(g6 +V +tp6742 +a(g100 +Vn +tp6743 +a(g6 +V +tp6744 +a(g258 +V'* +p6745 +tp6746 +a(g212 +V) +tp6747 +a(g6 +V +tp6748 +a(g212 +V( +tp6749 +a(g84 +V< +tp6750 +a(g6 +V +tp6751 +a(g212 +V( +tp6752 +a(g84 +Vinteger-length +p6753 +tp6754 +a(g6 +V +tp6755 +a(g100 +Vx +tp6756 +a(g212 +V) +tp6757 +a(g6 +V +tp6758 +a(g100 +Vn +tp6759 +a(g212 +V) +tp6760 +a(g212 +V) +tp6761 +a(g212 +V) +tp6762 +a(g6 +V\u000a +p6763 +tp6764 +a(g353 +V` +tp6765 +a(g212 +V( +tp6766 +a(g100 +VAND +p6767 +tp6768 +a(g6 +V +tp6769 +a(g212 +V( +tp6770 +a(g100 +VINTEGERP +p6771 +tp6772 +a(g6 +V +tp6773 +a(g353 +V, +tp6774 +a(g100 +Vx +tp6775 +a(g212 +V) +tp6776 +a(g6 +V\u000a +p6777 +tp6778 +a(g353 +V,@ +p6779 +tp6780 +a(g212 +V( +tp6781 +a(g138 +Vif +p6782 +tp6783 +a(g6 +V +tp6784 +a(g212 +V( +tp6785 +a(g84 +Veq +p6786 +tp6787 +a(g6 +V +tp6788 +a(g100 +Vn +tp6789 +a(g6 +V +tp6790 +a(g258 +V'* +p6791 +tp6792 +a(g212 +V) +tp6793 +a(g6 +V +tp6794 +a(g353 +V' +tp6795 +a(g212 +V( +tp6796 +a(g212 +V) +tp6797 +a(g6 +V +tp6798 +a(g353 +V` +tp6799 +a(g212 +V( +tp6800 +a(g212 +V( +tp6801 +a(g84 +V< +tp6802 +a(g6 +V +tp6803 +a(g212 +V( +tp6804 +a(g100 +VINTEGER-LENGTH +p6805 +tp6806 +a(g6 +V +tp6807 +a(g353 +V, +tp6808 +a(g100 +Vx +tp6809 +a(g212 +V) +tp6810 +a(g6 +V +tp6811 +a(g353 +V, +tp6812 +a(g100 +Vn +tp6813 +a(g212 +V) +tp6814 +a(g212 +V) +tp6815 +a(g212 +V) +tp6816 +a(g6 +V\u000a +p6817 +tp6818 +a(g212 +V) +tp6819 +a(g6 +V\u000a +tp6820 +a(g212 +V) +tp6821 +a(g6 +V\u000a +tp6822 +a(g212 +V( +tp6823 +a(g100 +Vdef-compound-type +p6824 +tp6825 +a(g6 +V +tp6826 +a(g100 +VUNSIGNED-BYTE +p6827 +tp6828 +a(g6 +V +tp6829 +a(g212 +V( +tp6830 +a(g138 +V&optional +p6831 +tp6832 +a(g6 +V +tp6833 +a(g212 +V( +tp6834 +a(g100 +Vn +tp6835 +a(g6 +V +tp6836 +a(g258 +V'* +p6837 +tp6838 +a(g212 +V) +tp6839 +a(g212 +V) +tp6840 +a(g6 +V +tp6841 +a(g212 +V( +tp6842 +a(g100 +Vx +tp6843 +a(g212 +V) +tp6844 +a(g6 +V\u000a +p6845 +tp6846 +a(g212 +V( +tp6847 +a(g84 +Vunless +p6848 +tp6849 +a(g6 +V +tp6850 +a(g212 +V( +tp6851 +a(g84 +Vor +p6852 +tp6853 +a(g6 +V +tp6854 +a(g212 +V( +tp6855 +a(g84 +Veq +p6856 +tp6857 +a(g6 +V +tp6858 +a(g100 +Vn +tp6859 +a(g6 +V +tp6860 +a(g258 +V'* +p6861 +tp6862 +a(g212 +V) +tp6863 +a(g6 +V +tp6864 +a(g212 +V( +tp6865 +a(g84 +Vintegerp +p6866 +tp6867 +a(g6 +V +tp6868 +a(g100 +Vn +tp6869 +a(g212 +V) +tp6870 +a(g212 +V) +tp6871 +a(g6 +V\u000a +p6872 +tp6873 +a(g212 +V( +tp6874 +a(g84 +Verror +p6875 +tp6876 +a(g6 +V +tp6877 +a(g212 +V( +tp6878 +a(g100 +VTEXT +p6879 +tp6880 +a(g6 +V +tp6881 +a(g236 +V"~S: argument to UNSIGNED-BYTE must be an integer or * : ~S" +p6882 +tp6883 +a(g212 +V) +tp6884 +a(g6 +V\u000a +p6885 +tp6886 +a(g258 +V'typep +p6887 +tp6888 +a(g6 +V +tp6889 +a(g100 +Vn +tp6890 +a(g6 +V\u000a +p6891 +tp6892 +a(g212 +V) +tp6893 +a(g6 +V +tp6894 +a(g212 +V) +tp6895 +a(g6 +V\u000a +p6896 +tp6897 +a(g212 +V( +tp6898 +a(g84 +Vand +p6899 +tp6900 +a(g6 +V +tp6901 +a(g212 +V( +tp6902 +a(g84 +Vintegerp +p6903 +tp6904 +a(g6 +V +tp6905 +a(g100 +Vx +tp6906 +a(g212 +V) +tp6907 +a(g6 +V\u000a +p6908 +tp6909 +a(g212 +V( +tp6910 +a(g84 +Vnot +p6911 +tp6912 +a(g6 +V +tp6913 +a(g212 +V( +tp6914 +a(g84 +Vminusp +p6915 +tp6916 +a(g6 +V +tp6917 +a(g100 +Vx +tp6918 +a(g212 +V) +tp6919 +a(g212 +V) +tp6920 +a(g6 +V\u000a +p6921 +tp6922 +a(g212 +V( +tp6923 +a(g84 +Vor +p6924 +tp6925 +a(g6 +V +tp6926 +a(g212 +V( +tp6927 +a(g84 +Veq +p6928 +tp6929 +a(g6 +V +tp6930 +a(g100 +Vn +tp6931 +a(g6 +V +tp6932 +a(g258 +V'* +p6933 +tp6934 +a(g212 +V) +tp6935 +a(g6 +V +tp6936 +a(g212 +V( +tp6937 +a(g84 +V<= +p6938 +tp6939 +a(g6 +V +tp6940 +a(g212 +V( +tp6941 +a(g84 +Vinteger-length +p6942 +tp6943 +a(g6 +V +tp6944 +a(g100 +Vx +tp6945 +a(g212 +V) +tp6946 +a(g6 +V +tp6947 +a(g100 +Vn +tp6948 +a(g212 +V) +tp6949 +a(g212 +V) +tp6950 +a(g6 +V\u000a +p6951 +tp6952 +a(g212 +V) +tp6953 +a(g6 +V\u000a +p6954 +tp6955 +a(g353 +V` +tp6956 +a(g212 +V( +tp6957 +a(g100 +VAND +p6958 +tp6959 +a(g6 +V +tp6960 +a(g212 +V( +tp6961 +a(g100 +VINTEGERP +p6962 +tp6963 +a(g6 +V +tp6964 +a(g353 +V, +tp6965 +a(g100 +Vx +tp6966 +a(g212 +V) +tp6967 +a(g6 +V +tp6968 +a(g212 +V( +tp6969 +a(g100 +VNOT +p6970 +tp6971 +a(g6 +V +tp6972 +a(g212 +V( +tp6973 +a(g100 +VMINUSP +p6974 +tp6975 +a(g6 +V +tp6976 +a(g353 +V, +tp6977 +a(g100 +Vx +tp6978 +a(g212 +V) +tp6979 +a(g212 +V) +tp6980 +a(g6 +V\u000a +p6981 +tp6982 +a(g353 +V,@ +p6983 +tp6984 +a(g212 +V( +tp6985 +a(g138 +Vif +p6986 +tp6987 +a(g6 +V +tp6988 +a(g212 +V( +tp6989 +a(g84 +Veq +p6990 +tp6991 +a(g6 +V +tp6992 +a(g100 +Vn +tp6993 +a(g6 +V +tp6994 +a(g258 +V'* +p6995 +tp6996 +a(g212 +V) +tp6997 +a(g6 +V +tp6998 +a(g353 +V' +tp6999 +a(g212 +V( +tp7000 +a(g212 +V) +tp7001 +a(g6 +V +tp7002 +a(g353 +V` +tp7003 +a(g212 +V( +tp7004 +a(g212 +V( +tp7005 +a(g84 +V<= +p7006 +tp7007 +a(g6 +V +tp7008 +a(g212 +V( +tp7009 +a(g100 +VINTEGER-LENGTH +p7010 +tp7011 +a(g6 +V +tp7012 +a(g353 +V, +tp7013 +a(g100 +Vx +tp7014 +a(g212 +V) +tp7015 +a(g6 +V +tp7016 +a(g353 +V, +tp7017 +a(g100 +Vn +tp7018 +a(g212 +V) +tp7019 +a(g212 +V) +tp7020 +a(g212 +V) +tp7021 +a(g6 +V\u000a +p7022 +tp7023 +a(g212 +V) +tp7024 +a(g6 +V\u000a +tp7025 +a(g212 +V) +tp7026 +a(g6 +V\u000a +tp7027 +a(g212 +V( +tp7028 +a(g100 +Vdef-compound-type +p7029 +tp7030 +a(g6 +V +tp7031 +a(g100 +VREAL +p7032 +tp7033 +a(g6 +V +tp7034 +a(g212 +V( +tp7035 +a(g138 +V&optional +p7036 +tp7037 +a(g6 +V +tp7038 +a(g212 +V( +tp7039 +a(g100 +Vlow +p7040 +tp7041 +a(g6 +V +tp7042 +a(g258 +V'* +p7043 +tp7044 +a(g212 +V) +tp7045 +a(g6 +V +tp7046 +a(g212 +V( +tp7047 +a(g100 +Vhigh +p7048 +tp7049 +a(g6 +V +tp7050 +a(g258 +V'* +p7051 +tp7052 +a(g212 +V) +tp7053 +a(g212 +V) +tp7054 +a(g6 +V +tp7055 +a(g212 +V( +tp7056 +a(g100 +Vx +tp7057 +a(g212 +V) +tp7058 +a(g6 +V\u000a +p7059 +tp7060 +a(g60 +Vnil +p7061 +tp7062 +a(g6 +V\u000a +p7063 +tp7064 +a(g212 +V( +tp7065 +a(g100 +Vtypep-number-test +p7066 +tp7067 +a(g6 +V +tp7068 +a(g100 +Vx +tp7069 +a(g6 +V +tp7070 +a(g100 +Vlow +p7071 +tp7072 +a(g6 +V +tp7073 +a(g100 +Vhigh +p7074 +tp7075 +a(g6 +V +tp7076 +a(g48 +V#' +p7077 +tp7078 +a(g84 +Vrealp +p7079 +tp7080 +a(g6 +V +tp7081 +a(g258 +V'REAL +p7082 +tp7083 +a(g212 +V) +tp7084 +a(g6 +V\u000a +p7085 +tp7086 +a(g212 +V( +tp7087 +a(g100 +Vc-typep-number +p7088 +tp7089 +a(g6 +V +tp7090 +a(g258 +V'REAL +p7091 +tp7092 +a(g6 +V +tp7093 +a(g258 +V'REALP +p7094 +tp7095 +a(g6 +V +tp7096 +a(g100 +Vlow +p7097 +tp7098 +a(g6 +V +tp7099 +a(g100 +Vhigh +p7100 +tp7101 +a(g6 +V +tp7102 +a(g100 +Vx +tp7103 +a(g212 +V) +tp7104 +a(g6 +V\u000a +tp7105 +a(g212 +V) +tp7106 +a(g6 +V\u000a +tp7107 +a(g212 +V( +tp7108 +a(g100 +Vdef-compound-type +p7109 +tp7110 +a(g6 +V +tp7111 +a(g100 +VRATIONAL +p7112 +tp7113 +a(g6 +V +tp7114 +a(g212 +V( +tp7115 +a(g138 +V&optional +p7116 +tp7117 +a(g6 +V +tp7118 +a(g212 +V( +tp7119 +a(g100 +Vlow +p7120 +tp7121 +a(g6 +V +tp7122 +a(g258 +V'* +p7123 +tp7124 +a(g212 +V) +tp7125 +a(g6 +V +tp7126 +a(g212 +V( +tp7127 +a(g100 +Vhigh +p7128 +tp7129 +a(g6 +V +tp7130 +a(g258 +V'* +p7131 +tp7132 +a(g212 +V) +tp7133 +a(g212 +V) +tp7134 +a(g6 +V +tp7135 +a(g212 +V( +tp7136 +a(g100 +Vx +tp7137 +a(g212 +V) +tp7138 +a(g6 +V\u000a +p7139 +tp7140 +a(g60 +Vnil +p7141 +tp7142 +a(g6 +V\u000a +p7143 +tp7144 +a(g212 +V( +tp7145 +a(g100 +Vtypep-number-test +p7146 +tp7147 +a(g6 +V +tp7148 +a(g100 +Vx +tp7149 +a(g6 +V +tp7150 +a(g100 +Vlow +p7151 +tp7152 +a(g6 +V +tp7153 +a(g100 +Vhigh +p7154 +tp7155 +a(g6 +V +tp7156 +a(g48 +V#' +p7157 +tp7158 +a(g84 +Vrationalp +p7159 +tp7160 +a(g6 +V +tp7161 +a(g258 +V'RATIONAL +p7162 +tp7163 +a(g212 +V) +tp7164 +a(g6 +V\u000a +p7165 +tp7166 +a(g212 +V( +tp7167 +a(g100 +Vc-typep-number +p7168 +tp7169 +a(g6 +V +tp7170 +a(g258 +V'RATIONAL +p7171 +tp7172 +a(g6 +V +tp7173 +a(g258 +V'RATIONALP +p7174 +tp7175 +a(g6 +V +tp7176 +a(g100 +Vlow +p7177 +tp7178 +a(g6 +V +tp7179 +a(g100 +Vhigh +p7180 +tp7181 +a(g6 +V +tp7182 +a(g100 +Vx +tp7183 +a(g212 +V) +tp7184 +a(g6 +V\u000a +tp7185 +a(g212 +V) +tp7186 +a(g6 +V\u000a +tp7187 +a(g212 +V( +tp7188 +a(g100 +Vdef-compound-type +p7189 +tp7190 +a(g6 +V +tp7191 +a(g100 +VFLOAT +p7192 +tp7193 +a(g6 +V +tp7194 +a(g212 +V( +tp7195 +a(g138 +V&optional +p7196 +tp7197 +a(g6 +V +tp7198 +a(g212 +V( +tp7199 +a(g100 +Vlow +p7200 +tp7201 +a(g6 +V +tp7202 +a(g258 +V'* +p7203 +tp7204 +a(g212 +V) +tp7205 +a(g6 +V +tp7206 +a(g212 +V( +tp7207 +a(g100 +Vhigh +p7208 +tp7209 +a(g6 +V +tp7210 +a(g258 +V'* +p7211 +tp7212 +a(g212 +V) +tp7213 +a(g212 +V) +tp7214 +a(g6 +V +tp7215 +a(g212 +V( +tp7216 +a(g100 +Vx +tp7217 +a(g212 +V) +tp7218 +a(g6 +V\u000a +p7219 +tp7220 +a(g60 +Vnil +p7221 +tp7222 +a(g6 +V\u000a +p7223 +tp7224 +a(g212 +V( +tp7225 +a(g100 +Vtypep-number-test +p7226 +tp7227 +a(g6 +V +tp7228 +a(g100 +Vx +tp7229 +a(g6 +V +tp7230 +a(g100 +Vlow +p7231 +tp7232 +a(g6 +V +tp7233 +a(g100 +Vhigh +p7234 +tp7235 +a(g6 +V +tp7236 +a(g48 +V#' +p7237 +tp7238 +a(g84 +Vfloatp +p7239 +tp7240 +a(g6 +V +tp7241 +a(g258 +V'FLOAT +p7242 +tp7243 +a(g212 +V) +tp7244 +a(g6 +V\u000a +p7245 +tp7246 +a(g212 +V( +tp7247 +a(g100 +Vc-typep-number +p7248 +tp7249 +a(g6 +V +tp7250 +a(g258 +V'FLOAT +p7251 +tp7252 +a(g6 +V +tp7253 +a(g258 +V'FLOATP +p7254 +tp7255 +a(g6 +V +tp7256 +a(g100 +Vlow +p7257 +tp7258 +a(g6 +V +tp7259 +a(g100 +Vhigh +p7260 +tp7261 +a(g6 +V +tp7262 +a(g100 +Vx +tp7263 +a(g212 +V) +tp7264 +a(g6 +V\u000a +tp7265 +a(g212 +V) +tp7266 +a(g6 +V\u000a +tp7267 +a(g212 +V( +tp7268 +a(g100 +Vdef-compound-type +p7269 +tp7270 +a(g6 +V +tp7271 +a(g100 +VSHORT-FLOAT +p7272 +tp7273 +a(g6 +V +tp7274 +a(g212 +V( +tp7275 +a(g138 +V&optional +p7276 +tp7277 +a(g6 +V +tp7278 +a(g212 +V( +tp7279 +a(g100 +Vlow +p7280 +tp7281 +a(g6 +V +tp7282 +a(g258 +V'* +p7283 +tp7284 +a(g212 +V) +tp7285 +a(g6 +V +tp7286 +a(g212 +V( +tp7287 +a(g100 +Vhigh +p7288 +tp7289 +a(g6 +V +tp7290 +a(g258 +V'* +p7291 +tp7292 +a(g212 +V) +tp7293 +a(g212 +V) +tp7294 +a(g6 +V +tp7295 +a(g212 +V( +tp7296 +a(g100 +Vx +tp7297 +a(g212 +V) +tp7298 +a(g6 +V\u000a +p7299 +tp7300 +a(g60 +Vnil +p7301 +tp7302 +a(g6 +V\u000a +p7303 +tp7304 +a(g212 +V( +tp7305 +a(g100 +Vtypep-number-test +p7306 +tp7307 +a(g6 +V +tp7308 +a(g100 +Vx +tp7309 +a(g6 +V +tp7310 +a(g100 +Vlow +p7311 +tp7312 +a(g6 +V +tp7313 +a(g100 +Vhigh +p7314 +tp7315 +a(g6 +V +tp7316 +a(g48 +V#' +p7317 +tp7318 +a(g100 +Vshort-float-p +p7319 +tp7320 +a(g6 +V +tp7321 +a(g258 +V'SHORT-FLOAT +p7322 +tp7323 +a(g212 +V) +tp7324 +a(g6 +V\u000a +p7325 +tp7326 +a(g212 +V( +tp7327 +a(g100 +Vc-typep-number +p7328 +tp7329 +a(g6 +V +tp7330 +a(g258 +V'SHORT-FLOAT +p7331 +tp7332 +a(g6 +V +tp7333 +a(g258 +V'SHORT-FLOAT-P +p7334 +tp7335 +a(g6 +V +tp7336 +a(g100 +Vlow +p7337 +tp7338 +a(g6 +V +tp7339 +a(g100 +Vhigh +p7340 +tp7341 +a(g6 +V +tp7342 +a(g100 +Vx +tp7343 +a(g212 +V) +tp7344 +a(g6 +V\u000a +tp7345 +a(g212 +V) +tp7346 +a(g6 +V\u000a +tp7347 +a(g212 +V( +tp7348 +a(g100 +Vdef-compound-type +p7349 +tp7350 +a(g6 +V +tp7351 +a(g100 +VSINGLE-FLOAT +p7352 +tp7353 +a(g6 +V +tp7354 +a(g212 +V( +tp7355 +a(g138 +V&optional +p7356 +tp7357 +a(g6 +V +tp7358 +a(g212 +V( +tp7359 +a(g100 +Vlow +p7360 +tp7361 +a(g6 +V +tp7362 +a(g258 +V'* +p7363 +tp7364 +a(g212 +V) +tp7365 +a(g6 +V +tp7366 +a(g212 +V( +tp7367 +a(g100 +Vhigh +p7368 +tp7369 +a(g6 +V +tp7370 +a(g258 +V'* +p7371 +tp7372 +a(g212 +V) +tp7373 +a(g212 +V) +tp7374 +a(g6 +V +tp7375 +a(g212 +V( +tp7376 +a(g100 +Vx +tp7377 +a(g212 +V) +tp7378 +a(g6 +V\u000a +p7379 +tp7380 +a(g60 +Vnil +p7381 +tp7382 +a(g6 +V\u000a +p7383 +tp7384 +a(g212 +V( +tp7385 +a(g100 +Vtypep-number-test +p7386 +tp7387 +a(g6 +V +tp7388 +a(g100 +Vx +tp7389 +a(g6 +V +tp7390 +a(g100 +Vlow +p7391 +tp7392 +a(g6 +V +tp7393 +a(g100 +Vhigh +p7394 +tp7395 +a(g6 +V +tp7396 +a(g48 +V#' +p7397 +tp7398 +a(g100 +Vsingle-float-p +p7399 +tp7400 +a(g6 +V +tp7401 +a(g258 +V'SINGLE-FLOAT +p7402 +tp7403 +a(g212 +V) +tp7404 +a(g6 +V\u000a +p7405 +tp7406 +a(g212 +V( +tp7407 +a(g100 +Vc-typep-number +p7408 +tp7409 +a(g6 +V +tp7410 +a(g258 +V'SINGLE-FLOAT +p7411 +tp7412 +a(g6 +V +tp7413 +a(g258 +V'SINGLE-FLOAT-P +p7414 +tp7415 +a(g6 +V +tp7416 +a(g100 +Vlow +p7417 +tp7418 +a(g6 +V +tp7419 +a(g100 +Vhigh +p7420 +tp7421 +a(g6 +V +tp7422 +a(g100 +Vx +tp7423 +a(g212 +V) +tp7424 +a(g6 +V\u000a +tp7425 +a(g212 +V) +tp7426 +a(g6 +V\u000a +tp7427 +a(g212 +V( +tp7428 +a(g100 +Vdef-compound-type +p7429 +tp7430 +a(g6 +V +tp7431 +a(g100 +VDOUBLE-FLOAT +p7432 +tp7433 +a(g6 +V +tp7434 +a(g212 +V( +tp7435 +a(g138 +V&optional +p7436 +tp7437 +a(g6 +V +tp7438 +a(g212 +V( +tp7439 +a(g100 +Vlow +p7440 +tp7441 +a(g6 +V +tp7442 +a(g258 +V'* +p7443 +tp7444 +a(g212 +V) +tp7445 +a(g6 +V +tp7446 +a(g212 +V( +tp7447 +a(g100 +Vhigh +p7448 +tp7449 +a(g6 +V +tp7450 +a(g258 +V'* +p7451 +tp7452 +a(g212 +V) +tp7453 +a(g212 +V) +tp7454 +a(g6 +V +tp7455 +a(g212 +V( +tp7456 +a(g100 +Vx +tp7457 +a(g212 +V) +tp7458 +a(g6 +V\u000a +p7459 +tp7460 +a(g60 +Vnil +p7461 +tp7462 +a(g6 +V\u000a +p7463 +tp7464 +a(g212 +V( +tp7465 +a(g100 +Vtypep-number-test +p7466 +tp7467 +a(g6 +V +tp7468 +a(g100 +Vx +tp7469 +a(g6 +V +tp7470 +a(g100 +Vlow +p7471 +tp7472 +a(g6 +V +tp7473 +a(g100 +Vhigh +p7474 +tp7475 +a(g6 +V +tp7476 +a(g48 +V#' +p7477 +tp7478 +a(g100 +Vdouble-float-p +p7479 +tp7480 +a(g6 +V +tp7481 +a(g258 +V'DOUBLE-FLOAT +p7482 +tp7483 +a(g212 +V) +tp7484 +a(g6 +V\u000a +p7485 +tp7486 +a(g212 +V( +tp7487 +a(g100 +Vc-typep-number +p7488 +tp7489 +a(g6 +V +tp7490 +a(g258 +V'DOUBLE-FLOAT +p7491 +tp7492 +a(g6 +V +tp7493 +a(g258 +V'DOUBLE-FLOAT-P +p7494 +tp7495 +a(g6 +V +tp7496 +a(g100 +Vlow +p7497 +tp7498 +a(g6 +V +tp7499 +a(g100 +Vhigh +p7500 +tp7501 +a(g6 +V +tp7502 +a(g100 +Vx +tp7503 +a(g212 +V) +tp7504 +a(g6 +V\u000a +tp7505 +a(g212 +V) +tp7506 +a(g6 +V\u000a +tp7507 +a(g212 +V( +tp7508 +a(g100 +Vdef-compound-type +p7509 +tp7510 +a(g6 +V +tp7511 +a(g100 +VLONG-FLOAT +p7512 +tp7513 +a(g6 +V +tp7514 +a(g212 +V( +tp7515 +a(g138 +V&optional +p7516 +tp7517 +a(g6 +V +tp7518 +a(g212 +V( +tp7519 +a(g100 +Vlow +p7520 +tp7521 +a(g6 +V +tp7522 +a(g258 +V'* +p7523 +tp7524 +a(g212 +V) +tp7525 +a(g6 +V +tp7526 +a(g212 +V( +tp7527 +a(g100 +Vhigh +p7528 +tp7529 +a(g6 +V +tp7530 +a(g258 +V'* +p7531 +tp7532 +a(g212 +V) +tp7533 +a(g212 +V) +tp7534 +a(g6 +V +tp7535 +a(g212 +V( +tp7536 +a(g100 +Vx +tp7537 +a(g212 +V) +tp7538 +a(g6 +V\u000a +p7539 +tp7540 +a(g60 +Vnil +p7541 +tp7542 +a(g6 +V\u000a +p7543 +tp7544 +a(g212 +V( +tp7545 +a(g100 +Vtypep-number-test +p7546 +tp7547 +a(g6 +V +tp7548 +a(g100 +Vx +tp7549 +a(g6 +V +tp7550 +a(g100 +Vlow +p7551 +tp7552 +a(g6 +V +tp7553 +a(g100 +Vhigh +p7554 +tp7555 +a(g6 +V +tp7556 +a(g48 +V#' +p7557 +tp7558 +a(g100 +Vlong-float-p +p7559 +tp7560 +a(g6 +V +tp7561 +a(g258 +V'LONG-FLOAT +p7562 +tp7563 +a(g212 +V) +tp7564 +a(g6 +V\u000a +p7565 +tp7566 +a(g212 +V( +tp7567 +a(g100 +Vc-typep-number +p7568 +tp7569 +a(g6 +V +tp7570 +a(g258 +V'LONG-FLOAT +p7571 +tp7572 +a(g6 +V +tp7573 +a(g258 +V'LONG-FLOAT-P +p7574 +tp7575 +a(g6 +V +tp7576 +a(g100 +Vlow +p7577 +tp7578 +a(g6 +V +tp7579 +a(g100 +Vhigh +p7580 +tp7581 +a(g6 +V +tp7582 +a(g100 +Vx +tp7583 +a(g212 +V) +tp7584 +a(g6 +V\u000a +tp7585 +a(g212 +V) +tp7586 +a(g6 +V\u000a +tp7587 +a(g212 +V( +tp7588 +a(g100 +Vdef-compound-type +p7589 +tp7590 +a(g6 +V +tp7591 +a(g100 +VSTRING +p7592 +tp7593 +a(g6 +V +tp7594 +a(g212 +V( +tp7595 +a(g138 +V&optional +p7596 +tp7597 +a(g6 +V +tp7598 +a(g212 +V( +tp7599 +a(g100 +Vsize +p7600 +tp7601 +a(g6 +V +tp7602 +a(g258 +V'* +p7603 +tp7604 +a(g212 +V) +tp7605 +a(g212 +V) +tp7606 +a(g6 +V +tp7607 +a(g212 +V( +tp7608 +a(g100 +Vx +tp7609 +a(g212 +V) +tp7610 +a(g6 +V\u000a +p7611 +tp7612 +a(g212 +V( +tp7613 +a(g100 +Vensure-dim +p7614 +tp7615 +a(g6 +V +tp7616 +a(g100 +VSTRING +p7617 +tp7618 +a(g6 +V +tp7619 +a(g100 +Vsize +p7620 +tp7621 +a(g212 +V) +tp7622 +a(g6 +V\u000a +p7623 +tp7624 +a(g212 +V( +tp7625 +a(g84 +Vand +p7626 +tp7627 +a(g6 +V +tp7628 +a(g212 +V( +tp7629 +a(g84 +Vstringp +p7630 +tp7631 +a(g6 +V +tp7632 +a(g100 +Vx +tp7633 +a(g212 +V) +tp7634 +a(g6 +V\u000a +p7635 +tp7636 +a(g212 +V( +tp7637 +a(g84 +Vor +p7638 +tp7639 +a(g6 +V +tp7640 +a(g212 +V( +tp7641 +a(g84 +Veq +p7642 +tp7643 +a(g6 +V +tp7644 +a(g100 +Vsize +p7645 +tp7646 +a(g6 +V +tp7647 +a(g258 +V'* +p7648 +tp7649 +a(g212 +V) +tp7650 +a(g6 +V +tp7651 +a(g212 +V( +tp7652 +a(g84 +Veql +p7653 +tp7654 +a(g6 +V +tp7655 +a(g100 +Vsize +p7656 +tp7657 +a(g6 +V +tp7658 +a(g212 +V( +tp7659 +a(g84 +Varray-dimension +p7660 +tp7661 +a(g6 +V +tp7662 +a(g100 +Vx +tp7663 +a(g6 +V +tp7664 +a(g328 +V0 +tp7665 +a(g212 +V) +tp7666 +a(g212 +V) +tp7667 +a(g212 +V) +tp7668 +a(g6 +V\u000a +p7669 +tp7670 +a(g212 +V) +tp7671 +a(g6 +V\u000a +p7672 +tp7673 +a(g212 +V( +tp7674 +a(g100 +Vc-typep-vector +p7675 +tp7676 +a(g6 +V +tp7677 +a(g258 +V'STRINGP +p7678 +tp7679 +a(g6 +V +tp7680 +a(g100 +Vsize +p7681 +tp7682 +a(g6 +V +tp7683 +a(g100 +Vx +tp7684 +a(g212 +V) +tp7685 +a(g6 +V\u000a +tp7686 +a(g212 +V) +tp7687 +a(g6 +V\u000a +tp7688 +a(g212 +V( +tp7689 +a(g100 +Vdef-compound-type +p7690 +tp7691 +a(g6 +V +tp7692 +a(g100 +VSIMPLE-STRING +p7693 +tp7694 +a(g6 +V +tp7695 +a(g212 +V( +tp7696 +a(g138 +V&optional +p7697 +tp7698 +a(g6 +V +tp7699 +a(g212 +V( +tp7700 +a(g100 +Vsize +p7701 +tp7702 +a(g6 +V +tp7703 +a(g258 +V'* +p7704 +tp7705 +a(g212 +V) +tp7706 +a(g212 +V) +tp7707 +a(g6 +V +tp7708 +a(g212 +V( +tp7709 +a(g100 +Vx +tp7710 +a(g212 +V) +tp7711 +a(g6 +V\u000a +p7712 +tp7713 +a(g212 +V( +tp7714 +a(g100 +Vensure-dim +p7715 +tp7716 +a(g6 +V +tp7717 +a(g100 +VSIMPLE-STRING +p7718 +tp7719 +a(g6 +V +tp7720 +a(g100 +Vsize +p7721 +tp7722 +a(g212 +V) +tp7723 +a(g6 +V\u000a +p7724 +tp7725 +a(g212 +V( +tp7726 +a(g84 +Vand +p7727 +tp7728 +a(g6 +V +tp7729 +a(g212 +V( +tp7730 +a(g84 +Vsimple-string-p +p7731 +tp7732 +a(g6 +V +tp7733 +a(g100 +Vx +tp7734 +a(g212 +V) +tp7735 +a(g6 +V\u000a +p7736 +tp7737 +a(g212 +V( +tp7738 +a(g84 +Vor +p7739 +tp7740 +a(g6 +V +tp7741 +a(g212 +V( +tp7742 +a(g84 +Veq +p7743 +tp7744 +a(g6 +V +tp7745 +a(g100 +Vsize +p7746 +tp7747 +a(g6 +V +tp7748 +a(g258 +V'* +p7749 +tp7750 +a(g212 +V) +tp7751 +a(g6 +V +tp7752 +a(g212 +V( +tp7753 +a(g84 +Veql +p7754 +tp7755 +a(g6 +V +tp7756 +a(g100 +Vsize +p7757 +tp7758 +a(g6 +V +tp7759 +a(g212 +V( +tp7760 +a(g84 +Varray-dimension +p7761 +tp7762 +a(g6 +V +tp7763 +a(g100 +Vx +tp7764 +a(g6 +V +tp7765 +a(g328 +V0 +tp7766 +a(g212 +V) +tp7767 +a(g212 +V) +tp7768 +a(g212 +V) +tp7769 +a(g6 +V\u000a +p7770 +tp7771 +a(g212 +V) +tp7772 +a(g6 +V\u000a +p7773 +tp7774 +a(g212 +V( +tp7775 +a(g100 +Vc-typep-vector +p7776 +tp7777 +a(g6 +V +tp7778 +a(g258 +V'SIMPLE-STRING-P +p7779 +tp7780 +a(g6 +V +tp7781 +a(g100 +Vsize +p7782 +tp7783 +a(g6 +V +tp7784 +a(g100 +Vx +tp7785 +a(g212 +V) +tp7786 +a(g6 +V\u000a +tp7787 +a(g212 +V) +tp7788 +a(g6 +V\u000a +tp7789 +a(g212 +V( +tp7790 +a(g100 +Vdef-compound-type +p7791 +tp7792 +a(g6 +V +tp7793 +a(g100 +VBASE-STRING +p7794 +tp7795 +a(g6 +V +tp7796 +a(g212 +V( +tp7797 +a(g138 +V&optional +p7798 +tp7799 +a(g6 +V +tp7800 +a(g212 +V( +tp7801 +a(g100 +Vsize +p7802 +tp7803 +a(g6 +V +tp7804 +a(g258 +V'* +p7805 +tp7806 +a(g212 +V) +tp7807 +a(g212 +V) +tp7808 +a(g6 +V +tp7809 +a(g212 +V( +tp7810 +a(g100 +Vx +tp7811 +a(g212 +V) +tp7812 +a(g6 +V\u000a +p7813 +tp7814 +a(g212 +V( +tp7815 +a(g100 +Vensure-dim +p7816 +tp7817 +a(g6 +V +tp7818 +a(g100 +VBASE-STRING +p7819 +tp7820 +a(g6 +V +tp7821 +a(g100 +Vsize +p7822 +tp7823 +a(g212 +V) +tp7824 +a(g6 +V\u000a +p7825 +tp7826 +a(g212 +V( +tp7827 +a(g84 +Vand +p7828 +tp7829 +a(g6 +V +tp7830 +a(g212 +V( +tp7831 +a(g84 +Vstringp +p7832 +tp7833 +a(g6 +V +tp7834 +a(g100 +Vx +tp7835 +a(g212 +V) +tp7836 +a(g6 +V\u000a +p7837 +tp7838 +a(g212 +V( +tp7839 +a(g84 +Vor +p7840 +tp7841 +a(g6 +V +tp7842 +a(g212 +V( +tp7843 +a(g84 +Veq +p7844 +tp7845 +a(g6 +V +tp7846 +a(g100 +Vsize +p7847 +tp7848 +a(g6 +V +tp7849 +a(g258 +V'* +p7850 +tp7851 +a(g212 +V) +tp7852 +a(g6 +V +tp7853 +a(g212 +V( +tp7854 +a(g84 +Veql +p7855 +tp7856 +a(g6 +V +tp7857 +a(g100 +Vsize +p7858 +tp7859 +a(g6 +V +tp7860 +a(g212 +V( +tp7861 +a(g84 +Varray-dimension +p7862 +tp7863 +a(g6 +V +tp7864 +a(g100 +Vx +tp7865 +a(g6 +V +tp7866 +a(g328 +V0 +tp7867 +a(g212 +V) +tp7868 +a(g212 +V) +tp7869 +a(g212 +V) +tp7870 +a(g6 +V\u000a +p7871 +tp7872 +a(g212 +V) +tp7873 +a(g6 +V\u000a +p7874 +tp7875 +a(g212 +V( +tp7876 +a(g100 +Vc-typep-vector +p7877 +tp7878 +a(g6 +V +tp7879 +a(g258 +V'STRINGP +p7880 +tp7881 +a(g6 +V +tp7882 +a(g100 +Vsize +p7883 +tp7884 +a(g6 +V +tp7885 +a(g100 +Vx +tp7886 +a(g212 +V) +tp7887 +a(g6 +V\u000a +tp7888 +a(g212 +V) +tp7889 +a(g6 +V\u000a +tp7890 +a(g212 +V( +tp7891 +a(g100 +Vdef-compound-type +p7892 +tp7893 +a(g6 +V +tp7894 +a(g100 +VSIMPLE-BASE-STRING +p7895 +tp7896 +a(g6 +V +tp7897 +a(g212 +V( +tp7898 +a(g138 +V&optional +p7899 +tp7900 +a(g6 +V +tp7901 +a(g212 +V( +tp7902 +a(g100 +Vsize +p7903 +tp7904 +a(g6 +V +tp7905 +a(g258 +V'* +p7906 +tp7907 +a(g212 +V) +tp7908 +a(g212 +V) +tp7909 +a(g6 +V +tp7910 +a(g212 +V( +tp7911 +a(g100 +Vx +tp7912 +a(g212 +V) +tp7913 +a(g6 +V\u000a +p7914 +tp7915 +a(g212 +V( +tp7916 +a(g100 +Vensure-dim +p7917 +tp7918 +a(g6 +V +tp7919 +a(g100 +VSIMPLE-BASE-STRING +p7920 +tp7921 +a(g6 +V +tp7922 +a(g100 +Vsize +p7923 +tp7924 +a(g212 +V) +tp7925 +a(g6 +V\u000a +p7926 +tp7927 +a(g212 +V( +tp7928 +a(g84 +Vand +p7929 +tp7930 +a(g6 +V +tp7931 +a(g212 +V( +tp7932 +a(g84 +Vsimple-string-p +p7933 +tp7934 +a(g6 +V +tp7935 +a(g100 +Vx +tp7936 +a(g212 +V) +tp7937 +a(g6 +V\u000a +p7938 +tp7939 +a(g212 +V( +tp7940 +a(g84 +Vor +p7941 +tp7942 +a(g6 +V +tp7943 +a(g212 +V( +tp7944 +a(g84 +Veq +p7945 +tp7946 +a(g6 +V +tp7947 +a(g100 +Vsize +p7948 +tp7949 +a(g6 +V +tp7950 +a(g258 +V'* +p7951 +tp7952 +a(g212 +V) +tp7953 +a(g6 +V +tp7954 +a(g212 +V( +tp7955 +a(g84 +Veql +p7956 +tp7957 +a(g6 +V +tp7958 +a(g100 +Vsize +p7959 +tp7960 +a(g6 +V +tp7961 +a(g212 +V( +tp7962 +a(g84 +Varray-dimension +p7963 +tp7964 +a(g6 +V +tp7965 +a(g100 +Vx +tp7966 +a(g6 +V +tp7967 +a(g328 +V0 +tp7968 +a(g212 +V) +tp7969 +a(g212 +V) +tp7970 +a(g212 +V) +tp7971 +a(g6 +V\u000a +p7972 +tp7973 +a(g212 +V) +tp7974 +a(g6 +V\u000a +p7975 +tp7976 +a(g212 +V( +tp7977 +a(g100 +Vc-typep-vector +p7978 +tp7979 +a(g6 +V +tp7980 +a(g258 +V'SIMPLE-STRING-P +p7981 +tp7982 +a(g6 +V +tp7983 +a(g100 +Vsize +p7984 +tp7985 +a(g6 +V +tp7986 +a(g100 +Vx +tp7987 +a(g212 +V) +tp7988 +a(g6 +V\u000a +tp7989 +a(g212 +V) +tp7990 +a(g6 +V\u000a +tp7991 +a(g212 +V( +tp7992 +a(g100 +Vdef-compound-type +p7993 +tp7994 +a(g6 +V +tp7995 +a(g100 +VBIT-VECTOR +p7996 +tp7997 +a(g6 +V +tp7998 +a(g212 +V( +tp7999 +a(g138 +V&optional +p8000 +tp8001 +a(g6 +V +tp8002 +a(g212 +V( +tp8003 +a(g100 +Vsize +p8004 +tp8005 +a(g6 +V +tp8006 +a(g258 +V'* +p8007 +tp8008 +a(g212 +V) +tp8009 +a(g212 +V) +tp8010 +a(g6 +V +tp8011 +a(g212 +V( +tp8012 +a(g100 +Vx +tp8013 +a(g212 +V) +tp8014 +a(g6 +V\u000a +p8015 +tp8016 +a(g212 +V( +tp8017 +a(g100 +Vensure-dim +p8018 +tp8019 +a(g6 +V +tp8020 +a(g100 +VBIT-VECTOR +p8021 +tp8022 +a(g6 +V +tp8023 +a(g100 +Vsize +p8024 +tp8025 +a(g212 +V) +tp8026 +a(g6 +V\u000a +p8027 +tp8028 +a(g212 +V( +tp8029 +a(g84 +Vand +p8030 +tp8031 +a(g6 +V +tp8032 +a(g212 +V( +tp8033 +a(g84 +Vbit-vector-p +p8034 +tp8035 +a(g6 +V +tp8036 +a(g100 +Vx +tp8037 +a(g212 +V) +tp8038 +a(g6 +V\u000a +p8039 +tp8040 +a(g212 +V( +tp8041 +a(g84 +Vor +p8042 +tp8043 +a(g6 +V +tp8044 +a(g212 +V( +tp8045 +a(g84 +Veq +p8046 +tp8047 +a(g6 +V +tp8048 +a(g100 +Vsize +p8049 +tp8050 +a(g6 +V +tp8051 +a(g258 +V'* +p8052 +tp8053 +a(g212 +V) +tp8054 +a(g6 +V +tp8055 +a(g212 +V( +tp8056 +a(g84 +Veql +p8057 +tp8058 +a(g6 +V +tp8059 +a(g100 +Vsize +p8060 +tp8061 +a(g6 +V +tp8062 +a(g212 +V( +tp8063 +a(g84 +Varray-dimension +p8064 +tp8065 +a(g6 +V +tp8066 +a(g100 +Vx +tp8067 +a(g6 +V +tp8068 +a(g328 +V0 +tp8069 +a(g212 +V) +tp8070 +a(g212 +V) +tp8071 +a(g212 +V) +tp8072 +a(g6 +V\u000a +p8073 +tp8074 +a(g212 +V) +tp8075 +a(g6 +V\u000a +p8076 +tp8077 +a(g212 +V( +tp8078 +a(g100 +Vc-typep-vector +p8079 +tp8080 +a(g6 +V +tp8081 +a(g258 +V'BIT-VECTOR-P +p8082 +tp8083 +a(g6 +V +tp8084 +a(g100 +Vsize +p8085 +tp8086 +a(g6 +V +tp8087 +a(g100 +Vx +tp8088 +a(g212 +V) +tp8089 +a(g6 +V\u000a +tp8090 +a(g212 +V) +tp8091 +a(g6 +V\u000a +tp8092 +a(g212 +V( +tp8093 +a(g100 +Vdef-compound-type +p8094 +tp8095 +a(g6 +V +tp8096 +a(g100 +VSIMPLE-BIT-VECTOR +p8097 +tp8098 +a(g6 +V +tp8099 +a(g212 +V( +tp8100 +a(g138 +V&optional +p8101 +tp8102 +a(g6 +V +tp8103 +a(g212 +V( +tp8104 +a(g100 +Vsize +p8105 +tp8106 +a(g6 +V +tp8107 +a(g258 +V'* +p8108 +tp8109 +a(g212 +V) +tp8110 +a(g212 +V) +tp8111 +a(g6 +V +tp8112 +a(g212 +V( +tp8113 +a(g100 +Vx +tp8114 +a(g212 +V) +tp8115 +a(g6 +V\u000a +p8116 +tp8117 +a(g212 +V( +tp8118 +a(g100 +Vensure-dim +p8119 +tp8120 +a(g6 +V +tp8121 +a(g100 +VSIMPLE-BIT-VECTOR +p8122 +tp8123 +a(g6 +V +tp8124 +a(g100 +Vsize +p8125 +tp8126 +a(g212 +V) +tp8127 +a(g6 +V\u000a +p8128 +tp8129 +a(g212 +V( +tp8130 +a(g84 +Vand +p8131 +tp8132 +a(g6 +V +tp8133 +a(g212 +V( +tp8134 +a(g84 +Vsimple-bit-vector-p +p8135 +tp8136 +a(g6 +V +tp8137 +a(g100 +Vx +tp8138 +a(g212 +V) +tp8139 +a(g6 +V\u000a +p8140 +tp8141 +a(g212 +V( +tp8142 +a(g84 +Vor +p8143 +tp8144 +a(g6 +V +tp8145 +a(g212 +V( +tp8146 +a(g84 +Veq +p8147 +tp8148 +a(g6 +V +tp8149 +a(g100 +Vsize +p8150 +tp8151 +a(g6 +V +tp8152 +a(g258 +V'* +p8153 +tp8154 +a(g212 +V) +tp8155 +a(g6 +V +tp8156 +a(g212 +V( +tp8157 +a(g84 +Veql +p8158 +tp8159 +a(g6 +V +tp8160 +a(g100 +Vsize +p8161 +tp8162 +a(g6 +V +tp8163 +a(g212 +V( +tp8164 +a(g84 +Varray-dimension +p8165 +tp8166 +a(g6 +V +tp8167 +a(g100 +Vx +tp8168 +a(g6 +V +tp8169 +a(g328 +V0 +tp8170 +a(g212 +V) +tp8171 +a(g212 +V) +tp8172 +a(g212 +V) +tp8173 +a(g6 +V\u000a +p8174 +tp8175 +a(g212 +V) +tp8176 +a(g6 +V\u000a +p8177 +tp8178 +a(g212 +V( +tp8179 +a(g100 +Vc-typep-vector +p8180 +tp8181 +a(g6 +V +tp8182 +a(g258 +V'SIMPLE-BIT-VECTOR-P +p8183 +tp8184 +a(g6 +V +tp8185 +a(g100 +Vsize +p8186 +tp8187 +a(g6 +V +tp8188 +a(g100 +Vx +tp8189 +a(g212 +V) +tp8190 +a(g6 +V\u000a +tp8191 +a(g212 +V) +tp8192 +a(g6 +V\u000a +tp8193 +a(g212 +V( +tp8194 +a(g100 +Vdef-compound-type +p8195 +tp8196 +a(g6 +V +tp8197 +a(g100 +VCONS +p8198 +tp8199 +a(g6 +V +tp8200 +a(g212 +V( +tp8201 +a(g138 +V&optional +p8202 +tp8203 +a(g6 +V +tp8204 +a(g212 +V( +tp8205 +a(g100 +Vcar-type +p8206 +tp8207 +a(g6 +V +tp8208 +a(g258 +V'* +p8209 +tp8210 +a(g212 +V) +tp8211 +a(g6 +V +tp8212 +a(g212 +V( +tp8213 +a(g100 +Vcdr-type +p8214 +tp8215 +a(g6 +V +tp8216 +a(g258 +V'* +p8217 +tp8218 +a(g212 +V) +tp8219 +a(g212 +V) +tp8220 +a(g6 +V +tp8221 +a(g212 +V( +tp8222 +a(g100 +Vx +tp8223 +a(g212 +V) +tp8224 +a(g6 +V\u000a +p8225 +tp8226 +a(g60 +Vnil +p8227 +tp8228 +a(g6 +V\u000a +p8229 +tp8230 +a(g212 +V( +tp8231 +a(g84 +Vand +p8232 +tp8233 +a(g6 +V +tp8234 +a(g212 +V( +tp8235 +a(g84 +Vconsp +p8236 +tp8237 +a(g6 +V +tp8238 +a(g100 +Vx +tp8239 +a(g212 +V) +tp8240 +a(g6 +V\u000a +p8241 +tp8242 +a(g212 +V( +tp8243 +a(g84 +Vor +p8244 +tp8245 +a(g6 +V +tp8246 +a(g212 +V( +tp8247 +a(g84 +Veq +p8248 +tp8249 +a(g6 +V +tp8250 +a(g100 +Vcar-type +p8251 +tp8252 +a(g6 +V +tp8253 +a(g258 +V'* +p8254 +tp8255 +a(g212 +V) +tp8256 +a(g6 +V +tp8257 +a(g212 +V( +tp8258 +a(g84 +Vtypep +p8259 +tp8260 +a(g6 +V +tp8261 +a(g212 +V( +tp8262 +a(g84 +Vcar +p8263 +tp8264 +a(g6 +V +tp8265 +a(g100 +Vx +tp8266 +a(g212 +V) +tp8267 +a(g6 +V +tp8268 +a(g100 +Vcar-type +p8269 +tp8270 +a(g212 +V) +tp8271 +a(g212 +V) +tp8272 +a(g6 +V\u000a +p8273 +tp8274 +a(g212 +V( +tp8275 +a(g84 +Vor +p8276 +tp8277 +a(g6 +V +tp8278 +a(g212 +V( +tp8279 +a(g84 +Veq +p8280 +tp8281 +a(g6 +V +tp8282 +a(g100 +Vcdr-type +p8283 +tp8284 +a(g6 +V +tp8285 +a(g258 +V'* +p8286 +tp8287 +a(g212 +V) +tp8288 +a(g6 +V +tp8289 +a(g212 +V( +tp8290 +a(g84 +Vtypep +p8291 +tp8292 +a(g6 +V +tp8293 +a(g212 +V( +tp8294 +a(g84 +Vcdr +p8295 +tp8296 +a(g6 +V +tp8297 +a(g100 +Vx +tp8298 +a(g212 +V) +tp8299 +a(g6 +V +tp8300 +a(g100 +Vcdr-type +p8301 +tp8302 +a(g212 +V) +tp8303 +a(g212 +V) +tp8304 +a(g6 +V\u000a +p8305 +tp8306 +a(g212 +V) +tp8307 +a(g6 +V\u000a +p8308 +tp8309 +a(g353 +V` +tp8310 +a(g212 +V( +tp8311 +a(g100 +VAND +p8312 +tp8313 +a(g6 +V +tp8314 +a(g212 +V( +tp8315 +a(g100 +VCONSP +p8316 +tp8317 +a(g6 +V +tp8318 +a(g353 +V, +tp8319 +a(g100 +Vx +tp8320 +a(g212 +V) +tp8321 +a(g6 +V\u000a +p8322 +tp8323 +a(g353 +V,@ +p8324 +tp8325 +a(g212 +V( +tp8326 +a(g138 +Vif +p8327 +tp8328 +a(g6 +V +tp8329 +a(g212 +V( +tp8330 +a(g84 +Veq +p8331 +tp8332 +a(g6 +V +tp8333 +a(g100 +Vcar-type +p8334 +tp8335 +a(g6 +V +tp8336 +a(g258 +V'* +p8337 +tp8338 +a(g212 +V) +tp8339 +a(g6 +V +tp8340 +a(g353 +V' +tp8341 +a(g212 +V( +tp8342 +a(g212 +V) +tp8343 +a(g6 +V +tp8344 +a(g353 +V` +tp8345 +a(g212 +V( +tp8346 +a(g212 +V( +tp8347 +a(g100 +VTYPEP +p8348 +tp8349 +a(g6 +V +tp8350 +a(g212 +V( +tp8351 +a(g100 +VCAR +p8352 +tp8353 +a(g6 +V +tp8354 +a(g353 +V, +tp8355 +a(g100 +Vx +tp8356 +a(g212 +V) +tp8357 +a(g6 +V +tp8358 +a(g258 +V',car-type +p8359 +tp8360 +a(g212 +V) +tp8361 +a(g212 +V) +tp8362 +a(g212 +V) +tp8363 +a(g6 +V\u000a +p8364 +tp8365 +a(g353 +V,@ +p8366 +tp8367 +a(g212 +V( +tp8368 +a(g138 +Vif +p8369 +tp8370 +a(g6 +V +tp8371 +a(g212 +V( +tp8372 +a(g84 +Veq +p8373 +tp8374 +a(g6 +V +tp8375 +a(g100 +Vcdr-type +p8376 +tp8377 +a(g6 +V +tp8378 +a(g258 +V'* +p8379 +tp8380 +a(g212 +V) +tp8381 +a(g6 +V +tp8382 +a(g353 +V' +tp8383 +a(g212 +V( +tp8384 +a(g212 +V) +tp8385 +a(g6 +V +tp8386 +a(g353 +V` +tp8387 +a(g212 +V( +tp8388 +a(g212 +V( +tp8389 +a(g100 +VTYPEP +p8390 +tp8391 +a(g6 +V +tp8392 +a(g212 +V( +tp8393 +a(g100 +VCDR +p8394 +tp8395 +a(g6 +V +tp8396 +a(g353 +V, +tp8397 +a(g100 +Vx +tp8398 +a(g212 +V) +tp8399 +a(g6 +V +tp8400 +a(g258 +V',cdr-type +p8401 +tp8402 +a(g212 +V) +tp8403 +a(g212 +V) +tp8404 +a(g212 +V) +tp8405 +a(g6 +V\u000a +p8406 +tp8407 +a(g212 +V) +tp8408 +a(g6 +V\u000a +tp8409 +a(g212 +V) +tp8410 +a(g6 +V\u000a\u000a +p8411 +tp8412 +a(g212 +V( +tp8413 +a(g84 +Vfmakunbound +p8414 +tp8415 +a(g6 +V +tp8416 +a(g258 +V'def-compound-type +p8417 +tp8418 +a(g212 +V) +tp8419 +a(g6 +V\u000a\u000a +p8420 +tp8421 +a(g31 +V;; ---------------------------------------------------------------------------- +p8422 +tp8423 +a(g6 +V\u000a\u000a +p8424 +tp8425 +a(g31 +V; Typtest ohne Gefahr einer Fehlermeldung. Für SIGNAL und HANDLER-BIND. +p8426 +tp8427 +a(g6 +V\u000a +tp8428 +a(g212 +V( +tp8429 +a(g84 +Vdefun +p8430 +tp8431 +a(g6 +V +tp8432 +a(g100 +Vsafe-typep +p8433 +tp8434 +a(g6 +V +tp8435 +a(g212 +V( +tp8436 +a(g100 +Vx +tp8437 +a(g6 +V +tp8438 +a(g100 +Vy +tp8439 +a(g6 +V +tp8440 +a(g138 +V&optional +p8441 +tp8442 +a(g6 +V +tp8443 +a(g100 +Venv +p8444 +tp8445 +a(g212 +V) +tp8446 +a(g6 +V\u000a +p8447 +tp8448 +a(g212 +V( +tp8449 +a(g138 +Vlet +p8450 +tp8451 +a(g6 +V +tp8452 +a(g212 +V( +tp8453 +a(g212 +V( +tp8454 +a(g103 +V*error-handler* +p8455 +tp8456 +a(g6 +V\u000a +p8457 +tp8458 +a(g48 +V#' +p8459 +tp8460 +a(g212 +V( +tp8461 +a(g138 +Vlambda +p8462 +tp8463 +a(g6 +V +tp8464 +a(g212 +V( +tp8465 +a(g138 +V&rest +p8466 +tp8467 +a(g6 +V +tp8468 +a(g100 +Verror-args +p8469 +tp8470 +a(g212 +V) +tp8471 +a(g6 +V\u000a +p8472 +tp8473 +a(g212 +V( +tp8474 +a(g138 +Vdeclare +p8475 +tp8476 +a(g6 +V +tp8477 +a(g212 +V( +tp8478 +a(g138 +Vignore +p8479 +tp8480 +a(g6 +V +tp8481 +a(g100 +Verror-args +p8482 +tp8483 +a(g212 +V) +tp8484 +a(g212 +V) +tp8485 +a(g6 +V\u000a +p8486 +tp8487 +a(g212 +V( +tp8488 +a(g138 +Vreturn-from +p8489 +tp8490 +a(g6 +V +tp8491 +a(g100 +Vsafe-typep +p8492 +tp8493 +a(g6 +V +tp8494 +a(g212 +V( +tp8495 +a(g84 +Vvalues +p8496 +tp8497 +a(g6 +V +tp8498 +a(g60 +Vnil +p8499 +tp8500 +a(g6 +V +tp8501 +a(g60 +Vnil +p8502 +tp8503 +a(g212 +V) +tp8504 +a(g212 +V) +tp8505 +a(g6 +V\u000a +p8506 +tp8507 +a(g212 +V) +tp8508 +a(g212 +V) +tp8509 +a(g6 +V +p8510 +tp8511 +a(g212 +V) +tp8512 +a(g6 +V\u000a +p8513 +tp8514 +a(g212 +V( +tp8515 +a(g84 +Vvalues +p8516 +tp8517 +a(g6 +V +tp8518 +a(g212 +V( +tp8519 +a(g84 +Vtypep +p8520 +tp8521 +a(g6 +V +tp8522 +a(g100 +Vx +tp8523 +a(g6 +V +tp8524 +a(g100 +Vy +tp8525 +a(g6 +V +tp8526 +a(g100 +Venv +p8527 +tp8528 +a(g212 +V) +tp8529 +a(g6 +V +tp8530 +a(g60 +Vt +tp8531 +a(g212 +V) +tp8532 +a(g6 +V\u000a +tp8533 +a(g212 +V) +tp8534 +a(g6 +V +tp8535 +a(g212 +V) +tp8536 +a(g6 +V\u000a\u000a +p8537 +tp8538 +a(g31 +V; Umwandlung eines "type for declaration" in einen "type for discrimination". +p8539 +tp8540 +a(g6 +V\u000a +tp8541 +a(g212 +V( +tp8542 +a(g84 +Vdefun +p8543 +tp8544 +a(g6 +V +tp8545 +a(g100 +Vtype-for-discrimination +p8546 +tp8547 +a(g6 +V +tp8548 +a(g212 +V( +tp8549 +a(g100 +Vy +tp8550 +a(g6 +V +tp8551 +a(g138 +V&optional +p8552 +tp8553 +a(g6 +V +tp8554 +a(g212 +V( +tp8555 +a(g100 +Vnotp +p8556 +tp8557 +a(g6 +V +tp8558 +a(g60 +Vnil +p8559 +tp8560 +a(g212 +V) +tp8561 +a(g6 +V +tp8562 +a(g138 +V&aux +p8563 +tp8564 +a(g6 +V +tp8565 +a(g100 +Vf +tp8566 +a(g212 +V) +tp8567 +a(g6 +V\u000a +p8568 +tp8569 +a(g212 +V( +tp8570 +a(g84 +Vcond +p8571 +tp8572 +a(g6 +V +tp8573 +a(g212 +V( +tp8574 +a(g212 +V( +tp8575 +a(g84 +Vsymbolp +p8576 +tp8577 +a(g6 +V +tp8578 +a(g100 +Vy +tp8579 +a(g212 +V) +tp8580 +a(g6 +V\u000a +p8581 +tp8582 +a(g212 +V( +tp8583 +a(g84 +Vcond +p8584 +tp8585 +a(g6 +V +tp8586 +a(g212 +V( +tp8587 +a(g212 +V( +tp8588 +a(g84 +Vget +p8589 +tp8590 +a(g6 +V +tp8591 +a(g100 +Vy +tp8592 +a(g6 +V +tp8593 +a(g258 +V'TYPE-SYMBOL +p8594 +tp8595 +a(g212 +V) +tp8596 +a(g6 +V +tp8597 +a(g100 +Vy +tp8598 +a(g212 +V) +tp8599 +a(g6 +V\u000a +p8600 +tp8601 +a(g212 +V( +tp8602 +a(g212 +V( +tp8603 +a(g84 +Vget +p8604 +tp8605 +a(g6 +V +tp8606 +a(g100 +Vy +tp8607 +a(g6 +V +tp8608 +a(g258 +V'TYPE-LIST +p8609 +tp8610 +a(g212 +V) +tp8611 +a(g6 +V +tp8612 +a(g100 +Vy +tp8613 +a(g212 +V) +tp8614 +a(g6 +V\u000a +p8615 +tp8616 +a(g212 +V( +tp8617 +a(g212 +V( +tp8618 +a(g138 +Vsetq +p8619 +tp8620 +a(g6 +V +tp8621 +a(g100 +Vf +tp8622 +a(g6 +V +tp8623 +a(g212 +V( +tp8624 +a(g84 +Vget +p8625 +tp8626 +a(g6 +V +tp8627 +a(g100 +Vy +tp8628 +a(g6 +V +tp8629 +a(g258 +V'DEFTYPE-EXPANDER +p8630 +tp8631 +a(g212 +V) +tp8632 +a(g212 +V) +tp8633 +a(g6 +V\u000a +p8634 +tp8635 +a(g212 +V( +tp8636 +a(g138 +Vlet* +p8637 +tp8638 +a(g6 +V +tp8639 +a(g212 +V( +tp8640 +a(g212 +V( +tp8641 +a(g100 +Vz +tp8642 +a(g6 +V +tp8643 +a(g212 +V( +tp8644 +a(g84 +Vfuncall +p8645 +tp8646 +a(g6 +V +tp8647 +a(g100 +Vf +tp8648 +a(g6 +V +tp8649 +a(g212 +V( +tp8650 +a(g84 +Vlist +p8651 +tp8652 +a(g6 +V +tp8653 +a(g100 +Vy +tp8654 +a(g212 +V) +tp8655 +a(g212 +V) +tp8656 +a(g212 +V) +tp8657 +a(g6 +V\u000a +p8658 +tp8659 +a(g212 +V( +tp8660 +a(g100 +Vzx +p8661 +tp8662 +a(g6 +V +tp8663 +a(g212 +V( +tp8664 +a(g100 +Vtype-for-discrimination +p8665 +tp8666 +a(g6 +V +tp8667 +a(g100 +Vz +tp8668 +a(g6 +V +tp8669 +a(g100 +Vnotp +p8670 +tp8671 +a(g212 +V) +tp8672 +a(g212 +V) +tp8673 +a(g212 +V) +tp8674 +a(g6 +V\u000a +p8675 +tp8676 +a(g212 +V( +tp8677 +a(g138 +Vif +p8678 +tp8679 +a(g6 +V +tp8680 +a(g212 +V( +tp8681 +a(g84 +Veql +p8682 +tp8683 +a(g6 +V +tp8684 +a(g100 +Vzx +p8685 +tp8686 +a(g6 +V +tp8687 +a(g100 +Vz +tp8688 +a(g212 +V) +tp8689 +a(g6 +V +tp8690 +a(g100 +Vy +tp8691 +a(g6 +V +tp8692 +a(g100 +Vzx +p8693 +tp8694 +a(g212 +V) +tp8695 +a(g6 +V\u000a +p8696 +tp8697 +a(g212 +V) +tp8698 +a(g212 +V) +tp8699 +a(g6 +V\u000a +p8700 +tp8701 +a(g212 +V( +tp8702 +a(g60 +Vt +tp8703 +a(g6 +V +tp8704 +a(g100 +Vy +tp8705 +a(g212 +V) +tp8706 +a(g6 +V\u000a +p8707 +tp8708 +a(g212 +V) +tp8709 +a(g6 +V +p8710 +tp8711 +a(g212 +V) +tp8712 +a(g6 +V\u000a +p8713 +tp8714 +a(g212 +V( +tp8715 +a(g212 +V( +tp8716 +a(g84 +Vand +p8717 +tp8718 +a(g6 +V +tp8719 +a(g212 +V( +tp8720 +a(g84 +Vconsp +p8721 +tp8722 +a(g6 +V +tp8723 +a(g100 +Vy +tp8724 +a(g212 +V) +tp8725 +a(g6 +V +tp8726 +a(g212 +V( +tp8727 +a(g84 +Vsymbolp +p8728 +tp8729 +a(g6 +V +tp8730 +a(g212 +V( +tp8731 +a(g84 +Vfirst +p8732 +tp8733 +a(g6 +V +tp8734 +a(g100 +Vy +tp8735 +a(g212 +V) +tp8736 +a(g212 +V) +tp8737 +a(g212 +V) +tp8738 +a(g6 +V\u000a +p8739 +tp8740 +a(g212 +V( +tp8741 +a(g84 +Vcase +p8742 +tp8743 +a(g6 +V +tp8744 +a(g212 +V( +tp8745 +a(g84 +Vfirst +p8746 +tp8747 +a(g6 +V +tp8748 +a(g100 +Vy +tp8749 +a(g212 +V) +tp8750 +a(g6 +V\u000a +p8751 +tp8752 +a(g212 +V( +tp8753 +a(g212 +V( +tp8754 +a(g100 +VSATISFIES +p8755 +tp8756 +a(g6 +V +tp8757 +a(g100 +VMEMBER +p8758 +tp8759 +a(g6 +V +tp8760 +a(g100 +VEQL +p8761 +tp8762 +a(g212 +V) +tp8763 +a(g6 +V +tp8764 +a(g100 +Vy +tp8765 +a(g212 +V) +tp8766 +a(g6 +V\u000a +p8767 +tp8768 +a(g212 +V( +tp8769 +a(g100 +VNOT +p8770 +tp8771 +a(g6 +V\u000a +p8772 +tp8773 +a(g212 +V( +tp8774 +a(g138 +Vlet* +p8775 +tp8776 +a(g6 +V +tp8777 +a(g212 +V( +tp8778 +a(g212 +V( +tp8779 +a(g100 +Vz +tp8780 +a(g6 +V +tp8781 +a(g212 +V( +tp8782 +a(g84 +Vsecond +p8783 +tp8784 +a(g6 +V +tp8785 +a(g100 +Vy +tp8786 +a(g212 +V) +tp8787 +a(g212 +V) +tp8788 +a(g6 +V\u000a +p8789 +tp8790 +a(g212 +V( +tp8791 +a(g100 +Vzx +p8792 +tp8793 +a(g6 +V +tp8794 +a(g212 +V( +tp8795 +a(g100 +Vtype-for-discrimination +p8796 +tp8797 +a(g6 +V +tp8798 +a(g100 +Vz +tp8799 +a(g6 +V +tp8800 +a(g212 +V( +tp8801 +a(g84 +Vnot +p8802 +tp8803 +a(g6 +V +tp8804 +a(g100 +Vnotp +p8805 +tp8806 +a(g212 +V) +tp8807 +a(g212 +V) +tp8808 +a(g212 +V) +tp8809 +a(g212 +V) +tp8810 +a(g6 +V\u000a +p8811 +tp8812 +a(g212 +V( +tp8813 +a(g138 +Vif +p8814 +tp8815 +a(g6 +V +tp8816 +a(g212 +V( +tp8817 +a(g84 +Veql +p8818 +tp8819 +a(g6 +V +tp8820 +a(g100 +Vzx +p8821 +tp8822 +a(g6 +V +tp8823 +a(g100 +Vz +tp8824 +a(g212 +V) +tp8825 +a(g6 +V +tp8826 +a(g100 +Vy +tp8827 +a(g6 +V +tp8828 +a(g353 +V` +tp8829 +a(g212 +V( +tp8830 +a(g100 +VNOT +p8831 +tp8832 +a(g6 +V +tp8833 +a(g353 +V, +tp8834 +a(g100 +Vzx +p8835 +tp8836 +a(g212 +V) +tp8837 +a(g212 +V) +tp8838 +a(g6 +V\u000a +p8839 +tp8840 +a(g212 +V) +tp8841 +a(g212 +V) +tp8842 +a(g6 +V\u000a +p8843 +tp8844 +a(g212 +V( +tp8845 +a(g212 +V( +tp8846 +a(g100 +VAND +p8847 +tp8848 +a(g6 +V +tp8849 +a(g100 +VOR +p8850 +tp8851 +a(g6 +V +tp8852 +a(g100 +VCOMPLEX +p8853 +tp8854 +a(g6 +V +tp8855 +a(g100 +VVALUES +p8856 +tp8857 +a(g212 +V) +tp8858 +a(g6 +V\u000a +p8859 +tp8860 +a(g212 +V( +tp8861 +a(g138 +Vlet* +p8862 +tp8863 +a(g6 +V +tp8864 +a(g212 +V( +tp8865 +a(g212 +V( +tp8866 +a(g100 +Vz +tp8867 +a(g6 +V +tp8868 +a(g212 +V( +tp8869 +a(g84 +Vrest +p8870 +tp8871 +a(g6 +V +tp8872 +a(g100 +Vy +tp8873 +a(g212 +V) +tp8874 +a(g212 +V) +tp8875 +a(g6 +V\u000a +p8876 +tp8877 +a(g212 +V( +tp8878 +a(g100 +Vzx +p8879 +tp8880 +a(g6 +V +tp8881 +a(g212 +V( +tp8882 +a(g84 +Vmapcar +p8883 +tp8884 +a(g6 +V +tp8885 +a(g48 +V#' +p8886 +tp8887 +a(g212 +V( +tp8888 +a(g138 +Vlambda +p8889 +tp8890 +a(g6 +V +tp8891 +a(g212 +V( +tp8892 +a(g100 +Vx +tp8893 +a(g212 +V) +tp8894 +a(g6 +V +tp8895 +a(g212 +V( +tp8896 +a(g100 +Vtype-for-discrimination +p8897 +tp8898 +a(g6 +V +tp8899 +a(g100 +Vx +tp8900 +a(g6 +V +tp8901 +a(g100 +Vnotp +p8902 +tp8903 +a(g212 +V) +tp8904 +a(g212 +V) +tp8905 +a(g6 +V +tp8906 +a(g100 +Vz +tp8907 +a(g212 +V) +tp8908 +a(g212 +V) +tp8909 +a(g212 +V) +tp8910 +a(g6 +V\u000a +p8911 +tp8912 +a(g212 +V( +tp8913 +a(g138 +Vif +p8914 +tp8915 +a(g6 +V +tp8916 +a(g212 +V( +tp8917 +a(g84 +Vevery +p8918 +tp8919 +a(g6 +V +tp8920 +a(g48 +V#' +p8921 +tp8922 +a(g84 +Veql +p8923 +tp8924 +a(g6 +V +tp8925 +a(g100 +Vz +tp8926 +a(g6 +V +tp8927 +a(g100 +Vzx +p8928 +tp8929 +a(g212 +V) +tp8930 +a(g6 +V +tp8931 +a(g100 +Vy +tp8932 +a(g6 +V +tp8933 +a(g212 +V( +tp8934 +a(g84 +Vcons +p8935 +tp8936 +a(g6 +V +tp8937 +a(g212 +V( +tp8938 +a(g84 +Vfirst +p8939 +tp8940 +a(g6 +V +tp8941 +a(g100 +Vy +tp8942 +a(g212 +V) +tp8943 +a(g6 +V +tp8944 +a(g100 +Vzx +p8945 +tp8946 +a(g212 +V) +tp8947 +a(g212 +V) +tp8948 +a(g6 +V\u000a +p8949 +tp8950 +a(g212 +V) +tp8951 +a(g212 +V) +tp8952 +a(g6 +V\u000a +p8953 +tp8954 +a(g212 +V( +tp8955 +a(g100 +VFUNCTION +p8956 +tp8957 +a(g6 +V\u000a +p8958 +tp8959 +a(g31 +V;; (FUNCTION arg-types res-type) is somewhere between +p8960 +tp8961 +a(g6 +V\u000a +p8962 +tp8963 +a(g31 +V;; NIL and FUNCTION, but undecidable. +p8964 +tp8965 +a(g6 +V\u000a +p8966 +tp8967 +a(g212 +V( +tp8968 +a(g138 +Vif +p8969 +tp8970 +a(g6 +V +tp8971 +a(g100 +Vnotp +p8972 +tp8973 +a(g6 +V +tp8974 +a(g258 +V'NIL +p8975 +tp8976 +a(g6 +V +tp8977 +a(g258 +V'FUNCTION +p8978 +tp8979 +a(g212 +V) +tp8980 +a(g6 +V\u000a +p8981 +tp8982 +a(g212 +V) +tp8983 +a(g6 +V\u000a +p8984 +tp8985 +a(g212 +V( +tp8986 +a(g60 +Vt +tp8987 +a(g6 +V +tp8988 +a(g212 +V( +tp8989 +a(g84 +Vcond +p8990 +tp8991 +a(g6 +V +tp8992 +a(g212 +V( +tp8993 +a(g212 +V( +tp8994 +a(g84 +Vget +p8995 +tp8996 +a(g6 +V +tp8997 +a(g212 +V( +tp8998 +a(g84 +Vfirst +p8999 +tp9000 +a(g6 +V +tp9001 +a(g100 +Vy +tp9002 +a(g212 +V) +tp9003 +a(g6 +V +tp9004 +a(g258 +V'TYPE-LIST +p9005 +tp9006 +a(g212 +V) +tp9007 +a(g6 +V +tp9008 +a(g100 +Vy +tp9009 +a(g212 +V) +tp9010 +a(g6 +V\u000a +p9011 +tp9012 +a(g212 +V( +tp9013 +a(g212 +V( +tp9014 +a(g138 +Vsetq +p9015 +tp9016 +a(g6 +V +tp9017 +a(g100 +Vf +tp9018 +a(g6 +V +tp9019 +a(g212 +V( +tp9020 +a(g84 +Vget +p9021 +tp9022 +a(g6 +V +tp9023 +a(g212 +V( +tp9024 +a(g84 +Vfirst +p9025 +tp9026 +a(g6 +V +tp9027 +a(g100 +Vy +tp9028 +a(g212 +V) +tp9029 +a(g6 +V +tp9030 +a(g258 +V'DEFTYPE-EXPANDER +p9031 +tp9032 +a(g212 +V) +tp9033 +a(g212 +V) +tp9034 +a(g6 +V\u000a +p9035 +tp9036 +a(g212 +V( +tp9037 +a(g138 +Vlet* +p9038 +tp9039 +a(g6 +V +tp9040 +a(g212 +V( +tp9041 +a(g212 +V( +tp9042 +a(g100 +Vz +tp9043 +a(g6 +V +tp9044 +a(g212 +V( +tp9045 +a(g84 +Vfuncall +p9046 +tp9047 +a(g6 +V +tp9048 +a(g100 +Vf +tp9049 +a(g6 +V +tp9050 +a(g100 +Vy +tp9051 +a(g212 +V) +tp9052 +a(g212 +V) +tp9053 +a(g6 +V\u000a +p9054 +tp9055 +a(g212 +V( +tp9056 +a(g100 +Vzx +p9057 +tp9058 +a(g6 +V +tp9059 +a(g212 +V( +tp9060 +a(g100 +Vtype-for-discrimination +p9061 +tp9062 +a(g6 +V +tp9063 +a(g100 +Vz +tp9064 +a(g6 +V +tp9065 +a(g100 +Vnotp +p9066 +tp9067 +a(g212 +V) +tp9068 +a(g212 +V) +tp9069 +a(g212 +V) +tp9070 +a(g6 +V\u000a +p9071 +tp9072 +a(g212 +V( +tp9073 +a(g138 +Vif +p9074 +tp9075 +a(g6 +V +tp9076 +a(g212 +V( +tp9077 +a(g84 +Veql +p9078 +tp9079 +a(g6 +V +tp9080 +a(g100 +Vzx +p9081 +tp9082 +a(g6 +V +tp9083 +a(g100 +Vz +tp9084 +a(g212 +V) +tp9085 +a(g6 +V +tp9086 +a(g100 +Vy +tp9087 +a(g6 +V +tp9088 +a(g100 +Vzx +p9089 +tp9090 +a(g212 +V) +tp9091 +a(g6 +V\u000a +p9092 +tp9093 +a(g212 +V) +tp9094 +a(g212 +V) +tp9095 +a(g6 +V\u000a +p9096 +tp9097 +a(g212 +V( +tp9098 +a(g60 +Vt +tp9099 +a(g6 +V +tp9100 +a(g100 +Vy +tp9101 +a(g212 +V) +tp9102 +a(g6 +V\u000a +p9103 +tp9104 +a(g212 +V) +tp9105 +a(g6 +V +p9106 +tp9107 +a(g212 +V) +tp9108 +a(g6 +V +tp9109 +a(g212 +V) +tp9110 +a(g6 +V +p9111 +tp9112 +a(g212 +V) +tp9113 +a(g6 +V\u000a +p9114 +tp9115 +a(g212 +V( +tp9116 +a(g60 +Vt +tp9117 +a(g6 +V +tp9118 +a(g100 +Vy +tp9119 +a(g212 +V) +tp9120 +a(g6 +V\u000a +tp9121 +a(g212 +V) +tp9122 +a(g6 +V +tp9123 +a(g212 +V) +tp9124 +a(g6 +V\u000a\u000a +p9125 +tp9126 +a(g31 +V; Testet eine Liste von Werten auf Erfüllen eines Type-Specifiers. Für THE. +p9127 +tp9128 +a(g6 +V\u000a +tp9129 +a(g212 +V( +tp9130 +a(g84 +Vdefun +p9131 +tp9132 +a(g6 +V +tp9133 +a(g100 +V%the +p9134 +tp9135 +a(g6 +V +tp9136 +a(g212 +V( +tp9137 +a(g84 +Vvalues +p9138 +tp9139 +a(g6 +V +tp9140 +a(g138 +Vtype +p9141 +tp9142 +a(g212 +V) +tp9143 +a(g6 +V +tp9144 +a(g31 +V; ABI +p9145 +tp9146 +a(g6 +V\u000a +p9147 +tp9148 +a(g212 +V( +tp9149 +a(g138 +Vmacrolet +p9150 +tp9151 +a(g6 +V +tp9152 +a(g212 +V( +tp9153 +a(g212 +V( +tp9154 +a(g100 +Vnear-typep +p9155 +tp9156 +a(g6 +V +tp9157 +a(g212 +V( +tp9158 +a(g100 +Vobjform +p9159 +tp9160 +a(g6 +V +tp9161 +a(g100 +Vtypform +p9162 +tp9163 +a(g212 +V) +tp9164 +a(g6 +V\u000a +p9165 +tp9166 +a(g31 +V;; near-typep ist wie typep, nur dass das Objekt auch ein +p9167 +tp9168 +a(g6 +V\u000a +p9169 +tp9170 +a(g31 +V;; Read-Label sein darf. Das tritt z.B. auf bei +p9171 +tp9172 +a(g6 +V\u000a +p9173 +tp9174 +a(g31 +V;; (read-from-string "#1=#S(FOO :X #1#)") +p9175 +tp9176 +a(g6 +V\u000a +p9177 +tp9178 +a(g31 +V;; im Konstruktor MAKE-FOO. Die Implementation ist aber +p9179 +tp9180 +a(g6 +V\u000a +p9181 +tp9182 +a(g31 +V;; nicht gezwungen, bei fehlerhaftem THE zwingend einen +p9183 +tp9184 +a(g6 +V\u000a +p9185 +tp9186 +a(g31 +V;; Fehler zu melden, darum ist ein lascherer Typcheck hier +p9187 +tp9188 +a(g6 +V\u000a +p9189 +tp9190 +a(g31 +V;; erlaubt. +p9191 +tp9192 +a(g6 +V\u000a +p9193 +tp9194 +a(g212 +V( +tp9195 +a(g138 +Vlet +p9196 +tp9197 +a(g6 +V +tp9198 +a(g212 +V( +tp9199 +a(g212 +V( +tp9200 +a(g100 +Vg +tp9201 +a(g6 +V +tp9202 +a(g212 +V( +tp9203 +a(g84 +Vgensym +p9204 +tp9205 +a(g212 +V) +tp9206 +a(g212 +V) +tp9207 +a(g212 +V) +tp9208 +a(g6 +V\u000a +p9209 +tp9210 +a(g353 +V` +tp9211 +a(g212 +V( +tp9212 +a(g138 +Vlet +p9213 +tp9214 +a(g6 +V +tp9215 +a(g212 +V( +tp9216 +a(g212 +V( +tp9217 +a(g353 +V, +tp9218 +a(g100 +Vg +tp9219 +a(g6 +V +tp9220 +a(g353 +V, +tp9221 +a(g100 +Vobjform +p9222 +tp9223 +a(g212 +V) +tp9224 +a(g212 +V) +tp9225 +a(g6 +V\u000a +p9226 +tp9227 +a(g212 +V( +tp9228 +a(g84 +Vor +p9229 +tp9230 +a(g6 +V +tp9231 +a(g212 +V( +tp9232 +a(g84 +Vtypep +p9233 +tp9234 +a(g6 +V +tp9235 +a(g353 +V, +tp9236 +a(g100 +Vg +tp9237 +a(g6 +V +tp9238 +a(g353 +V, +tp9239 +a(g100 +Vtypform +p9240 +tp9241 +a(g212 +V) +tp9242 +a(g6 +V +tp9243 +a(g212 +V( +tp9244 +a(g84 +Veq +p9245 +tp9246 +a(g6 +V +tp9247 +a(g212 +V( +tp9248 +a(g84 +Vtype-of +p9249 +tp9250 +a(g6 +V +tp9251 +a(g353 +V, +tp9252 +a(g100 +Vg +tp9253 +a(g212 +V) +tp9254 +a(g6 +V +tp9255 +a(g258 +V'READ-LABEL +p9256 +tp9257 +a(g212 +V) +tp9258 +a(g212 +V) +tp9259 +a(g212 +V) +tp9260 +a(g212 +V) +tp9261 +a(g212 +V) +tp9262 +a(g212 +V) +tp9263 +a(g6 +V\u000a +p9264 +tp9265 +a(g212 +V( +tp9266 +a(g138 +Vif +p9267 +tp9268 +a(g6 +V +tp9269 +a(g212 +V( +tp9270 +a(g84 +Vand +p9271 +tp9272 +a(g6 +V +tp9273 +a(g212 +V( +tp9274 +a(g84 +Vconsp +p9275 +tp9276 +a(g6 +V +tp9277 +a(g138 +Vtype +p9278 +tp9279 +a(g212 +V) +tp9280 +a(g6 +V +tp9281 +a(g212 +V( +tp9282 +a(g84 +Veq +p9283 +tp9284 +a(g6 +V +tp9285 +a(g212 +V( +tp9286 +a(g84 +Vcar +p9287 +tp9288 +a(g6 +V +tp9289 +a(g138 +Vtype +p9290 +tp9291 +a(g212 +V) +tp9292 +a(g6 +V +tp9293 +a(g258 +V'VALUES +p9294 +tp9295 +a(g212 +V) +tp9296 +a(g212 +V) +tp9297 +a(g6 +V\u000a +p9298 +tp9299 +a(g31 +V;; The VALUES type specifier is ill-defined in ANSI CL. +p9300 +tp9301 +a(g6 +V\u000a +p9302 +tp9303 +a(g31 +V;; +p9304 +tp9305 +a(g6 +V\u000a +p9306 +tp9307 +a(g31 +V;; There are two possibilities to define a VALUES type specifier in a +p9308 +tp9309 +a(g6 +V\u000a +p9310 +tp9311 +a(g31 +V;; sane way: +p9312 +tp9313 +a(g6 +V\u000a +p9314 +tp9315 +a(g31 +V;; - (EXACT-VALUES type1 ... [&optional ...]) describes the exact shape +p9316 +tp9317 +a(g6 +V\u000a +p9318 +tp9319 +a(g31 +V;; of the values list, as received by MULTIPLE-VALUE-LIST. +p9320 +tp9321 +a(g6 +V\u000a +p9322 +tp9323 +a(g31 +V;; For example, (EXACT-VALUES SYMBOL) is matched by (values 'a) but not +p9324 +tp9325 +a(g6 +V\u000a +p9326 +tp9327 +a(g31 +V;; by (values 'a 'b) or (values). +p9328 +tp9329 +a(g6 +V\u000a +p9330 +tp9331 +a(g31 +V;; - (ASSIGNABLE-VALUES type1 ... [&optional ...]) describes the values +p9332 +tp9333 +a(g6 +V\u000a +p9334 +tp9335 +a(g31 +V;; as received by a set of variables through MULTIPLE-VALUE-BIND or +p9336 +tp9337 +a(g6 +V\u000a +p9338 +tp9339 +a(g31 +V;; MULTIPLE-VALUE-SETQ. For example, (ASSIGNABLE-VALUES SYMBOL) is +p9340 +tp9341 +a(g6 +V\u000a +p9342 +tp9343 +a(g31 +V;; defined by whether +p9344 +tp9345 +a(g6 +V\u000a +p9346 +tp9347 +a(g31 +V;; (MULTIPLE-VALUE-BIND (var1) values (DECLARE (TYPE SYMBOL var1)) ...) +p9348 +tp9349 +a(g6 +V\u000a +p9350 +tp9351 +a(g31 +V;; is valid or not; therefore (ASSIGNABLE-VALUES SYMBOL) is matched by +p9352 +tp9353 +a(g6 +V\u000a +p9354 +tp9355 +a(g31 +V;; (values 'a) and (values 'a 'b) and (values). +p9356 +tp9357 +a(g6 +V\u000a +p9358 +tp9359 +a(g31 +V;; Note that &OPTIONAL is actually redundant here: +p9360 +tp9361 +a(g6 +V\u000a +p9362 +tp9363 +a(g31 +V;; (ASSIGNABLE-VALUES type1 ... &optional otype1 ...) +p9364 +tp9365 +a(g6 +V\u000a +p9366 +tp9367 +a(g31 +V;; is equivalent to +p9368 +tp9369 +a(g6 +V\u000a +p9370 +tp9371 +a(g31 +V;; (ASSIGNABLE-VALUES type1 ... (OR NULL otype1) ...) +p9372 +tp9373 +a(g6 +V\u000a +p9374 +tp9375 +a(g31 +V;; HyperSpec/Body/typspe_values.html indicates that VALUES means +p9376 +tp9377 +a(g6 +V\u000a +p9378 +tp9379 +a(g31 +V;; EXACT-VALUES; however, HyperSpec/Body/speope_the.html indicates that +p9380 +tp9381 +a(g6 +V\u000a +p9382 +tp9383 +a(g31 +V;; VALUES means ASSIGNABLE-VALUES. +p9384 +tp9385 +a(g6 +V\u000a +p9386 +tp9387 +a(g31 +V;; +p9388 +tp9389 +a(g6 +V\u000a +p9390 +tp9391 +a(g31 +V;; SBCL interprets the VALUES type specifier to mean EXACT-VALUES when +p9392 +tp9393 +a(g6 +V\u000a +p9394 +tp9395 +a(g31 +V;; it contains &OPTIONAL or &REST, but ASSIGNABLE-VALUES when it has +p9396 +tp9397 +a(g6 +V\u000a +p9398 +tp9399 +a(g31 +V;; only a tuple of type specifiers. This is utter nonsense, in particular +p9400 +tp9401 +a(g6 +V\u000a +p9402 +tp9403 +a(g31 +V;; because it makes (VALUES type1 ... typek &OPTIONAL) +p9404 +tp9405 +a(g6 +V\u000a +p9406 +tp9407 +a(g31 +V;; different from (VALUES type1 ... typek). +p9408 +tp9409 +a(g6 +V\u000a +p9410 +tp9411 +a(g31 +V;; +p9412 +tp9413 +a(g6 +V\u000a +p9414 +tp9415 +a(g31 +V;; Here we use the ASSIGNABLE-VALUES interpretation. +p9416 +tp9417 +a(g6 +V\u000a +p9418 +tp9419 +a(g31 +V;; In SUBTYPEP we just punt and don't assume any interpretation. +p9420 +tp9421 +a(g6 +V\u000a +p9422 +tp9423 +a(g212 +V( +tp9424 +a(g138 +Vlet +p9425 +tp9426 +a(g6 +V +tp9427 +a(g212 +V( +tp9428 +a(g212 +V( +tp9429 +a(g100 +Vvals +p9430 +tp9431 +a(g6 +V +tp9432 +a(g84 +Vvalues +p9433 +tp9434 +a(g212 +V) +tp9435 +a(g6 +V +tp9436 +a(g212 +V( +tp9437 +a(g100 +Vtypes +p9438 +tp9439 +a(g6 +V +tp9440 +a(g212 +V( +tp9441 +a(g84 +Vcdr +p9442 +tp9443 +a(g6 +V +tp9444 +a(g138 +Vtype +p9445 +tp9446 +a(g212 +V) +tp9447 +a(g212 +V) +tp9448 +a(g212 +V) +tp9449 +a(g6 +V\u000a +p9450 +tp9451 +a(g31 +V;; required: +p9452 +tp9453 +a(g6 +V\u000a +p9454 +tp9455 +a(g212 +V( +tp9456 +a(g84 +Vloop +p9457 +tp9458 +a(g6 +V\u000a +p9459 +tp9460 +a(g212 +V( +tp9461 +a(g84 +Vwhen +p9462 +tp9463 +a(g6 +V +tp9464 +a(g212 +V( +tp9465 +a(g84 +Vor +p9466 +tp9467 +a(g6 +V +tp9468 +a(g212 +V( +tp9469 +a(g84 +Vatom +p9470 +tp9471 +a(g6 +V +tp9472 +a(g100 +Vtypes +p9473 +tp9474 +a(g212 +V) +tp9475 +a(g6 +V +tp9476 +a(g212 +V( +tp9477 +a(g84 +Vatom +p9478 +tp9479 +a(g6 +V +tp9480 +a(g100 +Vvals +p9481 +tp9482 +a(g212 +V) +tp9483 +a(g212 +V) +tp9484 +a(g6 +V +tp9485 +a(g212 +V( +tp9486 +a(g138 +Vreturn-from +p9487 +tp9488 +a(g6 +V +tp9489 +a(g100 +V%the +p9490 +tp9491 +a(g6 +V +tp9492 +a(g60 +Vt +tp9493 +a(g212 +V) +tp9494 +a(g212 +V) +tp9495 +a(g6 +V\u000a +p9496 +tp9497 +a(g212 +V( +tp9498 +a(g84 +Vwhen +p9499 +tp9500 +a(g6 +V +tp9501 +a(g212 +V( +tp9502 +a(g100 +Vmemq +p9503 +tp9504 +a(g6 +V +tp9505 +a(g212 +V( +tp9506 +a(g84 +Vcar +p9507 +tp9508 +a(g6 +V +tp9509 +a(g100 +Vtypes +p9510 +tp9511 +a(g212 +V) +tp9512 +a(g6 +V +tp9513 +a(g100 +Vlambda-list-keywords +p9514 +tp9515 +a(g212 +V) +tp9516 +a(g6 +V +tp9517 +a(g212 +V( +tp9518 +a(g84 +Vreturn +p9519 +tp9520 +a(g212 +V) +tp9521 +a(g212 +V) +tp9522 +a(g6 +V\u000a +p9523 +tp9524 +a(g212 +V( +tp9525 +a(g84 +Vunless +p9526 +tp9527 +a(g6 +V +tp9528 +a(g212 +V( +tp9529 +a(g100 +Vnear-typep +p9530 +tp9531 +a(g6 +V +tp9532 +a(g212 +V( +tp9533 +a(g84 +Vpop +p9534 +tp9535 +a(g6 +V +tp9536 +a(g100 +Vvals +p9537 +tp9538 +a(g212 +V) +tp9539 +a(g6 +V +tp9540 +a(g212 +V( +tp9541 +a(g84 +Vpop +p9542 +tp9543 +a(g6 +V +tp9544 +a(g100 +Vtypes +p9545 +tp9546 +a(g212 +V) +tp9547 +a(g212 +V) +tp9548 +a(g6 +V\u000a +p9549 +tp9550 +a(g212 +V( +tp9551 +a(g138 +Vreturn-from +p9552 +tp9553 +a(g6 +V +tp9554 +a(g100 +V%the +p9555 +tp9556 +a(g6 +V +tp9557 +a(g60 +Vnil +p9558 +tp9559 +a(g212 +V) +tp9560 +a(g212 +V) +tp9561 +a(g212 +V) +tp9562 +a(g6 +V\u000a +p9563 +tp9564 +a(g31 +V;; &optional: +p9565 +tp9566 +a(g6 +V\u000a +p9567 +tp9568 +a(g212 +V( +tp9569 +a(g84 +Vwhen +p9570 +tp9571 +a(g6 +V +tp9572 +a(g212 +V( +tp9573 +a(g84 +Vand +p9574 +tp9575 +a(g6 +V +tp9576 +a(g212 +V( +tp9577 +a(g84 +Vconsp +p9578 +tp9579 +a(g6 +V +tp9580 +a(g100 +Vtypes +p9581 +tp9582 +a(g212 +V) +tp9583 +a(g6 +V +tp9584 +a(g212 +V( +tp9585 +a(g84 +Veq +p9586 +tp9587 +a(g6 +V +tp9588 +a(g212 +V( +tp9589 +a(g84 +Vcar +p9590 +tp9591 +a(g6 +V +tp9592 +a(g100 +Vtypes +p9593 +tp9594 +a(g212 +V) +tp9595 +a(g6 +V +tp9596 +a(g258 +V'&optional +p9597 +tp9598 +a(g212 +V) +tp9599 +a(g212 +V) +tp9600 +a(g6 +V\u000a +p9601 +tp9602 +a(g212 +V( +tp9603 +a(g138 +Vsetq +p9604 +tp9605 +a(g6 +V +tp9606 +a(g100 +Vtypes +p9607 +tp9608 +a(g6 +V +tp9609 +a(g212 +V( +tp9610 +a(g84 +Vcdr +p9611 +tp9612 +a(g6 +V +tp9613 +a(g100 +Vtypes +p9614 +tp9615 +a(g212 +V) +tp9616 +a(g212 +V) +tp9617 +a(g6 +V\u000a +p9618 +tp9619 +a(g212 +V( +tp9620 +a(g84 +Vloop +p9621 +tp9622 +a(g6 +V\u000a +p9623 +tp9624 +a(g212 +V( +tp9625 +a(g84 +Vwhen +p9626 +tp9627 +a(g6 +V +tp9628 +a(g212 +V( +tp9629 +a(g84 +Vor +p9630 +tp9631 +a(g6 +V +tp9632 +a(g212 +V( +tp9633 +a(g84 +Vatom +p9634 +tp9635 +a(g6 +V +tp9636 +a(g100 +Vtypes +p9637 +tp9638 +a(g212 +V) +tp9639 +a(g6 +V +tp9640 +a(g212 +V( +tp9641 +a(g84 +Vatom +p9642 +tp9643 +a(g6 +V +tp9644 +a(g100 +Vvals +p9645 +tp9646 +a(g212 +V) +tp9647 +a(g212 +V) +tp9648 +a(g6 +V +tp9649 +a(g212 +V( +tp9650 +a(g138 +Vreturn-from +p9651 +tp9652 +a(g6 +V +tp9653 +a(g100 +V%the +p9654 +tp9655 +a(g6 +V +tp9656 +a(g60 +Vt +tp9657 +a(g212 +V) +tp9658 +a(g212 +V) +tp9659 +a(g6 +V\u000a +p9660 +tp9661 +a(g212 +V( +tp9662 +a(g84 +Vwhen +p9663 +tp9664 +a(g6 +V +tp9665 +a(g212 +V( +tp9666 +a(g100 +Vmemq +p9667 +tp9668 +a(g6 +V +tp9669 +a(g212 +V( +tp9670 +a(g84 +Vcar +p9671 +tp9672 +a(g6 +V +tp9673 +a(g100 +Vtypes +p9674 +tp9675 +a(g212 +V) +tp9676 +a(g6 +V +tp9677 +a(g100 +Vlambda-list-keywords +p9678 +tp9679 +a(g212 +V) +tp9680 +a(g6 +V +tp9681 +a(g212 +V( +tp9682 +a(g84 +Vreturn +p9683 +tp9684 +a(g212 +V) +tp9685 +a(g212 +V) +tp9686 +a(g6 +V\u000a +p9687 +tp9688 +a(g212 +V( +tp9689 +a(g84 +Vunless +p9690 +tp9691 +a(g6 +V +tp9692 +a(g212 +V( +tp9693 +a(g100 +Vnear-typep +p9694 +tp9695 +a(g6 +V +tp9696 +a(g212 +V( +tp9697 +a(g84 +Vpop +p9698 +tp9699 +a(g6 +V +tp9700 +a(g100 +Vvals +p9701 +tp9702 +a(g212 +V) +tp9703 +a(g6 +V +tp9704 +a(g212 +V( +tp9705 +a(g84 +Vpop +p9706 +tp9707 +a(g6 +V +tp9708 +a(g100 +Vtypes +p9709 +tp9710 +a(g212 +V) +tp9711 +a(g212 +V) +tp9712 +a(g6 +V\u000a +p9713 +tp9714 +a(g212 +V( +tp9715 +a(g138 +Vreturn-from +p9716 +tp9717 +a(g6 +V +tp9718 +a(g100 +V%the +p9719 +tp9720 +a(g6 +V +tp9721 +a(g60 +Vnil +p9722 +tp9723 +a(g212 +V) +tp9724 +a(g212 +V) +tp9725 +a(g212 +V) +tp9726 +a(g212 +V) +tp9727 +a(g6 +V\u000a +p9728 +tp9729 +a(g31 +V;; &rest &key: +p9730 +tp9731 +a(g6 +V\u000a +p9732 +tp9733 +a(g212 +V( +tp9734 +a(g84 +Vcase +p9735 +tp9736 +a(g6 +V +tp9737 +a(g212 +V( +tp9738 +a(g84 +Vcar +p9739 +tp9740 +a(g6 +V +tp9741 +a(g100 +Vtypes +p9742 +tp9743 +a(g212 +V) +tp9744 +a(g6 +V\u000a +p9745 +tp9746 +a(g212 +V( +tp9747 +a(g138 +V&rest +p9748 +tp9749 +a(g6 +V\u000a +p9750 +tp9751 +a(g212 +V( +tp9752 +a(g138 +Vsetq +p9753 +tp9754 +a(g6 +V +tp9755 +a(g100 +Vtypes +p9756 +tp9757 +a(g6 +V +tp9758 +a(g212 +V( +tp9759 +a(g84 +Vcdr +p9760 +tp9761 +a(g6 +V +tp9762 +a(g100 +Vtypes +p9763 +tp9764 +a(g212 +V) +tp9765 +a(g212 +V) +tp9766 +a(g6 +V\u000a +p9767 +tp9768 +a(g212 +V( +tp9769 +a(g84 +Vwhen +p9770 +tp9771 +a(g6 +V +tp9772 +a(g212 +V( +tp9773 +a(g84 +Vatom +p9774 +tp9775 +a(g6 +V +tp9776 +a(g100 +Vtypes +p9777 +tp9778 +a(g212 +V) +tp9779 +a(g6 +V +tp9780 +a(g212 +V( +tp9781 +a(g100 +Vtypespec-error +p9782 +tp9783 +a(g6 +V +tp9784 +a(g258 +V'the +p9785 +tp9786 +a(g6 +V +tp9787 +a(g138 +Vtype +p9788 +tp9789 +a(g212 +V) +tp9790 +a(g212 +V) +tp9791 +a(g6 +V\u000a +p9792 +tp9793 +a(g212 +V( +tp9794 +a(g84 +Vunless +p9795 +tp9796 +a(g6 +V +tp9797 +a(g212 +V( +tp9798 +a(g100 +Vnear-typep +p9799 +tp9800 +a(g6 +V +tp9801 +a(g212 +V( +tp9802 +a(g84 +Vpop +p9803 +tp9804 +a(g6 +V +tp9805 +a(g100 +Vvals +p9806 +tp9807 +a(g212 +V) +tp9808 +a(g6 +V +tp9809 +a(g212 +V( +tp9810 +a(g84 +Vpop +p9811 +tp9812 +a(g6 +V +tp9813 +a(g100 +Vtypes +p9814 +tp9815 +a(g212 +V) +tp9816 +a(g212 +V) +tp9817 +a(g6 +V\u000a +p9818 +tp9819 +a(g212 +V( +tp9820 +a(g138 +Vreturn-from +p9821 +tp9822 +a(g6 +V +tp9823 +a(g100 +V%the +p9824 +tp9825 +a(g6 +V +tp9826 +a(g60 +Vnil +p9827 +tp9828 +a(g212 +V) +tp9829 +a(g212 +V) +tp9830 +a(g212 +V) +tp9831 +a(g6 +V\u000a +p9832 +tp9833 +a(g212 +V( +tp9834 +a(g138 +V&key +p9835 +tp9836 +a(g212 +V) +tp9837 +a(g6 +V\u000a +p9838 +tp9839 +a(g212 +V( +tp9840 +a(g60 +Vt +tp9841 +a(g6 +V +tp9842 +a(g212 +V( +tp9843 +a(g100 +Vtypespec-error +p9844 +tp9845 +a(g6 +V +tp9846 +a(g258 +V'the +p9847 +tp9848 +a(g6 +V +tp9849 +a(g138 +Vtype +p9850 +tp9851 +a(g212 +V) +tp9852 +a(g212 +V) +tp9853 +a(g212 +V) +tp9854 +a(g6 +V\u000a +p9855 +tp9856 +a(g212 +V( +tp9857 +a(g138 +Vif +p9858 +tp9859 +a(g6 +V +tp9860 +a(g212 +V( +tp9861 +a(g84 +Veq +p9862 +tp9863 +a(g6 +V +tp9864 +a(g212 +V( +tp9865 +a(g84 +Vcar +p9866 +tp9867 +a(g6 +V +tp9868 +a(g100 +Vtypes +p9869 +tp9870 +a(g212 +V) +tp9871 +a(g6 +V +tp9872 +a(g258 +V'&key +p9873 +tp9874 +a(g212 +V) +tp9875 +a(g6 +V\u000a +p9876 +tp9877 +a(g212 +V( +tp9878 +a(g138 +Vprogn +p9879 +tp9880 +a(g6 +V\u000a +p9881 +tp9882 +a(g212 +V( +tp9883 +a(g138 +Vsetq +p9884 +tp9885 +a(g6 +V +tp9886 +a(g100 +Vtypes +p9887 +tp9888 +a(g6 +V +tp9889 +a(g212 +V( +tp9890 +a(g84 +Vcdr +p9891 +tp9892 +a(g6 +V +tp9893 +a(g100 +Vtypes +p9894 +tp9895 +a(g212 +V) +tp9896 +a(g212 +V) +tp9897 +a(g6 +V\u000a +p9898 +tp9899 +a(g212 +V( +tp9900 +a(g84 +Vwhen +p9901 +tp9902 +a(g6 +V +tp9903 +a(g212 +V( +tp9904 +a(g84 +Voddp +p9905 +tp9906 +a(g6 +V +tp9907 +a(g212 +V( +tp9908 +a(g84 +Vlength +p9909 +tp9910 +a(g6 +V +tp9911 +a(g100 +Vvals +p9912 +tp9913 +a(g212 +V) +tp9914 +a(g212 +V) +tp9915 +a(g6 +V +tp9916 +a(g212 +V( +tp9917 +a(g138 +Vreturn-from +p9918 +tp9919 +a(g6 +V +tp9920 +a(g100 +V%the +p9921 +tp9922 +a(g6 +V +tp9923 +a(g60 +Vnil +p9924 +tp9925 +a(g212 +V) +tp9926 +a(g212 +V) +tp9927 +a(g6 +V\u000a +p9928 +tp9929 +a(g212 +V( +tp9930 +a(g138 +Vlet +p9931 +tp9932 +a(g6 +V +tp9933 +a(g212 +V( +tp9934 +a(g212 +V( +tp9935 +a(g100 +Vkeywords +p9936 +tp9937 +a(g6 +V +tp9938 +a(g60 +Vnil +p9939 +tp9940 +a(g212 +V) +tp9941 +a(g212 +V) +tp9942 +a(g6 +V\u000a +p9943 +tp9944 +a(g212 +V( +tp9945 +a(g84 +Vloop +p9946 +tp9947 +a(g6 +V\u000a +p9948 +tp9949 +a(g212 +V( +tp9950 +a(g84 +Vwhen +p9951 +tp9952 +a(g6 +V +tp9953 +a(g212 +V( +tp9954 +a(g84 +Vor +p9955 +tp9956 +a(g6 +V +tp9957 +a(g212 +V( +tp9958 +a(g84 +Vatom +p9959 +tp9960 +a(g6 +V +tp9961 +a(g100 +Vtypes +p9962 +tp9963 +a(g212 +V) +tp9964 +a(g6 +V +tp9965 +a(g212 +V( +tp9966 +a(g84 +Vatom +p9967 +tp9968 +a(g6 +V +tp9969 +a(g100 +Vvals +p9970 +tp9971 +a(g212 +V) +tp9972 +a(g212 +V) +tp9973 +a(g6 +V +tp9974 +a(g212 +V( +tp9975 +a(g138 +Vreturn-from +p9976 +tp9977 +a(g6 +V +tp9978 +a(g100 +V%the +p9979 +tp9980 +a(g6 +V +tp9981 +a(g60 +Vt +tp9982 +a(g212 +V) +tp9983 +a(g212 +V) +tp9984 +a(g6 +V\u000a +p9985 +tp9986 +a(g212 +V( +tp9987 +a(g84 +Vwhen +p9988 +tp9989 +a(g6 +V +tp9990 +a(g212 +V( +tp9991 +a(g100 +Vmemq +p9992 +tp9993 +a(g6 +V +tp9994 +a(g212 +V( +tp9995 +a(g84 +Vcar +p9996 +tp9997 +a(g6 +V +tp9998 +a(g100 +Vtypes +p9999 +tp10000 +a(g212 +V) +tp10001 +a(g6 +V +tp10002 +a(g100 +Vlambda-list-keywords +p10003 +tp10004 +a(g212 +V) +tp10005 +a(g6 +V +tp10006 +a(g212 +V( +tp10007 +a(g84 +Vreturn +p10008 +tp10009 +a(g212 +V) +tp10010 +a(g212 +V) +tp10011 +a(g6 +V\u000a +p10012 +tp10013 +a(g212 +V( +tp10014 +a(g138 +Vlet +p10015 +tp10016 +a(g6 +V +tp10017 +a(g212 +V( +tp10018 +a(g212 +V( +tp10019 +a(g100 +Vitem +p10020 +tp10021 +a(g6 +V +tp10022 +a(g212 +V( +tp10023 +a(g84 +Vpop +p10024 +tp10025 +a(g6 +V +tp10026 +a(g100 +Vtypes +p10027 +tp10028 +a(g212 +V) +tp10029 +a(g212 +V) +tp10030 +a(g212 +V) +tp10031 +a(g6 +V\u000a +p10032 +tp10033 +a(g212 +V( +tp10034 +a(g84 +Vunless +p10035 +tp10036 +a(g6 +V +tp10037 +a(g212 +V( +tp10038 +a(g84 +Vand +p10039 +tp10040 +a(g6 +V +tp10041 +a(g212 +V( +tp10042 +a(g84 +Vlistp +p10043 +tp10044 +a(g6 +V +tp10045 +a(g100 +Vitem +p10046 +tp10047 +a(g212 +V) +tp10048 +a(g6 +V +tp10049 +a(g212 +V( +tp10050 +a(g84 +Veql +p10051 +tp10052 +a(g6 +V +tp10053 +a(g212 +V( +tp10054 +a(g84 +Vlength +p10055 +tp10056 +a(g6 +V +tp10057 +a(g100 +Vitem +p10058 +tp10059 +a(g212 +V) +tp10060 +a(g6 +V +tp10061 +a(g328 +V2 +tp10062 +a(g212 +V) +tp10063 +a(g6 +V\u000a +p10064 +tp10065 +a(g212 +V( +tp10066 +a(g84 +Vsymbolp +p10067 +tp10068 +a(g6 +V +tp10069 +a(g212 +V( +tp10070 +a(g84 +Vfirst +p10071 +tp10072 +a(g6 +V +tp10073 +a(g100 +Vitem +p10074 +tp10075 +a(g212 +V) +tp10076 +a(g212 +V) +tp10077 +a(g212 +V) +tp10078 +a(g6 +V\u000a +p10079 +tp10080 +a(g212 +V( +tp10081 +a(g100 +Vtypespec-error +p10082 +tp10083 +a(g6 +V +tp10084 +a(g258 +V'the +p10085 +tp10086 +a(g6 +V +tp10087 +a(g138 +Vtype +p10088 +tp10089 +a(g212 +V) +tp10090 +a(g212 +V) +tp10091 +a(g6 +V\u000a +p10092 +tp10093 +a(g212 +V( +tp10094 +a(g138 +Vlet +p10095 +tp10096 +a(g6 +V +tp10097 +a(g212 +V( +tp10098 +a(g212 +V( +tp10099 +a(g100 +Vkw +p10100 +tp10101 +a(g6 +V +tp10102 +a(g212 +V( +tp10103 +a(g100 +Vsymbol-to-keyword +p10104 +tp10105 +a(g6 +V +tp10106 +a(g212 +V( +tp10107 +a(g84 +Vfirst +p10108 +tp10109 +a(g6 +V +tp10110 +a(g100 +Vitem +p10111 +tp10112 +a(g212 +V) +tp10113 +a(g212 +V) +tp10114 +a(g212 +V) +tp10115 +a(g212 +V) +tp10116 +a(g6 +V\u000a +p10117 +tp10118 +a(g212 +V( +tp10119 +a(g84 +Vunless +p10120 +tp10121 +a(g6 +V +tp10122 +a(g212 +V( +tp10123 +a(g100 +Vnear-typep +p10124 +tp10125 +a(g6 +V +tp10126 +a(g212 +V( +tp10127 +a(g84 +Vgetf +p10128 +tp10129 +a(g6 +V +tp10130 +a(g100 +Vvals +p10131 +tp10132 +a(g6 +V +tp10133 +a(g100 +Vkw +p10134 +tp10135 +a(g212 +V) +tp10136 +a(g6 +V +tp10137 +a(g212 +V( +tp10138 +a(g84 +Vsecond +p10139 +tp10140 +a(g6 +V +tp10141 +a(g100 +Vitem +p10142 +tp10143 +a(g212 +V) +tp10144 +a(g212 +V) +tp10145 +a(g6 +V\u000a +p10146 +tp10147 +a(g212 +V( +tp10148 +a(g138 +Vreturn-from +p10149 +tp10150 +a(g6 +V +tp10151 +a(g100 +V%the +p10152 +tp10153 +a(g6 +V +tp10154 +a(g60 +Vnil +p10155 +tp10156 +a(g212 +V) +tp10157 +a(g212 +V) +tp10158 +a(g6 +V\u000a +p10159 +tp10160 +a(g212 +V( +tp10161 +a(g84 +Vpush +p10162 +tp10163 +a(g6 +V +tp10164 +a(g100 +Vkw +p10165 +tp10166 +a(g6 +V +tp10167 +a(g100 +Vkeywords +p10168 +tp10169 +a(g212 +V) +tp10170 +a(g212 +V) +tp10171 +a(g212 +V) +tp10172 +a(g212 +V) +tp10173 +a(g6 +V\u000a +p10174 +tp10175 +a(g212 +V( +tp10176 +a(g138 +Vif +p10177 +tp10178 +a(g6 +V +tp10179 +a(g212 +V( +tp10180 +a(g84 +Vand +p10181 +tp10182 +a(g6 +V +tp10183 +a(g212 +V( +tp10184 +a(g84 +Vconsp +p10185 +tp10186 +a(g6 +V +tp10187 +a(g100 +Vtypes +p10188 +tp10189 +a(g212 +V) +tp10190 +a(g6 +V +tp10191 +a(g212 +V( +tp10192 +a(g84 +Veq +p10193 +tp10194 +a(g6 +V +tp10195 +a(g212 +V( +tp10196 +a(g84 +Vcar +p10197 +tp10198 +a(g6 +V +tp10199 +a(g100 +Vtypes +p10200 +tp10201 +a(g212 +V) +tp10202 +a(g6 +V +tp10203 +a(g258 +V'&allow-other-keys +p10204 +tp10205 +a(g212 +V) +tp10206 +a(g212 +V) +tp10207 +a(g6 +V\u000a +p10208 +tp10209 +a(g212 +V( +tp10210 +a(g138 +Vsetq +p10211 +tp10212 +a(g6 +V +tp10213 +a(g100 +Vtypes +p10214 +tp10215 +a(g6 +V +tp10216 +a(g212 +V( +tp10217 +a(g84 +Vcdr +p10218 +tp10219 +a(g6 +V +tp10220 +a(g100 +Vtypes +p10221 +tp10222 +a(g212 +V) +tp10223 +a(g212 +V) +tp10224 +a(g6 +V\u000a +p10225 +tp10226 +a(g212 +V( +tp10227 +a(g84 +Vunless +p10228 +tp10229 +a(g6 +V +tp10230 +a(g212 +V( +tp10231 +a(g84 +Vgetf +p10232 +tp10233 +a(g6 +V +tp10234 +a(g100 +Vvals +p10235 +tp10236 +a(g6 +V +tp10237 +a(g353 +V' +tp10238 +a(g258 +V:allow-other-keys +p10239 +tp10240 +a(g212 +V) +tp10241 +a(g6 +V\u000a +p10242 +tp10243 +a(g212 +V( +tp10244 +a(g84 +Vdo +p10245 +tp10246 +a(g6 +V +tp10247 +a(g212 +V( +tp10248 +a(g212 +V( +tp10249 +a(g100 +VL +tp10250 +a(g6 +V +tp10251 +a(g100 +Vvals +p10252 +tp10253 +a(g6 +V +tp10254 +a(g212 +V( +tp10255 +a(g84 +Vcddr +p10256 +tp10257 +a(g6 +V +tp10258 +a(g100 +VL +tp10259 +a(g212 +V) +tp10260 +a(g212 +V) +tp10261 +a(g212 +V) +tp10262 +a(g6 +V\u000a +p10263 +tp10264 +a(g212 +V( +tp10265 +a(g212 +V( +tp10266 +a(g84 +Vatom +p10267 +tp10268 +a(g6 +V +tp10269 +a(g100 +VL +tp10270 +a(g212 +V) +tp10271 +a(g212 +V) +tp10272 +a(g6 +V\u000a +p10273 +tp10274 +a(g212 +V( +tp10275 +a(g84 +Vunless +p10276 +tp10277 +a(g6 +V +tp10278 +a(g212 +V( +tp10279 +a(g100 +Vmemq +p10280 +tp10281 +a(g6 +V +tp10282 +a(g212 +V( +tp10283 +a(g84 +Vcar +p10284 +tp10285 +a(g6 +V +tp10286 +a(g100 +VL +tp10287 +a(g212 +V) +tp10288 +a(g6 +V +tp10289 +a(g100 +Vkeywords +p10290 +tp10291 +a(g212 +V) +tp10292 +a(g6 +V\u000a +p10293 +tp10294 +a(g212 +V( +tp10295 +a(g138 +Vreturn-from +p10296 +tp10297 +a(g6 +V +tp10298 +a(g100 +V%the +p10299 +tp10300 +a(g6 +V +tp10301 +a(g60 +Vnil +p10302 +tp10303 +a(g212 +V) +tp10304 +a(g212 +V) +tp10305 +a(g212 +V) +tp10306 +a(g212 +V) +tp10307 +a(g212 +V) +tp10308 +a(g212 +V) +tp10309 +a(g212 +V) +tp10310 +a(g6 +V\u000a +p10311 +tp10312 +a(g212 +V( +tp10313 +a(g84 +Vwhen +p10314 +tp10315 +a(g6 +V +tp10316 +a(g212 +V( +tp10317 +a(g84 +Vconsp +p10318 +tp10319 +a(g6 +V +tp10320 +a(g100 +Vtypes +p10321 +tp10322 +a(g212 +V) +tp10323 +a(g6 +V +tp10324 +a(g212 +V( +tp10325 +a(g100 +Vtypespec-error +p10326 +tp10327 +a(g6 +V +tp10328 +a(g258 +V'the +p10329 +tp10330 +a(g6 +V +tp10331 +a(g138 +Vtype +p10332 +tp10333 +a(g212 +V) +tp10334 +a(g212 +V) +tp10335 +a(g212 +V) +tp10336 +a(g6 +V\u000a +p10337 +tp10338 +a(g60 +Vt +tp10339 +a(g212 +V) +tp10340 +a(g6 +V\u000a +p10341 +tp10342 +a(g212 +V( +tp10343 +a(g100 +Vnear-typep +p10344 +tp10345 +a(g6 +V +tp10346 +a(g212 +V( +tp10347 +a(g138 +Vif +p10348 +tp10349 +a(g6 +V +tp10350 +a(g212 +V( +tp10351 +a(g84 +Vconsp +p10352 +tp10353 +a(g6 +V +tp10354 +a(g84 +Vvalues +p10355 +tp10356 +a(g212 +V) +tp10357 +a(g6 +V +tp10358 +a(g212 +V( +tp10359 +a(g84 +Vcar +p10360 +tp10361 +a(g6 +V +tp10362 +a(g84 +Vvalues +p10363 +tp10364 +a(g212 +V) +tp10365 +a(g6 +V +tp10366 +a(g60 +Vnil +p10367 +tp10368 +a(g212 +V) +tp10369 +a(g6 +V +tp10370 +a(g138 +Vtype +p10371 +tp10372 +a(g212 +V) +tp10373 +a(g212 +V) +tp10374 +a(g212 +V) +tp10375 +a(g212 +V) +tp10376 +a(g6 +V\u000a\u000a +p10377 +tp10378 +a(g31 +V;;; =========================================================================== +p10379 +tp10380 +a(g6 +V\u000a\u000a +p10381 +tp10382 +a(g31 +V;; SUBTYPEP +p10383 +tp10384 +a(g6 +V\u000a +tp10385 +a(g212 +V( +tp10386 +a(g84 +Vload +p10387 +tp10388 +a(g6 +V +tp10389 +a(g236 +V"subtypep" +p10390 +tp10391 +a(g212 +V) +tp10392 +a(g6 +V\u000a\u000a\u000a +p10393 +tp10394 +a(g31 +V;; Returns the number of bytes that are needed to represent #\u005cNull in a +p10395 +tp10396 +a(g6 +V\u000a +tp10397 +a(g31 +V;; given encoding. +p10398 +tp10399 +a(g6 +V\u000a +tp10400 +a(g212 +V( +tp10401 +a(g84 +Vdefun +p10402 +tp10403 +a(g6 +V +tp10404 +a(g100 +Vencoding-zeroes +p10405 +tp10406 +a(g6 +V +tp10407 +a(g212 +V( +tp10408 +a(g100 +Vencoding +p10409 +tp10410 +a(g212 +V) +tp10411 +a(g6 +V\u000a +p10412 +tp10413 +a(g353 +V#+ +p10414 +tp10415 +a(g100 +VUNICODE +p10416 +tp10417 +a(g6 +V\u000a +p10418 +tp10419 +a(g31 +V;; this should use min_bytes_per_char for cache, not the hash table +p10420 +tp10421 +a(g6 +V\u000a +p10422 +tp10423 +a(g212 +V( +tp10424 +a(g138 +Vlet +p10425 +tp10426 +a(g6 +V +tp10427 +a(g212 +V( +tp10428 +a(g212 +V( +tp10429 +a(g100 +Vname +p10430 +tp10431 +a(g6 +V +tp10432 +a(g212 +V( +tp10433 +a(g100 +Vext:encoding-charset +p10434 +tp10435 +a(g6 +V +tp10436 +a(g100 +Vencoding +p10437 +tp10438 +a(g212 +V) +tp10439 +a(g212 +V) +tp10440 +a(g6 +V\u000a +p10441 +tp10442 +a(g212 +V( +tp10443 +a(g100 +Vtable +p10444 +tp10445 +a(g6 +V +tp10446 +a(g353 +V#. +p10447 +tp10448 +a(g212 +V( +tp10449 +a(g84 +Vmake-hash-table +p10450 +tp10451 +a(g6 +V +tp10452 +a(g258 +V:key-type +p10453 +tp10454 +a(g6 +V +tp10455 +a(g353 +V' +tp10456 +a(g212 +V( +tp10457 +a(g84 +Vor +p10458 +tp10459 +a(g6 +V +tp10460 +a(g84 +Vstring +p10461 +tp10462 +a(g6 +V +tp10463 +a(g133 +Vsymbol +p10464 +tp10465 +a(g212 +V) +tp10466 +a(g6 +V +tp10467 +a(g258 +V:value-type +p10468 +tp10469 +a(g6 +V +tp10470 +a(g258 +V'fixnum +p10471 +tp10472 +a(g6 +V\u000a +p10473 +tp10474 +a(g258 +V:test +p10475 +tp10476 +a(g6 +V +tp10477 +a(g258 +V'stablehash-equal +p10478 +tp10479 +a(g6 +V +tp10480 +a(g258 +V:warn-if-needs-rehash-after-gc +p10481 +tp10482 +a(g6 +V +tp10483 +a(g60 +Vt +tp10484 +a(g6 +V\u000a +p10485 +tp10486 +a(g258 +V:initial-contents +p10487 +tp10488 +a(g6 +V +tp10489 +a(g353 +V' +tp10490 +a(g212 +V( +tp10491 +a(g212 +V( +tp10492 +a(g236 +V"UTF-7" +p10493 +tp10494 +a(g6 +V +tp10495 +a(g353 +V. +tp10496 +a(g6 +V +tp10497 +a(g328 +V1 +tp10498 +a(g212 +V) +tp10499 +a(g212 +V) +tp10500 +a(g212 +V) +tp10501 +a(g212 +V) +tp10502 +a(g6 +V\u000a +p10503 +tp10504 +a(g212 +V( +tp10505 +a(g100 +Vtester +p10506 +tp10507 +a(g6 +V +tp10508 +a(g353 +V#. +p10509 +tp10510 +a(g212 +V( +tp10511 +a(g84 +Vmake-string +p10512 +tp10513 +a(g6 +V +tp10514 +a(g328 +V2 +tp10515 +a(g6 +V +tp10516 +a(g258 +V:initial-element +p10517 +tp10518 +a(g6 +V +tp10519 +a(g212 +V( +tp10520 +a(g84 +Vcode-char +p10521 +tp10522 +a(g6 +V +tp10523 +a(g328 +V0 +tp10524 +a(g212 +V) +tp10525 +a(g212 +V) +tp10526 +a(g212 +V) +tp10527 +a(g212 +V) +tp10528 +a(g6 +V\u000a +p10529 +tp10530 +a(g212 +V( +tp10531 +a(g84 +Vor +p10532 +tp10533 +a(g6 +V +tp10534 +a(g212 +V( +tp10535 +a(g84 +Vgethash +p10536 +tp10537 +a(g6 +V +tp10538 +a(g100 +Vname +p10539 +tp10540 +a(g6 +V +tp10541 +a(g100 +Vtable +p10542 +tp10543 +a(g212 +V) +tp10544 +a(g6 +V\u000a +p10545 +tp10546 +a(g212 +V( +tp10547 +a(g84 +Vsetf +p10548 +tp10549 +a(g6 +V +tp10550 +a(g212 +V( +tp10551 +a(g84 +Vgethash +p10552 +tp10553 +a(g6 +V +tp10554 +a(g100 +Vname +p10555 +tp10556 +a(g6 +V +tp10557 +a(g100 +Vtable +p10558 +tp10559 +a(g212 +V) +tp10560 +a(g6 +V\u000a +p10561 +tp10562 +a(g212 +V( +tp10563 +a(g84 +V- +tp10564 +a(g6 +V +tp10565 +a(g212 +V( +tp10566 +a(g84 +Vlength +p10567 +tp10568 +a(g6 +V +tp10569 +a(g212 +V( +tp10570 +a(g100 +Vext:convert-string-to-bytes +p10571 +tp10572 +a(g6 +V +tp10573 +a(g100 +Vtester +p10574 +tp10575 +a(g6 +V +tp10576 +a(g100 +Vencoding +p10577 +tp10578 +a(g212 +V) +tp10579 +a(g212 +V) +tp10580 +a(g6 +V\u000a +p10581 +tp10582 +a(g212 +V( +tp10583 +a(g84 +Vlength +p10584 +tp10585 +a(g6 +V +tp10586 +a(g212 +V( +tp10587 +a(g100 +Vext:convert-string-to-bytes +p10588 +tp10589 +a(g6 +V +tp10590 +a(g100 +Vtester +p10591 +tp10592 +a(g6 +V +tp10593 +a(g100 +Vencoding +p10594 +tp10595 +a(g6 +V\u000a +p10596 +tp10597 +a(g258 +V:end +p10598 +tp10599 +a(g6 +V +tp10600 +a(g328 +V1 +tp10601 +a(g212 +V) +tp10602 +a(g212 +V) +tp10603 +a(g212 +V) +tp10604 +a(g212 +V) +tp10605 +a(g212 +V) +tp10606 +a(g212 +V) +tp10607 +a(g6 +V\u000a +p10608 +tp10609 +a(g353 +V#- +p10610 +tp10611 +a(g100 +VUNICODE +p10612 +tp10613 +a(g6 +V +tp10614 +a(g328 +V1 +tp10615 +a(g212 +V) +tp10616 +a(g6 +V\u000a\u000a +p10617 +tp10618 +a(g31 +V;; Determines two values low,high such that +p10619 +tp10620 +a(g6 +V\u000a +tp10621 +a(g31 +V;; (subtypep type `(INTEGER ,low ,high)) +p10622 +tp10623 +a(g6 +V\u000a +tp10624 +a(g31 +V;; holds and low is as large as possible and high is as small as possible. +p10625 +tp10626 +a(g6 +V\u000a +tp10627 +a(g31 +V;; low = * means -infinity, high = * means infinity. +p10628 +tp10629 +a(g6 +V\u000a +tp10630 +a(g31 +V;; When (subtypep type 'INTEGER) is false, the values NIL,NIL are returned. +p10631 +tp10632 +a(g6 +V\u000a +tp10633 +a(g31 +V;; We need this function only for MAKE-ARRAY, UPGRADED-ARRAY-ELEMENT-TYPE and +p10634 +tp10635 +a(g6 +V\u000a +tp10636 +a(g31 +V;; OPEN and can therefore w.l.o.g. replace +p10637 +tp10638 +a(g6 +V\u000a +tp10639 +a(g31 +V;; type with `(OR ,type (MEMBER 0)) +p10640 +tp10641 +a(g6 +V\u000a +tp10642 +a(g35 +V#| +p10643 +tp10644 +a(g35 +V ;; The original implementation calls canonicalize-type and then applies\u000a ;; a particular SUBTYPE variant:\u000a (defun subtype-integer (type)\u000a (macrolet ((yes () '(return-from subtype-integer (values low high)))\u000a (no () '(return-from subtype-integer nil))\u000a (unknown () '(return-from subtype-integer nil)))\u000a (setq type (canonicalize-type type))\u000a (if (consp type)\u000a (case (first type)\u000a (MEMBER ; (MEMBER &rest objects)\u000a ;; All elements must be of type INTEGER.\u000a (let ((low 0) (high 0)) ; wlog!\u000a (dolist (x (rest type) (yes))\u000a (unless (typep x 'INTEGER) (return (no)))\u000a (setq low (min low x) high (max high x)))))\u000a (OR ; (OR type*)\u000a ;; Every type must be subtype of INTEGER.\u000a (let ((low 0) (high 0)) ; wlog!\u000a (dolist (type1 (rest type) (yes))\u000a (multiple-value-bind (low1 high1) (subtype-integer type1)\u000a (unless low1 (return (no)))\u000a (setq low (if (or (eq low '*) (eq low1 '*)) '* (min low low1))\u000a high (if (or (eq high '*) (eq high1 '*))\u000a '* (max high high1)))))))\u000a (AND ; (AND type*)\u000a ;; If one of the types is subtype of INTEGER, then yes,\u000a ;; otherwise unknown.\u000a (let ((low nil) (high nil))\u000a (dolist (type1 (rest type))\u000a (multiple-value-bind (low1 high1) (subtype-integer type1)\u000a (when low1\u000a (if low\u000a (setq low (if (eq low '*) low1 (if (eq low1 '*) low (max low low1)))\u000a high (if (eq high '*) high1 (if (eq high1 '*) high (min high high1))))\u000a (setq low low1 high high1)))))\u000a (if low\u000a (progn\u000a (when (and (numberp low) (numberp high) (not (<= low high)))\u000a (setq low 0 high 0) ; type equivalent to NIL)\u000a (yes))\u000a (unknown)))))\u000a (setq type (list type)))\u000a (if (eq (first type) 'INTEGER)\u000a (let ((low (if (rest type) (second type) '*))\u000a (high (if (cddr type) (third type) '*)))\u000a (when (consp low)\u000a (setq low (first low))\u000a (when (numberp low) (incf low)))\u000a (when (consp high)\u000a (setq high (first high))\u000a (when (numberp high) (decf high)))\u000a (when (and (numberp low) (numberp high) (not (<= low high))) ; type leer?\u000a (setq low 0 high 0))\u000a (yes))\u000a (if (and (eq (first type) 'INTERVALS) (eq (second type) 'INTEGER))\u000a (let ((low (third type))\u000a (high (car (last type))))\u000a (when (consp low)\u000a (setq low (first low))\u000a (when (numberp low) (incf low)))\u000a (when (consp high)\u000a (setq high (first high))\u000a (when (numberp high) (decf high)))\u000a (yes))\u000a (unknown)))))\u000a +p10645 +tp10646 +a(g35 +V|# +p10647 +tp10648 +a(g6 +V +tp10649 +a(g31 +V;; This implementation inlines the (tail-recursive) canonicalize-type +p10650 +tp10651 +a(g6 +V\u000a +p10652 +tp10653 +a(g31 +V;; function. Its advantage is that it doesn't cons as much. +p10654 +tp10655 +a(g6 +V\u000a +p10656 +tp10657 +a(g31 +V;; (For example, (subtype-integer '(UNSIGNED-BYTE 8)) doesn't cons.) +p10658 +tp10659 +a(g6 +V\u000a +tp10660 +a(g212 +V( +tp10661 +a(g84 +Vdefun +p10662 +tp10663 +a(g6 +V +tp10664 +a(g100 +Vsubtype-integer +p10665 +tp10666 +a(g6 +V +tp10667 +a(g212 +V( +tp10668 +a(g138 +Vtype +p10669 +tp10670 +a(g212 +V) +tp10671 +a(g6 +V\u000a +p10672 +tp10673 +a(g212 +V( +tp10674 +a(g138 +Vmacrolet +p10675 +tp10676 +a(g6 +V +tp10677 +a(g212 +V( +tp10678 +a(g212 +V( +tp10679 +a(g100 +Vyes +p10680 +tp10681 +a(g6 +V +tp10682 +a(g212 +V( +tp10683 +a(g212 +V) +tp10684 +a(g6 +V +tp10685 +a(g353 +V' +tp10686 +a(g212 +V( +tp10687 +a(g138 +Vreturn-from +p10688 +tp10689 +a(g6 +V +tp10690 +a(g100 +Vsubtype-integer +p10691 +tp10692 +a(g6 +V +tp10693 +a(g212 +V( +tp10694 +a(g84 +Vvalues +p10695 +tp10696 +a(g6 +V +tp10697 +a(g100 +Vlow +p10698 +tp10699 +a(g6 +V +tp10700 +a(g100 +Vhigh +p10701 +tp10702 +a(g212 +V) +tp10703 +a(g212 +V) +tp10704 +a(g212 +V) +tp10705 +a(g6 +V\u000a +p10706 +tp10707 +a(g212 +V( +tp10708 +a(g100 +Vno +p10709 +tp10710 +a(g6 +V +tp10711 +a(g212 +V( +tp10712 +a(g212 +V) +tp10713 +a(g6 +V +tp10714 +a(g353 +V' +tp10715 +a(g212 +V( +tp10716 +a(g138 +Vreturn-from +p10717 +tp10718 +a(g6 +V +tp10719 +a(g100 +Vsubtype-integer +p10720 +tp10721 +a(g6 +V +tp10722 +a(g60 +Vnil +p10723 +tp10724 +a(g212 +V) +tp10725 +a(g212 +V) +tp10726 +a(g6 +V\u000a +p10727 +tp10728 +a(g212 +V( +tp10729 +a(g100 +Vunknown +p10730 +tp10731 +a(g6 +V +tp10732 +a(g212 +V( +tp10733 +a(g212 +V) +tp10734 +a(g6 +V +tp10735 +a(g353 +V' +tp10736 +a(g212 +V( +tp10737 +a(g138 +Vreturn-from +p10738 +tp10739 +a(g6 +V +tp10740 +a(g100 +Vsubtype-integer +p10741 +tp10742 +a(g6 +V +tp10743 +a(g60 +Vnil +p10744 +tp10745 +a(g212 +V) +tp10746 +a(g212 +V) +tp10747 +a(g212 +V) +tp10748 +a(g6 +V\u000a +p10749 +tp10750 +a(g212 +V( +tp10751 +a(g138 +Vsetq +p10752 +tp10753 +a(g6 +V +tp10754 +a(g138 +Vtype +p10755 +tp10756 +a(g6 +V +tp10757 +a(g212 +V( +tp10758 +a(g100 +Vexpand-deftype +p10759 +tp10760 +a(g6 +V +tp10761 +a(g138 +Vtype +p10762 +tp10763 +a(g212 +V) +tp10764 +a(g212 +V) +tp10765 +a(g6 +V\u000a +p10766 +tp10767 +a(g212 +V( +tp10768 +a(g84 +Vcond +p10769 +tp10770 +a(g6 +V +tp10771 +a(g212 +V( +tp10772 +a(g212 +V( +tp10773 +a(g84 +Vsymbolp +p10774 +tp10775 +a(g6 +V +tp10776 +a(g138 +Vtype +p10777 +tp10778 +a(g212 +V) +tp10779 +a(g6 +V\u000a +p10780 +tp10781 +a(g212 +V( +tp10782 +a(g84 +Vcase +p10783 +tp10784 +a(g6 +V +tp10785 +a(g138 +Vtype +p10786 +tp10787 +a(g6 +V\u000a +p10788 +tp10789 +a(g212 +V( +tp10790 +a(g100 +VBIT +p10791 +tp10792 +a(g6 +V +tp10793 +a(g212 +V( +tp10794 +a(g138 +Vlet +p10795 +tp10796 +a(g6 +V +tp10797 +a(g212 +V( +tp10798 +a(g212 +V( +tp10799 +a(g100 +Vlow +p10800 +tp10801 +a(g6 +V +tp10802 +a(g328 +V0 +tp10803 +a(g212 +V) +tp10804 +a(g6 +V +tp10805 +a(g212 +V( +tp10806 +a(g100 +Vhigh +p10807 +tp10808 +a(g6 +V +tp10809 +a(g328 +V1 +tp10810 +a(g212 +V) +tp10811 +a(g212 +V) +tp10812 +a(g6 +V +tp10813 +a(g212 +V( +tp10814 +a(g100 +Vyes +p10815 +tp10816 +a(g212 +V) +tp10817 +a(g212 +V) +tp10818 +a(g212 +V) +tp10819 +a(g6 +V\u000a +p10820 +tp10821 +a(g212 +V( +tp10822 +a(g100 +VFIXNUM +p10823 +tp10824 +a(g6 +V\u000a +p10825 +tp10826 +a(g212 +V( +tp10827 +a(g138 +Vlet +p10828 +tp10829 +a(g6 +V +tp10830 +a(g212 +V( +tp10831 +a(g212 +V( +tp10832 +a(g100 +Vlow +p10833 +tp10834 +a(g6 +V +tp10835 +a(g353 +V' +tp10836 +a(g353 +V#, +p10837 +tp10838 +a(g100 +Vmost-negative-fixnum +p10839 +tp10840 +a(g212 +V) +tp10841 +a(g6 +V\u000a +p10842 +tp10843 +a(g212 +V( +tp10844 +a(g100 +Vhigh +p10845 +tp10846 +a(g6 +V +tp10847 +a(g353 +V' +tp10848 +a(g353 +V#, +p10849 +tp10850 +a(g100 +Vmost-positive-fixnum +p10851 +tp10852 +a(g212 +V) +tp10853 +a(g212 +V) +tp10854 +a(g6 +V\u000a +p10855 +tp10856 +a(g212 +V( +tp10857 +a(g100 +Vyes +p10858 +tp10859 +a(g212 +V) +tp10860 +a(g212 +V) +tp10861 +a(g212 +V) +tp10862 +a(g6 +V\u000a +p10863 +tp10864 +a(g212 +V( +tp10865 +a(g212 +V( +tp10866 +a(g100 +VINTEGER +p10867 +tp10868 +a(g6 +V +tp10869 +a(g100 +VBIGNUM +p10870 +tp10871 +a(g6 +V +tp10872 +a(g100 +VSIGNED-BYTE +p10873 +tp10874 +a(g212 +V) +tp10875 +a(g6 +V\u000a +p10876 +tp10877 +a(g212 +V( +tp10878 +a(g138 +Vlet +p10879 +tp10880 +a(g6 +V +tp10881 +a(g212 +V( +tp10882 +a(g212 +V( +tp10883 +a(g100 +Vlow +p10884 +tp10885 +a(g6 +V +tp10886 +a(g258 +V'* +p10887 +tp10888 +a(g212 +V) +tp10889 +a(g6 +V +tp10890 +a(g212 +V( +tp10891 +a(g100 +Vhigh +p10892 +tp10893 +a(g6 +V +tp10894 +a(g258 +V'* +p10895 +tp10896 +a(g212 +V) +tp10897 +a(g212 +V) +tp10898 +a(g6 +V +tp10899 +a(g212 +V( +tp10900 +a(g100 +Vyes +p10901 +tp10902 +a(g212 +V) +tp10903 +a(g212 +V) +tp10904 +a(g212 +V) +tp10905 +a(g6 +V\u000a +p10906 +tp10907 +a(g212 +V( +tp10908 +a(g100 +VUNSIGNED-BYTE +p10909 +tp10910 +a(g6 +V\u000a +p10911 +tp10912 +a(g212 +V( +tp10913 +a(g138 +Vlet +p10914 +tp10915 +a(g6 +V +tp10916 +a(g212 +V( +tp10917 +a(g212 +V( +tp10918 +a(g100 +Vlow +p10919 +tp10920 +a(g6 +V +tp10921 +a(g328 +V0 +tp10922 +a(g212 +V) +tp10923 +a(g6 +V +tp10924 +a(g212 +V( +tp10925 +a(g100 +Vhigh +p10926 +tp10927 +a(g6 +V +tp10928 +a(g258 +V'* +p10929 +tp10930 +a(g212 +V) +tp10931 +a(g212 +V) +tp10932 +a(g6 +V +tp10933 +a(g212 +V( +tp10934 +a(g100 +Vyes +p10935 +tp10936 +a(g212 +V) +tp10937 +a(g212 +V) +tp10938 +a(g212 +V) +tp10939 +a(g6 +V\u000a +p10940 +tp10941 +a(g212 +V( +tp10942 +a(g212 +V( +tp10943 +a(g60 +VNIL +p10944 +tp10945 +a(g212 +V) +tp10946 +a(g6 +V\u000a +p10947 +tp10948 +a(g212 +V( +tp10949 +a(g138 +Vlet +p10950 +tp10951 +a(g6 +V +tp10952 +a(g212 +V( +tp10953 +a(g212 +V( +tp10954 +a(g100 +Vlow +p10955 +tp10956 +a(g6 +V +tp10957 +a(g328 +V0 +tp10958 +a(g212 +V) +tp10959 +a(g6 +V +tp10960 +a(g212 +V( +tp10961 +a(g100 +Vhigh +p10962 +tp10963 +a(g6 +V +tp10964 +a(g328 +V0 +tp10965 +a(g212 +V) +tp10966 +a(g212 +V) +tp10967 +a(g6 +V +tp10968 +a(g212 +V( +tp10969 +a(g100 +Vyes +p10970 +tp10971 +a(g212 +V) +tp10972 +a(g212 +V) +tp10973 +a(g212 +V) +tp10974 +a(g6 +V +tp10975 +a(g31 +V; wlog! +p10976 +tp10977 +a(g6 +V\u000a +p10978 +tp10979 +a(g212 +V( +tp10980 +a(g60 +Vt +tp10981 +a(g6 +V +tp10982 +a(g212 +V( +tp10983 +a(g100 +Vno +p10984 +tp10985 +a(g212 +V) +tp10986 +a(g212 +V) +tp10987 +a(g212 +V) +tp10988 +a(g212 +V) +tp10989 +a(g6 +V\u000a +p10990 +tp10991 +a(g212 +V( +tp10992 +a(g212 +V( +tp10993 +a(g84 +Vand +p10994 +tp10995 +a(g6 +V +tp10996 +a(g212 +V( +tp10997 +a(g84 +Vconsp +p10998 +tp10999 +a(g6 +V +tp11000 +a(g138 +Vtype +p11001 +tp11002 +a(g212 +V) +tp11003 +a(g6 +V +tp11004 +a(g212 +V( +tp11005 +a(g84 +Vsymbolp +p11006 +tp11007 +a(g6 +V +tp11008 +a(g212 +V( +tp11009 +a(g84 +Vfirst +p11010 +tp11011 +a(g6 +V +tp11012 +a(g138 +Vtype +p11013 +tp11014 +a(g212 +V) +tp11015 +a(g212 +V) +tp11016 +a(g212 +V) +tp11017 +a(g6 +V\u000a +p11018 +tp11019 +a(g212 +V( +tp11020 +a(g84 +Vunless +p11021 +tp11022 +a(g6 +V +tp11023 +a(g212 +V( +tp11024 +a(g84 +Vand +p11025 +tp11026 +a(g6 +V +tp11027 +a(g212 +V( +tp11028 +a(g84 +Vlist-length +p11029 +tp11030 +a(g6 +V +tp11031 +a(g138 +Vtype +p11032 +tp11033 +a(g212 +V) +tp11034 +a(g6 +V +tp11035 +a(g212 +V( +tp11036 +a(g84 +Vnull +p11037 +tp11038 +a(g6 +V +tp11039 +a(g212 +V( +tp11040 +a(g84 +Vcdr +p11041 +tp11042 +a(g6 +V +tp11043 +a(g212 +V( +tp11044 +a(g84 +Vlast +p11045 +tp11046 +a(g6 +V +tp11047 +a(g138 +Vtype +p11048 +tp11049 +a(g212 +V) +tp11050 +a(g212 +V) +tp11051 +a(g212 +V) +tp11052 +a(g212 +V) +tp11053 +a(g6 +V\u000a +p11054 +tp11055 +a(g212 +V( +tp11056 +a(g100 +Vtypespec-error +p11057 +tp11058 +a(g6 +V +tp11059 +a(g258 +V'subtypep +p11060 +tp11061 +a(g6 +V +tp11062 +a(g138 +Vtype +p11063 +tp11064 +a(g212 +V) +tp11065 +a(g212 +V) +tp11066 +a(g6 +V\u000a +p11067 +tp11068 +a(g212 +V( +tp11069 +a(g84 +Vcase +p11070 +tp11071 +a(g6 +V +tp11072 +a(g212 +V( +tp11073 +a(g84 +Vfirst +p11074 +tp11075 +a(g6 +V +tp11076 +a(g138 +Vtype +p11077 +tp11078 +a(g212 +V) +tp11079 +a(g6 +V\u000a +p11080 +tp11081 +a(g212 +V( +tp11082 +a(g100 +VMEMBER +p11083 +tp11084 +a(g6 +V +tp11085 +a(g31 +V; (MEMBER &rest objects) +p11086 +tp11087 +a(g6 +V\u000a +p11088 +tp11089 +a(g31 +V;; All elements must be of type INTEGER. +p11090 +tp11091 +a(g6 +V\u000a +p11092 +tp11093 +a(g212 +V( +tp11094 +a(g138 +Vlet +p11095 +tp11096 +a(g6 +V +tp11097 +a(g212 +V( +tp11098 +a(g212 +V( +tp11099 +a(g100 +Vlow +p11100 +tp11101 +a(g6 +V +tp11102 +a(g328 +V0 +tp11103 +a(g212 +V) +tp11104 +a(g6 +V +tp11105 +a(g212 +V( +tp11106 +a(g100 +Vhigh +p11107 +tp11108 +a(g6 +V +tp11109 +a(g328 +V0 +tp11110 +a(g212 +V) +tp11111 +a(g212 +V) +tp11112 +a(g6 +V +tp11113 +a(g31 +V; wlog! +p11114 +tp11115 +a(g6 +V\u000a +p11116 +tp11117 +a(g212 +V( +tp11118 +a(g84 +Vdolist +p11119 +tp11120 +a(g6 +V +tp11121 +a(g212 +V( +tp11122 +a(g100 +Vx +tp11123 +a(g6 +V +tp11124 +a(g212 +V( +tp11125 +a(g84 +Vrest +p11126 +tp11127 +a(g6 +V +tp11128 +a(g138 +Vtype +p11129 +tp11130 +a(g212 +V) +tp11131 +a(g6 +V +tp11132 +a(g212 +V( +tp11133 +a(g100 +Vyes +p11134 +tp11135 +a(g212 +V) +tp11136 +a(g212 +V) +tp11137 +a(g6 +V\u000a +p11138 +tp11139 +a(g212 +V( +tp11140 +a(g84 +Vunless +p11141 +tp11142 +a(g6 +V +tp11143 +a(g212 +V( +tp11144 +a(g84 +Vtypep +p11145 +tp11146 +a(g6 +V +tp11147 +a(g100 +Vx +tp11148 +a(g6 +V +tp11149 +a(g258 +V'INTEGER +p11150 +tp11151 +a(g212 +V) +tp11152 +a(g6 +V +tp11153 +a(g212 +V( +tp11154 +a(g84 +Vreturn +p11155 +tp11156 +a(g6 +V +tp11157 +a(g212 +V( +tp11158 +a(g100 +Vno +p11159 +tp11160 +a(g212 +V) +tp11161 +a(g212 +V) +tp11162 +a(g212 +V) +tp11163 +a(g6 +V\u000a +p11164 +tp11165 +a(g212 +V( +tp11166 +a(g138 +Vsetq +p11167 +tp11168 +a(g6 +V +tp11169 +a(g100 +Vlow +p11170 +tp11171 +a(g6 +V +tp11172 +a(g212 +V( +tp11173 +a(g84 +Vmin +p11174 +tp11175 +a(g6 +V +tp11176 +a(g100 +Vlow +p11177 +tp11178 +a(g6 +V +tp11179 +a(g100 +Vx +tp11180 +a(g212 +V) +tp11181 +a(g6 +V +tp11182 +a(g100 +Vhigh +p11183 +tp11184 +a(g6 +V +tp11185 +a(g212 +V( +tp11186 +a(g84 +Vmax +p11187 +tp11188 +a(g6 +V +tp11189 +a(g100 +Vhigh +p11190 +tp11191 +a(g6 +V +tp11192 +a(g100 +Vx +tp11193 +a(g212 +V) +tp11194 +a(g212 +V) +tp11195 +a(g212 +V) +tp11196 +a(g212 +V) +tp11197 +a(g212 +V) +tp11198 +a(g6 +V\u000a +p11199 +tp11200 +a(g212 +V( +tp11201 +a(g100 +VEQL +p11202 +tp11203 +a(g6 +V +tp11204 +a(g31 +V; (EQL object) +p11205 +tp11206 +a(g6 +V\u000a +p11207 +tp11208 +a(g212 +V( +tp11209 +a(g138 +Vlet +p11210 +tp11211 +a(g6 +V +tp11212 +a(g212 +V( +tp11213 +a(g212 +V( +tp11214 +a(g100 +Vx +tp11215 +a(g6 +V +tp11216 +a(g212 +V( +tp11217 +a(g84 +Vsecond +p11218 +tp11219 +a(g6 +V +tp11220 +a(g138 +Vtype +p11221 +tp11222 +a(g212 +V) +tp11223 +a(g212 +V) +tp11224 +a(g212 +V) +tp11225 +a(g6 +V\u000a +p11226 +tp11227 +a(g212 +V( +tp11228 +a(g138 +Vif +p11229 +tp11230 +a(g6 +V +tp11231 +a(g212 +V( +tp11232 +a(g84 +Vtypep +p11233 +tp11234 +a(g6 +V +tp11235 +a(g100 +Vx +tp11236 +a(g6 +V +tp11237 +a(g258 +V'INTEGER +p11238 +tp11239 +a(g212 +V) +tp11240 +a(g6 +V\u000a +p11241 +tp11242 +a(g212 +V( +tp11243 +a(g138 +Vlet +p11244 +tp11245 +a(g6 +V +tp11246 +a(g212 +V( +tp11247 +a(g212 +V( +tp11248 +a(g100 +Vlow +p11249 +tp11250 +a(g6 +V +tp11251 +a(g212 +V( +tp11252 +a(g84 +Vmin +p11253 +tp11254 +a(g6 +V +tp11255 +a(g328 +V0 +tp11256 +a(g6 +V +tp11257 +a(g100 +Vx +tp11258 +a(g212 +V) +tp11259 +a(g212 +V) +tp11260 +a(g6 +V +tp11261 +a(g212 +V( +tp11262 +a(g100 +Vhigh +p11263 +tp11264 +a(g6 +V +tp11265 +a(g212 +V( +tp11266 +a(g84 +Vmax +p11267 +tp11268 +a(g6 +V +tp11269 +a(g328 +V0 +tp11270 +a(g6 +V +tp11271 +a(g100 +Vx +tp11272 +a(g212 +V) +tp11273 +a(g212 +V) +tp11274 +a(g212 +V) +tp11275 +a(g6 +V +tp11276 +a(g212 +V( +tp11277 +a(g100 +Vyes +p11278 +tp11279 +a(g212 +V) +tp11280 +a(g212 +V) +tp11281 +a(g6 +V\u000a +p11282 +tp11283 +a(g212 +V( +tp11284 +a(g100 +Vno +p11285 +tp11286 +a(g212 +V) +tp11287 +a(g212 +V) +tp11288 +a(g212 +V) +tp11289 +a(g212 +V) +tp11290 +a(g6 +V\u000a +p11291 +tp11292 +a(g212 +V( +tp11293 +a(g100 +VOR +p11294 +tp11295 +a(g6 +V +tp11296 +a(g31 +V; (OR type*) +p11297 +tp11298 +a(g6 +V\u000a +p11299 +tp11300 +a(g31 +V;; Every type must be subtype of INTEGER. +p11301 +tp11302 +a(g6 +V\u000a +p11303 +tp11304 +a(g212 +V( +tp11305 +a(g138 +Vlet +p11306 +tp11307 +a(g6 +V +tp11308 +a(g212 +V( +tp11309 +a(g212 +V( +tp11310 +a(g100 +Vlow +p11311 +tp11312 +a(g6 +V +tp11313 +a(g328 +V0 +tp11314 +a(g212 +V) +tp11315 +a(g6 +V +tp11316 +a(g212 +V( +tp11317 +a(g100 +Vhigh +p11318 +tp11319 +a(g6 +V +tp11320 +a(g328 +V0 +tp11321 +a(g212 +V) +tp11322 +a(g212 +V) +tp11323 +a(g6 +V +tp11324 +a(g31 +V; wlog! +p11325 +tp11326 +a(g6 +V\u000a +p11327 +tp11328 +a(g212 +V( +tp11329 +a(g84 +Vdolist +p11330 +tp11331 +a(g6 +V +tp11332 +a(g212 +V( +tp11333 +a(g100 +Vtype1 +p11334 +tp11335 +a(g6 +V +tp11336 +a(g212 +V( +tp11337 +a(g84 +Vrest +p11338 +tp11339 +a(g6 +V +tp11340 +a(g138 +Vtype +p11341 +tp11342 +a(g212 +V) +tp11343 +a(g6 +V +tp11344 +a(g212 +V( +tp11345 +a(g100 +Vyes +p11346 +tp11347 +a(g212 +V) +tp11348 +a(g212 +V) +tp11349 +a(g6 +V\u000a +p11350 +tp11351 +a(g212 +V( +tp11352 +a(g84 +Vmultiple-value-bind +p11353 +tp11354 +a(g6 +V +tp11355 +a(g212 +V( +tp11356 +a(g100 +Vlow1 +p11357 +tp11358 +a(g6 +V +tp11359 +a(g100 +Vhigh1 +p11360 +tp11361 +a(g212 +V) +tp11362 +a(g6 +V +tp11363 +a(g212 +V( +tp11364 +a(g100 +Vsubtype-integer +p11365 +tp11366 +a(g6 +V +tp11367 +a(g100 +Vtype1 +p11368 +tp11369 +a(g212 +V) +tp11370 +a(g6 +V\u000a +p11371 +tp11372 +a(g212 +V( +tp11373 +a(g84 +Vunless +p11374 +tp11375 +a(g6 +V +tp11376 +a(g100 +Vlow1 +p11377 +tp11378 +a(g6 +V +tp11379 +a(g212 +V( +tp11380 +a(g84 +Vreturn +p11381 +tp11382 +a(g6 +V +tp11383 +a(g212 +V( +tp11384 +a(g100 +Vno +p11385 +tp11386 +a(g212 +V) +tp11387 +a(g212 +V) +tp11388 +a(g212 +V) +tp11389 +a(g6 +V\u000a +p11390 +tp11391 +a(g212 +V( +tp11392 +a(g138 +Vsetq +p11393 +tp11394 +a(g6 +V +tp11395 +a(g100 +Vlow +p11396 +tp11397 +a(g6 +V +tp11398 +a(g212 +V( +tp11399 +a(g138 +Vif +p11400 +tp11401 +a(g6 +V +tp11402 +a(g212 +V( +tp11403 +a(g84 +Vor +p11404 +tp11405 +a(g6 +V +tp11406 +a(g212 +V( +tp11407 +a(g84 +Veq +p11408 +tp11409 +a(g6 +V +tp11410 +a(g100 +Vlow +p11411 +tp11412 +a(g6 +V +tp11413 +a(g258 +V'* +p11414 +tp11415 +a(g212 +V) +tp11416 +a(g6 +V +tp11417 +a(g212 +V( +tp11418 +a(g84 +Veq +p11419 +tp11420 +a(g6 +V +tp11421 +a(g100 +Vlow1 +p11422 +tp11423 +a(g6 +V +tp11424 +a(g258 +V'* +p11425 +tp11426 +a(g212 +V) +tp11427 +a(g212 +V) +tp11428 +a(g6 +V\u000a +p11429 +tp11430 +a(g258 +V'* +p11431 +tp11432 +a(g6 +V +tp11433 +a(g212 +V( +tp11434 +a(g84 +Vmin +p11435 +tp11436 +a(g6 +V +tp11437 +a(g100 +Vlow +p11438 +tp11439 +a(g6 +V +tp11440 +a(g100 +Vlow1 +p11441 +tp11442 +a(g212 +V) +tp11443 +a(g212 +V) +tp11444 +a(g6 +V\u000a +p11445 +tp11446 +a(g100 +Vhigh +p11447 +tp11448 +a(g6 +V +tp11449 +a(g212 +V( +tp11450 +a(g138 +Vif +p11451 +tp11452 +a(g6 +V +tp11453 +a(g212 +V( +tp11454 +a(g84 +Vor +p11455 +tp11456 +a(g6 +V +tp11457 +a(g212 +V( +tp11458 +a(g84 +Veq +p11459 +tp11460 +a(g6 +V +tp11461 +a(g100 +Vhigh +p11462 +tp11463 +a(g6 +V +tp11464 +a(g258 +V'* +p11465 +tp11466 +a(g212 +V) +tp11467 +a(g6 +V +tp11468 +a(g212 +V( +tp11469 +a(g84 +Veq +p11470 +tp11471 +a(g6 +V +tp11472 +a(g100 +Vhigh1 +p11473 +tp11474 +a(g6 +V +tp11475 +a(g258 +V'* +p11476 +tp11477 +a(g212 +V) +tp11478 +a(g212 +V) +tp11479 +a(g6 +V\u000a +p11480 +tp11481 +a(g258 +V'* +p11482 +tp11483 +a(g6 +V +tp11484 +a(g212 +V( +tp11485 +a(g84 +Vmax +p11486 +tp11487 +a(g6 +V +tp11488 +a(g100 +Vhigh +p11489 +tp11490 +a(g6 +V +tp11491 +a(g100 +Vhigh1 +p11492 +tp11493 +a(g212 +V) +tp11494 +a(g212 +V) +tp11495 +a(g212 +V) +tp11496 +a(g212 +V) +tp11497 +a(g212 +V) +tp11498 +a(g212 +V) +tp11499 +a(g212 +V) +tp11500 +a(g6 +V\u000a +p11501 +tp11502 +a(g212 +V( +tp11503 +a(g100 +VAND +p11504 +tp11505 +a(g6 +V +tp11506 +a(g31 +V; (AND type*) +p11507 +tp11508 +a(g6 +V\u000a +p11509 +tp11510 +a(g31 +V;; If one of the types is subtype of INTEGER, then yes, +p11511 +tp11512 +a(g6 +V\u000a +p11513 +tp11514 +a(g31 +V;; otherwise unknown. +p11515 +tp11516 +a(g6 +V\u000a +p11517 +tp11518 +a(g212 +V( +tp11519 +a(g138 +Vlet +p11520 +tp11521 +a(g6 +V +tp11522 +a(g212 +V( +tp11523 +a(g212 +V( +tp11524 +a(g100 +Vlow +p11525 +tp11526 +a(g6 +V +tp11527 +a(g60 +Vnil +p11528 +tp11529 +a(g212 +V) +tp11530 +a(g6 +V +tp11531 +a(g212 +V( +tp11532 +a(g100 +Vhigh +p11533 +tp11534 +a(g6 +V +tp11535 +a(g60 +Vnil +p11536 +tp11537 +a(g212 +V) +tp11538 +a(g212 +V) +tp11539 +a(g6 +V\u000a +p11540 +tp11541 +a(g212 +V( +tp11542 +a(g84 +Vdolist +p11543 +tp11544 +a(g6 +V +tp11545 +a(g212 +V( +tp11546 +a(g100 +Vtype1 +p11547 +tp11548 +a(g6 +V +tp11549 +a(g212 +V( +tp11550 +a(g84 +Vrest +p11551 +tp11552 +a(g6 +V +tp11553 +a(g138 +Vtype +p11554 +tp11555 +a(g212 +V) +tp11556 +a(g212 +V) +tp11557 +a(g6 +V\u000a +p11558 +tp11559 +a(g212 +V( +tp11560 +a(g84 +Vmultiple-value-bind +p11561 +tp11562 +a(g6 +V +tp11563 +a(g212 +V( +tp11564 +a(g100 +Vlow1 +p11565 +tp11566 +a(g6 +V +tp11567 +a(g100 +Vhigh1 +p11568 +tp11569 +a(g212 +V) +tp11570 +a(g6 +V +tp11571 +a(g212 +V( +tp11572 +a(g100 +Vsubtype-integer +p11573 +tp11574 +a(g6 +V +tp11575 +a(g100 +Vtype1 +p11576 +tp11577 +a(g212 +V) +tp11578 +a(g6 +V\u000a +p11579 +tp11580 +a(g212 +V( +tp11581 +a(g84 +Vwhen +p11582 +tp11583 +a(g6 +V +tp11584 +a(g100 +Vlow1 +p11585 +tp11586 +a(g6 +V\u000a +p11587 +tp11588 +a(g212 +V( +tp11589 +a(g138 +Vif +p11590 +tp11591 +a(g6 +V +tp11592 +a(g100 +Vlow +p11593 +tp11594 +a(g6 +V\u000a +p11595 +tp11596 +a(g212 +V( +tp11597 +a(g138 +Vsetq +p11598 +tp11599 +a(g6 +V +tp11600 +a(g100 +Vlow +p11601 +tp11602 +a(g6 +V +tp11603 +a(g212 +V( +tp11604 +a(g138 +Vif +p11605 +tp11606 +a(g6 +V +tp11607 +a(g212 +V( +tp11608 +a(g84 +Veq +p11609 +tp11610 +a(g6 +V +tp11611 +a(g100 +Vlow +p11612 +tp11613 +a(g6 +V +tp11614 +a(g258 +V'* +p11615 +tp11616 +a(g212 +V) +tp11617 +a(g6 +V +tp11618 +a(g100 +Vlow1 +p11619 +tp11620 +a(g6 +V\u000a +p11621 +tp11622 +a(g212 +V( +tp11623 +a(g138 +Vif +p11624 +tp11625 +a(g6 +V +tp11626 +a(g212 +V( +tp11627 +a(g84 +Veq +p11628 +tp11629 +a(g6 +V +tp11630 +a(g100 +Vlow1 +p11631 +tp11632 +a(g6 +V +tp11633 +a(g258 +V'* +p11634 +tp11635 +a(g212 +V) +tp11636 +a(g6 +V +tp11637 +a(g100 +Vlow +p11638 +tp11639 +a(g6 +V\u000a +p11640 +tp11641 +a(g212 +V( +tp11642 +a(g84 +Vmax +p11643 +tp11644 +a(g6 +V +tp11645 +a(g100 +Vlow +p11646 +tp11647 +a(g6 +V +tp11648 +a(g100 +Vlow1 +p11649 +tp11650 +a(g212 +V) +tp11651 +a(g212 +V) +tp11652 +a(g212 +V) +tp11653 +a(g6 +V\u000a +p11654 +tp11655 +a(g100 +Vhigh +p11656 +tp11657 +a(g6 +V +tp11658 +a(g212 +V( +tp11659 +a(g138 +Vif +p11660 +tp11661 +a(g6 +V +tp11662 +a(g212 +V( +tp11663 +a(g84 +Veq +p11664 +tp11665 +a(g6 +V +tp11666 +a(g100 +Vhigh +p11667 +tp11668 +a(g6 +V +tp11669 +a(g258 +V'* +p11670 +tp11671 +a(g212 +V) +tp11672 +a(g6 +V +tp11673 +a(g100 +Vhigh1 +p11674 +tp11675 +a(g6 +V\u000a +p11676 +tp11677 +a(g212 +V( +tp11678 +a(g138 +Vif +p11679 +tp11680 +a(g6 +V +tp11681 +a(g212 +V( +tp11682 +a(g84 +Veq +p11683 +tp11684 +a(g6 +V +tp11685 +a(g100 +Vhigh1 +p11686 +tp11687 +a(g6 +V +tp11688 +a(g258 +V'* +p11689 +tp11690 +a(g212 +V) +tp11691 +a(g6 +V +tp11692 +a(g100 +Vhigh +p11693 +tp11694 +a(g6 +V\u000a +p11695 +tp11696 +a(g212 +V( +tp11697 +a(g84 +Vmin +p11698 +tp11699 +a(g6 +V +tp11700 +a(g100 +Vhigh +p11701 +tp11702 +a(g6 +V +tp11703 +a(g100 +Vhigh1 +p11704 +tp11705 +a(g212 +V) +tp11706 +a(g212 +V) +tp11707 +a(g212 +V) +tp11708 +a(g212 +V) +tp11709 +a(g6 +V\u000a +p11710 +tp11711 +a(g212 +V( +tp11712 +a(g138 +Vsetq +p11713 +tp11714 +a(g6 +V +tp11715 +a(g100 +Vlow +p11716 +tp11717 +a(g6 +V +tp11718 +a(g100 +Vlow1 +p11719 +tp11720 +a(g6 +V\u000a +p11721 +tp11722 +a(g100 +Vhigh +p11723 +tp11724 +a(g6 +V +tp11725 +a(g100 +Vhigh1 +p11726 +tp11727 +a(g212 +V) +tp11728 +a(g212 +V) +tp11729 +a(g212 +V) +tp11730 +a(g212 +V) +tp11731 +a(g212 +V) +tp11732 +a(g6 +V\u000a +p11733 +tp11734 +a(g212 +V( +tp11735 +a(g138 +Vif +p11736 +tp11737 +a(g6 +V +tp11738 +a(g100 +Vlow +p11739 +tp11740 +a(g6 +V\u000a +p11741 +tp11742 +a(g212 +V( +tp11743 +a(g138 +Vprogn +p11744 +tp11745 +a(g6 +V\u000a +p11746 +tp11747 +a(g212 +V( +tp11748 +a(g84 +Vwhen +p11749 +tp11750 +a(g6 +V +tp11751 +a(g212 +V( +tp11752 +a(g84 +Vand +p11753 +tp11754 +a(g6 +V +tp11755 +a(g212 +V( +tp11756 +a(g84 +Vnumberp +p11757 +tp11758 +a(g6 +V +tp11759 +a(g100 +Vlow +p11760 +tp11761 +a(g212 +V) +tp11762 +a(g6 +V +tp11763 +a(g212 +V( +tp11764 +a(g84 +Vnumberp +p11765 +tp11766 +a(g6 +V +tp11767 +a(g100 +Vhigh +p11768 +tp11769 +a(g212 +V) +tp11770 +a(g6 +V\u000a +p11771 +tp11772 +a(g212 +V( +tp11773 +a(g84 +Vnot +p11774 +tp11775 +a(g6 +V +tp11776 +a(g212 +V( +tp11777 +a(g84 +V<= +p11778 +tp11779 +a(g6 +V +tp11780 +a(g100 +Vlow +p11781 +tp11782 +a(g6 +V +tp11783 +a(g100 +Vhigh +p11784 +tp11785 +a(g212 +V) +tp11786 +a(g212 +V) +tp11787 +a(g212 +V) +tp11788 +a(g6 +V\u000a +p11789 +tp11790 +a(g212 +V( +tp11791 +a(g138 +Vsetq +p11792 +tp11793 +a(g6 +V +tp11794 +a(g100 +Vlow +p11795 +tp11796 +a(g6 +V +tp11797 +a(g328 +V0 +tp11798 +a(g6 +V +tp11799 +a(g100 +Vhigh +p11800 +tp11801 +a(g6 +V +tp11802 +a(g328 +V0 +tp11803 +a(g212 +V) +tp11804 +a(g212 +V) +tp11805 +a(g6 +V +tp11806 +a(g31 +V; type equivalent to NIL +p11807 +tp11808 +a(g6 +V\u000a +p11809 +tp11810 +a(g212 +V( +tp11811 +a(g100 +Vyes +p11812 +tp11813 +a(g212 +V) +tp11814 +a(g212 +V) +tp11815 +a(g6 +V\u000a +p11816 +tp11817 +a(g212 +V( +tp11818 +a(g100 +Vunknown +p11819 +tp11820 +a(g212 +V) +tp11821 +a(g212 +V) +tp11822 +a(g212 +V) +tp11823 +a(g212 +V) +tp11824 +a(g6 +V\u000a +p11825 +tp11826 +a(g212 +V( +tp11827 +a(g100 +VINTEGER +p11828 +tp11829 +a(g6 +V\u000a +p11830 +tp11831 +a(g212 +V( +tp11832 +a(g138 +Vlet +p11833 +tp11834 +a(g6 +V +tp11835 +a(g212 +V( +tp11836 +a(g212 +V( +tp11837 +a(g100 +Vlow +p11838 +tp11839 +a(g6 +V +tp11840 +a(g212 +V( +tp11841 +a(g138 +Vif +p11842 +tp11843 +a(g6 +V +tp11844 +a(g212 +V( +tp11845 +a(g84 +Vrest +p11846 +tp11847 +a(g6 +V +tp11848 +a(g138 +Vtype +p11849 +tp11850 +a(g212 +V) +tp11851 +a(g6 +V +tp11852 +a(g212 +V( +tp11853 +a(g84 +Vsecond +p11854 +tp11855 +a(g6 +V +tp11856 +a(g138 +Vtype +p11857 +tp11858 +a(g212 +V) +tp11859 +a(g6 +V +tp11860 +a(g258 +V'* +p11861 +tp11862 +a(g212 +V) +tp11863 +a(g212 +V) +tp11864 +a(g6 +V\u000a +p11865 +tp11866 +a(g212 +V( +tp11867 +a(g100 +Vhigh +p11868 +tp11869 +a(g6 +V +tp11870 +a(g212 +V( +tp11871 +a(g138 +Vif +p11872 +tp11873 +a(g6 +V +tp11874 +a(g212 +V( +tp11875 +a(g84 +Vcddr +p11876 +tp11877 +a(g6 +V +tp11878 +a(g138 +Vtype +p11879 +tp11880 +a(g212 +V) +tp11881 +a(g6 +V +tp11882 +a(g212 +V( +tp11883 +a(g84 +Vthird +p11884 +tp11885 +a(g6 +V +tp11886 +a(g138 +Vtype +p11887 +tp11888 +a(g212 +V) +tp11889 +a(g6 +V +tp11890 +a(g258 +V'* +p11891 +tp11892 +a(g212 +V) +tp11893 +a(g212 +V) +tp11894 +a(g212 +V) +tp11895 +a(g6 +V\u000a +p11896 +tp11897 +a(g212 +V( +tp11898 +a(g84 +Vwhen +p11899 +tp11900 +a(g6 +V +tp11901 +a(g212 +V( +tp11902 +a(g84 +Vconsp +p11903 +tp11904 +a(g6 +V +tp11905 +a(g100 +Vlow +p11906 +tp11907 +a(g212 +V) +tp11908 +a(g6 +V\u000a +p11909 +tp11910 +a(g212 +V( +tp11911 +a(g138 +Vsetq +p11912 +tp11913 +a(g6 +V +tp11914 +a(g100 +Vlow +p11915 +tp11916 +a(g6 +V +tp11917 +a(g212 +V( +tp11918 +a(g84 +Vfirst +p11919 +tp11920 +a(g6 +V +tp11921 +a(g100 +Vlow +p11922 +tp11923 +a(g212 +V) +tp11924 +a(g212 +V) +tp11925 +a(g6 +V\u000a +p11926 +tp11927 +a(g212 +V( +tp11928 +a(g84 +Vwhen +p11929 +tp11930 +a(g6 +V +tp11931 +a(g212 +V( +tp11932 +a(g84 +Vnumberp +p11933 +tp11934 +a(g6 +V +tp11935 +a(g100 +Vlow +p11936 +tp11937 +a(g212 +V) +tp11938 +a(g6 +V +tp11939 +a(g212 +V( +tp11940 +a(g84 +Vincf +p11941 +tp11942 +a(g6 +V +tp11943 +a(g100 +Vlow +p11944 +tp11945 +a(g212 +V) +tp11946 +a(g212 +V) +tp11947 +a(g212 +V) +tp11948 +a(g6 +V\u000a +p11949 +tp11950 +a(g212 +V( +tp11951 +a(g84 +Vwhen +p11952 +tp11953 +a(g6 +V +tp11954 +a(g212 +V( +tp11955 +a(g84 +Vconsp +p11956 +tp11957 +a(g6 +V +tp11958 +a(g100 +Vhigh +p11959 +tp11960 +a(g212 +V) +tp11961 +a(g6 +V\u000a +p11962 +tp11963 +a(g212 +V( +tp11964 +a(g138 +Vsetq +p11965 +tp11966 +a(g6 +V +tp11967 +a(g100 +Vhigh +p11968 +tp11969 +a(g6 +V +tp11970 +a(g212 +V( +tp11971 +a(g84 +Vfirst +p11972 +tp11973 +a(g6 +V +tp11974 +a(g100 +Vhigh +p11975 +tp11976 +a(g212 +V) +tp11977 +a(g212 +V) +tp11978 +a(g6 +V\u000a +p11979 +tp11980 +a(g212 +V( +tp11981 +a(g84 +Vwhen +p11982 +tp11983 +a(g6 +V +tp11984 +a(g212 +V( +tp11985 +a(g84 +Vnumberp +p11986 +tp11987 +a(g6 +V +tp11988 +a(g100 +Vhigh +p11989 +tp11990 +a(g212 +V) +tp11991 +a(g6 +V +tp11992 +a(g212 +V( +tp11993 +a(g84 +Vdecf +p11994 +tp11995 +a(g6 +V +tp11996 +a(g100 +Vhigh +p11997 +tp11998 +a(g212 +V) +tp11999 +a(g212 +V) +tp12000 +a(g212 +V) +tp12001 +a(g6 +V\u000a +p12002 +tp12003 +a(g212 +V( +tp12004 +a(g84 +Vwhen +p12005 +tp12006 +a(g6 +V +tp12007 +a(g212 +V( +tp12008 +a(g84 +Vand +p12009 +tp12010 +a(g6 +V +tp12011 +a(g212 +V( +tp12012 +a(g84 +Vnumberp +p12013 +tp12014 +a(g6 +V +tp12015 +a(g100 +Vlow +p12016 +tp12017 +a(g212 +V) +tp12018 +a(g6 +V +tp12019 +a(g212 +V( +tp12020 +a(g84 +Vnumberp +p12021 +tp12022 +a(g6 +V +tp12023 +a(g100 +Vhigh +p12024 +tp12025 +a(g212 +V) +tp12026 +a(g6 +V +tp12027 +a(g212 +V( +tp12028 +a(g84 +Vnot +p12029 +tp12030 +a(g6 +V +tp12031 +a(g212 +V( +tp12032 +a(g84 +V<= +p12033 +tp12034 +a(g6 +V +tp12035 +a(g100 +Vlow +p12036 +tp12037 +a(g6 +V +tp12038 +a(g100 +Vhigh +p12039 +tp12040 +a(g212 +V) +tp12041 +a(g212 +V) +tp12042 +a(g212 +V) +tp12043 +a(g6 +V\u000a +p12044 +tp12045 +a(g212 +V( +tp12046 +a(g138 +Vsetq +p12047 +tp12048 +a(g6 +V +tp12049 +a(g100 +Vlow +p12050 +tp12051 +a(g6 +V +tp12052 +a(g328 +V0 +tp12053 +a(g6 +V +tp12054 +a(g100 +Vhigh +p12055 +tp12056 +a(g6 +V +tp12057 +a(g328 +V0 +tp12058 +a(g212 +V) +tp12059 +a(g212 +V) +tp12060 +a(g6 +V +tp12061 +a(g31 +V; type equivalent to NIL +p12062 +tp12063 +a(g6 +V\u000a +p12064 +tp12065 +a(g212 +V( +tp12066 +a(g100 +Vyes +p12067 +tp12068 +a(g212 +V) +tp12069 +a(g212 +V) +tp12070 +a(g212 +V) +tp12071 +a(g6 +V\u000a +p12072 +tp12073 +a(g212 +V( +tp12074 +a(g100 +VINTERVALS +p12075 +tp12076 +a(g6 +V\u000a +p12077 +tp12078 +a(g212 +V( +tp12079 +a(g138 +Vif +p12080 +tp12081 +a(g6 +V +tp12082 +a(g212 +V( +tp12083 +a(g84 +Veq +p12084 +tp12085 +a(g6 +V +tp12086 +a(g212 +V( +tp12087 +a(g84 +Vsecond +p12088 +tp12089 +a(g6 +V +tp12090 +a(g138 +Vtype +p12091 +tp12092 +a(g212 +V) +tp12093 +a(g6 +V +tp12094 +a(g258 +V'INTEGER +p12095 +tp12096 +a(g212 +V) +tp12097 +a(g6 +V\u000a +p12098 +tp12099 +a(g212 +V( +tp12100 +a(g138 +Vlet +p12101 +tp12102 +a(g6 +V +tp12103 +a(g212 +V( +tp12104 +a(g212 +V( +tp12105 +a(g100 +Vlow +p12106 +tp12107 +a(g6 +V +tp12108 +a(g212 +V( +tp12109 +a(g84 +Vthird +p12110 +tp12111 +a(g6 +V +tp12112 +a(g138 +Vtype +p12113 +tp12114 +a(g212 +V) +tp12115 +a(g212 +V) +tp12116 +a(g6 +V\u000a +p12117 +tp12118 +a(g212 +V( +tp12119 +a(g100 +Vhigh +p12120 +tp12121 +a(g6 +V +tp12122 +a(g212 +V( +tp12123 +a(g84 +Vcar +p12124 +tp12125 +a(g6 +V +tp12126 +a(g212 +V( +tp12127 +a(g84 +Vlast +p12128 +tp12129 +a(g6 +V +tp12130 +a(g138 +Vtype +p12131 +tp12132 +a(g212 +V) +tp12133 +a(g212 +V) +tp12134 +a(g212 +V) +tp12135 +a(g212 +V) +tp12136 +a(g6 +V\u000a +p12137 +tp12138 +a(g212 +V( +tp12139 +a(g84 +Vwhen +p12140 +tp12141 +a(g6 +V +tp12142 +a(g212 +V( +tp12143 +a(g84 +Vconsp +p12144 +tp12145 +a(g6 +V +tp12146 +a(g100 +Vlow +p12147 +tp12148 +a(g212 +V) +tp12149 +a(g6 +V\u000a +p12150 +tp12151 +a(g212 +V( +tp12152 +a(g138 +Vsetq +p12153 +tp12154 +a(g6 +V +tp12155 +a(g100 +Vlow +p12156 +tp12157 +a(g6 +V +tp12158 +a(g212 +V( +tp12159 +a(g84 +Vfirst +p12160 +tp12161 +a(g6 +V +tp12162 +a(g100 +Vlow +p12163 +tp12164 +a(g212 +V) +tp12165 +a(g212 +V) +tp12166 +a(g6 +V\u000a +p12167 +tp12168 +a(g212 +V( +tp12169 +a(g84 +Vwhen +p12170 +tp12171 +a(g6 +V +tp12172 +a(g212 +V( +tp12173 +a(g84 +Vnumberp +p12174 +tp12175 +a(g6 +V +tp12176 +a(g100 +Vlow +p12177 +tp12178 +a(g212 +V) +tp12179 +a(g6 +V +tp12180 +a(g212 +V( +tp12181 +a(g84 +Vincf +p12182 +tp12183 +a(g6 +V +tp12184 +a(g100 +Vlow +p12185 +tp12186 +a(g212 +V) +tp12187 +a(g212 +V) +tp12188 +a(g212 +V) +tp12189 +a(g6 +V\u000a +p12190 +tp12191 +a(g212 +V( +tp12192 +a(g84 +Vwhen +p12193 +tp12194 +a(g6 +V +tp12195 +a(g212 +V( +tp12196 +a(g84 +Vconsp +p12197 +tp12198 +a(g6 +V +tp12199 +a(g100 +Vhigh +p12200 +tp12201 +a(g212 +V) +tp12202 +a(g6 +V\u000a +p12203 +tp12204 +a(g212 +V( +tp12205 +a(g138 +Vsetq +p12206 +tp12207 +a(g6 +V +tp12208 +a(g100 +Vhigh +p12209 +tp12210 +a(g6 +V +tp12211 +a(g212 +V( +tp12212 +a(g84 +Vfirst +p12213 +tp12214 +a(g6 +V +tp12215 +a(g100 +Vhigh +p12216 +tp12217 +a(g212 +V) +tp12218 +a(g212 +V) +tp12219 +a(g6 +V\u000a +p12220 +tp12221 +a(g212 +V( +tp12222 +a(g84 +Vwhen +p12223 +tp12224 +a(g6 +V +tp12225 +a(g212 +V( +tp12226 +a(g84 +Vnumberp +p12227 +tp12228 +a(g6 +V +tp12229 +a(g100 +Vhigh +p12230 +tp12231 +a(g212 +V) +tp12232 +a(g6 +V +tp12233 +a(g212 +V( +tp12234 +a(g84 +Vdecf +p12235 +tp12236 +a(g6 +V +tp12237 +a(g100 +Vhigh +p12238 +tp12239 +a(g212 +V) +tp12240 +a(g212 +V) +tp12241 +a(g212 +V) +tp12242 +a(g6 +V\u000a +p12243 +tp12244 +a(g212 +V( +tp12245 +a(g100 +Vyes +p12246 +tp12247 +a(g212 +V) +tp12248 +a(g212 +V) +tp12249 +a(g6 +V\u000a +p12250 +tp12251 +a(g212 +V( +tp12252 +a(g100 +Vunknown +p12253 +tp12254 +a(g212 +V) +tp12255 +a(g212 +V) +tp12256 +a(g212 +V) +tp12257 +a(g6 +V\u000a +p12258 +tp12259 +a(g212 +V( +tp12260 +a(g100 +VMOD +p12261 +tp12262 +a(g6 +V +tp12263 +a(g31 +V; (MOD n) +p12264 +tp12265 +a(g6 +V\u000a +p12266 +tp12267 +a(g212 +V( +tp12268 +a(g138 +Vlet +p12269 +tp12270 +a(g6 +V +tp12271 +a(g212 +V( +tp12272 +a(g212 +V( +tp12273 +a(g100 +Vn +tp12274 +a(g6 +V +tp12275 +a(g212 +V( +tp12276 +a(g84 +Vsecond +p12277 +tp12278 +a(g6 +V +tp12279 +a(g138 +Vtype +p12280 +tp12281 +a(g212 +V) +tp12282 +a(g212 +V) +tp12283 +a(g212 +V) +tp12284 +a(g6 +V\u000a +p12285 +tp12286 +a(g212 +V( +tp12287 +a(g84 +Vunless +p12288 +tp12289 +a(g6 +V +tp12290 +a(g212 +V( +tp12291 +a(g84 +Vand +p12292 +tp12293 +a(g6 +V +tp12294 +a(g212 +V( +tp12295 +a(g84 +Vintegerp +p12296 +tp12297 +a(g6 +V +tp12298 +a(g100 +Vn +tp12299 +a(g212 +V) +tp12300 +a(g6 +V +tp12301 +a(g212 +V( +tp12302 +a(g84 +V>= +p12303 +tp12304 +a(g6 +V +tp12305 +a(g100 +Vn +tp12306 +a(g6 +V +tp12307 +a(g328 +V0 +tp12308 +a(g212 +V) +tp12309 +a(g212 +V) +tp12310 +a(g6 +V\u000a +p12311 +tp12312 +a(g212 +V( +tp12313 +a(g100 +Vtypespec-error +p12314 +tp12315 +a(g6 +V +tp12316 +a(g258 +V'subtypep +p12317 +tp12318 +a(g6 +V +tp12319 +a(g138 +Vtype +p12320 +tp12321 +a(g212 +V) +tp12322 +a(g212 +V) +tp12323 +a(g6 +V\u000a +p12324 +tp12325 +a(g212 +V( +tp12326 +a(g138 +Vif +p12327 +tp12328 +a(g6 +V +tp12329 +a(g212 +V( +tp12330 +a(g84 +Veql +p12331 +tp12332 +a(g6 +V +tp12333 +a(g100 +Vn +tp12334 +a(g6 +V +tp12335 +a(g328 +V0 +tp12336 +a(g212 +V) +tp12337 +a(g6 +V\u000a +p12338 +tp12339 +a(g212 +V( +tp12340 +a(g100 +Vno +p12341 +tp12342 +a(g212 +V) +tp12343 +a(g6 +V\u000a +p12344 +tp12345 +a(g212 +V( +tp12346 +a(g138 +Vlet +p12347 +tp12348 +a(g6 +V +tp12349 +a(g212 +V( +tp12350 +a(g212 +V( +tp12351 +a(g100 +Vlow +p12352 +tp12353 +a(g6 +V +tp12354 +a(g328 +V0 +tp12355 +a(g212 +V) +tp12356 +a(g6 +V +tp12357 +a(g212 +V( +tp12358 +a(g100 +Vhigh +p12359 +tp12360 +a(g6 +V +tp12361 +a(g212 +V( +tp12362 +a(g84 +V1- +p12363 +tp12364 +a(g6 +V +tp12365 +a(g100 +Vn +tp12366 +a(g212 +V) +tp12367 +a(g212 +V) +tp12368 +a(g212 +V) +tp12369 +a(g6 +V\u000a +p12370 +tp12371 +a(g212 +V( +tp12372 +a(g100 +Vyes +p12373 +tp12374 +a(g212 +V) +tp12375 +a(g212 +V) +tp12376 +a(g212 +V) +tp12377 +a(g212 +V) +tp12378 +a(g212 +V) +tp12379 +a(g6 +V\u000a +p12380 +tp12381 +a(g212 +V( +tp12382 +a(g100 +VSIGNED-BYTE +p12383 +tp12384 +a(g6 +V +tp12385 +a(g31 +V; (SIGNED-BYTE &optional s) +p12386 +tp12387 +a(g6 +V\u000a +p12388 +tp12389 +a(g212 +V( +tp12390 +a(g138 +Vlet +p12391 +tp12392 +a(g6 +V +tp12393 +a(g212 +V( +tp12394 +a(g212 +V( +tp12395 +a(g100 +Vs +tp12396 +a(g6 +V +tp12397 +a(g212 +V( +tp12398 +a(g138 +Vif +p12399 +tp12400 +a(g6 +V +tp12401 +a(g212 +V( +tp12402 +a(g84 +Vcdr +p12403 +tp12404 +a(g6 +V +tp12405 +a(g138 +Vtype +p12406 +tp12407 +a(g212 +V) +tp12408 +a(g6 +V +tp12409 +a(g212 +V( +tp12410 +a(g84 +Vsecond +p12411 +tp12412 +a(g6 +V +tp12413 +a(g138 +Vtype +p12414 +tp12415 +a(g212 +V) +tp12416 +a(g6 +V +tp12417 +a(g258 +V'* +p12418 +tp12419 +a(g212 +V) +tp12420 +a(g212 +V) +tp12421 +a(g212 +V) +tp12422 +a(g6 +V\u000a +p12423 +tp12424 +a(g212 +V( +tp12425 +a(g138 +Vif +p12426 +tp12427 +a(g6 +V +tp12428 +a(g212 +V( +tp12429 +a(g84 +Veq +p12430 +tp12431 +a(g6 +V +tp12432 +a(g100 +Vs +tp12433 +a(g6 +V +tp12434 +a(g258 +V'* +p12435 +tp12436 +a(g212 +V) +tp12437 +a(g6 +V\u000a +p12438 +tp12439 +a(g212 +V( +tp12440 +a(g138 +Vlet +p12441 +tp12442 +a(g6 +V +tp12443 +a(g212 +V( +tp12444 +a(g212 +V( +tp12445 +a(g100 +Vlow +p12446 +tp12447 +a(g6 +V +tp12448 +a(g258 +V'* +p12449 +tp12450 +a(g212 +V) +tp12451 +a(g6 +V +tp12452 +a(g212 +V( +tp12453 +a(g100 +Vhigh +p12454 +tp12455 +a(g6 +V +tp12456 +a(g258 +V'* +p12457 +tp12458 +a(g212 +V) +tp12459 +a(g212 +V) +tp12460 +a(g6 +V +tp12461 +a(g212 +V( +tp12462 +a(g100 +Vyes +p12463 +tp12464 +a(g212 +V) +tp12465 +a(g212 +V) +tp12466 +a(g6 +V\u000a +p12467 +tp12468 +a(g212 +V( +tp12469 +a(g138 +Vprogn +p12470 +tp12471 +a(g6 +V\u000a +p12472 +tp12473 +a(g212 +V( +tp12474 +a(g84 +Vunless +p12475 +tp12476 +a(g6 +V +tp12477 +a(g212 +V( +tp12478 +a(g84 +Vand +p12479 +tp12480 +a(g6 +V +tp12481 +a(g212 +V( +tp12482 +a(g84 +Vintegerp +p12483 +tp12484 +a(g6 +V +tp12485 +a(g100 +Vs +tp12486 +a(g212 +V) +tp12487 +a(g6 +V +tp12488 +a(g212 +V( +tp12489 +a(g84 +Vplusp +p12490 +tp12491 +a(g6 +V +tp12492 +a(g100 +Vs +tp12493 +a(g212 +V) +tp12494 +a(g212 +V) +tp12495 +a(g6 +V\u000a +p12496 +tp12497 +a(g212 +V( +tp12498 +a(g100 +Vtypespec-error +p12499 +tp12500 +a(g6 +V +tp12501 +a(g258 +V'subtypep +p12502 +tp12503 +a(g6 +V +tp12504 +a(g138 +Vtype +p12505 +tp12506 +a(g212 +V) +tp12507 +a(g212 +V) +tp12508 +a(g6 +V\u000a +p12509 +tp12510 +a(g212 +V( +tp12511 +a(g138 +Vlet +p12512 +tp12513 +a(g6 +V +tp12514 +a(g212 +V( +tp12515 +a(g212 +V( +tp12516 +a(g100 +Vn +tp12517 +a(g6 +V +tp12518 +a(g212 +V( +tp12519 +a(g84 +Vash +p12520 +tp12521 +a(g6 +V +tp12522 +a(g328 +V1 +tp12523 +a(g6 +V +tp12524 +a(g212 +V( +tp12525 +a(g84 +V1- +p12526 +tp12527 +a(g6 +V +tp12528 +a(g100 +Vs +tp12529 +a(g212 +V) +tp12530 +a(g212 +V) +tp12531 +a(g212 +V) +tp12532 +a(g212 +V) +tp12533 +a(g6 +V +tp12534 +a(g31 +V; (ash 1 *) == (expt 2 *) +p12535 +tp12536 +a(g6 +V\u000a +p12537 +tp12538 +a(g212 +V( +tp12539 +a(g138 +Vlet +p12540 +tp12541 +a(g6 +V +tp12542 +a(g212 +V( +tp12543 +a(g212 +V( +tp12544 +a(g100 +Vlow +p12545 +tp12546 +a(g6 +V +tp12547 +a(g212 +V( +tp12548 +a(g84 +V- +tp12549 +a(g6 +V +tp12550 +a(g100 +Vn +tp12551 +a(g212 +V) +tp12552 +a(g212 +V) +tp12553 +a(g6 +V +tp12554 +a(g212 +V( +tp12555 +a(g100 +Vhigh +p12556 +tp12557 +a(g6 +V +tp12558 +a(g212 +V( +tp12559 +a(g84 +V1- +p12560 +tp12561 +a(g6 +V +tp12562 +a(g100 +Vn +tp12563 +a(g212 +V) +tp12564 +a(g212 +V) +tp12565 +a(g212 +V) +tp12566 +a(g6 +V\u000a +p12567 +tp12568 +a(g212 +V( +tp12569 +a(g100 +Vyes +p12570 +tp12571 +a(g212 +V) +tp12572 +a(g212 +V) +tp12573 +a(g212 +V) +tp12574 +a(g212 +V) +tp12575 +a(g212 +V) +tp12576 +a(g212 +V) +tp12577 +a(g212 +V) +tp12578 +a(g6 +V\u000a +p12579 +tp12580 +a(g212 +V( +tp12581 +a(g100 +VUNSIGNED-BYTE +p12582 +tp12583 +a(g6 +V +tp12584 +a(g31 +V; (UNSIGNED-BYTE &optional s) +p12585 +tp12586 +a(g6 +V\u000a +p12587 +tp12588 +a(g212 +V( +tp12589 +a(g138 +Vlet +p12590 +tp12591 +a(g6 +V +tp12592 +a(g212 +V( +tp12593 +a(g212 +V( +tp12594 +a(g100 +Vs +tp12595 +a(g6 +V +tp12596 +a(g212 +V( +tp12597 +a(g138 +Vif +p12598 +tp12599 +a(g6 +V +tp12600 +a(g212 +V( +tp12601 +a(g84 +Vcdr +p12602 +tp12603 +a(g6 +V +tp12604 +a(g138 +Vtype +p12605 +tp12606 +a(g212 +V) +tp12607 +a(g6 +V +tp12608 +a(g212 +V( +tp12609 +a(g84 +Vsecond +p12610 +tp12611 +a(g6 +V +tp12612 +a(g138 +Vtype +p12613 +tp12614 +a(g212 +V) +tp12615 +a(g6 +V +tp12616 +a(g258 +V'* +p12617 +tp12618 +a(g212 +V) +tp12619 +a(g212 +V) +tp12620 +a(g212 +V) +tp12621 +a(g6 +V\u000a +p12622 +tp12623 +a(g212 +V( +tp12624 +a(g138 +Vif +p12625 +tp12626 +a(g6 +V +tp12627 +a(g212 +V( +tp12628 +a(g84 +Veq +p12629 +tp12630 +a(g6 +V +tp12631 +a(g100 +Vs +tp12632 +a(g6 +V +tp12633 +a(g258 +V'* +p12634 +tp12635 +a(g212 +V) +tp12636 +a(g6 +V\u000a +p12637 +tp12638 +a(g212 +V( +tp12639 +a(g138 +Vlet +p12640 +tp12641 +a(g6 +V +tp12642 +a(g212 +V( +tp12643 +a(g212 +V( +tp12644 +a(g100 +Vlow +p12645 +tp12646 +a(g6 +V +tp12647 +a(g328 +V0 +tp12648 +a(g212 +V) +tp12649 +a(g6 +V +tp12650 +a(g212 +V( +tp12651 +a(g100 +Vhigh +p12652 +tp12653 +a(g6 +V +tp12654 +a(g258 +V'* +p12655 +tp12656 +a(g212 +V) +tp12657 +a(g212 +V) +tp12658 +a(g6 +V +tp12659 +a(g212 +V( +tp12660 +a(g100 +Vyes +p12661 +tp12662 +a(g212 +V) +tp12663 +a(g212 +V) +tp12664 +a(g6 +V\u000a +p12665 +tp12666 +a(g212 +V( +tp12667 +a(g138 +Vprogn +p12668 +tp12669 +a(g6 +V\u000a +p12670 +tp12671 +a(g212 +V( +tp12672 +a(g84 +Vunless +p12673 +tp12674 +a(g6 +V +tp12675 +a(g212 +V( +tp12676 +a(g84 +Vand +p12677 +tp12678 +a(g6 +V +tp12679 +a(g212 +V( +tp12680 +a(g84 +Vintegerp +p12681 +tp12682 +a(g6 +V +tp12683 +a(g100 +Vs +tp12684 +a(g212 +V) +tp12685 +a(g6 +V +tp12686 +a(g212 +V( +tp12687 +a(g84 +V>= +p12688 +tp12689 +a(g6 +V +tp12690 +a(g100 +Vs +tp12691 +a(g6 +V +tp12692 +a(g328 +V0 +tp12693 +a(g212 +V) +tp12694 +a(g212 +V) +tp12695 +a(g6 +V\u000a +p12696 +tp12697 +a(g212 +V( +tp12698 +a(g100 +Vtypespec-error +p12699 +tp12700 +a(g6 +V +tp12701 +a(g258 +V'subtypep +p12702 +tp12703 +a(g6 +V +tp12704 +a(g138 +Vtype +p12705 +tp12706 +a(g212 +V) +tp12707 +a(g212 +V) +tp12708 +a(g6 +V\u000a +p12709 +tp12710 +a(g212 +V( +tp12711 +a(g138 +Vlet +p12712 +tp12713 +a(g6 +V +tp12714 +a(g212 +V( +tp12715 +a(g212 +V( +tp12716 +a(g100 +Vn +tp12717 +a(g6 +V +tp12718 +a(g212 +V( +tp12719 +a(g84 +Vash +p12720 +tp12721 +a(g6 +V +tp12722 +a(g328 +V1 +tp12723 +a(g6 +V +tp12724 +a(g100 +Vs +tp12725 +a(g212 +V) +tp12726 +a(g212 +V) +tp12727 +a(g212 +V) +tp12728 +a(g6 +V +tp12729 +a(g31 +V; (ash 1 *) == (expt 2 *) +p12730 +tp12731 +a(g6 +V\u000a +p12732 +tp12733 +a(g212 +V( +tp12734 +a(g138 +Vlet +p12735 +tp12736 +a(g6 +V +tp12737 +a(g212 +V( +tp12738 +a(g212 +V( +tp12739 +a(g100 +Vlow +p12740 +tp12741 +a(g6 +V +tp12742 +a(g328 +V0 +tp12743 +a(g212 +V) +tp12744 +a(g6 +V +tp12745 +a(g212 +V( +tp12746 +a(g100 +Vhigh +p12747 +tp12748 +a(g6 +V +tp12749 +a(g212 +V( +tp12750 +a(g84 +V1- +p12751 +tp12752 +a(g6 +V +tp12753 +a(g100 +Vn +tp12754 +a(g212 +V) +tp12755 +a(g212 +V) +tp12756 +a(g212 +V) +tp12757 +a(g6 +V\u000a +p12758 +tp12759 +a(g212 +V( +tp12760 +a(g100 +Vyes +p12761 +tp12762 +a(g212 +V) +tp12763 +a(g212 +V) +tp12764 +a(g212 +V) +tp12765 +a(g212 +V) +tp12766 +a(g212 +V) +tp12767 +a(g212 +V) +tp12768 +a(g212 +V) +tp12769 +a(g6 +V\u000a +p12770 +tp12771 +a(g212 +V( +tp12772 +a(g60 +Vt +tp12773 +a(g6 +V +tp12774 +a(g212 +V( +tp12775 +a(g100 +Vno +p12776 +tp12777 +a(g212 +V) +tp12778 +a(g212 +V) +tp12779 +a(g212 +V) +tp12780 +a(g212 +V) +tp12781 +a(g6 +V\u000a +p12782 +tp12783 +a(g212 +V( +tp12784 +a(g212 +V( +tp12785 +a(g100 +Vclos::defined-class-p +p12786 +tp12787 +a(g6 +V +tp12788 +a(g138 +Vtype +p12789 +tp12790 +a(g212 +V) +tp12791 +a(g6 +V\u000a +p12792 +tp12793 +a(g212 +V( +tp12794 +a(g138 +Vif +p12795 +tp12796 +a(g6 +V +tp12797 +a(g212 +V( +tp12798 +a(g84 +Vand +p12799 +tp12800 +a(g6 +V +tp12801 +a(g212 +V( +tp12802 +a(g100 +Vclos::built-in-class-p +p12803 +tp12804 +a(g6 +V +tp12805 +a(g138 +Vtype +p12806 +tp12807 +a(g212 +V) +tp12808 +a(g6 +V\u000a +p12809 +tp12810 +a(g212 +V( +tp12811 +a(g84 +Veq +p12812 +tp12813 +a(g6 +V +tp12814 +a(g212 +V( +tp12815 +a(g84 +Vget +p12816 +tp12817 +a(g6 +V +tp12818 +a(g212 +V( +tp12819 +a(g100 +Vclos:class-name +p12820 +tp12821 +a(g6 +V +tp12822 +a(g138 +Vtype +p12823 +tp12824 +a(g212 +V) +tp12825 +a(g6 +V +tp12826 +a(g258 +V'CLOS::CLOSCLASS +p12827 +tp12828 +a(g212 +V) +tp12829 +a(g6 +V +tp12830 +a(g138 +Vtype +p12831 +tp12832 +a(g212 +V) +tp12833 +a(g212 +V) +tp12834 +a(g6 +V\u000a +p12835 +tp12836 +a(g212 +V( +tp12837 +a(g138 +Vreturn-from +p12838 +tp12839 +a(g6 +V +tp12840 +a(g100 +Vsubtype-integer +p12841 +tp12842 +a(g6 +V\u000a +p12843 +tp12844 +a(g212 +V( +tp12845 +a(g100 +Vsubtype-integer +p12846 +tp12847 +a(g6 +V +tp12848 +a(g212 +V( +tp12849 +a(g100 +Vclos:class-name +p12850 +tp12851 +a(g6 +V +tp12852 +a(g138 +Vtype +p12853 +tp12854 +a(g212 +V) +tp12855 +a(g212 +V) +tp12856 +a(g212 +V) +tp12857 +a(g6 +V\u000a +p12858 +tp12859 +a(g212 +V( +tp12860 +a(g100 +Vno +p12861 +tp12862 +a(g212 +V) +tp12863 +a(g212 +V) +tp12864 +a(g212 +V) +tp12865 +a(g6 +V\u000a +p12866 +tp12867 +a(g212 +V( +tp12868 +a(g212 +V( +tp12869 +a(g100 +Vclos::eql-specializer-p +p12870 +tp12871 +a(g6 +V +tp12872 +a(g138 +Vtype +p12873 +tp12874 +a(g212 +V) +tp12875 +a(g6 +V\u000a +p12876 +tp12877 +a(g212 +V( +tp12878 +a(g138 +Vlet +p12879 +tp12880 +a(g6 +V +tp12881 +a(g212 +V( +tp12882 +a(g212 +V( +tp12883 +a(g100 +Vx +tp12884 +a(g6 +V +tp12885 +a(g212 +V( +tp12886 +a(g100 +Vclos::eql-specializer-singleton +p12887 +tp12888 +a(g6 +V +tp12889 +a(g138 +Vtype +p12890 +tp12891 +a(g212 +V) +tp12892 +a(g212 +V) +tp12893 +a(g212 +V) +tp12894 +a(g6 +V\u000a +p12895 +tp12896 +a(g212 +V( +tp12897 +a(g138 +Vif +p12898 +tp12899 +a(g6 +V +tp12900 +a(g212 +V( +tp12901 +a(g84 +Vtypep +p12902 +tp12903 +a(g6 +V +tp12904 +a(g100 +Vx +tp12905 +a(g6 +V +tp12906 +a(g258 +V'INTEGER +p12907 +tp12908 +a(g212 +V) +tp12909 +a(g6 +V\u000a +p12910 +tp12911 +a(g212 +V( +tp12912 +a(g138 +Vlet +p12913 +tp12914 +a(g6 +V +tp12915 +a(g212 +V( +tp12916 +a(g212 +V( +tp12917 +a(g100 +Vlow +p12918 +tp12919 +a(g6 +V +tp12920 +a(g212 +V( +tp12921 +a(g84 +Vmin +p12922 +tp12923 +a(g6 +V +tp12924 +a(g328 +V0 +tp12925 +a(g6 +V +tp12926 +a(g100 +Vx +tp12927 +a(g212 +V) +tp12928 +a(g212 +V) +tp12929 +a(g6 +V +tp12930 +a(g212 +V( +tp12931 +a(g100 +Vhigh +p12932 +tp12933 +a(g6 +V +tp12934 +a(g212 +V( +tp12935 +a(g84 +Vmax +p12936 +tp12937 +a(g6 +V +tp12938 +a(g328 +V0 +tp12939 +a(g6 +V +tp12940 +a(g100 +Vx +tp12941 +a(g212 +V) +tp12942 +a(g212 +V) +tp12943 +a(g212 +V) +tp12944 +a(g6 +V +tp12945 +a(g212 +V( +tp12946 +a(g100 +Vyes +p12947 +tp12948 +a(g212 +V) +tp12949 +a(g212 +V) +tp12950 +a(g6 +V\u000a +p12951 +tp12952 +a(g212 +V( +tp12953 +a(g100 +Vno +p12954 +tp12955 +a(g212 +V) +tp12956 +a(g212 +V) +tp12957 +a(g212 +V) +tp12958 +a(g212 +V) +tp12959 +a(g6 +V\u000a +p12960 +tp12961 +a(g212 +V( +tp12962 +a(g212 +V( +tp12963 +a(g100 +Vencodingp +p12964 +tp12965 +a(g6 +V +tp12966 +a(g138 +Vtype +p12967 +tp12968 +a(g212 +V) +tp12969 +a(g6 +V +tp12970 +a(g212 +V( +tp12971 +a(g100 +Vno +p12972 +tp12973 +a(g212 +V) +tp12974 +a(g212 +V) +tp12975 +a(g6 +V\u000a +p12976 +tp12977 +a(g212 +V( +tp12978 +a(g60 +Vt +tp12979 +a(g6 +V +tp12980 +a(g212 +V( +tp12981 +a(g100 +Vtypespec-error +p12982 +tp12983 +a(g6 +V +tp12984 +a(g258 +V'subtypep +p12985 +tp12986 +a(g6 +V +tp12987 +a(g138 +Vtype +p12988 +tp12989 +a(g212 +V) +tp12990 +a(g212 +V) +tp12991 +a(g212 +V) +tp12992 +a(g212 +V) +tp12993 +a(g212 +V) +tp12994 +a(g6 +V\u000a\u000a +p12995 +tp12996 +a(g35 +V#| +p12997 +tp12998 +a(g35 +V TODO: Fix subtype-integer such that this works.\u000aHenry Baker:\u000a (defun type-null (x)\u000a (values (and (eq 'bit (upgraded-array-element-type `(or bit ,x)))\u000a (not (typep 0 x))\u000a (not (typep 1 x)))\u000a t))\u000a (type-null '(and symbol number))\u000a (type-null '(and integer symbol))\u000a (type-null '(and integer character))\u000a +p12999 +tp13000 +a(g35 +V|# +p13001 +tp13002 +a(g6 +V\u000a\u000a +p13003 +tp13004 +a(g31 +V;; Determines a sequence kind (an atom, as defined in defseq.lisp: one of +p13005 +tp13006 +a(g6 +V\u000a +tp13007 +a(g31 +V;; LIST - stands for LIST +p13008 +tp13009 +a(g6 +V\u000a +tp13010 +a(g31 +V;; VECTOR - stands for (VECTOR T) +p13011 +tp13012 +a(g6 +V\u000a +tp13013 +a(g31 +V;; STRING - stands for (VECTOR CHARACTER) +p13014 +tp13015 +a(g6 +V\u000a +tp13016 +a(g31 +V;; 1, 2, 4, 8, 16, 32 - stands for (VECTOR (UNSIGNED-BYTE n)) +p13017 +tp13018 +a(g6 +V\u000a +tp13019 +a(g31 +V;; 0 - stands for (VECTOR NIL)) +p13020 +tp13021 +a(g6 +V\u000a +tp13022 +a(g31 +V;; that indicates the sequence type meant by the given type. Other possible +p13023 +tp13024 +a(g6 +V\u000a +tp13025 +a(g31 +V;; return values are +p13026 +tp13027 +a(g6 +V\u000a +tp13028 +a(g31 +V;; SEQUENCE - denoting a type whose intersection with (OR LIST VECTOR) is not +p13029 +tp13030 +a(g6 +V\u000a +tp13031 +a(g31 +V;; subtype of LIST or VECTOR, or +p13032 +tp13033 +a(g6 +V\u000a +tp13034 +a(g31 +V;; NIL - indicating a type whose intersection with (OR LIST VECTOR) is empty. +p13035 +tp13036 +a(g6 +V\u000a +tp13037 +a(g31 +V;; When the type is (OR (VECTOR eltype1) ... (VECTOR eltypeN)), the chosen +p13038 +tp13039 +a(g6 +V\u000a +tp13040 +a(g31 +V;; element type is the smallest element type that contains all of eltype1 ... +p13041 +tp13042 +a(g6 +V\u000a +tp13043 +a(g31 +V;; eltypeN. +p13044 +tp13045 +a(g6 +V\u000a +tp13046 +a(g31 +V;; +p13047 +tp13048 +a(g6 +V\u000a +tp13049 +a(g31 +V;; User-defined sequence types are not supported here. +p13050 +tp13051 +a(g6 +V\u000a +tp13052 +a(g31 +V;; +p13053 +tp13054 +a(g6 +V\u000a +tp13055 +a(g31 +V;; This implementation inlines the (tail-recursive) canonicalize-type +p13056 +tp13057 +a(g6 +V\u000a +tp13058 +a(g31 +V;; function. Its advantage is that it doesn't cons as much. Also it employs +p13059 +tp13060 +a(g6 +V\u000a +tp13061 +a(g31 +V;; some heuristics and does not have the full power of SUBTYPEP. +p13062 +tp13063 +a(g6 +V\u000a +tp13064 +a(g212 +V( +tp13065 +a(g84 +Vdefun +p13066 +tp13067 +a(g6 +V +tp13068 +a(g100 +Vsubtype-sequence +p13069 +tp13070 +a(g6 +V +tp13071 +a(g212 +V( +tp13072 +a(g138 +Vtype +p13073 +tp13074 +a(g212 +V) +tp13075 +a(g6 +V\u000a +p13076 +tp13077 +a(g212 +V( +tp13078 +a(g138 +Vsetq +p13079 +tp13080 +a(g6 +V +tp13081 +a(g138 +Vtype +p13082 +tp13083 +a(g6 +V +tp13084 +a(g212 +V( +tp13085 +a(g100 +Vexpand-deftype +p13086 +tp13087 +a(g6 +V +tp13088 +a(g138 +Vtype +p13089 +tp13090 +a(g212 +V) +tp13091 +a(g212 +V) +tp13092 +a(g6 +V\u000a +p13093 +tp13094 +a(g212 +V( +tp13095 +a(g84 +Vcond +p13096 +tp13097 +a(g6 +V +tp13098 +a(g212 +V( +tp13099 +a(g212 +V( +tp13100 +a(g84 +Vsymbolp +p13101 +tp13102 +a(g6 +V +tp13103 +a(g138 +Vtype +p13104 +tp13105 +a(g212 +V) +tp13106 +a(g6 +V\u000a +p13107 +tp13108 +a(g212 +V( +tp13109 +a(g84 +Vcase +p13110 +tp13111 +a(g6 +V +tp13112 +a(g138 +Vtype +p13113 +tp13114 +a(g6 +V\u000a +p13115 +tp13116 +a(g212 +V( +tp13117 +a(g212 +V( +tp13118 +a(g100 +VLIST +p13119 +tp13120 +a(g6 +V +tp13121 +a(g100 +VCONS +p13122 +tp13123 +a(g6 +V +tp13124 +a(g100 +VNULL +p13125 +tp13126 +a(g212 +V) +tp13127 +a(g6 +V +tp13128 +a(g258 +V'LIST +p13129 +tp13130 +a(g212 +V) +tp13131 +a(g6 +V\u000a +p13132 +tp13133 +a(g212 +V( +tp13134 +a(g212 +V( +tp13135 +a(g60 +VNIL +p13136 +tp13137 +a(g212 +V) +tp13138 +a(g6 +V +tp13139 +a(g258 +V'NIL +p13140 +tp13141 +a(g212 +V) +tp13142 +a(g6 +V\u000a +p13143 +tp13144 +a(g212 +V( +tp13145 +a(g212 +V( +tp13146 +a(g100 +VBIT-VECTOR +p13147 +tp13148 +a(g6 +V +tp13149 +a(g100 +VSIMPLE-BIT-VECTOR +p13150 +tp13151 +a(g212 +V) +tp13152 +a(g6 +V +tp13153 +a(g258 +V'1 +p13154 +tp13155 +a(g212 +V) +tp13156 +a(g6 +V\u000a +p13157 +tp13158 +a(g212 +V( +tp13159 +a(g212 +V( +tp13160 +a(g100 +VSTRING +p13161 +tp13162 +a(g6 +V +tp13163 +a(g100 +VSIMPLE-STRING +p13164 +tp13165 +a(g6 +V +tp13166 +a(g100 +VBASE-STRING +p13167 +tp13168 +a(g6 +V +tp13169 +a(g100 +VSIMPLE-BASE-STRING +p13170 +tp13171 +a(g212 +V) +tp13172 +a(g6 +V +tp13173 +a(g258 +V'STRING +p13174 +tp13175 +a(g212 +V) +tp13176 +a(g6 +V\u000a +p13177 +tp13178 +a(g212 +V( +tp13179 +a(g212 +V( +tp13180 +a(g100 +VVECTOR +p13181 +tp13182 +a(g6 +V +tp13183 +a(g100 +VSIMPLE-VECTOR +p13184 +tp13185 +a(g6 +V +tp13186 +a(g100 +VARRAY +p13187 +tp13188 +a(g6 +V +tp13189 +a(g100 +VSIMPLE-ARRAY +p13190 +tp13191 +a(g212 +V) +tp13192 +a(g6 +V +tp13193 +a(g258 +V'VECTOR +p13194 +tp13195 +a(g212 +V) +tp13196 +a(g6 +V\u000a +p13197 +tp13198 +a(g212 +V( +tp13199 +a(g212 +V( +tp13200 +a(g100 +VSEQUENCE +p13201 +tp13202 +a(g212 +V) +tp13203 +a(g6 +V +tp13204 +a(g258 +V'SEQUENCE +p13205 +tp13206 +a(g212 +V) +tp13207 +a(g6 +V\u000a +p13208 +tp13209 +a(g212 +V( +tp13210 +a(g60 +Vt +tp13211 +a(g6 +V +tp13212 +a(g258 +V'NIL +p13213 +tp13214 +a(g212 +V) +tp13215 +a(g212 +V) +tp13216 +a(g212 +V) +tp13217 +a(g6 +V\u000a +p13218 +tp13219 +a(g212 +V( +tp13220 +a(g212 +V( +tp13221 +a(g84 +Vand +p13222 +tp13223 +a(g6 +V +tp13224 +a(g212 +V( +tp13225 +a(g84 +Vconsp +p13226 +tp13227 +a(g6 +V +tp13228 +a(g138 +Vtype +p13229 +tp13230 +a(g212 +V) +tp13231 +a(g6 +V +tp13232 +a(g212 +V( +tp13233 +a(g84 +Vsymbolp +p13234 +tp13235 +a(g6 +V +tp13236 +a(g212 +V( +tp13237 +a(g84 +Vfirst +p13238 +tp13239 +a(g6 +V +tp13240 +a(g138 +Vtype +p13241 +tp13242 +a(g212 +V) +tp13243 +a(g212 +V) +tp13244 +a(g212 +V) +tp13245 +a(g6 +V\u000a +p13246 +tp13247 +a(g212 +V( +tp13248 +a(g84 +Vunless +p13249 +tp13250 +a(g6 +V +tp13251 +a(g212 +V( +tp13252 +a(g84 +Vand +p13253 +tp13254 +a(g6 +V +tp13255 +a(g212 +V( +tp13256 +a(g84 +Vlist-length +p13257 +tp13258 +a(g6 +V +tp13259 +a(g138 +Vtype +p13260 +tp13261 +a(g212 +V) +tp13262 +a(g6 +V +tp13263 +a(g212 +V( +tp13264 +a(g84 +Vnull +p13265 +tp13266 +a(g6 +V +tp13267 +a(g212 +V( +tp13268 +a(g84 +Vcdr +p13269 +tp13270 +a(g6 +V +tp13271 +a(g212 +V( +tp13272 +a(g84 +Vlast +p13273 +tp13274 +a(g6 +V +tp13275 +a(g138 +Vtype +p13276 +tp13277 +a(g212 +V) +tp13278 +a(g212 +V) +tp13279 +a(g212 +V) +tp13280 +a(g212 +V) +tp13281 +a(g6 +V\u000a +p13282 +tp13283 +a(g212 +V( +tp13284 +a(g100 +Vtypespec-error +p13285 +tp13286 +a(g6 +V +tp13287 +a(g258 +V'subtypep +p13288 +tp13289 +a(g6 +V +tp13290 +a(g138 +Vtype +p13291 +tp13292 +a(g212 +V) +tp13293 +a(g212 +V) +tp13294 +a(g6 +V\u000a +p13295 +tp13296 +a(g212 +V( +tp13297 +a(g84 +Vcase +p13298 +tp13299 +a(g6 +V +tp13300 +a(g212 +V( +tp13301 +a(g84 +Vfirst +p13302 +tp13303 +a(g6 +V +tp13304 +a(g138 +Vtype +p13305 +tp13306 +a(g212 +V) +tp13307 +a(g6 +V\u000a +p13308 +tp13309 +a(g212 +V( +tp13310 +a(g100 +VMEMBER +p13311 +tp13312 +a(g6 +V +tp13313 +a(g31 +V; (MEMBER &rest objects) +p13314 +tp13315 +a(g6 +V\u000a +p13316 +tp13317 +a(g212 +V( +tp13318 +a(g138 +Vlet +p13319 +tp13320 +a(g6 +V +tp13321 +a(g212 +V( +tp13322 +a(g212 +V( +tp13323 +a(g100 +Vkind +p13324 +tp13325 +a(g6 +V +tp13326 +a(g258 +V'NIL +p13327 +tp13328 +a(g212 +V) +tp13329 +a(g212 +V) +tp13330 +a(g6 +V\u000a +p13331 +tp13332 +a(g212 +V( +tp13333 +a(g84 +Vdolist +p13334 +tp13335 +a(g6 +V +tp13336 +a(g212 +V( +tp13337 +a(g100 +Vx +tp13338 +a(g6 +V +tp13339 +a(g212 +V( +tp13340 +a(g84 +Vrest +p13341 +tp13342 +a(g6 +V +tp13343 +a(g138 +Vtype +p13344 +tp13345 +a(g212 +V) +tp13346 +a(g212 +V) +tp13347 +a(g6 +V\u000a +p13348 +tp13349 +a(g212 +V( +tp13350 +a(g138 +Vsetq +p13351 +tp13352 +a(g6 +V +tp13353 +a(g100 +Vkind +p13354 +tp13355 +a(g6 +V +tp13356 +a(g212 +V( +tp13357 +a(g100 +Vsequence-type-union +p13358 +tp13359 +a(g6 +V +tp13360 +a(g100 +Vkind +p13361 +tp13362 +a(g6 +V +tp13363 +a(g212 +V( +tp13364 +a(g100 +Vtype-of-sequence +p13365 +tp13366 +a(g6 +V +tp13367 +a(g100 +Vx +tp13368 +a(g212 +V) +tp13369 +a(g212 +V) +tp13370 +a(g212 +V) +tp13371 +a(g212 +V) +tp13372 +a(g6 +V\u000a +p13373 +tp13374 +a(g100 +Vkind +p13375 +tp13376 +a(g212 +V) +tp13377 +a(g212 +V) +tp13378 +a(g6 +V\u000a +p13379 +tp13380 +a(g212 +V( +tp13381 +a(g100 +VEQL +p13382 +tp13383 +a(g6 +V +tp13384 +a(g31 +V; (EQL object) +p13385 +tp13386 +a(g6 +V\u000a +p13387 +tp13388 +a(g212 +V( +tp13389 +a(g84 +Vunless +p13390 +tp13391 +a(g6 +V +tp13392 +a(g212 +V( +tp13393 +a(g84 +Veql +p13394 +tp13395 +a(g6 +V +tp13396 +a(g212 +V( +tp13397 +a(g84 +Vlength +p13398 +tp13399 +a(g6 +V +tp13400 +a(g138 +Vtype +p13401 +tp13402 +a(g212 +V) +tp13403 +a(g6 +V +tp13404 +a(g328 +V2 +tp13405 +a(g212 +V) +tp13406 +a(g6 +V\u000a +p13407 +tp13408 +a(g212 +V( +tp13409 +a(g100 +Vtypespec-error +p13410 +tp13411 +a(g6 +V +tp13412 +a(g258 +V'subtypep +p13413 +tp13414 +a(g6 +V +tp13415 +a(g138 +Vtype +p13416 +tp13417 +a(g212 +V) +tp13418 +a(g212 +V) +tp13419 +a(g6 +V\u000a +p13420 +tp13421 +a(g212 +V( +tp13422 +a(g100 +Vtype-of-sequence +p13423 +tp13424 +a(g6 +V +tp13425 +a(g212 +V( +tp13426 +a(g84 +Vsecond +p13427 +tp13428 +a(g6 +V +tp13429 +a(g138 +Vtype +p13430 +tp13431 +a(g212 +V) +tp13432 +a(g212 +V) +tp13433 +a(g212 +V) +tp13434 +a(g6 +V\u000a +p13435 +tp13436 +a(g212 +V( +tp13437 +a(g100 +VOR +p13438 +tp13439 +a(g6 +V +tp13440 +a(g31 +V; (OR type*) +p13441 +tp13442 +a(g6 +V\u000a +p13443 +tp13444 +a(g212 +V( +tp13445 +a(g138 +Vlet +p13446 +tp13447 +a(g6 +V +tp13448 +a(g212 +V( +tp13449 +a(g212 +V( +tp13450 +a(g100 +Vkind +p13451 +tp13452 +a(g6 +V +tp13453 +a(g258 +V'NIL +p13454 +tp13455 +a(g212 +V) +tp13456 +a(g212 +V) +tp13457 +a(g6 +V\u000a +p13458 +tp13459 +a(g212 +V( +tp13460 +a(g84 +Vdolist +p13461 +tp13462 +a(g6 +V +tp13463 +a(g212 +V( +tp13464 +a(g100 +Vx +tp13465 +a(g6 +V +tp13466 +a(g212 +V( +tp13467 +a(g84 +Vrest +p13468 +tp13469 +a(g6 +V +tp13470 +a(g138 +Vtype +p13471 +tp13472 +a(g212 +V) +tp13473 +a(g212 +V) +tp13474 +a(g6 +V\u000a +p13475 +tp13476 +a(g212 +V( +tp13477 +a(g138 +Vsetq +p13478 +tp13479 +a(g6 +V +tp13480 +a(g100 +Vkind +p13481 +tp13482 +a(g6 +V +tp13483 +a(g212 +V( +tp13484 +a(g100 +Vsequence-type-union +p13485 +tp13486 +a(g6 +V +tp13487 +a(g100 +Vkind +p13488 +tp13489 +a(g6 +V +tp13490 +a(g212 +V( +tp13491 +a(g100 +Vsubtype-sequence +p13492 +tp13493 +a(g6 +V +tp13494 +a(g100 +Vx +tp13495 +a(g212 +V) +tp13496 +a(g212 +V) +tp13497 +a(g212 +V) +tp13498 +a(g212 +V) +tp13499 +a(g6 +V\u000a +p13500 +tp13501 +a(g100 +Vkind +p13502 +tp13503 +a(g212 +V) +tp13504 +a(g212 +V) +tp13505 +a(g6 +V\u000a +p13506 +tp13507 +a(g212 +V( +tp13508 +a(g100 +VAND +p13509 +tp13510 +a(g6 +V +tp13511 +a(g31 +V; (AND type*) +p13512 +tp13513 +a(g6 +V\u000a +p13514 +tp13515 +a(g212 +V( +tp13516 +a(g138 +Vlet +p13517 +tp13518 +a(g6 +V +tp13519 +a(g212 +V( +tp13520 +a(g212 +V( +tp13521 +a(g100 +Vkind +p13522 +tp13523 +a(g6 +V +tp13524 +a(g258 +V'SEQUENCE +p13525 +tp13526 +a(g212 +V) +tp13527 +a(g212 +V) +tp13528 +a(g6 +V\u000a +p13529 +tp13530 +a(g212 +V( +tp13531 +a(g84 +Vdolist +p13532 +tp13533 +a(g6 +V +tp13534 +a(g212 +V( +tp13535 +a(g100 +Vx +tp13536 +a(g6 +V +tp13537 +a(g212 +V( +tp13538 +a(g84 +Vrest +p13539 +tp13540 +a(g6 +V +tp13541 +a(g138 +Vtype +p13542 +tp13543 +a(g212 +V) +tp13544 +a(g212 +V) +tp13545 +a(g6 +V\u000a +p13546 +tp13547 +a(g212 +V( +tp13548 +a(g138 +Vsetq +p13549 +tp13550 +a(g6 +V +tp13551 +a(g100 +Vkind +p13552 +tp13553 +a(g6 +V +tp13554 +a(g212 +V( +tp13555 +a(g100 +Vsequence-type-intersection +p13556 +tp13557 +a(g6 +V +tp13558 +a(g100 +Vkind +p13559 +tp13560 +a(g6 +V +tp13561 +a(g212 +V( +tp13562 +a(g100 +Vsubtype-sequence +p13563 +tp13564 +a(g6 +V +tp13565 +a(g100 +Vx +tp13566 +a(g212 +V) +tp13567 +a(g212 +V) +tp13568 +a(g212 +V) +tp13569 +a(g212 +V) +tp13570 +a(g6 +V\u000a +p13571 +tp13572 +a(g100 +Vkind +p13573 +tp13574 +a(g212 +V) +tp13575 +a(g212 +V) +tp13576 +a(g6 +V\u000a +p13577 +tp13578 +a(g212 +V( +tp13579 +a(g212 +V( +tp13580 +a(g100 +VSIMPLE-BIT-VECTOR +p13581 +tp13582 +a(g6 +V +tp13583 +a(g100 +VBIT-VECTOR +p13584 +tp13585 +a(g212 +V) +tp13586 +a(g6 +V +tp13587 +a(g31 +V; (SIMPLE-BIT-VECTOR &optional size) +p13588 +tp13589 +a(g6 +V\u000a +p13590 +tp13591 +a(g212 +V( +tp13592 +a(g84 +Vwhen +p13593 +tp13594 +a(g6 +V +tp13595 +a(g212 +V( +tp13596 +a(g84 +Vcddr +p13597 +tp13598 +a(g6 +V +tp13599 +a(g138 +Vtype +p13600 +tp13601 +a(g212 +V) +tp13602 +a(g6 +V\u000a +p13603 +tp13604 +a(g212 +V( +tp13605 +a(g100 +Vtypespec-error +p13606 +tp13607 +a(g6 +V +tp13608 +a(g258 +V'subtypep +p13609 +tp13610 +a(g6 +V +tp13611 +a(g138 +Vtype +p13612 +tp13613 +a(g212 +V) +tp13614 +a(g212 +V) +tp13615 +a(g6 +V\u000a +p13616 +tp13617 +a(g258 +V'1 +p13618 +tp13619 +a(g212 +V) +tp13620 +a(g6 +V\u000a +p13621 +tp13622 +a(g212 +V( +tp13623 +a(g212 +V( +tp13624 +a(g100 +VSIMPLE-STRING +p13625 +tp13626 +a(g6 +V +tp13627 +a(g100 +VSTRING +p13628 +tp13629 +a(g6 +V +tp13630 +a(g100 +VSIMPLE-BASE-STRING +p13631 +tp13632 +a(g6 +V +tp13633 +a(g100 +VBASE-STRING +p13634 +tp13635 +a(g212 +V) +tp13636 +a(g6 +V +tp13637 +a(g31 +V; (SIMPLE-STRING &optional size) +p13638 +tp13639 +a(g6 +V\u000a +p13640 +tp13641 +a(g212 +V( +tp13642 +a(g84 +Vwhen +p13643 +tp13644 +a(g6 +V +tp13645 +a(g212 +V( +tp13646 +a(g84 +Vcddr +p13647 +tp13648 +a(g6 +V +tp13649 +a(g138 +Vtype +p13650 +tp13651 +a(g212 +V) +tp13652 +a(g6 +V\u000a +p13653 +tp13654 +a(g212 +V( +tp13655 +a(g100 +Vtypespec-error +p13656 +tp13657 +a(g6 +V +tp13658 +a(g258 +V'subtypep +p13659 +tp13660 +a(g6 +V +tp13661 +a(g138 +Vtype +p13662 +tp13663 +a(g212 +V) +tp13664 +a(g212 +V) +tp13665 +a(g6 +V\u000a +p13666 +tp13667 +a(g258 +V'STRING +p13668 +tp13669 +a(g212 +V) +tp13670 +a(g6 +V\u000a +p13671 +tp13672 +a(g212 +V( +tp13673 +a(g100 +VSIMPLE-VECTOR +p13674 +tp13675 +a(g6 +V +tp13676 +a(g31 +V; (SIMPLE-VECTOR &optional size) +p13677 +tp13678 +a(g6 +V\u000a +p13679 +tp13680 +a(g212 +V( +tp13681 +a(g84 +Vwhen +p13682 +tp13683 +a(g6 +V +tp13684 +a(g212 +V( +tp13685 +a(g84 +Vcddr +p13686 +tp13687 +a(g6 +V +tp13688 +a(g138 +Vtype +p13689 +tp13690 +a(g212 +V) +tp13691 +a(g6 +V\u000a +p13692 +tp13693 +a(g212 +V( +tp13694 +a(g100 +Vtypespec-error +p13695 +tp13696 +a(g6 +V +tp13697 +a(g258 +V'subtypep +p13698 +tp13699 +a(g6 +V +tp13700 +a(g138 +Vtype +p13701 +tp13702 +a(g212 +V) +tp13703 +a(g212 +V) +tp13704 +a(g6 +V\u000a +p13705 +tp13706 +a(g258 +V'VECTOR +p13707 +tp13708 +a(g212 +V) +tp13709 +a(g6 +V\u000a +p13710 +tp13711 +a(g212 +V( +tp13712 +a(g212 +V( +tp13713 +a(g100 +VVECTOR +p13714 +tp13715 +a(g6 +V +tp13716 +a(g100 +VARRAY +p13717 +tp13718 +a(g6 +V +tp13719 +a(g100 +VSIMPLE-ARRAY +p13720 +tp13721 +a(g212 +V) +tp13722 +a(g6 +V +tp13723 +a(g31 +V; (VECTOR &optional el-type size), (ARRAY &optional el-type dimensions) +p13724 +tp13725 +a(g6 +V\u000a +p13726 +tp13727 +a(g212 +V( +tp13728 +a(g84 +Vwhen +p13729 +tp13730 +a(g6 +V +tp13731 +a(g212 +V( +tp13732 +a(g84 +Vcdddr +p13733 +tp13734 +a(g6 +V +tp13735 +a(g138 +Vtype +p13736 +tp13737 +a(g212 +V) +tp13738 +a(g6 +V\u000a +p13739 +tp13740 +a(g212 +V( +tp13741 +a(g100 +Vtypespec-error +p13742 +tp13743 +a(g6 +V +tp13744 +a(g258 +V'subtypep +p13745 +tp13746 +a(g6 +V +tp13747 +a(g138 +Vtype +p13748 +tp13749 +a(g212 +V) +tp13750 +a(g212 +V) +tp13751 +a(g6 +V\u000a +p13752 +tp13753 +a(g212 +V( +tp13754 +a(g138 +Vlet +p13755 +tp13756 +a(g6 +V +tp13757 +a(g212 +V( +tp13758 +a(g212 +V( +tp13759 +a(g100 +Vel-type +p13760 +tp13761 +a(g6 +V +tp13762 +a(g212 +V( +tp13763 +a(g138 +Vif +p13764 +tp13765 +a(g6 +V +tp13766 +a(g212 +V( +tp13767 +a(g84 +Vcdr +p13768 +tp13769 +a(g6 +V +tp13770 +a(g138 +Vtype +p13771 +tp13772 +a(g212 +V) +tp13773 +a(g6 +V +tp13774 +a(g212 +V( +tp13775 +a(g84 +Vsecond +p13776 +tp13777 +a(g6 +V +tp13778 +a(g138 +Vtype +p13779 +tp13780 +a(g212 +V) +tp13781 +a(g6 +V +tp13782 +a(g258 +V'* +p13783 +tp13784 +a(g212 +V) +tp13785 +a(g212 +V) +tp13786 +a(g212 +V) +tp13787 +a(g6 +V\u000a +p13788 +tp13789 +a(g212 +V( +tp13790 +a(g138 +Vif +p13791 +tp13792 +a(g6 +V +tp13793 +a(g212 +V( +tp13794 +a(g84 +Veq +p13795 +tp13796 +a(g6 +V +tp13797 +a(g100 +Vel-type +p13798 +tp13799 +a(g6 +V +tp13800 +a(g258 +V'* +p13801 +tp13802 +a(g212 +V) +tp13803 +a(g6 +V\u000a +p13804 +tp13805 +a(g258 +V'VECTOR +p13806 +tp13807 +a(g6 +V\u000a +p13808 +tp13809 +a(g212 +V( +tp13810 +a(g138 +Vlet +p13811 +tp13812 +a(g6 +V +tp13813 +a(g212 +V( +tp13814 +a(g212 +V( +tp13815 +a(g100 +Veltype +p13816 +tp13817 +a(g6 +V +tp13818 +a(g212 +V( +tp13819 +a(g84 +Vupgraded-array-element-type +p13820 +tp13821 +a(g6 +V +tp13822 +a(g100 +Vel-type +p13823 +tp13824 +a(g212 +V) +tp13825 +a(g212 +V) +tp13826 +a(g212 +V) +tp13827 +a(g6 +V\u000a +p13828 +tp13829 +a(g212 +V( +tp13830 +a(g84 +Vcond +p13831 +tp13832 +a(g6 +V +tp13833 +a(g212 +V( +tp13834 +a(g212 +V( +tp13835 +a(g84 +Veq +p13836 +tp13837 +a(g6 +V +tp13838 +a(g100 +Veltype +p13839 +tp13840 +a(g6 +V +tp13841 +a(g258 +V'T +p13842 +tp13843 +a(g212 +V) +tp13844 +a(g6 +V +tp13845 +a(g258 +V'VECTOR +p13846 +tp13847 +a(g212 +V) +tp13848 +a(g6 +V\u000a +p13849 +tp13850 +a(g212 +V( +tp13851 +a(g212 +V( +tp13852 +a(g84 +Veq +p13853 +tp13854 +a(g6 +V +tp13855 +a(g100 +Veltype +p13856 +tp13857 +a(g6 +V +tp13858 +a(g258 +V'CHARACTER +p13859 +tp13860 +a(g212 +V) +tp13861 +a(g6 +V +tp13862 +a(g258 +V'STRING +p13863 +tp13864 +a(g212 +V) +tp13865 +a(g6 +V\u000a +p13866 +tp13867 +a(g212 +V( +tp13868 +a(g212 +V( +tp13869 +a(g84 +Veq +p13870 +tp13871 +a(g6 +V +tp13872 +a(g100 +Veltype +p13873 +tp13874 +a(g6 +V +tp13875 +a(g258 +V'BIT +p13876 +tp13877 +a(g212 +V) +tp13878 +a(g6 +V +tp13879 +a(g258 +V'1 +p13880 +tp13881 +a(g212 +V) +tp13882 +a(g6 +V\u000a +p13883 +tp13884 +a(g212 +V( +tp13885 +a(g212 +V( +tp13886 +a(g84 +Vand +p13887 +tp13888 +a(g6 +V +tp13889 +a(g212 +V( +tp13890 +a(g84 +Vconsp +p13891 +tp13892 +a(g6 +V +tp13893 +a(g100 +Veltype +p13894 +tp13895 +a(g212 +V) +tp13896 +a(g6 +V +tp13897 +a(g212 +V( +tp13898 +a(g84 +Veq +p13899 +tp13900 +a(g6 +V +tp13901 +a(g212 +V( +tp13902 +a(g84 +Vfirst +p13903 +tp13904 +a(g6 +V +tp13905 +a(g100 +Veltype +p13906 +tp13907 +a(g212 +V) +tp13908 +a(g6 +V +tp13909 +a(g258 +V'UNSIGNED-BYTE +p13910 +tp13911 +a(g212 +V) +tp13912 +a(g212 +V) +tp13913 +a(g6 +V +tp13914 +a(g212 +V( +tp13915 +a(g84 +Vsecond +p13916 +tp13917 +a(g6 +V +tp13918 +a(g100 +Veltype +p13919 +tp13920 +a(g212 +V) +tp13921 +a(g212 +V) +tp13922 +a(g6 +V\u000a +p13923 +tp13924 +a(g212 +V( +tp13925 +a(g212 +V( +tp13926 +a(g84 +Veq +p13927 +tp13928 +a(g6 +V +tp13929 +a(g100 +Veltype +p13930 +tp13931 +a(g6 +V +tp13932 +a(g258 +V'NIL +p13933 +tp13934 +a(g212 +V) +tp13935 +a(g6 +V +tp13936 +a(g258 +V'0 +p13937 +tp13938 +a(g212 +V) +tp13939 +a(g6 +V\u000a +p13940 +tp13941 +a(g212 +V( +tp13942 +a(g60 +Vt +tp13943 +a(g6 +V +tp13944 +a(g212 +V( +tp13945 +a(g84 +Verror +p13946 +tp13947 +a(g6 +V +tp13948 +a(g212 +V( +tp13949 +a(g100 +VTEXT +p13950 +tp13951 +a(g6 +V +tp13952 +a(g236 +V"~S is not up-to-date with ~S for element type ~S" +p13953 +tp13954 +a(g212 +V) +tp13955 +a(g6 +V\u000a +p13956 +tp13957 +a(g258 +V'subtypep-sequence +p13958 +tp13959 +a(g6 +V +tp13960 +a(g258 +V'upgraded-array-element-type +p13961 +tp13962 +a(g6 +V +tp13963 +a(g100 +Veltype +p13964 +tp13965 +a(g212 +V) +tp13966 +a(g212 +V) +tp13967 +a(g212 +V) +tp13968 +a(g212 +V) +tp13969 +a(g212 +V) +tp13970 +a(g212 +V) +tp13971 +a(g212 +V) +tp13972 +a(g6 +V\u000a +p13973 +tp13974 +a(g212 +V( +tp13975 +a(g212 +V( +tp13976 +a(g100 +VCONS +p13977 +tp13978 +a(g212 +V) +tp13979 +a(g6 +V +tp13980 +a(g31 +V; (CONS &optional cartype cdrtype) +p13981 +tp13982 +a(g6 +V\u000a +p13983 +tp13984 +a(g212 +V( +tp13985 +a(g84 +Vwhen +p13986 +tp13987 +a(g6 +V +tp13988 +a(g212 +V( +tp13989 +a(g84 +Vcdddr +p13990 +tp13991 +a(g6 +V +tp13992 +a(g138 +Vtype +p13993 +tp13994 +a(g212 +V) +tp13995 +a(g6 +V\u000a +p13996 +tp13997 +a(g212 +V( +tp13998 +a(g100 +Vtypespec-error +p13999 +tp14000 +a(g6 +V +tp14001 +a(g258 +V'subtypep +p14002 +tp14003 +a(g6 +V +tp14004 +a(g138 +Vtype +p14005 +tp14006 +a(g212 +V) +tp14007 +a(g212 +V) +tp14008 +a(g6 +V\u000a +p14009 +tp14010 +a(g258 +V'LIST +p14011 +tp14012 +a(g212 +V) +tp14013 +a(g6 +V\u000a +p14014 +tp14015 +a(g212 +V( +tp14016 +a(g60 +Vt +tp14017 +a(g6 +V +tp14018 +a(g258 +V'NIL +p14019 +tp14020 +a(g212 +V) +tp14021 +a(g212 +V) +tp14022 +a(g212 +V) +tp14023 +a(g6 +V\u000a +p14024 +tp14025 +a(g212 +V( +tp14026 +a(g212 +V( +tp14027 +a(g100 +Vclos::defined-class-p +p14028 +tp14029 +a(g6 +V +tp14030 +a(g138 +Vtype +p14031 +tp14032 +a(g212 +V) +tp14033 +a(g6 +V\u000a +p14034 +tp14035 +a(g212 +V( +tp14036 +a(g138 +Vif +p14037 +tp14038 +a(g6 +V +tp14039 +a(g212 +V( +tp14040 +a(g84 +Vand +p14041 +tp14042 +a(g6 +V +tp14043 +a(g212 +V( +tp14044 +a(g100 +Vclos::built-in-class-p +p14045 +tp14046 +a(g6 +V +tp14047 +a(g138 +Vtype +p14048 +tp14049 +a(g212 +V) +tp14050 +a(g6 +V\u000a +p14051 +tp14052 +a(g212 +V( +tp14053 +a(g84 +Veq +p14054 +tp14055 +a(g6 +V +tp14056 +a(g212 +V( +tp14057 +a(g84 +Vget +p14058 +tp14059 +a(g6 +V +tp14060 +a(g212 +V( +tp14061 +a(g100 +Vclos:class-name +p14062 +tp14063 +a(g6 +V +tp14064 +a(g138 +Vtype +p14065 +tp14066 +a(g212 +V) +tp14067 +a(g6 +V +tp14068 +a(g258 +V'CLOS::CLOSCLASS +p14069 +tp14070 +a(g212 +V) +tp14071 +a(g6 +V +tp14072 +a(g138 +Vtype +p14073 +tp14074 +a(g212 +V) +tp14075 +a(g212 +V) +tp14076 +a(g6 +V\u000a +p14077 +tp14078 +a(g212 +V( +tp14079 +a(g100 +Vsubtype-sequence +p14080 +tp14081 +a(g6 +V +tp14082 +a(g212 +V( +tp14083 +a(g100 +Vclos:class-name +p14084 +tp14085 +a(g6 +V +tp14086 +a(g138 +Vtype +p14087 +tp14088 +a(g212 +V) +tp14089 +a(g212 +V) +tp14090 +a(g6 +V\u000a +p14091 +tp14092 +a(g258 +V'NIL +p14093 +tp14094 +a(g212 +V) +tp14095 +a(g212 +V) +tp14096 +a(g6 +V\u000a +p14097 +tp14098 +a(g212 +V( +tp14099 +a(g212 +V( +tp14100 +a(g100 +Vclos::eql-specializer-p +p14101 +tp14102 +a(g6 +V +tp14103 +a(g138 +Vtype +p14104 +tp14105 +a(g212 +V) +tp14106 +a(g6 +V\u000a +p14107 +tp14108 +a(g212 +V( +tp14109 +a(g100 +Vtype-of-sequence +p14110 +tp14111 +a(g6 +V +tp14112 +a(g212 +V( +tp14113 +a(g100 +Vclos::eql-specializer-singleton +p14114 +tp14115 +a(g6 +V +tp14116 +a(g138 +Vtype +p14117 +tp14118 +a(g212 +V) +tp14119 +a(g212 +V) +tp14120 +a(g212 +V) +tp14121 +a(g6 +V\u000a +p14122 +tp14123 +a(g212 +V( +tp14124 +a(g60 +Vt +tp14125 +a(g6 +V +tp14126 +a(g258 +V'NIL +p14127 +tp14128 +a(g212 +V) +tp14129 +a(g212 +V) +tp14130 +a(g212 +V) +tp14131 +a(g6 +V\u000a +tp14132 +a(g212 +V( +tp14133 +a(g84 +Vdefun +p14134 +tp14135 +a(g6 +V +tp14136 +a(g100 +Vtype-of-sequence +p14137 +tp14138 +a(g6 +V +tp14139 +a(g212 +V( +tp14140 +a(g100 +Vx +tp14141 +a(g212 +V) +tp14142 +a(g6 +V\u000a +p14143 +tp14144 +a(g212 +V( +tp14145 +a(g84 +Vcond +p14146 +tp14147 +a(g6 +V +tp14148 +a(g212 +V( +tp14149 +a(g212 +V( +tp14150 +a(g84 +Vlistp +p14151 +tp14152 +a(g6 +V +tp14153 +a(g100 +Vx +tp14154 +a(g212 +V) +tp14155 +a(g6 +V +tp14156 +a(g258 +V'LIST +p14157 +tp14158 +a(g212 +V) +tp14159 +a(g6 +V\u000a +p14160 +tp14161 +a(g212 +V( +tp14162 +a(g212 +V( +tp14163 +a(g84 +Vvectorp +p14164 +tp14165 +a(g6 +V +tp14166 +a(g100 +Vx +tp14167 +a(g212 +V) +tp14168 +a(g6 +V\u000a +p14169 +tp14170 +a(g212 +V( +tp14171 +a(g138 +Vlet +p14172 +tp14173 +a(g6 +V +tp14174 +a(g212 +V( +tp14175 +a(g212 +V( +tp14176 +a(g100 +Veltype +p14177 +tp14178 +a(g6 +V +tp14179 +a(g212 +V( +tp14180 +a(g84 +Varray-element-type +p14181 +tp14182 +a(g6 +V +tp14183 +a(g100 +Vx +tp14184 +a(g212 +V) +tp14185 +a(g212 +V) +tp14186 +a(g212 +V) +tp14187 +a(g6 +V\u000a +p14188 +tp14189 +a(g212 +V( +tp14190 +a(g84 +Vcond +p14191 +tp14192 +a(g6 +V +tp14193 +a(g212 +V( +tp14194 +a(g212 +V( +tp14195 +a(g84 +Veq +p14196 +tp14197 +a(g6 +V +tp14198 +a(g100 +Veltype +p14199 +tp14200 +a(g6 +V +tp14201 +a(g258 +V'T +p14202 +tp14203 +a(g212 +V) +tp14204 +a(g6 +V +tp14205 +a(g258 +V'VECTOR +p14206 +tp14207 +a(g212 +V) +tp14208 +a(g6 +V\u000a +p14209 +tp14210 +a(g212 +V( +tp14211 +a(g212 +V( +tp14212 +a(g84 +Veq +p14213 +tp14214 +a(g6 +V +tp14215 +a(g100 +Veltype +p14216 +tp14217 +a(g6 +V +tp14218 +a(g258 +V'CHARACTER +p14219 +tp14220 +a(g212 +V) +tp14221 +a(g6 +V +tp14222 +a(g258 +V'STRING +p14223 +tp14224 +a(g212 +V) +tp14225 +a(g6 +V\u000a +p14226 +tp14227 +a(g212 +V( +tp14228 +a(g212 +V( +tp14229 +a(g84 +Veq +p14230 +tp14231 +a(g6 +V +tp14232 +a(g100 +Veltype +p14233 +tp14234 +a(g6 +V +tp14235 +a(g258 +V'BIT +p14236 +tp14237 +a(g212 +V) +tp14238 +a(g6 +V +tp14239 +a(g258 +V'1 +p14240 +tp14241 +a(g212 +V) +tp14242 +a(g6 +V\u000a +p14243 +tp14244 +a(g212 +V( +tp14245 +a(g212 +V( +tp14246 +a(g84 +Vand +p14247 +tp14248 +a(g6 +V +tp14249 +a(g212 +V( +tp14250 +a(g84 +Vconsp +p14251 +tp14252 +a(g6 +V +tp14253 +a(g100 +Veltype +p14254 +tp14255 +a(g212 +V) +tp14256 +a(g6 +V +tp14257 +a(g212 +V( +tp14258 +a(g84 +Veq +p14259 +tp14260 +a(g6 +V +tp14261 +a(g212 +V( +tp14262 +a(g84 +Vfirst +p14263 +tp14264 +a(g6 +V +tp14265 +a(g100 +Veltype +p14266 +tp14267 +a(g212 +V) +tp14268 +a(g6 +V +tp14269 +a(g258 +V'UNSIGNED-BYTE +p14270 +tp14271 +a(g212 +V) +tp14272 +a(g212 +V) +tp14273 +a(g6 +V +tp14274 +a(g212 +V( +tp14275 +a(g84 +Vsecond +p14276 +tp14277 +a(g6 +V +tp14278 +a(g100 +Veltype +p14279 +tp14280 +a(g212 +V) +tp14281 +a(g212 +V) +tp14282 +a(g6 +V\u000a +p14283 +tp14284 +a(g212 +V( +tp14285 +a(g212 +V( +tp14286 +a(g84 +Veq +p14287 +tp14288 +a(g6 +V +tp14289 +a(g100 +Veltype +p14290 +tp14291 +a(g6 +V +tp14292 +a(g258 +V'NIL +p14293 +tp14294 +a(g212 +V) +tp14295 +a(g6 +V +tp14296 +a(g258 +V'0 +p14297 +tp14298 +a(g212 +V) +tp14299 +a(g6 +V\u000a +p14300 +tp14301 +a(g212 +V( +tp14302 +a(g60 +Vt +tp14303 +a(g6 +V +tp14304 +a(g212 +V( +tp14305 +a(g84 +Verror +p14306 +tp14307 +a(g6 +V +tp14308 +a(g212 +V( +tp14309 +a(g100 +VTEXT +p14310 +tp14311 +a(g6 +V +tp14312 +a(g236 +V"~S is not up-to-date with ~S for element type ~S" +p14313 +tp14314 +a(g212 +V) +tp14315 +a(g6 +V\u000a +p14316 +tp14317 +a(g258 +V'type-of-sequence +p14318 +tp14319 +a(g6 +V +tp14320 +a(g258 +V'array-element-type +p14321 +tp14322 +a(g6 +V +tp14323 +a(g100 +Veltype +p14324 +tp14325 +a(g212 +V) +tp14326 +a(g212 +V) +tp14327 +a(g212 +V) +tp14328 +a(g212 +V) +tp14329 +a(g212 +V) +tp14330 +a(g6 +V\u000a +p14331 +tp14332 +a(g212 +V( +tp14333 +a(g60 +Vt +tp14334 +a(g6 +V +tp14335 +a(g258 +V'NIL +p14336 +tp14337 +a(g212 +V) +tp14338 +a(g212 +V) +tp14339 +a(g212 +V) +tp14340 +a(g6 +V\u000a +tp14341 +a(g212 +V( +tp14342 +a(g84 +Vdefun +p14343 +tp14344 +a(g6 +V +tp14345 +a(g100 +Vsequence-type-union +p14346 +tp14347 +a(g6 +V +tp14348 +a(g212 +V( +tp14349 +a(g100 +Vt1 +p14350 +tp14351 +a(g6 +V +tp14352 +a(g100 +Vt2 +p14353 +tp14354 +a(g212 +V) +tp14355 +a(g6 +V\u000a +p14356 +tp14357 +a(g212 +V( +tp14358 +a(g84 +Vcond +p14359 +tp14360 +a(g6 +V +tp14361 +a(g31 +V; Simple general rules. +p14362 +tp14363 +a(g6 +V\u000a +p14364 +tp14365 +a(g212 +V( +tp14366 +a(g212 +V( +tp14367 +a(g84 +Veql +p14368 +tp14369 +a(g6 +V +tp14370 +a(g100 +Vt1 +p14371 +tp14372 +a(g6 +V +tp14373 +a(g100 +Vt2 +p14374 +tp14375 +a(g212 +V) +tp14376 +a(g6 +V +tp14377 +a(g100 +Vt1 +p14378 +tp14379 +a(g212 +V) +tp14380 +a(g6 +V\u000a +p14381 +tp14382 +a(g212 +V( +tp14383 +a(g212 +V( +tp14384 +a(g84 +Veq +p14385 +tp14386 +a(g6 +V +tp14387 +a(g100 +Vt1 +p14388 +tp14389 +a(g6 +V +tp14390 +a(g258 +V'NIL +p14391 +tp14392 +a(g212 +V) +tp14393 +a(g6 +V +tp14394 +a(g100 +Vt2 +p14395 +tp14396 +a(g212 +V) +tp14397 +a(g6 +V\u000a +p14398 +tp14399 +a(g212 +V( +tp14400 +a(g212 +V( +tp14401 +a(g84 +Veq +p14402 +tp14403 +a(g6 +V +tp14404 +a(g100 +Vt2 +p14405 +tp14406 +a(g6 +V +tp14407 +a(g258 +V'NIL +p14408 +tp14409 +a(g212 +V) +tp14410 +a(g6 +V +tp14411 +a(g100 +Vt1 +p14412 +tp14413 +a(g212 +V) +tp14414 +a(g6 +V\u000a +p14415 +tp14416 +a(g31 +V; Now the union of two different types. +p14417 +tp14418 +a(g6 +V\u000a +p14419 +tp14420 +a(g212 +V( +tp14421 +a(g212 +V( +tp14422 +a(g84 +Vor +p14423 +tp14424 +a(g6 +V +tp14425 +a(g212 +V( +tp14426 +a(g84 +Veq +p14427 +tp14428 +a(g6 +V +tp14429 +a(g100 +Vt1 +p14430 +tp14431 +a(g6 +V +tp14432 +a(g258 +V'SEQUENCE +p14433 +tp14434 +a(g212 +V) +tp14435 +a(g6 +V +tp14436 +a(g212 +V( +tp14437 +a(g84 +Veq +p14438 +tp14439 +a(g6 +V +tp14440 +a(g100 +Vt2 +p14441 +tp14442 +a(g6 +V +tp14443 +a(g258 +V'SEQUENCE +p14444 +tp14445 +a(g212 +V) +tp14446 +a(g212 +V) +tp14447 +a(g6 +V +tp14448 +a(g258 +V'SEQUENCE +p14449 +tp14450 +a(g212 +V) +tp14451 +a(g6 +V\u000a +p14452 +tp14453 +a(g212 +V( +tp14454 +a(g212 +V( +tp14455 +a(g84 +Vor +p14456 +tp14457 +a(g6 +V +tp14458 +a(g212 +V( +tp14459 +a(g84 +Veq +p14460 +tp14461 +a(g6 +V +tp14462 +a(g100 +Vt1 +p14463 +tp14464 +a(g6 +V +tp14465 +a(g258 +V'LIST +p14466 +tp14467 +a(g212 +V) +tp14468 +a(g6 +V +tp14469 +a(g212 +V( +tp14470 +a(g84 +Veq +p14471 +tp14472 +a(g6 +V +tp14473 +a(g100 +Vt2 +p14474 +tp14475 +a(g6 +V +tp14476 +a(g258 +V'LIST +p14477 +tp14478 +a(g212 +V) +tp14479 +a(g212 +V) +tp14480 +a(g6 +V\u000a +p14481 +tp14482 +a(g31 +V; union of LIST and a vector type +p14483 +tp14484 +a(g6 +V\u000a +p14485 +tp14486 +a(g258 +V'SEQUENCE +p14487 +tp14488 +a(g212 +V) +tp14489 +a(g6 +V\u000a +p14490 +tp14491 +a(g212 +V( +tp14492 +a(g212 +V( +tp14493 +a(g84 +Vor +p14494 +tp14495 +a(g6 +V +tp14496 +a(g212 +V( +tp14497 +a(g84 +Veq +p14498 +tp14499 +a(g6 +V +tp14500 +a(g100 +Vt1 +p14501 +tp14502 +a(g6 +V +tp14503 +a(g258 +V'VECTOR +p14504 +tp14505 +a(g212 +V) +tp14506 +a(g6 +V +tp14507 +a(g212 +V( +tp14508 +a(g84 +Veq +p14509 +tp14510 +a(g6 +V +tp14511 +a(g100 +Vt2 +p14512 +tp14513 +a(g6 +V +tp14514 +a(g258 +V'VECTOR +p14515 +tp14516 +a(g212 +V) +tp14517 +a(g212 +V) +tp14518 +a(g6 +V +tp14519 +a(g258 +V'VECTOR +p14520 +tp14521 +a(g212 +V) +tp14522 +a(g6 +V\u000a +p14523 +tp14524 +a(g212 +V( +tp14525 +a(g212 +V( +tp14526 +a(g84 +Veql +p14527 +tp14528 +a(g6 +V +tp14529 +a(g100 +Vt1 +p14530 +tp14531 +a(g6 +V +tp14532 +a(g328 +V0 +tp14533 +a(g212 +V) +tp14534 +a(g6 +V +tp14535 +a(g100 +Vt2 +p14536 +tp14537 +a(g212 +V) +tp14538 +a(g6 +V\u000a +p14539 +tp14540 +a(g212 +V( +tp14541 +a(g212 +V( +tp14542 +a(g84 +Veql +p14543 +tp14544 +a(g6 +V +tp14545 +a(g100 +Vt2 +p14546 +tp14547 +a(g6 +V +tp14548 +a(g328 +V0 +tp14549 +a(g212 +V) +tp14550 +a(g6 +V +tp14551 +a(g100 +Vt1 +p14552 +tp14553 +a(g212 +V) +tp14554 +a(g6 +V\u000a +p14555 +tp14556 +a(g212 +V( +tp14557 +a(g212 +V( +tp14558 +a(g84 +Vor +p14559 +tp14560 +a(g6 +V +tp14561 +a(g212 +V( +tp14562 +a(g84 +Veq +p14563 +tp14564 +a(g6 +V +tp14565 +a(g100 +Vt1 +p14566 +tp14567 +a(g6 +V +tp14568 +a(g258 +V'STRING +p14569 +tp14570 +a(g212 +V) +tp14571 +a(g6 +V +tp14572 +a(g212 +V( +tp14573 +a(g84 +Veq +p14574 +tp14575 +a(g6 +V +tp14576 +a(g100 +Vt2 +p14577 +tp14578 +a(g6 +V +tp14579 +a(g258 +V'STRING +p14580 +tp14581 +a(g212 +V) +tp14582 +a(g212 +V) +tp14583 +a(g6 +V\u000a +p14584 +tp14585 +a(g31 +V; union of STRING and an integer-vector type +p14586 +tp14587 +a(g6 +V\u000a +p14588 +tp14589 +a(g258 +V'VECTOR +p14590 +tp14591 +a(g212 +V) +tp14592 +a(g6 +V\u000a +p14593 +tp14594 +a(g212 +V( +tp14595 +a(g60 +Vt +tp14596 +a(g6 +V +tp14597 +a(g212 +V( +tp14598 +a(g84 +Vmax +p14599 +tp14600 +a(g6 +V +tp14601 +a(g100 +Vt1 +p14602 +tp14603 +a(g6 +V +tp14604 +a(g100 +Vt2 +p14605 +tp14606 +a(g212 +V) +tp14607 +a(g212 +V) +tp14608 +a(g212 +V) +tp14609 +a(g212 +V) +tp14610 +a(g6 +V\u000a +tp14611 +a(g212 +V( +tp14612 +a(g84 +Vdefun +p14613 +tp14614 +a(g6 +V +tp14615 +a(g100 +Vsequence-type-intersection +p14616 +tp14617 +a(g6 +V +tp14618 +a(g212 +V( +tp14619 +a(g100 +Vt1 +p14620 +tp14621 +a(g6 +V +tp14622 +a(g100 +Vt2 +p14623 +tp14624 +a(g212 +V) +tp14625 +a(g6 +V\u000a +p14626 +tp14627 +a(g212 +V( +tp14628 +a(g84 +Vcond +p14629 +tp14630 +a(g6 +V +tp14631 +a(g31 +V; Simple general rules. +p14632 +tp14633 +a(g6 +V\u000a +p14634 +tp14635 +a(g212 +V( +tp14636 +a(g212 +V( +tp14637 +a(g84 +Veql +p14638 +tp14639 +a(g6 +V +tp14640 +a(g100 +Vt1 +p14641 +tp14642 +a(g6 +V +tp14643 +a(g100 +Vt2 +p14644 +tp14645 +a(g212 +V) +tp14646 +a(g6 +V +tp14647 +a(g100 +Vt1 +p14648 +tp14649 +a(g212 +V) +tp14650 +a(g6 +V\u000a +p14651 +tp14652 +a(g212 +V( +tp14653 +a(g212 +V( +tp14654 +a(g84 +Vor +p14655 +tp14656 +a(g6 +V +tp14657 +a(g212 +V( +tp14658 +a(g84 +Veq +p14659 +tp14660 +a(g6 +V +tp14661 +a(g100 +Vt1 +p14662 +tp14663 +a(g6 +V +tp14664 +a(g258 +V'NIL +p14665 +tp14666 +a(g212 +V) +tp14667 +a(g6 +V +tp14668 +a(g212 +V( +tp14669 +a(g84 +Veq +p14670 +tp14671 +a(g6 +V +tp14672 +a(g100 +Vt2 +p14673 +tp14674 +a(g6 +V +tp14675 +a(g258 +V'NIL +p14676 +tp14677 +a(g212 +V) +tp14678 +a(g212 +V) +tp14679 +a(g6 +V +tp14680 +a(g258 +V'NIL +p14681 +tp14682 +a(g212 +V) +tp14683 +a(g6 +V\u000a +p14684 +tp14685 +a(g31 +V; Now the intersection of two different types. +p14686 +tp14687 +a(g6 +V\u000a +p14688 +tp14689 +a(g212 +V( +tp14690 +a(g212 +V( +tp14691 +a(g84 +Veq +p14692 +tp14693 +a(g6 +V +tp14694 +a(g100 +Vt1 +p14695 +tp14696 +a(g6 +V +tp14697 +a(g258 +V'SEQUENCE +p14698 +tp14699 +a(g212 +V) +tp14700 +a(g6 +V +tp14701 +a(g100 +Vt2 +p14702 +tp14703 +a(g212 +V) +tp14704 +a(g6 +V\u000a +p14705 +tp14706 +a(g212 +V( +tp14707 +a(g212 +V( +tp14708 +a(g84 +Veq +p14709 +tp14710 +a(g6 +V +tp14711 +a(g100 +Vt2 +p14712 +tp14713 +a(g6 +V +tp14714 +a(g258 +V'SEQUENCE +p14715 +tp14716 +a(g212 +V) +tp14717 +a(g6 +V +tp14718 +a(g100 +Vt1 +p14719 +tp14720 +a(g212 +V) +tp14721 +a(g6 +V\u000a +p14722 +tp14723 +a(g212 +V( +tp14724 +a(g212 +V( +tp14725 +a(g84 +Vor +p14726 +tp14727 +a(g6 +V +tp14728 +a(g212 +V( +tp14729 +a(g84 +Veq +p14730 +tp14731 +a(g6 +V +tp14732 +a(g100 +Vt1 +p14733 +tp14734 +a(g6 +V +tp14735 +a(g258 +V'LIST +p14736 +tp14737 +a(g212 +V) +tp14738 +a(g6 +V +tp14739 +a(g212 +V( +tp14740 +a(g84 +Veq +p14741 +tp14742 +a(g6 +V +tp14743 +a(g100 +Vt2 +p14744 +tp14745 +a(g6 +V +tp14746 +a(g258 +V'LIST +p14747 +tp14748 +a(g212 +V) +tp14749 +a(g212 +V) +tp14750 +a(g6 +V\u000a +p14751 +tp14752 +a(g31 +V; intersection of LIST and a vector type +p14753 +tp14754 +a(g6 +V\u000a +p14755 +tp14756 +a(g258 +V'NIL +p14757 +tp14758 +a(g212 +V) +tp14759 +a(g6 +V\u000a +p14760 +tp14761 +a(g212 +V( +tp14762 +a(g212 +V( +tp14763 +a(g84 +Veq +p14764 +tp14765 +a(g6 +V +tp14766 +a(g100 +Vt1 +p14767 +tp14768 +a(g6 +V +tp14769 +a(g258 +V'VECTOR +p14770 +tp14771 +a(g212 +V) +tp14772 +a(g6 +V +tp14773 +a(g100 +Vt2 +p14774 +tp14775 +a(g212 +V) +tp14776 +a(g6 +V\u000a +p14777 +tp14778 +a(g212 +V( +tp14779 +a(g212 +V( +tp14780 +a(g84 +Veq +p14781 +tp14782 +a(g6 +V +tp14783 +a(g100 +Vt2 +p14784 +tp14785 +a(g6 +V +tp14786 +a(g258 +V'VECTOR +p14787 +tp14788 +a(g212 +V) +tp14789 +a(g6 +V +tp14790 +a(g100 +Vt1 +p14791 +tp14792 +a(g212 +V) +tp14793 +a(g6 +V\u000a +p14794 +tp14795 +a(g212 +V( +tp14796 +a(g212 +V( +tp14797 +a(g84 +Vor +p14798 +tp14799 +a(g6 +V +tp14800 +a(g212 +V( +tp14801 +a(g84 +Veql +p14802 +tp14803 +a(g6 +V +tp14804 +a(g100 +Vt1 +p14805 +tp14806 +a(g6 +V +tp14807 +a(g328 +V0 +tp14808 +a(g212 +V) +tp14809 +a(g6 +V +tp14810 +a(g212 +V( +tp14811 +a(g84 +Veql +p14812 +tp14813 +a(g6 +V +tp14814 +a(g100 +Vt2 +p14815 +tp14816 +a(g6 +V +tp14817 +a(g328 +V0 +tp14818 +a(g212 +V) +tp14819 +a(g212 +V) +tp14820 +a(g6 +V +tp14821 +a(g258 +V'0 +p14822 +tp14823 +a(g212 +V) +tp14824 +a(g6 +V\u000a +p14825 +tp14826 +a(g212 +V( +tp14827 +a(g212 +V( +tp14828 +a(g84 +Vor +p14829 +tp14830 +a(g6 +V +tp14831 +a(g212 +V( +tp14832 +a(g84 +Veq +p14833 +tp14834 +a(g6 +V +tp14835 +a(g100 +Vt1 +p14836 +tp14837 +a(g6 +V +tp14838 +a(g258 +V'STRING +p14839 +tp14840 +a(g212 +V) +tp14841 +a(g6 +V +tp14842 +a(g212 +V( +tp14843 +a(g84 +Veq +p14844 +tp14845 +a(g6 +V +tp14846 +a(g100 +Vt2 +p14847 +tp14848 +a(g6 +V +tp14849 +a(g258 +V'STRING +p14850 +tp14851 +a(g212 +V) +tp14852 +a(g212 +V) +tp14853 +a(g6 +V\u000a +p14854 +tp14855 +a(g31 +V; intersection of STRING and an integer-vector type +p14856 +tp14857 +a(g6 +V\u000a +p14858 +tp14859 +a(g258 +V'0 +p14860 +tp14861 +a(g212 +V) +tp14862 +a(g6 +V\u000a +p14863 +tp14864 +a(g212 +V( +tp14865 +a(g60 +Vt +tp14866 +a(g6 +V +tp14867 +a(g212 +V( +tp14868 +a(g84 +Vmin +p14869 +tp14870 +a(g6 +V +tp14871 +a(g100 +Vt1 +p14872 +tp14873 +a(g6 +V +tp14874 +a(g100 +Vt2 +p14875 +tp14876 +a(g212 +V) +tp14877 +a(g212 +V) +tp14878 +a(g212 +V) +tp14879 +a(g212 +V) +tp14880 +a(g6 +V\u000a\u000a +p14881 +tp14882 +a(g31 +V;; ============================================================================ +p14883 +tp14884 +a(g6 +V\u000a\u000a +p14885 +tp14886 +a(g212 +V( +tp14887 +a(g84 +Vdefun +p14888 +tp14889 +a(g6 +V +tp14890 +a(g100 +Vtype-expand +p14891 +tp14892 +a(g6 +V +tp14893 +a(g212 +V( +tp14894 +a(g100 +Vtypespec +p14895 +tp14896 +a(g6 +V +tp14897 +a(g138 +V&optional +p14898 +tp14899 +a(g6 +V +tp14900 +a(g100 +Vonce-p +p14901 +tp14902 +a(g212 +V) +tp14903 +a(g6 +V\u000a +p14904 +tp14905 +a(g212 +V( +tp14906 +a(g84 +Vmultiple-value-bind +p14907 +tp14908 +a(g6 +V +tp14909 +a(g212 +V( +tp14910 +a(g100 +Vexpanded +p14911 +tp14912 +a(g6 +V +tp14913 +a(g100 +Vuser-defined-p +p14914 +tp14915 +a(g212 +V) +tp14916 +a(g6 +V\u000a +p14917 +tp14918 +a(g212 +V( +tp14919 +a(g100 +Vexpand-deftype +p14920 +tp14921 +a(g6 +V +tp14922 +a(g100 +Vtypespec +p14923 +tp14924 +a(g6 +V +tp14925 +a(g100 +Vonce-p +p14926 +tp14927 +a(g212 +V) +tp14928 +a(g6 +V\u000a +p14929 +tp14930 +a(g212 +V( +tp14931 +a(g138 +Vif +p14932 +tp14933 +a(g6 +V +tp14934 +a(g100 +Vuser-defined-p +p14935 +tp14936 +a(g6 +V +tp14937 +a(g212 +V( +tp14938 +a(g84 +Vvalues +p14939 +tp14940 +a(g6 +V +tp14941 +a(g100 +Vexpanded +p14942 +tp14943 +a(g6 +V +tp14944 +a(g100 +Vuser-defined-p +p14945 +tp14946 +a(g212 +V) +tp14947 +a(g6 +V\u000a +p14948 +tp14949 +a(g212 +V( +tp14950 +a(g84 +Vcond +p14951 +tp14952 +a(g6 +V +tp14953 +a(g212 +V( +tp14954 +a(g212 +V( +tp14955 +a(g84 +Vsymbolp +p14956 +tp14957 +a(g6 +V +tp14958 +a(g100 +Vtypespec +p14959 +tp14960 +a(g212 +V) +tp14961 +a(g6 +V\u000a +p14962 +tp14963 +a(g212 +V( +tp14964 +a(g84 +Vcond +p14965 +tp14966 +a(g6 +V +tp14967 +a(g212 +V( +tp14968 +a(g212 +V( +tp14969 +a(g84 +Vor +p14970 +tp14971 +a(g6 +V +tp14972 +a(g212 +V( +tp14973 +a(g84 +Vget +p14974 +tp14975 +a(g6 +V +tp14976 +a(g100 +Vtypespec +p14977 +tp14978 +a(g6 +V +tp14979 +a(g258 +V'TYPE-SYMBOL +p14980 +tp14981 +a(g212 +V) +tp14982 +a(g6 +V +tp14983 +a(g212 +V( +tp14984 +a(g84 +Vget +p14985 +tp14986 +a(g6 +V +tp14987 +a(g100 +Vtypespec +p14988 +tp14989 +a(g6 +V +tp14990 +a(g258 +V'TYPE-LIST +p14991 +tp14992 +a(g212 +V) +tp14993 +a(g212 +V) +tp14994 +a(g6 +V\u000a +p14995 +tp14996 +a(g212 +V( +tp14997 +a(g84 +Vvalues +p14998 +tp14999 +a(g6 +V +tp15000 +a(g100 +Vtypespec +p15001 +tp15002 +a(g6 +V +tp15003 +a(g60 +Vnil +p15004 +tp15005 +a(g212 +V) +tp15006 +a(g212 +V) +tp15007 +a(g6 +V\u000a +p15008 +tp15009 +a(g212 +V( +tp15010 +a(g212 +V( +tp15011 +a(g84 +Vor +p15012 +tp15013 +a(g6 +V +tp15014 +a(g212 +V( +tp15015 +a(g84 +Vget +p15016 +tp15017 +a(g6 +V +tp15018 +a(g100 +Vtypespec +p15019 +tp15020 +a(g6 +V +tp15021 +a(g258 +V'DEFSTRUCT-DESCRIPTION +p15022 +tp15023 +a(g212 +V) +tp15024 +a(g6 +V\u000a +p15025 +tp15026 +a(g212 +V( +tp15027 +a(g100 +Vclos-class +p15028 +tp15029 +a(g6 +V +tp15030 +a(g100 +Vtypespec +p15031 +tp15032 +a(g212 +V) +tp15033 +a(g212 +V) +tp15034 +a(g6 +V\u000a +p15035 +tp15036 +a(g212 +V( +tp15037 +a(g84 +Vvalues +p15038 +tp15039 +a(g6 +V +tp15040 +a(g100 +Vtypespec +p15041 +tp15042 +a(g6 +V +tp15043 +a(g60 +Vnil +p15044 +tp15045 +a(g212 +V) +tp15046 +a(g212 +V) +tp15047 +a(g6 +V\u000a +p15048 +tp15049 +a(g212 +V( +tp15050 +a(g60 +Vt +tp15051 +a(g6 +V +tp15052 +a(g212 +V( +tp15053 +a(g100 +Vtypespec-error +p15054 +tp15055 +a(g6 +V +tp15056 +a(g258 +V'type-expand +p15057 +tp15058 +a(g6 +V +tp15059 +a(g100 +Vtypespec +p15060 +tp15061 +a(g212 +V) +tp15062 +a(g212 +V) +tp15063 +a(g212 +V) +tp15064 +a(g212 +V) +tp15065 +a(g6 +V\u000a +p15066 +tp15067 +a(g212 +V( +tp15068 +a(g212 +V( +tp15069 +a(g84 +Vand +p15070 +tp15071 +a(g6 +V +tp15072 +a(g212 +V( +tp15073 +a(g84 +Vconsp +p15074 +tp15075 +a(g6 +V +tp15076 +a(g100 +Vtypespec +p15077 +tp15078 +a(g212 +V) +tp15079 +a(g6 +V +tp15080 +a(g212 +V( +tp15081 +a(g84 +Vsymbolp +p15082 +tp15083 +a(g6 +V +tp15084 +a(g212 +V( +tp15085 +a(g84 +Vfirst +p15086 +tp15087 +a(g6 +V +tp15088 +a(g100 +Vtypespec +p15089 +tp15090 +a(g212 +V) +tp15091 +a(g212 +V) +tp15092 +a(g212 +V) +tp15093 +a(g6 +V\u000a +p15094 +tp15095 +a(g212 +V( +tp15096 +a(g84 +Vcase +p15097 +tp15098 +a(g6 +V +tp15099 +a(g212 +V( +tp15100 +a(g84 +Vfirst +p15101 +tp15102 +a(g6 +V +tp15103 +a(g100 +Vtypespec +p15104 +tp15105 +a(g212 +V) +tp15106 +a(g6 +V\u000a +p15107 +tp15108 +a(g212 +V( +tp15109 +a(g212 +V( +tp15110 +a(g100 +VSATISFIES +p15111 +tp15112 +a(g6 +V +tp15113 +a(g100 +VMEMBER +p15114 +tp15115 +a(g6 +V +tp15116 +a(g100 +VEQL +p15117 +tp15118 +a(g6 +V +tp15119 +a(g100 +VNOT +p15120 +tp15121 +a(g6 +V +tp15122 +a(g100 +VAND +p15123 +tp15124 +a(g6 +V +tp15125 +a(g100 +VOR +p15126 +tp15127 +a(g212 +V) +tp15128 +a(g6 +V +tp15129 +a(g212 +V( +tp15130 +a(g84 +Vvalues +p15131 +tp15132 +a(g6 +V +tp15133 +a(g100 +Vtypespec +p15134 +tp15135 +a(g6 +V +tp15136 +a(g60 +Vnil +p15137 +tp15138 +a(g212 +V) +tp15139 +a(g212 +V) +tp15140 +a(g6 +V\u000a +p15141 +tp15142 +a(g212 +V( +tp15143 +a(g60 +Vt +tp15144 +a(g6 +V +tp15145 +a(g212 +V( +tp15146 +a(g84 +Vcond +p15147 +tp15148 +a(g6 +V +tp15149 +a(g212 +V( +tp15150 +a(g212 +V( +tp15151 +a(g84 +Vget +p15152 +tp15153 +a(g6 +V +tp15154 +a(g212 +V( +tp15155 +a(g84 +Vfirst +p15156 +tp15157 +a(g6 +V +tp15158 +a(g100 +Vtypespec +p15159 +tp15160 +a(g212 +V) +tp15161 +a(g6 +V +tp15162 +a(g258 +V'TYPE-LIST +p15163 +tp15164 +a(g212 +V) +tp15165 +a(g6 +V\u000a +p15166 +tp15167 +a(g212 +V( +tp15168 +a(g84 +Vvalues +p15169 +tp15170 +a(g6 +V +tp15171 +a(g100 +Vtypespec +p15172 +tp15173 +a(g6 +V +tp15174 +a(g60 +Vnil +p15175 +tp15176 +a(g212 +V) +tp15177 +a(g212 +V) +tp15178 +a(g6 +V\u000a +p15179 +tp15180 +a(g212 +V( +tp15181 +a(g60 +Vt +tp15182 +a(g6 +V +tp15183 +a(g212 +V( +tp15184 +a(g100 +Vtypespec-error +p15185 +tp15186 +a(g6 +V +tp15187 +a(g258 +V'type-expand +p15188 +tp15189 +a(g6 +V +tp15190 +a(g100 +Vtypespec +p15191 +tp15192 +a(g212 +V) +tp15193 +a(g212 +V) +tp15194 +a(g212 +V) +tp15195 +a(g212 +V) +tp15196 +a(g212 +V) +tp15197 +a(g212 +V) +tp15198 +a(g6 +V\u000a +p15199 +tp15200 +a(g212 +V( +tp15201 +a(g212 +V( +tp15202 +a(g100 +Vclos::defined-class-p +p15203 +tp15204 +a(g6 +V +tp15205 +a(g100 +Vtypespec +p15206 +tp15207 +a(g212 +V) +tp15208 +a(g6 +V +tp15209 +a(g212 +V( +tp15210 +a(g84 +Vvalues +p15211 +tp15212 +a(g6 +V +tp15213 +a(g100 +Vtypespec +p15214 +tp15215 +a(g6 +V +tp15216 +a(g60 +Vnil +p15217 +tp15218 +a(g212 +V) +tp15219 +a(g212 +V) +tp15220 +a(g6 +V\u000a +p15221 +tp15222 +a(g212 +V( +tp15223 +a(g60 +Vt +tp15224 +a(g6 +V +tp15225 +a(g212 +V( +tp15226 +a(g100 +Vtypespec-error +p15227 +tp15228 +a(g6 +V +tp15229 +a(g258 +V'type-expand +p15230 +tp15231 +a(g6 +V +tp15232 +a(g100 +Vtypespec +p15233 +tp15234 +a(g212 +V) +tp15235 +a(g212 +V) +tp15236 +a(g212 +V) +tp15237 +a(g212 +V) +tp15238 +a(g212 +V) +tp15239 +a(g212 +V) +tp15240 +a(g6 +V\u000a\u000a +p15241 +tp15242 +a(g31 +V;; ============================================================================ +p15243 +tp15244 +a(g6 +V\u000a\u000a +p15245 +tp15246 +a(g212 +V( +tp15247 +a(g84 +Vunless +p15248 +tp15249 +a(g6 +V +tp15250 +a(g212 +V( +tp15251 +a(g100 +Vclos::funcallable-instance-p +p15252 +tp15253 +a(g6 +V +tp15254 +a(g48 +V#' +p15255 +tp15256 +a(g100 +Vclos::class-name +p15257 +tp15258 +a(g212 +V) +tp15259 +a(g6 +V\u000a +p15260 +tp15261 +a(g212 +V( +tp15262 +a(g84 +Vfmakunbound +p15263 +tp15264 +a(g6 +V +tp15265 +a(g258 +V'clos::class-name +p15266 +tp15267 +a(g212 +V) +tp15268 +a(g212 +V) +tp15269 +a(g6 +V\u000a +tp15270 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/underscore.coffee b/tests/examplefiles/output/underscore.coffee new file mode 100644 index 0000000..3182548 --- /dev/null +++ b/tests/examplefiles/output/underscore.coffee @@ -0,0 +1,23221 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Text' +p5 +ttRp6 +(dp7 +S'subtypes' +p8 +c__builtin__ +set +p9 +((lp10 +g2 +(g3 +g4 +(g5 +S'Symbol' +p11 +ttRp12 +(dp13 +g8 +g9 +((ltRp14 +sS'parent' +p15 +g6 +sbag2 +(g3 +g4 +(g5 +S'Whitespace' +p16 +ttRp17 +(dp18 +g8 +g9 +((ltRp19 +sg15 +g6 +sbatRp20 +sg11 +g12 +sg16 +g17 +sg15 +g2 +(g3 +g4 +(ttRp21 +(dp22 +S'Comment' +p23 +g2 +(g3 +g4 +(g23 +ttRp24 +(dp25 +g15 +g21 +sS'Preproc' +p26 +g2 +(g3 +g4 +(g23 +g26 +ttRp27 +(dp28 +g8 +g9 +((ltRp29 +sg15 +g24 +sbsS'Single' +p30 +g2 +(g3 +g4 +(g23 +g30 +ttRp31 +(dp32 +g8 +g9 +((ltRp33 +sg15 +g24 +sbsS'Multiline' +p34 +g2 +(g3 +g4 +(g23 +g34 +ttRp35 +(dp36 +g8 +g9 +((ltRp37 +sg15 +g24 +sbsg8 +g9 +((lp38 +g2 +(g3 +g4 +(g23 +S'Special' +p39 +ttRp40 +(dp41 +g8 +g9 +((ltRp42 +sg15 +g24 +sbag27 +ag31 +ag35 +atRp43 +sg39 +g40 +sbsS'Name' +p44 +g2 +(g3 +g4 +(g44 +ttRp45 +(dp46 +S'Function' +p47 +g2 +(g3 +g4 +(g44 +g47 +ttRp48 +(dp49 +g8 +g9 +((ltRp50 +sg15 +g45 +sbsS'Exception' +p51 +g2 +(g3 +g4 +(g44 +g51 +ttRp52 +(dp53 +g8 +g9 +((ltRp54 +sg15 +g45 +sbsS'Tag' +p55 +g2 +(g3 +g4 +(g44 +g55 +ttRp56 +(dp57 +g8 +g9 +((ltRp58 +sg15 +g45 +sbsS'Constant' +p59 +g2 +(g3 +g4 +(g44 +g59 +ttRp60 +(dp61 +g8 +g9 +((ltRp62 +sg15 +g45 +sbsg15 +g21 +sS'Pseudo' +p63 +g2 +(g3 +g4 +(g44 +g63 +ttRp64 +(dp65 +g8 +g9 +((ltRp66 +sg15 +g45 +sbsS'Attribute' +p67 +g2 +(g3 +g4 +(g44 +g67 +ttRp68 +(dp69 +g8 +g9 +((ltRp70 +sg15 +g45 +sbsS'Label' +p71 +g2 +(g3 +g4 +(g44 +g71 +ttRp72 +(dp73 +g8 +g9 +((ltRp74 +sg15 +g45 +sbsS'Blubb' +p75 +g2 +(g3 +g4 +(g44 +g75 +ttRp76 +(dp77 +g8 +g9 +((ltRp78 +sg15 +g45 +sbsS'Entity' +p79 +g2 +(g3 +g4 +(g44 +g79 +ttRp80 +(dp81 +g8 +g9 +((ltRp82 +sg15 +g45 +sbsS'Builtin' +p83 +g2 +(g3 +g4 +(g44 +g83 +ttRp84 +(dp85 +g8 +g9 +((lp86 +g2 +(g3 +g4 +(g44 +g83 +g63 +ttRp87 +(dp88 +g8 +g9 +((ltRp89 +sg15 +g84 +sbatRp90 +sg63 +g87 +sg15 +g45 +sbsS'Other' +p91 +g2 +(g3 +g4 +(g44 +g91 +ttRp92 +(dp93 +g8 +g9 +((ltRp94 +sg15 +g45 +sbsS'Identifier' +p95 +g2 +(g3 +g4 +(g44 +g95 +ttRp96 +(dp97 +g8 +g9 +((ltRp98 +sg15 +g45 +sbsS'Variable' +p99 +g2 +(g3 +g4 +(g44 +g99 +ttRp100 +(dp101 +g15 +g45 +sS'Global' +p102 +g2 +(g3 +g4 +(g44 +g99 +g102 +ttRp103 +(dp104 +g8 +g9 +((ltRp105 +sg15 +g100 +sbsS'Instance' +p106 +g2 +(g3 +g4 +(g44 +g99 +g106 +ttRp107 +(dp108 +g8 +g9 +((ltRp109 +sg15 +g100 +sbsS'Anonymous' +p110 +g2 +(g3 +g4 +(g44 +g99 +g110 +ttRp111 +(dp112 +g8 +g9 +((ltRp113 +sg15 +g100 +sbsg8 +g9 +((lp114 +g111 +ag107 +ag103 +ag2 +(g3 +g4 +(g44 +g99 +S'Class' +p115 +ttRp116 +(dp117 +g8 +g9 +((ltRp118 +sg15 +g100 +sbatRp119 +sg115 +g116 +sbsg8 +g9 +((lp120 +g2 +(g3 +g4 +(g44 +S'Decorator' +p121 +ttRp122 +(dp123 +g8 +g9 +((ltRp124 +sg15 +g45 +sbag68 +ag60 +ag64 +ag2 +(g3 +g4 +(g44 +S'Namespace' +p125 +ttRp126 +(dp127 +g8 +g9 +((ltRp128 +sg15 +g45 +sbag96 +ag84 +ag100 +ag92 +ag76 +ag80 +ag48 +ag2 +(g3 +g4 +(g44 +S'Property' +p129 +ttRp130 +(dp131 +g8 +g9 +((ltRp132 +sg15 +g45 +sbag72 +ag56 +ag52 +ag2 +(g3 +g4 +(g44 +g115 +ttRp133 +(dp134 +g8 +g9 +((ltRp135 +sg15 +g45 +sbatRp136 +sg129 +g130 +sg115 +g133 +sg121 +g122 +sg125 +g126 +sbsS'Keyword' +p137 +g2 +(g3 +g4 +(g137 +ttRp138 +(dp139 +S'Pervasive' +p140 +g2 +(g3 +g4 +(g137 +g140 +ttRp141 +(dp142 +g8 +g9 +((ltRp143 +sg15 +g138 +sbsg59 +g2 +(g3 +g4 +(g137 +g59 +ttRp144 +(dp145 +g8 +g9 +((ltRp146 +sg15 +g138 +sbsg15 +g21 +sg125 +g2 +(g3 +g4 +(g137 +g125 +ttRp147 +(dp148 +g8 +g9 +((ltRp149 +sg15 +g138 +sbsg63 +g2 +(g3 +g4 +(g137 +g63 +ttRp150 +(dp151 +g8 +g9 +((ltRp152 +sg15 +g138 +sbsS'Reserved' +p153 +g2 +(g3 +g4 +(g137 +g153 +ttRp154 +(dp155 +g8 +g9 +((ltRp156 +sg15 +g138 +sbsS'Declaration' +p157 +g2 +(g3 +g4 +(g137 +g157 +ttRp158 +(dp159 +g8 +g9 +((ltRp160 +sg15 +g138 +sbsg99 +g2 +(g3 +g4 +(g137 +g99 +ttRp161 +(dp162 +g8 +g9 +((ltRp163 +sg15 +g138 +sbsg8 +g9 +((lp164 +g144 +ag154 +ag2 +(g3 +g4 +(g137 +S'Type' +p165 +ttRp166 +(dp167 +g8 +g9 +((ltRp168 +sg15 +g138 +sbag141 +ag158 +ag161 +ag147 +ag150 +atRp169 +sg165 +g166 +sbsS'Generic' +p170 +g2 +(g3 +g4 +(g170 +ttRp171 +(dp172 +S'Prompt' +p173 +g2 +(g3 +g4 +(g170 +g173 +ttRp174 +(dp175 +g8 +g9 +((ltRp176 +sg15 +g171 +sbsg15 +g21 +sS'Deleted' +p177 +g2 +(g3 +g4 +(g170 +g177 +ttRp178 +(dp179 +g8 +g9 +((ltRp180 +sg15 +g171 +sbsS'Traceback' +p181 +g2 +(g3 +g4 +(g170 +g181 +ttRp182 +(dp183 +g8 +g9 +((ltRp184 +sg15 +g171 +sbsS'Emph' +p185 +g2 +(g3 +g4 +(g170 +g185 +ttRp186 +(dp187 +g8 +g9 +((ltRp188 +sg15 +g171 +sbsS'Output' +p189 +g2 +(g3 +g4 +(g170 +g189 +ttRp190 +(dp191 +g8 +g9 +((ltRp192 +sg15 +g171 +sbsS'Subheading' +p193 +g2 +(g3 +g4 +(g170 +g193 +ttRp194 +(dp195 +g8 +g9 +((ltRp196 +sg15 +g171 +sbsS'Error' +p197 +g2 +(g3 +g4 +(g170 +g197 +ttRp198 +(dp199 +g8 +g9 +((ltRp200 +sg15 +g171 +sbsg8 +g9 +((lp201 +g190 +ag186 +ag198 +ag194 +ag182 +ag178 +ag2 +(g3 +g4 +(g170 +S'Heading' +p202 +ttRp203 +(dp204 +g8 +g9 +((ltRp205 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Inserted' +p206 +ttRp207 +(dp208 +g8 +g9 +((ltRp209 +sg15 +g171 +sbag2 +(g3 +g4 +(g170 +S'Strong' +p210 +ttRp211 +(dp212 +g8 +g9 +((ltRp213 +sg15 +g171 +sbag174 +atRp214 +sg210 +g211 +sg206 +g207 +sg202 +g203 +sbsg5 +g6 +sS'Punctuation' +p215 +g2 +(g3 +g4 +(g215 +ttRp216 +(dp217 +g8 +g9 +((lp218 +g2 +(g3 +g4 +(g215 +S'Indicator' +p219 +ttRp220 +(dp221 +g8 +g9 +((ltRp222 +sg15 +g216 +sbatRp223 +sg219 +g220 +sg15 +g21 +sbsS'Token' +p224 +g21 +sS'Number' +p225 +g2 +(g3 +g4 +(S'Literal' +p226 +g225 +ttRp227 +(dp228 +S'Bin' +p229 +g2 +(g3 +g4 +(g226 +g225 +g229 +ttRp230 +(dp231 +g8 +g9 +((ltRp232 +sg15 +g227 +sbsS'Binary' +p233 +g2 +(g3 +g4 +(g226 +g225 +g233 +ttRp234 +(dp235 +g8 +g9 +((ltRp236 +sg15 +g227 +sbsg15 +g2 +(g3 +g4 +(g226 +ttRp237 +(dp238 +S'String' +p239 +g2 +(g3 +g4 +(g226 +g239 +ttRp240 +(dp241 +S'Regex' +p242 +g2 +(g3 +g4 +(g226 +g239 +g242 +ttRp243 +(dp244 +g8 +g9 +((ltRp245 +sg15 +g240 +sbsS'Interpol' +p246 +g2 +(g3 +g4 +(g226 +g239 +g246 +ttRp247 +(dp248 +g8 +g9 +((ltRp249 +sg15 +g240 +sbsS'Regexp' +p250 +g2 +(g3 +g4 +(g226 +g239 +g250 +ttRp251 +(dp252 +g8 +g9 +((ltRp253 +sg15 +g240 +sbsg15 +g237 +sS'Heredoc' +p254 +g2 +(g3 +g4 +(g226 +g239 +g254 +ttRp255 +(dp256 +g8 +g9 +((ltRp257 +sg15 +g240 +sbsS'Double' +p258 +g2 +(g3 +g4 +(g226 +g239 +g258 +ttRp259 +(dp260 +g8 +g9 +((ltRp261 +sg15 +g240 +sbsg11 +g2 +(g3 +g4 +(g226 +g239 +g11 +ttRp262 +(dp263 +g8 +g9 +((ltRp264 +sg15 +g240 +sbsS'Escape' +p265 +g2 +(g3 +g4 +(g226 +g239 +g265 +ttRp266 +(dp267 +g8 +g9 +((ltRp268 +sg15 +g240 +sbsS'Character' +p269 +g2 +(g3 +g4 +(g226 +g239 +g269 +ttRp270 +(dp271 +g8 +g9 +((ltRp272 +sg15 +g240 +sbsS'Interp' +p273 +g2 +(g3 +g4 +(g226 +g239 +g273 +ttRp274 +(dp275 +g8 +g9 +((ltRp276 +sg15 +g240 +sbsS'Backtick' +p277 +g2 +(g3 +g4 +(g226 +g239 +g277 +ttRp278 +(dp279 +g8 +g9 +((ltRp280 +sg15 +g240 +sbsS'Char' +p281 +g2 +(g3 +g4 +(g226 +g239 +g281 +ttRp282 +(dp283 +g8 +g9 +((ltRp284 +sg15 +g240 +sbsg30 +g2 +(g3 +g4 +(g226 +g239 +g30 +ttRp285 +(dp286 +g8 +g9 +((ltRp287 +sg15 +g240 +sbsg91 +g2 +(g3 +g4 +(g226 +g239 +g91 +ttRp288 +(dp289 +g8 +g9 +((ltRp290 +sg15 +g240 +sbsS'Doc' +p291 +g2 +(g3 +g4 +(g226 +g239 +g291 +ttRp292 +(dp293 +g8 +g9 +((ltRp294 +sg15 +g240 +sbsg8 +g9 +((lp295 +g288 +ag2 +(g3 +g4 +(g226 +g239 +S'Atom' +p296 +ttRp297 +(dp298 +g8 +g9 +((ltRp299 +sg15 +g240 +sbag259 +ag282 +ag274 +ag292 +ag255 +ag278 +ag247 +ag262 +ag251 +ag243 +ag285 +ag270 +ag266 +atRp300 +sg296 +g297 +sbsg15 +g21 +sg225 +g227 +sS'Scalar' +p301 +g2 +(g3 +g4 +(g226 +g301 +ttRp302 +(dp303 +g8 +g9 +((lp304 +g2 +(g3 +g4 +(g226 +g301 +S'Plain' +p305 +ttRp306 +(dp307 +g8 +g9 +((ltRp308 +sg15 +g302 +sbatRp309 +sg15 +g237 +sg305 +g306 +sbsg91 +g2 +(g3 +g4 +(g226 +g91 +ttRp310 +(dp311 +g8 +g9 +((ltRp312 +sg15 +g237 +sbsS'Date' +p313 +g2 +(g3 +g4 +(g226 +g313 +ttRp314 +(dp315 +g8 +g9 +((ltRp316 +sg15 +g237 +sbsg8 +g9 +((lp317 +g314 +ag240 +ag310 +ag227 +ag302 +atRp318 +sbsS'Decimal' +p319 +g2 +(g3 +g4 +(g226 +g225 +g319 +ttRp320 +(dp321 +g8 +g9 +((ltRp322 +sg15 +g227 +sbsS'Float' +p323 +g2 +(g3 +g4 +(g226 +g225 +g323 +ttRp324 +(dp325 +g8 +g9 +((ltRp326 +sg15 +g227 +sbsS'Hex' +p327 +g2 +(g3 +g4 +(g226 +g225 +g327 +ttRp328 +(dp329 +g8 +g9 +((ltRp330 +sg15 +g227 +sbsS'Integer' +p331 +g2 +(g3 +g4 +(g226 +g225 +g331 +ttRp332 +(dp333 +g8 +g9 +((lp334 +g2 +(g3 +g4 +(g226 +g225 +g331 +S'Long' +p335 +ttRp336 +(dp337 +g8 +g9 +((ltRp338 +sg15 +g332 +sbatRp339 +sg335 +g336 +sg15 +g227 +sbsS'Octal' +p340 +g2 +(g3 +g4 +(g226 +g225 +g340 +ttRp341 +(dp342 +g8 +g9 +((ltRp343 +sg15 +g227 +sbsg8 +g9 +((lp344 +g230 +ag234 +ag341 +ag320 +ag2 +(g3 +g4 +(g226 +g225 +S'Oct' +p345 +ttRp346 +(dp347 +g8 +g9 +((ltRp348 +sg15 +g227 +sbag332 +ag324 +ag328 +atRp349 +sg345 +g346 +sbsg226 +g237 +sg91 +g2 +(g3 +g4 +(g91 +ttRp350 +(dp351 +g8 +g9 +((ltRp352 +sg15 +g21 +sbsg197 +g2 +(g3 +g4 +(g197 +ttRp353 +(dp354 +g8 +g9 +((ltRp355 +sg15 +g21 +sbsS'Operator' +p356 +g2 +(g3 +g4 +(g356 +ttRp357 +(dp358 +g8 +g9 +((lp359 +g2 +(g3 +g4 +(g356 +S'Word' +p360 +ttRp361 +(dp362 +g8 +g9 +((ltRp363 +sg15 +g357 +sbatRp364 +sg360 +g361 +sg15 +g21 +sbsg8 +g9 +((lp365 +g24 +ag353 +ag171 +ag6 +ag45 +ag216 +ag138 +ag237 +ag357 +ag350 +atRp366 +sg239 +g240 +sbsbV +tp367 +a(g6 +V +p368 +tp369 +a(g31 +V# Underscore.coffee\u000a +p370 +tp371 +a(g6 +V +p372 +tp373 +a(g31 +V# (c) 2010 Jeremy Ashkenas, DocumentCloud Inc.\u000a +p374 +tp375 +a(g6 +V +p376 +tp377 +a(g31 +V# Underscore is freely distributable under the terms of the MIT license.\u000a +p378 +tp379 +a(g6 +V +p380 +tp381 +a(g31 +V# Portions of Underscore are inspired by or borrowed from Prototype.js,\u000a +p382 +tp383 +a(g6 +V +p384 +tp385 +a(g31 +V# Oliver Steele's Functional, and John Resig's Micro-Templating.\u000a +p386 +tp387 +a(g6 +V +p388 +tp389 +a(g31 +V# For all details and documentation:\u000a +p390 +tp391 +a(g6 +V +p392 +tp393 +a(g31 +V# http://documentcloud.github.com/underscore/\u000a +p394 +tp395 +a(g6 +V\u000a\u000a +p396 +tp397 +a(g31 +V# ------------------------- Baseline setup ---------------------------------\u000a +p398 +tp399 +a(g6 +V\u000a +p400 +tp401 +a(g31 +V# Establish the root object, "window" in the browser, or "global" on the server.\u000a +p402 +tp403 +a(g6 +V +p404 +tp405 +a(g6 +V +tp406 +a(g100 +Vroot: +p407 +tp408 +a(g6 +V +tp409 +a(g138 +Vthis +p410 +tp411 +a(g6 +V\u000a\u000a\u000a +p412 +tp413 +a(g31 +V# Save the previous value of the "_" variable.\u000a +p414 +tp415 +a(g6 +V +p416 +tp417 +a(g6 +V +tp418 +a(g100 +VpreviousUnderscore: +p419 +tp420 +a(g6 +V +tp421 +a(g92 +Vroot +p422 +tp423 +a(g6 +V +tp424 +a(g216 +V. +tp425 +a(g92 +V_ +tp426 +a(g6 +V\u000a\u000a\u000a +p427 +tp428 +a(g31 +V# If Underscore is called as a function, it returns a wrapped object that\u000a +p429 +tp430 +a(g6 +V +p431 +tp432 +a(g31 +V# can be used OO-style. This wrapper holds altered versions of all the\u000a +p433 +tp434 +a(g6 +V +p435 +tp436 +a(g31 +V# underscore functions. Wrapped objects may be chained.\u000a +p437 +tp438 +a(g6 +V +p439 +tp440 +a(g6 +V +tp441 +a(g100 +Vwrapper: +p442 +tp443 +a(g6 +V +tp444 +a(g216 +V( +tp445 +a(g6 +V +tp446 +a(g92 +Vobj +p447 +tp448 +a(g6 +V +tp449 +a(g216 +V) +tp450 +a(g6 +V +tp451 +a(g357 +V- +tp452 +a(g6 +V +tp453 +a(g357 +V> +tp454 +a(g6 +V\u000a +p455 +tp456 +a(g6 +V +tp457 +a(g138 +Vthis +p458 +tp459 +a(g6 +V +tp460 +a(g216 +V. +tp461 +a(g100 +V_wrapped: +p462 +tp463 +a(g6 +V +tp464 +a(g92 +Vobj +p465 +tp466 +a(g6 +V\u000a +p467 +tp468 +a(g6 +V +tp469 +a(g138 +Vthis +p470 +tp471 +a(g6 +V\u000a\u000a\u000a +p472 +tp473 +a(g31 +V# Establish the object that gets thrown to break out of a loop iteration.\u000a +p474 +tp475 +a(g6 +V +p476 +tp477 +a(g6 +V +tp478 +a(g100 +Vbreaker: +p479 +tp480 +a(g6 +V +tp481 +a(g138 +Vif +p482 +tp483 +a(g6 +V +tp484 +a(g6 +V +tp485 +a(g138 +Vtypeof +p486 +tp487 +a(g6 +V +tp488 +a(g216 +V( +tp489 +a(g6 +V +tp490 +a(g92 +VStopIteration +p491 +tp492 +a(g6 +V +tp493 +a(g216 +V) +tp494 +a(g6 +V +tp495 +a(g357 +Vis +p496 +tp497 +a(g6 +V +tp498 +a(g6 +V +tp499 +a(g285 +V'undefined' +p500 +tp501 +a(g6 +V +tp502 +a(g138 +Vthen +p503 +tp504 +a(g6 +V +tp505 +a(g6 +V +tp506 +a(g285 +V'__break__' +p507 +tp508 +a(g6 +V +tp509 +a(g138 +Velse +p510 +tp511 +a(g6 +V +tp512 +a(g6 +V +tp513 +a(g92 +VStopIteration +p514 +tp515 +a(g6 +V\u000a\u000a\u000a +p516 +tp517 +a(g31 +V# Create a safe reference to the Underscore object forreference below.\u000a +p518 +tp519 +a(g6 +V +p520 +tp521 +a(g6 +V +tp522 +a(g100 +V_: +p523 +tp524 +a(g6 +V +tp525 +a(g100 +Vroot._: +p526 +tp527 +a(g6 +V +tp528 +a(g216 +V( +tp529 +a(g6 +V +tp530 +a(g92 +Vobj +p531 +tp532 +a(g6 +V +tp533 +a(g216 +V) +tp534 +a(g6 +V +tp535 +a(g357 +V- +tp536 +a(g6 +V +tp537 +a(g357 +V> +tp538 +a(g6 +V +tp539 +a(g6 +V +tp540 +a(g138 +Vnew +p541 +tp542 +a(g6 +V +tp543 +a(g6 +V +tp544 +a(g92 +Vwrapper +p545 +tp546 +a(g6 +V +tp547 +a(g216 +V( +tp548 +a(g6 +V +tp549 +a(g92 +Vobj +p550 +tp551 +a(g6 +V +tp552 +a(g216 +V) +tp553 +a(g6 +V\u000a\u000a\u000a +p554 +tp555 +a(g31 +V# Export the Underscore object for CommonJS.\u000a +p556 +tp557 +a(g6 +V +p558 +tp559 +a(g138 +Vif +p560 +tp561 +a(g6 +V +tp562 +a(g6 +V +tp563 +a(g138 +Vtypeof +p564 +tp565 +a(g6 +V +tp566 +a(g216 +V( +tp567 +a(g6 +V +tp568 +a(g92 +Vexports +p569 +tp570 +a(g6 +V +tp571 +a(g216 +V) +tp572 +a(g6 +V +tp573 +a(g357 +V!= +p574 +tp575 +a(g6 +V +tp576 +a(g6 +V +tp577 +a(g285 +V'undefined' +p578 +tp579 +a(g6 +V +tp580 +a(g138 +Vthen +p581 +tp582 +a(g6 +V +tp583 +a(g6 +V +tp584 +a(g100 +Vexports._: +p585 +tp586 +a(g6 +V +tp587 +a(g92 +V_ +tp588 +a(g6 +V\u000a\u000a\u000a +p589 +tp590 +a(g31 +V# Create quick reference variables for speed access to core prototypes.\u000a +p591 +tp592 +a(g6 +V +p593 +tp594 +a(g6 +V +tp595 +a(g100 +Vslice: +p596 +tp597 +a(g6 +V +p598 +tp599 +a(g6 +V +tp600 +a(g84 +VArray +p601 +tp602 +a(g357 +V: +tp603 +a(g6 +V +tp604 +a(g357 +V: +tp605 +a(g6 +V +tp606 +a(g92 +Vslice +p607 +tp608 +a(g6 +V\u000a +p609 +tp610 +a(g6 +V +tp611 +a(g100 +Vunshift: +p612 +tp613 +a(g6 +V +p614 +tp615 +a(g6 +V +tp616 +a(g84 +VArray +p617 +tp618 +a(g357 +V: +tp619 +a(g6 +V +tp620 +a(g357 +V: +tp621 +a(g6 +V +tp622 +a(g92 +Vunshift +p623 +tp624 +a(g6 +V\u000a +p625 +tp626 +a(g6 +V +tp627 +a(g100 +VtoString: +p628 +tp629 +a(g6 +V +p630 +tp631 +a(g6 +V +tp632 +a(g84 +VObject +p633 +tp634 +a(g357 +V: +tp635 +a(g6 +V +tp636 +a(g357 +V: +tp637 +a(g6 +V +tp638 +a(g92 +VtoString +p639 +tp640 +a(g6 +V\u000a +p641 +tp642 +a(g6 +V +tp643 +a(g100 +VhasOwnProperty: +p644 +tp645 +a(g6 +V +p646 +tp647 +a(g6 +V +tp648 +a(g84 +VObject +p649 +tp650 +a(g357 +V: +tp651 +a(g6 +V +tp652 +a(g357 +V: +tp653 +a(g6 +V +tp654 +a(g92 +VhasOwnProperty +p655 +tp656 +a(g6 +V\u000a +p657 +tp658 +a(g6 +V +tp659 +a(g100 +VpropertyIsEnumerable: +p660 +tp661 +a(g6 +V +tp662 +a(g84 +VObject +p663 +tp664 +a(g357 +V: +tp665 +a(g6 +V +tp666 +a(g357 +V: +tp667 +a(g6 +V +tp668 +a(g92 +VpropertyIsEnumerable +p669 +tp670 +a(g6 +V\u000a\u000a\u000a +p671 +tp672 +a(g31 +V# Current version.\u000a +p673 +tp674 +a(g6 +V +p675 +tp676 +a(g6 +V +tp677 +a(g100 +V_.VERSION: +p678 +tp679 +a(g6 +V +tp680 +a(g285 +V'0.5.7' +p681 +tp682 +a(g6 +V\u000a\u000a\u000a +p683 +tp684 +a(g31 +V# ------------------------ Collection Functions: ---------------------------\u000a +p685 +tp686 +a(g6 +V\u000a +p687 +tp688 +a(g31 +V# The cornerstone, an each implementation.\u000a +p689 +tp690 +a(g6 +V +p691 +tp692 +a(g31 +V# Handles objects implementing forEach, arrays, and raw objects.\u000a +p693 +tp694 +a(g6 +V +p695 +tp696 +a(g100 +V_.each: +p697 +tp698 +a(g6 +V +tp699 +a(g216 +V( +tp700 +a(g6 +V +tp701 +a(g92 +Vobj +p702 +tp703 +a(g6 +V +tp704 +a(g216 +V, +tp705 +a(g6 +V +tp706 +a(g6 +V +tp707 +a(g92 +Viterator +p708 +tp709 +a(g6 +V +tp710 +a(g216 +V, +tp711 +a(g6 +V +tp712 +a(g6 +V +tp713 +a(g92 +Vcontext +p714 +tp715 +a(g6 +V +tp716 +a(g216 +V) +tp717 +a(g6 +V +tp718 +a(g357 +V- +tp719 +a(g6 +V +tp720 +a(g357 +V> +tp721 +a(g6 +V\u000a +p722 +tp723 +a(g6 +V +tp724 +a(g100 +Vindex: +p725 +tp726 +a(g6 +V +tp727 +a(g332 +V0 +tp728 +a(g6 +V\u000a +p729 +tp730 +a(g138 +Vtry +p731 +tp732 +a(g6 +V\u000a +p733 +tp734 +a(g6 +V +tp735 +a(g138 +Vreturn +p736 +tp737 +a(g6 +V +tp738 +a(g6 +V +tp739 +a(g92 +Vobj +p740 +tp741 +a(g6 +V +tp742 +a(g216 +V. +tp743 +a(g92 +VforEach +p744 +tp745 +a(g6 +V +tp746 +a(g216 +V( +tp747 +a(g6 +V +tp748 +a(g92 +Viterator +p749 +tp750 +a(g6 +V +tp751 +a(g216 +V, +tp752 +a(g6 +V +tp753 +a(g6 +V +tp754 +a(g92 +Vcontext +p755 +tp756 +a(g6 +V +tp757 +a(g216 +V) +tp758 +a(g6 +V +tp759 +a(g138 +Vif +p760 +tp761 +a(g6 +V +tp762 +a(g6 +V +tp763 +a(g92 +Vobj +p764 +tp765 +a(g6 +V +tp766 +a(g216 +V. +tp767 +a(g92 +VforEach +p768 +tp769 +a(g6 +V\u000a +p770 +tp771 +a(g6 +V +tp772 +a(g138 +Vif +p773 +tp774 +a(g6 +V +tp775 +a(g6 +V +tp776 +a(g92 +V_ +tp777 +a(g6 +V +tp778 +a(g216 +V. +tp779 +a(g92 +VisArray +p780 +tp781 +a(g6 +V +tp782 +a(g216 +V( +tp783 +a(g6 +V +tp784 +a(g92 +Vobj +p785 +tp786 +a(g6 +V +tp787 +a(g216 +V) +tp788 +a(g6 +V +tp789 +a(g357 +Vor +p790 +tp791 +a(g6 +V +tp792 +a(g6 +V +tp793 +a(g92 +V_ +tp794 +a(g6 +V +tp795 +a(g216 +V. +tp796 +a(g92 +VisArguments +p797 +tp798 +a(g6 +V +tp799 +a(g216 +V( +tp800 +a(g6 +V +tp801 +a(g92 +Vobj +p802 +tp803 +a(g6 +V +tp804 +a(g216 +V) +tp805 +a(g6 +V\u000a +p806 +tp807 +a(g138 +Vreturn +p808 +tp809 +a(g6 +V +tp810 +a(g6 +V +tp811 +a(g92 +Viterator +p812 +tp813 +a(g6 +V +tp814 +a(g216 +V. +tp815 +a(g92 +Vcall +p816 +tp817 +a(g6 +V +tp818 +a(g216 +V( +tp819 +a(g6 +V +tp820 +a(g92 +Vcontext +p821 +tp822 +a(g6 +V +tp823 +a(g216 +V, +tp824 +a(g6 +V +tp825 +a(g6 +V +tp826 +a(g92 +Vobj +p827 +tp828 +a(g6 +V +tp829 +a(g216 +V[ +tp830 +a(g6 +V +tp831 +a(g92 +Vi +tp832 +a(g6 +V +tp833 +a(g216 +V] +tp834 +a(g216 +V, +tp835 +a(g6 +V +tp836 +a(g6 +V +tp837 +a(g92 +Vi +tp838 +a(g6 +V +tp839 +a(g216 +V, +tp840 +a(g6 +V +tp841 +a(g6 +V +tp842 +a(g92 +Vobj +p843 +tp844 +a(g6 +V +tp845 +a(g216 +V) +tp846 +a(g6 +V +tp847 +a(g138 +Vfor +p848 +tp849 +a(g6 +V +tp850 +a(g6 +V +tp851 +a(g92 +Vi +tp852 +a(g6 +V +tp853 +a(g6 +V +tp854 +a(g138 +Vin +p855 +tp856 +a(g6 +V +tp857 +a(g6 +V +tp858 +a(g216 +V[ +tp859 +a(g6 +V +tp860 +a(g332 +V0 +tp861 +a(g216 +V. +tp862 +a(g216 +V. +tp863 +a(g216 +V. +tp864 +a(g92 +Vobj +p865 +tp866 +a(g6 +V +tp867 +a(g216 +V. +tp868 +a(g92 +Vlength +p869 +tp870 +a(g6 +V +tp871 +a(g216 +V] +tp872 +a(g6 +V\u000a +p873 +tp874 +a(g92 +Viterator +p875 +tp876 +a(g6 +V +tp877 +a(g216 +V. +tp878 +a(g92 +Vcall +p879 +tp880 +a(g6 +V +tp881 +a(g216 +V( +tp882 +a(g6 +V +tp883 +a(g92 +Vcontext +p884 +tp885 +a(g6 +V +tp886 +a(g216 +V, +tp887 +a(g6 +V +tp888 +a(g6 +V +tp889 +a(g92 +Vval +p890 +tp891 +a(g6 +V +tp892 +a(g216 +V, +tp893 +a(g6 +V +tp894 +a(g6 +V +tp895 +a(g92 +Vkey +p896 +tp897 +a(g6 +V +tp898 +a(g216 +V, +tp899 +a(g6 +V +tp900 +a(g6 +V +tp901 +a(g92 +Vobj +p902 +tp903 +a(g6 +V +tp904 +a(g216 +V) +tp905 +a(g6 +V +tp906 +a(g138 +Vfor +p907 +tp908 +a(g6 +V +tp909 +a(g6 +V +tp910 +a(g92 +Vkey +p911 +tp912 +a(g6 +V +tp913 +a(g216 +V, +tp914 +a(g6 +V +tp915 +a(g6 +V +tp916 +a(g92 +Vval +p917 +tp918 +a(g6 +V +tp919 +a(g6 +V +tp920 +a(g138 +Vof +p921 +tp922 +a(g6 +V +tp923 +a(g6 +V +tp924 +a(g92 +Vobj +p925 +tp926 +a(g6 +V\u000a +p927 +tp928 +a(g6 +V +tp929 +a(g138 +Vcatch +p930 +tp931 +a(g6 +V +tp932 +a(g6 +V +tp933 +a(g92 +Ve +tp934 +a(g6 +V\u000a +p935 +tp936 +a(g6 +V +tp937 +a(g138 +Vthrow +p938 +tp939 +a(g6 +V +tp940 +a(g6 +V +tp941 +a(g92 +Ve +tp942 +a(g6 +V +tp943 +a(g6 +V +tp944 +a(g138 +Vif +p945 +tp946 +a(g6 +V +tp947 +a(g6 +V +tp948 +a(g92 +Ve +tp949 +a(g6 +V +tp950 +a(g6 +V +tp951 +a(g357 +Visnt +p952 +tp953 +a(g6 +V +tp954 +a(g6 +V +tp955 +a(g92 +Vbreaker +p956 +tp957 +a(g6 +V\u000a +p958 +tp959 +a(g6 +V +tp960 +a(g92 +Vobj +p961 +tp962 +a(g6 +V\u000a\u000a\u000a +p963 +tp964 +a(g31 +V# Return the results of applying the iterator to each element. Use JavaScript\u000a +p965 +tp966 +a(g6 +V +p967 +tp968 +a(g31 +V# 1.6's version of map, if possible.\u000a +p969 +tp970 +a(g6 +V +p971 +tp972 +a(g6 +V +tp973 +a(g100 +V_.map: +p974 +tp975 +a(g6 +V +tp976 +a(g216 +V( +tp977 +a(g6 +V +tp978 +a(g92 +Vobj +p979 +tp980 +a(g6 +V +tp981 +a(g216 +V, +tp982 +a(g6 +V +tp983 +a(g6 +V +tp984 +a(g92 +Viterator +p985 +tp986 +a(g6 +V +tp987 +a(g216 +V, +tp988 +a(g6 +V +tp989 +a(g6 +V +tp990 +a(g92 +Vcontext +p991 +tp992 +a(g6 +V +tp993 +a(g216 +V) +tp994 +a(g6 +V +tp995 +a(g357 +V- +tp996 +a(g6 +V +tp997 +a(g357 +V> +tp998 +a(g6 +V\u000a +p999 +tp1000 +a(g6 +V +tp1001 +a(g138 +Vreturn +p1002 +tp1003 +a(g6 +V +tp1004 +a(g6 +V +tp1005 +a(g92 +Vobj +p1006 +tp1007 +a(g6 +V +tp1008 +a(g216 +V. +tp1009 +a(g92 +Vmap +p1010 +tp1011 +a(g6 +V +tp1012 +a(g216 +V( +tp1013 +a(g6 +V +tp1014 +a(g92 +Viterator +p1015 +tp1016 +a(g6 +V +tp1017 +a(g216 +V, +tp1018 +a(g6 +V +tp1019 +a(g6 +V +tp1020 +a(g92 +Vcontext +p1021 +tp1022 +a(g6 +V +tp1023 +a(g216 +V) +tp1024 +a(g6 +V +tp1025 +a(g138 +Vif +p1026 +tp1027 +a(g6 +V +tp1028 +a(g6 +V +tp1029 +a(g216 +V( +tp1030 +a(g6 +V +tp1031 +a(g92 +Vobj +p1032 +tp1033 +a(g6 +V +tp1034 +a(g6 +V +tp1035 +a(g357 +Vand +p1036 +tp1037 +a(g6 +V +tp1038 +a(g6 +V +tp1039 +a(g92 +V_ +tp1040 +a(g6 +V +tp1041 +a(g216 +V. +tp1042 +a(g92 +VisFunction +p1043 +tp1044 +a(g6 +V +tp1045 +a(g216 +V( +tp1046 +a(g6 +V +tp1047 +a(g92 +Vobj +p1048 +tp1049 +a(g6 +V +tp1050 +a(g216 +V. +tp1051 +a(g92 +Vmap +p1052 +tp1053 +a(g6 +V +tp1054 +a(g216 +V) +tp1055 +a(g216 +V) +tp1056 +a(g6 +V\u000a +p1057 +tp1058 +a(g100 +Vresults: +p1059 +tp1060 +a(g6 +V +tp1061 +a(g216 +V[ +tp1062 +a(g6 +V +tp1063 +a(g216 +V] +tp1064 +a(g6 +V\u000a +p1065 +tp1066 +a(g92 +V_ +tp1067 +a(g6 +V +tp1068 +a(g216 +V. +tp1069 +a(g92 +Veach +p1070 +tp1071 +a(g6 +V +tp1072 +a(g6 +V +tp1073 +a(g92 +Vobj +p1074 +tp1075 +a(g6 +V +tp1076 +a(g216 +V, +tp1077 +a(g6 +V +tp1078 +a(g6 +V +tp1079 +a(g216 +V( +tp1080 +a(g6 +V +tp1081 +a(g92 +Vvalue +p1082 +tp1083 +a(g6 +V +tp1084 +a(g216 +V, +tp1085 +a(g6 +V +tp1086 +a(g6 +V +tp1087 +a(g92 +Vindex +p1088 +tp1089 +a(g6 +V +tp1090 +a(g216 +V, +tp1091 +a(g6 +V +tp1092 +a(g6 +V +tp1093 +a(g92 +Vlist +p1094 +tp1095 +a(g6 +V +tp1096 +a(g216 +V) +tp1097 +a(g6 +V +tp1098 +a(g357 +V- +tp1099 +a(g6 +V +tp1100 +a(g357 +V> +tp1101 +a(g6 +V\u000a +p1102 +tp1103 +a(g6 +V +tp1104 +a(g92 +Vresults +p1105 +tp1106 +a(g6 +V +tp1107 +a(g216 +V. +tp1108 +a(g92 +Vpush +p1109 +tp1110 +a(g6 +V +tp1111 +a(g216 +V( +tp1112 +a(g6 +V +tp1113 +a(g92 +Viterator +p1114 +tp1115 +a(g6 +V +tp1116 +a(g216 +V. +tp1117 +a(g92 +Vcall +p1118 +tp1119 +a(g6 +V +tp1120 +a(g216 +V( +tp1121 +a(g6 +V +tp1122 +a(g92 +Vcontext +p1123 +tp1124 +a(g6 +V +tp1125 +a(g216 +V, +tp1126 +a(g6 +V +tp1127 +a(g6 +V +tp1128 +a(g92 +Vvalue +p1129 +tp1130 +a(g6 +V +tp1131 +a(g216 +V, +tp1132 +a(g6 +V +tp1133 +a(g6 +V +tp1134 +a(g92 +Vindex +p1135 +tp1136 +a(g6 +V +tp1137 +a(g216 +V, +tp1138 +a(g6 +V +tp1139 +a(g6 +V +tp1140 +a(g92 +Vlist +p1141 +tp1142 +a(g6 +V +tp1143 +a(g216 +V) +tp1144 +a(g216 +V) +tp1145 +a(g6 +V\u000a +p1146 +tp1147 +a(g92 +Vresults +p1148 +tp1149 +a(g6 +V\u000a\u000a\u000a +p1150 +tp1151 +a(g31 +V# Reduce builds up a single result from a list of values. Also known as\u000a +p1152 +tp1153 +a(g6 +V +p1154 +tp1155 +a(g31 +V# inject, or foldl. Uses JavaScript 1.8's version of reduce, if possible.\u000a +p1156 +tp1157 +a(g6 +V +p1158 +tp1159 +a(g6 +V +tp1160 +a(g100 +V_.reduce: +p1161 +tp1162 +a(g6 +V +tp1163 +a(g216 +V( +tp1164 +a(g6 +V +tp1165 +a(g92 +Vobj +p1166 +tp1167 +a(g6 +V +tp1168 +a(g216 +V, +tp1169 +a(g6 +V +tp1170 +a(g6 +V +tp1171 +a(g92 +Vmemo +p1172 +tp1173 +a(g6 +V +tp1174 +a(g216 +V, +tp1175 +a(g6 +V +tp1176 +a(g6 +V +tp1177 +a(g92 +Viterator +p1178 +tp1179 +a(g6 +V +tp1180 +a(g216 +V, +tp1181 +a(g6 +V +tp1182 +a(g6 +V +tp1183 +a(g92 +Vcontext +p1184 +tp1185 +a(g6 +V +tp1186 +a(g216 +V) +tp1187 +a(g6 +V +tp1188 +a(g357 +V- +tp1189 +a(g6 +V +tp1190 +a(g357 +V> +tp1191 +a(g6 +V\u000a +p1192 +tp1193 +a(g6 +V +tp1194 +a(g138 +Vreturn +p1195 +tp1196 +a(g6 +V +tp1197 +a(g6 +V +tp1198 +a(g92 +Vobj +p1199 +tp1200 +a(g6 +V +tp1201 +a(g216 +V. +tp1202 +a(g92 +Vreduce +p1203 +tp1204 +a(g6 +V +tp1205 +a(g216 +V( +tp1206 +a(g6 +V +tp1207 +a(g92 +V_ +tp1208 +a(g6 +V +tp1209 +a(g216 +V. +tp1210 +a(g92 +Vbind +p1211 +tp1212 +a(g6 +V +tp1213 +a(g216 +V( +tp1214 +a(g6 +V +tp1215 +a(g92 +Viterator +p1216 +tp1217 +a(g6 +V +tp1218 +a(g216 +V, +tp1219 +a(g6 +V +tp1220 +a(g6 +V +tp1221 +a(g92 +Vcontext +p1222 +tp1223 +a(g6 +V +tp1224 +a(g216 +V) +tp1225 +a(g216 +V, +tp1226 +a(g6 +V +tp1227 +a(g6 +V +tp1228 +a(g92 +Vmemo +p1229 +tp1230 +a(g6 +V +tp1231 +a(g216 +V) +tp1232 +a(g6 +V +tp1233 +a(g138 +Vif +p1234 +tp1235 +a(g6 +V +tp1236 +a(g6 +V +tp1237 +a(g216 +V( +tp1238 +a(g6 +V +tp1239 +a(g92 +Vobj +p1240 +tp1241 +a(g6 +V +tp1242 +a(g6 +V +tp1243 +a(g357 +Vand +p1244 +tp1245 +a(g6 +V +tp1246 +a(g6 +V +tp1247 +a(g92 +V_ +tp1248 +a(g6 +V +tp1249 +a(g216 +V. +tp1250 +a(g92 +VisFunction +p1251 +tp1252 +a(g6 +V +tp1253 +a(g216 +V( +tp1254 +a(g6 +V +tp1255 +a(g92 +Vobj +p1256 +tp1257 +a(g6 +V +tp1258 +a(g216 +V. +tp1259 +a(g92 +Vreduce +p1260 +tp1261 +a(g6 +V +tp1262 +a(g216 +V) +tp1263 +a(g216 +V) +tp1264 +a(g6 +V\u000a +p1265 +tp1266 +a(g92 +V_ +tp1267 +a(g6 +V +tp1268 +a(g216 +V. +tp1269 +a(g92 +Veach +p1270 +tp1271 +a(g6 +V +tp1272 +a(g6 +V +tp1273 +a(g92 +Vobj +p1274 +tp1275 +a(g6 +V +tp1276 +a(g216 +V, +tp1277 +a(g6 +V +tp1278 +a(g6 +V +tp1279 +a(g216 +V( +tp1280 +a(g6 +V +tp1281 +a(g92 +Vvalue +p1282 +tp1283 +a(g6 +V +tp1284 +a(g216 +V, +tp1285 +a(g6 +V +tp1286 +a(g6 +V +tp1287 +a(g92 +Vindex +p1288 +tp1289 +a(g6 +V +tp1290 +a(g216 +V, +tp1291 +a(g6 +V +tp1292 +a(g6 +V +tp1293 +a(g92 +Vlist +p1294 +tp1295 +a(g6 +V +tp1296 +a(g216 +V) +tp1297 +a(g6 +V +tp1298 +a(g357 +V- +tp1299 +a(g6 +V +tp1300 +a(g357 +V> +tp1301 +a(g6 +V\u000a +p1302 +tp1303 +a(g6 +V +tp1304 +a(g100 +Vmemo: +p1305 +tp1306 +a(g6 +V +tp1307 +a(g92 +Viterator +p1308 +tp1309 +a(g6 +V +tp1310 +a(g216 +V. +tp1311 +a(g92 +Vcall +p1312 +tp1313 +a(g6 +V +tp1314 +a(g216 +V( +tp1315 +a(g6 +V +tp1316 +a(g92 +Vcontext +p1317 +tp1318 +a(g6 +V +tp1319 +a(g216 +V, +tp1320 +a(g6 +V +tp1321 +a(g6 +V +tp1322 +a(g92 +Vmemo +p1323 +tp1324 +a(g6 +V +tp1325 +a(g216 +V, +tp1326 +a(g6 +V +tp1327 +a(g6 +V +tp1328 +a(g92 +Vvalue +p1329 +tp1330 +a(g6 +V +tp1331 +a(g216 +V, +tp1332 +a(g6 +V +tp1333 +a(g6 +V +tp1334 +a(g92 +Vindex +p1335 +tp1336 +a(g6 +V +tp1337 +a(g216 +V, +tp1338 +a(g6 +V +tp1339 +a(g6 +V +tp1340 +a(g92 +Vlist +p1341 +tp1342 +a(g6 +V +tp1343 +a(g216 +V) +tp1344 +a(g6 +V\u000a +p1345 +tp1346 +a(g92 +Vmemo +p1347 +tp1348 +a(g6 +V\u000a\u000a\u000a +p1349 +tp1350 +a(g31 +V# The right-associative version of reduce, also known as foldr. Uses\u000a +p1351 +tp1352 +a(g6 +V +p1353 +tp1354 +a(g31 +V# JavaScript 1.8's version of reduceRight, if available.\u000a +p1355 +tp1356 +a(g6 +V +p1357 +tp1358 +a(g6 +V +tp1359 +a(g100 +V_.reduceRight: +p1360 +tp1361 +a(g6 +V +tp1362 +a(g216 +V( +tp1363 +a(g6 +V +tp1364 +a(g92 +Vobj +p1365 +tp1366 +a(g6 +V +tp1367 +a(g216 +V, +tp1368 +a(g6 +V +tp1369 +a(g6 +V +tp1370 +a(g92 +Vmemo +p1371 +tp1372 +a(g6 +V +tp1373 +a(g216 +V, +tp1374 +a(g6 +V +tp1375 +a(g6 +V +tp1376 +a(g92 +Viterator +p1377 +tp1378 +a(g6 +V +tp1379 +a(g216 +V, +tp1380 +a(g6 +V +tp1381 +a(g6 +V +tp1382 +a(g92 +Vcontext +p1383 +tp1384 +a(g6 +V +tp1385 +a(g216 +V) +tp1386 +a(g6 +V +tp1387 +a(g357 +V- +tp1388 +a(g6 +V +tp1389 +a(g357 +V> +tp1390 +a(g6 +V\u000a +p1391 +tp1392 +a(g6 +V +tp1393 +a(g138 +Vreturn +p1394 +tp1395 +a(g6 +V +tp1396 +a(g6 +V +tp1397 +a(g92 +Vobj +p1398 +tp1399 +a(g6 +V +tp1400 +a(g216 +V. +tp1401 +a(g92 +VreduceRight +p1402 +tp1403 +a(g6 +V +tp1404 +a(g216 +V( +tp1405 +a(g6 +V +tp1406 +a(g92 +V_ +tp1407 +a(g6 +V +tp1408 +a(g216 +V. +tp1409 +a(g92 +Vbind +p1410 +tp1411 +a(g6 +V +tp1412 +a(g216 +V( +tp1413 +a(g6 +V +tp1414 +a(g92 +Viterator +p1415 +tp1416 +a(g6 +V +tp1417 +a(g216 +V, +tp1418 +a(g6 +V +tp1419 +a(g6 +V +tp1420 +a(g92 +Vcontext +p1421 +tp1422 +a(g6 +V +tp1423 +a(g216 +V) +tp1424 +a(g216 +V, +tp1425 +a(g6 +V +tp1426 +a(g6 +V +tp1427 +a(g92 +Vmemo +p1428 +tp1429 +a(g6 +V +tp1430 +a(g216 +V) +tp1431 +a(g6 +V +tp1432 +a(g138 +Vif +p1433 +tp1434 +a(g6 +V +tp1435 +a(g6 +V +tp1436 +a(g216 +V( +tp1437 +a(g6 +V +tp1438 +a(g92 +Vobj +p1439 +tp1440 +a(g6 +V +tp1441 +a(g6 +V +tp1442 +a(g357 +Vand +p1443 +tp1444 +a(g6 +V +tp1445 +a(g6 +V +tp1446 +a(g92 +V_ +tp1447 +a(g6 +V +tp1448 +a(g216 +V. +tp1449 +a(g92 +VisFunction +p1450 +tp1451 +a(g6 +V +tp1452 +a(g216 +V( +tp1453 +a(g6 +V +tp1454 +a(g92 +Vobj +p1455 +tp1456 +a(g6 +V +tp1457 +a(g216 +V. +tp1458 +a(g92 +VreduceRight +p1459 +tp1460 +a(g6 +V +tp1461 +a(g216 +V) +tp1462 +a(g216 +V) +tp1463 +a(g6 +V\u000a +p1464 +tp1465 +a(g92 +V_ +tp1466 +a(g6 +V +tp1467 +a(g216 +V. +tp1468 +a(g92 +Veach +p1469 +tp1470 +a(g6 +V +tp1471 +a(g6 +V +tp1472 +a(g92 +V_ +tp1473 +a(g6 +V +tp1474 +a(g216 +V. +tp1475 +a(g92 +Vclone +p1476 +tp1477 +a(g6 +V +tp1478 +a(g216 +V( +tp1479 +a(g6 +V +tp1480 +a(g92 +V_ +tp1481 +a(g6 +V +tp1482 +a(g216 +V. +tp1483 +a(g92 +VtoArray +p1484 +tp1485 +a(g6 +V +tp1486 +a(g216 +V( +tp1487 +a(g6 +V +tp1488 +a(g92 +Vobj +p1489 +tp1490 +a(g6 +V +tp1491 +a(g216 +V) +tp1492 +a(g216 +V) +tp1493 +a(g216 +V. +tp1494 +a(g92 +Vreverse +p1495 +tp1496 +a(g6 +V +tp1497 +a(g216 +V( +tp1498 +a(g6 +V +tp1499 +a(g216 +V) +tp1500 +a(g216 +V, +tp1501 +a(g6 +V +tp1502 +a(g6 +V +tp1503 +a(g216 +V( +tp1504 +a(g6 +V +tp1505 +a(g92 +Vvalue +p1506 +tp1507 +a(g6 +V +tp1508 +a(g216 +V, +tp1509 +a(g6 +V +tp1510 +a(g6 +V +tp1511 +a(g92 +Vindex +p1512 +tp1513 +a(g6 +V +tp1514 +a(g216 +V) +tp1515 +a(g6 +V +tp1516 +a(g357 +V- +tp1517 +a(g6 +V +tp1518 +a(g357 +V> +tp1519 +a(g6 +V\u000a +p1520 +tp1521 +a(g6 +V +tp1522 +a(g100 +Vmemo: +p1523 +tp1524 +a(g6 +V +tp1525 +a(g92 +Viterator +p1526 +tp1527 +a(g6 +V +tp1528 +a(g216 +V. +tp1529 +a(g92 +Vcall +p1530 +tp1531 +a(g6 +V +tp1532 +a(g216 +V( +tp1533 +a(g6 +V +tp1534 +a(g92 +Vcontext +p1535 +tp1536 +a(g6 +V +tp1537 +a(g216 +V, +tp1538 +a(g6 +V +tp1539 +a(g6 +V +tp1540 +a(g92 +Vmemo +p1541 +tp1542 +a(g6 +V +tp1543 +a(g216 +V, +tp1544 +a(g6 +V +tp1545 +a(g6 +V +tp1546 +a(g92 +Vvalue +p1547 +tp1548 +a(g6 +V +tp1549 +a(g216 +V, +tp1550 +a(g6 +V +tp1551 +a(g6 +V +tp1552 +a(g92 +Vindex +p1553 +tp1554 +a(g6 +V +tp1555 +a(g216 +V, +tp1556 +a(g6 +V +tp1557 +a(g6 +V +tp1558 +a(g92 +Vobj +p1559 +tp1560 +a(g6 +V +tp1561 +a(g216 +V) +tp1562 +a(g6 +V\u000a +p1563 +tp1564 +a(g92 +Vmemo +p1565 +tp1566 +a(g6 +V\u000a\u000a\u000a +p1567 +tp1568 +a(g31 +V# Return the first value which passes a truth test.\u000a +p1569 +tp1570 +a(g6 +V +p1571 +tp1572 +a(g6 +V +tp1573 +a(g100 +V_.detect: +p1574 +tp1575 +a(g6 +V +tp1576 +a(g216 +V( +tp1577 +a(g6 +V +tp1578 +a(g92 +Vobj +p1579 +tp1580 +a(g6 +V +tp1581 +a(g216 +V, +tp1582 +a(g6 +V +tp1583 +a(g6 +V +tp1584 +a(g92 +Viterator +p1585 +tp1586 +a(g6 +V +tp1587 +a(g216 +V, +tp1588 +a(g6 +V +tp1589 +a(g6 +V +tp1590 +a(g92 +Vcontext +p1591 +tp1592 +a(g6 +V +tp1593 +a(g216 +V) +tp1594 +a(g6 +V +tp1595 +a(g357 +V- +tp1596 +a(g6 +V +tp1597 +a(g357 +V> +tp1598 +a(g6 +V\u000a +p1599 +tp1600 +a(g6 +V +tp1601 +a(g100 +Vresult: +p1602 +tp1603 +a(g6 +V +tp1604 +a(g144 +Vnull +p1605 +tp1606 +a(g6 +V\u000a +p1607 +tp1608 +a(g92 +V_ +tp1609 +a(g6 +V +tp1610 +a(g216 +V. +tp1611 +a(g92 +Veach +p1612 +tp1613 +a(g6 +V +tp1614 +a(g6 +V +tp1615 +a(g92 +Vobj +p1616 +tp1617 +a(g6 +V +tp1618 +a(g216 +V, +tp1619 +a(g6 +V +tp1620 +a(g6 +V +tp1621 +a(g216 +V( +tp1622 +a(g6 +V +tp1623 +a(g92 +Vvalue +p1624 +tp1625 +a(g6 +V +tp1626 +a(g216 +V, +tp1627 +a(g6 +V +tp1628 +a(g6 +V +tp1629 +a(g92 +Vindex +p1630 +tp1631 +a(g6 +V +tp1632 +a(g216 +V, +tp1633 +a(g6 +V +tp1634 +a(g6 +V +tp1635 +a(g92 +Vlist +p1636 +tp1637 +a(g6 +V +tp1638 +a(g216 +V) +tp1639 +a(g6 +V +tp1640 +a(g357 +V- +tp1641 +a(g6 +V +tp1642 +a(g357 +V> +tp1643 +a(g6 +V\u000a +p1644 +tp1645 +a(g6 +V +tp1646 +a(g138 +Vif +p1647 +tp1648 +a(g6 +V +tp1649 +a(g6 +V +tp1650 +a(g92 +Viterator +p1651 +tp1652 +a(g6 +V +tp1653 +a(g216 +V. +tp1654 +a(g92 +Vcall +p1655 +tp1656 +a(g6 +V +tp1657 +a(g216 +V( +tp1658 +a(g6 +V +tp1659 +a(g92 +Vcontext +p1660 +tp1661 +a(g6 +V +tp1662 +a(g216 +V, +tp1663 +a(g6 +V +tp1664 +a(g6 +V +tp1665 +a(g92 +Vvalue +p1666 +tp1667 +a(g6 +V +tp1668 +a(g216 +V, +tp1669 +a(g6 +V +tp1670 +a(g6 +V +tp1671 +a(g92 +Vindex +p1672 +tp1673 +a(g6 +V +tp1674 +a(g216 +V, +tp1675 +a(g6 +V +tp1676 +a(g6 +V +tp1677 +a(g92 +Vlist +p1678 +tp1679 +a(g6 +V +tp1680 +a(g216 +V) +tp1681 +a(g6 +V\u000a +p1682 +tp1683 +a(g100 +Vresult: +p1684 +tp1685 +a(g6 +V +tp1686 +a(g92 +Vvalue +p1687 +tp1688 +a(g6 +V\u000a +p1689 +tp1690 +a(g6 +V +tp1691 +a(g92 +V_ +tp1692 +a(g6 +V +tp1693 +a(g216 +V. +tp1694 +a(g92 +VbreakLoop +p1695 +tp1696 +a(g6 +V +tp1697 +a(g216 +V( +tp1698 +a(g6 +V +tp1699 +a(g216 +V) +tp1700 +a(g6 +V\u000a +p1701 +tp1702 +a(g92 +Vresult +p1703 +tp1704 +a(g6 +V\u000a\u000a\u000a +p1705 +tp1706 +a(g31 +V# Return all the elements that pass a truth test. Use JavaScript 1.6's\u000a +p1707 +tp1708 +a(g6 +V +p1709 +tp1710 +a(g31 +V# filter(), if it exists.\u000a +p1711 +tp1712 +a(g6 +V +p1713 +tp1714 +a(g6 +V +tp1715 +a(g100 +V_.select: +p1716 +tp1717 +a(g6 +V +tp1718 +a(g216 +V( +tp1719 +a(g6 +V +tp1720 +a(g92 +Vobj +p1721 +tp1722 +a(g6 +V +tp1723 +a(g216 +V, +tp1724 +a(g6 +V +tp1725 +a(g6 +V +tp1726 +a(g92 +Viterator +p1727 +tp1728 +a(g6 +V +tp1729 +a(g216 +V, +tp1730 +a(g6 +V +tp1731 +a(g6 +V +tp1732 +a(g92 +Vcontext +p1733 +tp1734 +a(g6 +V +tp1735 +a(g216 +V) +tp1736 +a(g6 +V +tp1737 +a(g357 +V- +tp1738 +a(g6 +V +tp1739 +a(g357 +V> +tp1740 +a(g6 +V\u000a +p1741 +tp1742 +a(g6 +V +tp1743 +a(g138 +Vif +p1744 +tp1745 +a(g6 +V +tp1746 +a(g6 +V +tp1747 +a(g92 +Vobj +p1748 +tp1749 +a(g6 +V +tp1750 +a(g6 +V +tp1751 +a(g357 +Vand +p1752 +tp1753 +a(g6 +V +tp1754 +a(g6 +V +tp1755 +a(g92 +V_ +tp1756 +a(g6 +V +tp1757 +a(g216 +V. +tp1758 +a(g92 +VisFunction +p1759 +tp1760 +a(g6 +V +tp1761 +a(g216 +V( +tp1762 +a(g6 +V +tp1763 +a(g92 +Vobj +p1764 +tp1765 +a(g6 +V +tp1766 +a(g216 +V. +tp1767 +a(g92 +Vfilter +p1768 +tp1769 +a(g6 +V +tp1770 +a(g216 +V) +tp1771 +a(g6 +V +tp1772 +a(g138 +Vthen +p1773 +tp1774 +a(g6 +V +tp1775 +a(g6 +V +tp1776 +a(g138 +Vreturn +p1777 +tp1778 +a(g6 +V +tp1779 +a(g6 +V +tp1780 +a(g92 +Vobj +p1781 +tp1782 +a(g6 +V +tp1783 +a(g216 +V. +tp1784 +a(g92 +Vfilter +p1785 +tp1786 +a(g6 +V +tp1787 +a(g216 +V( +tp1788 +a(g6 +V +tp1789 +a(g92 +Viterator +p1790 +tp1791 +a(g6 +V +tp1792 +a(g216 +V, +tp1793 +a(g6 +V +tp1794 +a(g6 +V +tp1795 +a(g92 +Vcontext +p1796 +tp1797 +a(g6 +V +tp1798 +a(g216 +V) +tp1799 +a(g6 +V\u000a +p1800 +tp1801 +a(g100 +Vresults: +p1802 +tp1803 +a(g6 +V +tp1804 +a(g216 +V[ +tp1805 +a(g6 +V +tp1806 +a(g216 +V] +tp1807 +a(g6 +V\u000a +p1808 +tp1809 +a(g92 +V_ +tp1810 +a(g6 +V +tp1811 +a(g216 +V. +tp1812 +a(g92 +Veach +p1813 +tp1814 +a(g6 +V +tp1815 +a(g6 +V +tp1816 +a(g92 +Vobj +p1817 +tp1818 +a(g6 +V +tp1819 +a(g216 +V, +tp1820 +a(g6 +V +tp1821 +a(g6 +V +tp1822 +a(g216 +V( +tp1823 +a(g6 +V +tp1824 +a(g92 +Vvalue +p1825 +tp1826 +a(g6 +V +tp1827 +a(g216 +V, +tp1828 +a(g6 +V +tp1829 +a(g6 +V +tp1830 +a(g92 +Vindex +p1831 +tp1832 +a(g6 +V +tp1833 +a(g216 +V, +tp1834 +a(g6 +V +tp1835 +a(g6 +V +tp1836 +a(g92 +Vlist +p1837 +tp1838 +a(g6 +V +tp1839 +a(g216 +V) +tp1840 +a(g6 +V +tp1841 +a(g357 +V- +tp1842 +a(g6 +V +tp1843 +a(g357 +V> +tp1844 +a(g6 +V\u000a +p1845 +tp1846 +a(g6 +V +tp1847 +a(g92 +Vresults +p1848 +tp1849 +a(g6 +V +tp1850 +a(g216 +V. +tp1851 +a(g92 +Vpush +p1852 +tp1853 +a(g6 +V +tp1854 +a(g216 +V( +tp1855 +a(g6 +V +tp1856 +a(g92 +Vvalue +p1857 +tp1858 +a(g6 +V +tp1859 +a(g216 +V) +tp1860 +a(g6 +V +tp1861 +a(g138 +Vif +p1862 +tp1863 +a(g6 +V +tp1864 +a(g6 +V +tp1865 +a(g92 +Viterator +p1866 +tp1867 +a(g6 +V +tp1868 +a(g216 +V. +tp1869 +a(g92 +Vcall +p1870 +tp1871 +a(g6 +V +tp1872 +a(g216 +V( +tp1873 +a(g6 +V +tp1874 +a(g92 +Vcontext +p1875 +tp1876 +a(g6 +V +tp1877 +a(g216 +V, +tp1878 +a(g6 +V +tp1879 +a(g6 +V +tp1880 +a(g92 +Vvalue +p1881 +tp1882 +a(g6 +V +tp1883 +a(g216 +V, +tp1884 +a(g6 +V +tp1885 +a(g6 +V +tp1886 +a(g92 +Vindex +p1887 +tp1888 +a(g6 +V +tp1889 +a(g216 +V, +tp1890 +a(g6 +V +tp1891 +a(g6 +V +tp1892 +a(g92 +Vlist +p1893 +tp1894 +a(g6 +V +tp1895 +a(g216 +V) +tp1896 +a(g6 +V\u000a +p1897 +tp1898 +a(g92 +Vresults +p1899 +tp1900 +a(g6 +V\u000a\u000a\u000a +p1901 +tp1902 +a(g31 +V# Return all the elements for which a truth test fails.\u000a +p1903 +tp1904 +a(g6 +V +p1905 +tp1906 +a(g6 +V +tp1907 +a(g100 +V_.reject: +p1908 +tp1909 +a(g6 +V +tp1910 +a(g216 +V( +tp1911 +a(g6 +V +tp1912 +a(g92 +Vobj +p1913 +tp1914 +a(g6 +V +tp1915 +a(g216 +V, +tp1916 +a(g6 +V +tp1917 +a(g6 +V +tp1918 +a(g92 +Viterator +p1919 +tp1920 +a(g6 +V +tp1921 +a(g216 +V, +tp1922 +a(g6 +V +tp1923 +a(g6 +V +tp1924 +a(g92 +Vcontext +p1925 +tp1926 +a(g6 +V +tp1927 +a(g216 +V) +tp1928 +a(g6 +V +tp1929 +a(g357 +V- +tp1930 +a(g6 +V +tp1931 +a(g357 +V> +tp1932 +a(g6 +V\u000a +p1933 +tp1934 +a(g6 +V +tp1935 +a(g100 +Vresults: +p1936 +tp1937 +a(g6 +V +tp1938 +a(g216 +V[ +tp1939 +a(g6 +V +tp1940 +a(g216 +V] +tp1941 +a(g6 +V\u000a +p1942 +tp1943 +a(g92 +V_ +tp1944 +a(g6 +V +tp1945 +a(g216 +V. +tp1946 +a(g92 +Veach +p1947 +tp1948 +a(g6 +V +tp1949 +a(g6 +V +tp1950 +a(g92 +Vobj +p1951 +tp1952 +a(g6 +V +tp1953 +a(g216 +V, +tp1954 +a(g6 +V +tp1955 +a(g6 +V +tp1956 +a(g216 +V( +tp1957 +a(g6 +V +tp1958 +a(g92 +Vvalue +p1959 +tp1960 +a(g6 +V +tp1961 +a(g216 +V, +tp1962 +a(g6 +V +tp1963 +a(g6 +V +tp1964 +a(g92 +Vindex +p1965 +tp1966 +a(g6 +V +tp1967 +a(g216 +V, +tp1968 +a(g6 +V +tp1969 +a(g6 +V +tp1970 +a(g92 +Vlist +p1971 +tp1972 +a(g6 +V +tp1973 +a(g216 +V) +tp1974 +a(g6 +V +tp1975 +a(g357 +V- +tp1976 +a(g6 +V +tp1977 +a(g357 +V> +tp1978 +a(g6 +V\u000a +p1979 +tp1980 +a(g6 +V +tp1981 +a(g92 +Vresults +p1982 +tp1983 +a(g6 +V +tp1984 +a(g216 +V. +tp1985 +a(g92 +Vpush +p1986 +tp1987 +a(g6 +V +tp1988 +a(g216 +V( +tp1989 +a(g6 +V +tp1990 +a(g92 +Vvalue +p1991 +tp1992 +a(g6 +V +tp1993 +a(g216 +V) +tp1994 +a(g6 +V +tp1995 +a(g138 +Vif +p1996 +tp1997 +a(g6 +V +tp1998 +a(g6 +V +tp1999 +a(g357 +Vnot +p2000 +tp2001 +a(g6 +V +tp2002 +a(g6 +V +tp2003 +a(g92 +Viterator +p2004 +tp2005 +a(g6 +V +tp2006 +a(g216 +V. +tp2007 +a(g92 +Vcall +p2008 +tp2009 +a(g6 +V +tp2010 +a(g216 +V( +tp2011 +a(g6 +V +tp2012 +a(g92 +Vcontext +p2013 +tp2014 +a(g6 +V +tp2015 +a(g216 +V, +tp2016 +a(g6 +V +tp2017 +a(g6 +V +tp2018 +a(g92 +Vvalue +p2019 +tp2020 +a(g6 +V +tp2021 +a(g216 +V, +tp2022 +a(g6 +V +tp2023 +a(g6 +V +tp2024 +a(g92 +Vindex +p2025 +tp2026 +a(g6 +V +tp2027 +a(g216 +V, +tp2028 +a(g6 +V +tp2029 +a(g6 +V +tp2030 +a(g92 +Vlist +p2031 +tp2032 +a(g6 +V +tp2033 +a(g216 +V) +tp2034 +a(g6 +V\u000a +p2035 +tp2036 +a(g92 +Vresults +p2037 +tp2038 +a(g6 +V\u000a\u000a\u000a +p2039 +tp2040 +a(g31 +V# Determine whether all of the elements match a truth test. Delegate to\u000a +p2041 +tp2042 +a(g6 +V +p2043 +tp2044 +a(g31 +V# JavaScript 1.6's every(), if it is present.\u000a +p2045 +tp2046 +a(g6 +V +p2047 +tp2048 +a(g6 +V +tp2049 +a(g100 +V_.all: +p2050 +tp2051 +a(g6 +V +tp2052 +a(g216 +V( +tp2053 +a(g6 +V +tp2054 +a(g92 +Vobj +p2055 +tp2056 +a(g6 +V +tp2057 +a(g216 +V, +tp2058 +a(g6 +V +tp2059 +a(g6 +V +tp2060 +a(g92 +Viterator +p2061 +tp2062 +a(g6 +V +tp2063 +a(g216 +V, +tp2064 +a(g6 +V +tp2065 +a(g6 +V +tp2066 +a(g92 +Vcontext +p2067 +tp2068 +a(g6 +V +tp2069 +a(g216 +V) +tp2070 +a(g6 +V +tp2071 +a(g357 +V- +tp2072 +a(g6 +V +tp2073 +a(g357 +V> +tp2074 +a(g6 +V\u000a +p2075 +tp2076 +a(g6 +V +tp2077 +a(g92 +Viterator +p2078 +tp2079 +a(g6 +V +tp2080 +a(g6 +V +tp2081 +a(g357 +V|| +p2082 +tp2083 +a(g6 +V +tp2084 +a(g357 +V= +tp2085 +a(g6 +V +tp2086 +a(g6 +V +tp2087 +a(g92 +V_ +tp2088 +a(g6 +V +tp2089 +a(g216 +V. +tp2090 +a(g92 +Videntity +p2091 +tp2092 +a(g6 +V\u000a +p2093 +tp2094 +a(g6 +V +tp2095 +a(g138 +Vreturn +p2096 +tp2097 +a(g6 +V +tp2098 +a(g6 +V +tp2099 +a(g92 +Vobj +p2100 +tp2101 +a(g6 +V +tp2102 +a(g216 +V. +tp2103 +a(g92 +Vevery +p2104 +tp2105 +a(g6 +V +tp2106 +a(g216 +V( +tp2107 +a(g6 +V +tp2108 +a(g92 +Viterator +p2109 +tp2110 +a(g6 +V +tp2111 +a(g216 +V, +tp2112 +a(g6 +V +tp2113 +a(g6 +V +tp2114 +a(g92 +Vcontext +p2115 +tp2116 +a(g6 +V +tp2117 +a(g216 +V) +tp2118 +a(g6 +V +tp2119 +a(g138 +Vif +p2120 +tp2121 +a(g6 +V +tp2122 +a(g6 +V +tp2123 +a(g92 +Vobj +p2124 +tp2125 +a(g6 +V +tp2126 +a(g6 +V +tp2127 +a(g357 +Vand +p2128 +tp2129 +a(g6 +V +tp2130 +a(g6 +V +tp2131 +a(g92 +V_ +tp2132 +a(g6 +V +tp2133 +a(g216 +V. +tp2134 +a(g92 +VisFunction +p2135 +tp2136 +a(g6 +V +tp2137 +a(g216 +V( +tp2138 +a(g6 +V +tp2139 +a(g92 +Vobj +p2140 +tp2141 +a(g6 +V +tp2142 +a(g216 +V. +tp2143 +a(g92 +Vevery +p2144 +tp2145 +a(g6 +V +tp2146 +a(g216 +V) +tp2147 +a(g6 +V\u000a +p2148 +tp2149 +a(g100 +Vresult: +p2150 +tp2151 +a(g6 +V +tp2152 +a(g144 +Vtrue +p2153 +tp2154 +a(g6 +V\u000a +p2155 +tp2156 +a(g92 +V_ +tp2157 +a(g6 +V +tp2158 +a(g216 +V. +tp2159 +a(g92 +Veach +p2160 +tp2161 +a(g6 +V +tp2162 +a(g6 +V +tp2163 +a(g92 +Vobj +p2164 +tp2165 +a(g6 +V +tp2166 +a(g216 +V, +tp2167 +a(g6 +V +tp2168 +a(g6 +V +tp2169 +a(g216 +V( +tp2170 +a(g6 +V +tp2171 +a(g92 +Vvalue +p2172 +tp2173 +a(g6 +V +tp2174 +a(g216 +V, +tp2175 +a(g6 +V +tp2176 +a(g6 +V +tp2177 +a(g92 +Vindex +p2178 +tp2179 +a(g6 +V +tp2180 +a(g216 +V, +tp2181 +a(g6 +V +tp2182 +a(g6 +V +tp2183 +a(g92 +Vlist +p2184 +tp2185 +a(g6 +V +tp2186 +a(g216 +V) +tp2187 +a(g6 +V +tp2188 +a(g357 +V- +tp2189 +a(g6 +V +tp2190 +a(g357 +V> +tp2191 +a(g6 +V\u000a +p2192 +tp2193 +a(g6 +V +tp2194 +a(g92 +V_ +tp2195 +a(g6 +V +tp2196 +a(g216 +V. +tp2197 +a(g92 +VbreakLoop +p2198 +tp2199 +a(g6 +V +tp2200 +a(g216 +V( +tp2201 +a(g6 +V +tp2202 +a(g216 +V) +tp2203 +a(g6 +V +tp2204 +a(g92 +Vunless +p2205 +tp2206 +a(g6 +V +tp2207 +a(g6 +V +tp2208 +a(g216 +V( +tp2209 +a(g6 +V +tp2210 +a(g100 +Vresult: +p2211 +tp2212 +a(g6 +V +tp2213 +a(g92 +Vresult +p2214 +tp2215 +a(g6 +V +tp2216 +a(g6 +V +tp2217 +a(g357 +Vand +p2218 +tp2219 +a(g6 +V +tp2220 +a(g6 +V +tp2221 +a(g92 +Viterator +p2222 +tp2223 +a(g6 +V +tp2224 +a(g216 +V. +tp2225 +a(g92 +Vcall +p2226 +tp2227 +a(g6 +V +tp2228 +a(g216 +V( +tp2229 +a(g6 +V +tp2230 +a(g92 +Vcontext +p2231 +tp2232 +a(g6 +V +tp2233 +a(g216 +V, +tp2234 +a(g6 +V +tp2235 +a(g6 +V +tp2236 +a(g92 +Vvalue +p2237 +tp2238 +a(g6 +V +tp2239 +a(g216 +V, +tp2240 +a(g6 +V +tp2241 +a(g6 +V +tp2242 +a(g92 +Vindex +p2243 +tp2244 +a(g6 +V +tp2245 +a(g216 +V, +tp2246 +a(g6 +V +tp2247 +a(g6 +V +tp2248 +a(g92 +Vlist +p2249 +tp2250 +a(g6 +V +tp2251 +a(g216 +V) +tp2252 +a(g216 +V) +tp2253 +a(g6 +V\u000a +p2254 +tp2255 +a(g92 +Vresult +p2256 +tp2257 +a(g6 +V\u000a\u000a\u000a +p2258 +tp2259 +a(g31 +V# Determine if at least one element in the object matches a truth test. Use\u000a +p2260 +tp2261 +a(g6 +V +p2262 +tp2263 +a(g31 +V# JavaScript 1.6's some(), if it exists.\u000a +p2264 +tp2265 +a(g6 +V +p2266 +tp2267 +a(g6 +V +tp2268 +a(g100 +V_.any: +p2269 +tp2270 +a(g6 +V +tp2271 +a(g216 +V( +tp2272 +a(g6 +V +tp2273 +a(g92 +Vobj +p2274 +tp2275 +a(g6 +V +tp2276 +a(g216 +V, +tp2277 +a(g6 +V +tp2278 +a(g6 +V +tp2279 +a(g92 +Viterator +p2280 +tp2281 +a(g6 +V +tp2282 +a(g216 +V, +tp2283 +a(g6 +V +tp2284 +a(g6 +V +tp2285 +a(g92 +Vcontext +p2286 +tp2287 +a(g6 +V +tp2288 +a(g216 +V) +tp2289 +a(g6 +V +tp2290 +a(g357 +V- +tp2291 +a(g6 +V +tp2292 +a(g357 +V> +tp2293 +a(g6 +V\u000a +p2294 +tp2295 +a(g6 +V +tp2296 +a(g92 +Viterator +p2297 +tp2298 +a(g6 +V +tp2299 +a(g6 +V +tp2300 +a(g357 +V|| +p2301 +tp2302 +a(g6 +V +tp2303 +a(g357 +V= +tp2304 +a(g6 +V +tp2305 +a(g6 +V +tp2306 +a(g92 +V_ +tp2307 +a(g6 +V +tp2308 +a(g216 +V. +tp2309 +a(g92 +Videntity +p2310 +tp2311 +a(g6 +V\u000a +p2312 +tp2313 +a(g6 +V +tp2314 +a(g138 +Vreturn +p2315 +tp2316 +a(g6 +V +tp2317 +a(g6 +V +tp2318 +a(g92 +Vobj +p2319 +tp2320 +a(g6 +V +tp2321 +a(g216 +V. +tp2322 +a(g92 +Vsome +p2323 +tp2324 +a(g6 +V +tp2325 +a(g216 +V( +tp2326 +a(g6 +V +tp2327 +a(g92 +Viterator +p2328 +tp2329 +a(g6 +V +tp2330 +a(g216 +V, +tp2331 +a(g6 +V +tp2332 +a(g6 +V +tp2333 +a(g92 +Vcontext +p2334 +tp2335 +a(g6 +V +tp2336 +a(g216 +V) +tp2337 +a(g6 +V +tp2338 +a(g138 +Vif +p2339 +tp2340 +a(g6 +V +tp2341 +a(g6 +V +tp2342 +a(g92 +Vobj +p2343 +tp2344 +a(g6 +V +tp2345 +a(g6 +V +tp2346 +a(g357 +Vand +p2347 +tp2348 +a(g6 +V +tp2349 +a(g6 +V +tp2350 +a(g92 +V_ +tp2351 +a(g6 +V +tp2352 +a(g216 +V. +tp2353 +a(g92 +VisFunction +p2354 +tp2355 +a(g6 +V +tp2356 +a(g216 +V( +tp2357 +a(g6 +V +tp2358 +a(g92 +Vobj +p2359 +tp2360 +a(g6 +V +tp2361 +a(g216 +V. +tp2362 +a(g92 +Vsome +p2363 +tp2364 +a(g6 +V +tp2365 +a(g216 +V) +tp2366 +a(g6 +V\u000a +p2367 +tp2368 +a(g100 +Vresult: +p2369 +tp2370 +a(g6 +V +tp2371 +a(g144 +Vfalse +p2372 +tp2373 +a(g6 +V\u000a +p2374 +tp2375 +a(g92 +V_ +tp2376 +a(g6 +V +tp2377 +a(g216 +V. +tp2378 +a(g92 +Veach +p2379 +tp2380 +a(g6 +V +tp2381 +a(g6 +V +tp2382 +a(g92 +Vobj +p2383 +tp2384 +a(g6 +V +tp2385 +a(g216 +V, +tp2386 +a(g6 +V +tp2387 +a(g6 +V +tp2388 +a(g216 +V( +tp2389 +a(g6 +V +tp2390 +a(g92 +Vvalue +p2391 +tp2392 +a(g6 +V +tp2393 +a(g216 +V, +tp2394 +a(g6 +V +tp2395 +a(g6 +V +tp2396 +a(g92 +Vindex +p2397 +tp2398 +a(g6 +V +tp2399 +a(g216 +V, +tp2400 +a(g6 +V +tp2401 +a(g6 +V +tp2402 +a(g92 +Vlist +p2403 +tp2404 +a(g6 +V +tp2405 +a(g216 +V) +tp2406 +a(g6 +V +tp2407 +a(g357 +V- +tp2408 +a(g6 +V +tp2409 +a(g357 +V> +tp2410 +a(g6 +V\u000a +p2411 +tp2412 +a(g6 +V +tp2413 +a(g92 +V_ +tp2414 +a(g6 +V +tp2415 +a(g216 +V. +tp2416 +a(g92 +VbreakLoop +p2417 +tp2418 +a(g6 +V +tp2419 +a(g216 +V( +tp2420 +a(g6 +V +tp2421 +a(g216 +V) +tp2422 +a(g6 +V +tp2423 +a(g138 +Vif +p2424 +tp2425 +a(g6 +V +tp2426 +a(g6 +V +tp2427 +a(g216 +V( +tp2428 +a(g6 +V +tp2429 +a(g100 +Vresult: +p2430 +tp2431 +a(g6 +V +tp2432 +a(g92 +Viterator +p2433 +tp2434 +a(g6 +V +tp2435 +a(g216 +V. +tp2436 +a(g92 +Vcall +p2437 +tp2438 +a(g6 +V +tp2439 +a(g216 +V( +tp2440 +a(g6 +V +tp2441 +a(g92 +Vcontext +p2442 +tp2443 +a(g6 +V +tp2444 +a(g216 +V, +tp2445 +a(g6 +V +tp2446 +a(g6 +V +tp2447 +a(g92 +Vvalue +p2448 +tp2449 +a(g6 +V +tp2450 +a(g216 +V, +tp2451 +a(g6 +V +tp2452 +a(g6 +V +tp2453 +a(g92 +Vindex +p2454 +tp2455 +a(g6 +V +tp2456 +a(g216 +V, +tp2457 +a(g6 +V +tp2458 +a(g6 +V +tp2459 +a(g92 +Vlist +p2460 +tp2461 +a(g6 +V +tp2462 +a(g216 +V) +tp2463 +a(g216 +V) +tp2464 +a(g6 +V\u000a +p2465 +tp2466 +a(g92 +Vresult +p2467 +tp2468 +a(g6 +V\u000a\u000a\u000a +p2469 +tp2470 +a(g31 +V# Determine if a given value is included in the array or object,\u000a +p2471 +tp2472 +a(g6 +V +p2473 +tp2474 +a(g31 +V# based on '==='.\u000a +p2475 +tp2476 +a(g6 +V +p2477 +tp2478 +a(g6 +V +tp2479 +a(g100 +V_.include: +p2480 +tp2481 +a(g6 +V +tp2482 +a(g216 +V( +tp2483 +a(g6 +V +tp2484 +a(g92 +Vobj +p2485 +tp2486 +a(g6 +V +tp2487 +a(g216 +V, +tp2488 +a(g6 +V +tp2489 +a(g6 +V +tp2490 +a(g92 +Vtarget +p2491 +tp2492 +a(g6 +V +tp2493 +a(g216 +V) +tp2494 +a(g6 +V +tp2495 +a(g357 +V- +tp2496 +a(g6 +V +tp2497 +a(g357 +V> +tp2498 +a(g6 +V\u000a +p2499 +tp2500 +a(g6 +V +tp2501 +a(g138 +Vreturn +p2502 +tp2503 +a(g6 +V +tp2504 +a(g6 +V +tp2505 +a(g92 +V_ +tp2506 +a(g6 +V +tp2507 +a(g216 +V. +tp2508 +a(g92 +VindexOf +p2509 +tp2510 +a(g6 +V +tp2511 +a(g216 +V( +tp2512 +a(g6 +V +tp2513 +a(g92 +Vobj +p2514 +tp2515 +a(g6 +V +tp2516 +a(g216 +V, +tp2517 +a(g6 +V +tp2518 +a(g6 +V +tp2519 +a(g92 +Vtarget +p2520 +tp2521 +a(g6 +V +tp2522 +a(g216 +V) +tp2523 +a(g6 +V +tp2524 +a(g357 +Visnt +p2525 +tp2526 +a(g6 +V +tp2527 +a(g6 +V +tp2528 +a(g357 +V- +tp2529 +a(g6 +V +tp2530 +a(g332 +V1 +tp2531 +a(g6 +V +tp2532 +a(g138 +Vif +p2533 +tp2534 +a(g6 +V +tp2535 +a(g6 +V +tp2536 +a(g92 +V_ +tp2537 +a(g6 +V +tp2538 +a(g216 +V. +tp2539 +a(g92 +VisArray +p2540 +tp2541 +a(g6 +V +tp2542 +a(g216 +V( +tp2543 +a(g6 +V +tp2544 +a(g92 +Vobj +p2545 +tp2546 +a(g6 +V +tp2547 +a(g216 +V) +tp2548 +a(g6 +V\u000a +p2549 +tp2550 +a(g138 +Vfor +p2551 +tp2552 +a(g6 +V +tp2553 +a(g6 +V +tp2554 +a(g92 +Vkey +p2555 +tp2556 +a(g6 +V +tp2557 +a(g216 +V, +tp2558 +a(g6 +V +tp2559 +a(g6 +V +tp2560 +a(g92 +Vval +p2561 +tp2562 +a(g6 +V +tp2563 +a(g6 +V +tp2564 +a(g138 +Vof +p2565 +tp2566 +a(g6 +V +tp2567 +a(g6 +V +tp2568 +a(g92 +Vobj +p2569 +tp2570 +a(g6 +V\u000a +p2571 +tp2572 +a(g6 +V +tp2573 +a(g138 +Vreturn +p2574 +tp2575 +a(g6 +V +tp2576 +a(g6 +V +tp2577 +a(g144 +Vtrue +p2578 +tp2579 +a(g6 +V +tp2580 +a(g138 +Vif +p2581 +tp2582 +a(g6 +V +tp2583 +a(g6 +V +tp2584 +a(g92 +Vval +p2585 +tp2586 +a(g6 +V +tp2587 +a(g6 +V +tp2588 +a(g357 +Vis +p2589 +tp2590 +a(g6 +V +tp2591 +a(g6 +V +tp2592 +a(g92 +Vtarget +p2593 +tp2594 +a(g6 +V\u000a +p2595 +tp2596 +a(g6 +V +tp2597 +a(g144 +Vfalse +p2598 +tp2599 +a(g6 +V\u000a\u000a\u000a +p2600 +tp2601 +a(g31 +V# Invoke a method with arguments on every item in a collection.\u000a +p2602 +tp2603 +a(g6 +V +p2604 +tp2605 +a(g100 +V_.invoke: +p2606 +tp2607 +a(g6 +V +tp2608 +a(g216 +V( +tp2609 +a(g6 +V +tp2610 +a(g92 +Vobj +p2611 +tp2612 +a(g6 +V +tp2613 +a(g216 +V, +tp2614 +a(g6 +V +tp2615 +a(g6 +V +tp2616 +a(g92 +Vmethod +p2617 +tp2618 +a(g6 +V +tp2619 +a(g216 +V) +tp2620 +a(g6 +V +tp2621 +a(g357 +V- +tp2622 +a(g6 +V +tp2623 +a(g357 +V> +tp2624 +a(g6 +V\u000a +p2625 +tp2626 +a(g6 +V +tp2627 +a(g100 +Vargs: +p2628 +tp2629 +a(g6 +V +tp2630 +a(g92 +V_ +tp2631 +a(g6 +V +tp2632 +a(g216 +V. +tp2633 +a(g92 +Vrest +p2634 +tp2635 +a(g6 +V +tp2636 +a(g216 +V( +tp2637 +a(g6 +V +tp2638 +a(g92 +Varguments +p2639 +tp2640 +a(g6 +V +tp2641 +a(g216 +V, +tp2642 +a(g6 +V +tp2643 +a(g6 +V +tp2644 +a(g332 +V2 +tp2645 +a(g216 +V) +tp2646 +a(g6 +V\u000a +p2647 +tp2648 +a(g216 +V( +tp2649 +a(g6 +V +tp2650 +a(g138 +Vif +p2651 +tp2652 +a(g6 +V +tp2653 +a(g6 +V +tp2654 +a(g92 +Vmethod +p2655 +tp2656 +a(g6 +V +tp2657 +a(g6 +V +tp2658 +a(g138 +Vthen +p2659 +tp2660 +a(g6 +V +tp2661 +a(g6 +V +tp2662 +a(g92 +Vval +p2663 +tp2664 +a(g6 +V +tp2665 +a(g216 +V[ +tp2666 +a(g6 +V +tp2667 +a(g92 +Vmethod +p2668 +tp2669 +a(g6 +V +tp2670 +a(g216 +V] +tp2671 +a(g6 +V +tp2672 +a(g138 +Velse +p2673 +tp2674 +a(g6 +V +tp2675 +a(g6 +V +tp2676 +a(g92 +Vval +p2677 +tp2678 +a(g6 +V +tp2679 +a(g216 +V) +tp2680 +a(g216 +V. +tp2681 +a(g92 +Vapply +p2682 +tp2683 +a(g6 +V +tp2684 +a(g216 +V( +tp2685 +a(g6 +V +tp2686 +a(g92 +Vval +p2687 +tp2688 +a(g6 +V +tp2689 +a(g216 +V, +tp2690 +a(g6 +V +tp2691 +a(g6 +V +tp2692 +a(g92 +Vargs +p2693 +tp2694 +a(g6 +V +tp2695 +a(g216 +V) +tp2696 +a(g6 +V +tp2697 +a(g138 +Vfor +p2698 +tp2699 +a(g6 +V +tp2700 +a(g6 +V +tp2701 +a(g92 +Vval +p2702 +tp2703 +a(g6 +V +tp2704 +a(g6 +V +tp2705 +a(g138 +Vin +p2706 +tp2707 +a(g6 +V +tp2708 +a(g6 +V +tp2709 +a(g92 +Vobj +p2710 +tp2711 +a(g6 +V\u000a\u000a\u000a +p2712 +tp2713 +a(g31 +V# Convenience version of a common use case of map: fetching a property.\u000a +p2714 +tp2715 +a(g6 +V +p2716 +tp2717 +a(g6 +V +tp2718 +a(g100 +V_.pluck: +p2719 +tp2720 +a(g6 +V +tp2721 +a(g216 +V( +tp2722 +a(g6 +V +tp2723 +a(g92 +Vobj +p2724 +tp2725 +a(g6 +V +tp2726 +a(g216 +V, +tp2727 +a(g6 +V +tp2728 +a(g6 +V +tp2729 +a(g92 +Vkey +p2730 +tp2731 +a(g6 +V +tp2732 +a(g216 +V) +tp2733 +a(g6 +V +tp2734 +a(g357 +V- +tp2735 +a(g6 +V +tp2736 +a(g357 +V> +tp2737 +a(g6 +V\u000a +p2738 +tp2739 +a(g6 +V +tp2740 +a(g92 +V_ +tp2741 +a(g6 +V +tp2742 +a(g216 +V. +tp2743 +a(g92 +Vmap +p2744 +tp2745 +a(g6 +V +tp2746 +a(g216 +V( +tp2747 +a(g6 +V +tp2748 +a(g92 +Vobj +p2749 +tp2750 +a(g6 +V +tp2751 +a(g216 +V, +tp2752 +a(g6 +V +tp2753 +a(g6 +V +tp2754 +a(g216 +V( +tp2755 +a(g6 +V +tp2756 +a(g216 +V( +tp2757 +a(g6 +V +tp2758 +a(g92 +Vval +p2759 +tp2760 +a(g6 +V +tp2761 +a(g216 +V) +tp2762 +a(g6 +V +tp2763 +a(g357 +V- +tp2764 +a(g6 +V +tp2765 +a(g357 +V> +tp2766 +a(g6 +V +tp2767 +a(g6 +V +tp2768 +a(g92 +Vval +p2769 +tp2770 +a(g6 +V +tp2771 +a(g216 +V[ +tp2772 +a(g6 +V +tp2773 +a(g92 +Vkey +p2774 +tp2775 +a(g6 +V +tp2776 +a(g216 +V] +tp2777 +a(g216 +V) +tp2778 +a(g216 +V) +tp2779 +a(g6 +V\u000a\u000a\u000a +p2780 +tp2781 +a(g31 +V# Return the maximum item or (item-based computation).\u000a +p2782 +tp2783 +a(g6 +V +p2784 +tp2785 +a(g100 +V_.max: +p2786 +tp2787 +a(g6 +V +tp2788 +a(g216 +V( +tp2789 +a(g6 +V +tp2790 +a(g92 +Vobj +p2791 +tp2792 +a(g6 +V +tp2793 +a(g216 +V, +tp2794 +a(g6 +V +tp2795 +a(g6 +V +tp2796 +a(g92 +Viterator +p2797 +tp2798 +a(g6 +V +tp2799 +a(g216 +V, +tp2800 +a(g6 +V +tp2801 +a(g6 +V +tp2802 +a(g92 +Vcontext +p2803 +tp2804 +a(g6 +V +tp2805 +a(g216 +V) +tp2806 +a(g6 +V +tp2807 +a(g357 +V- +tp2808 +a(g6 +V +tp2809 +a(g357 +V> +tp2810 +a(g6 +V\u000a +p2811 +tp2812 +a(g6 +V +tp2813 +a(g138 +Vreturn +p2814 +tp2815 +a(g6 +V +tp2816 +a(g6 +V +tp2817 +a(g84 +VMath +p2818 +tp2819 +a(g216 +V. +tp2820 +a(g92 +Vmax +p2821 +tp2822 +a(g6 +V +tp2823 +a(g216 +V. +tp2824 +a(g92 +Vapply +p2825 +tp2826 +a(g6 +V +tp2827 +a(g216 +V( +tp2828 +a(g6 +V +tp2829 +a(g84 +VMath +p2830 +tp2831 +a(g216 +V, +tp2832 +a(g6 +V +tp2833 +a(g6 +V +tp2834 +a(g92 +Vobj +p2835 +tp2836 +a(g6 +V +tp2837 +a(g216 +V) +tp2838 +a(g6 +V +tp2839 +a(g138 +Vif +p2840 +tp2841 +a(g6 +V +tp2842 +a(g6 +V +tp2843 +a(g357 +Vnot +p2844 +tp2845 +a(g6 +V +tp2846 +a(g6 +V +tp2847 +a(g92 +Viterator +p2848 +tp2849 +a(g6 +V +tp2850 +a(g6 +V +tp2851 +a(g357 +Vand +p2852 +tp2853 +a(g6 +V +tp2854 +a(g6 +V +tp2855 +a(g92 +V_ +tp2856 +a(g6 +V +tp2857 +a(g216 +V. +tp2858 +a(g92 +VisArray +p2859 +tp2860 +a(g6 +V +tp2861 +a(g216 +V( +tp2862 +a(g6 +V +tp2863 +a(g92 +Vobj +p2864 +tp2865 +a(g6 +V +tp2866 +a(g216 +V) +tp2867 +a(g6 +V\u000a +p2868 +tp2869 +a(g100 +Vresult: +p2870 +tp2871 +a(g6 +V +tp2872 +a(g216 +V{ +tp2873 +a(g6 +V +tp2874 +a(g100 +Vcomputed: +p2875 +tp2876 +a(g6 +V +tp2877 +a(g357 +V- +tp2878 +a(g6 +V +tp2879 +a(g144 +VInfinity +p2880 +tp2881 +a(g216 +V} +tp2882 +a(g6 +V\u000a +p2883 +tp2884 +a(g92 +V_ +tp2885 +a(g6 +V +tp2886 +a(g216 +V. +tp2887 +a(g92 +Veach +p2888 +tp2889 +a(g6 +V +tp2890 +a(g6 +V +tp2891 +a(g92 +Vobj +p2892 +tp2893 +a(g6 +V +tp2894 +a(g216 +V, +tp2895 +a(g6 +V +tp2896 +a(g6 +V +tp2897 +a(g216 +V( +tp2898 +a(g6 +V +tp2899 +a(g92 +Vvalue +p2900 +tp2901 +a(g6 +V +tp2902 +a(g216 +V, +tp2903 +a(g6 +V +tp2904 +a(g6 +V +tp2905 +a(g92 +Vindex +p2906 +tp2907 +a(g6 +V +tp2908 +a(g216 +V, +tp2909 +a(g6 +V +tp2910 +a(g6 +V +tp2911 +a(g92 +Vlist +p2912 +tp2913 +a(g6 +V +tp2914 +a(g216 +V) +tp2915 +a(g6 +V +tp2916 +a(g357 +V- +tp2917 +a(g6 +V +tp2918 +a(g357 +V> +tp2919 +a(g6 +V\u000a +p2920 +tp2921 +a(g6 +V +tp2922 +a(g100 +Vcomputed: +p2923 +tp2924 +a(g6 +V +tp2925 +a(g138 +Vif +p2926 +tp2927 +a(g6 +V +tp2928 +a(g6 +V +tp2929 +a(g92 +Viterator +p2930 +tp2931 +a(g6 +V +tp2932 +a(g6 +V +tp2933 +a(g138 +Vthen +p2934 +tp2935 +a(g6 +V +tp2936 +a(g6 +V +tp2937 +a(g92 +Viterator +p2938 +tp2939 +a(g6 +V +tp2940 +a(g216 +V. +tp2941 +a(g92 +Vcall +p2942 +tp2943 +a(g6 +V +tp2944 +a(g216 +V( +tp2945 +a(g6 +V +tp2946 +a(g92 +Vcontext +p2947 +tp2948 +a(g6 +V +tp2949 +a(g216 +V, +tp2950 +a(g6 +V +tp2951 +a(g6 +V +tp2952 +a(g92 +Vvalue +p2953 +tp2954 +a(g6 +V +tp2955 +a(g216 +V, +tp2956 +a(g6 +V +tp2957 +a(g6 +V +tp2958 +a(g92 +Vindex +p2959 +tp2960 +a(g6 +V +tp2961 +a(g216 +V, +tp2962 +a(g6 +V +tp2963 +a(g6 +V +tp2964 +a(g92 +Vlist +p2965 +tp2966 +a(g6 +V +tp2967 +a(g216 +V) +tp2968 +a(g6 +V +tp2969 +a(g138 +Velse +p2970 +tp2971 +a(g6 +V +tp2972 +a(g6 +V +tp2973 +a(g92 +Vvalue +p2974 +tp2975 +a(g6 +V\u000a +p2976 +tp2977 +a(g6 +V +tp2978 +a(g92 +Vcomputed +p2979 +tp2980 +a(g6 +V +tp2981 +a(g6 +V +tp2982 +a(g357 +V>= +p2983 +tp2984 +a(g6 +V +tp2985 +a(g6 +V +tp2986 +a(g92 +Vresult +p2987 +tp2988 +a(g6 +V +tp2989 +a(g216 +V. +tp2990 +a(g92 +Vcomputed +p2991 +tp2992 +a(g6 +V +tp2993 +a(g6 +V +tp2994 +a(g357 +Vand +p2995 +tp2996 +a(g6 +V +tp2997 +a(g6 +V +tp2998 +a(g216 +V( +tp2999 +a(g6 +V +tp3000 +a(g100 +Vresult: +p3001 +tp3002 +a(g6 +V +tp3003 +a(g216 +V{ +tp3004 +a(g6 +V +tp3005 +a(g100 +Vvalue: +p3006 +tp3007 +a(g6 +V +tp3008 +a(g92 +Vvalue +p3009 +tp3010 +a(g6 +V +tp3011 +a(g216 +V, +tp3012 +a(g6 +V +tp3013 +a(g6 +V +tp3014 +a(g100 +Vcomputed: +p3015 +tp3016 +a(g6 +V +tp3017 +a(g92 +Vcomputed +p3018 +tp3019 +a(g6 +V +tp3020 +a(g216 +V} +tp3021 +a(g216 +V) +tp3022 +a(g6 +V\u000a +p3023 +tp3024 +a(g92 +Vresult +p3025 +tp3026 +a(g6 +V +tp3027 +a(g216 +V. +tp3028 +a(g92 +Vvalue +p3029 +tp3030 +a(g6 +V\u000a\u000a\u000a +p3031 +tp3032 +a(g31 +V# Return the minimum element (or element-based computation).\u000a +p3033 +tp3034 +a(g6 +V +p3035 +tp3036 +a(g6 +V +tp3037 +a(g100 +V_.min: +p3038 +tp3039 +a(g6 +V +tp3040 +a(g216 +V( +tp3041 +a(g6 +V +tp3042 +a(g92 +Vobj +p3043 +tp3044 +a(g6 +V +tp3045 +a(g216 +V, +tp3046 +a(g6 +V +tp3047 +a(g6 +V +tp3048 +a(g92 +Viterator +p3049 +tp3050 +a(g6 +V +tp3051 +a(g216 +V, +tp3052 +a(g6 +V +tp3053 +a(g6 +V +tp3054 +a(g92 +Vcontext +p3055 +tp3056 +a(g6 +V +tp3057 +a(g216 +V) +tp3058 +a(g6 +V +tp3059 +a(g357 +V- +tp3060 +a(g6 +V +tp3061 +a(g357 +V> +tp3062 +a(g6 +V\u000a +p3063 +tp3064 +a(g6 +V +tp3065 +a(g138 +Vreturn +p3066 +tp3067 +a(g6 +V +tp3068 +a(g6 +V +tp3069 +a(g84 +VMath +p3070 +tp3071 +a(g216 +V. +tp3072 +a(g92 +Vmin +p3073 +tp3074 +a(g6 +V +tp3075 +a(g216 +V. +tp3076 +a(g92 +Vapply +p3077 +tp3078 +a(g6 +V +tp3079 +a(g216 +V( +tp3080 +a(g6 +V +tp3081 +a(g84 +VMath +p3082 +tp3083 +a(g216 +V, +tp3084 +a(g6 +V +tp3085 +a(g6 +V +tp3086 +a(g92 +Vobj +p3087 +tp3088 +a(g6 +V +tp3089 +a(g216 +V) +tp3090 +a(g6 +V +tp3091 +a(g138 +Vif +p3092 +tp3093 +a(g6 +V +tp3094 +a(g6 +V +tp3095 +a(g357 +Vnot +p3096 +tp3097 +a(g6 +V +tp3098 +a(g6 +V +tp3099 +a(g92 +Viterator +p3100 +tp3101 +a(g6 +V +tp3102 +a(g6 +V +tp3103 +a(g357 +Vand +p3104 +tp3105 +a(g6 +V +tp3106 +a(g6 +V +tp3107 +a(g92 +V_ +tp3108 +a(g6 +V +tp3109 +a(g216 +V. +tp3110 +a(g92 +VisArray +p3111 +tp3112 +a(g6 +V +tp3113 +a(g216 +V( +tp3114 +a(g6 +V +tp3115 +a(g92 +Vobj +p3116 +tp3117 +a(g6 +V +tp3118 +a(g216 +V) +tp3119 +a(g6 +V\u000a +p3120 +tp3121 +a(g100 +Vresult: +p3122 +tp3123 +a(g6 +V +tp3124 +a(g216 +V{ +tp3125 +a(g6 +V +tp3126 +a(g100 +Vcomputed: +p3127 +tp3128 +a(g6 +V +tp3129 +a(g144 +VInfinity +p3130 +tp3131 +a(g216 +V} +tp3132 +a(g6 +V\u000a +p3133 +tp3134 +a(g92 +V_ +tp3135 +a(g6 +V +tp3136 +a(g216 +V. +tp3137 +a(g92 +Veach +p3138 +tp3139 +a(g6 +V +tp3140 +a(g6 +V +tp3141 +a(g92 +Vobj +p3142 +tp3143 +a(g6 +V +tp3144 +a(g216 +V, +tp3145 +a(g6 +V +tp3146 +a(g6 +V +tp3147 +a(g216 +V( +tp3148 +a(g6 +V +tp3149 +a(g92 +Vvalue +p3150 +tp3151 +a(g6 +V +tp3152 +a(g216 +V, +tp3153 +a(g6 +V +tp3154 +a(g6 +V +tp3155 +a(g92 +Vindex +p3156 +tp3157 +a(g6 +V +tp3158 +a(g216 +V, +tp3159 +a(g6 +V +tp3160 +a(g6 +V +tp3161 +a(g92 +Vlist +p3162 +tp3163 +a(g6 +V +tp3164 +a(g216 +V) +tp3165 +a(g6 +V +tp3166 +a(g357 +V- +tp3167 +a(g6 +V +tp3168 +a(g357 +V> +tp3169 +a(g6 +V\u000a +p3170 +tp3171 +a(g6 +V +tp3172 +a(g100 +Vcomputed: +p3173 +tp3174 +a(g6 +V +tp3175 +a(g138 +Vif +p3176 +tp3177 +a(g6 +V +tp3178 +a(g6 +V +tp3179 +a(g92 +Viterator +p3180 +tp3181 +a(g6 +V +tp3182 +a(g6 +V +tp3183 +a(g138 +Vthen +p3184 +tp3185 +a(g6 +V +tp3186 +a(g6 +V +tp3187 +a(g92 +Viterator +p3188 +tp3189 +a(g6 +V +tp3190 +a(g216 +V. +tp3191 +a(g92 +Vcall +p3192 +tp3193 +a(g6 +V +tp3194 +a(g216 +V( +tp3195 +a(g6 +V +tp3196 +a(g92 +Vcontext +p3197 +tp3198 +a(g6 +V +tp3199 +a(g216 +V, +tp3200 +a(g6 +V +tp3201 +a(g6 +V +tp3202 +a(g92 +Vvalue +p3203 +tp3204 +a(g6 +V +tp3205 +a(g216 +V, +tp3206 +a(g6 +V +tp3207 +a(g6 +V +tp3208 +a(g92 +Vindex +p3209 +tp3210 +a(g6 +V +tp3211 +a(g216 +V, +tp3212 +a(g6 +V +tp3213 +a(g6 +V +tp3214 +a(g92 +Vlist +p3215 +tp3216 +a(g6 +V +tp3217 +a(g216 +V) +tp3218 +a(g6 +V +tp3219 +a(g138 +Velse +p3220 +tp3221 +a(g6 +V +tp3222 +a(g6 +V +tp3223 +a(g92 +Vvalue +p3224 +tp3225 +a(g6 +V\u000a +p3226 +tp3227 +a(g6 +V +tp3228 +a(g92 +Vcomputed +p3229 +tp3230 +a(g6 +V +tp3231 +a(g6 +V +tp3232 +a(g357 +V< +tp3233 +a(g6 +V +tp3234 +a(g6 +V +tp3235 +a(g92 +Vresult +p3236 +tp3237 +a(g6 +V +tp3238 +a(g216 +V. +tp3239 +a(g92 +Vcomputed +p3240 +tp3241 +a(g6 +V +tp3242 +a(g6 +V +tp3243 +a(g357 +Vand +p3244 +tp3245 +a(g6 +V +tp3246 +a(g6 +V +tp3247 +a(g216 +V( +tp3248 +a(g6 +V +tp3249 +a(g100 +Vresult: +p3250 +tp3251 +a(g6 +V +tp3252 +a(g216 +V{ +tp3253 +a(g6 +V +tp3254 +a(g100 +Vvalue: +p3255 +tp3256 +a(g6 +V +tp3257 +a(g92 +Vvalue +p3258 +tp3259 +a(g6 +V +tp3260 +a(g216 +V, +tp3261 +a(g6 +V +tp3262 +a(g6 +V +tp3263 +a(g100 +Vcomputed: +p3264 +tp3265 +a(g6 +V +tp3266 +a(g92 +Vcomputed +p3267 +tp3268 +a(g6 +V +tp3269 +a(g216 +V} +tp3270 +a(g216 +V) +tp3271 +a(g6 +V\u000a +p3272 +tp3273 +a(g92 +Vresult +p3274 +tp3275 +a(g6 +V +tp3276 +a(g216 +V. +tp3277 +a(g92 +Vvalue +p3278 +tp3279 +a(g6 +V\u000a\u000a\u000a +p3280 +tp3281 +a(g31 +V# Sort the object's values by a criteria produced by an iterator.\u000a +p3282 +tp3283 +a(g6 +V +p3284 +tp3285 +a(g6 +V +tp3286 +a(g100 +V_.sortBy: +p3287 +tp3288 +a(g6 +V +tp3289 +a(g216 +V( +tp3290 +a(g6 +V +tp3291 +a(g92 +Vobj +p3292 +tp3293 +a(g6 +V +tp3294 +a(g216 +V, +tp3295 +a(g6 +V +tp3296 +a(g6 +V +tp3297 +a(g92 +Viterator +p3298 +tp3299 +a(g6 +V +tp3300 +a(g216 +V, +tp3301 +a(g6 +V +tp3302 +a(g6 +V +tp3303 +a(g92 +Vcontext +p3304 +tp3305 +a(g6 +V +tp3306 +a(g216 +V) +tp3307 +a(g6 +V +tp3308 +a(g357 +V- +tp3309 +a(g6 +V +tp3310 +a(g357 +V> +tp3311 +a(g6 +V\u000a +p3312 +tp3313 +a(g6 +V +tp3314 +a(g92 +V_ +tp3315 +a(g6 +V +tp3316 +a(g216 +V. +tp3317 +a(g92 +Vpluck +p3318 +tp3319 +a(g6 +V +tp3320 +a(g216 +V( +tp3321 +a(g6 +V +tp3322 +a(g216 +V( +tp3323 +a(g6 +V +tp3324 +a(g216 +V( +tp3325 +a(g6 +V +tp3326 +a(g92 +V_ +tp3327 +a(g6 +V +tp3328 +a(g216 +V. +tp3329 +a(g92 +Vmap +p3330 +tp3331 +a(g6 +V +tp3332 +a(g6 +V +tp3333 +a(g92 +Vobj +p3334 +tp3335 +a(g6 +V +tp3336 +a(g216 +V, +tp3337 +a(g6 +V +tp3338 +a(g6 +V +tp3339 +a(g216 +V( +tp3340 +a(g6 +V +tp3341 +a(g92 +Vvalue +p3342 +tp3343 +a(g6 +V +tp3344 +a(g216 +V, +tp3345 +a(g6 +V +tp3346 +a(g6 +V +tp3347 +a(g92 +Vindex +p3348 +tp3349 +a(g6 +V +tp3350 +a(g216 +V, +tp3351 +a(g6 +V +tp3352 +a(g6 +V +tp3353 +a(g92 +Vlist +p3354 +tp3355 +a(g6 +V +tp3356 +a(g216 +V) +tp3357 +a(g6 +V +tp3358 +a(g357 +V- +tp3359 +a(g6 +V +tp3360 +a(g357 +V> +tp3361 +a(g6 +V\u000a +p3362 +tp3363 +a(g6 +V +tp3364 +a(g216 +V{ +tp3365 +a(g6 +V +tp3366 +a(g100 +Vvalue: +p3367 +tp3368 +a(g6 +V +tp3369 +a(g92 +Vvalue +p3370 +tp3371 +a(g6 +V +tp3372 +a(g216 +V, +tp3373 +a(g6 +V +tp3374 +a(g6 +V +tp3375 +a(g100 +Vcriteria: +p3376 +tp3377 +a(g6 +V +tp3378 +a(g92 +Viterator +p3379 +tp3380 +a(g6 +V +tp3381 +a(g216 +V. +tp3382 +a(g92 +Vcall +p3383 +tp3384 +a(g6 +V +tp3385 +a(g216 +V( +tp3386 +a(g6 +V +tp3387 +a(g92 +Vcontext +p3388 +tp3389 +a(g6 +V +tp3390 +a(g216 +V, +tp3391 +a(g6 +V +tp3392 +a(g6 +V +tp3393 +a(g92 +Vvalue +p3394 +tp3395 +a(g6 +V +tp3396 +a(g216 +V, +tp3397 +a(g6 +V +tp3398 +a(g6 +V +tp3399 +a(g92 +Vindex +p3400 +tp3401 +a(g6 +V +tp3402 +a(g216 +V, +tp3403 +a(g6 +V +tp3404 +a(g6 +V +tp3405 +a(g92 +Vlist +p3406 +tp3407 +a(g6 +V +tp3408 +a(g216 +V) +tp3409 +a(g216 +V} +tp3410 +a(g6 +V\u000a +p3411 +tp3412 +a(g216 +V) +tp3413 +a(g216 +V. +tp3414 +a(g92 +Vsort +p3415 +tp3416 +a(g6 +V +tp3417 +a(g216 +V( +tp3418 +a(g6 +V +tp3419 +a(g216 +V( +tp3420 +a(g6 +V +tp3421 +a(g92 +Vleft +p3422 +tp3423 +a(g6 +V +tp3424 +a(g216 +V, +tp3425 +a(g6 +V +tp3426 +a(g6 +V +tp3427 +a(g92 +Vright +p3428 +tp3429 +a(g6 +V +tp3430 +a(g216 +V) +tp3431 +a(g6 +V +tp3432 +a(g357 +V- +tp3433 +a(g6 +V +tp3434 +a(g357 +V> +tp3435 +a(g6 +V\u000a +p3436 +tp3437 +a(g6 +V +tp3438 +a(g100 +Va: +p3439 +tp3440 +a(g6 +V +tp3441 +a(g92 +Vleft +p3442 +tp3443 +a(g6 +V +tp3444 +a(g216 +V. +tp3445 +a(g92 +Vcriteria +p3446 +tp3447 +a(g6 +V +tp3448 +a(g216 +V; +tp3449 +a(g6 +V +tp3450 +a(g6 +V +tp3451 +a(g100 +Vb: +p3452 +tp3453 +a(g6 +V +tp3454 +a(g92 +Vright +p3455 +tp3456 +a(g6 +V +tp3457 +a(g216 +V. +tp3458 +a(g92 +Vcriteria +p3459 +tp3460 +a(g6 +V\u000a +p3461 +tp3462 +a(g6 +V +tp3463 +a(g138 +Vif +p3464 +tp3465 +a(g6 +V +tp3466 +a(g6 +V +tp3467 +a(g92 +Va +tp3468 +a(g6 +V +tp3469 +a(g6 +V +tp3470 +a(g357 +V< +tp3471 +a(g6 +V +tp3472 +a(g6 +V +tp3473 +a(g92 +Vb +tp3474 +a(g6 +V +tp3475 +a(g6 +V +tp3476 +a(g138 +Vthen +p3477 +tp3478 +a(g6 +V +tp3479 +a(g6 +V +tp3480 +a(g357 +V- +tp3481 +a(g6 +V +tp3482 +a(g332 +V1 +tp3483 +a(g6 +V +tp3484 +a(g138 +Velse +p3485 +tp3486 +a(g6 +V +tp3487 +a(g6 +V +tp3488 +a(g138 +Vif +p3489 +tp3490 +a(g6 +V +tp3491 +a(g6 +V +tp3492 +a(g92 +Va +tp3493 +a(g6 +V +tp3494 +a(g6 +V +tp3495 +a(g357 +V> +tp3496 +a(g6 +V +tp3497 +a(g6 +V +tp3498 +a(g92 +Vb +tp3499 +a(g6 +V +tp3500 +a(g6 +V +tp3501 +a(g138 +Vthen +p3502 +tp3503 +a(g6 +V +tp3504 +a(g6 +V +tp3505 +a(g332 +V1 +tp3506 +a(g6 +V +tp3507 +a(g138 +Velse +p3508 +tp3509 +a(g6 +V +tp3510 +a(g6 +V +tp3511 +a(g332 +V0 +tp3512 +a(g6 +V\u000a +p3513 +tp3514 +a(g216 +V) +tp3515 +a(g216 +V) +tp3516 +a(g216 +V, +tp3517 +a(g6 +V +tp3518 +a(g6 +V +tp3519 +a(g285 +V'value' +p3520 +tp3521 +a(g216 +V) +tp3522 +a(g6 +V\u000a\u000a\u000a +p3523 +tp3524 +a(g31 +V# Use a comparator function to figure out at what index an object should\u000a +p3525 +tp3526 +a(g6 +V +p3527 +tp3528 +a(g31 +V# be inserted so as to maintain order. Uses binary search.\u000a +p3529 +tp3530 +a(g6 +V +p3531 +tp3532 +a(g100 +V_.sortedIndex: +p3533 +tp3534 +a(g6 +V +tp3535 +a(g216 +V( +tp3536 +a(g6 +V +tp3537 +a(g92 +Varray +p3538 +tp3539 +a(g6 +V +tp3540 +a(g216 +V, +tp3541 +a(g6 +V +tp3542 +a(g6 +V +tp3543 +a(g92 +Vobj +p3544 +tp3545 +a(g6 +V +tp3546 +a(g216 +V, +tp3547 +a(g6 +V +tp3548 +a(g6 +V +tp3549 +a(g92 +Viterator +p3550 +tp3551 +a(g6 +V +tp3552 +a(g216 +V) +tp3553 +a(g6 +V +tp3554 +a(g357 +V- +tp3555 +a(g6 +V +tp3556 +a(g357 +V> +tp3557 +a(g6 +V\u000a +p3558 +tp3559 +a(g6 +V +tp3560 +a(g92 +Viterator +p3561 +tp3562 +a(g6 +V +tp3563 +a(g6 +V +tp3564 +a(g357 +V|| +p3565 +tp3566 +a(g6 +V +tp3567 +a(g357 +V= +tp3568 +a(g6 +V +tp3569 +a(g6 +V +tp3570 +a(g92 +V_ +tp3571 +a(g6 +V +tp3572 +a(g216 +V. +tp3573 +a(g92 +Videntity +p3574 +tp3575 +a(g6 +V\u000a +p3576 +tp3577 +a(g6 +V +tp3578 +a(g100 +Vlow: +p3579 +tp3580 +a(g6 +V +tp3581 +a(g332 +V0 +tp3582 +a(g216 +V; +tp3583 +a(g6 +V +tp3584 +a(g6 +V +tp3585 +a(g100 +Vhigh: +p3586 +tp3587 +a(g6 +V +tp3588 +a(g92 +Varray +p3589 +tp3590 +a(g6 +V +tp3591 +a(g216 +V. +tp3592 +a(g92 +Vlength +p3593 +tp3594 +a(g6 +V\u000a +p3595 +tp3596 +a(g6 +V +tp3597 +a(g138 +Vwhile +p3598 +tp3599 +a(g6 +V +tp3600 +a(g6 +V +tp3601 +a(g92 +Vlow +p3602 +tp3603 +a(g6 +V +tp3604 +a(g6 +V +tp3605 +a(g357 +V< +tp3606 +a(g6 +V +tp3607 +a(g6 +V +tp3608 +a(g92 +Vhigh +p3609 +tp3610 +a(g6 +V\u000a +p3611 +tp3612 +a(g6 +V +tp3613 +a(g100 +Vmid: +p3614 +tp3615 +a(g6 +V +tp3616 +a(g216 +V( +tp3617 +a(g6 +V +tp3618 +a(g92 +Vlow +p3619 +tp3620 +a(g6 +V +tp3621 +a(g6 +V +tp3622 +a(g357 +V+ +tp3623 +a(g6 +V +tp3624 +a(g6 +V +tp3625 +a(g92 +Vhigh +p3626 +tp3627 +a(g6 +V +tp3628 +a(g216 +V) +tp3629 +a(g6 +V +tp3630 +a(g357 +V>> +p3631 +tp3632 +a(g6 +V +tp3633 +a(g6 +V +tp3634 +a(g332 +V1 +tp3635 +a(g6 +V\u000a +p3636 +tp3637 +a(g138 +Vif +p3638 +tp3639 +a(g6 +V +tp3640 +a(g6 +V +tp3641 +a(g92 +Viterator +p3642 +tp3643 +a(g6 +V +tp3644 +a(g216 +V( +tp3645 +a(g6 +V +tp3646 +a(g92 +Varray +p3647 +tp3648 +a(g6 +V +tp3649 +a(g216 +V[ +tp3650 +a(g6 +V +tp3651 +a(g92 +Vmid +p3652 +tp3653 +a(g6 +V +tp3654 +a(g216 +V] +tp3655 +a(g216 +V) +tp3656 +a(g6 +V +tp3657 +a(g357 +V< +tp3658 +a(g6 +V +tp3659 +a(g6 +V +tp3660 +a(g92 +Viterator +p3661 +tp3662 +a(g6 +V +tp3663 +a(g216 +V( +tp3664 +a(g6 +V +tp3665 +a(g92 +Vobj +p3666 +tp3667 +a(g6 +V +tp3668 +a(g216 +V) +tp3669 +a(g6 +V +tp3670 +a(g138 +Vthen +p3671 +tp3672 +a(g6 +V +tp3673 +a(g6 +V +tp3674 +a(g100 +Vlow: +p3675 +tp3676 +a(g6 +V +tp3677 +a(g92 +Vmid +p3678 +tp3679 +a(g6 +V +tp3680 +a(g6 +V +tp3681 +a(g357 +V+ +tp3682 +a(g6 +V +tp3683 +a(g6 +V +tp3684 +a(g332 +V1 +tp3685 +a(g6 +V +tp3686 +a(g138 +Velse +p3687 +tp3688 +a(g6 +V +tp3689 +a(g6 +V +tp3690 +a(g100 +Vhigh: +p3691 +tp3692 +a(g6 +V +tp3693 +a(g92 +Vmid +p3694 +tp3695 +a(g6 +V\u000a +p3696 +tp3697 +a(g6 +V +tp3698 +a(g92 +Vlow +p3699 +tp3700 +a(g6 +V\u000a\u000a\u000a +p3701 +tp3702 +a(g31 +V# Convert anything iterable into a real, live array.\u000a +p3703 +tp3704 +a(g6 +V +p3705 +tp3706 +a(g6 +V +tp3707 +a(g100 +V_.toArray: +p3708 +tp3709 +a(g6 +V +tp3710 +a(g216 +V( +tp3711 +a(g6 +V +tp3712 +a(g92 +Viterable +p3713 +tp3714 +a(g6 +V +tp3715 +a(g216 +V) +tp3716 +a(g6 +V +tp3717 +a(g357 +V- +tp3718 +a(g6 +V +tp3719 +a(g357 +V> +tp3720 +a(g6 +V\u000a +p3721 +tp3722 +a(g6 +V +tp3723 +a(g138 +Vreturn +p3724 +tp3725 +a(g6 +V +tp3726 +a(g6 +V +tp3727 +a(g216 +V[ +tp3728 +a(g6 +V +tp3729 +a(g216 +V] +tp3730 +a(g6 +V +p3731 +tp3732 +a(g138 +Vif +p3733 +tp3734 +a(g6 +V +tp3735 +a(g6 +V +tp3736 +a(g216 +V( +tp3737 +a(g6 +V +tp3738 +a(g357 +V! +tp3739 +a(g6 +V +tp3740 +a(g92 +Viterable +p3741 +tp3742 +a(g6 +V +tp3743 +a(g216 +V) +tp3744 +a(g6 +V\u000a +p3745 +tp3746 +a(g138 +Vreturn +p3747 +tp3748 +a(g6 +V +tp3749 +a(g6 +V +tp3750 +a(g92 +Viterable +p3751 +tp3752 +a(g6 +V +tp3753 +a(g216 +V. +tp3754 +a(g92 +VtoArray +p3755 +tp3756 +a(g6 +V +tp3757 +a(g216 +V( +tp3758 +a(g6 +V +tp3759 +a(g216 +V) +tp3760 +a(g6 +V +p3761 +tp3762 +a(g138 +Vif +p3763 +tp3764 +a(g6 +V +tp3765 +a(g6 +V +tp3766 +a(g216 +V( +tp3767 +a(g6 +V +tp3768 +a(g92 +Viterable +p3769 +tp3770 +a(g6 +V +tp3771 +a(g216 +V. +tp3772 +a(g92 +VtoArray +p3773 +tp3774 +a(g6 +V +tp3775 +a(g216 +V) +tp3776 +a(g6 +V\u000a +p3777 +tp3778 +a(g138 +Vreturn +p3779 +tp3780 +a(g6 +V +tp3781 +a(g6 +V +tp3782 +a(g92 +Viterable +p3783 +tp3784 +a(g6 +V +p3785 +tp3786 +a(g6 +V +tp3787 +a(g138 +Vif +p3788 +tp3789 +a(g6 +V +tp3790 +a(g6 +V +tp3791 +a(g216 +V( +tp3792 +a(g6 +V +tp3793 +a(g92 +V_ +tp3794 +a(g6 +V +tp3795 +a(g216 +V. +tp3796 +a(g92 +VisArray +p3797 +tp3798 +a(g6 +V +tp3799 +a(g216 +V( +tp3800 +a(g6 +V +tp3801 +a(g92 +Viterable +p3802 +tp3803 +a(g6 +V +tp3804 +a(g216 +V) +tp3805 +a(g216 +V) +tp3806 +a(g6 +V\u000a +p3807 +tp3808 +a(g138 +Vreturn +p3809 +tp3810 +a(g6 +V +tp3811 +a(g6 +V +tp3812 +a(g92 +Vslice +p3813 +tp3814 +a(g6 +V +tp3815 +a(g216 +V. +tp3816 +a(g92 +Vcall +p3817 +tp3818 +a(g6 +V +tp3819 +a(g216 +V( +tp3820 +a(g6 +V +tp3821 +a(g92 +Viterable +p3822 +tp3823 +a(g6 +V +tp3824 +a(g216 +V) +tp3825 +a(g6 +V +tp3826 +a(g138 +Vif +p3827 +tp3828 +a(g6 +V +tp3829 +a(g6 +V +tp3830 +a(g216 +V( +tp3831 +a(g6 +V +tp3832 +a(g92 +V_ +tp3833 +a(g6 +V +tp3834 +a(g216 +V. +tp3835 +a(g92 +VisArguments +p3836 +tp3837 +a(g6 +V +tp3838 +a(g216 +V( +tp3839 +a(g6 +V +tp3840 +a(g92 +Viterable +p3841 +tp3842 +a(g6 +V +tp3843 +a(g216 +V) +tp3844 +a(g216 +V) +tp3845 +a(g6 +V\u000a +p3846 +tp3847 +a(g92 +V_ +tp3848 +a(g6 +V +tp3849 +a(g216 +V. +tp3850 +a(g92 +Vvalues +p3851 +tp3852 +a(g6 +V +tp3853 +a(g216 +V( +tp3854 +a(g6 +V +tp3855 +a(g92 +Viterable +p3856 +tp3857 +a(g6 +V +tp3858 +a(g216 +V) +tp3859 +a(g6 +V\u000a\u000a\u000a +p3860 +tp3861 +a(g31 +V# Return the number of elements in an object.\u000a +p3862 +tp3863 +a(g6 +V +p3864 +tp3865 +a(g100 +V_.size: +p3866 +tp3867 +a(g6 +V +tp3868 +a(g216 +V( +tp3869 +a(g6 +V +tp3870 +a(g92 +Vobj +p3871 +tp3872 +a(g6 +V +tp3873 +a(g216 +V) +tp3874 +a(g6 +V +tp3875 +a(g357 +V- +tp3876 +a(g6 +V +tp3877 +a(g357 +V> +tp3878 +a(g6 +V +tp3879 +a(g6 +V +tp3880 +a(g92 +V_ +tp3881 +a(g6 +V +tp3882 +a(g216 +V. +tp3883 +a(g92 +VtoArray +p3884 +tp3885 +a(g6 +V +tp3886 +a(g216 +V( +tp3887 +a(g6 +V +tp3888 +a(g92 +Vobj +p3889 +tp3890 +a(g6 +V +tp3891 +a(g216 +V) +tp3892 +a(g216 +V. +tp3893 +a(g92 +Vlength +p3894 +tp3895 +a(g6 +V\u000a\u000a\u000a +p3896 +tp3897 +a(g31 +V# -------------------------- Array Functions: ------------------------------\u000a +p3898 +tp3899 +a(g6 +V\u000a +p3900 +tp3901 +a(g31 +V# Get the first element of an array. Passing "n" will return the first N\u000a +p3902 +tp3903 +a(g6 +V +p3904 +tp3905 +a(g31 +V# values in the array. Aliased as "head". The "guard" check allows it to work\u000a +p3906 +tp3907 +a(g6 +V +p3908 +tp3909 +a(g31 +V# with _.map.\u000a +p3910 +tp3911 +a(g6 +V +p3912 +tp3913 +a(g6 +V +tp3914 +a(g100 +V_.first: +p3915 +tp3916 +a(g6 +V +tp3917 +a(g216 +V( +tp3918 +a(g6 +V +tp3919 +a(g92 +Varray +p3920 +tp3921 +a(g6 +V +tp3922 +a(g216 +V, +tp3923 +a(g6 +V +tp3924 +a(g6 +V +tp3925 +a(g92 +Vn +tp3926 +a(g6 +V +tp3927 +a(g216 +V, +tp3928 +a(g6 +V +tp3929 +a(g6 +V +tp3930 +a(g92 +Vguard +p3931 +tp3932 +a(g6 +V +tp3933 +a(g216 +V) +tp3934 +a(g6 +V +tp3935 +a(g357 +V- +tp3936 +a(g6 +V +tp3937 +a(g357 +V> +tp3938 +a(g6 +V\u000a +p3939 +tp3940 +a(g6 +V +tp3941 +a(g138 +Vif +p3942 +tp3943 +a(g6 +V +tp3944 +a(g6 +V +tp3945 +a(g92 +Vn +tp3946 +a(g6 +V +tp3947 +a(g6 +V +tp3948 +a(g357 +Vand +p3949 +tp3950 +a(g6 +V +tp3951 +a(g6 +V +tp3952 +a(g357 +Vnot +p3953 +tp3954 +a(g6 +V +tp3955 +a(g6 +V +tp3956 +a(g92 +Vguard +p3957 +tp3958 +a(g6 +V +tp3959 +a(g6 +V +tp3960 +a(g138 +Vthen +p3961 +tp3962 +a(g6 +V +tp3963 +a(g6 +V +tp3964 +a(g92 +Vslice +p3965 +tp3966 +a(g6 +V +tp3967 +a(g216 +V. +tp3968 +a(g92 +Vcall +p3969 +tp3970 +a(g6 +V +tp3971 +a(g216 +V( +tp3972 +a(g6 +V +tp3973 +a(g92 +Varray +p3974 +tp3975 +a(g6 +V +tp3976 +a(g216 +V, +tp3977 +a(g6 +V +tp3978 +a(g6 +V +tp3979 +a(g332 +V0 +tp3980 +a(g216 +V, +tp3981 +a(g6 +V +tp3982 +a(g6 +V +tp3983 +a(g92 +Vn +tp3984 +a(g6 +V +tp3985 +a(g216 +V) +tp3986 +a(g6 +V +tp3987 +a(g138 +Velse +p3988 +tp3989 +a(g6 +V +tp3990 +a(g6 +V +tp3991 +a(g92 +Varray +p3992 +tp3993 +a(g6 +V +tp3994 +a(g216 +V[ +tp3995 +a(g6 +V +tp3996 +a(g332 +V0 +tp3997 +a(g216 +V] +tp3998 +a(g6 +V\u000a\u000a\u000a +p3999 +tp4000 +a(g31 +V# Returns everything but the first entry of the array. Aliased as "tail".\u000a +p4001 +tp4002 +a(g6 +V +p4003 +tp4004 +a(g31 +V# Especially useful on the arguments object. Passing an "index" will return\u000a +p4005 +tp4006 +a(g6 +V +p4007 +tp4008 +a(g31 +V# the rest of the values in the array from that index onward. The "guard"\u000a +p4009 +tp4010 +a(g6 +V +p4011 +tp4012 +a(g31 +V# check allows it to work with _.map.\u000a +p4013 +tp4014 +a(g6 +V +p4015 +tp4016 +a(g100 +V_.rest: +p4017 +tp4018 +a(g6 +V +tp4019 +a(g216 +V( +tp4020 +a(g6 +V +tp4021 +a(g92 +Varray +p4022 +tp4023 +a(g6 +V +tp4024 +a(g216 +V, +tp4025 +a(g6 +V +tp4026 +a(g6 +V +tp4027 +a(g92 +Vindex +p4028 +tp4029 +a(g6 +V +tp4030 +a(g216 +V, +tp4031 +a(g6 +V +tp4032 +a(g6 +V +tp4033 +a(g92 +Vguard +p4034 +tp4035 +a(g6 +V +tp4036 +a(g216 +V) +tp4037 +a(g6 +V +tp4038 +a(g357 +V- +tp4039 +a(g6 +V +tp4040 +a(g357 +V> +tp4041 +a(g6 +V\u000a +p4042 +tp4043 +a(g6 +V +tp4044 +a(g92 +Vslice +p4045 +tp4046 +a(g6 +V +tp4047 +a(g216 +V. +tp4048 +a(g92 +Vcall +p4049 +tp4050 +a(g6 +V +tp4051 +a(g216 +V( +tp4052 +a(g6 +V +tp4053 +a(g92 +Varray +p4054 +tp4055 +a(g6 +V +tp4056 +a(g216 +V, +tp4057 +a(g6 +V +tp4058 +a(g6 +V +tp4059 +a(g138 +Vif +p4060 +tp4061 +a(g6 +V +tp4062 +a(g6 +V +tp4063 +a(g92 +V_ +tp4064 +a(g6 +V +tp4065 +a(g216 +V. +tp4066 +a(g92 +VisUndefined +p4067 +tp4068 +a(g6 +V +tp4069 +a(g216 +V( +tp4070 +a(g6 +V +tp4071 +a(g92 +Vindex +p4072 +tp4073 +a(g6 +V +tp4074 +a(g216 +V) +tp4075 +a(g6 +V +tp4076 +a(g357 +Vor +p4077 +tp4078 +a(g6 +V +tp4079 +a(g6 +V +tp4080 +a(g92 +Vguard +p4081 +tp4082 +a(g6 +V +tp4083 +a(g6 +V +tp4084 +a(g138 +Vthen +p4085 +tp4086 +a(g6 +V +tp4087 +a(g6 +V +tp4088 +a(g332 +V1 +tp4089 +a(g6 +V +tp4090 +a(g138 +Velse +p4091 +tp4092 +a(g6 +V +tp4093 +a(g6 +V +tp4094 +a(g92 +Vindex +p4095 +tp4096 +a(g6 +V +tp4097 +a(g216 +V) +tp4098 +a(g6 +V\u000a\u000a\u000a +p4099 +tp4100 +a(g31 +V# Get the last element of an array.\u000a +p4101 +tp4102 +a(g6 +V +p4103 +tp4104 +a(g100 +V_.last: +p4105 +tp4106 +a(g6 +V +tp4107 +a(g216 +V( +tp4108 +a(g6 +V +tp4109 +a(g92 +Varray +p4110 +tp4111 +a(g6 +V +tp4112 +a(g216 +V) +tp4113 +a(g6 +V +tp4114 +a(g357 +V- +tp4115 +a(g6 +V +tp4116 +a(g357 +V> +tp4117 +a(g6 +V +tp4118 +a(g6 +V +tp4119 +a(g92 +Varray +p4120 +tp4121 +a(g6 +V +tp4122 +a(g216 +V[ +tp4123 +a(g6 +V +tp4124 +a(g92 +Varray +p4125 +tp4126 +a(g6 +V +tp4127 +a(g216 +V. +tp4128 +a(g92 +Vlength +p4129 +tp4130 +a(g6 +V +tp4131 +a(g6 +V +tp4132 +a(g357 +V- +tp4133 +a(g6 +V +tp4134 +a(g6 +V +tp4135 +a(g332 +V1 +tp4136 +a(g216 +V] +tp4137 +a(g6 +V\u000a\u000a\u000a +p4138 +tp4139 +a(g31 +V# Trim out all falsy values from an array.\u000a +p4140 +tp4141 +a(g6 +V +p4142 +tp4143 +a(g100 +V_.compact: +p4144 +tp4145 +a(g6 +V +tp4146 +a(g216 +V( +tp4147 +a(g6 +V +tp4148 +a(g92 +Varray +p4149 +tp4150 +a(g6 +V +tp4151 +a(g216 +V) +tp4152 +a(g6 +V +tp4153 +a(g357 +V- +tp4154 +a(g6 +V +tp4155 +a(g357 +V> +tp4156 +a(g6 +V +tp4157 +a(g6 +V +tp4158 +a(g92 +Varray +p4159 +tp4160 +a(g6 +V +tp4161 +a(g216 +V[ +tp4162 +a(g6 +V +tp4163 +a(g92 +Vi +tp4164 +a(g6 +V +tp4165 +a(g216 +V] +tp4166 +a(g6 +V +tp4167 +a(g138 +Vfor +p4168 +tp4169 +a(g6 +V +tp4170 +a(g6 +V +tp4171 +a(g92 +Vi +tp4172 +a(g6 +V +tp4173 +a(g6 +V +tp4174 +a(g138 +Vin +p4175 +tp4176 +a(g6 +V +tp4177 +a(g6 +V +tp4178 +a(g216 +V[ +tp4179 +a(g6 +V +tp4180 +a(g332 +V0 +tp4181 +a(g216 +V. +tp4182 +a(g216 +V. +tp4183 +a(g216 +V. +tp4184 +a(g92 +Varray +p4185 +tp4186 +a(g6 +V +tp4187 +a(g216 +V. +tp4188 +a(g92 +Vlength +p4189 +tp4190 +a(g6 +V +tp4191 +a(g216 +V] +tp4192 +a(g6 +V +tp4193 +a(g138 +Vwhen +p4194 +tp4195 +a(g6 +V +tp4196 +a(g6 +V +tp4197 +a(g92 +Varray +p4198 +tp4199 +a(g6 +V +tp4200 +a(g216 +V[ +tp4201 +a(g6 +V +tp4202 +a(g92 +Vi +tp4203 +a(g6 +V +tp4204 +a(g216 +V] +tp4205 +a(g6 +V\u000a\u000a\u000a +p4206 +tp4207 +a(g31 +V# Return a completely flattened version of an array.\u000a +p4208 +tp4209 +a(g6 +V +p4210 +tp4211 +a(g100 +V_.flatten: +p4212 +tp4213 +a(g6 +V +tp4214 +a(g216 +V( +tp4215 +a(g6 +V +tp4216 +a(g92 +Varray +p4217 +tp4218 +a(g6 +V +tp4219 +a(g216 +V) +tp4220 +a(g6 +V +tp4221 +a(g357 +V- +tp4222 +a(g6 +V +tp4223 +a(g357 +V> +tp4224 +a(g6 +V\u000a +p4225 +tp4226 +a(g6 +V +tp4227 +a(g92 +V_ +tp4228 +a(g6 +V +tp4229 +a(g216 +V. +tp4230 +a(g92 +Vreduce +p4231 +tp4232 +a(g6 +V +tp4233 +a(g6 +V +tp4234 +a(g92 +Varray +p4235 +tp4236 +a(g6 +V +tp4237 +a(g216 +V, +tp4238 +a(g6 +V +tp4239 +a(g6 +V +tp4240 +a(g216 +V[ +tp4241 +a(g6 +V +tp4242 +a(g216 +V] +tp4243 +a(g216 +V, +tp4244 +a(g6 +V +tp4245 +a(g6 +V +tp4246 +a(g216 +V( +tp4247 +a(g6 +V +tp4248 +a(g92 +Vmemo +p4249 +tp4250 +a(g6 +V +tp4251 +a(g216 +V, +tp4252 +a(g6 +V +tp4253 +a(g6 +V +tp4254 +a(g92 +Vvalue +p4255 +tp4256 +a(g6 +V +tp4257 +a(g216 +V) +tp4258 +a(g6 +V +tp4259 +a(g357 +V- +tp4260 +a(g6 +V +tp4261 +a(g357 +V> +tp4262 +a(g6 +V\u000a +p4263 +tp4264 +a(g6 +V +tp4265 +a(g138 +Vreturn +p4266 +tp4267 +a(g6 +V +tp4268 +a(g6 +V +tp4269 +a(g92 +Vmemo +p4270 +tp4271 +a(g6 +V +tp4272 +a(g216 +V. +tp4273 +a(g92 +Vconcat +p4274 +tp4275 +a(g6 +V +tp4276 +a(g216 +V( +tp4277 +a(g6 +V +tp4278 +a(g92 +V_ +tp4279 +a(g6 +V +tp4280 +a(g216 +V. +tp4281 +a(g92 +Vflatten +p4282 +tp4283 +a(g6 +V +tp4284 +a(g216 +V( +tp4285 +a(g6 +V +tp4286 +a(g92 +Vvalue +p4287 +tp4288 +a(g6 +V +tp4289 +a(g216 +V) +tp4290 +a(g216 +V) +tp4291 +a(g6 +V +tp4292 +a(g138 +Vif +p4293 +tp4294 +a(g6 +V +tp4295 +a(g6 +V +tp4296 +a(g92 +V_ +tp4297 +a(g6 +V +tp4298 +a(g216 +V. +tp4299 +a(g92 +VisArray +p4300 +tp4301 +a(g6 +V +tp4302 +a(g216 +V( +tp4303 +a(g6 +V +tp4304 +a(g92 +Vvalue +p4305 +tp4306 +a(g6 +V +tp4307 +a(g216 +V) +tp4308 +a(g6 +V\u000a +p4309 +tp4310 +a(g92 +Vmemo +p4311 +tp4312 +a(g6 +V +tp4313 +a(g216 +V. +tp4314 +a(g92 +Vpush +p4315 +tp4316 +a(g6 +V +tp4317 +a(g216 +V( +tp4318 +a(g6 +V +tp4319 +a(g92 +Vvalue +p4320 +tp4321 +a(g6 +V +tp4322 +a(g216 +V) +tp4323 +a(g6 +V\u000a +p4324 +tp4325 +a(g92 +Vmemo +p4326 +tp4327 +a(g6 +V\u000a\u000a\u000a +p4328 +tp4329 +a(g31 +V# Return a version of the array that does not contain the specified value(s).\u000a +p4330 +tp4331 +a(g6 +V +p4332 +tp4333 +a(g6 +V +tp4334 +a(g100 +V_.without: +p4335 +tp4336 +a(g6 +V +tp4337 +a(g216 +V( +tp4338 +a(g6 +V +tp4339 +a(g92 +Varray +p4340 +tp4341 +a(g6 +V +tp4342 +a(g216 +V) +tp4343 +a(g6 +V +tp4344 +a(g357 +V- +tp4345 +a(g6 +V +tp4346 +a(g357 +V> +tp4347 +a(g6 +V\u000a +p4348 +tp4349 +a(g6 +V +tp4350 +a(g100 +Vvalues: +p4351 +tp4352 +a(g6 +V +tp4353 +a(g92 +V_ +tp4354 +a(g6 +V +tp4355 +a(g216 +V. +tp4356 +a(g92 +Vrest +p4357 +tp4358 +a(g6 +V +tp4359 +a(g216 +V( +tp4360 +a(g6 +V +tp4361 +a(g92 +Varguments +p4362 +tp4363 +a(g6 +V +tp4364 +a(g216 +V) +tp4365 +a(g6 +V\u000a +p4366 +tp4367 +a(g92 +Vval +p4368 +tp4369 +a(g6 +V +tp4370 +a(g6 +V +tp4371 +a(g138 +Vfor +p4372 +tp4373 +a(g6 +V +tp4374 +a(g6 +V +tp4375 +a(g92 +Vval +p4376 +tp4377 +a(g6 +V +tp4378 +a(g6 +V +tp4379 +a(g138 +Vin +p4380 +tp4381 +a(g6 +V +tp4382 +a(g6 +V +tp4383 +a(g92 +V_ +tp4384 +a(g6 +V +tp4385 +a(g216 +V. +tp4386 +a(g92 +VtoArray +p4387 +tp4388 +a(g6 +V +tp4389 +a(g216 +V( +tp4390 +a(g6 +V +tp4391 +a(g92 +Varray +p4392 +tp4393 +a(g6 +V +tp4394 +a(g216 +V) +tp4395 +a(g6 +V +tp4396 +a(g138 +Vwhen +p4397 +tp4398 +a(g6 +V +tp4399 +a(g6 +V +tp4400 +a(g357 +Vnot +p4401 +tp4402 +a(g6 +V +tp4403 +a(g6 +V +tp4404 +a(g92 +V_ +tp4405 +a(g6 +V +tp4406 +a(g216 +V. +tp4407 +a(g92 +Vinclude +p4408 +tp4409 +a(g6 +V +tp4410 +a(g216 +V( +tp4411 +a(g6 +V +tp4412 +a(g92 +Vvalues +p4413 +tp4414 +a(g6 +V +tp4415 +a(g216 +V, +tp4416 +a(g6 +V +tp4417 +a(g6 +V +tp4418 +a(g92 +Vval +p4419 +tp4420 +a(g6 +V +tp4421 +a(g216 +V) +tp4422 +a(g6 +V\u000a\u000a\u000a +p4423 +tp4424 +a(g31 +V# Produce a duplicate-free version of the array. If the array has already\u000a +p4425 +tp4426 +a(g6 +V +p4427 +tp4428 +a(g31 +V# been sorted, you have the option of using a faster algorithm.\u000a +p4429 +tp4430 +a(g6 +V +p4431 +tp4432 +a(g100 +V_.uniq: +p4433 +tp4434 +a(g6 +V +tp4435 +a(g216 +V( +tp4436 +a(g6 +V +tp4437 +a(g92 +Varray +p4438 +tp4439 +a(g6 +V +tp4440 +a(g216 +V, +tp4441 +a(g6 +V +tp4442 +a(g6 +V +tp4443 +a(g92 +VisSorted +p4444 +tp4445 +a(g6 +V +tp4446 +a(g216 +V) +tp4447 +a(g6 +V +tp4448 +a(g357 +V- +tp4449 +a(g6 +V +tp4450 +a(g357 +V> +tp4451 +a(g6 +V\u000a +p4452 +tp4453 +a(g6 +V +tp4454 +a(g100 +Vmemo: +p4455 +tp4456 +a(g6 +V +tp4457 +a(g216 +V[ +tp4458 +a(g6 +V +tp4459 +a(g216 +V] +tp4460 +a(g6 +V\u000a +p4461 +tp4462 +a(g138 +Vfor +p4463 +tp4464 +a(g6 +V +tp4465 +a(g6 +V +tp4466 +a(g92 +Vel +p4467 +tp4468 +a(g6 +V +tp4469 +a(g216 +V, +tp4470 +a(g6 +V +tp4471 +a(g6 +V +tp4472 +a(g92 +Vi +tp4473 +a(g6 +V +tp4474 +a(g6 +V +tp4475 +a(g138 +Vin +p4476 +tp4477 +a(g6 +V +tp4478 +a(g6 +V +tp4479 +a(g92 +V_ +tp4480 +a(g6 +V +tp4481 +a(g216 +V. +tp4482 +a(g92 +VtoArray +p4483 +tp4484 +a(g6 +V +tp4485 +a(g216 +V( +tp4486 +a(g6 +V +tp4487 +a(g92 +Varray +p4488 +tp4489 +a(g6 +V +tp4490 +a(g216 +V) +tp4491 +a(g6 +V\u000a +p4492 +tp4493 +a(g92 +Vmemo +p4494 +tp4495 +a(g6 +V +tp4496 +a(g216 +V. +tp4497 +a(g92 +Vpush +p4498 +tp4499 +a(g6 +V +tp4500 +a(g216 +V( +tp4501 +a(g6 +V +tp4502 +a(g92 +Vel +p4503 +tp4504 +a(g6 +V +tp4505 +a(g216 +V) +tp4506 +a(g6 +V +tp4507 +a(g138 +Vif +p4508 +tp4509 +a(g6 +V +tp4510 +a(g6 +V +tp4511 +a(g92 +Vi +tp4512 +a(g6 +V +tp4513 +a(g6 +V +tp4514 +a(g357 +Vis +p4515 +tp4516 +a(g6 +V +tp4517 +a(g6 +V +tp4518 +a(g332 +V0 +tp4519 +a(g6 +V +tp4520 +a(g357 +V|| +p4521 +tp4522 +a(g6 +V +tp4523 +a(g6 +V +tp4524 +a(g216 +V( +tp4525 +a(g6 +V +tp4526 +a(g138 +Vif +p4527 +tp4528 +a(g6 +V +tp4529 +a(g6 +V +tp4530 +a(g92 +VisSorted +p4531 +tp4532 +a(g6 +V +tp4533 +a(g6 +V +tp4534 +a(g357 +Vis +p4535 +tp4536 +a(g6 +V +tp4537 +a(g6 +V +tp4538 +a(g144 +Vtrue +p4539 +tp4540 +a(g6 +V +tp4541 +a(g138 +Vthen +p4542 +tp4543 +a(g6 +V +tp4544 +a(g6 +V +tp4545 +a(g92 +V_ +tp4546 +a(g6 +V +tp4547 +a(g216 +V. +tp4548 +a(g92 +Vlast +p4549 +tp4550 +a(g6 +V +tp4551 +a(g216 +V( +tp4552 +a(g6 +V +tp4553 +a(g92 +Vmemo +p4554 +tp4555 +a(g6 +V +tp4556 +a(g216 +V) +tp4557 +a(g6 +V +tp4558 +a(g357 +Visnt +p4559 +tp4560 +a(g6 +V +tp4561 +a(g6 +V +tp4562 +a(g92 +Vel +p4563 +tp4564 +a(g6 +V +tp4565 +a(g6 +V +tp4566 +a(g138 +Velse +p4567 +tp4568 +a(g6 +V +tp4569 +a(g6 +V +tp4570 +a(g357 +Vnot +p4571 +tp4572 +a(g6 +V +tp4573 +a(g6 +V +tp4574 +a(g92 +V_ +tp4575 +a(g6 +V +tp4576 +a(g216 +V. +tp4577 +a(g92 +Vinclude +p4578 +tp4579 +a(g6 +V +tp4580 +a(g216 +V( +tp4581 +a(g6 +V +tp4582 +a(g92 +Vmemo +p4583 +tp4584 +a(g6 +V +tp4585 +a(g216 +V, +tp4586 +a(g6 +V +tp4587 +a(g6 +V +tp4588 +a(g92 +Vel +p4589 +tp4590 +a(g6 +V +tp4591 +a(g216 +V) +tp4592 +a(g216 +V) +tp4593 +a(g6 +V\u000a +p4594 +tp4595 +a(g92 +Vmemo +p4596 +tp4597 +a(g6 +V\u000a\u000a\u000a +p4598 +tp4599 +a(g31 +V# Produce an array that contains every item shared between all the\u000a +p4600 +tp4601 +a(g6 +V +p4602 +tp4603 +a(g31 +V# passed-in arrays.\u000a +p4604 +tp4605 +a(g6 +V +p4606 +tp4607 +a(g6 +V +tp4608 +a(g100 +V_.intersect: +p4609 +tp4610 +a(g6 +V +tp4611 +a(g216 +V( +tp4612 +a(g6 +V +tp4613 +a(g92 +Varray +p4614 +tp4615 +a(g6 +V +tp4616 +a(g216 +V) +tp4617 +a(g6 +V +tp4618 +a(g357 +V- +tp4619 +a(g6 +V +tp4620 +a(g357 +V> +tp4621 +a(g6 +V\u000a +p4622 +tp4623 +a(g6 +V +tp4624 +a(g100 +Vrest: +p4625 +tp4626 +a(g6 +V +tp4627 +a(g92 +V_ +tp4628 +a(g6 +V +tp4629 +a(g216 +V. +tp4630 +a(g92 +Vrest +p4631 +tp4632 +a(g6 +V +tp4633 +a(g216 +V( +tp4634 +a(g6 +V +tp4635 +a(g92 +Varguments +p4636 +tp4637 +a(g6 +V +tp4638 +a(g216 +V) +tp4639 +a(g6 +V\u000a +p4640 +tp4641 +a(g92 +V_ +tp4642 +a(g6 +V +tp4643 +a(g216 +V. +tp4644 +a(g92 +Vselect +p4645 +tp4646 +a(g6 +V +tp4647 +a(g6 +V +tp4648 +a(g92 +V_ +tp4649 +a(g6 +V +tp4650 +a(g216 +V. +tp4651 +a(g92 +Vuniq +p4652 +tp4653 +a(g6 +V +tp4654 +a(g216 +V( +tp4655 +a(g6 +V +tp4656 +a(g92 +Varray +p4657 +tp4658 +a(g6 +V +tp4659 +a(g216 +V) +tp4660 +a(g216 +V, +tp4661 +a(g6 +V +tp4662 +a(g6 +V +tp4663 +a(g216 +V( +tp4664 +a(g6 +V +tp4665 +a(g92 +Vitem +p4666 +tp4667 +a(g6 +V +tp4668 +a(g216 +V) +tp4669 +a(g6 +V +tp4670 +a(g357 +V- +tp4671 +a(g6 +V +tp4672 +a(g357 +V> +tp4673 +a(g6 +V\u000a +p4674 +tp4675 +a(g6 +V +tp4676 +a(g92 +V_ +tp4677 +a(g6 +V +tp4678 +a(g216 +V. +tp4679 +a(g92 +Vall +p4680 +tp4681 +a(g6 +V +tp4682 +a(g6 +V +tp4683 +a(g92 +Vrest +p4684 +tp4685 +a(g6 +V +tp4686 +a(g216 +V, +tp4687 +a(g6 +V +tp4688 +a(g6 +V +tp4689 +a(g216 +V( +tp4690 +a(g6 +V +tp4691 +a(g92 +Vother +p4692 +tp4693 +a(g6 +V +tp4694 +a(g216 +V) +tp4695 +a(g6 +V +tp4696 +a(g357 +V- +tp4697 +a(g6 +V +tp4698 +a(g357 +V> +tp4699 +a(g6 +V\u000a +p4700 +tp4701 +a(g6 +V +tp4702 +a(g92 +V_ +tp4703 +a(g6 +V +tp4704 +a(g216 +V. +tp4705 +a(g92 +VindexOf +p4706 +tp4707 +a(g6 +V +tp4708 +a(g216 +V( +tp4709 +a(g6 +V +tp4710 +a(g92 +Vother +p4711 +tp4712 +a(g6 +V +tp4713 +a(g216 +V, +tp4714 +a(g6 +V +tp4715 +a(g6 +V +tp4716 +a(g92 +Vitem +p4717 +tp4718 +a(g6 +V +tp4719 +a(g216 +V) +tp4720 +a(g6 +V +tp4721 +a(g357 +V>= +p4722 +tp4723 +a(g6 +V +tp4724 +a(g6 +V +tp4725 +a(g332 +V0 +tp4726 +a(g6 +V\u000a\u000a\u000a +p4727 +tp4728 +a(g31 +V# Zip together multiple lists into a single array -- elements that share\u000a +p4729 +tp4730 +a(g6 +V +p4731 +tp4732 +a(g31 +V# an index go together.\u000a +p4733 +tp4734 +a(g6 +V +p4735 +tp4736 +a(g100 +V_.zip: +p4737 +tp4738 +a(g6 +V +tp4739 +a(g357 +V- +tp4740 +a(g6 +V +tp4741 +a(g357 +V> +tp4742 +a(g6 +V\u000a +p4743 +tp4744 +a(g6 +V +tp4745 +a(g100 +Vlength: +p4746 +tp4747 +a(g6 +V +p4748 +tp4749 +a(g6 +V +tp4750 +a(g92 +V_ +tp4751 +a(g6 +V +tp4752 +a(g216 +V. +tp4753 +a(g92 +Vmax +p4754 +tp4755 +a(g6 +V +tp4756 +a(g216 +V( +tp4757 +a(g6 +V +tp4758 +a(g92 +V_ +tp4759 +a(g6 +V +tp4760 +a(g216 +V. +tp4761 +a(g92 +Vpluck +p4762 +tp4763 +a(g6 +V +tp4764 +a(g216 +V( +tp4765 +a(g6 +V +tp4766 +a(g92 +Varguments +p4767 +tp4768 +a(g6 +V +tp4769 +a(g216 +V, +tp4770 +a(g6 +V +tp4771 +a(g6 +V +tp4772 +a(g285 +V'length' +p4773 +tp4774 +a(g216 +V) +tp4775 +a(g216 +V) +tp4776 +a(g6 +V\u000a +p4777 +tp4778 +a(g100 +Vresults: +p4779 +tp4780 +a(g6 +V +p4781 +tp4782 +a(g6 +V +tp4783 +a(g138 +Vnew +p4784 +tp4785 +a(g6 +V +tp4786 +a(g6 +V +tp4787 +a(g84 +VArray +p4788 +tp4789 +a(g216 +V( +tp4790 +a(g6 +V +tp4791 +a(g92 +Vlength +p4792 +tp4793 +a(g6 +V +tp4794 +a(g216 +V) +tp4795 +a(g6 +V\u000a +p4796 +tp4797 +a(g138 +Vfor +p4798 +tp4799 +a(g6 +V +tp4800 +a(g6 +V +tp4801 +a(g92 +Vi +tp4802 +a(g6 +V +tp4803 +a(g6 +V +tp4804 +a(g138 +Vin +p4805 +tp4806 +a(g6 +V +tp4807 +a(g6 +V +tp4808 +a(g216 +V[ +tp4809 +a(g6 +V +tp4810 +a(g332 +V0 +tp4811 +a(g216 +V. +tp4812 +a(g216 +V. +tp4813 +a(g216 +V. +tp4814 +a(g92 +Vlength +p4815 +tp4816 +a(g6 +V +tp4817 +a(g216 +V] +tp4818 +a(g6 +V\u000a +p4819 +tp4820 +a(g92 +Vresults +p4821 +tp4822 +a(g6 +V +tp4823 +a(g216 +V[ +tp4824 +a(g6 +V +tp4825 +a(g92 +Vi +tp4826 +a(g6 +V +tp4827 +a(g216 +V] +tp4828 +a(g357 +V: +tp4829 +a(g6 +V +tp4830 +a(g6 +V +tp4831 +a(g92 +V_ +tp4832 +a(g6 +V +tp4833 +a(g216 +V. +tp4834 +a(g92 +Vpluck +p4835 +tp4836 +a(g6 +V +tp4837 +a(g216 +V( +tp4838 +a(g6 +V +tp4839 +a(g92 +Varguments +p4840 +tp4841 +a(g6 +V +tp4842 +a(g216 +V, +tp4843 +a(g6 +V +tp4844 +a(g6 +V +tp4845 +a(g84 +VString +p4846 +tp4847 +a(g216 +V( +tp4848 +a(g6 +V +tp4849 +a(g92 +Vi +tp4850 +a(g6 +V +tp4851 +a(g216 +V) +tp4852 +a(g216 +V) +tp4853 +a(g6 +V\u000a +p4854 +tp4855 +a(g92 +Vresults +p4856 +tp4857 +a(g6 +V\u000a\u000a\u000a +p4858 +tp4859 +a(g31 +V# If the browser doesn't supply us with indexOf (I'm looking at you, MSIE),\u000a +p4860 +tp4861 +a(g6 +V +p4862 +tp4863 +a(g31 +V# we need this function. Return the position of the first occurence of an\u000a +p4864 +tp4865 +a(g6 +V +p4866 +tp4867 +a(g31 +V# item in an array, or -1 if the item is not included in the array.\u000a +p4868 +tp4869 +a(g6 +V +p4870 +tp4871 +a(g6 +V +tp4872 +a(g100 +V_.indexOf: +p4873 +tp4874 +a(g6 +V +tp4875 +a(g216 +V( +tp4876 +a(g6 +V +tp4877 +a(g92 +Varray +p4878 +tp4879 +a(g6 +V +tp4880 +a(g216 +V, +tp4881 +a(g6 +V +tp4882 +a(g6 +V +tp4883 +a(g92 +Vitem +p4884 +tp4885 +a(g6 +V +tp4886 +a(g216 +V) +tp4887 +a(g6 +V +tp4888 +a(g357 +V- +tp4889 +a(g6 +V +tp4890 +a(g357 +V> +tp4891 +a(g6 +V\u000a +p4892 +tp4893 +a(g6 +V +tp4894 +a(g138 +Vreturn +p4895 +tp4896 +a(g6 +V +tp4897 +a(g6 +V +tp4898 +a(g92 +Varray +p4899 +tp4900 +a(g6 +V +tp4901 +a(g216 +V. +tp4902 +a(g92 +VindexOf +p4903 +tp4904 +a(g6 +V +tp4905 +a(g216 +V( +tp4906 +a(g6 +V +tp4907 +a(g92 +Vitem +p4908 +tp4909 +a(g6 +V +tp4910 +a(g216 +V) +tp4911 +a(g6 +V +tp4912 +a(g138 +Vif +p4913 +tp4914 +a(g6 +V +tp4915 +a(g6 +V +tp4916 +a(g92 +Varray +p4917 +tp4918 +a(g6 +V +tp4919 +a(g216 +V. +tp4920 +a(g92 +VindexOf +p4921 +tp4922 +a(g6 +V\u000a +p4923 +tp4924 +a(g6 +V +tp4925 +a(g100 +Vi: +p4926 +tp4927 +a(g6 +V +tp4928 +a(g332 +V0 +tp4929 +a(g216 +V; +tp4930 +a(g6 +V +tp4931 +a(g6 +V +tp4932 +a(g100 +Vl: +p4933 +tp4934 +a(g6 +V +tp4935 +a(g92 +Varray +p4936 +tp4937 +a(g6 +V +tp4938 +a(g216 +V. +tp4939 +a(g92 +Vlength +p4940 +tp4941 +a(g6 +V\u000a +p4942 +tp4943 +a(g6 +V +tp4944 +a(g138 +Vwhile +p4945 +tp4946 +a(g6 +V +tp4947 +a(g6 +V +tp4948 +a(g92 +Vl +tp4949 +a(g6 +V +tp4950 +a(g6 +V +tp4951 +a(g357 +V- +tp4952 +a(g6 +V +tp4953 +a(g6 +V +tp4954 +a(g92 +Vi +tp4955 +a(g6 +V\u000a +p4956 +tp4957 +a(g6 +V +tp4958 +a(g138 +Vif +p4959 +tp4960 +a(g6 +V +tp4961 +a(g6 +V +tp4962 +a(g92 +Varray +p4963 +tp4964 +a(g6 +V +tp4965 +a(g216 +V[ +tp4966 +a(g6 +V +tp4967 +a(g92 +Vi +tp4968 +a(g6 +V +tp4969 +a(g216 +V] +tp4970 +a(g6 +V +tp4971 +a(g357 +Vis +p4972 +tp4973 +a(g6 +V +tp4974 +a(g6 +V +tp4975 +a(g92 +Vitem +p4976 +tp4977 +a(g6 +V +tp4978 +a(g6 +V +tp4979 +a(g138 +Vthen +p4980 +tp4981 +a(g6 +V +tp4982 +a(g6 +V +tp4983 +a(g138 +Vreturn +p4984 +tp4985 +a(g6 +V +tp4986 +a(g6 +V +tp4987 +a(g92 +Vi +tp4988 +a(g6 +V +tp4989 +a(g6 +V +tp4990 +a(g138 +Velse +p4991 +tp4992 +a(g6 +V +tp4993 +a(g6 +V +tp4994 +a(g92 +Vi +tp4995 +a(g6 +V +tp4996 +a(g357 +V++ +p4997 +tp4998 +a(g6 +V\u000a +p4999 +tp5000 +a(g6 +V +tp5001 +a(g357 +V- +tp5002 +a(g6 +V +tp5003 +a(g332 +V1 +tp5004 +a(g6 +V\u000a\u000a\u000a +p5005 +tp5006 +a(g31 +V# Provide JavaScript 1.6's lastIndexOf, delegating to the native function,\u000a +p5007 +tp5008 +a(g6 +V +p5009 +tp5010 +a(g31 +V# if possible.\u000a +p5011 +tp5012 +a(g6 +V +p5013 +tp5014 +a(g100 +V_.lastIndexOf: +p5015 +tp5016 +a(g6 +V +tp5017 +a(g216 +V( +tp5018 +a(g6 +V +tp5019 +a(g92 +Varray +p5020 +tp5021 +a(g6 +V +tp5022 +a(g216 +V, +tp5023 +a(g6 +V +tp5024 +a(g6 +V +tp5025 +a(g92 +Vitem +p5026 +tp5027 +a(g6 +V +tp5028 +a(g216 +V) +tp5029 +a(g6 +V +tp5030 +a(g357 +V- +tp5031 +a(g6 +V +tp5032 +a(g357 +V> +tp5033 +a(g6 +V\u000a +p5034 +tp5035 +a(g6 +V +tp5036 +a(g138 +Vreturn +p5037 +tp5038 +a(g6 +V +tp5039 +a(g6 +V +tp5040 +a(g92 +Varray +p5041 +tp5042 +a(g6 +V +tp5043 +a(g216 +V. +tp5044 +a(g92 +VlastIndexOf +p5045 +tp5046 +a(g6 +V +tp5047 +a(g216 +V( +tp5048 +a(g6 +V +tp5049 +a(g92 +Vitem +p5050 +tp5051 +a(g6 +V +tp5052 +a(g216 +V) +tp5053 +a(g6 +V +tp5054 +a(g138 +Vif +p5055 +tp5056 +a(g6 +V +tp5057 +a(g6 +V +tp5058 +a(g92 +Varray +p5059 +tp5060 +a(g6 +V +tp5061 +a(g216 +V. +tp5062 +a(g92 +VlastIndexOf +p5063 +tp5064 +a(g6 +V\u000a +p5065 +tp5066 +a(g6 +V +tp5067 +a(g100 +Vi: +p5068 +tp5069 +a(g6 +V +tp5070 +a(g92 +Varray +p5071 +tp5072 +a(g6 +V +tp5073 +a(g216 +V. +tp5074 +a(g92 +Vlength +p5075 +tp5076 +a(g6 +V\u000a +p5077 +tp5078 +a(g6 +V +tp5079 +a(g138 +Vwhile +p5080 +tp5081 +a(g6 +V +tp5082 +a(g6 +V +tp5083 +a(g92 +Vi +tp5084 +a(g6 +V\u000a +p5085 +tp5086 +a(g6 +V +tp5087 +a(g138 +Vif +p5088 +tp5089 +a(g6 +V +tp5090 +a(g6 +V +tp5091 +a(g92 +Varray +p5092 +tp5093 +a(g6 +V +tp5094 +a(g216 +V[ +tp5095 +a(g6 +V +tp5096 +a(g92 +Vi +tp5097 +a(g6 +V +tp5098 +a(g216 +V] +tp5099 +a(g6 +V +tp5100 +a(g357 +Vis +p5101 +tp5102 +a(g6 +V +tp5103 +a(g6 +V +tp5104 +a(g92 +Vitem +p5105 +tp5106 +a(g6 +V +tp5107 +a(g6 +V +tp5108 +a(g138 +Vthen +p5109 +tp5110 +a(g6 +V +tp5111 +a(g6 +V +tp5112 +a(g138 +Vreturn +p5113 +tp5114 +a(g6 +V +tp5115 +a(g6 +V +tp5116 +a(g92 +Vi +tp5117 +a(g6 +V +tp5118 +a(g6 +V +tp5119 +a(g138 +Velse +p5120 +tp5121 +a(g6 +V +tp5122 +a(g6 +V +tp5123 +a(g92 +Vi +tp5124 +a(g6 +V +tp5125 +a(g357 +V-- +p5126 +tp5127 +a(g6 +V\u000a +p5128 +tp5129 +a(g6 +V +tp5130 +a(g357 +V- +tp5131 +a(g6 +V +tp5132 +a(g332 +V1 +tp5133 +a(g6 +V\u000a\u000a\u000a +p5134 +tp5135 +a(g31 +V# Generate an integer Array containing an arithmetic progression. A port of\u000a +p5136 +tp5137 +a(g6 +V +p5138 +tp5139 +a(g31 +V# the native Python range() function. See:\u000a +p5140 +tp5141 +a(g6 +V +p5142 +tp5143 +a(g31 +V# http://docs.python.org/library/functions.html#range\u000a +p5144 +tp5145 +a(g6 +V +p5146 +tp5147 +a(g100 +V_.range: +p5148 +tp5149 +a(g6 +V +tp5150 +a(g216 +V( +tp5151 +a(g6 +V +tp5152 +a(g92 +Vstart +p5153 +tp5154 +a(g6 +V +tp5155 +a(g216 +V, +tp5156 +a(g6 +V +tp5157 +a(g6 +V +tp5158 +a(g92 +Vstop +p5159 +tp5160 +a(g6 +V +tp5161 +a(g216 +V, +tp5162 +a(g6 +V +tp5163 +a(g6 +V +tp5164 +a(g92 +Vstep +p5165 +tp5166 +a(g6 +V +tp5167 +a(g216 +V) +tp5168 +a(g6 +V +tp5169 +a(g357 +V- +tp5170 +a(g6 +V +tp5171 +a(g357 +V> +tp5172 +a(g6 +V\u000a +p5173 +tp5174 +a(g6 +V +tp5175 +a(g100 +Va: +p5176 +tp5177 +a(g6 +V +p5178 +tp5179 +a(g6 +V +tp5180 +a(g92 +Varguments +p5181 +tp5182 +a(g6 +V\u000a +p5183 +tp5184 +a(g6 +V +tp5185 +a(g100 +Vsolo: +p5186 +tp5187 +a(g6 +V +p5188 +tp5189 +a(g6 +V +tp5190 +a(g92 +Va +tp5191 +a(g6 +V +tp5192 +a(g216 +V. +tp5193 +a(g92 +Vlength +p5194 +tp5195 +a(g6 +V +tp5196 +a(g6 +V +tp5197 +a(g357 +V<= +p5198 +tp5199 +a(g6 +V +tp5200 +a(g6 +V +tp5201 +a(g332 +V1 +tp5202 +a(g6 +V\u000a +p5203 +tp5204 +a(g100 +Vi: +p5205 +tp5206 +a(g6 +V +tp5207 +a(g100 +Vstart: +p5208 +tp5209 +a(g6 +V +tp5210 +a(g138 +Vif +p5211 +tp5212 +a(g6 +V +tp5213 +a(g6 +V +tp5214 +a(g92 +Vsolo +p5215 +tp5216 +a(g6 +V +tp5217 +a(g6 +V +tp5218 +a(g138 +Vthen +p5219 +tp5220 +a(g6 +V +tp5221 +a(g6 +V +tp5222 +a(g332 +V0 +tp5223 +a(g6 +V +tp5224 +a(g138 +Velse +p5225 +tp5226 +a(g6 +V +tp5227 +a(g6 +V +tp5228 +a(g92 +Va +tp5229 +a(g6 +V +tp5230 +a(g216 +V[ +tp5231 +a(g6 +V +tp5232 +a(g332 +V0 +tp5233 +a(g216 +V] +tp5234 +a(g216 +V; +tp5235 +a(g6 +V\u000a +p5236 +tp5237 +a(g6 +V +tp5238 +a(g100 +Vstop: +p5239 +tp5240 +a(g6 +V +p5241 +tp5242 +a(g6 +V +tp5243 +a(g138 +Vif +p5244 +tp5245 +a(g6 +V +tp5246 +a(g6 +V +tp5247 +a(g92 +Vsolo +p5248 +tp5249 +a(g6 +V +tp5250 +a(g6 +V +tp5251 +a(g138 +Vthen +p5252 +tp5253 +a(g6 +V +tp5254 +a(g6 +V +tp5255 +a(g92 +Va +tp5256 +a(g6 +V +tp5257 +a(g216 +V[ +tp5258 +a(g6 +V +tp5259 +a(g332 +V0 +tp5260 +a(g216 +V] +tp5261 +a(g6 +V +tp5262 +a(g138 +Velse +p5263 +tp5264 +a(g6 +V +tp5265 +a(g6 +V +tp5266 +a(g92 +Va +tp5267 +a(g6 +V +tp5268 +a(g216 +V[ +tp5269 +a(g6 +V +tp5270 +a(g332 +V1 +tp5271 +a(g216 +V] +tp5272 +a(g216 +V; +tp5273 +a(g6 +V\u000a +p5274 +tp5275 +a(g6 +V +tp5276 +a(g100 +Vstep: +p5277 +tp5278 +a(g6 +V +p5279 +tp5280 +a(g6 +V +tp5281 +a(g92 +Va +tp5282 +a(g6 +V +tp5283 +a(g216 +V[ +tp5284 +a(g6 +V +tp5285 +a(g332 +V2 +tp5286 +a(g216 +V] +tp5287 +a(g6 +V +tp5288 +a(g357 +Vor +p5289 +tp5290 +a(g6 +V +tp5291 +a(g6 +V +tp5292 +a(g332 +V1 +tp5293 +a(g6 +V\u000a +p5294 +tp5295 +a(g100 +Vlen: +p5296 +tp5297 +a(g6 +V +p5298 +tp5299 +a(g6 +V +tp5300 +a(g84 +VMath +p5301 +tp5302 +a(g216 +V. +tp5303 +a(g92 +Vceil +p5304 +tp5305 +a(g6 +V +tp5306 +a(g216 +V( +tp5307 +a(g6 +V +tp5308 +a(g216 +V( +tp5309 +a(g6 +V +tp5310 +a(g92 +Vstop +p5311 +tp5312 +a(g6 +V +tp5313 +a(g6 +V +tp5314 +a(g357 +V- +tp5315 +a(g6 +V +tp5316 +a(g6 +V +tp5317 +a(g92 +Vstart +p5318 +tp5319 +a(g6 +V +tp5320 +a(g216 +V) +tp5321 +a(g6 +V +tp5322 +a(g357 +V/ +tp5323 +a(g6 +V +tp5324 +a(g6 +V +tp5325 +a(g92 +Vstep +p5326 +tp5327 +a(g6 +V +tp5328 +a(g216 +V) +tp5329 +a(g6 +V\u000a +p5330 +tp5331 +a(g138 +Vreturn +p5332 +tp5333 +a(g6 +V +tp5334 +a(g6 +V +tp5335 +a(g216 +V[ +tp5336 +a(g6 +V +tp5337 +a(g216 +V] +tp5338 +a(g6 +V +tp5339 +a(g138 +Vif +p5340 +tp5341 +a(g6 +V +tp5342 +a(g6 +V +tp5343 +a(g92 +Vlen +p5344 +tp5345 +a(g6 +V +tp5346 +a(g6 +V +tp5347 +a(g357 +V<= +p5348 +tp5349 +a(g6 +V +tp5350 +a(g6 +V +tp5351 +a(g332 +V0 +tp5352 +a(g6 +V\u000a +p5353 +tp5354 +a(g100 +Vrange: +p5355 +tp5356 +a(g6 +V +p5357 +tp5358 +a(g6 +V +tp5359 +a(g138 +Vnew +p5360 +tp5361 +a(g6 +V +tp5362 +a(g6 +V +tp5363 +a(g84 +VArray +p5364 +tp5365 +a(g216 +V( +tp5366 +a(g6 +V +tp5367 +a(g92 +Vlen +p5368 +tp5369 +a(g6 +V +tp5370 +a(g216 +V) +tp5371 +a(g6 +V\u000a +p5372 +tp5373 +a(g100 +Vidx: +p5374 +tp5375 +a(g6 +V +p5376 +tp5377 +a(g6 +V +tp5378 +a(g332 +V0 +tp5379 +a(g6 +V\u000a +p5380 +tp5381 +a(g138 +Vwhile +p5382 +tp5383 +a(g6 +V +tp5384 +a(g6 +V +tp5385 +a(g144 +Vtrue +p5386 +tp5387 +a(g6 +V\u000a +p5388 +tp5389 +a(g138 +Vreturn +p5390 +tp5391 +a(g6 +V +tp5392 +a(g6 +V +tp5393 +a(g92 +Vrange +p5394 +tp5395 +a(g6 +V +tp5396 +a(g6 +V +tp5397 +a(g138 +Vif +p5398 +tp5399 +a(g6 +V +tp5400 +a(g6 +V +tp5401 +a(g216 +V( +tp5402 +a(g6 +V +tp5403 +a(g138 +Vif +p5404 +tp5405 +a(g6 +V +tp5406 +a(g6 +V +tp5407 +a(g92 +Vstep +p5408 +tp5409 +a(g6 +V +tp5410 +a(g6 +V +tp5411 +a(g357 +V> +tp5412 +a(g6 +V +tp5413 +a(g6 +V +tp5414 +a(g332 +V0 +tp5415 +a(g6 +V +tp5416 +a(g138 +Vthen +p5417 +tp5418 +a(g6 +V +tp5419 +a(g6 +V +tp5420 +a(g92 +Vi +tp5421 +a(g6 +V +tp5422 +a(g6 +V +tp5423 +a(g357 +V- +tp5424 +a(g6 +V +tp5425 +a(g6 +V +tp5426 +a(g92 +Vstop +p5427 +tp5428 +a(g6 +V +tp5429 +a(g6 +V +tp5430 +a(g138 +Velse +p5431 +tp5432 +a(g6 +V +tp5433 +a(g6 +V +tp5434 +a(g92 +Vstop +p5435 +tp5436 +a(g6 +V +tp5437 +a(g6 +V +tp5438 +a(g357 +V- +tp5439 +a(g6 +V +tp5440 +a(g6 +V +tp5441 +a(g92 +Vi +tp5442 +a(g6 +V +tp5443 +a(g216 +V) +tp5444 +a(g6 +V +tp5445 +a(g357 +V>= +p5446 +tp5447 +a(g6 +V +tp5448 +a(g6 +V +tp5449 +a(g332 +V0 +tp5450 +a(g6 +V\u000a +p5451 +tp5452 +a(g92 +Vrange +p5453 +tp5454 +a(g6 +V +tp5455 +a(g216 +V[ +tp5456 +a(g6 +V +tp5457 +a(g92 +Vidx +p5458 +tp5459 +a(g6 +V +tp5460 +a(g216 +V] +tp5461 +a(g357 +V: +tp5462 +a(g6 +V +tp5463 +a(g6 +V +tp5464 +a(g92 +Vi +tp5465 +a(g6 +V\u000a +p5466 +tp5467 +a(g6 +V +tp5468 +a(g92 +Vidx +p5469 +tp5470 +a(g6 +V +tp5471 +a(g357 +V++ +p5472 +tp5473 +a(g6 +V\u000a +p5474 +tp5475 +a(g6 +V +tp5476 +a(g92 +Vi +tp5477 +a(g6 +V +tp5478 +a(g357 +V+= +p5479 +tp5480 +a(g6 +V +tp5481 +a(g6 +V +tp5482 +a(g92 +Vstep +p5483 +tp5484 +a(g6 +V\u000a\u000a\u000a +p5485 +tp5486 +a(g31 +V# ----------------------- Function Functions: -----------------------------\u000a +p5487 +tp5488 +a(g6 +V\u000a +p5489 +tp5490 +a(g31 +V# Create a function bound to a given object (assigning 'this', and arguments,\u000a +p5491 +tp5492 +a(g6 +V +p5493 +tp5494 +a(g31 +V# optionally). Binding with arguments is also known as 'curry'.\u000a +p5495 +tp5496 +a(g6 +V +p5497 +tp5498 +a(g6 +V +tp5499 +a(g100 +V_.bind: +p5500 +tp5501 +a(g6 +V +tp5502 +a(g216 +V( +tp5503 +a(g6 +V +tp5504 +a(g92 +Vfunc +p5505 +tp5506 +a(g6 +V +tp5507 +a(g216 +V, +tp5508 +a(g6 +V +tp5509 +a(g6 +V +tp5510 +a(g92 +Vobj +p5511 +tp5512 +a(g6 +V +tp5513 +a(g216 +V) +tp5514 +a(g6 +V +tp5515 +a(g357 +V- +tp5516 +a(g6 +V +tp5517 +a(g357 +V> +tp5518 +a(g6 +V\u000a +p5519 +tp5520 +a(g6 +V +tp5521 +a(g100 +Vargs: +p5522 +tp5523 +a(g6 +V +tp5524 +a(g92 +V_ +tp5525 +a(g6 +V +tp5526 +a(g216 +V. +tp5527 +a(g92 +Vrest +p5528 +tp5529 +a(g6 +V +tp5530 +a(g216 +V( +tp5531 +a(g6 +V +tp5532 +a(g92 +Varguments +p5533 +tp5534 +a(g6 +V +tp5535 +a(g216 +V, +tp5536 +a(g6 +V +tp5537 +a(g6 +V +tp5538 +a(g332 +V2 +tp5539 +a(g216 +V) +tp5540 +a(g6 +V\u000a +p5541 +tp5542 +a(g357 +V- +tp5543 +a(g6 +V +tp5544 +a(g357 +V> +tp5545 +a(g6 +V +tp5546 +a(g6 +V +tp5547 +a(g92 +Vfunc +p5548 +tp5549 +a(g6 +V +tp5550 +a(g216 +V. +tp5551 +a(g92 +Vapply +p5552 +tp5553 +a(g6 +V +tp5554 +a(g216 +V( +tp5555 +a(g6 +V +tp5556 +a(g92 +Vobj +p5557 +tp5558 +a(g6 +V +tp5559 +a(g6 +V +tp5560 +a(g357 +Vor +p5561 +tp5562 +a(g6 +V +tp5563 +a(g6 +V +tp5564 +a(g92 +Vroot +p5565 +tp5566 +a(g6 +V +tp5567 +a(g216 +V, +tp5568 +a(g6 +V +tp5569 +a(g6 +V +tp5570 +a(g92 +Vargs +p5571 +tp5572 +a(g6 +V +tp5573 +a(g216 +V. +tp5574 +a(g92 +Vconcat +p5575 +tp5576 +a(g6 +V +tp5577 +a(g216 +V( +tp5578 +a(g6 +V +tp5579 +a(g92 +Varguments +p5580 +tp5581 +a(g6 +V +tp5582 +a(g216 +V) +tp5583 +a(g216 +V) +tp5584 +a(g6 +V\u000a\u000a\u000a +p5585 +tp5586 +a(g31 +V# Bind all of an object's methods to that object. Useful for ensuring that\u000a +p5587 +tp5588 +a(g6 +V +p5589 +tp5590 +a(g31 +V# all callbacks defined on an object belong to it.\u000a +p5591 +tp5592 +a(g6 +V +p5593 +tp5594 +a(g100 +V_.bindAll: +p5595 +tp5596 +a(g6 +V +tp5597 +a(g216 +V( +tp5598 +a(g6 +V +tp5599 +a(g92 +Vobj +p5600 +tp5601 +a(g6 +V +tp5602 +a(g216 +V) +tp5603 +a(g6 +V +tp5604 +a(g357 +V- +tp5605 +a(g6 +V +tp5606 +a(g357 +V> +tp5607 +a(g6 +V\u000a +p5608 +tp5609 +a(g6 +V +tp5610 +a(g100 +Vfuncs: +p5611 +tp5612 +a(g6 +V +tp5613 +a(g138 +Vif +p5614 +tp5615 +a(g6 +V +tp5616 +a(g6 +V +tp5617 +a(g92 +Varguments +p5618 +tp5619 +a(g6 +V +tp5620 +a(g216 +V. +tp5621 +a(g92 +Vlength +p5622 +tp5623 +a(g6 +V +tp5624 +a(g6 +V +tp5625 +a(g357 +V> +tp5626 +a(g6 +V +tp5627 +a(g6 +V +tp5628 +a(g332 +V1 +tp5629 +a(g6 +V +tp5630 +a(g138 +Vthen +p5631 +tp5632 +a(g6 +V +tp5633 +a(g6 +V +tp5634 +a(g92 +V_ +tp5635 +a(g6 +V +tp5636 +a(g216 +V. +tp5637 +a(g92 +Vrest +p5638 +tp5639 +a(g6 +V +tp5640 +a(g216 +V( +tp5641 +a(g6 +V +tp5642 +a(g92 +Varguments +p5643 +tp5644 +a(g6 +V +tp5645 +a(g216 +V) +tp5646 +a(g6 +V +tp5647 +a(g138 +Velse +p5648 +tp5649 +a(g6 +V +tp5650 +a(g6 +V +tp5651 +a(g92 +V_ +tp5652 +a(g6 +V +tp5653 +a(g216 +V. +tp5654 +a(g92 +Vfunctions +p5655 +tp5656 +a(g6 +V +tp5657 +a(g216 +V( +tp5658 +a(g6 +V +tp5659 +a(g92 +Vobj +p5660 +tp5661 +a(g6 +V +tp5662 +a(g216 +V) +tp5663 +a(g6 +V\u000a +p5664 +tp5665 +a(g92 +V_ +tp5666 +a(g6 +V +tp5667 +a(g216 +V. +tp5668 +a(g92 +Veach +p5669 +tp5670 +a(g6 +V +tp5671 +a(g216 +V( +tp5672 +a(g6 +V +tp5673 +a(g92 +Vfuncs +p5674 +tp5675 +a(g6 +V +tp5676 +a(g216 +V, +tp5677 +a(g6 +V +tp5678 +a(g6 +V +tp5679 +a(g216 +V( +tp5680 +a(g6 +V +tp5681 +a(g92 +Vf +tp5682 +a(g6 +V +tp5683 +a(g216 +V) +tp5684 +a(g6 +V +tp5685 +a(g357 +V- +tp5686 +a(g6 +V +tp5687 +a(g357 +V> +tp5688 +a(g6 +V +tp5689 +a(g6 +V +tp5690 +a(g92 +Vobj +p5691 +tp5692 +a(g6 +V +tp5693 +a(g216 +V[ +tp5694 +a(g6 +V +tp5695 +a(g92 +Vf +tp5696 +a(g6 +V +tp5697 +a(g216 +V] +tp5698 +a(g357 +V: +tp5699 +a(g6 +V +tp5700 +a(g6 +V +tp5701 +a(g92 +V_ +tp5702 +a(g6 +V +tp5703 +a(g216 +V. +tp5704 +a(g92 +Vbind +p5705 +tp5706 +a(g6 +V +tp5707 +a(g216 +V( +tp5708 +a(g6 +V +tp5709 +a(g92 +Vobj +p5710 +tp5711 +a(g6 +V +tp5712 +a(g216 +V[ +tp5713 +a(g6 +V +tp5714 +a(g92 +Vf +tp5715 +a(g6 +V +tp5716 +a(g216 +V] +tp5717 +a(g216 +V, +tp5718 +a(g6 +V +tp5719 +a(g6 +V +tp5720 +a(g92 +Vobj +p5721 +tp5722 +a(g6 +V +tp5723 +a(g216 +V) +tp5724 +a(g216 +V) +tp5725 +a(g6 +V\u000a +p5726 +tp5727 +a(g92 +Vobj +p5728 +tp5729 +a(g6 +V\u000a\u000a\u000a +p5730 +tp5731 +a(g31 +V# Delays a function for the given number of milliseconds, and then calls\u000a +p5732 +tp5733 +a(g6 +V +p5734 +tp5735 +a(g31 +V# it with the arguments supplied.\u000a +p5736 +tp5737 +a(g6 +V +p5738 +tp5739 +a(g6 +V +tp5740 +a(g100 +V_.delay: +p5741 +tp5742 +a(g6 +V +tp5743 +a(g216 +V( +tp5744 +a(g6 +V +tp5745 +a(g92 +Vfunc +p5746 +tp5747 +a(g6 +V +tp5748 +a(g216 +V, +tp5749 +a(g6 +V +tp5750 +a(g6 +V +tp5751 +a(g92 +Vwait +p5752 +tp5753 +a(g6 +V +tp5754 +a(g216 +V) +tp5755 +a(g6 +V +tp5756 +a(g357 +V- +tp5757 +a(g6 +V +tp5758 +a(g357 +V> +tp5759 +a(g6 +V\u000a +p5760 +tp5761 +a(g6 +V +tp5762 +a(g100 +Vargs: +p5763 +tp5764 +a(g6 +V +tp5765 +a(g92 +V_ +tp5766 +a(g6 +V +tp5767 +a(g216 +V. +tp5768 +a(g92 +Vrest +p5769 +tp5770 +a(g6 +V +tp5771 +a(g216 +V( +tp5772 +a(g6 +V +tp5773 +a(g92 +Varguments +p5774 +tp5775 +a(g6 +V +tp5776 +a(g216 +V, +tp5777 +a(g6 +V +tp5778 +a(g6 +V +tp5779 +a(g332 +V2 +tp5780 +a(g216 +V) +tp5781 +a(g6 +V\u000a +p5782 +tp5783 +a(g92 +VsetTimeout +p5784 +tp5785 +a(g6 +V +tp5786 +a(g216 +V( +tp5787 +a(g6 +V +tp5788 +a(g216 +V( +tp5789 +a(g6 +V +tp5790 +a(g357 +V- +tp5791 +a(g6 +V +tp5792 +a(g357 +V> +tp5793 +a(g6 +V +tp5794 +a(g6 +V +tp5795 +a(g92 +Vfunc +p5796 +tp5797 +a(g6 +V +tp5798 +a(g216 +V. +tp5799 +a(g92 +Vapply +p5800 +tp5801 +a(g6 +V +tp5802 +a(g216 +V( +tp5803 +a(g6 +V +tp5804 +a(g92 +Vfunc +p5805 +tp5806 +a(g6 +V +tp5807 +a(g216 +V, +tp5808 +a(g6 +V +tp5809 +a(g6 +V +tp5810 +a(g92 +Vargs +p5811 +tp5812 +a(g6 +V +tp5813 +a(g216 +V) +tp5814 +a(g216 +V) +tp5815 +a(g216 +V, +tp5816 +a(g6 +V +tp5817 +a(g6 +V +tp5818 +a(g92 +Vwait +p5819 +tp5820 +a(g6 +V +tp5821 +a(g216 +V) +tp5822 +a(g6 +V\u000a\u000a\u000a +p5823 +tp5824 +a(g31 +V# Defers a function, scheduling it to run after the current call stack has\u000a +p5825 +tp5826 +a(g6 +V +p5827 +tp5828 +a(g31 +V# cleared.\u000a +p5829 +tp5830 +a(g6 +V +p5831 +tp5832 +a(g100 +V_.defer: +p5833 +tp5834 +a(g6 +V +tp5835 +a(g216 +V( +tp5836 +a(g6 +V +tp5837 +a(g92 +Vfunc +p5838 +tp5839 +a(g6 +V +tp5840 +a(g216 +V) +tp5841 +a(g6 +V +tp5842 +a(g357 +V- +tp5843 +a(g6 +V +tp5844 +a(g357 +V> +tp5845 +a(g6 +V\u000a +p5846 +tp5847 +a(g6 +V +tp5848 +a(g92 +V_ +tp5849 +a(g6 +V +tp5850 +a(g216 +V. +tp5851 +a(g92 +Vdelay +p5852 +tp5853 +a(g6 +V +tp5854 +a(g216 +V. +tp5855 +a(g92 +Vapply +p5856 +tp5857 +a(g6 +V +tp5858 +a(g216 +V( +tp5859 +a(g6 +V +tp5860 +a(g92 +V_ +tp5861 +a(g6 +V +tp5862 +a(g216 +V, +tp5863 +a(g6 +V +tp5864 +a(g6 +V +tp5865 +a(g216 +V[ +tp5866 +a(g6 +V +tp5867 +a(g92 +Vfunc +p5868 +tp5869 +a(g6 +V +tp5870 +a(g216 +V, +tp5871 +a(g6 +V +tp5872 +a(g6 +V +tp5873 +a(g332 +V1 +tp5874 +a(g216 +V] +tp5875 +a(g216 +V. +tp5876 +a(g92 +Vconcat +p5877 +tp5878 +a(g6 +V +tp5879 +a(g216 +V( +tp5880 +a(g6 +V +tp5881 +a(g92 +V_ +tp5882 +a(g6 +V +tp5883 +a(g216 +V. +tp5884 +a(g92 +Vrest +p5885 +tp5886 +a(g6 +V +tp5887 +a(g216 +V( +tp5888 +a(g6 +V +tp5889 +a(g92 +Varguments +p5890 +tp5891 +a(g6 +V +tp5892 +a(g216 +V) +tp5893 +a(g216 +V) +tp5894 +a(g216 +V) +tp5895 +a(g6 +V\u000a\u000a\u000a +p5896 +tp5897 +a(g31 +V# Returns the first function passed as an argument to the second,\u000a +p5898 +tp5899 +a(g6 +V +p5900 +tp5901 +a(g31 +V# allowing you to adjust arguments, run code before and after, and\u000a +p5902 +tp5903 +a(g6 +V +p5904 +tp5905 +a(g31 +V# conditionally execute the original function.\u000a +p5906 +tp5907 +a(g6 +V +p5908 +tp5909 +a(g100 +V_.wrap: +p5910 +tp5911 +a(g6 +V +tp5912 +a(g216 +V( +tp5913 +a(g6 +V +tp5914 +a(g92 +Vfunc +p5915 +tp5916 +a(g6 +V +tp5917 +a(g216 +V, +tp5918 +a(g6 +V +tp5919 +a(g6 +V +tp5920 +a(g92 +Vwrapper +p5921 +tp5922 +a(g6 +V +tp5923 +a(g216 +V) +tp5924 +a(g6 +V +tp5925 +a(g357 +V- +tp5926 +a(g6 +V +tp5927 +a(g357 +V> +tp5928 +a(g6 +V\u000a +p5929 +tp5930 +a(g6 +V +tp5931 +a(g357 +V- +tp5932 +a(g6 +V +tp5933 +a(g357 +V> +tp5934 +a(g6 +V +tp5935 +a(g6 +V +tp5936 +a(g92 +Vwrapper +p5937 +tp5938 +a(g6 +V +tp5939 +a(g216 +V. +tp5940 +a(g92 +Vapply +p5941 +tp5942 +a(g6 +V +tp5943 +a(g216 +V( +tp5944 +a(g6 +V +tp5945 +a(g92 +Vwrapper +p5946 +tp5947 +a(g6 +V +tp5948 +a(g216 +V, +tp5949 +a(g6 +V +tp5950 +a(g6 +V +tp5951 +a(g216 +V[ +tp5952 +a(g6 +V +tp5953 +a(g92 +Vfunc +p5954 +tp5955 +a(g6 +V +tp5956 +a(g216 +V] +tp5957 +a(g216 +V. +tp5958 +a(g92 +Vconcat +p5959 +tp5960 +a(g6 +V +tp5961 +a(g216 +V( +tp5962 +a(g6 +V +tp5963 +a(g92 +Varguments +p5964 +tp5965 +a(g6 +V +tp5966 +a(g216 +V) +tp5967 +a(g216 +V) +tp5968 +a(g6 +V\u000a\u000a\u000a +p5969 +tp5970 +a(g31 +V# Returns a function that is the composition of a list of functions, each\u000a +p5971 +tp5972 +a(g6 +V +p5973 +tp5974 +a(g31 +V# consuming the return value of the function that follows.\u000a +p5975 +tp5976 +a(g6 +V +p5977 +tp5978 +a(g100 +V_.compose: +p5979 +tp5980 +a(g6 +V +tp5981 +a(g357 +V- +tp5982 +a(g6 +V +tp5983 +a(g357 +V> +tp5984 +a(g6 +V\u000a +p5985 +tp5986 +a(g6 +V +tp5987 +a(g100 +Vfuncs: +p5988 +tp5989 +a(g6 +V +tp5990 +a(g92 +Varguments +p5991 +tp5992 +a(g6 +V\u000a +p5993 +tp5994 +a(g6 +V +tp5995 +a(g357 +V- +tp5996 +a(g6 +V +tp5997 +a(g357 +V> +tp5998 +a(g6 +V\u000a +p5999 +tp6000 +a(g6 +V +tp6001 +a(g100 +Vargs: +p6002 +tp6003 +a(g6 +V +tp6004 +a(g92 +Varguments +p6005 +tp6006 +a(g6 +V\u000a +p6007 +tp6008 +a(g6 +V +tp6009 +a(g138 +Vfor +p6010 +tp6011 +a(g6 +V +tp6012 +a(g6 +V +tp6013 +a(g92 +Vi +tp6014 +a(g6 +V +tp6015 +a(g6 +V +tp6016 +a(g138 +Vin +p6017 +tp6018 +a(g6 +V +tp6019 +a(g6 +V +tp6020 +a(g216 +V[ +tp6021 +a(g6 +V +tp6022 +a(g216 +V( +tp6023 +a(g6 +V +tp6024 +a(g92 +Vfuncs +p6025 +tp6026 +a(g6 +V +tp6027 +a(g216 +V. +tp6028 +a(g92 +Vlength +p6029 +tp6030 +a(g6 +V +tp6031 +a(g6 +V +tp6032 +a(g357 +V- +tp6033 +a(g6 +V +tp6034 +a(g6 +V +tp6035 +a(g332 +V1 +tp6036 +a(g216 +V) +tp6037 +a(g216 +V. +tp6038 +a(g216 +V. +tp6039 +a(g332 +V0 +tp6040 +a(g216 +V] +tp6041 +a(g6 +V\u000a +p6042 +tp6043 +a(g100 +Vargs: +p6044 +tp6045 +a(g6 +V +tp6046 +a(g216 +V[ +tp6047 +a(g6 +V +tp6048 +a(g92 +Vfuncs +p6049 +tp6050 +a(g6 +V +tp6051 +a(g216 +V[ +tp6052 +a(g6 +V +tp6053 +a(g92 +Vi +tp6054 +a(g6 +V +tp6055 +a(g216 +V] +tp6056 +a(g216 +V. +tp6057 +a(g92 +Vapply +p6058 +tp6059 +a(g6 +V +tp6060 +a(g216 +V( +tp6061 +a(g6 +V +tp6062 +a(g138 +Vthis +p6063 +tp6064 +a(g6 +V +tp6065 +a(g216 +V, +tp6066 +a(g6 +V +tp6067 +a(g6 +V +tp6068 +a(g92 +Vargs +p6069 +tp6070 +a(g6 +V +tp6071 +a(g216 +V) +tp6072 +a(g216 +V] +tp6073 +a(g6 +V\u000a +p6074 +tp6075 +a(g92 +Vargs +p6076 +tp6077 +a(g6 +V +tp6078 +a(g216 +V[ +tp6079 +a(g6 +V +tp6080 +a(g332 +V0 +tp6081 +a(g216 +V] +tp6082 +a(g6 +V\u000a\u000a\u000a +p6083 +tp6084 +a(g31 +V# ------------------------- Object Functions: ----------------------------\u000a +p6085 +tp6086 +a(g6 +V\u000a +p6087 +tp6088 +a(g31 +V# Retrieve the names of an object's properties.\u000a +p6089 +tp6090 +a(g6 +V +p6091 +tp6092 +a(g100 +V_.keys: +p6093 +tp6094 +a(g6 +V +tp6095 +a(g216 +V( +tp6096 +a(g6 +V +tp6097 +a(g92 +Vobj +p6098 +tp6099 +a(g6 +V +tp6100 +a(g216 +V) +tp6101 +a(g6 +V +tp6102 +a(g357 +V- +tp6103 +a(g6 +V +tp6104 +a(g357 +V> +tp6105 +a(g6 +V\u000a +p6106 +tp6107 +a(g6 +V +tp6108 +a(g138 +Vreturn +p6109 +tp6110 +a(g6 +V +tp6111 +a(g6 +V +tp6112 +a(g92 +V_ +tp6113 +a(g6 +V +tp6114 +a(g216 +V. +tp6115 +a(g92 +Vrange +p6116 +tp6117 +a(g6 +V +tp6118 +a(g216 +V( +tp6119 +a(g6 +V +tp6120 +a(g332 +V0 +tp6121 +a(g216 +V, +tp6122 +a(g6 +V +tp6123 +a(g6 +V +tp6124 +a(g92 +Vobj +p6125 +tp6126 +a(g6 +V +tp6127 +a(g216 +V. +tp6128 +a(g92 +Vlength +p6129 +tp6130 +a(g6 +V +tp6131 +a(g216 +V) +tp6132 +a(g6 +V +tp6133 +a(g138 +Vif +p6134 +tp6135 +a(g6 +V +tp6136 +a(g6 +V +tp6137 +a(g92 +V_ +tp6138 +a(g6 +V +tp6139 +a(g216 +V. +tp6140 +a(g92 +VisArray +p6141 +tp6142 +a(g6 +V +tp6143 +a(g216 +V( +tp6144 +a(g6 +V +tp6145 +a(g92 +Vobj +p6146 +tp6147 +a(g6 +V +tp6148 +a(g216 +V) +tp6149 +a(g6 +V\u000a +p6150 +tp6151 +a(g92 +Vkey +p6152 +tp6153 +a(g6 +V +tp6154 +a(g6 +V +tp6155 +a(g138 +Vfor +p6156 +tp6157 +a(g6 +V +tp6158 +a(g6 +V +tp6159 +a(g92 +Vkey +p6160 +tp6161 +a(g6 +V +tp6162 +a(g216 +V, +tp6163 +a(g6 +V +tp6164 +a(g6 +V +tp6165 +a(g92 +Vval +p6166 +tp6167 +a(g6 +V +tp6168 +a(g6 +V +tp6169 +a(g138 +Vof +p6170 +tp6171 +a(g6 +V +tp6172 +a(g6 +V +tp6173 +a(g92 +Vobj +p6174 +tp6175 +a(g6 +V\u000a\u000a\u000a +p6176 +tp6177 +a(g31 +V# Retrieve the values of an object's properties.\u000a +p6178 +tp6179 +a(g6 +V +p6180 +tp6181 +a(g6 +V +tp6182 +a(g100 +V_.values: +p6183 +tp6184 +a(g6 +V +tp6185 +a(g216 +V( +tp6186 +a(g6 +V +tp6187 +a(g92 +Vobj +p6188 +tp6189 +a(g6 +V +tp6190 +a(g216 +V) +tp6191 +a(g6 +V +tp6192 +a(g357 +V- +tp6193 +a(g6 +V +tp6194 +a(g357 +V> +tp6195 +a(g6 +V\u000a +p6196 +tp6197 +a(g6 +V +tp6198 +a(g92 +V_ +tp6199 +a(g6 +V +tp6200 +a(g216 +V. +tp6201 +a(g92 +Vmap +p6202 +tp6203 +a(g6 +V +tp6204 +a(g216 +V( +tp6205 +a(g6 +V +tp6206 +a(g92 +Vobj +p6207 +tp6208 +a(g6 +V +tp6209 +a(g216 +V, +tp6210 +a(g6 +V +tp6211 +a(g6 +V +tp6212 +a(g92 +V_ +tp6213 +a(g6 +V +tp6214 +a(g216 +V. +tp6215 +a(g92 +Videntity +p6216 +tp6217 +a(g6 +V +tp6218 +a(g216 +V) +tp6219 +a(g6 +V\u000a\u000a\u000a +p6220 +tp6221 +a(g31 +V# Return a sorted list of the function names available in Underscore.\u000a +p6222 +tp6223 +a(g6 +V +p6224 +tp6225 +a(g100 +V_.functions: +p6226 +tp6227 +a(g6 +V +tp6228 +a(g216 +V( +tp6229 +a(g6 +V +tp6230 +a(g92 +Vobj +p6231 +tp6232 +a(g6 +V +tp6233 +a(g216 +V) +tp6234 +a(g6 +V +tp6235 +a(g357 +V- +tp6236 +a(g6 +V +tp6237 +a(g357 +V> +tp6238 +a(g6 +V\u000a +p6239 +tp6240 +a(g6 +V +tp6241 +a(g92 +V_ +tp6242 +a(g6 +V +tp6243 +a(g216 +V. +tp6244 +a(g92 +Vselect +p6245 +tp6246 +a(g6 +V +tp6247 +a(g216 +V( +tp6248 +a(g6 +V +tp6249 +a(g92 +V_ +tp6250 +a(g6 +V +tp6251 +a(g216 +V. +tp6252 +a(g92 +Vkeys +p6253 +tp6254 +a(g6 +V +tp6255 +a(g216 +V( +tp6256 +a(g6 +V +tp6257 +a(g92 +Vobj +p6258 +tp6259 +a(g6 +V +tp6260 +a(g216 +V) +tp6261 +a(g216 +V, +tp6262 +a(g6 +V +tp6263 +a(g6 +V +tp6264 +a(g216 +V( +tp6265 +a(g6 +V +tp6266 +a(g92 +Vkey +p6267 +tp6268 +a(g6 +V +tp6269 +a(g216 +V) +tp6270 +a(g6 +V +tp6271 +a(g357 +V- +tp6272 +a(g6 +V +tp6273 +a(g357 +V> +tp6274 +a(g6 +V +tp6275 +a(g6 +V +tp6276 +a(g92 +V_ +tp6277 +a(g6 +V +tp6278 +a(g216 +V. +tp6279 +a(g92 +VisFunction +p6280 +tp6281 +a(g6 +V +tp6282 +a(g216 +V( +tp6283 +a(g6 +V +tp6284 +a(g92 +Vobj +p6285 +tp6286 +a(g6 +V +tp6287 +a(g216 +V[ +tp6288 +a(g6 +V +tp6289 +a(g92 +Vkey +p6290 +tp6291 +a(g6 +V +tp6292 +a(g216 +V] +tp6293 +a(g216 +V) +tp6294 +a(g216 +V) +tp6295 +a(g216 +V. +tp6296 +a(g92 +Vsort +p6297 +tp6298 +a(g6 +V +tp6299 +a(g216 +V( +tp6300 +a(g6 +V +tp6301 +a(g216 +V) +tp6302 +a(g6 +V\u000a\u000a\u000a +p6303 +tp6304 +a(g31 +V# Extend a given object with all of the properties in a source object.\u000a +p6305 +tp6306 +a(g6 +V +p6307 +tp6308 +a(g100 +V_.extend: +p6309 +tp6310 +a(g6 +V +tp6311 +a(g216 +V( +tp6312 +a(g6 +V +tp6313 +a(g92 +Vdestination +p6314 +tp6315 +a(g6 +V +tp6316 +a(g216 +V, +tp6317 +a(g6 +V +tp6318 +a(g6 +V +tp6319 +a(g92 +Vsource +p6320 +tp6321 +a(g6 +V +tp6322 +a(g216 +V) +tp6323 +a(g6 +V +tp6324 +a(g357 +V- +tp6325 +a(g6 +V +tp6326 +a(g357 +V> +tp6327 +a(g6 +V\u000a +p6328 +tp6329 +a(g6 +V +tp6330 +a(g138 +Vfor +p6331 +tp6332 +a(g6 +V +tp6333 +a(g6 +V +tp6334 +a(g92 +Vkey +p6335 +tp6336 +a(g6 +V +tp6337 +a(g216 +V, +tp6338 +a(g6 +V +tp6339 +a(g6 +V +tp6340 +a(g92 +Vval +p6341 +tp6342 +a(g6 +V +tp6343 +a(g6 +V +tp6344 +a(g138 +Vof +p6345 +tp6346 +a(g6 +V +tp6347 +a(g6 +V +tp6348 +a(g92 +Vsource +p6349 +tp6350 +a(g6 +V\u000a +p6351 +tp6352 +a(g6 +V +tp6353 +a(g92 +Vdestination +p6354 +tp6355 +a(g6 +V +tp6356 +a(g216 +V[ +tp6357 +a(g6 +V +tp6358 +a(g92 +Vkey +p6359 +tp6360 +a(g6 +V +tp6361 +a(g216 +V] +tp6362 +a(g357 +V: +tp6363 +a(g6 +V +tp6364 +a(g6 +V +tp6365 +a(g92 +Vval +p6366 +tp6367 +a(g6 +V\u000a +p6368 +tp6369 +a(g6 +V +tp6370 +a(g92 +Vdestination +p6371 +tp6372 +a(g6 +V\u000a\u000a\u000a +p6373 +tp6374 +a(g31 +V# Create a (shallow-cloned) duplicate of an object.\u000a +p6375 +tp6376 +a(g6 +V +p6377 +tp6378 +a(g6 +V +tp6379 +a(g100 +V_.clone: +p6380 +tp6381 +a(g6 +V +tp6382 +a(g216 +V( +tp6383 +a(g6 +V +tp6384 +a(g92 +Vobj +p6385 +tp6386 +a(g6 +V +tp6387 +a(g216 +V) +tp6388 +a(g6 +V +tp6389 +a(g357 +V- +tp6390 +a(g6 +V +tp6391 +a(g357 +V> +tp6392 +a(g6 +V\u000a +p6393 +tp6394 +a(g6 +V +tp6395 +a(g138 +Vreturn +p6396 +tp6397 +a(g6 +V +tp6398 +a(g6 +V +tp6399 +a(g92 +Vobj +p6400 +tp6401 +a(g6 +V +tp6402 +a(g216 +V. +tp6403 +a(g92 +Vslice +p6404 +tp6405 +a(g6 +V +tp6406 +a(g216 +V( +tp6407 +a(g6 +V +tp6408 +a(g332 +V0 +tp6409 +a(g216 +V) +tp6410 +a(g6 +V +tp6411 +a(g138 +Vif +p6412 +tp6413 +a(g6 +V +tp6414 +a(g6 +V +tp6415 +a(g92 +V_ +tp6416 +a(g6 +V +tp6417 +a(g216 +V. +tp6418 +a(g92 +VisArray +p6419 +tp6420 +a(g6 +V +tp6421 +a(g216 +V( +tp6422 +a(g6 +V +tp6423 +a(g92 +Vobj +p6424 +tp6425 +a(g6 +V +tp6426 +a(g216 +V) +tp6427 +a(g6 +V\u000a +p6428 +tp6429 +a(g92 +V_ +tp6430 +a(g6 +V +tp6431 +a(g216 +V. +tp6432 +a(g92 +Vextend +p6433 +tp6434 +a(g6 +V +tp6435 +a(g216 +V( +tp6436 +a(g6 +V +tp6437 +a(g216 +V{ +tp6438 +a(g6 +V +tp6439 +a(g216 +V} +tp6440 +a(g216 +V, +tp6441 +a(g6 +V +tp6442 +a(g6 +V +tp6443 +a(g92 +Vobj +p6444 +tp6445 +a(g6 +V +tp6446 +a(g216 +V) +tp6447 +a(g6 +V\u000a\u000a\u000a +p6448 +tp6449 +a(g31 +V# Invokes interceptor with the obj, and then returns obj.\u000a +p6450 +tp6451 +a(g6 +V +p6452 +tp6453 +a(g31 +V# The primary purpose of this method is to "tap into" a method chain, in order to perform operations on intermediate results within the chain.\u000a +p6454 +tp6455 +a(g6 +V +p6456 +tp6457 +a(g100 +V_.tap: +p6458 +tp6459 +a(g6 +V +tp6460 +a(g216 +V( +tp6461 +a(g6 +V +tp6462 +a(g92 +Vobj +p6463 +tp6464 +a(g6 +V +tp6465 +a(g216 +V, +tp6466 +a(g6 +V +tp6467 +a(g6 +V +tp6468 +a(g92 +Vinterceptor +p6469 +tp6470 +a(g6 +V +tp6471 +a(g216 +V) +tp6472 +a(g6 +V +tp6473 +a(g357 +V- +tp6474 +a(g6 +V +tp6475 +a(g357 +V> +tp6476 +a(g6 +V\u000a +p6477 +tp6478 +a(g6 +V +tp6479 +a(g92 +Vinterceptor +p6480 +tp6481 +a(g6 +V +tp6482 +a(g216 +V( +tp6483 +a(g6 +V +tp6484 +a(g92 +Vobj +p6485 +tp6486 +a(g6 +V +tp6487 +a(g216 +V) +tp6488 +a(g6 +V\u000a +p6489 +tp6490 +a(g92 +Vobj +p6491 +tp6492 +a(g6 +V\u000a\u000a\u000a +p6493 +tp6494 +a(g31 +V# Perform a deep comparison to check if two objects are equal.\u000a +p6495 +tp6496 +a(g6 +V +p6497 +tp6498 +a(g6 +V +tp6499 +a(g100 +V_.isEqual: +p6500 +tp6501 +a(g6 +V +tp6502 +a(g216 +V( +tp6503 +a(g6 +V +tp6504 +a(g92 +Va +tp6505 +a(g6 +V +tp6506 +a(g216 +V, +tp6507 +a(g6 +V +tp6508 +a(g6 +V +tp6509 +a(g92 +Vb +tp6510 +a(g6 +V +tp6511 +a(g216 +V) +tp6512 +a(g6 +V +tp6513 +a(g357 +V- +tp6514 +a(g6 +V +tp6515 +a(g357 +V> +tp6516 +a(g6 +V\u000a +p6517 +tp6518 +a(g31 +V# Check object identity.\u000a +p6519 +tp6520 +a(g6 +V +p6521 +tp6522 +a(g6 +V +tp6523 +a(g138 +Vreturn +p6524 +tp6525 +a(g6 +V +tp6526 +a(g6 +V +tp6527 +a(g144 +Vtrue +p6528 +tp6529 +a(g6 +V +tp6530 +a(g138 +Vif +p6531 +tp6532 +a(g6 +V +tp6533 +a(g6 +V +tp6534 +a(g92 +Va +tp6535 +a(g6 +V +tp6536 +a(g6 +V +tp6537 +a(g357 +Vis +p6538 +tp6539 +a(g6 +V +tp6540 +a(g6 +V +tp6541 +a(g92 +Vb +tp6542 +a(g6 +V\u000a +p6543 +tp6544 +a(g31 +V# Different types?\u000a +p6545 +tp6546 +a(g6 +V +p6547 +tp6548 +a(g6 +V +tp6549 +a(g100 +Vatype: +p6550 +tp6551 +a(g6 +V +tp6552 +a(g138 +Vtypeof +p6553 +tp6554 +a(g6 +V +tp6555 +a(g216 +V( +tp6556 +a(g6 +V +tp6557 +a(g92 +Va +tp6558 +a(g6 +V +tp6559 +a(g216 +V) +tp6560 +a(g216 +V; +tp6561 +a(g6 +V +tp6562 +a(g6 +V +tp6563 +a(g100 +Vbtype: +p6564 +tp6565 +a(g6 +V +tp6566 +a(g138 +Vtypeof +p6567 +tp6568 +a(g6 +V +tp6569 +a(g216 +V( +tp6570 +a(g6 +V +tp6571 +a(g92 +Vb +tp6572 +a(g6 +V +tp6573 +a(g216 +V) +tp6574 +a(g6 +V\u000a +p6575 +tp6576 +a(g138 +Vreturn +p6577 +tp6578 +a(g6 +V +tp6579 +a(g6 +V +tp6580 +a(g144 +Vfalse +p6581 +tp6582 +a(g6 +V +tp6583 +a(g138 +Vif +p6584 +tp6585 +a(g6 +V +tp6586 +a(g6 +V +tp6587 +a(g92 +Vatype +p6588 +tp6589 +a(g6 +V +tp6590 +a(g6 +V +tp6591 +a(g357 +Visnt +p6592 +tp6593 +a(g6 +V +tp6594 +a(g6 +V +tp6595 +a(g92 +Vbtype +p6596 +tp6597 +a(g6 +V\u000a +p6598 +tp6599 +a(g31 +V# Basic equality test (watch out for coercions).\u000a +p6600 +tp6601 +a(g6 +V +p6602 +tp6603 +a(g6 +V +tp6604 +a(g138 +Vreturn +p6605 +tp6606 +a(g6 +V +tp6607 +a(g6 +V +tp6608 +a(g144 +Vtrue +p6609 +tp6610 +a(g6 +V +tp6611 +a(g138 +Vif +p6612 +tp6613 +a(g6 +V +tp6614 +a(g6 +V +tp6615 +a(g357 +V` +tp6616 +a(g6 +V +tp6617 +a(g92 +Va +tp6618 +a(g6 +V +tp6619 +a(g6 +V +tp6620 +a(g357 +V== +p6621 +tp6622 +a(g6 +V +tp6623 +a(g6 +V +tp6624 +a(g92 +Vb +tp6625 +a(g6 +V +tp6626 +a(g357 +V` +tp6627 +a(g6 +V\u000a +p6628 +tp6629 +a(g31 +V# One is falsy and the other truthy.\u000a +p6630 +tp6631 +a(g6 +V +p6632 +tp6633 +a(g6 +V +tp6634 +a(g138 +Vreturn +p6635 +tp6636 +a(g6 +V +tp6637 +a(g6 +V +tp6638 +a(g144 +Vfalse +p6639 +tp6640 +a(g6 +V +tp6641 +a(g138 +Vif +p6642 +tp6643 +a(g6 +V +tp6644 +a(g6 +V +tp6645 +a(g216 +V( +tp6646 +a(g6 +V +tp6647 +a(g357 +V! +tp6648 +a(g6 +V +tp6649 +a(g92 +Va +tp6650 +a(g6 +V +tp6651 +a(g6 +V +tp6652 +a(g357 +Vand +p6653 +tp6654 +a(g6 +V +tp6655 +a(g6 +V +tp6656 +a(g92 +Vb +tp6657 +a(g6 +V +tp6658 +a(g216 +V) +tp6659 +a(g6 +V +tp6660 +a(g357 +Vor +p6661 +tp6662 +a(g6 +V +tp6663 +a(g6 +V +tp6664 +a(g216 +V( +tp6665 +a(g6 +V +tp6666 +a(g92 +Va +tp6667 +a(g6 +V +tp6668 +a(g6 +V +tp6669 +a(g357 +Vand +p6670 +tp6671 +a(g6 +V +tp6672 +a(g6 +V +tp6673 +a(g357 +V! +tp6674 +a(g6 +V +tp6675 +a(g92 +Vb +tp6676 +a(g6 +V +tp6677 +a(g216 +V) +tp6678 +a(g6 +V\u000a +p6679 +tp6680 +a(g31 +V# One of them implements an isEqual()?\u000a +p6681 +tp6682 +a(g6 +V +p6683 +tp6684 +a(g138 +Vreturn +p6685 +tp6686 +a(g6 +V +tp6687 +a(g6 +V +tp6688 +a(g92 +Va +tp6689 +a(g6 +V +tp6690 +a(g216 +V. +tp6691 +a(g92 +VisEqual +p6692 +tp6693 +a(g6 +V +tp6694 +a(g216 +V( +tp6695 +a(g6 +V +tp6696 +a(g92 +Vb +tp6697 +a(g6 +V +tp6698 +a(g216 +V) +tp6699 +a(g6 +V +tp6700 +a(g138 +Vif +p6701 +tp6702 +a(g6 +V +tp6703 +a(g6 +V +tp6704 +a(g92 +Va +tp6705 +a(g6 +V +tp6706 +a(g216 +V. +tp6707 +a(g92 +VisEqual +p6708 +tp6709 +a(g6 +V\u000a +p6710 +tp6711 +a(g31 +V# Check dates' integer values.\u000a +p6712 +tp6713 +a(g6 +V +p6714 +tp6715 +a(g6 +V +tp6716 +a(g138 +Vreturn +p6717 +tp6718 +a(g6 +V +tp6719 +a(g6 +V +tp6720 +a(g92 +Va +tp6721 +a(g6 +V +tp6722 +a(g216 +V. +tp6723 +a(g92 +VgetTime +p6724 +tp6725 +a(g6 +V +tp6726 +a(g216 +V( +tp6727 +a(g6 +V +tp6728 +a(g216 +V) +tp6729 +a(g6 +V +tp6730 +a(g357 +Vis +p6731 +tp6732 +a(g6 +V +tp6733 +a(g6 +V +tp6734 +a(g92 +Vb +tp6735 +a(g6 +V +tp6736 +a(g216 +V. +tp6737 +a(g92 +VgetTime +p6738 +tp6739 +a(g6 +V +tp6740 +a(g216 +V( +tp6741 +a(g6 +V +tp6742 +a(g216 +V) +tp6743 +a(g6 +V +tp6744 +a(g138 +Vif +p6745 +tp6746 +a(g6 +V +tp6747 +a(g6 +V +tp6748 +a(g92 +V_ +tp6749 +a(g6 +V +tp6750 +a(g216 +V. +tp6751 +a(g92 +VisDate +p6752 +tp6753 +a(g6 +V +tp6754 +a(g216 +V( +tp6755 +a(g6 +V +tp6756 +a(g92 +Va +tp6757 +a(g6 +V +tp6758 +a(g216 +V) +tp6759 +a(g6 +V +tp6760 +a(g357 +Vand +p6761 +tp6762 +a(g6 +V +tp6763 +a(g6 +V +tp6764 +a(g92 +V_ +tp6765 +a(g6 +V +tp6766 +a(g216 +V. +tp6767 +a(g92 +VisDate +p6768 +tp6769 +a(g6 +V +tp6770 +a(g216 +V( +tp6771 +a(g6 +V +tp6772 +a(g92 +Vb +tp6773 +a(g6 +V +tp6774 +a(g216 +V) +tp6775 +a(g6 +V\u000a +p6776 +tp6777 +a(g31 +V# Both are NaN?\u000a +p6778 +tp6779 +a(g6 +V +p6780 +tp6781 +a(g138 +Vreturn +p6782 +tp6783 +a(g6 +V +tp6784 +a(g6 +V +tp6785 +a(g144 +Vtrue +p6786 +tp6787 +a(g6 +V +tp6788 +a(g138 +Vif +p6789 +tp6790 +a(g6 +V +tp6791 +a(g6 +V +tp6792 +a(g92 +V_ +tp6793 +a(g6 +V +tp6794 +a(g216 +V. +tp6795 +a(g84 +VisNaN +p6796 +tp6797 +a(g216 +V( +tp6798 +a(g6 +V +tp6799 +a(g92 +Va +tp6800 +a(g6 +V +tp6801 +a(g216 +V) +tp6802 +a(g6 +V +tp6803 +a(g357 +Vand +p6804 +tp6805 +a(g6 +V +tp6806 +a(g6 +V +tp6807 +a(g92 +V_ +tp6808 +a(g6 +V +tp6809 +a(g216 +V. +tp6810 +a(g84 +VisNaN +p6811 +tp6812 +a(g216 +V( +tp6813 +a(g6 +V +tp6814 +a(g92 +Vb +tp6815 +a(g6 +V +tp6816 +a(g216 +V) +tp6817 +a(g6 +V\u000a +p6818 +tp6819 +a(g31 +V# Compare regular expressions.\u000a +p6820 +tp6821 +a(g6 +V +p6822 +tp6823 +a(g138 +Vif +p6824 +tp6825 +a(g6 +V +tp6826 +a(g6 +V +tp6827 +a(g92 +V_ +tp6828 +a(g6 +V +tp6829 +a(g216 +V. +tp6830 +a(g92 +VisRegExp +p6831 +tp6832 +a(g6 +V +tp6833 +a(g216 +V( +tp6834 +a(g6 +V +tp6835 +a(g92 +Va +tp6836 +a(g6 +V +tp6837 +a(g216 +V) +tp6838 +a(g6 +V +tp6839 +a(g357 +Vand +p6840 +tp6841 +a(g6 +V +tp6842 +a(g6 +V +tp6843 +a(g92 +V_ +tp6844 +a(g6 +V +tp6845 +a(g216 +V. +tp6846 +a(g92 +VisRegExp +p6847 +tp6848 +a(g6 +V +tp6849 +a(g216 +V( +tp6850 +a(g6 +V +tp6851 +a(g92 +Vb +tp6852 +a(g6 +V +tp6853 +a(g216 +V) +tp6854 +a(g6 +V\u000a +p6855 +tp6856 +a(g138 +Vreturn +p6857 +tp6858 +a(g6 +V +tp6859 +a(g6 +V +tp6860 +a(g92 +Va +tp6861 +a(g6 +V +tp6862 +a(g216 +V. +tp6863 +a(g92 +Vsource +p6864 +tp6865 +a(g6 +V +p6866 +tp6867 +a(g6 +V +tp6868 +a(g357 +Vis +p6869 +tp6870 +a(g6 +V +tp6871 +a(g6 +V +tp6872 +a(g92 +Vb +tp6873 +a(g6 +V +tp6874 +a(g216 +V. +tp6875 +a(g92 +Vsource +p6876 +tp6877 +a(g6 +V +tp6878 +a(g6 +V +tp6879 +a(g357 +Vand +p6880 +tp6881 +a(g6 +V\u000a +p6882 +tp6883 +a(g6 +V +tp6884 +a(g92 +Va +tp6885 +a(g6 +V +tp6886 +a(g216 +V. +tp6887 +a(g92 +Vglobal +p6888 +tp6889 +a(g6 +V +p6890 +tp6891 +a(g6 +V +tp6892 +a(g357 +Vis +p6893 +tp6894 +a(g6 +V +tp6895 +a(g6 +V +tp6896 +a(g92 +Vb +tp6897 +a(g6 +V +tp6898 +a(g216 +V. +tp6899 +a(g92 +Vglobal +p6900 +tp6901 +a(g6 +V +tp6902 +a(g6 +V +tp6903 +a(g357 +Vand +p6904 +tp6905 +a(g6 +V\u000a +p6906 +tp6907 +a(g6 +V +tp6908 +a(g92 +Va +tp6909 +a(g6 +V +tp6910 +a(g216 +V. +tp6911 +a(g92 +VignoreCase +p6912 +tp6913 +a(g6 +V +tp6914 +a(g6 +V +tp6915 +a(g357 +Vis +p6916 +tp6917 +a(g6 +V +tp6918 +a(g6 +V +tp6919 +a(g92 +Vb +tp6920 +a(g6 +V +tp6921 +a(g216 +V. +tp6922 +a(g92 +VignoreCase +p6923 +tp6924 +a(g6 +V +tp6925 +a(g6 +V +tp6926 +a(g357 +Vand +p6927 +tp6928 +a(g6 +V\u000a +p6929 +tp6930 +a(g6 +V +tp6931 +a(g92 +Va +tp6932 +a(g6 +V +tp6933 +a(g216 +V. +tp6934 +a(g92 +Vmultiline +p6935 +tp6936 +a(g6 +V +p6937 +tp6938 +a(g6 +V +tp6939 +a(g357 +Vis +p6940 +tp6941 +a(g6 +V +tp6942 +a(g6 +V +tp6943 +a(g92 +Vb +tp6944 +a(g6 +V +tp6945 +a(g216 +V. +tp6946 +a(g92 +Vmultiline +p6947 +tp6948 +a(g6 +V\u000a +p6949 +tp6950 +a(g31 +V# If a is not an object by this point, we can't handle it.\u000a +p6951 +tp6952 +a(g6 +V +p6953 +tp6954 +a(g6 +V +tp6955 +a(g138 +Vreturn +p6956 +tp6957 +a(g6 +V +tp6958 +a(g6 +V +tp6959 +a(g144 +Vfalse +p6960 +tp6961 +a(g6 +V +tp6962 +a(g138 +Vif +p6963 +tp6964 +a(g6 +V +tp6965 +a(g6 +V +tp6966 +a(g92 +Vatype +p6967 +tp6968 +a(g6 +V +tp6969 +a(g6 +V +tp6970 +a(g357 +Visnt +p6971 +tp6972 +a(g6 +V +tp6973 +a(g6 +V +tp6974 +a(g285 +V'object' +p6975 +tp6976 +a(g6 +V\u000a +p6977 +tp6978 +a(g31 +V# Check for different array lengths before comparing contents.\u000a +p6979 +tp6980 +a(g6 +V +p6981 +tp6982 +a(g138 +Vreturn +p6983 +tp6984 +a(g6 +V +tp6985 +a(g6 +V +tp6986 +a(g144 +Vfalse +p6987 +tp6988 +a(g6 +V +tp6989 +a(g138 +Vif +p6990 +tp6991 +a(g6 +V +tp6992 +a(g6 +V +tp6993 +a(g92 +Va +tp6994 +a(g6 +V +tp6995 +a(g216 +V. +tp6996 +a(g92 +Vlength +p6997 +tp6998 +a(g6 +V +tp6999 +a(g6 +V +tp7000 +a(g357 +Vand +p7001 +tp7002 +a(g6 +V +tp7003 +a(g6 +V +tp7004 +a(g216 +V( +tp7005 +a(g6 +V +tp7006 +a(g92 +Va +tp7007 +a(g6 +V +tp7008 +a(g216 +V. +tp7009 +a(g92 +Vlength +p7010 +tp7011 +a(g6 +V +tp7012 +a(g6 +V +tp7013 +a(g357 +Visnt +p7014 +tp7015 +a(g6 +V +tp7016 +a(g6 +V +tp7017 +a(g92 +Vb +tp7018 +a(g6 +V +tp7019 +a(g216 +V. +tp7020 +a(g92 +Vlength +p7021 +tp7022 +a(g6 +V +tp7023 +a(g216 +V) +tp7024 +a(g6 +V\u000a +p7025 +tp7026 +a(g31 +V# Nothing else worked, deep compare the contents.\u000a +p7027 +tp7028 +a(g6 +V +p7029 +tp7030 +a(g100 +VaKeys: +p7031 +tp7032 +a(g6 +V +tp7033 +a(g92 +V_ +tp7034 +a(g6 +V +tp7035 +a(g216 +V. +tp7036 +a(g92 +Vkeys +p7037 +tp7038 +a(g6 +V +tp7039 +a(g216 +V( +tp7040 +a(g6 +V +tp7041 +a(g92 +Va +tp7042 +a(g6 +V +tp7043 +a(g216 +V) +tp7044 +a(g216 +V; +tp7045 +a(g6 +V +tp7046 +a(g6 +V +tp7047 +a(g100 +VbKeys: +p7048 +tp7049 +a(g6 +V +tp7050 +a(g92 +V_ +tp7051 +a(g6 +V +tp7052 +a(g216 +V. +tp7053 +a(g92 +Vkeys +p7054 +tp7055 +a(g6 +V +tp7056 +a(g216 +V( +tp7057 +a(g6 +V +tp7058 +a(g92 +Vb +tp7059 +a(g6 +V +tp7060 +a(g216 +V) +tp7061 +a(g6 +V\u000a +p7062 +tp7063 +a(g31 +V# Different object sizes?\u000a +p7064 +tp7065 +a(g6 +V +p7066 +tp7067 +a(g138 +Vreturn +p7068 +tp7069 +a(g6 +V +tp7070 +a(g6 +V +tp7071 +a(g144 +Vfalse +p7072 +tp7073 +a(g6 +V +tp7074 +a(g138 +Vif +p7075 +tp7076 +a(g6 +V +tp7077 +a(g6 +V +tp7078 +a(g92 +VaKeys +p7079 +tp7080 +a(g6 +V +tp7081 +a(g216 +V. +tp7082 +a(g92 +Vlength +p7083 +tp7084 +a(g6 +V +tp7085 +a(g6 +V +tp7086 +a(g357 +Visnt +p7087 +tp7088 +a(g6 +V +tp7089 +a(g6 +V +tp7090 +a(g92 +VbKeys +p7091 +tp7092 +a(g6 +V +tp7093 +a(g216 +V. +tp7094 +a(g92 +Vlength +p7095 +tp7096 +a(g6 +V\u000a +p7097 +tp7098 +a(g31 +V# Recursive comparison of contents.\u000a +p7099 +tp7100 +a(g6 +V +p7101 +tp7102 +a(g31 +V# for (var key in a) if (!_.isEqual(a[key], b[key])) return false;\u000a +p7103 +tp7104 +a(g6 +V +p7105 +tp7106 +a(g6 +V +tp7107 +a(g138 +Vreturn +p7108 +tp7109 +a(g6 +V +tp7110 +a(g6 +V +tp7111 +a(g144 +Vtrue +p7112 +tp7113 +a(g6 +V\u000a\u000a\u000a +p7114 +tp7115 +a(g31 +V# Is a given array or object empty?\u000a +p7116 +tp7117 +a(g6 +V +p7118 +tp7119 +a(g100 +V_.isEmpty: +p7120 +tp7121 +a(g6 +V +p7122 +tp7123 +a(g6 +V +tp7124 +a(g216 +V( +tp7125 +a(g6 +V +tp7126 +a(g92 +Vobj +p7127 +tp7128 +a(g6 +V +tp7129 +a(g216 +V) +tp7130 +a(g6 +V +tp7131 +a(g357 +V- +tp7132 +a(g6 +V +tp7133 +a(g357 +V> +tp7134 +a(g6 +V +tp7135 +a(g6 +V +tp7136 +a(g92 +V_ +tp7137 +a(g6 +V +tp7138 +a(g216 +V. +tp7139 +a(g92 +Vkeys +p7140 +tp7141 +a(g6 +V +tp7142 +a(g216 +V( +tp7143 +a(g6 +V +tp7144 +a(g92 +Vobj +p7145 +tp7146 +a(g6 +V +tp7147 +a(g216 +V) +tp7148 +a(g216 +V. +tp7149 +a(g92 +Vlength +p7150 +tp7151 +a(g6 +V +tp7152 +a(g6 +V +tp7153 +a(g357 +Vis +p7154 +tp7155 +a(g6 +V +tp7156 +a(g6 +V +tp7157 +a(g332 +V0 +tp7158 +a(g6 +V\u000a\u000a\u000a +p7159 +tp7160 +a(g31 +V# Is a given value a DOM element?\u000a +p7161 +tp7162 +a(g6 +V +p7163 +tp7164 +a(g100 +V_.isElement: +p7165 +tp7166 +a(g6 +V +p7167 +tp7168 +a(g6 +V +tp7169 +a(g216 +V( +tp7170 +a(g6 +V +tp7171 +a(g92 +Vobj +p7172 +tp7173 +a(g6 +V +tp7174 +a(g216 +V) +tp7175 +a(g6 +V +tp7176 +a(g357 +V- +tp7177 +a(g6 +V +tp7178 +a(g357 +V> +tp7179 +a(g6 +V +tp7180 +a(g6 +V +tp7181 +a(g92 +Vobj +p7182 +tp7183 +a(g6 +V +tp7184 +a(g6 +V +tp7185 +a(g357 +Vand +p7186 +tp7187 +a(g6 +V +tp7188 +a(g6 +V +tp7189 +a(g92 +Vobj +p7190 +tp7191 +a(g6 +V +tp7192 +a(g216 +V. +tp7193 +a(g92 +VnodeType +p7194 +tp7195 +a(g6 +V +tp7196 +a(g6 +V +tp7197 +a(g357 +Vis +p7198 +tp7199 +a(g6 +V +tp7200 +a(g6 +V +tp7201 +a(g332 +V1 +tp7202 +a(g6 +V\u000a\u000a\u000a +p7203 +tp7204 +a(g31 +V# Is a given value an array?\u000a +p7205 +tp7206 +a(g6 +V +p7207 +tp7208 +a(g100 +V_.isArray: +p7209 +tp7210 +a(g6 +V +p7211 +tp7212 +a(g6 +V +tp7213 +a(g216 +V( +tp7214 +a(g6 +V +tp7215 +a(g92 +Vobj +p7216 +tp7217 +a(g6 +V +tp7218 +a(g216 +V) +tp7219 +a(g6 +V +tp7220 +a(g357 +V- +tp7221 +a(g6 +V +tp7222 +a(g357 +V> +tp7223 +a(g6 +V +tp7224 +a(g6 +V +tp7225 +a(g357 +V! +tp7226 +a(g6 +V +tp7227 +a(g357 +V! +tp7228 +a(g6 +V +tp7229 +a(g216 +V( +tp7230 +a(g6 +V +tp7231 +a(g92 +Vobj +p7232 +tp7233 +a(g6 +V +tp7234 +a(g6 +V +tp7235 +a(g357 +Vand +p7236 +tp7237 +a(g6 +V +tp7238 +a(g6 +V +tp7239 +a(g92 +Vobj +p7240 +tp7241 +a(g6 +V +tp7242 +a(g216 +V. +tp7243 +a(g92 +Vconcat +p7244 +tp7245 +a(g6 +V +tp7246 +a(g6 +V +tp7247 +a(g357 +Vand +p7248 +tp7249 +a(g6 +V +tp7250 +a(g6 +V +tp7251 +a(g92 +Vobj +p7252 +tp7253 +a(g6 +V +tp7254 +a(g216 +V. +tp7255 +a(g92 +Vunshift +p7256 +tp7257 +a(g6 +V +tp7258 +a(g216 +V) +tp7259 +a(g6 +V\u000a\u000a\u000a +p7260 +tp7261 +a(g31 +V# Is a given variable an arguments object?\u000a +p7262 +tp7263 +a(g6 +V +p7264 +tp7265 +a(g100 +V_.isArguments: +p7266 +tp7267 +a(g6 +V +tp7268 +a(g6 +V +tp7269 +a(g216 +V( +tp7270 +a(g6 +V +tp7271 +a(g92 +Vobj +p7272 +tp7273 +a(g6 +V +tp7274 +a(g216 +V) +tp7275 +a(g6 +V +tp7276 +a(g357 +V- +tp7277 +a(g6 +V +tp7278 +a(g357 +V> +tp7279 +a(g6 +V +tp7280 +a(g6 +V +tp7281 +a(g92 +Vobj +p7282 +tp7283 +a(g6 +V +tp7284 +a(g6 +V +tp7285 +a(g357 +Vand +p7286 +tp7287 +a(g6 +V +tp7288 +a(g6 +V +tp7289 +a(g92 +V_ +tp7290 +a(g6 +V +tp7291 +a(g216 +V. +tp7292 +a(g92 +VisNumber +p7293 +tp7294 +a(g6 +V +tp7295 +a(g216 +V( +tp7296 +a(g6 +V +tp7297 +a(g92 +Vobj +p7298 +tp7299 +a(g6 +V +tp7300 +a(g216 +V. +tp7301 +a(g92 +Vlength +p7302 +tp7303 +a(g6 +V +tp7304 +a(g216 +V) +tp7305 +a(g6 +V +tp7306 +a(g357 +Vand +p7307 +tp7308 +a(g6 +V +tp7309 +a(g6 +V +tp7310 +a(g357 +Vnot +p7311 +tp7312 +a(g6 +V +tp7313 +a(g6 +V +tp7314 +a(g92 +Vobj +p7315 +tp7316 +a(g6 +V +tp7317 +a(g216 +V. +tp7318 +a(g92 +Vconcat +p7319 +tp7320 +a(g6 +V +tp7321 +a(g6 +V +tp7322 +a(g357 +Vand +p7323 +tp7324 +a(g6 +V\u000a +p7325 +tp7326 +a(g6 +V +tp7327 +a(g357 +Vnot +p7328 +tp7329 +a(g6 +V +tp7330 +a(g6 +V +tp7331 +a(g92 +Vobj +p7332 +tp7333 +a(g6 +V +tp7334 +a(g216 +V. +tp7335 +a(g92 +Vsubstr +p7336 +tp7337 +a(g6 +V +tp7338 +a(g6 +V +tp7339 +a(g357 +Vand +p7340 +tp7341 +a(g6 +V +tp7342 +a(g6 +V +tp7343 +a(g357 +Vnot +p7344 +tp7345 +a(g6 +V +tp7346 +a(g6 +V +tp7347 +a(g92 +Vobj +p7348 +tp7349 +a(g6 +V +tp7350 +a(g216 +V. +tp7351 +a(g92 +Vapply +p7352 +tp7353 +a(g6 +V +tp7354 +a(g6 +V +tp7355 +a(g357 +Vand +p7356 +tp7357 +a(g6 +V +tp7358 +a(g6 +V +tp7359 +a(g357 +Vnot +p7360 +tp7361 +a(g6 +V +tp7362 +a(g6 +V +tp7363 +a(g92 +VpropertyIsEnumerable +p7364 +tp7365 +a(g6 +V +tp7366 +a(g216 +V. +tp7367 +a(g92 +Vcall +p7368 +tp7369 +a(g6 +V +tp7370 +a(g216 +V( +tp7371 +a(g6 +V +tp7372 +a(g92 +Vobj +p7373 +tp7374 +a(g6 +V +tp7375 +a(g216 +V, +tp7376 +a(g6 +V +tp7377 +a(g6 +V +tp7378 +a(g285 +V'length' +p7379 +tp7380 +a(g216 +V) +tp7381 +a(g6 +V\u000a\u000a\u000a +p7382 +tp7383 +a(g31 +V# Is the given value a function?\u000a +p7384 +tp7385 +a(g6 +V +p7386 +tp7387 +a(g100 +V_.isFunction: +p7388 +tp7389 +a(g6 +V +p7390 +tp7391 +a(g6 +V +tp7392 +a(g216 +V( +tp7393 +a(g6 +V +tp7394 +a(g92 +Vobj +p7395 +tp7396 +a(g6 +V +tp7397 +a(g216 +V) +tp7398 +a(g6 +V +tp7399 +a(g357 +V- +tp7400 +a(g6 +V +tp7401 +a(g357 +V> +tp7402 +a(g6 +V +tp7403 +a(g6 +V +tp7404 +a(g357 +V! +tp7405 +a(g6 +V +tp7406 +a(g357 +V! +tp7407 +a(g6 +V +tp7408 +a(g216 +V( +tp7409 +a(g6 +V +tp7410 +a(g92 +Vobj +p7411 +tp7412 +a(g6 +V +tp7413 +a(g6 +V +tp7414 +a(g357 +Vand +p7415 +tp7416 +a(g6 +V +tp7417 +a(g6 +V +tp7418 +a(g92 +Vobj +p7419 +tp7420 +a(g6 +V +tp7421 +a(g216 +V. +tp7422 +a(g92 +Vconstructor +p7423 +tp7424 +a(g6 +V +tp7425 +a(g6 +V +tp7426 +a(g357 +Vand +p7427 +tp7428 +a(g6 +V +tp7429 +a(g6 +V +tp7430 +a(g92 +Vobj +p7431 +tp7432 +a(g6 +V +tp7433 +a(g216 +V. +tp7434 +a(g92 +Vcall +p7435 +tp7436 +a(g6 +V +tp7437 +a(g6 +V +tp7438 +a(g357 +Vand +p7439 +tp7440 +a(g6 +V +tp7441 +a(g6 +V +tp7442 +a(g92 +Vobj +p7443 +tp7444 +a(g6 +V +tp7445 +a(g216 +V. +tp7446 +a(g92 +Vapply +p7447 +tp7448 +a(g6 +V +tp7449 +a(g216 +V) +tp7450 +a(g6 +V\u000a\u000a\u000a +p7451 +tp7452 +a(g31 +V# Is the given value a string?\u000a +p7453 +tp7454 +a(g6 +V +p7455 +tp7456 +a(g100 +V_.isString: +p7457 +tp7458 +a(g6 +V +p7459 +tp7460 +a(g6 +V +tp7461 +a(g216 +V( +tp7462 +a(g6 +V +tp7463 +a(g92 +Vobj +p7464 +tp7465 +a(g6 +V +tp7466 +a(g216 +V) +tp7467 +a(g6 +V +tp7468 +a(g357 +V- +tp7469 +a(g6 +V +tp7470 +a(g357 +V> +tp7471 +a(g6 +V +tp7472 +a(g6 +V +tp7473 +a(g357 +V! +tp7474 +a(g6 +V +tp7475 +a(g357 +V! +tp7476 +a(g6 +V +tp7477 +a(g216 +V( +tp7478 +a(g6 +V +tp7479 +a(g92 +Vobj +p7480 +tp7481 +a(g6 +V +tp7482 +a(g6 +V +tp7483 +a(g357 +Vis +p7484 +tp7485 +a(g6 +V +tp7486 +a(g6 +V +tp7487 +a(g285 +V'' +p7488 +tp7489 +a(g6 +V +tp7490 +a(g357 +Vor +p7491 +tp7492 +a(g6 +V +tp7493 +a(g6 +V +tp7494 +a(g216 +V( +tp7495 +a(g6 +V +tp7496 +a(g92 +Vobj +p7497 +tp7498 +a(g6 +V +tp7499 +a(g6 +V +tp7500 +a(g357 +Vand +p7501 +tp7502 +a(g6 +V +tp7503 +a(g6 +V +tp7504 +a(g92 +Vobj +p7505 +tp7506 +a(g6 +V +tp7507 +a(g216 +V. +tp7508 +a(g92 +VcharCodeAt +p7509 +tp7510 +a(g6 +V +tp7511 +a(g6 +V +tp7512 +a(g357 +Vand +p7513 +tp7514 +a(g6 +V +tp7515 +a(g6 +V +tp7516 +a(g92 +Vobj +p7517 +tp7518 +a(g6 +V +tp7519 +a(g216 +V. +tp7520 +a(g92 +Vsubstr +p7521 +tp7522 +a(g6 +V +tp7523 +a(g216 +V) +tp7524 +a(g216 +V) +tp7525 +a(g6 +V\u000a\u000a\u000a +p7526 +tp7527 +a(g31 +V# Is a given value a number?\u000a +p7528 +tp7529 +a(g6 +V +p7530 +tp7531 +a(g100 +V_.isNumber: +p7532 +tp7533 +a(g6 +V +p7534 +tp7535 +a(g6 +V +tp7536 +a(g216 +V( +tp7537 +a(g6 +V +tp7538 +a(g92 +Vobj +p7539 +tp7540 +a(g6 +V +tp7541 +a(g216 +V) +tp7542 +a(g6 +V +tp7543 +a(g357 +V- +tp7544 +a(g6 +V +tp7545 +a(g357 +V> +tp7546 +a(g6 +V +tp7547 +a(g6 +V +tp7548 +a(g216 +V( +tp7549 +a(g6 +V +tp7550 +a(g92 +Vobj +p7551 +tp7552 +a(g6 +V +tp7553 +a(g6 +V +tp7554 +a(g357 +Vis +p7555 +tp7556 +a(g6 +V +tp7557 +a(g6 +V +tp7558 +a(g357 +V+ +tp7559 +a(g6 +V +tp7560 +a(g92 +Vobj +p7561 +tp7562 +a(g6 +V +tp7563 +a(g216 +V) +tp7564 +a(g6 +V +tp7565 +a(g357 +Vor +p7566 +tp7567 +a(g6 +V +tp7568 +a(g6 +V +tp7569 +a(g92 +VtoString +p7570 +tp7571 +a(g6 +V +tp7572 +a(g216 +V. +tp7573 +a(g92 +Vcall +p7574 +tp7575 +a(g6 +V +tp7576 +a(g216 +V( +tp7577 +a(g6 +V +tp7578 +a(g92 +Vobj +p7579 +tp7580 +a(g6 +V +tp7581 +a(g216 +V) +tp7582 +a(g6 +V +tp7583 +a(g357 +Vis +p7584 +tp7585 +a(g6 +V +tp7586 +a(g6 +V +tp7587 +a(g285 +V'[object Number]' +p7588 +tp7589 +a(g6 +V\u000a\u000a\u000a +p7590 +tp7591 +a(g31 +V# Is a given value a Date?\u000a +p7592 +tp7593 +a(g6 +V +p7594 +tp7595 +a(g100 +V_.isDate: +p7596 +tp7597 +a(g6 +V +p7598 +tp7599 +a(g6 +V +tp7600 +a(g216 +V( +tp7601 +a(g6 +V +tp7602 +a(g92 +Vobj +p7603 +tp7604 +a(g6 +V +tp7605 +a(g216 +V) +tp7606 +a(g6 +V +tp7607 +a(g357 +V- +tp7608 +a(g6 +V +tp7609 +a(g357 +V> +tp7610 +a(g6 +V +tp7611 +a(g6 +V +tp7612 +a(g357 +V! +tp7613 +a(g6 +V +tp7614 +a(g357 +V! +tp7615 +a(g6 +V +tp7616 +a(g216 +V( +tp7617 +a(g6 +V +tp7618 +a(g92 +Vobj +p7619 +tp7620 +a(g6 +V +tp7621 +a(g6 +V +tp7622 +a(g357 +Vand +p7623 +tp7624 +a(g6 +V +tp7625 +a(g6 +V +tp7626 +a(g92 +Vobj +p7627 +tp7628 +a(g6 +V +tp7629 +a(g216 +V. +tp7630 +a(g92 +VgetTimezoneOffset +p7631 +tp7632 +a(g6 +V +tp7633 +a(g6 +V +tp7634 +a(g357 +Vand +p7635 +tp7636 +a(g6 +V +tp7637 +a(g6 +V +tp7638 +a(g92 +Vobj +p7639 +tp7640 +a(g6 +V +tp7641 +a(g216 +V. +tp7642 +a(g92 +VsetUTCFullYear +p7643 +tp7644 +a(g6 +V +tp7645 +a(g216 +V) +tp7646 +a(g6 +V\u000a\u000a\u000a +p7647 +tp7648 +a(g31 +V# Is the given value a regular expression?\u000a +p7649 +tp7650 +a(g6 +V +p7651 +tp7652 +a(g100 +V_.isRegExp: +p7653 +tp7654 +a(g6 +V +p7655 +tp7656 +a(g6 +V +tp7657 +a(g216 +V( +tp7658 +a(g6 +V +tp7659 +a(g92 +Vobj +p7660 +tp7661 +a(g6 +V +tp7662 +a(g216 +V) +tp7663 +a(g6 +V +tp7664 +a(g357 +V- +tp7665 +a(g6 +V +tp7666 +a(g357 +V> +tp7667 +a(g6 +V +tp7668 +a(g6 +V +tp7669 +a(g357 +V! +tp7670 +a(g6 +V +tp7671 +a(g357 +V! +tp7672 +a(g6 +V +tp7673 +a(g216 +V( +tp7674 +a(g6 +V +tp7675 +a(g92 +Vobj +p7676 +tp7677 +a(g6 +V +tp7678 +a(g6 +V +tp7679 +a(g357 +Vand +p7680 +tp7681 +a(g6 +V +tp7682 +a(g6 +V +tp7683 +a(g92 +Vobj +p7684 +tp7685 +a(g6 +V +tp7686 +a(g216 +V. +tp7687 +a(g92 +Vexec +p7688 +tp7689 +a(g6 +V +tp7690 +a(g6 +V +tp7691 +a(g357 +Vand +p7692 +tp7693 +a(g6 +V +tp7694 +a(g6 +V +tp7695 +a(g216 +V( +tp7696 +a(g6 +V +tp7697 +a(g92 +Vobj +p7698 +tp7699 +a(g6 +V +tp7700 +a(g216 +V. +tp7701 +a(g92 +VignoreCase +p7702 +tp7703 +a(g6 +V +tp7704 +a(g6 +V +tp7705 +a(g357 +Vor +p7706 +tp7707 +a(g6 +V +tp7708 +a(g6 +V +tp7709 +a(g92 +Vobj +p7710 +tp7711 +a(g6 +V +tp7712 +a(g216 +V. +tp7713 +a(g92 +VignoreCase +p7714 +tp7715 +a(g6 +V +tp7716 +a(g6 +V +tp7717 +a(g357 +Vis +p7718 +tp7719 +a(g6 +V +tp7720 +a(g6 +V +tp7721 +a(g144 +Vfalse +p7722 +tp7723 +a(g216 +V) +tp7724 +a(g216 +V) +tp7725 +a(g6 +V\u000a\u000a\u000a +p7726 +tp7727 +a(g31 +V# Is the given value NaN -- this one is interesting. NaN != NaN, and\u000a +p7728 +tp7729 +a(g6 +V +p7730 +tp7731 +a(g31 +V# isNaN(undefined) == true, so we make sure it's a number first.\u000a +p7732 +tp7733 +a(g6 +V +p7734 +tp7735 +a(g100 +V_.isNaN: +p7736 +tp7737 +a(g6 +V +p7738 +tp7739 +a(g6 +V +tp7740 +a(g216 +V( +tp7741 +a(g6 +V +tp7742 +a(g92 +Vobj +p7743 +tp7744 +a(g6 +V +tp7745 +a(g216 +V) +tp7746 +a(g6 +V +tp7747 +a(g357 +V- +tp7748 +a(g6 +V +tp7749 +a(g357 +V> +tp7750 +a(g6 +V +tp7751 +a(g6 +V +tp7752 +a(g92 +V_ +tp7753 +a(g6 +V +tp7754 +a(g216 +V. +tp7755 +a(g92 +VisNumber +p7756 +tp7757 +a(g6 +V +tp7758 +a(g216 +V( +tp7759 +a(g6 +V +tp7760 +a(g92 +Vobj +p7761 +tp7762 +a(g6 +V +tp7763 +a(g216 +V) +tp7764 +a(g6 +V +tp7765 +a(g357 +Vand +p7766 +tp7767 +a(g6 +V +tp7768 +a(g6 +V +tp7769 +a(g84 +Vwindow +p7770 +tp7771 +a(g216 +V. +tp7772 +a(g84 +VisNaN +p7773 +tp7774 +a(g216 +V( +tp7775 +a(g6 +V +tp7776 +a(g92 +Vobj +p7777 +tp7778 +a(g6 +V +tp7779 +a(g216 +V) +tp7780 +a(g6 +V\u000a\u000a\u000a +p7781 +tp7782 +a(g31 +V# Is a given value equal to null?\u000a +p7783 +tp7784 +a(g6 +V +p7785 +tp7786 +a(g100 +V_.isNull: +p7787 +tp7788 +a(g6 +V +p7789 +tp7790 +a(g6 +V +tp7791 +a(g216 +V( +tp7792 +a(g6 +V +tp7793 +a(g92 +Vobj +p7794 +tp7795 +a(g6 +V +tp7796 +a(g216 +V) +tp7797 +a(g6 +V +tp7798 +a(g357 +V- +tp7799 +a(g6 +V +tp7800 +a(g357 +V> +tp7801 +a(g6 +V +tp7802 +a(g6 +V +tp7803 +a(g92 +Vobj +p7804 +tp7805 +a(g6 +V +tp7806 +a(g6 +V +tp7807 +a(g357 +Vis +p7808 +tp7809 +a(g6 +V +tp7810 +a(g6 +V +tp7811 +a(g144 +Vnull +p7812 +tp7813 +a(g6 +V\u000a\u000a\u000a +p7814 +tp7815 +a(g31 +V# Is a given variable undefined?\u000a +p7816 +tp7817 +a(g6 +V +p7818 +tp7819 +a(g100 +V_.isUndefined: +p7820 +tp7821 +a(g6 +V +tp7822 +a(g6 +V +tp7823 +a(g216 +V( +tp7824 +a(g6 +V +tp7825 +a(g92 +Vobj +p7826 +tp7827 +a(g6 +V +tp7828 +a(g216 +V) +tp7829 +a(g6 +V +tp7830 +a(g357 +V- +tp7831 +a(g6 +V +tp7832 +a(g357 +V> +tp7833 +a(g6 +V +tp7834 +a(g6 +V +tp7835 +a(g138 +Vtypeof +p7836 +tp7837 +a(g6 +V +tp7838 +a(g6 +V +tp7839 +a(g92 +Vobj +p7840 +tp7841 +a(g6 +V +tp7842 +a(g6 +V +tp7843 +a(g357 +Vis +p7844 +tp7845 +a(g6 +V +tp7846 +a(g6 +V +tp7847 +a(g285 +V'undefined' +p7848 +tp7849 +a(g6 +V\u000a\u000a\u000a +p7850 +tp7851 +a(g31 +V# -------------------------- Utility Functions: --------------------------\u000a +p7852 +tp7853 +a(g6 +V\u000a +p7854 +tp7855 +a(g31 +V# Run Underscore.js in noConflict mode, returning the '_' variable to its\u000a +p7856 +tp7857 +a(g6 +V +p7858 +tp7859 +a(g31 +V# previous owner. Returns a reference to the Underscore object.\u000a +p7860 +tp7861 +a(g6 +V +p7862 +tp7863 +a(g100 +V_.noConflict: +p7864 +tp7865 +a(g6 +V +tp7866 +a(g357 +V- +tp7867 +a(g6 +V +tp7868 +a(g357 +V> +tp7869 +a(g6 +V\u000a +p7870 +tp7871 +a(g6 +V +tp7872 +a(g100 +Vroot._: +p7873 +tp7874 +a(g6 +V +tp7875 +a(g92 +VpreviousUnderscore +p7876 +tp7877 +a(g6 +V\u000a +p7878 +tp7879 +a(g6 +V +tp7880 +a(g138 +Vthis +p7881 +tp7882 +a(g6 +V\u000a\u000a\u000a +p7883 +tp7884 +a(g31 +V# Keep the identity function around for default iterators.\u000a +p7885 +tp7886 +a(g6 +V +p7887 +tp7888 +a(g6 +V +tp7889 +a(g100 +V_.identity: +p7890 +tp7891 +a(g6 +V +tp7892 +a(g216 +V( +tp7893 +a(g6 +V +tp7894 +a(g92 +Vvalue +p7895 +tp7896 +a(g6 +V +tp7897 +a(g216 +V) +tp7898 +a(g6 +V +tp7899 +a(g357 +V- +tp7900 +a(g6 +V +tp7901 +a(g357 +V> +tp7902 +a(g6 +V +tp7903 +a(g6 +V +tp7904 +a(g92 +Vvalue +p7905 +tp7906 +a(g6 +V\u000a\u000a\u000a +p7907 +tp7908 +a(g31 +V# Break out of the middle of an iteration.\u000a +p7909 +tp7910 +a(g6 +V +p7911 +tp7912 +a(g6 +V +tp7913 +a(g100 +V_.breakLoop: +p7914 +tp7915 +a(g6 +V +tp7916 +a(g357 +V- +tp7917 +a(g6 +V +tp7918 +a(g357 +V> +tp7919 +a(g6 +V +tp7920 +a(g6 +V +tp7921 +a(g138 +Vthrow +p7922 +tp7923 +a(g6 +V +tp7924 +a(g6 +V +tp7925 +a(g92 +Vbreaker +p7926 +tp7927 +a(g6 +V\u000a\u000a\u000a +p7928 +tp7929 +a(g31 +V# Generate a unique integer id (unique within the entire client session).\u000a +p7930 +tp7931 +a(g6 +V +p7932 +tp7933 +a(g31 +V# Useful for temporary DOM ids.\u000a +p7934 +tp7935 +a(g6 +V +p7936 +tp7937 +a(g6 +V +tp7938 +a(g100 +VidCounter: +p7939 +tp7940 +a(g6 +V +tp7941 +a(g332 +V0 +tp7942 +a(g6 +V\u000a +p7943 +tp7944 +a(g100 +V_.uniqueId: +p7945 +tp7946 +a(g6 +V +tp7947 +a(g216 +V( +tp7948 +a(g6 +V +tp7949 +a(g92 +Vprefix +p7950 +tp7951 +a(g6 +V +tp7952 +a(g216 +V) +tp7953 +a(g6 +V +tp7954 +a(g357 +V- +tp7955 +a(g6 +V +tp7956 +a(g357 +V> +tp7957 +a(g6 +V\u000a +p7958 +tp7959 +a(g6 +V +tp7960 +a(g216 +V( +tp7961 +a(g6 +V +tp7962 +a(g92 +Vprefix +p7963 +tp7964 +a(g6 +V +tp7965 +a(g6 +V +tp7966 +a(g357 +Vor +p7967 +tp7968 +a(g6 +V +tp7969 +a(g6 +V +tp7970 +a(g285 +V'' +p7971 +tp7972 +a(g216 +V) +tp7973 +a(g6 +V +tp7974 +a(g357 +V+ +tp7975 +a(g6 +V +tp7976 +a(g6 +V +tp7977 +a(g92 +VidCounter +p7978 +tp7979 +a(g6 +V +tp7980 +a(g357 +V++ +p7981 +tp7982 +a(g6 +V\u000a\u000a\u000a +p7983 +tp7984 +a(g31 +V# By default, Underscore uses ERB-style template delimiters, change the\u000a +p7985 +tp7986 +a(g6 +V +p7987 +tp7988 +a(g31 +V# following template settings to use alternative delimiters.\u000a +p7989 +tp7990 +a(g6 +V +p7991 +tp7992 +a(g6 +V +tp7993 +a(g100 +V_.templateSettings: +p7994 +tp7995 +a(g6 +V +tp7996 +a(g216 +V{ +tp7997 +a(g6 +V\u000a +p7998 +tp7999 +a(g6 +V +tp8000 +a(g100 +Vstart: +p8001 +tp8002 +a(g6 +V +p8003 +tp8004 +a(g6 +V +tp8005 +a(g285 +V'<%' +p8006 +tp8007 +a(g6 +V\u000a +p8008 +tp8009 +a(g100 +Vend: +p8010 +tp8011 +a(g6 +V +p8012 +tp8013 +a(g6 +V +tp8014 +a(g285 +V'%>' +p8015 +tp8016 +a(g6 +V\u000a +p8017 +tp8018 +a(g100 +Vinterpolate: +p8019 +tp8020 +a(g6 +V +tp8021 +a(g243 +V/<%=(.+?)%>/g +p8022 +tp8023 +a(g6 +V\u000a +p8024 +tp8025 +a(g216 +V} +tp8026 +a(g6 +V\u000a\u000a\u000a +p8027 +tp8028 +a(g31 +V# JavaScript templating a-la ERB, pilfered from John Resig's\u000a +p8029 +tp8030 +a(g6 +V +p8031 +tp8032 +a(g31 +V# "Secrets of the JavaScript Ninja", page 83.\u000a +p8033 +tp8034 +a(g6 +V +p8035 +tp8036 +a(g31 +V# Single-quotea fix from Rick Strahl's version.\u000a +p8037 +tp8038 +a(g6 +V +p8039 +tp8040 +a(g100 +V_.template: +p8041 +tp8042 +a(g6 +V +tp8043 +a(g216 +V( +tp8044 +a(g6 +V +tp8045 +a(g92 +Vstr +p8046 +tp8047 +a(g6 +V +tp8048 +a(g216 +V, +tp8049 +a(g6 +V +tp8050 +a(g6 +V +tp8051 +a(g92 +Vdata +p8052 +tp8053 +a(g6 +V +tp8054 +a(g216 +V) +tp8055 +a(g6 +V +tp8056 +a(g357 +V- +tp8057 +a(g6 +V +tp8058 +a(g357 +V> +tp8059 +a(g6 +V\u000a +p8060 +tp8061 +a(g6 +V +tp8062 +a(g100 +Vc: +p8063 +tp8064 +a(g6 +V +tp8065 +a(g92 +V_ +tp8066 +a(g6 +V +tp8067 +a(g216 +V. +tp8068 +a(g92 +VtemplateSettings +p8069 +tp8070 +a(g6 +V\u000a +p8071 +tp8072 +a(g6 +V +tp8073 +a(g100 +Vfn: +p8074 +tp8075 +a(g6 +V +tp8076 +a(g138 +Vnew +p8077 +tp8078 +a(g6 +V +tp8079 +a(g6 +V +tp8080 +a(g84 +VFunction +p8081 +tp8082 +a(g6 +V +tp8083 +a(g285 +V'obj' +p8084 +tp8085 +a(g216 +V, +tp8086 +a(g6 +V\u000a +p8087 +tp8088 +a(g6 +V +tp8089 +a(g285 +V'var p=[],print=function(){p.push.apply(p,arguments);};' +p8090 +tp8091 +a(g6 +V +tp8092 +a(g357 +V+ +tp8093 +a(g6 +V\u000a +p8094 +tp8095 +a(g6 +V +tp8096 +a(g285 +V'with(obj){p.push(\u005c'' +p8097 +tp8098 +a(g6 +V +tp8099 +a(g357 +V+ +tp8100 +a(g6 +V\u000a +p8101 +tp8102 +a(g6 +V +tp8103 +a(g92 +Vstr +p8104 +tp8105 +a(g6 +V +tp8106 +a(g216 +V. +tp8107 +a(g92 +Vreplace +p8108 +tp8109 +a(g6 +V +tp8110 +a(g216 +V( +tp8111 +a(g243 +V/[\u005cr\u005ct\u005cn]/g +p8112 +tp8113 +a(g216 +V, +tp8114 +a(g6 +V +tp8115 +a(g6 +V +tp8116 +a(g259 +V" " +p8117 +tp8118 +a(g216 +V) +tp8119 +a(g6 +V\u000a +p8120 +tp8121 +a(g216 +V. +tp8122 +a(g92 +Vreplace +p8123 +tp8124 +a(g6 +V +tp8125 +a(g216 +V( +tp8126 +a(g6 +V +tp8127 +a(g138 +Vnew +p8128 +tp8129 +a(g6 +V +tp8130 +a(g6 +V +tp8131 +a(g84 +VRegExp +p8132 +tp8133 +a(g216 +V( +tp8134 +a(g6 +V +tp8135 +a(g259 +V"'(?=[^" +p8136 +tp8137 +a(g357 +V+ +tp8138 +a(g6 +V +tp8139 +a(g92 +Vc +tp8140 +a(g6 +V +tp8141 +a(g216 +V. +tp8142 +a(g92 +Vend +p8143 +tp8144 +a(g6 +V +tp8145 +a(g216 +V[ +tp8146 +a(g6 +V +tp8147 +a(g332 +V0 +tp8148 +a(g216 +V] +tp8149 +a(g357 +V+ +tp8150 +a(g6 +V +tp8151 +a(g259 +V"]*" +p8152 +tp8153 +a(g357 +V+ +tp8154 +a(g6 +V +tp8155 +a(g92 +Vc +tp8156 +a(g6 +V +tp8157 +a(g216 +V. +tp8158 +a(g92 +Vend +p8159 +tp8160 +a(g6 +V +tp8161 +a(g357 +V+ +tp8162 +a(g6 +V +tp8163 +a(g259 +V")" +p8164 +tp8165 +a(g216 +V, +tp8166 +a(g6 +V +tp8167 +a(g259 +V"g" +p8168 +tp8169 +a(g216 +V) +tp8170 +a(g216 +V, +tp8171 +a(g6 +V +tp8172 +a(g259 +V"\u005ct" +p8173 +tp8174 +a(g216 +V) +tp8175 +a(g6 +V\u000a +p8176 +tp8177 +a(g216 +V. +tp8178 +a(g92 +Vsplit +p8179 +tp8180 +a(g6 +V +tp8181 +a(g216 +V( +tp8182 +a(g6 +V +tp8183 +a(g259 +V"'" +p8184 +tp8185 +a(g216 +V) +tp8186 +a(g216 +V. +tp8187 +a(g92 +Vjoin +p8188 +tp8189 +a(g6 +V +tp8190 +a(g216 +V( +tp8191 +a(g6 +V +tp8192 +a(g259 +V"\u005c\u005c'" +p8193 +tp8194 +a(g216 +V) +tp8195 +a(g6 +V\u000a +p8196 +tp8197 +a(g216 +V. +tp8198 +a(g92 +Vsplit +p8199 +tp8200 +a(g6 +V +tp8201 +a(g216 +V( +tp8202 +a(g6 +V +tp8203 +a(g259 +V"\u005ct" +p8204 +tp8205 +a(g216 +V) +tp8206 +a(g216 +V. +tp8207 +a(g92 +Vjoin +p8208 +tp8209 +a(g6 +V +tp8210 +a(g216 +V( +tp8211 +a(g6 +V +tp8212 +a(g259 +V"'" +p8213 +tp8214 +a(g216 +V) +tp8215 +a(g6 +V\u000a +p8216 +tp8217 +a(g216 +V. +tp8218 +a(g92 +Vreplace +p8219 +tp8220 +a(g6 +V +tp8221 +a(g216 +V( +tp8222 +a(g6 +V +tp8223 +a(g92 +Vc +tp8224 +a(g6 +V +tp8225 +a(g216 +V. +tp8226 +a(g92 +Vinterpolate +p8227 +tp8228 +a(g6 +V +tp8229 +a(g216 +V, +tp8230 +a(g6 +V +tp8231 +a(g6 +V +tp8232 +a(g259 +V"',$1,'" +p8233 +tp8234 +a(g216 +V) +tp8235 +a(g6 +V\u000a +p8236 +tp8237 +a(g216 +V. +tp8238 +a(g92 +Vsplit +p8239 +tp8240 +a(g6 +V +tp8241 +a(g216 +V( +tp8242 +a(g6 +V +tp8243 +a(g92 +Vc +tp8244 +a(g6 +V +tp8245 +a(g216 +V. +tp8246 +a(g92 +Vstart +p8247 +tp8248 +a(g6 +V +tp8249 +a(g216 +V) +tp8250 +a(g216 +V. +tp8251 +a(g92 +Vjoin +p8252 +tp8253 +a(g6 +V +tp8254 +a(g216 +V( +tp8255 +a(g6 +V +tp8256 +a(g259 +V"');" +p8257 +tp8258 +a(g216 +V) +tp8259 +a(g6 +V\u000a +p8260 +tp8261 +a(g216 +V. +tp8262 +a(g92 +Vsplit +p8263 +tp8264 +a(g6 +V +tp8265 +a(g216 +V( +tp8266 +a(g6 +V +tp8267 +a(g92 +Vc +tp8268 +a(g6 +V +tp8269 +a(g216 +V. +tp8270 +a(g92 +Vend +p8271 +tp8272 +a(g6 +V +tp8273 +a(g216 +V) +tp8274 +a(g216 +V. +tp8275 +a(g92 +Vjoin +p8276 +tp8277 +a(g6 +V +tp8278 +a(g216 +V( +tp8279 +a(g6 +V +tp8280 +a(g259 +V"p.push('" +p8281 +tp8282 +a(g216 +V) +tp8283 +a(g6 +V +tp8284 +a(g357 +V+ +tp8285 +a(g6 +V\u000a +p8286 +tp8287 +a(g6 +V +tp8288 +a(g259 +V"');}return p.join('');" +p8289 +tp8290 +a(g6 +V\u000a +p8291 +tp8292 +a(g138 +Vif +p8293 +tp8294 +a(g6 +V +tp8295 +a(g6 +V +tp8296 +a(g92 +Vdata +p8297 +tp8298 +a(g6 +V +tp8299 +a(g6 +V +tp8300 +a(g138 +Vthen +p8301 +tp8302 +a(g6 +V +tp8303 +a(g6 +V +tp8304 +a(g92 +Vfn +p8305 +tp8306 +a(g6 +V +tp8307 +a(g216 +V( +tp8308 +a(g6 +V +tp8309 +a(g92 +Vdata +p8310 +tp8311 +a(g6 +V +tp8312 +a(g216 +V) +tp8313 +a(g6 +V +tp8314 +a(g138 +Velse +p8315 +tp8316 +a(g6 +V +tp8317 +a(g6 +V +tp8318 +a(g92 +Vfn +p8319 +tp8320 +a(g6 +V\u000a\u000a\u000a +p8321 +tp8322 +a(g31 +V# ------------------------------- Aliases ----------------------------------\u000a +p8323 +tp8324 +a(g6 +V\u000a +p8325 +tp8326 +a(g6 +V +tp8327 +a(g100 +V_.forEach: +p8328 +tp8329 +a(g6 +V +tp8330 +a(g92 +V_ +tp8331 +a(g6 +V +tp8332 +a(g216 +V. +tp8333 +a(g92 +Veach +p8334 +tp8335 +a(g6 +V\u000a +p8336 +tp8337 +a(g6 +V +tp8338 +a(g100 +V_.foldl: +p8339 +tp8340 +a(g6 +V +p8341 +tp8342 +a(g6 +V +tp8343 +a(g100 +V_.inject: +p8344 +tp8345 +a(g6 +V +p8346 +tp8347 +a(g6 +V +tp8348 +a(g92 +V_ +tp8349 +a(g6 +V +tp8350 +a(g216 +V. +tp8351 +a(g92 +Vreduce +p8352 +tp8353 +a(g6 +V\u000a +p8354 +tp8355 +a(g6 +V +tp8356 +a(g100 +V_.foldr: +p8357 +tp8358 +a(g6 +V +p8359 +tp8360 +a(g6 +V +tp8361 +a(g92 +V_ +tp8362 +a(g6 +V +tp8363 +a(g216 +V. +tp8364 +a(g92 +VreduceRight +p8365 +tp8366 +a(g6 +V\u000a +p8367 +tp8368 +a(g6 +V +tp8369 +a(g100 +V_.filter: +p8370 +tp8371 +a(g6 +V +tp8372 +a(g6 +V +tp8373 +a(g92 +V_ +tp8374 +a(g6 +V +tp8375 +a(g216 +V. +tp8376 +a(g92 +Vselect +p8377 +tp8378 +a(g6 +V\u000a +p8379 +tp8380 +a(g6 +V +tp8381 +a(g100 +V_.every: +p8382 +tp8383 +a(g6 +V +p8384 +tp8385 +a(g6 +V +tp8386 +a(g92 +V_ +tp8387 +a(g6 +V +tp8388 +a(g216 +V. +tp8389 +a(g92 +Vall +p8390 +tp8391 +a(g6 +V\u000a +p8392 +tp8393 +a(g6 +V +tp8394 +a(g100 +V_.some: +p8395 +tp8396 +a(g6 +V +p8397 +tp8398 +a(g6 +V +tp8399 +a(g92 +V_ +tp8400 +a(g6 +V +tp8401 +a(g216 +V. +tp8402 +a(g92 +Vany +p8403 +tp8404 +a(g6 +V\u000a +p8405 +tp8406 +a(g6 +V +tp8407 +a(g100 +V_.head: +p8408 +tp8409 +a(g6 +V +p8410 +tp8411 +a(g6 +V +tp8412 +a(g92 +V_ +tp8413 +a(g6 +V +tp8414 +a(g216 +V. +tp8415 +a(g92 +Vfirst +p8416 +tp8417 +a(g6 +V\u000a +p8418 +tp8419 +a(g6 +V +tp8420 +a(g100 +V_.tail: +p8421 +tp8422 +a(g6 +V +p8423 +tp8424 +a(g6 +V +tp8425 +a(g92 +V_ +tp8426 +a(g6 +V +tp8427 +a(g216 +V. +tp8428 +a(g92 +Vrest +p8429 +tp8430 +a(g6 +V\u000a +p8431 +tp8432 +a(g6 +V +tp8433 +a(g100 +V_.methods: +p8434 +tp8435 +a(g6 +V +tp8436 +a(g92 +V_ +tp8437 +a(g6 +V +tp8438 +a(g216 +V. +tp8439 +a(g92 +Vfunctions +p8440 +tp8441 +a(g6 +V\u000a\u000a\u000a +p8442 +tp8443 +a(g31 +V# /*------------------------ Setup the OOP Wrapper: --------------------------*/\u000a +p8444 +tp8445 +a(g6 +V\u000a +p8446 +tp8447 +a(g31 +V# Helper function to continue chaining intermediate results.\u000a +p8448 +tp8449 +a(g6 +V +p8450 +tp8451 +a(g6 +V +tp8452 +a(g100 +Vresult: +p8453 +tp8454 +a(g6 +V +tp8455 +a(g216 +V( +tp8456 +a(g6 +V +tp8457 +a(g92 +Vobj +p8458 +tp8459 +a(g6 +V +tp8460 +a(g216 +V, +tp8461 +a(g6 +V +tp8462 +a(g6 +V +tp8463 +a(g92 +Vchain +p8464 +tp8465 +a(g6 +V +tp8466 +a(g216 +V) +tp8467 +a(g6 +V +tp8468 +a(g357 +V- +tp8469 +a(g6 +V +tp8470 +a(g357 +V> +tp8471 +a(g6 +V\u000a +p8472 +tp8473 +a(g6 +V +tp8474 +a(g138 +Vif +p8475 +tp8476 +a(g6 +V +tp8477 +a(g6 +V +tp8478 +a(g92 +Vchain +p8479 +tp8480 +a(g6 +V +tp8481 +a(g6 +V +tp8482 +a(g138 +Vthen +p8483 +tp8484 +a(g6 +V +tp8485 +a(g6 +V +tp8486 +a(g92 +V_ +tp8487 +a(g6 +V +tp8488 +a(g216 +V( +tp8489 +a(g6 +V +tp8490 +a(g92 +Vobj +p8491 +tp8492 +a(g6 +V +tp8493 +a(g216 +V) +tp8494 +a(g216 +V. +tp8495 +a(g92 +Vchain +p8496 +tp8497 +a(g6 +V +tp8498 +a(g216 +V( +tp8499 +a(g6 +V +tp8500 +a(g216 +V) +tp8501 +a(g6 +V +tp8502 +a(g138 +Velse +p8503 +tp8504 +a(g6 +V +tp8505 +a(g6 +V +tp8506 +a(g92 +Vobj +p8507 +tp8508 +a(g6 +V\u000a\u000a\u000a +p8509 +tp8510 +a(g31 +V# Add all of the Underscore functions to the wrapper object.\u000a +p8511 +tp8512 +a(g6 +V +p8513 +tp8514 +a(g6 +V +tp8515 +a(g92 +V_ +tp8516 +a(g6 +V +tp8517 +a(g216 +V. +tp8518 +a(g92 +Veach +p8519 +tp8520 +a(g6 +V +tp8521 +a(g6 +V +tp8522 +a(g92 +V_ +tp8523 +a(g6 +V +tp8524 +a(g216 +V. +tp8525 +a(g92 +Vfunctions +p8526 +tp8527 +a(g6 +V +tp8528 +a(g216 +V( +tp8529 +a(g6 +V +tp8530 +a(g92 +V_ +tp8531 +a(g6 +V +tp8532 +a(g216 +V) +tp8533 +a(g216 +V, +tp8534 +a(g6 +V +tp8535 +a(g6 +V +tp8536 +a(g216 +V( +tp8537 +a(g6 +V +tp8538 +a(g92 +Vname +p8539 +tp8540 +a(g6 +V +tp8541 +a(g216 +V) +tp8542 +a(g6 +V +tp8543 +a(g357 +V- +tp8544 +a(g6 +V +tp8545 +a(g357 +V> +tp8546 +a(g6 +V\u000a +p8547 +tp8548 +a(g6 +V +tp8549 +a(g100 +Vmethod: +p8550 +tp8551 +a(g6 +V +tp8552 +a(g92 +V_ +tp8553 +a(g6 +V +tp8554 +a(g216 +V[ +tp8555 +a(g6 +V +tp8556 +a(g92 +Vname +p8557 +tp8558 +a(g6 +V +tp8559 +a(g216 +V] +tp8560 +a(g6 +V\u000a +p8561 +tp8562 +a(g92 +Vwrapper +p8563 +tp8564 +a(g6 +V +tp8565 +a(g216 +V. +tp8566 +a(g92 +Vprototype +p8567 +tp8568 +a(g6 +V +tp8569 +a(g216 +V[ +tp8570 +a(g6 +V +tp8571 +a(g92 +Vname +p8572 +tp8573 +a(g6 +V +tp8574 +a(g216 +V] +tp8575 +a(g357 +V: +tp8576 +a(g6 +V +tp8577 +a(g6 +V +tp8578 +a(g357 +V- +tp8579 +a(g6 +V +tp8580 +a(g357 +V> +tp8581 +a(g6 +V\u000a +p8582 +tp8583 +a(g6 +V +tp8584 +a(g92 +Vunshift +p8585 +tp8586 +a(g6 +V +tp8587 +a(g216 +V. +tp8588 +a(g92 +Vcall +p8589 +tp8590 +a(g6 +V +tp8591 +a(g216 +V( +tp8592 +a(g6 +V +tp8593 +a(g92 +Varguments +p8594 +tp8595 +a(g6 +V +tp8596 +a(g216 +V, +tp8597 +a(g6 +V +tp8598 +a(g6 +V +tp8599 +a(g138 +Vthis +p8600 +tp8601 +a(g6 +V +tp8602 +a(g216 +V. +tp8603 +a(g92 +V_wrapped +p8604 +tp8605 +a(g6 +V +tp8606 +a(g216 +V) +tp8607 +a(g6 +V\u000a +p8608 +tp8609 +a(g92 +Vresult +p8610 +tp8611 +a(g6 +V +tp8612 +a(g216 +V( +tp8613 +a(g6 +V +tp8614 +a(g92 +Vmethod +p8615 +tp8616 +a(g6 +V +tp8617 +a(g216 +V. +tp8618 +a(g92 +Vapply +p8619 +tp8620 +a(g6 +V +tp8621 +a(g216 +V( +tp8622 +a(g6 +V +tp8623 +a(g92 +V_ +tp8624 +a(g6 +V +tp8625 +a(g216 +V, +tp8626 +a(g6 +V +tp8627 +a(g6 +V +tp8628 +a(g92 +Varguments +p8629 +tp8630 +a(g6 +V +tp8631 +a(g216 +V) +tp8632 +a(g216 +V, +tp8633 +a(g6 +V +tp8634 +a(g6 +V +tp8635 +a(g138 +Vthis +p8636 +tp8637 +a(g6 +V +tp8638 +a(g216 +V. +tp8639 +a(g92 +V_chain +p8640 +tp8641 +a(g6 +V +tp8642 +a(g216 +V) +tp8643 +a(g6 +V\u000a\u000a\u000a +p8644 +tp8645 +a(g31 +V# Add all mutator Array functions to the wrapper.\u000a +p8646 +tp8647 +a(g6 +V +p8648 +tp8649 +a(g92 +V_ +tp8650 +a(g6 +V +tp8651 +a(g216 +V. +tp8652 +a(g92 +Veach +p8653 +tp8654 +a(g6 +V +tp8655 +a(g6 +V +tp8656 +a(g216 +V[ +tp8657 +a(g6 +V +tp8658 +a(g285 +V'pop' +p8659 +tp8660 +a(g216 +V, +tp8661 +a(g6 +V +tp8662 +a(g6 +V +tp8663 +a(g285 +V'push' +p8664 +tp8665 +a(g216 +V, +tp8666 +a(g6 +V +tp8667 +a(g6 +V +tp8668 +a(g285 +V'reverse' +p8669 +tp8670 +a(g216 +V, +tp8671 +a(g6 +V +tp8672 +a(g6 +V +tp8673 +a(g285 +V'shift' +p8674 +tp8675 +a(g216 +V, +tp8676 +a(g6 +V +tp8677 +a(g6 +V +tp8678 +a(g285 +V'sort' +p8679 +tp8680 +a(g216 +V, +tp8681 +a(g6 +V +tp8682 +a(g6 +V +tp8683 +a(g285 +V'splice' +p8684 +tp8685 +a(g216 +V, +tp8686 +a(g6 +V +tp8687 +a(g6 +V +tp8688 +a(g285 +V'unshift' +p8689 +tp8690 +a(g216 +V] +tp8691 +a(g216 +V, +tp8692 +a(g6 +V +tp8693 +a(g6 +V +tp8694 +a(g216 +V( +tp8695 +a(g6 +V +tp8696 +a(g92 +Vname +p8697 +tp8698 +a(g6 +V +tp8699 +a(g216 +V) +tp8700 +a(g6 +V +tp8701 +a(g357 +V- +tp8702 +a(g6 +V +tp8703 +a(g357 +V> +tp8704 +a(g6 +V\u000a +p8705 +tp8706 +a(g6 +V +tp8707 +a(g100 +Vmethod: +p8708 +tp8709 +a(g6 +V +tp8710 +a(g84 +VArray +p8711 +tp8712 +a(g216 +V. +tp8713 +a(g92 +Vprototype +p8714 +tp8715 +a(g6 +V +tp8716 +a(g216 +V[ +tp8717 +a(g6 +V +tp8718 +a(g92 +Vname +p8719 +tp8720 +a(g6 +V +tp8721 +a(g216 +V] +tp8722 +a(g6 +V\u000a +p8723 +tp8724 +a(g92 +Vwrapper +p8725 +tp8726 +a(g6 +V +tp8727 +a(g216 +V. +tp8728 +a(g92 +Vprototype +p8729 +tp8730 +a(g6 +V +tp8731 +a(g216 +V[ +tp8732 +a(g6 +V +tp8733 +a(g92 +Vname +p8734 +tp8735 +a(g6 +V +tp8736 +a(g216 +V] +tp8737 +a(g357 +V: +tp8738 +a(g6 +V +tp8739 +a(g6 +V +tp8740 +a(g357 +V- +tp8741 +a(g6 +V +tp8742 +a(g357 +V> +tp8743 +a(g6 +V\u000a +p8744 +tp8745 +a(g6 +V +tp8746 +a(g92 +Vmethod +p8747 +tp8748 +a(g6 +V +tp8749 +a(g216 +V. +tp8750 +a(g92 +Vapply +p8751 +tp8752 +a(g6 +V +tp8753 +a(g216 +V( +tp8754 +a(g6 +V +tp8755 +a(g138 +Vthis +p8756 +tp8757 +a(g6 +V +tp8758 +a(g216 +V. +tp8759 +a(g92 +V_wrapped +p8760 +tp8761 +a(g6 +V +tp8762 +a(g216 +V, +tp8763 +a(g6 +V +tp8764 +a(g6 +V +tp8765 +a(g92 +Varguments +p8766 +tp8767 +a(g6 +V +tp8768 +a(g216 +V) +tp8769 +a(g6 +V\u000a +p8770 +tp8771 +a(g92 +Vresult +p8772 +tp8773 +a(g6 +V +tp8774 +a(g216 +V( +tp8775 +a(g6 +V +tp8776 +a(g138 +Vthis +p8777 +tp8778 +a(g6 +V +tp8779 +a(g216 +V. +tp8780 +a(g92 +V_wrapped +p8781 +tp8782 +a(g6 +V +tp8783 +a(g216 +V, +tp8784 +a(g6 +V +tp8785 +a(g6 +V +tp8786 +a(g138 +Vthis +p8787 +tp8788 +a(g6 +V +tp8789 +a(g216 +V. +tp8790 +a(g92 +V_chain +p8791 +tp8792 +a(g6 +V +tp8793 +a(g216 +V) +tp8794 +a(g6 +V\u000a\u000a\u000a +p8795 +tp8796 +a(g31 +V# Add all accessor Array functions to the wrapper.\u000a +p8797 +tp8798 +a(g6 +V +p8799 +tp8800 +a(g92 +V_ +tp8801 +a(g6 +V +tp8802 +a(g216 +V. +tp8803 +a(g92 +Veach +p8804 +tp8805 +a(g6 +V +tp8806 +a(g6 +V +tp8807 +a(g216 +V[ +tp8808 +a(g6 +V +tp8809 +a(g285 +V'concat' +p8810 +tp8811 +a(g216 +V, +tp8812 +a(g6 +V +tp8813 +a(g6 +V +tp8814 +a(g285 +V'join' +p8815 +tp8816 +a(g216 +V, +tp8817 +a(g6 +V +tp8818 +a(g6 +V +tp8819 +a(g285 +V'slice' +p8820 +tp8821 +a(g216 +V] +tp8822 +a(g216 +V, +tp8823 +a(g6 +V +tp8824 +a(g6 +V +tp8825 +a(g216 +V( +tp8826 +a(g6 +V +tp8827 +a(g92 +Vname +p8828 +tp8829 +a(g6 +V +tp8830 +a(g216 +V) +tp8831 +a(g6 +V +tp8832 +a(g357 +V- +tp8833 +a(g6 +V +tp8834 +a(g357 +V> +tp8835 +a(g6 +V\u000a +p8836 +tp8837 +a(g6 +V +tp8838 +a(g100 +Vmethod: +p8839 +tp8840 +a(g6 +V +tp8841 +a(g84 +VArray +p8842 +tp8843 +a(g216 +V. +tp8844 +a(g92 +Vprototype +p8845 +tp8846 +a(g6 +V +tp8847 +a(g216 +V[ +tp8848 +a(g6 +V +tp8849 +a(g92 +Vname +p8850 +tp8851 +a(g6 +V +tp8852 +a(g216 +V] +tp8853 +a(g6 +V\u000a +p8854 +tp8855 +a(g92 +Vwrapper +p8856 +tp8857 +a(g6 +V +tp8858 +a(g216 +V. +tp8859 +a(g92 +Vprototype +p8860 +tp8861 +a(g6 +V +tp8862 +a(g216 +V[ +tp8863 +a(g6 +V +tp8864 +a(g92 +Vname +p8865 +tp8866 +a(g6 +V +tp8867 +a(g216 +V] +tp8868 +a(g357 +V: +tp8869 +a(g6 +V +tp8870 +a(g6 +V +tp8871 +a(g357 +V- +tp8872 +a(g6 +V +tp8873 +a(g357 +V> +tp8874 +a(g6 +V\u000a +p8875 +tp8876 +a(g6 +V +tp8877 +a(g92 +Vresult +p8878 +tp8879 +a(g6 +V +tp8880 +a(g216 +V( +tp8881 +a(g6 +V +tp8882 +a(g92 +Vmethod +p8883 +tp8884 +a(g6 +V +tp8885 +a(g216 +V. +tp8886 +a(g92 +Vapply +p8887 +tp8888 +a(g6 +V +tp8889 +a(g216 +V( +tp8890 +a(g6 +V +tp8891 +a(g138 +Vthis +p8892 +tp8893 +a(g6 +V +tp8894 +a(g216 +V. +tp8895 +a(g92 +V_wrapped +p8896 +tp8897 +a(g6 +V +tp8898 +a(g216 +V, +tp8899 +a(g6 +V +tp8900 +a(g6 +V +tp8901 +a(g92 +Varguments +p8902 +tp8903 +a(g6 +V +tp8904 +a(g216 +V) +tp8905 +a(g216 +V, +tp8906 +a(g6 +V +tp8907 +a(g6 +V +tp8908 +a(g138 +Vthis +p8909 +tp8910 +a(g6 +V +tp8911 +a(g216 +V. +tp8912 +a(g92 +V_chain +p8913 +tp8914 +a(g6 +V +tp8915 +a(g216 +V) +tp8916 +a(g6 +V\u000a\u000a\u000a +p8917 +tp8918 +a(g31 +V# Start chaining a wrapped Underscore object.\u000a +p8919 +tp8920 +a(g6 +V +p8921 +tp8922 +a(g100 +Vwrapper::chain: +p8923 +tp8924 +a(g6 +V +tp8925 +a(g357 +V- +tp8926 +a(g6 +V +tp8927 +a(g357 +V> +tp8928 +a(g6 +V\u000a +p8929 +tp8930 +a(g6 +V +tp8931 +a(g138 +Vthis +p8932 +tp8933 +a(g6 +V +tp8934 +a(g216 +V. +tp8935 +a(g100 +V_chain: +p8936 +tp8937 +a(g6 +V +tp8938 +a(g144 +Vtrue +p8939 +tp8940 +a(g6 +V\u000a +p8941 +tp8942 +a(g138 +Vthis +p8943 +tp8944 +a(g6 +V\u000a\u000a\u000a +p8945 +tp8946 +a(g31 +V# Extracts the result from a wrapped and chained object.\u000a +p8947 +tp8948 +a(g6 +V +p8949 +tp8950 +a(g6 +V +tp8951 +a(g100 +Vwrapper::value: +p8952 +tp8953 +a(g6 +V +tp8954 +a(g357 +V- +tp8955 +a(g6 +V +tp8956 +a(g357 +V> +tp8957 +a(g6 +V +tp8958 +a(g6 +V +tp8959 +a(g138 +Vthis +p8960 +tp8961 +a(g6 +V +tp8962 +a(g216 +V. +tp8963 +a(g92 +V_wrapped +p8964 +tp8965 +a(g6 +V\u000a +tp8966 +a(g6 +V +tp8967 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/unicode.applescript b/tests/examplefiles/output/unicode.applescript new file mode 100644 index 0000000..f95bc7a --- /dev/null +++ b/tests/examplefiles/output/unicode.applescript @@ -0,0 +1,1468 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Keyword' +p5 +ttRp6 +(dp7 +S'Pervasive' +p8 +g2 +(g3 +g4 +(g5 +g8 +ttRp9 +(dp10 +S'subtypes' +p11 +c__builtin__ +set +p12 +((ltRp13 +sS'parent' +p14 +g6 +sbsS'Constant' +p15 +g2 +(g3 +g4 +(g5 +g15 +ttRp16 +(dp17 +g11 +g12 +((ltRp18 +sg14 +g6 +sbsg14 +g2 +(g3 +g4 +(ttRp19 +(dp20 +S'Comment' +p21 +g2 +(g3 +g4 +(g21 +ttRp22 +(dp23 +g14 +g19 +sS'Preproc' +p24 +g2 +(g3 +g4 +(g21 +g24 +ttRp25 +(dp26 +g11 +g12 +((ltRp27 +sg14 +g22 +sbsS'Single' +p28 +g2 +(g3 +g4 +(g21 +g28 +ttRp29 +(dp30 +g11 +g12 +((ltRp31 +sg14 +g22 +sbsS'Multiline' +p32 +g2 +(g3 +g4 +(g21 +g32 +ttRp33 +(dp34 +g11 +g12 +((ltRp35 +sg14 +g22 +sbsg11 +g12 +((lp36 +g2 +(g3 +g4 +(g21 +S'Special' +p37 +ttRp38 +(dp39 +g11 +g12 +((ltRp40 +sg14 +g22 +sbag25 +ag29 +ag33 +atRp41 +sg37 +g38 +sbsS'Name' +p42 +g2 +(g3 +g4 +(g42 +ttRp43 +(dp44 +S'Function' +p45 +g2 +(g3 +g4 +(g42 +g45 +ttRp46 +(dp47 +g11 +g12 +((ltRp48 +sg14 +g43 +sbsS'Exception' +p49 +g2 +(g3 +g4 +(g42 +g49 +ttRp50 +(dp51 +g11 +g12 +((ltRp52 +sg14 +g43 +sbsS'Tag' +p53 +g2 +(g3 +g4 +(g42 +g53 +ttRp54 +(dp55 +g11 +g12 +((ltRp56 +sg14 +g43 +sbsg15 +g2 +(g3 +g4 +(g42 +g15 +ttRp57 +(dp58 +g11 +g12 +((ltRp59 +sg14 +g43 +sbsg14 +g19 +sS'Pseudo' +p60 +g2 +(g3 +g4 +(g42 +g60 +ttRp61 +(dp62 +g11 +g12 +((ltRp63 +sg14 +g43 +sbsS'Attribute' +p64 +g2 +(g3 +g4 +(g42 +g64 +ttRp65 +(dp66 +g11 +g12 +((ltRp67 +sg14 +g43 +sbsS'Label' +p68 +g2 +(g3 +g4 +(g42 +g68 +ttRp69 +(dp70 +g11 +g12 +((ltRp71 +sg14 +g43 +sbsS'Blubb' +p72 +g2 +(g3 +g4 +(g42 +g72 +ttRp73 +(dp74 +g11 +g12 +((ltRp75 +sg14 +g43 +sbsS'Entity' +p76 +g2 +(g3 +g4 +(g42 +g76 +ttRp77 +(dp78 +g11 +g12 +((ltRp79 +sg14 +g43 +sbsS'Builtin' +p80 +g2 +(g3 +g4 +(g42 +g80 +ttRp81 +(dp82 +g11 +g12 +((lp83 +g2 +(g3 +g4 +(g42 +g80 +g60 +ttRp84 +(dp85 +g11 +g12 +((ltRp86 +sg14 +g81 +sbatRp87 +sg60 +g84 +sg14 +g43 +sbsS'Other' +p88 +g2 +(g3 +g4 +(g42 +g88 +ttRp89 +(dp90 +g11 +g12 +((ltRp91 +sg14 +g43 +sbsS'Identifier' +p92 +g2 +(g3 +g4 +(g42 +g92 +ttRp93 +(dp94 +g11 +g12 +((ltRp95 +sg14 +g43 +sbsS'Variable' +p96 +g2 +(g3 +g4 +(g42 +g96 +ttRp97 +(dp98 +g14 +g43 +sS'Global' +p99 +g2 +(g3 +g4 +(g42 +g96 +g99 +ttRp100 +(dp101 +g11 +g12 +((ltRp102 +sg14 +g97 +sbsS'Instance' +p103 +g2 +(g3 +g4 +(g42 +g96 +g103 +ttRp104 +(dp105 +g11 +g12 +((ltRp106 +sg14 +g97 +sbsS'Anonymous' +p107 +g2 +(g3 +g4 +(g42 +g96 +g107 +ttRp108 +(dp109 +g11 +g12 +((ltRp110 +sg14 +g97 +sbsg11 +g12 +((lp111 +g108 +ag104 +ag100 +ag2 +(g3 +g4 +(g42 +g96 +S'Class' +p112 +ttRp113 +(dp114 +g11 +g12 +((ltRp115 +sg14 +g97 +sbatRp116 +sg112 +g113 +sbsg11 +g12 +((lp117 +g2 +(g3 +g4 +(g42 +S'Decorator' +p118 +ttRp119 +(dp120 +g11 +g12 +((ltRp121 +sg14 +g43 +sbag65 +ag57 +ag61 +ag2 +(g3 +g4 +(g42 +S'Namespace' +p122 +ttRp123 +(dp124 +g11 +g12 +((ltRp125 +sg14 +g43 +sbag93 +ag81 +ag97 +ag89 +ag73 +ag77 +ag46 +ag2 +(g3 +g4 +(g42 +S'Property' +p126 +ttRp127 +(dp128 +g11 +g12 +((ltRp129 +sg14 +g43 +sbag69 +ag54 +ag50 +ag2 +(g3 +g4 +(g42 +g112 +ttRp130 +(dp131 +g11 +g12 +((ltRp132 +sg14 +g43 +sbatRp133 +sg126 +g127 +sg112 +g130 +sg118 +g119 +sg122 +g123 +sbsg5 +g6 +sS'Generic' +p134 +g2 +(g3 +g4 +(g134 +ttRp135 +(dp136 +S'Prompt' +p137 +g2 +(g3 +g4 +(g134 +g137 +ttRp138 +(dp139 +g11 +g12 +((ltRp140 +sg14 +g135 +sbsg14 +g19 +sS'Deleted' +p141 +g2 +(g3 +g4 +(g134 +g141 +ttRp142 +(dp143 +g11 +g12 +((ltRp144 +sg14 +g135 +sbsS'Traceback' +p145 +g2 +(g3 +g4 +(g134 +g145 +ttRp146 +(dp147 +g11 +g12 +((ltRp148 +sg14 +g135 +sbsS'Emph' +p149 +g2 +(g3 +g4 +(g134 +g149 +ttRp150 +(dp151 +g11 +g12 +((ltRp152 +sg14 +g135 +sbsS'Output' +p153 +g2 +(g3 +g4 +(g134 +g153 +ttRp154 +(dp155 +g11 +g12 +((ltRp156 +sg14 +g135 +sbsS'Subheading' +p157 +g2 +(g3 +g4 +(g134 +g157 +ttRp158 +(dp159 +g11 +g12 +((ltRp160 +sg14 +g135 +sbsS'Error' +p161 +g2 +(g3 +g4 +(g134 +g161 +ttRp162 +(dp163 +g11 +g12 +((ltRp164 +sg14 +g135 +sbsg11 +g12 +((lp165 +g154 +ag150 +ag162 +ag158 +ag146 +ag142 +ag2 +(g3 +g4 +(g134 +S'Heading' +p166 +ttRp167 +(dp168 +g11 +g12 +((ltRp169 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Inserted' +p170 +ttRp171 +(dp172 +g11 +g12 +((ltRp173 +sg14 +g135 +sbag2 +(g3 +g4 +(g134 +S'Strong' +p174 +ttRp175 +(dp176 +g11 +g12 +((ltRp177 +sg14 +g135 +sbag138 +atRp178 +sg174 +g175 +sg170 +g171 +sg166 +g167 +sbsS'Text' +p179 +g2 +(g3 +g4 +(g179 +ttRp180 +(dp181 +g11 +g12 +((lp182 +g2 +(g3 +g4 +(g179 +S'Symbol' +p183 +ttRp184 +(dp185 +g11 +g12 +((ltRp186 +sg14 +g180 +sbag2 +(g3 +g4 +(g179 +S'Whitespace' +p187 +ttRp188 +(dp189 +g11 +g12 +((ltRp190 +sg14 +g180 +sbatRp191 +sg183 +g184 +sg187 +g188 +sg14 +g19 +sbsS'Punctuation' +p192 +g2 +(g3 +g4 +(g192 +ttRp193 +(dp194 +g11 +g12 +((lp195 +g2 +(g3 +g4 +(g192 +S'Indicator' +p196 +ttRp197 +(dp198 +g11 +g12 +((ltRp199 +sg14 +g193 +sbatRp200 +sg196 +g197 +sg14 +g19 +sbsS'Token' +p201 +g19 +sS'Number' +p202 +g2 +(g3 +g4 +(S'Literal' +p203 +g202 +ttRp204 +(dp205 +S'Bin' +p206 +g2 +(g3 +g4 +(g203 +g202 +g206 +ttRp207 +(dp208 +g11 +g12 +((ltRp209 +sg14 +g204 +sbsS'Binary' +p210 +g2 +(g3 +g4 +(g203 +g202 +g210 +ttRp211 +(dp212 +g11 +g12 +((ltRp213 +sg14 +g204 +sbsg14 +g2 +(g3 +g4 +(g203 +ttRp214 +(dp215 +S'String' +p216 +g2 +(g3 +g4 +(g203 +g216 +ttRp217 +(dp218 +S'Regex' +p219 +g2 +(g3 +g4 +(g203 +g216 +g219 +ttRp220 +(dp221 +g11 +g12 +((ltRp222 +sg14 +g217 +sbsS'Interpol' +p223 +g2 +(g3 +g4 +(g203 +g216 +g223 +ttRp224 +(dp225 +g11 +g12 +((ltRp226 +sg14 +g217 +sbsS'Regexp' +p227 +g2 +(g3 +g4 +(g203 +g216 +g227 +ttRp228 +(dp229 +g11 +g12 +((ltRp230 +sg14 +g217 +sbsg14 +g214 +sS'Heredoc' +p231 +g2 +(g3 +g4 +(g203 +g216 +g231 +ttRp232 +(dp233 +g11 +g12 +((ltRp234 +sg14 +g217 +sbsS'Double' +p235 +g2 +(g3 +g4 +(g203 +g216 +g235 +ttRp236 +(dp237 +g11 +g12 +((ltRp238 +sg14 +g217 +sbsg183 +g2 +(g3 +g4 +(g203 +g216 +g183 +ttRp239 +(dp240 +g11 +g12 +((ltRp241 +sg14 +g217 +sbsS'Escape' +p242 +g2 +(g3 +g4 +(g203 +g216 +g242 +ttRp243 +(dp244 +g11 +g12 +((ltRp245 +sg14 +g217 +sbsS'Character' +p246 +g2 +(g3 +g4 +(g203 +g216 +g246 +ttRp247 +(dp248 +g11 +g12 +((ltRp249 +sg14 +g217 +sbsS'Interp' +p250 +g2 +(g3 +g4 +(g203 +g216 +g250 +ttRp251 +(dp252 +g11 +g12 +((ltRp253 +sg14 +g217 +sbsS'Backtick' +p254 +g2 +(g3 +g4 +(g203 +g216 +g254 +ttRp255 +(dp256 +g11 +g12 +((ltRp257 +sg14 +g217 +sbsS'Char' +p258 +g2 +(g3 +g4 +(g203 +g216 +g258 +ttRp259 +(dp260 +g11 +g12 +((ltRp261 +sg14 +g217 +sbsg28 +g2 +(g3 +g4 +(g203 +g216 +g28 +ttRp262 +(dp263 +g11 +g12 +((ltRp264 +sg14 +g217 +sbsg88 +g2 +(g3 +g4 +(g203 +g216 +g88 +ttRp265 +(dp266 +g11 +g12 +((ltRp267 +sg14 +g217 +sbsS'Doc' +p268 +g2 +(g3 +g4 +(g203 +g216 +g268 +ttRp269 +(dp270 +g11 +g12 +((ltRp271 +sg14 +g217 +sbsg11 +g12 +((lp272 +g265 +ag2 +(g3 +g4 +(g203 +g216 +S'Atom' +p273 +ttRp274 +(dp275 +g11 +g12 +((ltRp276 +sg14 +g217 +sbag236 +ag259 +ag251 +ag269 +ag232 +ag255 +ag224 +ag239 +ag228 +ag220 +ag262 +ag247 +ag243 +atRp277 +sg273 +g274 +sbsg14 +g19 +sg202 +g204 +sS'Scalar' +p278 +g2 +(g3 +g4 +(g203 +g278 +ttRp279 +(dp280 +g11 +g12 +((lp281 +g2 +(g3 +g4 +(g203 +g278 +S'Plain' +p282 +ttRp283 +(dp284 +g11 +g12 +((ltRp285 +sg14 +g279 +sbatRp286 +sg14 +g214 +sg282 +g283 +sbsg88 +g2 +(g3 +g4 +(g203 +g88 +ttRp287 +(dp288 +g11 +g12 +((ltRp289 +sg14 +g214 +sbsS'Date' +p290 +g2 +(g3 +g4 +(g203 +g290 +ttRp291 +(dp292 +g11 +g12 +((ltRp293 +sg14 +g214 +sbsg11 +g12 +((lp294 +g291 +ag217 +ag287 +ag204 +ag279 +atRp295 +sbsS'Decimal' +p296 +g2 +(g3 +g4 +(g203 +g202 +g296 +ttRp297 +(dp298 +g11 +g12 +((ltRp299 +sg14 +g204 +sbsS'Float' +p300 +g2 +(g3 +g4 +(g203 +g202 +g300 +ttRp301 +(dp302 +g11 +g12 +((ltRp303 +sg14 +g204 +sbsS'Hex' +p304 +g2 +(g3 +g4 +(g203 +g202 +g304 +ttRp305 +(dp306 +g11 +g12 +((ltRp307 +sg14 +g204 +sbsS'Integer' +p308 +g2 +(g3 +g4 +(g203 +g202 +g308 +ttRp309 +(dp310 +g11 +g12 +((lp311 +g2 +(g3 +g4 +(g203 +g202 +g308 +S'Long' +p312 +ttRp313 +(dp314 +g11 +g12 +((ltRp315 +sg14 +g309 +sbatRp316 +sg312 +g313 +sg14 +g204 +sbsS'Octal' +p317 +g2 +(g3 +g4 +(g203 +g202 +g317 +ttRp318 +(dp319 +g11 +g12 +((ltRp320 +sg14 +g204 +sbsg11 +g12 +((lp321 +g207 +ag211 +ag318 +ag297 +ag2 +(g3 +g4 +(g203 +g202 +S'Oct' +p322 +ttRp323 +(dp324 +g11 +g12 +((ltRp325 +sg14 +g204 +sbag309 +ag301 +ag305 +atRp326 +sg322 +g323 +sbsg203 +g214 +sg88 +g2 +(g3 +g4 +(g88 +ttRp327 +(dp328 +g11 +g12 +((ltRp329 +sg14 +g19 +sbsg161 +g2 +(g3 +g4 +(g161 +ttRp330 +(dp331 +g11 +g12 +((ltRp332 +sg14 +g19 +sbsS'Operator' +p333 +g2 +(g3 +g4 +(g333 +ttRp334 +(dp335 +g11 +g12 +((lp336 +g2 +(g3 +g4 +(g333 +S'Word' +p337 +ttRp338 +(dp339 +g11 +g12 +((ltRp340 +sg14 +g334 +sbatRp341 +sg337 +g338 +sg14 +g19 +sbsg11 +g12 +((lp342 +g22 +ag330 +ag135 +ag180 +ag43 +ag193 +ag6 +ag214 +ag334 +ag327 +atRp343 +sg216 +g217 +sbsg122 +g2 +(g3 +g4 +(g5 +g122 +ttRp344 +(dp345 +g11 +g12 +((ltRp346 +sg14 +g6 +sbsg60 +g2 +(g3 +g4 +(g5 +g60 +ttRp347 +(dp348 +g11 +g12 +((ltRp349 +sg14 +g6 +sbsS'Reserved' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g11 +g12 +((ltRp353 +sg14 +g6 +sbsS'Declaration' +p354 +g2 +(g3 +g4 +(g5 +g354 +ttRp355 +(dp356 +g11 +g12 +((ltRp357 +sg14 +g6 +sbsg96 +g2 +(g3 +g4 +(g5 +g96 +ttRp358 +(dp359 +g11 +g12 +((ltRp360 +sg14 +g6 +sbsg11 +g12 +((lp361 +g16 +ag351 +ag2 +(g3 +g4 +(g5 +S'Type' +p362 +ttRp363 +(dp364 +g11 +g12 +((ltRp365 +sg14 +g6 +sbag9 +ag355 +ag358 +ag344 +ag347 +atRp366 +sg362 +g363 +sbVset +p367 +tp368 +a(g180 +V +tp369 +a(g97 +Vjp +p370 +tp371 +a(g180 +V +tp372 +a(g6 +Vto +p373 +tp374 +a(g180 +V +tp375 +a(g236 +V"\u65e5\u672c\u8a9e" +p376 +tp377 +a(g180 +V\u000a\u000a +p378 +tp379 +a(g6 +Vset +p380 +tp381 +a(g180 +V +tp382 +a(g97 +Vru +p383 +tp384 +a(g180 +V +tp385 +a(g6 +Vto +p386 +tp387 +a(g180 +V +tp388 +a(g236 +V"\u0420\u0443\u0441\u0441\u043a\u0438\u0439" +p389 +tp390 +a(g180 +V\u000a\u000a +p391 +tp392 +a(g97 +Vjp +p393 +tp394 +a(g180 +V +tp395 +a(g334 +V& +tp396 +a(g180 +V +tp397 +a(g236 +V" and " +p398 +tp399 +a(g180 +V +tp400 +a(g334 +V& +tp401 +a(g180 +V +tp402 +a(g97 +Vru +p403 +tp404 +a(g180 +V +tp405 +a(g22 +V-- returns "\u65e5\u672c\u8a9e and \u0420\u0443\u0441\u0441\u043a\u0438\u0439" +p406 +tp407 +a(g180 +V\u000a +tp408 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/while.pov b/tests/examplefiles/output/while.pov new file mode 100644 index 0000000..1910393 --- /dev/null +++ b/tests/examplefiles/output/while.pov @@ -0,0 +1,1658 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Preproc' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsg6 +g7 +sg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag7 +ag354 +ag358 +atRp366 +sg362 +g363 +sbsbV#declare +p367 +tp368 +a(g189 +V +tp369 +a(g18 +VIndex1 +p370 +tp371 +a(g189 +V +tp372 +a(g344 +V= +tp373 +a(g189 +V +tp374 +a(g319 +V0 +tp375 +a(g202 +V; +tp376 +a(g189 +V\u000a +tp377 +a(g7 +V#while +p378 +tp379 +a(g202 +V( +tp380 +a(g18 +VIndex1 +p381 +tp382 +a(g189 +V +tp383 +a(g202 +V< +tp384 +a(g344 +V= +tp385 +a(g189 +V +tp386 +a(g319 +V9 +tp387 +a(g202 +V) +tp388 +a(g189 +V\u000a\u000a +p389 +tp390 +a(g7 +V#declare +p391 +tp392 +a(g189 +V +tp393 +a(g18 +VIndex2 +p394 +tp395 +a(g189 +V +tp396 +a(g344 +V= +tp397 +a(g189 +V +tp398 +a(g319 +V0 +tp399 +a(g202 +V; +tp400 +a(g189 +V\u000a +p401 +tp402 +a(g7 +V#while +p403 +tp404 +a(g202 +V( +tp405 +a(g18 +VIndex2 +p406 +tp407 +a(g189 +V +tp408 +a(g202 +V< +tp409 +a(g344 +V= +tp410 +a(g189 +V +tp411 +a(g319 +V19 +p412 +tp413 +a(g202 +V) +tp414 +a(g189 +V\u000a\u000a +p415 +tp416 +a(g57 +Vsphere +p417 +tp418 +a(g189 +V +tp419 +a(g202 +V{ +tp420 +a(g189 +V +tp421 +a(g202 +V< +tp422 +a(g18 +VIndex1 +p423 +tp424 +a(g202 +V, +tp425 +a(g189 +V +tp426 +a(g18 +VIndex2 +p427 +tp428 +a(g202 +V, +tp429 +a(g189 +V +tp430 +a(g319 +V0 +tp431 +a(g202 +V> +tp432 +a(g202 +V, +tp433 +a(g189 +V +tp434 +a(g311 +V.5 +p435 +tp436 +a(g189 +V +tp437 +a(g202 +V} +tp438 +a(g189 +V\u000a\u000a +p439 +tp440 +a(g7 +V#declare +p441 +tp442 +a(g189 +V +tp443 +a(g18 +VIndex2 +p444 +tp445 +a(g189 +V +tp446 +a(g344 +V= +tp447 +a(g189 +V +tp448 +a(g18 +VIndex2 +p449 +tp450 +a(g189 +V +tp451 +a(g344 +V+ +tp452 +a(g189 +V +tp453 +a(g319 +V1 +tp454 +a(g202 +V; +tp455 +a(g189 +V\u000a +p456 +tp457 +a(g7 +V#end +p458 +tp459 +a(g189 +V\u000a\u000a +p460 +tp461 +a(g7 +V#declare +p462 +tp463 +a(g189 +V +tp464 +a(g18 +VIndex1 +p465 +tp466 +a(g189 +V +tp467 +a(g344 +V= +tp468 +a(g189 +V +tp469 +a(g18 +VIndex1 +p470 +tp471 +a(g189 +V +tp472 +a(g344 +V+ +tp473 +a(g189 +V +tp474 +a(g319 +V1 +tp475 +a(g202 +V; +tp476 +a(g189 +V\u000a +tp477 +a(g7 +V#end +p478 +tp479 +a(g189 +V\u000a +tp480 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/xml_example b/tests/examplefiles/output/xml_example new file mode 100644 index 0000000..49ba52b --- /dev/null +++ b/tests/examplefiles/output/xml_example @@ -0,0 +1,51714 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +S'Preproc' +p6 +ttRp7 +(dp8 +S'subtypes' +p9 +c__builtin__ +set +p10 +((ltRp11 +sS'parent' +p12 +g2 +(g3 +g4 +(g5 +ttRp13 +(dp14 +g12 +g2 +(g3 +g4 +(ttRp15 +(dp16 +g5 +g13 +sS'Name' +p17 +g2 +(g3 +g4 +(g17 +ttRp18 +(dp19 +S'Function' +p20 +g2 +(g3 +g4 +(g17 +g20 +ttRp21 +(dp22 +g9 +g10 +((ltRp23 +sg12 +g18 +sbsS'Exception' +p24 +g2 +(g3 +g4 +(g17 +g24 +ttRp25 +(dp26 +g9 +g10 +((ltRp27 +sg12 +g18 +sbsS'Tag' +p28 +g2 +(g3 +g4 +(g17 +g28 +ttRp29 +(dp30 +g9 +g10 +((ltRp31 +sg12 +g18 +sbsS'Constant' +p32 +g2 +(g3 +g4 +(g17 +g32 +ttRp33 +(dp34 +g9 +g10 +((ltRp35 +sg12 +g18 +sbsg12 +g15 +sS'Pseudo' +p36 +g2 +(g3 +g4 +(g17 +g36 +ttRp37 +(dp38 +g9 +g10 +((ltRp39 +sg12 +g18 +sbsS'Attribute' +p40 +g2 +(g3 +g4 +(g17 +g40 +ttRp41 +(dp42 +g9 +g10 +((ltRp43 +sg12 +g18 +sbsS'Label' +p44 +g2 +(g3 +g4 +(g17 +g44 +ttRp45 +(dp46 +g9 +g10 +((ltRp47 +sg12 +g18 +sbsS'Blubb' +p48 +g2 +(g3 +g4 +(g17 +g48 +ttRp49 +(dp50 +g9 +g10 +((ltRp51 +sg12 +g18 +sbsS'Entity' +p52 +g2 +(g3 +g4 +(g17 +g52 +ttRp53 +(dp54 +g9 +g10 +((ltRp55 +sg12 +g18 +sbsS'Builtin' +p56 +g2 +(g3 +g4 +(g17 +g56 +ttRp57 +(dp58 +g9 +g10 +((lp59 +g2 +(g3 +g4 +(g17 +g56 +g36 +ttRp60 +(dp61 +g9 +g10 +((ltRp62 +sg12 +g57 +sbatRp63 +sg36 +g60 +sg12 +g18 +sbsS'Other' +p64 +g2 +(g3 +g4 +(g17 +g64 +ttRp65 +(dp66 +g9 +g10 +((ltRp67 +sg12 +g18 +sbsS'Identifier' +p68 +g2 +(g3 +g4 +(g17 +g68 +ttRp69 +(dp70 +g9 +g10 +((ltRp71 +sg12 +g18 +sbsS'Variable' +p72 +g2 +(g3 +g4 +(g17 +g72 +ttRp73 +(dp74 +g12 +g18 +sS'Global' +p75 +g2 +(g3 +g4 +(g17 +g72 +g75 +ttRp76 +(dp77 +g9 +g10 +((ltRp78 +sg12 +g73 +sbsS'Instance' +p79 +g2 +(g3 +g4 +(g17 +g72 +g79 +ttRp80 +(dp81 +g9 +g10 +((ltRp82 +sg12 +g73 +sbsS'Anonymous' +p83 +g2 +(g3 +g4 +(g17 +g72 +g83 +ttRp84 +(dp85 +g9 +g10 +((ltRp86 +sg12 +g73 +sbsg9 +g10 +((lp87 +g84 +ag80 +ag76 +ag2 +(g3 +g4 +(g17 +g72 +S'Class' +p88 +ttRp89 +(dp90 +g9 +g10 +((ltRp91 +sg12 +g73 +sbatRp92 +sg88 +g89 +sbsg9 +g10 +((lp93 +g2 +(g3 +g4 +(g17 +S'Decorator' +p94 +ttRp95 +(dp96 +g9 +g10 +((ltRp97 +sg12 +g18 +sbag41 +ag33 +ag37 +ag2 +(g3 +g4 +(g17 +S'Namespace' +p98 +ttRp99 +(dp100 +g9 +g10 +((ltRp101 +sg12 +g18 +sbag69 +ag57 +ag73 +ag65 +ag49 +ag53 +ag21 +ag2 +(g3 +g4 +(g17 +S'Property' +p102 +ttRp103 +(dp104 +g9 +g10 +((ltRp105 +sg12 +g18 +sbag45 +ag29 +ag25 +ag2 +(g3 +g4 +(g17 +g88 +ttRp106 +(dp107 +g9 +g10 +((ltRp108 +sg12 +g18 +sbatRp109 +sg102 +g103 +sg88 +g106 +sg94 +g95 +sg98 +g99 +sbsS'Keyword' +p110 +g2 +(g3 +g4 +(g110 +ttRp111 +(dp112 +S'Pervasive' +p113 +g2 +(g3 +g4 +(g110 +g113 +ttRp114 +(dp115 +g9 +g10 +((ltRp116 +sg12 +g111 +sbsg32 +g2 +(g3 +g4 +(g110 +g32 +ttRp117 +(dp118 +g9 +g10 +((ltRp119 +sg12 +g111 +sbsg12 +g15 +sg98 +g2 +(g3 +g4 +(g110 +g98 +ttRp120 +(dp121 +g9 +g10 +((ltRp122 +sg12 +g111 +sbsg36 +g2 +(g3 +g4 +(g110 +g36 +ttRp123 +(dp124 +g9 +g10 +((ltRp125 +sg12 +g111 +sbsS'Reserved' +p126 +g2 +(g3 +g4 +(g110 +g126 +ttRp127 +(dp128 +g9 +g10 +((ltRp129 +sg12 +g111 +sbsS'Declaration' +p130 +g2 +(g3 +g4 +(g110 +g130 +ttRp131 +(dp132 +g9 +g10 +((ltRp133 +sg12 +g111 +sbsg72 +g2 +(g3 +g4 +(g110 +g72 +ttRp134 +(dp135 +g9 +g10 +((ltRp136 +sg12 +g111 +sbsg9 +g10 +((lp137 +g117 +ag127 +ag2 +(g3 +g4 +(g110 +S'Type' +p138 +ttRp139 +(dp140 +g9 +g10 +((ltRp141 +sg12 +g111 +sbag114 +ag131 +ag134 +ag120 +ag123 +atRp142 +sg138 +g139 +sbsS'Generic' +p143 +g2 +(g3 +g4 +(g143 +ttRp144 +(dp145 +S'Prompt' +p146 +g2 +(g3 +g4 +(g143 +g146 +ttRp147 +(dp148 +g9 +g10 +((ltRp149 +sg12 +g144 +sbsg12 +g15 +sS'Deleted' +p150 +g2 +(g3 +g4 +(g143 +g150 +ttRp151 +(dp152 +g9 +g10 +((ltRp153 +sg12 +g144 +sbsS'Traceback' +p154 +g2 +(g3 +g4 +(g143 +g154 +ttRp155 +(dp156 +g9 +g10 +((ltRp157 +sg12 +g144 +sbsS'Emph' +p158 +g2 +(g3 +g4 +(g143 +g158 +ttRp159 +(dp160 +g9 +g10 +((ltRp161 +sg12 +g144 +sbsS'Output' +p162 +g2 +(g3 +g4 +(g143 +g162 +ttRp163 +(dp164 +g9 +g10 +((ltRp165 +sg12 +g144 +sbsS'Subheading' +p166 +g2 +(g3 +g4 +(g143 +g166 +ttRp167 +(dp168 +g9 +g10 +((ltRp169 +sg12 +g144 +sbsS'Error' +p170 +g2 +(g3 +g4 +(g143 +g170 +ttRp171 +(dp172 +g9 +g10 +((ltRp173 +sg12 +g144 +sbsg9 +g10 +((lp174 +g163 +ag159 +ag171 +ag167 +ag155 +ag151 +ag2 +(g3 +g4 +(g143 +S'Heading' +p175 +ttRp176 +(dp177 +g9 +g10 +((ltRp178 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Inserted' +p179 +ttRp180 +(dp181 +g9 +g10 +((ltRp182 +sg12 +g144 +sbag2 +(g3 +g4 +(g143 +S'Strong' +p183 +ttRp184 +(dp185 +g9 +g10 +((ltRp186 +sg12 +g144 +sbag147 +atRp187 +sg183 +g184 +sg179 +g180 +sg175 +g176 +sbsS'Text' +p188 +g2 +(g3 +g4 +(g188 +ttRp189 +(dp190 +g9 +g10 +((lp191 +g2 +(g3 +g4 +(g188 +S'Symbol' +p192 +ttRp193 +(dp194 +g9 +g10 +((ltRp195 +sg12 +g189 +sbag2 +(g3 +g4 +(g188 +S'Whitespace' +p196 +ttRp197 +(dp198 +g9 +g10 +((ltRp199 +sg12 +g189 +sbatRp200 +sg192 +g193 +sg196 +g197 +sg12 +g15 +sbsS'Punctuation' +p201 +g2 +(g3 +g4 +(g201 +ttRp202 +(dp203 +g9 +g10 +((lp204 +g2 +(g3 +g4 +(g201 +S'Indicator' +p205 +ttRp206 +(dp207 +g9 +g10 +((ltRp208 +sg12 +g202 +sbatRp209 +sg205 +g206 +sg12 +g15 +sbsS'Token' +p210 +g15 +sS'Number' +p211 +g2 +(g3 +g4 +(S'Literal' +p212 +g211 +ttRp213 +(dp214 +S'Bin' +p215 +g2 +(g3 +g4 +(g212 +g211 +g215 +ttRp216 +(dp217 +g9 +g10 +((ltRp218 +sg12 +g213 +sbsS'Binary' +p219 +g2 +(g3 +g4 +(g212 +g211 +g219 +ttRp220 +(dp221 +g9 +g10 +((ltRp222 +sg12 +g213 +sbsg12 +g2 +(g3 +g4 +(g212 +ttRp223 +(dp224 +S'String' +p225 +g2 +(g3 +g4 +(g212 +g225 +ttRp226 +(dp227 +S'Regex' +p228 +g2 +(g3 +g4 +(g212 +g225 +g228 +ttRp229 +(dp230 +g9 +g10 +((ltRp231 +sg12 +g226 +sbsS'Interpol' +p232 +g2 +(g3 +g4 +(g212 +g225 +g232 +ttRp233 +(dp234 +g9 +g10 +((ltRp235 +sg12 +g226 +sbsS'Regexp' +p236 +g2 +(g3 +g4 +(g212 +g225 +g236 +ttRp237 +(dp238 +g9 +g10 +((ltRp239 +sg12 +g226 +sbsg12 +g223 +sS'Heredoc' +p240 +g2 +(g3 +g4 +(g212 +g225 +g240 +ttRp241 +(dp242 +g9 +g10 +((ltRp243 +sg12 +g226 +sbsS'Double' +p244 +g2 +(g3 +g4 +(g212 +g225 +g244 +ttRp245 +(dp246 +g9 +g10 +((ltRp247 +sg12 +g226 +sbsg192 +g2 +(g3 +g4 +(g212 +g225 +g192 +ttRp248 +(dp249 +g9 +g10 +((ltRp250 +sg12 +g226 +sbsS'Escape' +p251 +g2 +(g3 +g4 +(g212 +g225 +g251 +ttRp252 +(dp253 +g9 +g10 +((ltRp254 +sg12 +g226 +sbsS'Character' +p255 +g2 +(g3 +g4 +(g212 +g225 +g255 +ttRp256 +(dp257 +g9 +g10 +((ltRp258 +sg12 +g226 +sbsS'Interp' +p259 +g2 +(g3 +g4 +(g212 +g225 +g259 +ttRp260 +(dp261 +g9 +g10 +((ltRp262 +sg12 +g226 +sbsS'Backtick' +p263 +g2 +(g3 +g4 +(g212 +g225 +g263 +ttRp264 +(dp265 +g9 +g10 +((ltRp266 +sg12 +g226 +sbsS'Char' +p267 +g2 +(g3 +g4 +(g212 +g225 +g267 +ttRp268 +(dp269 +g9 +g10 +((ltRp270 +sg12 +g226 +sbsS'Single' +p271 +g2 +(g3 +g4 +(g212 +g225 +g271 +ttRp272 +(dp273 +g9 +g10 +((ltRp274 +sg12 +g226 +sbsg64 +g2 +(g3 +g4 +(g212 +g225 +g64 +ttRp275 +(dp276 +g9 +g10 +((ltRp277 +sg12 +g226 +sbsS'Doc' +p278 +g2 +(g3 +g4 +(g212 +g225 +g278 +ttRp279 +(dp280 +g9 +g10 +((ltRp281 +sg12 +g226 +sbsg9 +g10 +((lp282 +g275 +ag2 +(g3 +g4 +(g212 +g225 +S'Atom' +p283 +ttRp284 +(dp285 +g9 +g10 +((ltRp286 +sg12 +g226 +sbag245 +ag268 +ag260 +ag279 +ag241 +ag264 +ag233 +ag248 +ag237 +ag229 +ag272 +ag256 +ag252 +atRp287 +sg283 +g284 +sbsg12 +g15 +sg211 +g213 +sS'Scalar' +p288 +g2 +(g3 +g4 +(g212 +g288 +ttRp289 +(dp290 +g9 +g10 +((lp291 +g2 +(g3 +g4 +(g212 +g288 +S'Plain' +p292 +ttRp293 +(dp294 +g9 +g10 +((ltRp295 +sg12 +g289 +sbatRp296 +sg12 +g223 +sg292 +g293 +sbsg64 +g2 +(g3 +g4 +(g212 +g64 +ttRp297 +(dp298 +g9 +g10 +((ltRp299 +sg12 +g223 +sbsS'Date' +p300 +g2 +(g3 +g4 +(g212 +g300 +ttRp301 +(dp302 +g9 +g10 +((ltRp303 +sg12 +g223 +sbsg9 +g10 +((lp304 +g301 +ag226 +ag297 +ag213 +ag289 +atRp305 +sbsS'Decimal' +p306 +g2 +(g3 +g4 +(g212 +g211 +g306 +ttRp307 +(dp308 +g9 +g10 +((ltRp309 +sg12 +g213 +sbsS'Float' +p310 +g2 +(g3 +g4 +(g212 +g211 +g310 +ttRp311 +(dp312 +g9 +g10 +((ltRp313 +sg12 +g213 +sbsS'Hex' +p314 +g2 +(g3 +g4 +(g212 +g211 +g314 +ttRp315 +(dp316 +g9 +g10 +((ltRp317 +sg12 +g213 +sbsS'Integer' +p318 +g2 +(g3 +g4 +(g212 +g211 +g318 +ttRp319 +(dp320 +g9 +g10 +((lp321 +g2 +(g3 +g4 +(g212 +g211 +g318 +S'Long' +p322 +ttRp323 +(dp324 +g9 +g10 +((ltRp325 +sg12 +g319 +sbatRp326 +sg322 +g323 +sg12 +g213 +sbsS'Octal' +p327 +g2 +(g3 +g4 +(g212 +g211 +g327 +ttRp328 +(dp329 +g9 +g10 +((ltRp330 +sg12 +g213 +sbsg9 +g10 +((lp331 +g216 +ag220 +ag328 +ag307 +ag2 +(g3 +g4 +(g212 +g211 +S'Oct' +p332 +ttRp333 +(dp334 +g9 +g10 +((ltRp335 +sg12 +g213 +sbag319 +ag311 +ag315 +atRp336 +sg332 +g333 +sbsg212 +g223 +sg64 +g2 +(g3 +g4 +(g64 +ttRp337 +(dp338 +g9 +g10 +((ltRp339 +sg12 +g15 +sbsg170 +g2 +(g3 +g4 +(g170 +ttRp340 +(dp341 +g9 +g10 +((ltRp342 +sg12 +g15 +sbsS'Operator' +p343 +g2 +(g3 +g4 +(g343 +ttRp344 +(dp345 +g9 +g10 +((lp346 +g2 +(g3 +g4 +(g343 +S'Word' +p347 +ttRp348 +(dp349 +g9 +g10 +((ltRp350 +sg12 +g344 +sbatRp351 +sg347 +g348 +sg12 +g15 +sbsg9 +g10 +((lp352 +g13 +ag340 +ag144 +ag189 +ag18 +ag202 +ag111 +ag223 +ag344 +ag337 +atRp353 +sg225 +g226 +sbsg6 +g7 +sg271 +g2 +(g3 +g4 +(g5 +g271 +ttRp354 +(dp355 +g9 +g10 +((ltRp356 +sg12 +g13 +sbsS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g9 +g10 +((ltRp360 +sg12 +g13 +sbsg9 +g10 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g9 +g10 +((ltRp365 +sg12 +g13 +sbag7 +ag354 +ag358 +atRp366 +sg362 +g363 +sbsbV +p367 +tp368 +a(g189 +V\u000a +tp369 +a(g7 +V +p370 +tp371 +a(g189 +V\u000a +tp372 +a(g29 +V +tp405 +a(g189 +V\u000a +p406 +tp407 +a(g29 +V +tp410 +a(g189 +V\u000a +p411 +tp412 +a(g29 +V +tp420 +a(g189 +V\u000a +p421 +tp422 +a(g29 +V +tp425 +a(g189 +V abort +p426 +tp427 +a(g29 +V +p428 +tp429 +a(g189 +V\u000a +p430 +tp431 +a(g29 +V +tp434 +a(g189 +V abs +p435 +tp436 +a(g29 +V +p437 +tp438 +a(g189 +V\u000a +p439 +tp440 +a(g29 +V +tp443 +a(g189 +V abstract +p444 +tp445 +a(g29 +V +p446 +tp447 +a(g189 +V\u000a +p448 +tp449 +a(g29 +V +tp452 +a(g189 +V accept +p453 +tp454 +a(g29 +V +p455 +tp456 +a(g189 +V\u000a +p457 +tp458 +a(g29 +V +tp461 +a(g189 +V access +p462 +tp463 +a(g29 +V +p464 +tp465 +a(g189 +V\u000a +p466 +tp467 +a(g29 +V +tp470 +a(g189 +V aliased +p471 +tp472 +a(g29 +V +p473 +tp474 +a(g189 +V\u000a +p475 +tp476 +a(g29 +V +tp479 +a(g189 +V all +p480 +tp481 +a(g29 +V +p482 +tp483 +a(g189 +V\u000a +p484 +tp485 +a(g29 +V +tp488 +a(g189 +V and +p489 +tp490 +a(g29 +V +p491 +tp492 +a(g189 +V\u000a +p493 +tp494 +a(g29 +V +tp497 +a(g189 +V array +p498 +tp499 +a(g29 +V +p500 +tp501 +a(g189 +V\u000a +p502 +tp503 +a(g29 +V +tp506 +a(g189 +V at +p507 +tp508 +a(g29 +V +p509 +tp510 +a(g189 +V\u000a +p511 +tp512 +a(g29 +V +tp515 +a(g189 +V begin +p516 +tp517 +a(g29 +V +p518 +tp519 +a(g189 +V\u000a +p520 +tp521 +a(g29 +V +tp524 +a(g189 +V body +p525 +tp526 +a(g29 +V +p527 +tp528 +a(g189 +V\u000a +p529 +tp530 +a(g29 +V +tp533 +a(g189 +V constant +p534 +tp535 +a(g29 +V +p536 +tp537 +a(g189 +V\u000a +p538 +tp539 +a(g29 +V +tp542 +a(g189 +V declare +p543 +tp544 +a(g29 +V +p545 +tp546 +a(g189 +V\u000a +p547 +tp548 +a(g29 +V +tp551 +a(g189 +V delay +p552 +tp553 +a(g29 +V +p554 +tp555 +a(g189 +V\u000a +p556 +tp557 +a(g29 +V +tp560 +a(g189 +V delta +p561 +tp562 +a(g29 +V +p563 +tp564 +a(g189 +V\u000a +p565 +tp566 +a(g29 +V +tp569 +a(g189 +V digits +p570 +tp571 +a(g29 +V +p572 +tp573 +a(g189 +V\u000a +p574 +tp575 +a(g29 +V +tp578 +a(g189 +V do +p579 +tp580 +a(g29 +V +p581 +tp582 +a(g189 +V\u000a +p583 +tp584 +a(g29 +V +tp587 +a(g189 +V else +p588 +tp589 +a(g29 +V +p590 +tp591 +a(g189 +V\u000a +p592 +tp593 +a(g29 +V +tp596 +a(g189 +V elsif +p597 +tp598 +a(g29 +V +p599 +tp600 +a(g189 +V\u000a +p601 +tp602 +a(g29 +V +tp605 +a(g189 +V end +p606 +tp607 +a(g29 +V +p608 +tp609 +a(g189 +V\u000a +p610 +tp611 +a(g29 +V +tp614 +a(g189 +V entry +p615 +tp616 +a(g29 +V +p617 +tp618 +a(g189 +V\u000a +p619 +tp620 +a(g29 +V +tp623 +a(g189 +V exception +p624 +tp625 +a(g29 +V +p626 +tp627 +a(g189 +V\u000a +p628 +tp629 +a(g29 +V +tp632 +a(g189 +V exit +p633 +tp634 +a(g29 +V +p635 +tp636 +a(g189 +V\u000a +p637 +tp638 +a(g29 +V +tp641 +a(g189 +V for +p642 +tp643 +a(g29 +V +p644 +tp645 +a(g189 +V\u000a +p646 +tp647 +a(g29 +V +tp650 +a(g189 +V function +p651 +tp652 +a(g29 +V +p653 +tp654 +a(g189 +V\u000a +p655 +tp656 +a(g29 +V +tp659 +a(g189 +V generic +p660 +tp661 +a(g29 +V +p662 +tp663 +a(g189 +V\u000a +p664 +tp665 +a(g29 +V +tp668 +a(g189 +V goto +p669 +tp670 +a(g29 +V +p671 +tp672 +a(g189 +V\u000a +p673 +tp674 +a(g29 +V +tp677 +a(g189 +V in +p678 +tp679 +a(g29 +V +p680 +tp681 +a(g189 +V\u000a +p682 +tp683 +a(g29 +V +tp686 +a(g189 +V is +p687 +tp688 +a(g29 +V +p689 +tp690 +a(g189 +V\u000a +p691 +tp692 +a(g29 +V +tp695 +a(g189 +V limited +p696 +tp697 +a(g29 +V +p698 +tp699 +a(g189 +V\u000a +p700 +tp701 +a(g29 +V +tp704 +a(g189 +V mod +p705 +tp706 +a(g29 +V +p707 +tp708 +a(g189 +V\u000a +p709 +tp710 +a(g29 +V +tp713 +a(g189 +V new +p714 +tp715 +a(g29 +V +p716 +tp717 +a(g189 +V\u000a +p718 +tp719 +a(g29 +V +tp722 +a(g189 +V not +p723 +tp724 +a(g29 +V +p725 +tp726 +a(g189 +V\u000a +p727 +tp728 +a(g29 +V +tp731 +a(g189 +V null +p732 +tp733 +a(g29 +V +p734 +tp735 +a(g189 +V\u000a +p736 +tp737 +a(g29 +V +tp740 +a(g189 +V of +p741 +tp742 +a(g29 +V +p743 +tp744 +a(g189 +V\u000a +p745 +tp746 +a(g29 +V +tp749 +a(g189 +V or +p750 +tp751 +a(g29 +V +p752 +tp753 +a(g189 +V\u000a +p754 +tp755 +a(g29 +V +tp758 +a(g189 +V others +p759 +tp760 +a(g29 +V +p761 +tp762 +a(g189 +V\u000a +p763 +tp764 +a(g29 +V +tp767 +a(g189 +V out +p768 +tp769 +a(g29 +V +p770 +tp771 +a(g189 +V\u000a +p772 +tp773 +a(g29 +V +tp776 +a(g189 +V package +p777 +tp778 +a(g29 +V +p779 +tp780 +a(g189 +V\u000a +p781 +tp782 +a(g29 +V +tp785 +a(g189 +V pragma +p786 +tp787 +a(g29 +V +p788 +tp789 +a(g189 +V\u000a +p790 +tp791 +a(g29 +V +tp794 +a(g189 +V private +p795 +tp796 +a(g29 +V +p797 +tp798 +a(g189 +V\u000a +p799 +tp800 +a(g29 +V +tp803 +a(g189 +V procedure +p804 +tp805 +a(g29 +V +p806 +tp807 +a(g189 +V\u000a +p808 +tp809 +a(g29 +V +tp812 +a(g189 +V protected +p813 +tp814 +a(g29 +V +p815 +tp816 +a(g189 +V\u000a +p817 +tp818 +a(g29 +V +tp821 +a(g189 +V raise +p822 +tp823 +a(g29 +V +p824 +tp825 +a(g189 +V\u000a +p826 +tp827 +a(g29 +V +tp830 +a(g189 +V range +p831 +tp832 +a(g29 +V +p833 +tp834 +a(g189 +V\u000a +p835 +tp836 +a(g29 +V +tp839 +a(g189 +V rem +p840 +tp841 +a(g29 +V +p842 +tp843 +a(g189 +V\u000a +p844 +tp845 +a(g29 +V +tp848 +a(g189 +V record +p849 +tp850 +a(g29 +V +p851 +tp852 +a(g189 +V\u000a +p853 +tp854 +a(g29 +V +tp857 +a(g189 +V renames +p858 +tp859 +a(g29 +V +p860 +tp861 +a(g189 +V\u000a +p862 +tp863 +a(g29 +V +tp866 +a(g189 +V requeue +p867 +tp868 +a(g29 +V +p869 +tp870 +a(g189 +V\u000a +p871 +tp872 +a(g29 +V +tp875 +a(g189 +V return +p876 +tp877 +a(g29 +V +p878 +tp879 +a(g189 +V\u000a +p880 +tp881 +a(g29 +V +tp884 +a(g189 +V reverse +p885 +tp886 +a(g29 +V +p887 +tp888 +a(g189 +V\u000a +p889 +tp890 +a(g29 +V +tp893 +a(g189 +V separate +p894 +tp895 +a(g29 +V +p896 +tp897 +a(g189 +V\u000a +p898 +tp899 +a(g29 +V +tp902 +a(g189 +V subtype +p903 +tp904 +a(g29 +V +p905 +tp906 +a(g189 +V\u000a +p907 +tp908 +a(g29 +V +tp911 +a(g189 +V tagged +p912 +tp913 +a(g29 +V +p914 +tp915 +a(g189 +V\u000a +p916 +tp917 +a(g29 +V +tp920 +a(g189 +V task +p921 +tp922 +a(g29 +V +p923 +tp924 +a(g189 +V\u000a +p925 +tp926 +a(g29 +V +tp929 +a(g189 +V terminate +p930 +tp931 +a(g29 +V +p932 +tp933 +a(g189 +V\u000a +p934 +tp935 +a(g29 +V +tp938 +a(g189 +V then +p939 +tp940 +a(g29 +V +p941 +tp942 +a(g189 +V\u000a +p943 +tp944 +a(g29 +V +tp947 +a(g189 +V type +p948 +tp949 +a(g29 +V +p950 +tp951 +a(g189 +V\u000a +p952 +tp953 +a(g29 +V +tp956 +a(g189 +V until +p957 +tp958 +a(g29 +V +p959 +tp960 +a(g189 +V\u000a +p961 +tp962 +a(g29 +V +tp965 +a(g189 +V use +p966 +tp967 +a(g29 +V +p968 +tp969 +a(g189 +V\u000a +p970 +tp971 +a(g29 +V +tp974 +a(g189 +V when +p975 +tp976 +a(g29 +V +p977 +tp978 +a(g189 +V\u000a +p979 +tp980 +a(g29 +V +tp983 +a(g189 +V while +p984 +tp985 +a(g29 +V +p986 +tp987 +a(g189 +V\u000a +p988 +tp989 +a(g29 +V +tp992 +a(g189 +V with +p993 +tp994 +a(g29 +V +p995 +tp996 +a(g189 +V\u000a +p997 +tp998 +a(g29 +V +tp1001 +a(g189 +V xor +p1002 +tp1003 +a(g29 +V +p1004 +tp1005 +a(g189 +V\u000a +p1006 +tp1007 +a(g29 +V +p1008 +tp1009 +a(g189 +V\u000a +p1010 +tp1011 +a(g29 +V +tp1014 +a(g189 +V\u000a +p1015 +tp1016 +a(g29 +V +tp1034 +a(g189 +V\u000a +p1035 +tp1036 +a(g29 +V +p1064 +tp1065 +a(g189 +V\u000a +p1066 +tp1067 +a(g29 +V +p1095 +tp1096 +a(g189 +V\u000a +p1097 +tp1098 +a(g29 +V +p1126 +tp1127 +a(g189 +V\u000a +p1128 +tp1129 +a(g29 +V +p1157 +tp1158 +a(g189 +V\u000a +p1159 +tp1160 +a(g29 +V +p1188 +tp1189 +a(g189 +V\u000a +p1190 +tp1191 +a(g29 +V +p1219 +tp1220 +a(g189 +V\u000a +p1221 +tp1222 +a(g29 +V +p1250 +tp1251 +a(g189 +V\u000a +p1252 +tp1253 +a(g29 +V +p1281 +tp1282 +a(g189 +V\u000a +p1283 +tp1284 +a(g29 +V +p1312 +tp1313 +a(g189 +V\u000a +p1314 +tp1315 +a(g29 +V +p1343 +tp1344 +a(g189 +V\u000a +p1345 +tp1346 +a(g29 +V +p1364 +tp1365 +a(g189 +V\u000a +p1366 +tp1367 +a(g29 +V +p1380 +tp1381 +a(g189 +V\u000a +p1382 +tp1383 +a(g29 +V +p1396 +tp1397 +a(g189 +V\u000a +p1398 +tp1399 +a(g29 +V +p1417 +tp1418 +a(g189 +V\u000a +p1419 +tp1420 +a(g29 +V +p1438 +tp1439 +a(g189 +V\u000a +p1440 +tp1441 +a(g29 +V +p1464 +tp1465 +a(g189 +V\u000a +p1466 +tp1467 +a(g29 +V +p1468 +tp1469 +a(g189 +V\u000a +p1470 +tp1471 +a(g29 +V +tp1489 +a(g189 +V\u000a +p1490 +tp1491 +a(g29 +V +p1509 +tp1510 +a(g189 +V\u000a +p1511 +tp1512 +a(g29 +V +p1513 +tp1514 +a(g189 +V\u000a +p1515 +tp1516 +a(g29 +V +p1534 +tp1535 +a(g189 +V\u000a +p1536 +tp1537 +a(g29 +V +p1538 +tp1539 +a(g189 +V\u000a +p1540 +tp1541 +a(g29 +V +tp1544 +a(g189 +V\u000a +p1545 +tp1546 +a(g29 +V +p1560 +tp1561 +a(g189 +V\u000a +p1562 +tp1563 +a(g29 +V +p1578 +tp1579 +a(g189 +V\u000a +p1580 +tp1581 +a(g29 +V +p1596 +tp1597 +a(g189 +V\u000a +p1598 +tp1599 +a(g29 +V +p1614 +tp1615 +a(g189 +V\u000a +p1616 +tp1617 +a(g29 +V +p1632 +tp1633 +a(g189 +V\u000a +p1634 +tp1635 +a(g29 +V +p1650 +tp1651 +a(g189 +V\u000a +p1652 +tp1653 +a(g29 +V +p1668 +tp1669 +a(g189 +V\u000a +p1670 +tp1671 +a(g29 +V +p1686 +tp1687 +a(g189 +V\u000a +p1688 +tp1689 +a(g29 +V +p1690 +tp1691 +a(g189 +V\u000a +p1692 +tp1693 +a(g29 +V +p1694 +tp1695 +a(g189 +V\u000a +p1696 +tp1697 +a(g29 +V +tp1700 +a(g189 +V\u000a +p1701 +tp1702 +a(g29 +V +tp1705 +a(g189 +V\u000a +p1706 +tp1707 +a(g29 +V +p1721 +tp1722 +a(g189 +V\u000a +p1723 +tp1724 +a(g29 +V +p1725 +tp1726 +a(g189 +V\u000a +p1727 +tp1728 +a(g29 +V +p1737 +tp1738 +a(g189 +V\u000a +p1739 +tp1740 +a(g29 +V +p1741 +tp1742 +a(g189 +V\u000a +tp1743 +a(g29 +V +p1744 +tp1745 +a(g189 +V\u000a +tp1746 +a(g7 +V +p1747 +tp1748 +a(g189 +V\u000a +tp1749 +a(g7 +V +p1750 +tp1751 +a(g189 +V\u000a +tp1752 +a(g29 +V +tp1785 +a(g189 +V\u000a +p1786 +tp1787 +a(g29 +V +tp1790 +a(g189 +V\u000a +p1791 +tp1792 +a(g29 +V +tp1801 +a(g189 +V\u000a\u000a\u000a +p1802 +tp1803 +a(g29 +V +p1804 +tp1805 +a(g189 +V\u000a\u000a\u000a\u000a\u000a\u000a +p1806 +tp1807 +a(g29 +V +tp1810 +a(g189 +V\u000a +p1811 +tp1812 +a(g29 +V +tp1833 +a(g189 +V\u000a\u000a\u000a +p1834 +tp1835 +a(g29 +V +p1855 +tp1856 +a(g189 +V\u000a +p1857 +tp1858 +a(g29 +V +p1878 +tp1879 +a(g189 +V\u000a +p1880 +tp1881 +a(g29 +V +p1901 +tp1902 +a(g189 +V\u000a +p1903 +tp1904 +a(g29 +V +p1924 +tp1925 +a(g189 +V\u000a +p1926 +tp1927 +a(g29 +V +p1947 +tp1948 +a(g189 +V\u000a +p1949 +tp1950 +a(g29 +V +p1970 +tp1971 +a(g189 +V\u000a +p1972 +tp1973 +a(g29 +V +p1993 +tp1994 +a(g189 +V\u000a +p1995 +tp1996 +a(g29 +V +p2016 +tp2017 +a(g189 +V\u000a +p2018 +tp2019 +a(g29 +V +p2039 +tp2040 +a(g189 +V\u000a +p2041 +tp2042 +a(g29 +V +p2062 +tp2063 +a(g189 +V\u000a +p2064 +tp2065 +a(g29 +V +p2085 +tp2086 +a(g189 +V\u000a +p2087 +tp2088 +a(g29 +V +p2108 +tp2109 +a(g189 +V\u000a +p2110 +tp2111 +a(g29 +V +p2131 +tp2132 +a(g189 +V\u000a +p2133 +tp2134 +a(g29 +V +p2154 +tp2155 +a(g189 +V\u000a +p2156 +tp2157 +a(g29 +V +p2177 +tp2178 +a(g189 +V\u000a +p2179 +tp2180 +a(g29 +V +p2206 +tp2207 +a(g189 +V\u000a +p2208 +tp2209 +a(g29 +V +p2235 +tp2236 +a(g189 +V\u000a +p2237 +tp2238 +a(g29 +V +p2264 +tp2265 +a(g189 +V\u000a +p2266 +tp2267 +a(g29 +V +p2290 +tp2291 +a(g189 +V\u000a +p2292 +tp2293 +a(g29 +V +p2316 +tp2317 +a(g189 +V\u000a +p2318 +tp2319 +a(g29 +V +p2342 +tp2343 +a(g189 +V\u000a +p2344 +tp2345 +a(g29 +V +p2368 +tp2369 +a(g189 +V\u000a +p2370 +tp2371 +a(g29 +V +p2394 +tp2395 +a(g189 +V\u000a +p2396 +tp2397 +a(g29 +V +p2420 +tp2421 +a(g189 +V\u000a +p2422 +tp2423 +a(g29 +V +p2446 +tp2447 +a(g189 +V\u000a +p2448 +tp2449 +a(g29 +V +p2472 +tp2473 +a(g189 +V\u000a +p2474 +tp2475 +a(g29 +V +p2498 +tp2499 +a(g189 +V\u000a +p2500 +tp2501 +a(g29 +V +p2524 +tp2525 +a(g189 +V\u000a +p2526 +tp2527 +a(g29 +V +p2550 +tp2551 +a(g189 +V\u000a +p2552 +tp2553 +a(g29 +V +p2576 +tp2577 +a(g189 +V\u000a +p2578 +tp2579 +a(g29 +V +p2602 +tp2603 +a(g189 +V\u000a +p2604 +tp2605 +a(g29 +V +p2628 +tp2629 +a(g189 +V\u000a +p2630 +tp2631 +a(g29 +V +p2654 +tp2655 +a(g189 +V\u000a +p2656 +tp2657 +a(g29 +V +p2680 +tp2681 +a(g189 +V\u000a +p2682 +tp2683 +a(g29 +V +p2706 +tp2707 +a(g189 +V\u000a +p2708 +tp2709 +a(g29 +V +p2732 +tp2733 +a(g189 +V\u000a +p2734 +tp2735 +a(g29 +V +p2758 +tp2759 +a(g189 +V\u000a +p2760 +tp2761 +a(g29 +V +p2784 +tp2785 +a(g189 +V\u000a +p2786 +tp2787 +a(g29 +V +p2810 +tp2811 +a(g189 +V\u000a +p2812 +tp2813 +a(g29 +V +p2836 +tp2837 +a(g189 +V\u000a +p2838 +tp2839 +a(g29 +V +p2862 +tp2863 +a(g189 +V\u000a +p2864 +tp2865 +a(g29 +V +p2888 +tp2889 +a(g189 +V\u000a +p2890 +tp2891 +a(g29 +V +p2914 +tp2915 +a(g189 +V\u000a +p2916 +tp2917 +a(g29 +V +p2940 +tp2941 +a(g189 +V\u000a +p2942 +tp2943 +a(g29 +V +p2966 +tp2967 +a(g189 +V\u000a +p2968 +tp2969 +a(g29 +V +p2992 +tp2993 +a(g189 +V\u000a +p2994 +tp2995 +a(g29 +V +p3018 +tp3019 +a(g189 +V\u000a +p3020 +tp3021 +a(g29 +V +p3044 +tp3045 +a(g189 +V\u000a +p3046 +tp3047 +a(g29 +V +p3070 +tp3071 +a(g189 +V\u000a +p3072 +tp3073 +a(g29 +V +p3096 +tp3097 +a(g189 +V\u000a +p3098 +tp3099 +a(g29 +V +p3122 +tp3123 +a(g189 +V\u000a +p3124 +tp3125 +a(g29 +V +p3148 +tp3149 +a(g189 +V\u000a +p3150 +tp3151 +a(g29 +V +p3174 +tp3175 +a(g189 +V\u000a +p3176 +tp3177 +a(g29 +V +p3200 +tp3201 +a(g189 +V\u000a +p3202 +tp3203 +a(g29 +V +p3226 +tp3227 +a(g189 +V\u000a +p3228 +tp3229 +a(g29 +V +p3252 +tp3253 +a(g189 +V\u000a +p3254 +tp3255 +a(g29 +V +p3278 +tp3279 +a(g189 +V\u000a +p3280 +tp3281 +a(g29 +V +p3304 +tp3305 +a(g189 +V\u000a +p3306 +tp3307 +a(g29 +V +p3330 +tp3331 +a(g189 +V\u000a +p3332 +tp3333 +a(g29 +V +p3356 +tp3357 +a(g189 +V\u000a +p3358 +tp3359 +a(g29 +V +p3382 +tp3383 +a(g189 +V\u000a +p3384 +tp3385 +a(g29 +V +p3408 +tp3409 +a(g189 +V\u000a +p3410 +tp3411 +a(g29 +V +p3434 +tp3435 +a(g189 +V\u000a +p3436 +tp3437 +a(g29 +V +p3460 +tp3461 +a(g189 +V\u000a +p3462 +tp3463 +a(g29 +V +p3486 +tp3487 +a(g189 +V\u000a +p3488 +tp3489 +a(g29 +V +p3512 +tp3513 +a(g189 +V\u000a +p3514 +tp3515 +a(g29 +V +p3538 +tp3539 +a(g189 +V\u000a +p3540 +tp3541 +a(g29 +V +p3564 +tp3565 +a(g189 +V\u000a +p3566 +tp3567 +a(g29 +V +p3590 +tp3591 +a(g189 +V\u000a +p3592 +tp3593 +a(g29 +V +p3616 +tp3617 +a(g189 +V\u000a +p3618 +tp3619 +a(g29 +V +p3642 +tp3643 +a(g189 +V\u000a +p3644 +tp3645 +a(g29 +V +p3668 +tp3669 +a(g189 +V\u000a +p3670 +tp3671 +a(g29 +V +p3694 +tp3695 +a(g189 +V\u000a +p3696 +tp3697 +a(g29 +V +p3720 +tp3721 +a(g189 +V\u000a\u000a +p3722 +tp3723 +a(g29 +V +p3745 +tp3746 +a(g189 +V\u000a +p3747 +tp3748 +a(g29 +V +p3768 +tp3769 +a(g189 +V\u000a +p3770 +tp3771 +a(g29 +V +p3798 +tp3799 +a(g189 +V\u000a +p3800 +tp3801 +a(g29 +V +p3822 +tp3823 +a(g189 +V\u000a +p3824 +tp3825 +a(g29 +V +p3853 +tp3854 +a(g189 +V\u000a\u000a\u000a +p3855 +tp3856 +a(g29 +V +p3857 +tp3858 +a(g189 +V\u000a +p3859 +tp3860 +a(g29 +V +tp3878 +a(g189 +V\u000a +p3879 +tp3880 +a(g29 +V +p3908 +tp3909 +a(g189 +V\u000a +p3910 +tp3911 +a(g29 +V +p3912 +tp3913 +a(g189 +V\u000a +p3914 +tp3915 +a(g29 +V +p3916 +tp3917 +a(g189 +V\u000a\u000a +p3918 +tp3919 +a(g29 +V +tp3922 +a(g189 +V\u000a +p3923 +tp3924 +a(g29 +V +p3939 +tp3940 +a(g189 +V\u000a +p3941 +tp3942 +a(g29 +V +p3957 +tp3958 +a(g189 +V\u000a +p3959 +tp3960 +a(g29 +V +p3973 +tp3974 +a(g189 +V\u000a +p3975 +tp3976 +a(g29 +V +p3990 +tp3991 +a(g189 +V\u000a +p3992 +tp3993 +a(g29 +V +p4007 +tp4008 +a(g189 +V\u000a +p4009 +tp4010 +a(g29 +V +p4025 +tp4026 +a(g189 +V\u000a +p4027 +tp4028 +a(g29 +V +p4043 +tp4044 +a(g189 +V\u000a +p4045 +tp4046 +a(g29 +V +p4061 +tp4062 +a(g189 +V\u000a +p4063 +tp4064 +a(g29 +V +p4078 +tp4079 +a(g189 +V\u000a +p4080 +tp4081 +a(g29 +V +p4096 +tp4097 +a(g189 +V\u000a +p4098 +tp4099 +a(g29 +V +p4114 +tp4115 +a(g189 +V\u000a +p4116 +tp4117 +a(g29 +V +p4132 +tp4133 +a(g189 +V\u000a +p4134 +tp4135 +a(g29 +V +p4136 +tp4137 +a(g189 +V\u000a +p4138 +tp4139 +a(g29 +V +p4140 +tp4141 +a(g189 +V\u000a +p4142 +tp4143 +a(g29 +V +tp4146 +a(g189 +V\u000a +p4147 +tp4148 +a(g29 +V +tp4151 +a(g189 +V\u000a +p4152 +tp4153 +a(g29 +V +p4166 +tp4167 +a(g189 +V\u000a +p4168 +tp4169 +a(g29 +V +p4187 +tp4188 +a(g189 +V\u000a +p4189 +tp4190 +a(g29 +V +p4191 +tp4192 +a(g189 +V\u000a +p4193 +tp4194 +a(g29 +V +p4202 +tp4203 +a(g189 +V\u000a +p4204 +tp4205 +a(g29 +V +p4206 +tp4207 +a(g189 +V\u000a +tp4208 +a(g29 +V +p4209 +tp4210 +a(g189 +V\u000a\u000a +p4211 +tp4212 +a(g7 +V +p4213 +tp4214 +a(g189 +V\u000a +tp4215 +a(g7 +V +p4216 +tp4217 +a(g189 +V\u000a +tp4218 +a(g29 +V +tp4251 +a(g189 +V\u000a +p4252 +tp4253 +a(g29 +V +tp4256 +a(g189 +V\u000a +p4257 +tp4258 +a(g29 +V +tp4266 +a(g189 +V\u000a +p4267 +tp4268 +a(g29 +V +tp4271 +a(g189 +VBEGIN +p4272 +tp4273 +a(g29 +V +p4274 +tp4275 +a(g189 +V\u000a +p4276 +tp4277 +a(g29 +V +tp4280 +a(g189 +VEND +p4281 +tp4282 +a(g29 +V +p4283 +tp4284 +a(g189 +V\u000a +p4285 +tp4286 +a(g29 +V +tp4289 +a(g189 +Vif +p4290 +tp4291 +a(g29 +V +p4292 +tp4293 +a(g189 +V\u000a +p4294 +tp4295 +a(g29 +V +tp4298 +a(g189 +Velse +p4299 +tp4300 +a(g29 +V +p4301 +tp4302 +a(g189 +V\u000a +p4303 +tp4304 +a(g29 +V +tp4307 +a(g189 +Vwhile +p4308 +tp4309 +a(g29 +V +p4310 +tp4311 +a(g189 +V\u000a +p4312 +tp4313 +a(g29 +V +tp4316 +a(g189 +Vdo +p4317 +tp4318 +a(g29 +V +p4319 +tp4320 +a(g189 +V\u000a +p4321 +tp4322 +a(g29 +V +tp4325 +a(g189 +Vfor +p4326 +tp4327 +a(g29 +V +p4328 +tp4329 +a(g189 +V\u000a +p4330 +tp4331 +a(g29 +V +tp4334 +a(g189 +Vin +p4335 +tp4336 +a(g29 +V +p4337 +tp4338 +a(g189 +V\u000a +p4339 +tp4340 +a(g29 +V +tp4343 +a(g189 +Vcontinue +p4344 +tp4345 +a(g29 +V +p4346 +tp4347 +a(g189 +V\u000a +p4348 +tp4349 +a(g29 +V +tp4352 +a(g189 +Vbreak +p4353 +tp4354 +a(g29 +V +p4355 +tp4356 +a(g189 +V\u000a +p4357 +tp4358 +a(g29 +V +tp4361 +a(g189 +Vprint +p4362 +tp4363 +a(g29 +V +p4364 +tp4365 +a(g189 +V\u000a +p4366 +tp4367 +a(g29 +V +tp4370 +a(g189 +Vprintf +p4371 +tp4372 +a(g29 +V +p4373 +tp4374 +a(g189 +V\u000a +p4375 +tp4376 +a(g29 +V +tp4379 +a(g189 +Vgetline +p4380 +tp4381 +a(g29 +V +p4382 +tp4383 +a(g189 +V\u000a +p4384 +tp4385 +a(g29 +V +tp4388 +a(g189 +Vfunction +p4389 +tp4390 +a(g29 +V +p4391 +tp4392 +a(g189 +V\u000a +p4393 +tp4394 +a(g29 +V +tp4397 +a(g189 +Vreturn +p4398 +tp4399 +a(g29 +V +p4400 +tp4401 +a(g189 +V\u000a +p4402 +tp4403 +a(g29 +V +tp4406 +a(g189 +Vnext +p4407 +tp4408 +a(g29 +V +p4409 +tp4410 +a(g189 +V\u000a +p4411 +tp4412 +a(g29 +V +tp4415 +a(g189 +Vexit +p4416 +tp4417 +a(g29 +V +p4418 +tp4419 +a(g189 +V\u000a +p4420 +tp4421 +a(g29 +V +p4422 +tp4423 +a(g189 +V\u000a +p4424 +tp4425 +a(g29 +V +tp4433 +a(g189 +V\u000a +p4434 +tp4435 +a(g29 +V +tp4438 +a(g189 +VARGC +p4439 +tp4440 +a(g29 +V +p4441 +tp4442 +a(g189 +V\u000a +p4443 +tp4444 +a(g29 +V +tp4447 +a(g189 +VARGV +p4448 +tp4449 +a(g29 +V +p4450 +tp4451 +a(g189 +V\u000a +p4452 +tp4453 +a(g29 +V +tp4456 +a(g189 +VCONVFMT +p4457 +tp4458 +a(g29 +V +p4459 +tp4460 +a(g189 +V\u000a +p4461 +tp4462 +a(g29 +V +tp4465 +a(g189 +VENVIRON +p4466 +tp4467 +a(g29 +V +p4468 +tp4469 +a(g189 +V\u000a +p4470 +tp4471 +a(g29 +V +tp4474 +a(g189 +VFILENAME +p4475 +tp4476 +a(g29 +V +p4477 +tp4478 +a(g189 +V\u000a +p4479 +tp4480 +a(g29 +V +tp4483 +a(g189 +VFNR +p4484 +tp4485 +a(g29 +V +p4486 +tp4487 +a(g189 +V\u000a +p4488 +tp4489 +a(g29 +V +tp4492 +a(g189 +VFS +p4493 +tp4494 +a(g29 +V +p4495 +tp4496 +a(g189 +V\u000a +p4497 +tp4498 +a(g29 +V +tp4501 +a(g189 +VNF +p4502 +tp4503 +a(g29 +V +p4504 +tp4505 +a(g189 +V\u000a +p4506 +tp4507 +a(g29 +V +tp4510 +a(g189 +VNR +p4511 +tp4512 +a(g29 +V +p4513 +tp4514 +a(g189 +V\u000a +p4515 +tp4516 +a(g29 +V +tp4519 +a(g189 +VOFMT +p4520 +tp4521 +a(g29 +V +p4522 +tp4523 +a(g189 +V\u000a +p4524 +tp4525 +a(g29 +V +tp4528 +a(g189 +VOFS +p4529 +tp4530 +a(g29 +V +p4531 +tp4532 +a(g189 +V\u000a +p4533 +tp4534 +a(g29 +V +tp4537 +a(g189 +VORS +p4538 +tp4539 +a(g29 +V +p4540 +tp4541 +a(g189 +V\u000a +p4542 +tp4543 +a(g29 +V +tp4546 +a(g189 +VRS +p4547 +tp4548 +a(g29 +V +p4549 +tp4550 +a(g189 +V\u000a +p4551 +tp4552 +a(g29 +V +tp4555 +a(g189 +VRSTART +p4556 +tp4557 +a(g29 +V +p4558 +tp4559 +a(g189 +V\u000a +p4560 +tp4561 +a(g29 +V +tp4564 +a(g189 +VRLENGTH +p4565 +tp4566 +a(g29 +V +p4567 +tp4568 +a(g189 +V\u000a +p4569 +tp4570 +a(g29 +V +tp4573 +a(g189 +VSUBSEP +p4574 +tp4575 +a(g29 +V +p4576 +tp4577 +a(g189 +V\u000a +p4578 +tp4579 +a(g29 +V +p4580 +tp4581 +a(g189 +V\u000a +p4582 +tp4583 +a(g29 +V +tp4591 +a(g189 +V\u000a +p4592 +tp4593 +a(g29 +V +tp4596 +a(g189 +Vgsub +p4597 +tp4598 +a(g29 +V +p4599 +tp4600 +a(g189 +V\u000a +p4601 +tp4602 +a(g29 +V +tp4605 +a(g189 +Vindex +p4606 +tp4607 +a(g29 +V +p4608 +tp4609 +a(g189 +V\u000a +p4610 +tp4611 +a(g29 +V +tp4614 +a(g189 +Vlength +p4615 +tp4616 +a(g29 +V +p4617 +tp4618 +a(g189 +V\u000a +p4619 +tp4620 +a(g29 +V +tp4623 +a(g189 +Vmatch +p4624 +tp4625 +a(g29 +V +p4626 +tp4627 +a(g189 +V\u000a +p4628 +tp4629 +a(g29 +V +tp4632 +a(g189 +Vsplit +p4633 +tp4634 +a(g29 +V +p4635 +tp4636 +a(g189 +V\u000a +p4637 +tp4638 +a(g29 +V +tp4641 +a(g189 +Vsprintf +p4642 +tp4643 +a(g29 +V +p4644 +tp4645 +a(g189 +V\u000a +p4646 +tp4647 +a(g29 +V +tp4650 +a(g189 +Vsub +p4651 +tp4652 +a(g29 +V +p4653 +tp4654 +a(g189 +V\u000a +p4655 +tp4656 +a(g29 +V +tp4659 +a(g189 +Vsubstr +p4660 +tp4661 +a(g29 +V +p4662 +tp4663 +a(g189 +V\u000a +p4664 +tp4665 +a(g29 +V +tp4668 +a(g189 +Vtolower +p4669 +tp4670 +a(g29 +V +p4671 +tp4672 +a(g189 +V\u000a +p4673 +tp4674 +a(g29 +V +tp4677 +a(g189 +Vtoupper +p4678 +tp4679 +a(g29 +V +p4680 +tp4681 +a(g189 +V\u000a +p4682 +tp4683 +a(g29 +V +tp4686 +a(g189 +Vatan2 +p4687 +tp4688 +a(g29 +V +p4689 +tp4690 +a(g189 +V\u000a +p4691 +tp4692 +a(g29 +V +tp4695 +a(g189 +Vcos +p4696 +tp4697 +a(g29 +V +p4698 +tp4699 +a(g189 +V\u000a +p4700 +tp4701 +a(g29 +V +tp4704 +a(g189 +Vexp +p4705 +tp4706 +a(g29 +V +p4707 +tp4708 +a(g189 +V\u000a +p4709 +tp4710 +a(g29 +V +tp4713 +a(g189 +Vint +p4714 +tp4715 +a(g29 +V +p4716 +tp4717 +a(g189 +V\u000a +p4718 +tp4719 +a(g29 +V +tp4722 +a(g189 +Vlog +p4723 +tp4724 +a(g29 +V +p4725 +tp4726 +a(g189 +V\u000a +p4727 +tp4728 +a(g29 +V +tp4731 +a(g189 +Vrand +p4732 +tp4733 +a(g29 +V +p4734 +tp4735 +a(g189 +V\u000a +p4736 +tp4737 +a(g29 +V +tp4740 +a(g189 +Vsin +p4741 +tp4742 +a(g29 +V +p4743 +tp4744 +a(g189 +V\u000a +p4745 +tp4746 +a(g29 +V +tp4749 +a(g189 +Vsqrt +p4750 +tp4751 +a(g29 +V +p4752 +tp4753 +a(g189 +V\u000a +p4754 +tp4755 +a(g29 +V +tp4758 +a(g189 +Vsrand +p4759 +tp4760 +a(g29 +V +p4761 +tp4762 +a(g189 +V\u000a +p4763 +tp4764 +a(g29 +V +tp4767 +a(g189 +Vclose +p4768 +tp4769 +a(g29 +V +p4770 +tp4771 +a(g189 +V\u000a +p4772 +tp4773 +a(g29 +V +tp4776 +a(g189 +Vfflush +p4777 +tp4778 +a(g29 +V +p4779 +tp4780 +a(g189 +V\u000a +p4781 +tp4782 +a(g29 +V +tp4785 +a(g189 +Vsystem +p4786 +tp4787 +a(g29 +V +p4788 +tp4789 +a(g189 +V\u000a +p4790 +tp4791 +a(g29 +V +p4792 +tp4793 +a(g189 +V\u000a +p4794 +tp4795 +a(g29 +V +tp4798 +a(g189 +V\u000a +p4799 +tp4800 +a(g29 +V +tp4818 +a(g189 +V\u000a +p4819 +tp4820 +a(g29 +V +p4838 +tp4839 +a(g189 +V\u000a +p4840 +tp4841 +a(g29 +V +p4859 +tp4860 +a(g189 +V\u000a +p4861 +tp4862 +a(g29 +V +p4880 +tp4881 +a(g189 +V\u000a +p4882 +tp4883 +a(g29 +V +p4897 +tp4898 +a(g189 +V\u000a +p4899 +tp4900 +a(g29 +V +p4914 +tp4915 +a(g189 +V\u000a +p4916 +tp4917 +a(g29 +V +p4935 +tp4936 +a(g189 +V\u000a +p4937 +tp4938 +a(g29 +V +p4957 +tp4958 +a(g189 +V\u000a +p4959 +tp4960 +a(g29 +V +p4979 +tp4980 +a(g189 +V\u000a +p4981 +tp4982 +a(g29 +V +p5000 +tp5001 +a(g189 +V\u000a +p5002 +tp5003 +a(g29 +V +p5004 +tp5005 +a(g189 +V\u000a +p5006 +tp5007 +a(g29 +V +tp5025 +a(g189 +V\u000a +p5026 +tp5027 +a(g29 +V +p5045 +tp5046 +a(g189 +V\u000a +p5047 +tp5048 +a(g29 +V +p5061 +tp5062 +a(g189 +V\u000a +p5063 +tp5064 +a(g29 +V +p5065 +tp5066 +a(g189 +V\u000a +p5067 +tp5068 +a(g29 +V +tp5086 +a(g189 +V\u000a +p5087 +tp5088 +a(g29 +V +p5106 +tp5107 +a(g189 +V\u000a +p5108 +tp5109 +a(g29 +V +p5127 +tp5128 +a(g189 +V\u000a +p5129 +tp5130 +a(g29 +V +p5131 +tp5132 +a(g189 +V\u000a +p5133 +tp5134 +a(g29 +V +tp5152 +a(g189 +V\u000a +p5153 +tp5154 +a(g29 +V +p5172 +tp5173 +a(g189 +V\u000a +p5174 +tp5175 +a(g29 +V +p5176 +tp5177 +a(g189 +V\u000a +p5178 +tp5179 +a(g29 +V +p5180 +tp5181 +a(g189 +V\u000a +p5182 +tp5183 +a(g29 +V +tp5186 +a(g189 +V\u000a +p5187 +tp5188 +a(g29 +V +p5202 +tp5203 +a(g189 +V\u000a +p5204 +tp5205 +a(g29 +V +p5219 +tp5220 +a(g189 +V\u000a +p5221 +tp5222 +a(g29 +V +p5236 +tp5237 +a(g189 +V\u000a +p5238 +tp5239 +a(g29 +V +p5252 +tp5253 +a(g189 +V\u000a +p5254 +tp5255 +a(g29 +V +p5269 +tp5270 +a(g189 +V\u000a +p5271 +tp5272 +a(g29 +V +p5286 +tp5287 +a(g189 +V\u000a +p5288 +tp5289 +a(g29 +V +p5303 +tp5304 +a(g189 +V\u000a +p5305 +tp5306 +a(g29 +V +p5320 +tp5321 +a(g189 +V\u000a +p5322 +tp5323 +a(g29 +V +p5337 +tp5338 +a(g189 +V\u000a +p5339 +tp5340 +a(g29 +V +p5354 +tp5355 +a(g189 +V\u000a +p5356 +tp5357 +a(g29 +V +p5358 +tp5359 +a(g189 +V\u000a +p5360 +tp5361 +a(g29 +V +p5362 +tp5363 +a(g189 +V\u000a +p5364 +tp5365 +a(g29 +V +tp5368 +a(g189 +V\u000a +p5369 +tp5370 +a(g29 +V +tp5373 +a(g189 +V\u000a +p5374 +tp5375 +a(g29 +V +p5388 +tp5389 +a(g189 +V\u000a +p5390 +tp5391 +a(g29 +V +p5392 +tp5393 +a(g189 +V\u000a +p5394 +tp5395 +a(g29 +V +p5404 +tp5405 +a(g189 +V\u000a +p5406 +tp5407 +a(g29 +V +p5408 +tp5409 +a(g189 +V\u000a +tp5410 +a(g29 +V +p5411 +tp5412 +a(g189 +V\u000a +tp5413 +a(g7 +V +p5414 +tp5415 +a(g189 +V\u000a +tp5416 +a(g7 +V +p5417 +tp5418 +a(g189 +V\u000a +tp5419 +a(g29 +V +tp5452 +a(g189 +V\u000a +p5453 +tp5454 +a(g29 +V +tp5457 +a(g189 +V\u000a +p5458 +tp5459 +a(g29 +V +tp5468 +a(g189 +V\u000a +p5469 +tp5470 +a(g29 +V +tp5473 +a(g189 +V break +p5474 +tp5475 +a(g29 +V +p5476 +tp5477 +a(g189 +V\u000a +p5478 +tp5479 +a(g29 +V +tp5482 +a(g189 +V case +p5483 +tp5484 +a(g29 +V +p5485 +tp5486 +a(g189 +V\u000a +p5487 +tp5488 +a(g29 +V +tp5491 +a(g189 +V else +p5492 +tp5493 +a(g29 +V +p5494 +tp5495 +a(g189 +V\u000a +p5496 +tp5497 +a(g29 +V +tp5500 +a(g189 +V esac +p5501 +tp5502 +a(g29 +V +p5503 +tp5504 +a(g189 +V\u000a +p5505 +tp5506 +a(g29 +V +tp5509 +a(g189 +V exit +p5510 +tp5511 +a(g29 +V +p5512 +tp5513 +a(g189 +V\u000a +p5514 +tp5515 +a(g29 +V +tp5518 +a(g189 +V export +p5519 +tp5520 +a(g29 +V +p5521 +tp5522 +a(g189 +V\u000a +p5523 +tp5524 +a(g29 +V +tp5527 +a(g189 +V for +p5528 +tp5529 +a(g29 +V +p5530 +tp5531 +a(g189 +V\u000a +p5532 +tp5533 +a(g29 +V +tp5536 +a(g189 +V function +p5537 +tp5538 +a(g29 +V +p5539 +tp5540 +a(g189 +V\u000a +p5541 +tp5542 +a(g29 +V +tp5545 +a(g189 +V in +p5546 +tp5547 +a(g29 +V +p5548 +tp5549 +a(g189 +V\u000a +p5550 +tp5551 +a(g29 +V +tp5554 +a(g189 +V return +p5555 +tp5556 +a(g29 +V +p5557 +tp5558 +a(g189 +V\u000a +p5559 +tp5560 +a(g29 +V +tp5563 +a(g189 +V select +p5564 +tp5565 +a(g29 +V +p5566 +tp5567 +a(g189 +V\u000a +p5568 +tp5569 +a(g29 +V +tp5572 +a(g189 +V then +p5573 +tp5574 +a(g29 +V +p5575 +tp5576 +a(g189 +V\u000a +p5577 +tp5578 +a(g29 +V +tp5581 +a(g189 +V until +p5582 +tp5583 +a(g29 +V +p5584 +tp5585 +a(g189 +V\u000a +p5586 +tp5587 +a(g29 +V +tp5590 +a(g189 +V while +p5591 +tp5592 +a(g29 +V +p5593 +tp5594 +a(g189 +V\u000a +p5595 +tp5596 +a(g29 +V +tp5599 +a(g189 +V . +p5600 +tp5601 +a(g29 +V +p5602 +tp5603 +a(g189 +V\u000a +p5604 +tp5605 +a(g29 +V +tp5608 +a(g189 +V done +p5609 +tp5610 +a(g29 +V +p5611 +tp5612 +a(g189 +V\u000a +p5613 +tp5614 +a(g29 +V +tp5617 +a(g189 +V do +p5618 +tp5619 +a(g29 +V +p5620 +tp5621 +a(g189 +V\u000a +p5622 +tp5623 +a(g29 +V +tp5626 +a(g189 +V elif +p5627 +tp5628 +a(g29 +V +p5629 +tp5630 +a(g189 +V\u000a +p5631 +tp5632 +a(g29 +V +tp5635 +a(g189 +V fi +p5636 +tp5637 +a(g29 +V +p5638 +tp5639 +a(g189 +V\u000a +p5640 +tp5641 +a(g29 +V +tp5644 +a(g189 +V if +p5645 +tp5646 +a(g29 +V +p5647 +tp5648 +a(g189 +V\u000a\u000a +p5649 +tp5650 +a(g29 +V +p5651 +tp5652 +a(g189 +V\u000a +p5653 +tp5654 +a(g29 +V +tp5663 +a(g189 +V\u000a +p5664 +tp5665 +a(g29 +V +tp5668 +a(g189 +V cp +p5669 +tp5670 +a(g29 +V +p5671 +tp5672 +a(g189 +V\u000a +p5673 +tp5674 +a(g29 +V +tp5677 +a(g189 +V date +p5678 +tp5679 +a(g29 +V +p5680 +tp5681 +a(g189 +V\u000a +p5682 +tp5683 +a(g29 +V +tp5686 +a(g189 +V echo +p5687 +tp5688 +a(g29 +V +p5689 +tp5690 +a(g189 +V\u000a +p5691 +tp5692 +a(g29 +V +tp5695 +a(g189 +V eval +p5696 +tp5697 +a(g29 +V +p5698 +tp5699 +a(g189 +V\u000a +p5700 +tp5701 +a(g29 +V +tp5704 +a(g189 +V dcop +p5705 +tp5706 +a(g29 +V +p5707 +tp5708 +a(g189 +V\u000a +p5709 +tp5710 +a(g29 +V +tp5713 +a(g189 +V dcopstart +p5714 +tp5715 +a(g29 +V +p5716 +tp5717 +a(g189 +V\u000a +p5718 +tp5719 +a(g29 +V +tp5722 +a(g189 +V dcopfind +p5723 +tp5724 +a(g29 +V +p5725 +tp5726 +a(g189 +V\u000a +p5727 +tp5728 +a(g29 +V +p5729 +tp5730 +a(g189 +V\u000a +p5731 +tp5732 +a(g29 +V +tp5735 +a(g189 +V\u000a +p5736 +tp5737 +a(g29 +V +tp5758 +a(g189 +V\u000a +p5759 +tp5760 +a(g29 +V +p5788 +tp5789 +a(g189 +V\u000a +p5790 +tp5791 +a(g29 +V +p5819 +tp5820 +a(g189 +V\u000a +p5821 +tp5822 +a(g29 +V +p5855 +tp5856 +a(g189 +V\u000a +p5857 +tp5858 +a(g29 +V +p5886 +tp5887 +a(g189 +V\u000a +p5888 +tp5889 +a(g29 +V +p5917 +tp5918 +a(g189 +V\u000a +p5919 +tp5920 +a(g29 +V +p5948 +tp5949 +a(g189 +V\u000a +p5950 +tp5951 +a(g29 +V +p5979 +tp5980 +a(g189 +V\u000a +p5981 +tp5982 +a(g29 +V +p6010 +tp6011 +a(g189 +V\u000a +p6012 +tp6013 +a(g29 +V +p6041 +tp6042 +a(g189 +V\u000a +p6043 +tp6044 +a(g29 +V +p6067 +tp6068 +a(g189 +V\u000a +p6069 +tp6070 +a(g29 +V +p6093 +tp6094 +a(g189 +V\u000a\u000a +p6095 +tp6096 +a(g29 +V +p6117 +tp6118 +a(g189 +V\u000a +p6119 +tp6120 +a(g29 +V +p6141 +tp6142 +a(g189 +V\u000a +p6143 +tp6144 +a(g29 +V +p6159 +tp6160 +a(g189 +V\u000a +p6161 +tp6162 +a(g29 +V +p6183 +tp6184 +a(g189 +V\u000a +p6185 +tp6186 +a(g29 +V +p6213 +tp6214 +a(g189 +V\u000a +p6215 +tp6216 +a(g29 +V +p6237 +tp6238 +a(g189 +V\u000a +p6239 +tp6240 +a(g29 +V +p6260 +tp6261 +a(g189 +V\u000a +p6262 +tp6263 +a(g29 +V +p6283 +tp6284 +a(g189 +V\u000a +p6285 +tp6286 +a(g29 +V +p6313 +tp6314 +a(g189 +V\u000a +p6315 +tp6316 +a(g29 +V +p6336 +tp6337 +a(g189 +V\u000a\u000a +p6338 +tp6339 +a(g29 +V +p6340 +tp6341 +a(g189 +V\u000a +p6342 +tp6343 +a(g29 +V +tp6363 +a(g189 +V\u000a +p6364 +tp6365 +a(g29 +V +p6386 +tp6387 +a(g189 +V\u000a +p6388 +tp6389 +a(g29 +V +p6410 +tp6411 +a(g189 +V\u000a +p6412 +tp6413 +a(g29 +V +p6434 +tp6435 +a(g189 +V\u000a +p6436 +tp6437 +a(g29 +V +p6438 +tp6439 +a(g189 +V\u000a +p6440 +tp6441 +a(g29 +V +tp6461 +a(g189 +V\u000a +p6462 +tp6463 +a(g29 +V +p6484 +tp6485 +a(g189 +V\u000a +p6486 +tp6487 +a(g29 +V +p6508 +tp6509 +a(g189 +V\u000a +p6510 +tp6511 +a(g29 +V +p6532 +tp6533 +a(g189 +V\u000a +p6534 +tp6535 +a(g29 +V +p6536 +tp6537 +a(g189 +V\u000a +p6538 +tp6539 +a(g29 +V +tp6559 +a(g189 +V\u000a +p6560 +tp6561 +a(g29 +V +p6582 +tp6583 +a(g189 +V\u000a +p6584 +tp6585 +a(g29 +V +p6606 +tp6607 +a(g189 +V\u000a +p6608 +tp6609 +a(g29 +V +p6630 +tp6631 +a(g189 +V\u000a +p6632 +tp6633 +a(g29 +V +p6634 +tp6635 +a(g189 +V\u000a +p6636 +tp6637 +a(g29 +V +tp6657 +a(g189 +V\u000a +p6658 +tp6659 +a(g29 +V +p6679 +tp6680 +a(g189 +V\u000a +p6681 +tp6682 +a(g29 +V +p6683 +tp6684 +a(g189 +V\u000a +p6685 +tp6686 +a(g29 +V +p6687 +tp6688 +a(g189 +V\u000a +p6689 +tp6690 +a(g29 +V +tp6693 +a(g189 +V\u000a +p6694 +tp6695 +a(g29 +V +p6710 +tp6711 +a(g189 +V\u000a +p6712 +tp6713 +a(g29 +V +p6728 +tp6729 +a(g189 +V\u000a +p6730 +tp6731 +a(g29 +V +p6746 +tp6747 +a(g189 +V\u000a +p6748 +tp6749 +a(g29 +V +p6764 +tp6765 +a(g189 +V\u000a +p6766 +tp6767 +a(g29 +V +p6782 +tp6783 +a(g189 +V\u000a +p6784 +tp6785 +a(g29 +V +p6800 +tp6801 +a(g189 +V\u000a +p6802 +tp6803 +a(g29 +V +p6818 +tp6819 +a(g189 +V\u000a +p6820 +tp6821 +a(g29 +V +p6836 +tp6837 +a(g189 +V\u000a +p6838 +tp6839 +a(g29 +V +p6854 +tp6855 +a(g189 +V\u000a +p6856 +tp6857 +a(g29 +V +p6872 +tp6873 +a(g189 +V\u000a +p6874 +tp6875 +a(g29 +V +p6890 +tp6891 +a(g189 +V\u000a +p6892 +tp6893 +a(g29 +V +p6894 +tp6895 +a(g189 +V\u000a +p6896 +tp6897 +a(g29 +V +p6898 +tp6899 +a(g189 +V\u000a +p6900 +tp6901 +a(g29 +V +tp6904 +a(g189 +V\u000a +p6905 +tp6906 +a(g29 +V +tp6909 +a(g189 +V\u000a +p6910 +tp6911 +a(g29 +V +p6924 +tp6925 +a(g189 +V\u000a +p6926 +tp6927 +a(g29 +V +p6928 +tp6929 +a(g189 +V\u000a +p6930 +tp6931 +a(g29 +V +p6939 +tp6940 +a(g189 +V\u000a +p6941 +tp6942 +a(g29 +V +p6943 +tp6944 +a(g189 +V\u000a +tp6945 +a(g29 +V +p6946 +tp6947 +a(g189 +V\u000a\u000a +p6948 +tp6949 +a(g7 +V +p6950 +tp6951 +a(g189 +V\u000a +tp6952 +a(g7 +V +p6953 +tp6954 +a(g189 +V\u000a +tp6955 +a(g29 +V +tp6988 +a(g189 +V\u000a +p6989 +tp6990 +a(g29 +V +tp6993 +a(g189 +V\u000a +p6994 +tp6995 +a(g29 +V +tp6998 +a(g189 +V\u000a +p6999 +tp7000 +a(g29 +V +tp7018 +a(g189 +V\u000a +p7019 +tp7020 +a(g29 +V +p7039 +tp7040 +a(g189 +V\u000a +p7041 +tp7042 +a(g29 +V +p7060 +tp7061 +a(g189 +V\u000a +p7062 +tp7063 +a(g29 +V +p7064 +tp7065 +a(g189 +V\u000a\u000a +p7066 +tp7067 +a(g29 +V +tp7085 +a(g189 +V\u000a +p7086 +tp7087 +a(g29 +V +p7106 +tp7107 +a(g189 +V\u000a +p7108 +tp7109 +a(g29 +V +p7127 +tp7128 +a(g189 +V\u000a +p7129 +tp7130 +a(g29 +V +p7131 +tp7132 +a(g189 +V\u000a\u000a +p7133 +tp7134 +a(g29 +V +tp7152 +a(g189 +V\u000a +p7153 +tp7154 +a(g29 +V +p7173 +tp7174 +a(g189 +V\u000a +p7175 +tp7176 +a(g29 +V +p7194 +tp7195 +a(g189 +V\u000a +p7196 +tp7197 +a(g29 +V +p7198 +tp7199 +a(g189 +V\u000a\u000a +p7200 +tp7201 +a(g29 +V +tp7219 +a(g189 +V\u000a +p7220 +tp7221 +a(g29 +V +p7239 +tp7240 +a(g189 +V\u000a +p7241 +tp7242 +a(g29 +V +p7260 +tp7261 +a(g189 +V\u000a +p7262 +tp7263 +a(g29 +V +p7281 +tp7282 +a(g189 +V\u000a +p7283 +tp7284 +a(g29 +V +p7302 +tp7303 +a(g189 +V\u000a +p7304 +tp7305 +a(g29 +V +p7323 +tp7324 +a(g189 +V\u000a +p7325 +tp7326 +a(g29 +V +p7344 +tp7345 +a(g189 +V\u000a +p7346 +tp7347 +a(g29 +V +p7348 +tp7349 +a(g189 +V\u000a\u000a +p7350 +tp7351 +a(g29 +V +tp7369 +a(g189 +V\u000a +p7370 +tp7371 +a(g29 +V +p7394 +tp7395 +a(g189 +V\u000a +p7396 +tp7397 +a(g29 +V +p7415 +tp7416 +a(g189 +V\u000a +p7417 +tp7418 +a(g29 +V +p7419 +tp7420 +a(g189 +V\u000a \u000a +p7421 +tp7422 +a(g29 +V +tp7440 +a(g189 +V\u000a +p7441 +tp7442 +a(g29 +V +p7443 +tp7444 +a(g189 +V\u000a +p7445 +tp7446 +a(g29 +V +p7447 +tp7448 +a(g189 +V\u000a +p7449 +tp7450 +a(g29 +V +tp7453 +a(g189 +V\u000a +p7454 +tp7455 +a(g29 +V +p7468 +tp7469 +a(g189 +V\u000a +p7470 +tp7471 +a(g29 +V +p7504 +tp7505 +a(g189 +V\u000a +p7506 +tp7507 +a(g29 +V +p7540 +tp7541 +a(g189 +V\u000a +p7542 +tp7543 +a(g29 +V +p7576 +tp7577 +a(g189 +V\u000a +p7578 +tp7579 +a(g29 +V +p7612 +tp7613 +a(g189 +V\u000a +p7614 +tp7615 +a(g29 +V +p7648 +tp7649 +a(g189 +V\u000a +p7650 +tp7651 +a(g29 +V +p7652 +tp7653 +a(g189 +V\u000a +p7654 +tp7655 +a(g29 +V +p7656 +tp7657 +a(g29 +V +tp7660 +a(g189 +V\u000a +p7661 +tp7662 +a(g29 +V +tp7665 +a(g189 +V\u000a +p7666 +tp7667 +a(g29 +V +p7681 +tp7682 +a(g189 +V\u000a +p7683 +tp7684 +a(g29 +V +p7685 +tp7686 +a(g189 +V\u000a +p7687 +tp7688 +a(g29 +V +p7689 +tp7690 +a(g189 +V\u000a +tp7691 +a(g29 +V +p7692 +tp7693 +a(g189 +V\u000a +tp7694 +a(g7 +V +p7695 +tp7696 +a(g189 +V\u000a +tp7697 +a(g7 +V +p7698 +tp7699 +a(g189 +V\u000a +tp7700 +a(g29 +V +tp7738 +a(g189 +V\u000a +p7739 +tp7740 +a(g29 +V +tp7743 +a(g189 +V\u000a +p7744 +tp7745 +a(g29 +V +tp7753 +a(g189 +V\u000a +p7754 +tp7755 +a(g29 +V +tp7758 +a(g189 +V break +p7759 +tp7760 +a(g29 +V +p7761 +tp7762 +a(g189 +V\u000a +p7763 +tp7764 +a(g29 +V +tp7767 +a(g189 +V case +p7768 +tp7769 +a(g29 +V +p7770 +tp7771 +a(g189 +V\u000a +p7772 +tp7773 +a(g29 +V +tp7776 +a(g189 +V continue +p7777 +tp7778 +a(g29 +V +p7779 +tp7780 +a(g189 +V\u000a +p7781 +tp7782 +a(g29 +V +tp7785 +a(g189 +V default +p7786 +tp7787 +a(g29 +V +p7788 +tp7789 +a(g189 +V\u000a +p7790 +tp7791 +a(g29 +V +tp7794 +a(g189 +V do +p7795 +tp7796 +a(g29 +V +p7797 +tp7798 +a(g189 +V\u000a +p7799 +tp7800 +a(g29 +V +tp7803 +a(g189 +V else +p7804 +tp7805 +a(g29 +V +p7806 +tp7807 +a(g189 +V\u000a +p7808 +tp7809 +a(g29 +V +tp7812 +a(g189 +V enum +p7813 +tp7814 +a(g29 +V +p7815 +tp7816 +a(g189 +V\u000a +p7817 +tp7818 +a(g29 +V +tp7821 +a(g189 +V extern +p7822 +tp7823 +a(g29 +V +p7824 +tp7825 +a(g189 +V\u000a +p7826 +tp7827 +a(g29 +V +tp7830 +a(g189 +V for +p7831 +tp7832 +a(g29 +V +p7833 +tp7834 +a(g189 +V\u000a +p7835 +tp7836 +a(g29 +V +tp7839 +a(g189 +V goto +p7840 +tp7841 +a(g29 +V +p7842 +tp7843 +a(g189 +V\u000a +p7844 +tp7845 +a(g29 +V +tp7848 +a(g189 +V if +p7849 +tp7850 +a(g29 +V +p7851 +tp7852 +a(g189 +V\u000a +p7853 +tp7854 +a(g29 +V +tp7857 +a(g189 +V inline +p7858 +tp7859 +a(g29 +V +p7860 +tp7861 +a(g189 +V\u000a +p7862 +tp7863 +a(g29 +V +tp7866 +a(g189 +V return +p7867 +tp7868 +a(g29 +V +p7869 +tp7870 +a(g189 +V\u000a +p7871 +tp7872 +a(g29 +V +tp7875 +a(g189 +V sizeof +p7876 +tp7877 +a(g29 +V +p7878 +tp7879 +a(g189 +V\u000a +p7880 +tp7881 +a(g29 +V +tp7884 +a(g189 +V struct +p7885 +tp7886 +a(g29 +V +p7887 +tp7888 +a(g189 +V\u000a +p7889 +tp7890 +a(g29 +V +tp7893 +a(g189 +V switch +p7894 +tp7895 +a(g29 +V +p7896 +tp7897 +a(g189 +V\u000a +p7898 +tp7899 +a(g29 +V +tp7902 +a(g189 +V typedef +p7903 +tp7904 +a(g29 +V +p7905 +tp7906 +a(g189 +V\u000a +p7907 +tp7908 +a(g29 +V +tp7911 +a(g189 +V union +p7912 +tp7913 +a(g29 +V +p7914 +tp7915 +a(g189 +V\u000a +p7916 +tp7917 +a(g29 +V +tp7920 +a(g189 +V while +p7921 +tp7922 +a(g29 +V +p7923 +tp7924 +a(g189 +V\u000a +p7925 +tp7926 +a(g29 +V +p7927 +tp7928 +a(g189 +V\u000a +p7929 +tp7930 +a(g29 +V +tp7938 +a(g189 +V\u000a +p7939 +tp7940 +a(g29 +V +tp7943 +a(g189 +V auto +p7944 +tp7945 +a(g29 +V +p7946 +tp7947 +a(g189 +V\u000a +p7948 +tp7949 +a(g29 +V +tp7952 +a(g189 +V char +p7953 +tp7954 +a(g29 +V +p7955 +tp7956 +a(g189 +V\u000a +p7957 +tp7958 +a(g29 +V +tp7961 +a(g189 +V const +p7962 +tp7963 +a(g29 +V +p7964 +tp7965 +a(g189 +V\u000a +p7966 +tp7967 +a(g29 +V +tp7970 +a(g189 +V double +p7971 +tp7972 +a(g29 +V +p7973 +tp7974 +a(g189 +V\u000a +p7975 +tp7976 +a(g29 +V +tp7979 +a(g189 +V float +p7980 +tp7981 +a(g29 +V +p7982 +tp7983 +a(g189 +V\u000a +p7984 +tp7985 +a(g29 +V +tp7988 +a(g189 +V int +p7989 +tp7990 +a(g29 +V +p7991 +tp7992 +a(g189 +V\u000a +p7993 +tp7994 +a(g29 +V +tp7997 +a(g189 +V long +p7998 +tp7999 +a(g29 +V +p8000 +tp8001 +a(g189 +V\u000a +p8002 +tp8003 +a(g29 +V +tp8006 +a(g189 +V register +p8007 +tp8008 +a(g29 +V +p8009 +tp8010 +a(g189 +V\u000a +p8011 +tp8012 +a(g29 +V +tp8015 +a(g189 +V restrict +p8016 +tp8017 +a(g29 +V +p8018 +tp8019 +a(g189 +V\u000a +p8020 +tp8021 +a(g29 +V +tp8024 +a(g189 +V short +p8025 +tp8026 +a(g29 +V +p8027 +tp8028 +a(g189 +V\u000a +p8029 +tp8030 +a(g29 +V +tp8033 +a(g189 +V signed +p8034 +tp8035 +a(g29 +V +p8036 +tp8037 +a(g189 +V\u000a +p8038 +tp8039 +a(g29 +V +tp8042 +a(g189 +V static +p8043 +tp8044 +a(g29 +V +p8045 +tp8046 +a(g189 +V\u000a +p8047 +tp8048 +a(g29 +V +tp8051 +a(g189 +V unsigned +p8052 +tp8053 +a(g29 +V +p8054 +tp8055 +a(g189 +V\u000a +p8056 +tp8057 +a(g29 +V +tp8060 +a(g189 +V void +p8061 +tp8062 +a(g29 +V +p8063 +tp8064 +a(g189 +V\u000a +p8065 +tp8066 +a(g29 +V +tp8069 +a(g189 +V volatile +p8070 +tp8071 +a(g29 +V +p8072 +tp8073 +a(g189 +V\u000a +p8074 +tp8075 +a(g29 +V +tp8078 +a(g189 +V _Imaginary +p8079 +tp8080 +a(g29 +V +p8081 +tp8082 +a(g189 +V\u000a +p8083 +tp8084 +a(g29 +V +tp8087 +a(g189 +V _Complex +p8088 +tp8089 +a(g29 +V +p8090 +tp8091 +a(g189 +V\u000a +p8092 +tp8093 +a(g29 +V +tp8096 +a(g189 +V _Bool +p8097 +tp8098 +a(g29 +V +p8099 +tp8100 +a(g189 +V\u000a +p8101 +tp8102 +a(g29 +V +p8103 +tp8104 +a(g189 +V\u000a +p8105 +tp8106 +a(g29 +V +tp8114 +a(g189 +V\u000a +p8115 +tp8116 +a(g29 +V +tp8119 +a(g189 +V FIXME +p8120 +tp8121 +a(g29 +V +p8122 +tp8123 +a(g189 +V\u000a +p8124 +tp8125 +a(g29 +V +tp8128 +a(g189 +V TODO +p8129 +tp8130 +a(g29 +V +p8131 +tp8132 +a(g189 +V\u000a +p8133 +tp8134 +a(g29 +V +tp8137 +a(g189 +V ### +p8138 +tp8139 +a(g29 +V +p8140 +tp8141 +a(g189 +V\u000a +p8142 +tp8143 +a(g29 +V +p8144 +tp8145 +a(g189 +V\u000a +p8146 +tp8147 +a(g29 +V +tp8150 +a(g189 +V\u000a +p8151 +tp8152 +a(g29 +V +tp8170 +a(g189 +V\u000a +p8171 +tp8172 +a(g29 +V +p8190 +tp8191 +a(g189 +V\u000a +p8192 +tp8193 +a(g29 +V +p8211 +tp8212 +a(g189 +V\u000a +p8213 +tp8214 +a(g29 +V +p8238 +tp8239 +a(g189 +V\u000a +p8240 +tp8241 +a(g29 +V +p8265 +tp8266 +a(g189 +V\u000a +p8267 +tp8268 +a(g29 +V +tp8281 +a(g189 +V\u000a +p8282 +tp8283 +a(g29 +V +p8301 +tp8302 +a(g189 +V\u000a +p8303 +tp8304 +a(g29 +V +p8305 +tp8306 +a(g189 +V\u000a +p8307 +tp8308 +a(g29 +V +p8321 +tp8322 +a(g189 +V\u000a +p8323 +tp8324 +a(g29 +V +p8337 +tp8338 +a(g189 +V\u000a +p8339 +tp8340 +a(g29 +V +tp8354 +a(g189 +V\u000a +p8355 +tp8356 +a(g29 +V +p8379 +tp8380 +a(g189 +V\u000a +p8381 +tp8382 +a(g29 +V +p8405 +tp8406 +a(g189 +V\u000a +p8407 +tp8408 +a(g29 +V +p8431 +tp8432 +a(g189 +V\u000a +p8433 +tp8434 +a(g29 +V +p8457 +tp8458 +a(g189 +V\u000a +p8459 +tp8460 +a(g29 +V +p8483 +tp8484 +a(g189 +V\u000a +p8485 +tp8486 +a(g29 +V +p8509 +tp8510 +a(g189 +V\u000a +p8511 +tp8512 +a(g29 +V +p8535 +tp8536 +a(g189 +V\u000a +p8537 +tp8538 +a(g29 +V +p8561 +tp8562 +a(g189 +V\u000a +p8563 +tp8564 +a(g29 +V +p8565 +tp8566 +a(g189 +V\u000a +p8567 +tp8568 +a(g29 +V +p8581 +tp8582 +a(g189 +V\u000a +p8583 +tp8584 +a(g29 +V +p8602 +tp8603 +a(g189 +V\u000a +p8604 +tp8605 +a(g29 +V +p8628 +tp8629 +a(g189 +V\u000a +p8630 +tp8631 +a(g29 +V +p8659 +tp8660 +a(g189 +V\u000a +p8661 +tp8662 +a(g29 +V +p8685 +tp8686 +a(g189 +V\u000a +p8687 +tp8688 +a(g29 +V +p8706 +tp8707 +a(g189 +V\u000a +p8708 +tp8709 +a(g29 +V +p8728 +tp8729 +a(g189 +V\u000a +p8730 +tp8731 +a(g29 +V +p8750 +tp8751 +a(g189 +V\u000a +p8752 +tp8753 +a(g29 +V +p8771 +tp8772 +a(g189 +V\u000a +p8773 +tp8774 +a(g29 +V +p8775 +tp8776 +a(g189 +V\u000a +p8777 +tp8778 +a(g29 +V +tp8796 +a(g189 +V\u000a +p8797 +tp8798 +a(g29 +V +p8811 +tp8812 +a(g189 +V\u000a +p8813 +tp8814 +a(g29 +V +p8827 +tp8828 +a(g189 +V\u000a +p8829 +tp8830 +a(g29 +V +p8848 +tp8849 +a(g189 +V\u000a +p8850 +tp8851 +a(g29 +V +p8852 +tp8853 +a(g189 +V\u000a +p8854 +tp8855 +a(g29 +V +tp8873 +a(g189 +V\u000a +p8874 +tp8875 +a(g29 +V +p8894 +tp8895 +a(g189 +V\u000a +p8896 +tp8897 +a(g29 +V +p8898 +tp8899 +a(g189 +V\u000a +p8900 +tp8901 +a(g29 +V +tp8919 +a(g189 +V\u000a +p8920 +tp8921 +a(g29 +V +p8940 +tp8941 +a(g189 +V\u000a +p8942 +tp8943 +a(g29 +V +p8944 +tp8945 +a(g189 +V\u000a +p8946 +tp8947 +a(g29 +V +tp8965 +a(g189 +V\u000a +p8966 +tp8967 +a(g29 +V +p8995 +tp8996 +a(g189 +V\u000a +p8997 +tp8998 +a(g29 +V +p9017 +tp9018 +a(g189 +V\u000a +p9019 +tp9020 +a(g29 +V +p9021 +tp9022 +a(g189 +V\u000a +p9023 +tp9024 +a(g29 +V +tp9042 +a(g189 +V\u000a +p9043 +tp9044 +a(g29 +V +p9057 +tp9058 +a(g189 +V\u000a +p9059 +tp9060 +a(g29 +V +p9078 +tp9079 +a(g189 +V\u000a +p9080 +tp9081 +a(g29 +V +p9099 +tp9100 +a(g189 +V\u000a +p9101 +tp9102 +a(g29 +V +p9125 +tp9126 +a(g189 +V\u000a +p9127 +tp9128 +a(g29 +V +p9151 +tp9152 +a(g189 +V\u000a +p9153 +tp9154 +a(g29 +V +p9182 +tp9183 +a(g189 +V\u000a +p9184 +tp9185 +a(g29 +V +p9186 +tp9187 +a(g189 +V\u000a +p9188 +tp9189 +a(g29 +V +tp9207 +a(g189 +V\u000a +p9208 +tp9209 +a(g29 +V +p9222 +tp9223 +a(g189 +V\u000a +p9224 +tp9225 +a(g29 +V +p9226 +tp9227 +a(g189 +V\u000a +p9228 +tp9229 +a(g29 +V +tp9247 +a(g189 +V\u000a +p9248 +tp9249 +a(g29 +V +p9278 +tp9279 +a(g189 +V\u000a +p9280 +tp9281 +a(g29 +V +p9282 +tp9283 +a(g189 +V\u000a +p9284 +tp9285 +a(g29 +V +p9303 +tp9304 +a(g189 +V\u000a +p9305 +tp9306 +a(g29 +V +p9324 +tp9325 +a(g189 +V\u000a +p9326 +tp9327 +a(g29 +V +tp9346 +a(g189 +V\u000a +p9347 +tp9348 +a(g29 +V +p9367 +tp9368 +a(g189 +V\u000a +p9369 +tp9370 +a(g29 +V +p9389 +tp9390 +a(g189 +V\u000a +p9391 +tp9392 +a(g29 +V +p9411 +tp9412 +a(g189 +V\u000a +p9413 +tp9414 +a(g29 +V +p9433 +tp9434 +a(g189 +V\u000a +p9435 +tp9436 +a(g29 +V +p9437 +tp9438 +a(g189 +V\u000a +p9439 +tp9440 +a(g29 +V +tp9458 +a(g189 +V\u000a +p9459 +tp9460 +a(g29 +V +p9479 +tp9480 +a(g189 +V\u000a +p9481 +tp9482 +a(g29 +V +p9501 +tp9502 +a(g189 +V\u000a +p9503 +tp9504 +a(g29 +V +p9505 +tp9506 +a(g189 +V\u000a +p9507 +tp9508 +a(g29 +V +p9509 +tp9510 +a(g189 +V\u000a +p9511 +tp9512 +a(g29 +V +tp9515 +a(g189 +V\u000a +p9516 +tp9517 +a(g29 +V +p9531 +tp9532 +a(g189 +V\u000a +p9533 +tp9534 +a(g29 +V +p9548 +tp9549 +a(g189 +V\u000a +p9550 +tp9551 +a(g29 +V +p9585 +tp9586 +a(g189 +V\u000a +p9587 +tp9588 +a(g29 +V +p9602 +tp9603 +a(g189 +V\u000a +p9604 +tp9605 +a(g29 +V +p9619 +tp9620 +a(g189 +V\u000a +p9621 +tp9622 +a(g29 +V +p9636 +tp9637 +a(g189 +V\u000a +p9638 +tp9639 +a(g29 +V +p9653 +tp9654 +a(g189 +V\u000a +p9655 +tp9656 +a(g29 +V +p9670 +tp9671 +a(g189 +V\u000a +p9672 +tp9673 +a(g29 +V +p9687 +tp9688 +a(g189 +V\u000a +p9689 +tp9690 +a(g29 +V +p9704 +tp9705 +a(g189 +V\u000a +p9706 +tp9707 +a(g29 +V +p9721 +tp9722 +a(g189 +V\u000a +p9723 +tp9724 +a(g29 +V +p9738 +tp9739 +a(g189 +V\u000a +p9740 +tp9741 +a(g29 +V +p9755 +tp9756 +a(g189 +V\u000a +p9757 +tp9758 +a(g29 +V +p9771 +tp9772 +a(g189 +V\u000a +p9773 +tp9774 +a(g29 +V +p9788 +tp9789 +a(g189 +V +tp9790 +a(g13 +V +p9795 +tp9796 +a(g189 +V\u000a +p9797 +tp9798 +a(g29 +V +p9799 +tp9800 +a(g189 +V\u000a +p9801 +tp9802 +a(g29 +V +p9803 +tp9804 +a(g189 +V\u000a +p9805 +tp9806 +a(g29 +V +tp9809 +a(g189 +V\u000a +p9810 +tp9811 +a(g29 +V +tp9814 +a(g189 +V\u000a +p9815 +tp9816 +a(g29 +V +p9830 +tp9831 +a(g189 +V\u000a +p9832 +tp9833 +a(g29 +V +p9852 +tp9853 +a(g189 +V\u000a +p9854 +tp9855 +a(g29 +V +p9856 +tp9857 +a(g189 +V\u000a +p9858 +tp9859 +a(g29 +V +p9868 +tp9869 +a(g189 +V\u000a +p9870 +tp9871 +a(g29 +V +p9872 +tp9873 +a(g189 +V\u000a +tp9874 +a(g29 +V +p9875 +tp9876 +a(g189 +V\u000a +tp9877 +a(g7 +V +p9878 +tp9879 +a(g189 +V\u000a +tp9880 +a(g7 +V +p9881 +tp9882 +a(g189 +V\u000a +tp9883 +a(g29 +V +tp9916 +a(g189 +V\u000a +p9917 +tp9918 +a(g29 +V +tp9921 +a(g189 +V\u000a +p9922 +tp9923 +a(g29 +V +tp9926 +a(g189 +V\u000a +p9927 +tp9928 +a(g29 +V +tp9946 +a(g189 +V\u000a +p9947 +tp9948 +a(g29 +V +p9966 +tp9967 +a(g189 +V\u000a +p9968 +tp9969 +a(g29 +V +p9970 +tp9971 +a(g189 +V\u000a +p9972 +tp9973 +a(g29 +V +p9974 +tp9975 +a(g189 +V\u000a +p9976 +tp9977 +a(g29 +V +tp9980 +a(g189 +V\u000a +p9981 +tp9982 +a(g29 +V +p9995 +tp9996 +a(g189 +V\u000a +p9997 +tp9998 +a(g29 +V +p10011 +tp10012 +a(g189 +V\u000a +p10013 +tp10014 +a(g29 +V +p10027 +tp10028 +a(g189 +V\u000a +p10029 +tp10030 +a(g29 +V +p10043 +tp10044 +a(g189 +V\u000a +p10045 +tp10046 +a(g29 +V +p10059 +tp10060 +a(g189 +V\u000a +p10061 +tp10062 +a(g29 +V +p10075 +tp10076 +a(g189 +V\u000a +p10077 +tp10078 +a(g29 +V +p10079 +tp10080 +a(g189 +V\u000a +p10081 +tp10082 +a(g29 +V +p10083 +tp10084 +a(g189 +V\u000a +p10085 +tp10086 +a(g29 +V +tp10089 +a(g189 +V\u000a +p10090 +tp10091 +a(g29 +V +p10100 +tp10101 +a(g189 +V\u000a +p10102 +tp10103 +a(g29 +V +p10104 +tp10105 +a(g189 +V\u000a +tp10106 +a(g29 +V +p10107 +tp10108 +a(g189 +V\u000a +tp10109 +a(g7 +V +p10110 +tp10111 +a(g189 +V\u000a +tp10112 +a(g7 +V +p10113 +tp10114 +a(g189 +V\u000a +tp10115 +a(g29 +V +tp10160 +a(g189 +V\u000a +p10161 +tp10162 +a(g29 +V +tp10165 +a(g189 +V\u000a +p10166 +tp10167 +a(g29 +V +tp10176 +a(g189 +V\u000a +p10177 +tp10178 +a(g29 +V +tp10181 +a(g189 +V aaa +p10182 +tp10183 +a(g29 +V +p10184 +tp10185 +a(g189 +V\u000a +p10186 +tp10187 +a(g29 +V +tp10190 +a(g189 +V access-list +p10191 +tp10192 +a(g29 +V +p10193 +tp10194 +a(g189 +V\u000a +p10195 +tp10196 +a(g29 +V +tp10199 +a(g189 +V address +p10200 +tp10201 +a(g29 +V +p10202 +tp10203 +a(g189 +V\u000a +p10204 +tp10205 +a(g29 +V +tp10208 +a(g189 +V alias +p10209 +tp10210 +a(g29 +V +p10211 +tp10212 +a(g189 +V\u000a +p10213 +tp10214 +a(g29 +V +tp10217 +a(g189 +V arp +p10218 +tp10219 +a(g29 +V +p10220 +tp10221 +a(g189 +V\u000a +p10222 +tp10223 +a(g29 +V +tp10226 +a(g189 +V async-bootp +p10227 +tp10228 +a(g29 +V +p10229 +tp10230 +a(g189 +V\u000a +p10231 +tp10232 +a(g29 +V +tp10235 +a(g189 +V banner +p10236 +tp10237 +a(g29 +V +p10238 +tp10239 +a(g189 +V\u000a +p10240 +tp10241 +a(g29 +V +tp10244 +a(g189 +V boot +p10245 +tp10246 +a(g29 +V +p10247 +tp10248 +a(g189 +V\u000a +p10249 +tp10250 +a(g29 +V +tp10253 +a(g189 +V bridge +p10254 +tp10255 +a(g29 +V +p10256 +tp10257 +a(g189 +V\u000a +p10258 +tp10259 +a(g29 +V +tp10262 +a(g189 +V buffers +p10263 +tp10264 +a(g29 +V +p10265 +tp10266 +a(g189 +V\u000a +p10267 +tp10268 +a(g29 +V +tp10271 +a(g189 +V busy-message +p10272 +tp10273 +a(g29 +V +p10274 +tp10275 +a(g189 +V\u000a +p10276 +tp10277 +a(g29 +V +tp10280 +a(g189 +V call-history-mib +p10281 +tp10282 +a(g29 +V +p10283 +tp10284 +a(g189 +V\u000a +p10285 +tp10286 +a(g29 +V +tp10289 +a(g189 +V cdp +p10290 +tp10291 +a(g29 +V +p10292 +tp10293 +a(g189 +V\u000a +p10294 +tp10295 +a(g29 +V +tp10298 +a(g189 +V chat-script +p10299 +tp10300 +a(g29 +V +p10301 +tp10302 +a(g189 +V\u000a +p10303 +tp10304 +a(g29 +V +tp10307 +a(g189 +V class-map +p10308 +tp10309 +a(g29 +V +p10310 +tp10311 +a(g189 +V\u000a +p10312 +tp10313 +a(g29 +V +tp10316 +a(g189 +V clock +p10317 +tp10318 +a(g29 +V +p10319 +tp10320 +a(g189 +V\u000a +p10321 +tp10322 +a(g29 +V +tp10325 +a(g189 +V cns +p10326 +tp10327 +a(g29 +V +p10328 +tp10329 +a(g189 +V\u000a +p10330 +tp10331 +a(g29 +V +tp10334 +a(g189 +V config-register +p10335 +tp10336 +a(g29 +V +p10337 +tp10338 +a(g189 +V\u000a +p10339 +tp10340 +a(g29 +V +tp10343 +a(g189 +V controller +p10344 +tp10345 +a(g29 +V +p10346 +tp10347 +a(g189 +V\u000a +p10348 +tp10349 +a(g29 +V +tp10352 +a(g189 +V crypto +p10353 +tp10354 +a(g29 +V +p10355 +tp10356 +a(g189 +V\u000a +p10357 +tp10358 +a(g29 +V +tp10361 +a(g189 +V default +p10362 +tp10363 +a(g29 +V +p10364 +tp10365 +a(g189 +V\u000a +p10366 +tp10367 +a(g29 +V +tp10370 +a(g189 +V default-value +p10371 +tp10372 +a(g29 +V +p10373 +tp10374 +a(g189 +V\u000a +p10375 +tp10376 +a(g29 +V +tp10379 +a(g189 +V dialer +p10380 +tp10381 +a(g29 +V +p10382 +tp10383 +a(g189 +V\u000a +p10384 +tp10385 +a(g29 +V +tp10388 +a(g189 +V dialer-list +p10389 +tp10390 +a(g29 +V +p10391 +tp10392 +a(g189 +V\u000a +p10393 +tp10394 +a(g29 +V +tp10397 +a(g189 +V dnsix-dmdp +p10398 +tp10399 +a(g29 +V +p10400 +tp10401 +a(g189 +V\u000a +p10402 +tp10403 +a(g29 +V +tp10406 +a(g189 +V dnsix-nat +p10407 +tp10408 +a(g29 +V +p10409 +tp10410 +a(g189 +V\u000a +p10411 +tp10412 +a(g29 +V +tp10415 +a(g189 +V downward-compatible-config +p10416 +tp10417 +a(g29 +V +p10418 +tp10419 +a(g189 +V\u000a +p10420 +tp10421 +a(g29 +V +tp10424 +a(g189 +V enable +p10425 +tp10426 +a(g29 +V +p10427 +tp10428 +a(g189 +V\u000a +p10429 +tp10430 +a(g29 +V +tp10433 +a(g189 +V end +p10434 +tp10435 +a(g29 +V +p10436 +tp10437 +a(g189 +V\u000a +p10438 +tp10439 +a(g29 +V +tp10442 +a(g189 +V exception +p10443 +tp10444 +a(g29 +V +p10445 +tp10446 +a(g189 +V\u000a +p10447 +tp10448 +a(g29 +V +tp10451 +a(g189 +V exit +p10452 +tp10453 +a(g29 +V +p10454 +tp10455 +a(g189 +V\u000a +p10456 +tp10457 +a(g29 +V +tp10460 +a(g189 +V file +p10461 +tp10462 +a(g29 +V +p10463 +tp10464 +a(g189 +V\u000a +p10465 +tp10466 +a(g29 +V +tp10469 +a(g189 +V frame-relay +p10470 +tp10471 +a(g29 +V +p10472 +tp10473 +a(g189 +V\u000a +p10474 +tp10475 +a(g29 +V +tp10478 +a(g189 +V help +p10479 +tp10480 +a(g29 +V +p10481 +tp10482 +a(g189 +V\u000a +p10483 +tp10484 +a(g29 +V +tp10487 +a(g189 +V hostname +p10488 +tp10489 +a(g29 +V +p10490 +tp10491 +a(g189 +V\u000a +p10492 +tp10493 +a(g29 +V +tp10496 +a(g189 +V interface +p10497 +tp10498 +a(g29 +V +p10499 +tp10500 +a(g189 +V\u000a +p10501 +tp10502 +a(g29 +V +tp10505 +a(g189 +V ip +p10506 +tp10507 +a(g29 +V +p10508 +tp10509 +a(g189 +V\u000a +p10510 +tp10511 +a(g29 +V +tp10514 +a(g189 +V isdn +p10515 +tp10516 +a(g29 +V +p10517 +tp10518 +a(g189 +V\u000a +p10519 +tp10520 +a(g29 +V +tp10523 +a(g189 +V isdn-mib +p10524 +tp10525 +a(g29 +V +p10526 +tp10527 +a(g189 +V\u000a +p10528 +tp10529 +a(g29 +V +tp10532 +a(g189 +V kerberos +p10533 +tp10534 +a(g29 +V +p10535 +tp10536 +a(g189 +V\u000a +p10537 +tp10538 +a(g29 +V +tp10541 +a(g189 +V key +p10542 +tp10543 +a(g29 +V +p10544 +tp10545 +a(g189 +V\u000a +p10546 +tp10547 +a(g29 +V +tp10550 +a(g189 +V line +p10551 +tp10552 +a(g29 +V +p10553 +tp10554 +a(g189 +V\u000a +p10555 +tp10556 +a(g29 +V +tp10559 +a(g189 +V logging +p10560 +tp10561 +a(g29 +V +p10562 +tp10563 +a(g189 +V\u000a +p10564 +tp10565 +a(g29 +V +tp10568 +a(g189 +V login-string +p10569 +tp10570 +a(g29 +V +p10571 +tp10572 +a(g189 +V\u000a +p10573 +tp10574 +a(g29 +V +tp10577 +a(g189 +V map-class +p10578 +tp10579 +a(g29 +V +p10580 +tp10581 +a(g189 +V\u000a +p10582 +tp10583 +a(g29 +V +tp10586 +a(g189 +V map-list +p10587 +tp10588 +a(g29 +V +p10589 +tp10590 +a(g189 +V\u000a +p10591 +tp10592 +a(g29 +V +tp10595 +a(g189 +V memory-size +p10596 +tp10597 +a(g29 +V +p10598 +tp10599 +a(g189 +V\u000a +p10600 +tp10601 +a(g29 +V +tp10604 +a(g189 +V menu +p10605 +tp10606 +a(g29 +V +p10607 +tp10608 +a(g189 +V\u000a +p10609 +tp10610 +a(g29 +V +tp10613 +a(g189 +V modemcap +p10614 +tp10615 +a(g29 +V +p10616 +tp10617 +a(g189 +V\u000a +p10618 +tp10619 +a(g29 +V +tp10622 +a(g189 +V multilink +p10623 +tp10624 +a(g29 +V +p10625 +tp10626 +a(g189 +V\u000a +p10627 +tp10628 +a(g29 +V +tp10631 +a(g189 +V netbios +p10632 +tp10633 +a(g29 +V +p10634 +tp10635 +a(g189 +V\u000a +p10636 +tp10637 +a(g29 +V +tp10640 +a(g189 +V no +p10641 +tp10642 +a(g29 +V +p10643 +tp10644 +a(g189 +V\u000a +p10645 +tp10646 +a(g29 +V +tp10649 +a(g189 +V ntp +p10650 +tp10651 +a(g29 +V +p10652 +tp10653 +a(g189 +V\u000a +p10654 +tp10655 +a(g29 +V +tp10658 +a(g189 +V partition +p10659 +tp10660 +a(g29 +V +p10661 +tp10662 +a(g189 +V\u000a +p10663 +tp10664 +a(g29 +V +tp10667 +a(g189 +V policy-map +p10668 +tp10669 +a(g29 +V +p10670 +tp10671 +a(g189 +V\u000a +p10672 +tp10673 +a(g29 +V +tp10676 +a(g189 +V priority-list +p10677 +tp10678 +a(g29 +V +p10679 +tp10680 +a(g189 +V\u000a +p10681 +tp10682 +a(g29 +V +tp10685 +a(g189 +V privilege +p10686 +tp10687 +a(g29 +V +p10688 +tp10689 +a(g189 +V\u000a +p10690 +tp10691 +a(g29 +V +tp10694 +a(g189 +V process-max-time +p10695 +tp10696 +a(g29 +V +p10697 +tp10698 +a(g189 +V\u000a +p10699 +tp10700 +a(g29 +V +tp10703 +a(g189 +V prompt +p10704 +tp10705 +a(g29 +V +p10706 +tp10707 +a(g189 +V\u000a +p10708 +tp10709 +a(g29 +V +tp10712 +a(g189 +V queue-list +p10713 +tp10714 +a(g29 +V +p10715 +tp10716 +a(g189 +V\u000a +p10717 +tp10718 +a(g29 +V +tp10721 +a(g189 +V resume-string +p10722 +tp10723 +a(g29 +V +p10724 +tp10725 +a(g189 +V\u000a +p10726 +tp10727 +a(g29 +V +tp10730 +a(g189 +V rlogin +p10731 +tp10732 +a(g29 +V +p10733 +tp10734 +a(g189 +V\u000a +p10735 +tp10736 +a(g29 +V +tp10739 +a(g189 +V rmon +p10740 +tp10741 +a(g29 +V +p10742 +tp10743 +a(g189 +V\u000a +p10744 +tp10745 +a(g29 +V +tp10748 +a(g189 +V route-map +p10749 +tp10750 +a(g29 +V +p10751 +tp10752 +a(g189 +V\u000a +p10753 +tp10754 +a(g29 +V +tp10757 +a(g189 +V router +p10758 +tp10759 +a(g29 +V +p10760 +tp10761 +a(g189 +V\u000a +p10762 +tp10763 +a(g29 +V +tp10766 +a(g189 +V rtr +p10767 +tp10768 +a(g29 +V +p10769 +tp10770 +a(g189 +V\u000a +p10771 +tp10772 +a(g29 +V +tp10775 +a(g189 +V scheduler +p10776 +tp10777 +a(g29 +V +p10778 +tp10779 +a(g189 +V\u000a +p10780 +tp10781 +a(g29 +V +tp10784 +a(g189 +V service +p10785 +tp10786 +a(g29 +V +p10787 +tp10788 +a(g189 +V\u000a +p10789 +tp10790 +a(g29 +V +tp10793 +a(g189 +V snmp-server +p10794 +tp10795 +a(g29 +V +p10796 +tp10797 +a(g189 +V\u000a +p10798 +tp10799 +a(g29 +V +tp10802 +a(g189 +V sntp +p10803 +tp10804 +a(g29 +V +p10805 +tp10806 +a(g189 +V\u000a +p10807 +tp10808 +a(g29 +V +tp10811 +a(g189 +V stackmaker +p10812 +tp10813 +a(g29 +V +p10814 +tp10815 +a(g189 +V\u000a +p10816 +tp10817 +a(g29 +V +tp10820 +a(g189 +V state-machine +p10821 +tp10822 +a(g29 +V +p10823 +tp10824 +a(g189 +V\u000a +p10825 +tp10826 +a(g29 +V +tp10829 +a(g189 +V subscriber-policy +p10830 +tp10831 +a(g29 +V +p10832 +tp10833 +a(g189 +V\u000a +p10834 +tp10835 +a(g29 +V +tp10838 +a(g189 +V tacacs-server +p10839 +tp10840 +a(g29 +V +p10841 +tp10842 +a(g189 +V\u000a +p10843 +tp10844 +a(g29 +V +tp10847 +a(g189 +V template +p10848 +tp10849 +a(g29 +V +p10850 +tp10851 +a(g189 +V\u000a +p10852 +tp10853 +a(g29 +V +tp10856 +a(g189 +V terminal-queue +p10857 +tp10858 +a(g29 +V +p10859 +tp10860 +a(g189 +V\u000a +p10861 +tp10862 +a(g29 +V +tp10865 +a(g189 +V tftp-server +p10866 +tp10867 +a(g29 +V +p10868 +tp10869 +a(g189 +V\u000a +p10870 +tp10871 +a(g29 +V +tp10874 +a(g189 +V time-range +p10875 +tp10876 +a(g29 +V +p10877 +tp10878 +a(g189 +V\u000a +p10879 +tp10880 +a(g29 +V +tp10883 +a(g189 +V username +p10884 +tp10885 +a(g29 +V +p10886 +tp10887 +a(g189 +V\u000a +p10888 +tp10889 +a(g29 +V +tp10892 +a(g189 +V virtual-profile +p10893 +tp10894 +a(g29 +V +p10895 +tp10896 +a(g189 +V\u000a +p10897 +tp10898 +a(g29 +V +tp10901 +a(g189 +V virtual-template +p10902 +tp10903 +a(g29 +V +p10904 +tp10905 +a(g189 +V\u000a +p10906 +tp10907 +a(g29 +V +tp10910 +a(g189 +V vpdn +p10911 +tp10912 +a(g29 +V +p10913 +tp10914 +a(g189 +V\u000a +p10915 +tp10916 +a(g29 +V +tp10919 +a(g189 +V vpdn-group +p10920 +tp10921 +a(g29 +V +p10922 +tp10923 +a(g189 +V\u000a +p10924 +tp10925 +a(g29 +V +tp10928 +a(g189 +V x25 +p10929 +tp10930 +a(g29 +V +p10931 +tp10932 +a(g189 +V\u000a +p10933 +tp10934 +a(g29 +V +tp10937 +a(g189 +V x29 +p10938 +tp10939 +a(g29 +V +p10940 +tp10941 +a(g189 +V\u000a +p10942 +tp10943 +a(g29 +V +p10944 +tp10945 +a(g189 +V\u000a +p10946 +tp10947 +a(g29 +V +tp10956 +a(g189 +V\u000a +p10957 +tp10958 +a(g29 +V +tp10961 +a(g189 +V accounting +p10962 +tp10963 +a(g29 +V +p10964 +tp10965 +a(g189 +V\u000a +p10966 +tp10967 +a(g29 +V +tp10970 +a(g189 +V accounting-list +p10971 +tp10972 +a(g29 +V +p10973 +tp10974 +a(g189 +V\u000a +p10975 +tp10976 +a(g29 +V +tp10979 +a(g189 +V accounting-threshold +p10980 +tp10981 +a(g29 +V +p10982 +tp10983 +a(g189 +V\u000a +p10984 +tp10985 +a(g29 +V +tp10988 +a(g189 +V accounting-transits +p10989 +tp10990 +a(g29 +V +p10991 +tp10992 +a(g189 +V\u000a +p10993 +tp10994 +a(g29 +V +tp10997 +a(g189 +V address-pool +p10998 +tp10999 +a(g29 +V +p11000 +tp11001 +a(g189 +V\u000a +p11002 +tp11003 +a(g29 +V +tp11006 +a(g189 +V as-path +p11007 +tp11008 +a(g29 +V +p11009 +tp11010 +a(g189 +V\u000a +p11011 +tp11012 +a(g29 +V +tp11015 +a(g189 +V audit +p11016 +tp11017 +a(g29 +V +p11018 +tp11019 +a(g189 +V\u000a +p11020 +tp11021 +a(g29 +V +tp11024 +a(g189 +V auth-proxy +p11025 +tp11026 +a(g29 +V +p11027 +tp11028 +a(g189 +V\u000a +p11029 +tp11030 +a(g29 +V +tp11033 +a(g189 +V authentication +p11034 +tp11035 +a(g29 +V +p11036 +tp11037 +a(g189 +V\u000a +p11038 +tp11039 +a(g29 +V +tp11042 +a(g189 +V authorization +p11043 +tp11044 +a(g29 +V +p11045 +tp11046 +a(g189 +V\u000a +p11047 +tp11048 +a(g29 +V +tp11051 +a(g189 +V bgp-community +p11052 +tp11053 +a(g29 +V +p11054 +tp11055 +a(g189 +V\u000a +p11056 +tp11057 +a(g29 +V +tp11060 +a(g189 +V bootp +p11061 +tp11062 +a(g29 +V +p11063 +tp11064 +a(g189 +V\u000a +p11065 +tp11066 +a(g29 +V +tp11069 +a(g189 +V cef +p11070 +tp11071 +a(g29 +V +p11072 +tp11073 +a(g189 +V\u000a +p11074 +tp11075 +a(g29 +V +tp11078 +a(g189 +V classless +p11079 +tp11080 +a(g29 +V +p11081 +tp11082 +a(g189 +V\u000a +p11083 +tp11084 +a(g29 +V +tp11087 +a(g189 +V community-list +p11088 +tp11089 +a(g29 +V +p11090 +tp11091 +a(g189 +V\u000a +p11092 +tp11093 +a(g29 +V +tp11096 +a(g189 +V default-gateway +p11097 +tp11098 +a(g29 +V +p11099 +tp11100 +a(g189 +V\u000a +p11101 +tp11102 +a(g29 +V +tp11105 +a(g189 +V default-network +p11106 +tp11107 +a(g29 +V +p11108 +tp11109 +a(g189 +V\u000a +p11110 +tp11111 +a(g29 +V +tp11114 +a(g189 +V dhcp +p11115 +tp11116 +a(g29 +V +p11117 +tp11118 +a(g189 +V\u000a +p11119 +tp11120 +a(g29 +V +tp11123 +a(g189 +V dhcp-server +p11124 +tp11125 +a(g29 +V +p11126 +tp11127 +a(g189 +V\u000a +p11128 +tp11129 +a(g29 +V +tp11132 +a(g189 +V domain-list +p11133 +tp11134 +a(g29 +V +p11135 +tp11136 +a(g189 +V\u000a +p11137 +tp11138 +a(g29 +V +tp11141 +a(g189 +V domain-lookup +p11142 +tp11143 +a(g29 +V +p11144 +tp11145 +a(g189 +V\u000a +p11146 +tp11147 +a(g29 +V +tp11150 +a(g189 +V domain-name +p11151 +tp11152 +a(g29 +V +p11153 +tp11154 +a(g189 +V\u000a +p11155 +tp11156 +a(g29 +V +tp11159 +a(g189 +V dvmrp +p11160 +tp11161 +a(g29 +V +p11162 +tp11163 +a(g189 +V\u000a +p11164 +tp11165 +a(g29 +V +tp11168 +a(g189 +V exec-callback +p11169 +tp11170 +a(g29 +V +p11171 +tp11172 +a(g189 +V\u000a +p11173 +tp11174 +a(g29 +V +tp11177 +a(g189 +V extcommunity-list +p11178 +tp11179 +a(g29 +V +p11180 +tp11181 +a(g189 +V\u000a +p11182 +tp11183 +a(g29 +V +tp11186 +a(g189 +V finger +p11187 +tp11188 +a(g29 +V +p11189 +tp11190 +a(g189 +V\u000a +p11191 +tp11192 +a(g29 +V +tp11195 +a(g189 +V flow-aggregation +p11196 +tp11197 +a(g29 +V +p11198 +tp11199 +a(g189 +V\u000a +p11200 +tp11201 +a(g29 +V +tp11204 +a(g189 +V flow-cache +p11205 +tp11206 +a(g29 +V +p11207 +tp11208 +a(g189 +V\u000a +p11209 +tp11210 +a(g29 +V +tp11213 +a(g189 +V flow-export +p11214 +tp11215 +a(g29 +V +p11216 +tp11217 +a(g189 +V\u000a +p11218 +tp11219 +a(g29 +V +tp11222 +a(g189 +V forward-protocol +p11223 +tp11224 +a(g29 +V +p11225 +tp11226 +a(g189 +V\u000a +p11227 +tp11228 +a(g29 +V +tp11231 +a(g189 +V ftp +p11232 +tp11233 +a(g29 +V +p11234 +tp11235 +a(g189 +V\u000a +p11236 +tp11237 +a(g29 +V +tp11240 +a(g189 +V gratuitous-arps +p11241 +tp11242 +a(g29 +V +p11243 +tp11244 +a(g189 +V\u000a +p11245 +tp11246 +a(g29 +V +tp11249 +a(g189 +V host +p11250 +tp11251 +a(g29 +V +p11252 +tp11253 +a(g189 +V\u000a +p11254 +tp11255 +a(g29 +V +tp11258 +a(g189 +V host-routing +p11259 +tp11260 +a(g29 +V +p11261 +tp11262 +a(g189 +V\u000a +p11263 +tp11264 +a(g29 +V +tp11267 +a(g189 +V hp-host +p11268 +tp11269 +a(g29 +V +p11270 +tp11271 +a(g189 +V\u000a +p11272 +tp11273 +a(g29 +V +tp11276 +a(g189 +V http +p11277 +tp11278 +a(g29 +V +p11279 +tp11280 +a(g189 +V\u000a +p11281 +tp11282 +a(g29 +V +tp11285 +a(g189 +V icmp +p11286 +tp11287 +a(g29 +V +p11288 +tp11289 +a(g189 +V\u000a +p11290 +tp11291 +a(g29 +V +tp11294 +a(g189 +V inspect +p11295 +tp11296 +a(g29 +V +p11297 +tp11298 +a(g189 +V\u000a +p11299 +tp11300 +a(g29 +V +tp11303 +a(g189 +V local +p11304 +tp11305 +a(g29 +V +p11306 +tp11307 +a(g189 +V\u000a +p11308 +tp11309 +a(g29 +V +tp11312 +a(g189 +V mrm +p11313 +tp11314 +a(g29 +V +p11315 +tp11316 +a(g189 +V\u000a +p11317 +tp11318 +a(g29 +V +tp11321 +a(g189 +V mroute +p11322 +tp11323 +a(g29 +V +p11324 +tp11325 +a(g189 +V\u000a +p11326 +tp11327 +a(g29 +V +tp11330 +a(g189 +V msdp +p11331 +tp11332 +a(g29 +V +p11333 +tp11334 +a(g189 +V\u000a +p11335 +tp11336 +a(g29 +V +tp11339 +a(g189 +V multicast +p11340 +tp11341 +a(g29 +V +p11342 +tp11343 +a(g189 +V\u000a +p11344 +tp11345 +a(g29 +V +tp11348 +a(g189 +V multicast-routing +p11349 +tp11350 +a(g29 +V +p11351 +tp11352 +a(g189 +V\u000a +p11353 +tp11354 +a(g29 +V +tp11357 +a(g189 +V name-server +p11358 +tp11359 +a(g29 +V +p11360 +tp11361 +a(g189 +V\u000a +p11362 +tp11363 +a(g29 +V +tp11366 +a(g189 +V nat +p11367 +tp11368 +a(g29 +V +p11369 +tp11370 +a(g189 +V\u000a +p11371 +tp11372 +a(g29 +V +tp11375 +a(g189 +V new-model +p11376 +tp11377 +a(g29 +V +p11378 +tp11379 +a(g189 +V\u000a +p11380 +tp11381 +a(g29 +V +tp11384 +a(g189 +V ospf +p11385 +tp11386 +a(g29 +V +p11387 +tp11388 +a(g189 +V\u000a +p11389 +tp11390 +a(g29 +V +tp11393 +a(g189 +V password +p11394 +tp11395 +a(g29 +V +p11396 +tp11397 +a(g189 +V\u000a +p11398 +tp11399 +a(g29 +V +tp11402 +a(g189 +V password-encryption +p11403 +tp11404 +a(g29 +V +p11405 +tp11406 +a(g189 +V\u000a +p11407 +tp11408 +a(g29 +V +tp11411 +a(g189 +V pgm +p11412 +tp11413 +a(g29 +V +p11414 +tp11415 +a(g189 +V\u000a +p11416 +tp11417 +a(g29 +V +tp11420 +a(g189 +V pim +p11421 +tp11422 +a(g29 +V +p11423 +tp11424 +a(g189 +V\u000a +p11425 +tp11426 +a(g29 +V +tp11429 +a(g189 +V port-map +p11430 +tp11431 +a(g29 +V +p11432 +tp11433 +a(g189 +V\u000a +p11434 +tp11435 +a(g29 +V +tp11438 +a(g189 +V prefix-list +p11439 +tp11440 +a(g29 +V +p11441 +tp11442 +a(g189 +V\u000a +p11443 +tp11444 +a(g29 +V +tp11447 +a(g189 +V radius +p11448 +tp11449 +a(g29 +V +p11450 +tp11451 +a(g189 +V\u000a +p11452 +tp11453 +a(g29 +V +tp11456 +a(g189 +V rcmd +p11457 +tp11458 +a(g29 +V +p11459 +tp11460 +a(g189 +V\u000a +p11461 +tp11462 +a(g29 +V +tp11465 +a(g189 +V reflexive-list +p11466 +tp11467 +a(g29 +V +p11468 +tp11469 +a(g189 +V\u000a +p11470 +tp11471 +a(g29 +V +tp11474 +a(g189 +V route +p11475 +tp11476 +a(g29 +V +p11477 +tp11478 +a(g189 +V\u000a +p11479 +tp11480 +a(g29 +V +tp11483 +a(g189 +V routing +p11484 +tp11485 +a(g29 +V +p11486 +tp11487 +a(g189 +V\u000a +p11488 +tp11489 +a(g29 +V +tp11492 +a(g189 +V rsvp +p11493 +tp11494 +a(g29 +V +p11495 +tp11496 +a(g189 +V\u000a +p11497 +tp11498 +a(g29 +V +tp11501 +a(g189 +V rtcp +p11502 +tp11503 +a(g29 +V +p11504 +tp11505 +a(g189 +V\u000a +p11506 +tp11507 +a(g29 +V +tp11510 +a(g189 +V sap +p11511 +tp11512 +a(g29 +V +p11513 +tp11514 +a(g189 +V\u000a +p11515 +tp11516 +a(g29 +V +tp11519 +a(g189 +V sdr +p11520 +tp11521 +a(g29 +V +p11522 +tp11523 +a(g189 +V\u000a +p11524 +tp11525 +a(g29 +V +tp11528 +a(g189 +V security +p11529 +tp11530 +a(g29 +V +p11531 +tp11532 +a(g189 +V\u000a +p11533 +tp11534 +a(g29 +V +tp11537 +a(g189 +V source-route +p11538 +tp11539 +a(g29 +V +p11540 +tp11541 +a(g189 +V\u000a +p11542 +tp11543 +a(g29 +V +tp11546 +a(g189 +V subnet-zero +p11547 +tp11548 +a(g29 +V +p11549 +tp11550 +a(g189 +V\u000a +p11551 +tp11552 +a(g29 +V +tp11555 +a(g189 +V tacacs +p11556 +tp11557 +a(g29 +V +p11558 +tp11559 +a(g189 +V\u000a +p11560 +tp11561 +a(g29 +V +tp11564 +a(g189 +V tcp +p11565 +tp11566 +a(g29 +V +p11567 +tp11568 +a(g189 +V\u000a +p11569 +tp11570 +a(g29 +V +tp11573 +a(g189 +V tcp-small-servers +p11574 +tp11575 +a(g29 +V +p11576 +tp11577 +a(g189 +V\u000a +p11578 +tp11579 +a(g29 +V +tp11582 +a(g189 +V telnet +p11583 +tp11584 +a(g29 +V +p11585 +tp11586 +a(g189 +V\u000a +p11587 +tp11588 +a(g29 +V +tp11591 +a(g189 +V tftp +p11592 +tp11593 +a(g29 +V +p11594 +tp11595 +a(g189 +V\u000a +p11596 +tp11597 +a(g29 +V +tp11600 +a(g189 +V timestamps +p11601 +tp11602 +a(g29 +V +p11603 +tp11604 +a(g189 +V\u000a +p11605 +tp11606 +a(g29 +V +tp11609 +a(g189 +V udp-small-servers +p11610 +tp11611 +a(g29 +V +p11612 +tp11613 +a(g189 +V\u000a +p11614 +tp11615 +a(g29 +V +tp11618 +a(g189 +V vrf +p11619 +tp11620 +a(g29 +V +p11621 +tp11622 +a(g189 +V\u000a +p11623 +tp11624 +a(g29 +V +tp11627 +a(g189 +V wccp +p11628 +tp11629 +a(g29 +V +p11630 +tp11631 +a(g189 +V\u000a +p11632 +tp11633 +a(g29 +V +p11634 +tp11635 +a(g189 +V\u000a +p11636 +tp11637 +a(g29 +V +tp11646 +a(g189 +V\u000a +p11647 +tp11648 +a(g29 +V +tp11651 +a(g189 +V accounting +p11652 +tp11653 +a(g29 +V +p11654 +tp11655 +a(g189 +V\u000a +p11656 +tp11657 +a(g29 +V +tp11660 +a(g189 +V accounting-list +p11661 +tp11662 +a(g29 +V +p11663 +tp11664 +a(g189 +V\u000a +p11665 +tp11666 +a(g29 +V +tp11669 +a(g189 +V accounting-threshold +p11670 +tp11671 +a(g29 +V +p11672 +tp11673 +a(g189 +V\u000a +p11674 +tp11675 +a(g29 +V +tp11678 +a(g189 +V accounting-transits +p11679 +tp11680 +a(g29 +V +p11681 +tp11682 +a(g189 +V\u000a +p11683 +tp11684 +a(g29 +V +tp11687 +a(g189 +V address-pool +p11688 +tp11689 +a(g29 +V +p11690 +tp11691 +a(g189 +V\u000a +p11692 +tp11693 +a(g29 +V +tp11696 +a(g189 +V as-path +p11697 +tp11698 +a(g29 +V +p11699 +tp11700 +a(g189 +V\u000a +p11701 +tp11702 +a(g29 +V +tp11705 +a(g189 +V audit +p11706 +tp11707 +a(g29 +V +p11708 +tp11709 +a(g189 +V\u000a +p11710 +tp11711 +a(g29 +V +tp11714 +a(g189 +V auth-proxy +p11715 +tp11716 +a(g29 +V +p11717 +tp11718 +a(g189 +V\u000a +p11719 +tp11720 +a(g29 +V +tp11723 +a(g189 +V authentication +p11724 +tp11725 +a(g29 +V +p11726 +tp11727 +a(g189 +V\u000a +p11728 +tp11729 +a(g29 +V +tp11732 +a(g189 +V authorization +p11733 +tp11734 +a(g29 +V +p11735 +tp11736 +a(g189 +V\u000a +p11737 +tp11738 +a(g29 +V +tp11741 +a(g189 +V bgp-community +p11742 +tp11743 +a(g29 +V +p11744 +tp11745 +a(g189 +V\u000a +p11746 +tp11747 +a(g29 +V +tp11750 +a(g189 +V bootp +p11751 +tp11752 +a(g29 +V +p11753 +tp11754 +a(g189 +V\u000a +p11755 +tp11756 +a(g29 +V +tp11759 +a(g189 +V cef +p11760 +tp11761 +a(g29 +V +p11762 +tp11763 +a(g189 +V\u000a +p11764 +tp11765 +a(g29 +V +tp11768 +a(g189 +V classless +p11769 +tp11770 +a(g29 +V +p11771 +tp11772 +a(g189 +V\u000a +p11773 +tp11774 +a(g29 +V +tp11777 +a(g189 +V community-list +p11778 +tp11779 +a(g29 +V +p11780 +tp11781 +a(g189 +V\u000a +p11782 +tp11783 +a(g29 +V +tp11786 +a(g189 +V default-gateway +p11787 +tp11788 +a(g29 +V +p11789 +tp11790 +a(g189 +V\u000a +p11791 +tp11792 +a(g29 +V +tp11795 +a(g189 +V default-network +p11796 +tp11797 +a(g29 +V +p11798 +tp11799 +a(g189 +V\u000a +p11800 +tp11801 +a(g29 +V +tp11804 +a(g189 +V dhcp +p11805 +tp11806 +a(g29 +V +p11807 +tp11808 +a(g189 +V\u000a +p11809 +tp11810 +a(g29 +V +tp11813 +a(g189 +V dhcp-server +p11814 +tp11815 +a(g29 +V +p11816 +tp11817 +a(g189 +V\u000a +p11818 +tp11819 +a(g29 +V +tp11822 +a(g189 +V domain-list +p11823 +tp11824 +a(g29 +V +p11825 +tp11826 +a(g189 +V\u000a +p11827 +tp11828 +a(g29 +V +tp11831 +a(g189 +V domain-lookup +p11832 +tp11833 +a(g29 +V +p11834 +tp11835 +a(g189 +V\u000a +p11836 +tp11837 +a(g29 +V +tp11840 +a(g189 +V domain-name +p11841 +tp11842 +a(g29 +V +p11843 +tp11844 +a(g189 +V\u000a +p11845 +tp11846 +a(g29 +V +tp11849 +a(g189 +V dvmrp +p11850 +tp11851 +a(g29 +V +p11852 +tp11853 +a(g189 +V\u000a +p11854 +tp11855 +a(g29 +V +tp11858 +a(g189 +V exec-callback +p11859 +tp11860 +a(g29 +V +p11861 +tp11862 +a(g189 +V\u000a +p11863 +tp11864 +a(g29 +V +tp11867 +a(g189 +V extcommunity-list +p11868 +tp11869 +a(g29 +V +p11870 +tp11871 +a(g189 +V\u000a +p11872 +tp11873 +a(g29 +V +tp11876 +a(g189 +V finger +p11877 +tp11878 +a(g29 +V +p11879 +tp11880 +a(g189 +V\u000a +p11881 +tp11882 +a(g29 +V +tp11885 +a(g189 +V flow-aggregation +p11886 +tp11887 +a(g29 +V +p11888 +tp11889 +a(g189 +V\u000a +p11890 +tp11891 +a(g29 +V +tp11894 +a(g189 +V flow-cache +p11895 +tp11896 +a(g29 +V +p11897 +tp11898 +a(g189 +V\u000a +p11899 +tp11900 +a(g29 +V +tp11903 +a(g189 +V flow-export +p11904 +tp11905 +a(g29 +V +p11906 +tp11907 +a(g189 +V\u000a +p11908 +tp11909 +a(g29 +V +tp11912 +a(g189 +V forward-protocol +p11913 +tp11914 +a(g29 +V +p11915 +tp11916 +a(g189 +V\u000a +p11917 +tp11918 +a(g29 +V +tp11921 +a(g189 +V ftp +p11922 +tp11923 +a(g29 +V +p11924 +tp11925 +a(g189 +V\u000a +p11926 +tp11927 +a(g29 +V +tp11930 +a(g189 +V gratuitous-arps +p11931 +tp11932 +a(g29 +V +p11933 +tp11934 +a(g189 +V\u000a +p11935 +tp11936 +a(g29 +V +tp11939 +a(g189 +V host +p11940 +tp11941 +a(g29 +V +p11942 +tp11943 +a(g189 +V\u000a +p11944 +tp11945 +a(g29 +V +tp11948 +a(g189 +V host-routing +p11949 +tp11950 +a(g29 +V +p11951 +tp11952 +a(g189 +V\u000a +p11953 +tp11954 +a(g29 +V +tp11957 +a(g189 +V hp-host +p11958 +tp11959 +a(g29 +V +p11960 +tp11961 +a(g189 +V\u000a +p11962 +tp11963 +a(g29 +V +tp11966 +a(g189 +V http +p11967 +tp11968 +a(g29 +V +p11969 +tp11970 +a(g189 +V\u000a +p11971 +tp11972 +a(g29 +V +tp11975 +a(g189 +V icmp +p11976 +tp11977 +a(g29 +V +p11978 +tp11979 +a(g189 +V\u000a +p11980 +tp11981 +a(g29 +V +tp11984 +a(g189 +V inspect +p11985 +tp11986 +a(g29 +V +p11987 +tp11988 +a(g189 +V\u000a +p11989 +tp11990 +a(g29 +V +tp11993 +a(g189 +V local +p11994 +tp11995 +a(g29 +V +p11996 +tp11997 +a(g189 +V\u000a +p11998 +tp11999 +a(g29 +V +tp12002 +a(g189 +V mrm +p12003 +tp12004 +a(g29 +V +p12005 +tp12006 +a(g189 +V\u000a +p12007 +tp12008 +a(g29 +V +tp12011 +a(g189 +V mroute +p12012 +tp12013 +a(g29 +V +p12014 +tp12015 +a(g189 +V\u000a +p12016 +tp12017 +a(g29 +V +tp12020 +a(g189 +V msdp +p12021 +tp12022 +a(g29 +V +p12023 +tp12024 +a(g189 +V\u000a +p12025 +tp12026 +a(g29 +V +tp12029 +a(g189 +V multicast +p12030 +tp12031 +a(g29 +V +p12032 +tp12033 +a(g189 +V\u000a +p12034 +tp12035 +a(g29 +V +tp12038 +a(g189 +V multicast-routing +p12039 +tp12040 +a(g29 +V +p12041 +tp12042 +a(g189 +V\u000a +p12043 +tp12044 +a(g29 +V +tp12047 +a(g189 +V name-server +p12048 +tp12049 +a(g29 +V +p12050 +tp12051 +a(g189 +V\u000a +p12052 +tp12053 +a(g29 +V +tp12056 +a(g189 +V nat +p12057 +tp12058 +a(g29 +V +p12059 +tp12060 +a(g189 +V\u000a +p12061 +tp12062 +a(g29 +V +tp12065 +a(g189 +V new-model +p12066 +tp12067 +a(g29 +V +p12068 +tp12069 +a(g189 +V\u000a +p12070 +tp12071 +a(g29 +V +tp12074 +a(g189 +V ospf +p12075 +tp12076 +a(g29 +V +p12077 +tp12078 +a(g189 +V\u000a +p12079 +tp12080 +a(g29 +V +tp12083 +a(g189 +V password +p12084 +tp12085 +a(g29 +V +p12086 +tp12087 +a(g189 +V\u000a +p12088 +tp12089 +a(g29 +V +tp12092 +a(g189 +V password-encryption +p12093 +tp12094 +a(g29 +V +p12095 +tp12096 +a(g189 +V\u000a +p12097 +tp12098 +a(g29 +V +tp12101 +a(g189 +V pgm +p12102 +tp12103 +a(g29 +V +p12104 +tp12105 +a(g189 +V\u000a +p12106 +tp12107 +a(g29 +V +tp12110 +a(g189 +V pim +p12111 +tp12112 +a(g29 +V +p12113 +tp12114 +a(g189 +V\u000a +p12115 +tp12116 +a(g29 +V +tp12119 +a(g189 +V port-map +p12120 +tp12121 +a(g29 +V +p12122 +tp12123 +a(g189 +V\u000a +p12124 +tp12125 +a(g29 +V +tp12128 +a(g189 +V prefix-list +p12129 +tp12130 +a(g29 +V +p12131 +tp12132 +a(g189 +V\u000a +p12133 +tp12134 +a(g29 +V +tp12137 +a(g189 +V radius +p12138 +tp12139 +a(g29 +V +p12140 +tp12141 +a(g189 +V\u000a +p12142 +tp12143 +a(g29 +V +tp12146 +a(g189 +V rcmd +p12147 +tp12148 +a(g29 +V +p12149 +tp12150 +a(g189 +V\u000a +p12151 +tp12152 +a(g29 +V +tp12155 +a(g189 +V reflexive-list +p12156 +tp12157 +a(g29 +V +p12158 +tp12159 +a(g189 +V\u000a +p12160 +tp12161 +a(g29 +V +tp12164 +a(g189 +V route +p12165 +tp12166 +a(g29 +V +p12167 +tp12168 +a(g189 +V\u000a +p12169 +tp12170 +a(g29 +V +tp12173 +a(g189 +V routing +p12174 +tp12175 +a(g29 +V +p12176 +tp12177 +a(g189 +V\u000a +p12178 +tp12179 +a(g29 +V +tp12182 +a(g189 +V rsvp +p12183 +tp12184 +a(g29 +V +p12185 +tp12186 +a(g189 +V\u000a +p12187 +tp12188 +a(g29 +V +tp12191 +a(g189 +V rtcp +p12192 +tp12193 +a(g29 +V +p12194 +tp12195 +a(g189 +V\u000a +p12196 +tp12197 +a(g29 +V +tp12200 +a(g189 +V sap +p12201 +tp12202 +a(g29 +V +p12203 +tp12204 +a(g189 +V\u000a +p12205 +tp12206 +a(g29 +V +tp12209 +a(g189 +V sdr +p12210 +tp12211 +a(g29 +V +p12212 +tp12213 +a(g189 +V\u000a +p12214 +tp12215 +a(g29 +V +tp12218 +a(g189 +V security +p12219 +tp12220 +a(g29 +V +p12221 +tp12222 +a(g189 +V\u000a +p12223 +tp12224 +a(g29 +V +tp12227 +a(g189 +V source-route +p12228 +tp12229 +a(g29 +V +p12230 +tp12231 +a(g189 +V\u000a +p12232 +tp12233 +a(g29 +V +tp12236 +a(g189 +V subnet-zero +p12237 +tp12238 +a(g29 +V +p12239 +tp12240 +a(g189 +V\u000a +p12241 +tp12242 +a(g29 +V +tp12245 +a(g189 +V tacacs +p12246 +tp12247 +a(g29 +V +p12248 +tp12249 +a(g189 +V\u000a +p12250 +tp12251 +a(g29 +V +tp12254 +a(g189 +V tcp +p12255 +tp12256 +a(g29 +V +p12257 +tp12258 +a(g189 +V\u000a +p12259 +tp12260 +a(g29 +V +tp12263 +a(g189 +V tcp-small-servers +p12264 +tp12265 +a(g29 +V +p12266 +tp12267 +a(g189 +V\u000a +p12268 +tp12269 +a(g29 +V +tp12272 +a(g189 +V telnet +p12273 +tp12274 +a(g29 +V +p12275 +tp12276 +a(g189 +V\u000a +p12277 +tp12278 +a(g29 +V +tp12281 +a(g189 +V tftp +p12282 +tp12283 +a(g29 +V +p12284 +tp12285 +a(g189 +V\u000a +p12286 +tp12287 +a(g29 +V +tp12290 +a(g189 +V timestamps +p12291 +tp12292 +a(g29 +V +p12293 +tp12294 +a(g189 +V\u000a +p12295 +tp12296 +a(g29 +V +tp12299 +a(g189 +V udp-small-servers +p12300 +tp12301 +a(g29 +V +p12302 +tp12303 +a(g189 +V\u000a +p12304 +tp12305 +a(g29 +V +tp12308 +a(g189 +V vrf +p12309 +tp12310 +a(g29 +V +p12311 +tp12312 +a(g189 +V\u000a +p12313 +tp12314 +a(g29 +V +tp12317 +a(g189 +V wccp +p12318 +tp12319 +a(g29 +V +p12320 +tp12321 +a(g189 +V\u000a +p12322 +tp12323 +a(g29 +V +p12324 +tp12325 +a(g189 +V\u000a +p12326 +tp12327 +a(g29 +V +tp12330 +a(g189 +V\u000a +p12331 +tp12332 +a(g29 +V +tp12353 +a(g189 +V\u000a +p12354 +tp12355 +a(g29 +V +p12383 +tp12384 +a(g189 +V\u000a +p12385 +tp12386 +a(g29 +V +p12414 +tp12415 +a(g189 +V\u000a +p12416 +tp12417 +a(g29 +V +p12450 +tp12451 +a(g189 +V\u000a +p12452 +tp12453 +a(g29 +V +p12481 +tp12482 +a(g189 +V\u000a +p12483 +tp12484 +a(g29 +V +p12512 +tp12513 +a(g189 +V\u000a +p12514 +tp12515 +a(g29 +V +p12543 +tp12544 +a(g189 +V\u000a +p12545 +tp12546 +a(g29 +V +p12574 +tp12575 +a(g189 +V\u000a +p12576 +tp12577 +a(g29 +V +p12605 +tp12606 +a(g189 +V\u000a +p12607 +tp12608 +a(g29 +V +p12636 +tp12637 +a(g189 +V\u000a +p12638 +tp12639 +a(g29 +V +p12662 +tp12663 +a(g189 +V\u000a +p12664 +tp12665 +a(g29 +V +p12688 +tp12689 +a(g189 +V\u000a\u000a +p12690 +tp12691 +a(g29 +V +p12712 +tp12713 +a(g189 +V\u000a +p12714 +tp12715 +a(g29 +V +p12736 +tp12737 +a(g189 +V\u000a +p12738 +tp12739 +a(g29 +V +p12760 +tp12761 +a(g189 +V\u000a +p12762 +tp12763 +a(g29 +V +p12778 +tp12779 +a(g189 +V\u000a +p12780 +tp12781 +a(g29 +V +p12802 +tp12803 +a(g189 +V\u000a +p12804 +tp12805 +a(g29 +V +p12832 +tp12833 +a(g189 +V\u000a +p12834 +tp12835 +a(g29 +V +p12856 +tp12857 +a(g189 +V\u000a +p12858 +tp12859 +a(g29 +V +p12879 +tp12880 +a(g189 +V\u000a +p12881 +tp12882 +a(g29 +V +p12902 +tp12903 +a(g189 +V\u000a +p12904 +tp12905 +a(g29 +V +p12932 +tp12933 +a(g189 +V\u000a +p12934 +tp12935 +a(g29 +V +p12955 +tp12956 +a(g189 +V\u000a\u000a +p12957 +tp12958 +a(g29 +V +p12959 +tp12960 +a(g189 +V\u000a +p12961 +tp12962 +a(g29 +V +tp12982 +a(g189 +V\u000a +p12983 +tp12984 +a(g29 +V +p13005 +tp13006 +a(g189 +V\u000a +p13007 +tp13008 +a(g29 +V +p13029 +tp13030 +a(g189 +V\u000a +p13031 +tp13032 +a(g29 +V +p13053 +tp13054 +a(g189 +V\u000a +p13055 +tp13056 +a(g29 +V +p13057 +tp13058 +a(g189 +V\u000a +p13059 +tp13060 +a(g29 +V +tp13080 +a(g189 +V\u000a +p13081 +tp13082 +a(g29 +V +p13103 +tp13104 +a(g189 +V\u000a +p13105 +tp13106 +a(g29 +V +p13127 +tp13128 +a(g189 +V\u000a +p13129 +tp13130 +a(g29 +V +p13151 +tp13152 +a(g189 +V\u000a +p13153 +tp13154 +a(g29 +V +p13155 +tp13156 +a(g189 +V\u000a +p13157 +tp13158 +a(g29 +V +tp13178 +a(g189 +V\u000a +p13179 +tp13180 +a(g29 +V +p13201 +tp13202 +a(g189 +V\u000a +p13203 +tp13204 +a(g29 +V +p13225 +tp13226 +a(g189 +V\u000a +p13227 +tp13228 +a(g29 +V +p13249 +tp13250 +a(g189 +V\u000a +p13251 +tp13252 +a(g29 +V +p13253 +tp13254 +a(g189 +V\u000a +p13255 +tp13256 +a(g29 +V +tp13276 +a(g189 +V\u000a +p13277 +tp13278 +a(g29 +V +p13298 +tp13299 +a(g189 +V\u000a +p13300 +tp13301 +a(g29 +V +p13302 +tp13303 +a(g189 +V\u000a +p13304 +tp13305 +a(g29 +V +p13306 +tp13307 +a(g189 +V\u000a +p13308 +tp13309 +a(g29 +V +tp13312 +a(g189 +V\u000a +p13313 +tp13314 +a(g29 +V +p13329 +tp13330 +a(g189 +V\u000a +p13331 +tp13332 +a(g29 +V +p13347 +tp13348 +a(g189 +V\u000a +p13349 +tp13350 +a(g29 +V +p13365 +tp13366 +a(g189 +V\u000a +p13367 +tp13368 +a(g29 +V +p13383 +tp13384 +a(g189 +V\u000a +p13385 +tp13386 +a(g29 +V +p13401 +tp13402 +a(g189 +V\u000a +p13403 +tp13404 +a(g29 +V +p13419 +tp13420 +a(g189 +V\u000a +p13421 +tp13422 +a(g29 +V +p13437 +tp13438 +a(g189 +V\u000a +p13439 +tp13440 +a(g29 +V +p13455 +tp13456 +a(g189 +V\u000a +p13457 +tp13458 +a(g29 +V +p13473 +tp13474 +a(g189 +V\u000a +p13475 +tp13476 +a(g29 +V +p13491 +tp13492 +a(g189 +V\u000a +p13493 +tp13494 +a(g29 +V +p13509 +tp13510 +a(g189 +V\u000a +p13511 +tp13512 +a(g29 +V +p13513 +tp13514 +a(g189 +V\u000a +p13515 +tp13516 +a(g29 +V +p13517 +tp13518 +a(g189 +V\u000a +p13519 +tp13520 +a(g29 +V +tp13523 +a(g189 +V\u000a +p13524 +tp13525 +a(g29 +V +tp13528 +a(g189 +V\u000a +p13529 +tp13530 +a(g29 +V +p13543 +tp13544 +a(g189 +V\u000a +p13545 +tp13546 +a(g29 +V +p13547 +tp13548 +a(g189 +V\u000a +p13549 +tp13550 +a(g29 +V +p13558 +tp13559 +a(g189 +V\u000a +p13560 +tp13561 +a(g29 +V +p13562 +tp13563 +a(g189 +V\u000a +tp13564 +a(g29 +V +p13565 +tp13566 +a(g189 +V\u000a +tp13567 +a(g7 +V +p13568 +tp13569 +a(g189 +V\u000a +tp13570 +a(g7 +V +p13571 +tp13572 +a(g189 +V\u000a +tp13573 +a(g29 +V +tp13606 +a(g189 +V\u000a\u000a +p13607 +tp13608 +a(g29 +V +tp13611 +a(g189 +V\u000a\u000a +p13612 +tp13613 +a(g29 +V +tp13621 +a(g189 +V\u000a\u000a +p13622 +tp13623 +a(g29 +V +tp13626 +a(g189 +V if +p13627 +tp13628 +a(g29 +V +p13629 +tp13630 +a(g189 +V\u000a +p13631 +tp13632 +a(g29 +V +tp13635 +a(g189 +V else +p13636 +tp13637 +a(g29 +V +p13638 +tp13639 +a(g189 +V\u000a +p13640 +tp13641 +a(g29 +V +tp13644 +a(g189 +V for +p13645 +tp13646 +a(g29 +V +p13647 +tp13648 +a(g189 +V\u000a +p13649 +tp13650 +a(g29 +V +tp13653 +a(g189 +V in +p13654 +tp13655 +a(g29 +V +p13656 +tp13657 +a(g189 +V\u000a +p13658 +tp13659 +a(g29 +V +tp13662 +a(g189 +V while +p13663 +tp13664 +a(g29 +V +p13665 +tp13666 +a(g189 +V\u000a +p13667 +tp13668 +a(g29 +V +tp13671 +a(g189 +V do +p13672 +tp13673 +a(g29 +V +p13674 +tp13675 +a(g189 +V\u000a +p13676 +tp13677 +a(g29 +V +tp13680 +a(g189 +V continue +p13681 +tp13682 +a(g29 +V +p13683 +tp13684 +a(g189 +V\u000a +p13685 +tp13686 +a(g29 +V +tp13689 +a(g189 +V break +p13690 +tp13691 +a(g29 +V +p13692 +tp13693 +a(g189 +V\u000a +p13694 +tp13695 +a(g29 +V +tp13698 +a(g189 +V with +p13699 +tp13700 +a(g29 +V +p13701 +tp13702 +a(g189 +V\u000a +p13703 +tp13704 +a(g29 +V +tp13707 +a(g189 +V try +p13708 +tp13709 +a(g29 +V +p13710 +tp13711 +a(g189 +V\u000a +p13712 +tp13713 +a(g29 +V +tp13716 +a(g189 +V catch +p13717 +tp13718 +a(g29 +V +p13719 +tp13720 +a(g189 +V\u000a +p13721 +tp13722 +a(g29 +V +tp13725 +a(g189 +V switch +p13726 +tp13727 +a(g29 +V +p13728 +tp13729 +a(g189 +V\u000a +p13730 +tp13731 +a(g29 +V +tp13734 +a(g189 +V case +p13735 +tp13736 +a(g29 +V +p13737 +tp13738 +a(g189 +V\u000a +p13739 +tp13740 +a(g29 +V +tp13743 +a(g189 +V new +p13744 +tp13745 +a(g29 +V +p13746 +tp13747 +a(g189 +V\u000a +p13748 +tp13749 +a(g29 +V +tp13752 +a(g189 +V var +p13753 +tp13754 +a(g29 +V +p13755 +tp13756 +a(g189 +V\u000a +p13757 +tp13758 +a(g29 +V +tp13761 +a(g189 +V function +p13762 +tp13763 +a(g29 +V +p13764 +tp13765 +a(g189 +V\u000a +p13766 +tp13767 +a(g29 +V +tp13770 +a(g189 +V return +p13771 +tp13772 +a(g29 +V +p13773 +tp13774 +a(g189 +V\u000a +p13775 +tp13776 +a(g29 +V +tp13779 +a(g189 +V this +p13780 +tp13781 +a(g29 +V +p13782 +tp13783 +a(g189 +V\u000a +p13784 +tp13785 +a(g29 +V +tp13788 +a(g189 +V delete +p13789 +tp13790 +a(g29 +V +p13791 +tp13792 +a(g189 +V\u000a +p13793 +tp13794 +a(g29 +V +tp13797 +a(g189 +V true +p13798 +tp13799 +a(g29 +V +p13800 +tp13801 +a(g189 +V\u000a +p13802 +tp13803 +a(g29 +V +tp13806 +a(g189 +V false +p13807 +tp13808 +a(g29 +V +p13809 +tp13810 +a(g189 +V\u000a +p13811 +tp13812 +a(g29 +V +tp13815 +a(g189 +V void +p13816 +tp13817 +a(g29 +V +p13818 +tp13819 +a(g189 +V\u000a +p13820 +tp13821 +a(g29 +V +tp13824 +a(g189 +V throw +p13825 +tp13826 +a(g29 +V +p13827 +tp13828 +a(g189 +V\u000a +p13829 +tp13830 +a(g29 +V +tp13833 +a(g189 +V typeof +p13834 +tp13835 +a(g29 +V +p13836 +tp13837 +a(g189 +V\u000a +p13838 +tp13839 +a(g29 +V +tp13842 +a(g189 +V const +p13843 +tp13844 +a(g29 +V +p13845 +tp13846 +a(g189 +V\u000a +p13847 +tp13848 +a(g29 +V +tp13851 +a(g189 +V default +p13852 +tp13853 +a(g29 +V +p13854 +tp13855 +a(g189 +V\u000a\u000a +p13856 +tp13857 +a(g29 +V +p13858 +tp13859 +a(g189 +V\u000a\u000a +p13860 +tp13861 +a(g29 +V +tp13869 +a(g189 +V\u000a\u000a +p13870 +tp13871 +a(g29 +V +tp13874 +a(g189 +V Anchor +p13875 +tp13876 +a(g29 +V +p13877 +tp13878 +a(g189 +V\u000a +p13879 +tp13880 +a(g29 +V +tp13883 +a(g189 +V Applet +p13884 +tp13885 +a(g29 +V +p13886 +tp13887 +a(g189 +V\u000a +p13888 +tp13889 +a(g29 +V +tp13892 +a(g189 +V Area +p13893 +tp13894 +a(g29 +V +p13895 +tp13896 +a(g189 +V\u000a +p13897 +tp13898 +a(g29 +V +tp13901 +a(g189 +V Array +p13902 +tp13903 +a(g29 +V +p13904 +tp13905 +a(g189 +V\u000a +p13906 +tp13907 +a(g29 +V +tp13910 +a(g189 +V Boolean +p13911 +tp13912 +a(g29 +V +p13913 +tp13914 +a(g189 +V\u000a +p13915 +tp13916 +a(g29 +V +tp13919 +a(g189 +V Button +p13920 +tp13921 +a(g29 +V +p13922 +tp13923 +a(g189 +V\u000a +p13924 +tp13925 +a(g29 +V +tp13928 +a(g189 +V Checkbox +p13929 +tp13930 +a(g29 +V +p13931 +tp13932 +a(g189 +V\u000a +p13933 +tp13934 +a(g29 +V +tp13937 +a(g189 +V Date +p13938 +tp13939 +a(g29 +V +p13940 +tp13941 +a(g189 +V\u000a +p13942 +tp13943 +a(g29 +V +tp13946 +a(g189 +V Document +p13947 +tp13948 +a(g29 +V +p13949 +tp13950 +a(g189 +V\u000a +p13951 +tp13952 +a(g29 +V +tp13955 +a(g189 +V Event +p13956 +tp13957 +a(g29 +V +p13958 +tp13959 +a(g189 +V\u000a +p13960 +tp13961 +a(g29 +V +tp13964 +a(g189 +V FileUpload +p13965 +tp13966 +a(g29 +V +p13967 +tp13968 +a(g189 +V\u000a +p13969 +tp13970 +a(g29 +V +tp13973 +a(g189 +V Form +p13974 +tp13975 +a(g29 +V +p13976 +tp13977 +a(g189 +V\u000a +p13978 +tp13979 +a(g29 +V +tp13982 +a(g189 +V Frame +p13983 +tp13984 +a(g29 +V +p13985 +tp13986 +a(g189 +V\u000a +p13987 +tp13988 +a(g29 +V +tp13991 +a(g189 +V Function +p13992 +tp13993 +a(g29 +V +p13994 +tp13995 +a(g189 +V\u000a +p13996 +tp13997 +a(g29 +V +tp14000 +a(g189 +V Hidden +p14001 +tp14002 +a(g29 +V +p14003 +tp14004 +a(g189 +V\u000a +p14005 +tp14006 +a(g29 +V +tp14009 +a(g189 +V History +p14010 +tp14011 +a(g29 +V +p14012 +tp14013 +a(g189 +V\u000a +p14014 +tp14015 +a(g29 +V +tp14018 +a(g189 +V Image +p14019 +tp14020 +a(g29 +V +p14021 +tp14022 +a(g189 +V\u000a +p14023 +tp14024 +a(g29 +V +tp14027 +a(g189 +V Layer +p14028 +tp14029 +a(g29 +V +p14030 +tp14031 +a(g189 +V\u000a +p14032 +tp14033 +a(g29 +V +tp14036 +a(g189 +V Linke +p14037 +tp14038 +a(g29 +V +p14039 +tp14040 +a(g189 +V\u000a +p14041 +tp14042 +a(g29 +V +tp14045 +a(g189 +V Location +p14046 +tp14047 +a(g29 +V +p14048 +tp14049 +a(g189 +V\u000a +p14050 +tp14051 +a(g29 +V +tp14054 +a(g189 +V Math +p14055 +tp14056 +a(g29 +V +p14057 +tp14058 +a(g189 +V\u000a +p14059 +tp14060 +a(g29 +V +tp14063 +a(g189 +V Navigator +p14064 +tp14065 +a(g29 +V +p14066 +tp14067 +a(g189 +V\u000a +p14068 +tp14069 +a(g29 +V +tp14072 +a(g189 +V Number +p14073 +tp14074 +a(g29 +V +p14075 +tp14076 +a(g189 +V\u000a +p14077 +tp14078 +a(g29 +V +tp14081 +a(g189 +V Object +p14082 +tp14083 +a(g29 +V +p14084 +tp14085 +a(g189 +V\u000a +p14086 +tp14087 +a(g29 +V +tp14090 +a(g189 +V Option +p14091 +tp14092 +a(g29 +V +p14093 +tp14094 +a(g189 +V\u000a +p14095 +tp14096 +a(g29 +V +tp14099 +a(g189 +V Password +p14100 +tp14101 +a(g29 +V +p14102 +tp14103 +a(g189 +V\u000a +p14104 +tp14105 +a(g29 +V +tp14108 +a(g189 +V Radio +p14109 +tp14110 +a(g29 +V +p14111 +tp14112 +a(g189 +V\u000a +p14113 +tp14114 +a(g29 +V +tp14117 +a(g189 +V RegExp +p14118 +tp14119 +a(g29 +V +p14120 +tp14121 +a(g189 +V\u000a +p14122 +tp14123 +a(g29 +V +tp14126 +a(g189 +V Reset +p14127 +tp14128 +a(g29 +V +p14129 +tp14130 +a(g189 +V\u000a +p14131 +tp14132 +a(g29 +V +tp14135 +a(g189 +V Screen +p14136 +tp14137 +a(g29 +V +p14138 +tp14139 +a(g189 +V\u000a +p14140 +tp14141 +a(g29 +V +tp14144 +a(g189 +V Select +p14145 +tp14146 +a(g29 +V +p14147 +tp14148 +a(g189 +V\u000a +p14149 +tp14150 +a(g29 +V +tp14153 +a(g189 +V String +p14154 +tp14155 +a(g29 +V +p14156 +tp14157 +a(g189 +V\u000a +p14158 +tp14159 +a(g29 +V +tp14162 +a(g189 +V Submit +p14163 +tp14164 +a(g29 +V +p14165 +tp14166 +a(g189 +V\u000a +p14167 +tp14168 +a(g29 +V +tp14171 +a(g189 +V Text +p14172 +tp14173 +a(g29 +V +p14174 +tp14175 +a(g189 +V\u000a +p14176 +tp14177 +a(g29 +V +tp14180 +a(g189 +V Textarea +p14181 +tp14182 +a(g29 +V +p14183 +tp14184 +a(g189 +V\u000a +p14185 +tp14186 +a(g29 +V +tp14189 +a(g189 +V Window +p14190 +tp14191 +a(g29 +V +p14192 +tp14193 +a(g189 +V\u000a\u000a +p14194 +tp14195 +a(g29 +V +p14196 +tp14197 +a(g189 +V\u000a\u000a +p14198 +tp14199 +a(g29 +V +tp14207 +a(g189 +V\u000a\u000a +p14208 +tp14209 +a(g29 +V +tp14212 +a(g189 +V abs +p14213 +tp14214 +a(g29 +V +p14215 +tp14216 +a(g189 +V\u000a +p14217 +tp14218 +a(g29 +V +tp14221 +a(g189 +V acos +p14222 +tp14223 +a(g29 +V +p14224 +tp14225 +a(g189 +V\u000a +p14226 +tp14227 +a(g29 +V +tp14230 +a(g189 +V alert +p14231 +tp14232 +a(g29 +V +p14233 +tp14234 +a(g189 +V\u000a +p14235 +tp14236 +a(g29 +V +tp14239 +a(g189 +V anchor +p14240 +tp14241 +a(g29 +V +p14242 +tp14243 +a(g189 +V\u000a +p14244 +tp14245 +a(g29 +V +tp14248 +a(g189 +V apply +p14249 +tp14250 +a(g29 +V +p14251 +tp14252 +a(g189 +V\u000a +p14253 +tp14254 +a(g29 +V +tp14257 +a(g189 +V asin +p14258 +tp14259 +a(g29 +V +p14260 +tp14261 +a(g189 +V\u000a +p14262 +tp14263 +a(g29 +V +tp14266 +a(g189 +V atan +p14267 +tp14268 +a(g29 +V +p14269 +tp14270 +a(g189 +V\u000a +p14271 +tp14272 +a(g29 +V +tp14275 +a(g189 +V atan2 +p14276 +tp14277 +a(g29 +V +p14278 +tp14279 +a(g189 +V\u000a +p14280 +tp14281 +a(g29 +V +tp14284 +a(g189 +V back +p14285 +tp14286 +a(g29 +V +p14287 +tp14288 +a(g189 +V\u000a +p14289 +tp14290 +a(g29 +V +tp14293 +a(g189 +V blur +p14294 +tp14295 +a(g29 +V +p14296 +tp14297 +a(g189 +V\u000a +p14298 +tp14299 +a(g29 +V +tp14302 +a(g189 +V call +p14303 +tp14304 +a(g29 +V +p14305 +tp14306 +a(g189 +V\u000a +p14307 +tp14308 +a(g29 +V +tp14311 +a(g189 +V captureEvents +p14312 +tp14313 +a(g29 +V +p14314 +tp14315 +a(g189 +V\u000a +p14316 +tp14317 +a(g29 +V +tp14320 +a(g189 +V ceil +p14321 +tp14322 +a(g29 +V +p14323 +tp14324 +a(g189 +V\u000a +p14325 +tp14326 +a(g29 +V +tp14329 +a(g189 +V charAt +p14330 +tp14331 +a(g29 +V +p14332 +tp14333 +a(g189 +V\u000a +p14334 +tp14335 +a(g29 +V +tp14338 +a(g189 +V charCodeAt +p14339 +tp14340 +a(g29 +V +p14341 +tp14342 +a(g189 +V\u000a +p14343 +tp14344 +a(g29 +V +tp14347 +a(g189 +V clearInterval +p14348 +tp14349 +a(g29 +V +p14350 +tp14351 +a(g189 +V\u000a +p14352 +tp14353 +a(g29 +V +tp14356 +a(g189 +V clearTimeout +p14357 +tp14358 +a(g29 +V +p14359 +tp14360 +a(g189 +V\u000a +p14361 +tp14362 +a(g29 +V +tp14365 +a(g189 +V click +p14366 +tp14367 +a(g29 +V +p14368 +tp14369 +a(g189 +V\u000a +p14370 +tp14371 +a(g29 +V +tp14374 +a(g189 +V close +p14375 +tp14376 +a(g29 +V +p14377 +tp14378 +a(g189 +V\u000a +p14379 +tp14380 +a(g29 +V +tp14383 +a(g189 +V compile +p14384 +tp14385 +a(g29 +V +p14386 +tp14387 +a(g189 +V\u000a +p14388 +tp14389 +a(g29 +V +tp14392 +a(g189 +V concat +p14393 +tp14394 +a(g29 +V +p14395 +tp14396 +a(g189 +V\u000a +p14397 +tp14398 +a(g29 +V +tp14401 +a(g189 +V confirm +p14402 +tp14403 +a(g29 +V +p14404 +tp14405 +a(g189 +V\u000a +p14406 +tp14407 +a(g29 +V +tp14410 +a(g189 +V cos +p14411 +tp14412 +a(g29 +V +p14413 +tp14414 +a(g189 +V\u000a +p14415 +tp14416 +a(g29 +V +tp14419 +a(g189 +V disableExternalCapture +p14420 +tp14421 +a(g29 +V +p14422 +tp14423 +a(g189 +V\u000a +p14424 +tp14425 +a(g29 +V +tp14428 +a(g189 +V enableExternalCapture +p14429 +tp14430 +a(g29 +V +p14431 +tp14432 +a(g189 +V\u000a +p14433 +tp14434 +a(g29 +V +tp14437 +a(g189 +V eval +p14438 +tp14439 +a(g29 +V +p14440 +tp14441 +a(g189 +V\u000a +p14442 +tp14443 +a(g29 +V +tp14446 +a(g189 +V exec +p14447 +tp14448 +a(g29 +V +p14449 +tp14450 +a(g189 +V\u000a +p14451 +tp14452 +a(g29 +V +tp14455 +a(g189 +V exp +p14456 +tp14457 +a(g29 +V +p14458 +tp14459 +a(g189 +V\u000a +p14460 +tp14461 +a(g29 +V +tp14464 +a(g189 +V find +p14465 +tp14466 +a(g29 +V +p14467 +tp14468 +a(g189 +V\u000a +p14469 +tp14470 +a(g29 +V +tp14473 +a(g189 +V floor +p14474 +tp14475 +a(g29 +V +p14476 +tp14477 +a(g189 +V\u000a +p14478 +tp14479 +a(g29 +V +tp14482 +a(g189 +V focus +p14483 +tp14484 +a(g29 +V +p14485 +tp14486 +a(g189 +V\u000a +p14487 +tp14488 +a(g29 +V +tp14491 +a(g189 +V forward +p14492 +tp14493 +a(g29 +V +p14494 +tp14495 +a(g189 +V\u000a +p14496 +tp14497 +a(g29 +V +tp14500 +a(g189 +V fromCharCode +p14501 +tp14502 +a(g29 +V +p14503 +tp14504 +a(g189 +V\u000a +p14505 +tp14506 +a(g29 +V +tp14509 +a(g189 +V getDate +p14510 +tp14511 +a(g29 +V +p14512 +tp14513 +a(g189 +V\u000a +p14514 +tp14515 +a(g29 +V +tp14518 +a(g189 +V getDay +p14519 +tp14520 +a(g29 +V +p14521 +tp14522 +a(g189 +V\u000a +p14523 +tp14524 +a(g29 +V +tp14527 +a(g189 +V getFullYear +p14528 +tp14529 +a(g29 +V +p14530 +tp14531 +a(g189 +V\u000a +p14532 +tp14533 +a(g29 +V +tp14536 +a(g189 +V getHours +p14537 +tp14538 +a(g29 +V +p14539 +tp14540 +a(g189 +V\u000a +p14541 +tp14542 +a(g29 +V +tp14545 +a(g189 +V getMilliseconds +p14546 +tp14547 +a(g29 +V +p14548 +tp14549 +a(g189 +V\u000a +p14550 +tp14551 +a(g29 +V +tp14554 +a(g189 +V getMinutes +p14555 +tp14556 +a(g29 +V +p14557 +tp14558 +a(g189 +V\u000a +p14559 +tp14560 +a(g29 +V +tp14563 +a(g189 +V getMonth +p14564 +tp14565 +a(g29 +V +p14566 +tp14567 +a(g189 +V\u000a +p14568 +tp14569 +a(g29 +V +tp14572 +a(g189 +V getSeconds +p14573 +tp14574 +a(g29 +V +p14575 +tp14576 +a(g189 +V\u000a +p14577 +tp14578 +a(g29 +V +tp14581 +a(g189 +V getSelection +p14582 +tp14583 +a(g29 +V +p14584 +tp14585 +a(g189 +V\u000a +p14586 +tp14587 +a(g29 +V +tp14590 +a(g189 +V getTime +p14591 +tp14592 +a(g29 +V +p14593 +tp14594 +a(g189 +V\u000a +p14595 +tp14596 +a(g29 +V +tp14599 +a(g189 +V getTimezoneOffset +p14600 +tp14601 +a(g29 +V +p14602 +tp14603 +a(g189 +V\u000a +p14604 +tp14605 +a(g29 +V +tp14608 +a(g189 +V getUTCDate +p14609 +tp14610 +a(g29 +V +p14611 +tp14612 +a(g189 +V\u000a +p14613 +tp14614 +a(g29 +V +tp14617 +a(g189 +V getUTCDay +p14618 +tp14619 +a(g29 +V +p14620 +tp14621 +a(g189 +V\u000a +p14622 +tp14623 +a(g29 +V +tp14626 +a(g189 +V getUTCFullYear +p14627 +tp14628 +a(g29 +V +p14629 +tp14630 +a(g189 +V\u000a +p14631 +tp14632 +a(g29 +V +tp14635 +a(g189 +V getUTCHours +p14636 +tp14637 +a(g29 +V +p14638 +tp14639 +a(g189 +V\u000a +p14640 +tp14641 +a(g29 +V +tp14644 +a(g189 +V getUTCMilliseconds +p14645 +tp14646 +a(g29 +V +p14647 +tp14648 +a(g189 +V\u000a +p14649 +tp14650 +a(g29 +V +tp14653 +a(g189 +V getUTCMinutes +p14654 +tp14655 +a(g29 +V +p14656 +tp14657 +a(g189 +V\u000a +p14658 +tp14659 +a(g29 +V +tp14662 +a(g189 +V getUTCMonth +p14663 +tp14664 +a(g29 +V +p14665 +tp14666 +a(g189 +V\u000a +p14667 +tp14668 +a(g29 +V +tp14671 +a(g189 +V getUTCSeconds +p14672 +tp14673 +a(g29 +V +p14674 +tp14675 +a(g189 +V\u000a +p14676 +tp14677 +a(g29 +V +tp14680 +a(g189 +V go +p14681 +tp14682 +a(g29 +V +p14683 +tp14684 +a(g189 +V\u000a +p14685 +tp14686 +a(g29 +V +tp14689 +a(g189 +V handleEvent +p14690 +tp14691 +a(g29 +V +p14692 +tp14693 +a(g189 +V\u000a +p14694 +tp14695 +a(g29 +V +tp14698 +a(g189 +V home +p14699 +tp14700 +a(g29 +V +p14701 +tp14702 +a(g189 +V\u000a +p14703 +tp14704 +a(g29 +V +tp14707 +a(g189 +V indexOf +p14708 +tp14709 +a(g29 +V +p14710 +tp14711 +a(g189 +V\u000a +p14712 +tp14713 +a(g29 +V +tp14716 +a(g189 +V javaEnabled +p14717 +tp14718 +a(g29 +V +p14719 +tp14720 +a(g189 +V\u000a +p14721 +tp14722 +a(g29 +V +tp14725 +a(g189 +V join +p14726 +tp14727 +a(g29 +V +p14728 +tp14729 +a(g189 +V\u000a +p14730 +tp14731 +a(g29 +V +tp14734 +a(g189 +V lastIndexOf +p14735 +tp14736 +a(g29 +V +p14737 +tp14738 +a(g189 +V\u000a +p14739 +tp14740 +a(g29 +V +tp14743 +a(g189 +V link +p14744 +tp14745 +a(g29 +V +p14746 +tp14747 +a(g189 +V\u000a +p14748 +tp14749 +a(g29 +V +tp14752 +a(g189 +V load +p14753 +tp14754 +a(g29 +V +p14755 +tp14756 +a(g189 +V\u000a +p14757 +tp14758 +a(g29 +V +tp14761 +a(g189 +V log +p14762 +tp14763 +a(g29 +V +p14764 +tp14765 +a(g189 +V\u000a +p14766 +tp14767 +a(g29 +V +tp14770 +a(g189 +V match +p14771 +tp14772 +a(g29 +V +p14773 +tp14774 +a(g189 +V\u000a +p14775 +tp14776 +a(g29 +V +tp14779 +a(g189 +V max +p14780 +tp14781 +a(g29 +V +p14782 +tp14783 +a(g189 +V\u000a +p14784 +tp14785 +a(g29 +V +tp14788 +a(g189 +V min +p14789 +tp14790 +a(g29 +V +p14791 +tp14792 +a(g189 +V\u000a +p14793 +tp14794 +a(g29 +V +tp14797 +a(g189 +V moveAbove +p14798 +tp14799 +a(g29 +V +p14800 +tp14801 +a(g189 +V\u000a +p14802 +tp14803 +a(g29 +V +tp14806 +a(g189 +V moveBelow +p14807 +tp14808 +a(g29 +V +p14809 +tp14810 +a(g189 +V\u000a +p14811 +tp14812 +a(g29 +V +tp14815 +a(g189 +V moveBy +p14816 +tp14817 +a(g29 +V +p14818 +tp14819 +a(g189 +V\u000a +p14820 +tp14821 +a(g29 +V +tp14824 +a(g189 +V moveTo +p14825 +tp14826 +a(g29 +V +p14827 +tp14828 +a(g189 +V\u000a +p14829 +tp14830 +a(g29 +V +tp14833 +a(g189 +V moveToAbsolute +p14834 +tp14835 +a(g29 +V +p14836 +tp14837 +a(g189 +V\u000a +p14838 +tp14839 +a(g29 +V +tp14842 +a(g189 +V open +p14843 +tp14844 +a(g29 +V +p14845 +tp14846 +a(g189 +V\u000a +p14847 +tp14848 +a(g29 +V +tp14851 +a(g189 +V parse +p14852 +tp14853 +a(g29 +V +p14854 +tp14855 +a(g189 +V\u000a +p14856 +tp14857 +a(g29 +V +tp14860 +a(g189 +V plugins.refresh +p14861 +tp14862 +a(g29 +V +p14863 +tp14864 +a(g189 +V\u000a +p14865 +tp14866 +a(g29 +V +tp14869 +a(g189 +V pop +p14870 +tp14871 +a(g29 +V +p14872 +tp14873 +a(g189 +V\u000a +p14874 +tp14875 +a(g29 +V +tp14878 +a(g189 +V pow +p14879 +tp14880 +a(g29 +V +p14881 +tp14882 +a(g189 +V\u000a +p14883 +tp14884 +a(g29 +V +tp14887 +a(g189 +V preference +p14888 +tp14889 +a(g29 +V +p14890 +tp14891 +a(g189 +V\u000a +p14892 +tp14893 +a(g29 +V +tp14896 +a(g189 +V print +p14897 +tp14898 +a(g29 +V +p14899 +tp14900 +a(g189 +V\u000a +p14901 +tp14902 +a(g29 +V +tp14905 +a(g189 +V prompt +p14906 +tp14907 +a(g29 +V +p14908 +tp14909 +a(g189 +V\u000a +p14910 +tp14911 +a(g29 +V +tp14914 +a(g189 +V push +p14915 +tp14916 +a(g29 +V +p14917 +tp14918 +a(g189 +V\u000a +p14919 +tp14920 +a(g29 +V +tp14923 +a(g189 +V random +p14924 +tp14925 +a(g29 +V +p14926 +tp14927 +a(g189 +V\u000a +p14928 +tp14929 +a(g29 +V +tp14932 +a(g189 +V releaseEvents +p14933 +tp14934 +a(g29 +V +p14935 +tp14936 +a(g189 +V\u000a +p14937 +tp14938 +a(g29 +V +tp14941 +a(g189 +V reload +p14942 +tp14943 +a(g29 +V +p14944 +tp14945 +a(g189 +V\u000a +p14946 +tp14947 +a(g29 +V +tp14950 +a(g189 +V replace +p14951 +tp14952 +a(g29 +V +p14953 +tp14954 +a(g189 +V\u000a +p14955 +tp14956 +a(g29 +V +tp14959 +a(g189 +V reset +p14960 +tp14961 +a(g29 +V +p14962 +tp14963 +a(g189 +V\u000a +p14964 +tp14965 +a(g29 +V +tp14968 +a(g189 +V resizeBy +p14969 +tp14970 +a(g29 +V +p14971 +tp14972 +a(g189 +V\u000a +p14973 +tp14974 +a(g29 +V +tp14977 +a(g189 +V resizeTo +p14978 +tp14979 +a(g29 +V +p14980 +tp14981 +a(g189 +V\u000a +p14982 +tp14983 +a(g29 +V +tp14986 +a(g189 +V reverse +p14987 +tp14988 +a(g29 +V +p14989 +tp14990 +a(g189 +V\u000a +p14991 +tp14992 +a(g29 +V +tp14995 +a(g189 +V round +p14996 +tp14997 +a(g29 +V +p14998 +tp14999 +a(g189 +V\u000a +p15000 +tp15001 +a(g29 +V +tp15004 +a(g189 +V routeEvent +p15005 +tp15006 +a(g29 +V +p15007 +tp15008 +a(g189 +V\u000a +p15009 +tp15010 +a(g29 +V +tp15013 +a(g189 +V scrollBy +p15014 +tp15015 +a(g29 +V +p15016 +tp15017 +a(g189 +V\u000a +p15018 +tp15019 +a(g29 +V +tp15022 +a(g189 +V scrollTo +p15023 +tp15024 +a(g29 +V +p15025 +tp15026 +a(g189 +V\u000a +p15027 +tp15028 +a(g29 +V +tp15031 +a(g189 +V search +p15032 +tp15033 +a(g29 +V +p15034 +tp15035 +a(g189 +V\u000a +p15036 +tp15037 +a(g29 +V +tp15040 +a(g189 +V select +p15041 +tp15042 +a(g29 +V +p15043 +tp15044 +a(g189 +V\u000a +p15045 +tp15046 +a(g29 +V +tp15049 +a(g189 +V setDate +p15050 +tp15051 +a(g29 +V +p15052 +tp15053 +a(g189 +V\u000a +p15054 +tp15055 +a(g29 +V +tp15058 +a(g189 +V setFullYear +p15059 +tp15060 +a(g29 +V +p15061 +tp15062 +a(g189 +V\u000a +p15063 +tp15064 +a(g29 +V +tp15067 +a(g189 +V setHours +p15068 +tp15069 +a(g29 +V +p15070 +tp15071 +a(g189 +V\u000a +p15072 +tp15073 +a(g29 +V +tp15076 +a(g189 +V setInterval +p15077 +tp15078 +a(g29 +V +p15079 +tp15080 +a(g189 +V\u000a +p15081 +tp15082 +a(g29 +V +tp15085 +a(g189 +V setMilliseconds +p15086 +tp15087 +a(g29 +V +p15088 +tp15089 +a(g189 +V\u000a +p15090 +tp15091 +a(g29 +V +tp15094 +a(g189 +V setMinutes +p15095 +tp15096 +a(g29 +V +p15097 +tp15098 +a(g189 +V\u000a +p15099 +tp15100 +a(g29 +V +tp15103 +a(g189 +V setMonth +p15104 +tp15105 +a(g29 +V +p15106 +tp15107 +a(g189 +V\u000a +p15108 +tp15109 +a(g29 +V +tp15112 +a(g189 +V setSeconds +p15113 +tp15114 +a(g29 +V +p15115 +tp15116 +a(g189 +V\u000a +p15117 +tp15118 +a(g29 +V +tp15121 +a(g189 +V setTime +p15122 +tp15123 +a(g29 +V +p15124 +tp15125 +a(g189 +V\u000a +p15126 +tp15127 +a(g29 +V +tp15130 +a(g189 +V setTimeout +p15131 +tp15132 +a(g29 +V +p15133 +tp15134 +a(g189 +V\u000a +p15135 +tp15136 +a(g29 +V +tp15139 +a(g189 +V setUTCDate +p15140 +tp15141 +a(g29 +V +p15142 +tp15143 +a(g189 +V\u000a +p15144 +tp15145 +a(g29 +V +tp15148 +a(g189 +V setUTCFullYear +p15149 +tp15150 +a(g29 +V +p15151 +tp15152 +a(g189 +V\u000a +p15153 +tp15154 +a(g29 +V +tp15157 +a(g189 +V setUTCHours +p15158 +tp15159 +a(g29 +V +p15160 +tp15161 +a(g189 +V\u000a +p15162 +tp15163 +a(g29 +V +tp15166 +a(g189 +V setUTCMilliseconds +p15167 +tp15168 +a(g29 +V +p15169 +tp15170 +a(g189 +V\u000a +p15171 +tp15172 +a(g29 +V +tp15175 +a(g189 +V setUTCMinutes +p15176 +tp15177 +a(g29 +V +p15178 +tp15179 +a(g189 +V\u000a +p15180 +tp15181 +a(g29 +V +tp15184 +a(g189 +V setUTCMonth +p15185 +tp15186 +a(g29 +V +p15187 +tp15188 +a(g189 +V\u000a +p15189 +tp15190 +a(g29 +V +tp15193 +a(g189 +V setUTCSeconds +p15194 +tp15195 +a(g29 +V +p15196 +tp15197 +a(g189 +V\u000a +p15198 +tp15199 +a(g29 +V +tp15202 +a(g189 +V shift +p15203 +tp15204 +a(g29 +V +p15205 +tp15206 +a(g189 +V\u000a +p15207 +tp15208 +a(g29 +V +tp15211 +a(g189 +V sin +p15212 +tp15213 +a(g29 +V +p15214 +tp15215 +a(g189 +V\u000a +p15216 +tp15217 +a(g29 +V +tp15220 +a(g189 +V slice +p15221 +tp15222 +a(g29 +V +p15223 +tp15224 +a(g189 +V\u000a +p15225 +tp15226 +a(g29 +V +tp15229 +a(g189 +V sort +p15230 +tp15231 +a(g29 +V +p15232 +tp15233 +a(g189 +V\u000a +p15234 +tp15235 +a(g29 +V +tp15238 +a(g189 +V splice +p15239 +tp15240 +a(g29 +V +p15241 +tp15242 +a(g189 +V\u000a +p15243 +tp15244 +a(g29 +V +tp15247 +a(g189 +V split +p15248 +tp15249 +a(g29 +V +p15250 +tp15251 +a(g189 +V\u000a +p15252 +tp15253 +a(g29 +V +tp15256 +a(g189 +V sqrt +p15257 +tp15258 +a(g29 +V +p15259 +tp15260 +a(g189 +V\u000a +p15261 +tp15262 +a(g29 +V +tp15265 +a(g189 +V stop +p15266 +tp15267 +a(g29 +V +p15268 +tp15269 +a(g189 +V\u000a +p15270 +tp15271 +a(g29 +V +tp15274 +a(g189 +V String formatting +p15275 +tp15276 +a(g29 +V +p15277 +tp15278 +a(g189 +V\u000a +p15279 +tp15280 +a(g29 +V +tp15283 +a(g189 +V submit +p15284 +tp15285 +a(g29 +V +p15286 +tp15287 +a(g189 +V\u000a +p15288 +tp15289 +a(g29 +V +tp15292 +a(g189 +V substr +p15293 +tp15294 +a(g29 +V +p15295 +tp15296 +a(g189 +V\u000a +p15297 +tp15298 +a(g29 +V +tp15301 +a(g189 +V substring +p15302 +tp15303 +a(g29 +V +p15304 +tp15305 +a(g189 +V\u000a +p15306 +tp15307 +a(g29 +V +tp15310 +a(g189 +V taintEnabled +p15311 +tp15312 +a(g29 +V +p15313 +tp15314 +a(g189 +V\u000a +p15315 +tp15316 +a(g29 +V +tp15319 +a(g189 +V tan +p15320 +tp15321 +a(g29 +V +p15322 +tp15323 +a(g189 +V\u000a +p15324 +tp15325 +a(g29 +V +tp15328 +a(g189 +V test +p15329 +tp15330 +a(g29 +V +p15331 +tp15332 +a(g189 +V\u000a +p15333 +tp15334 +a(g29 +V +tp15337 +a(g189 +V toLocaleString +p15338 +tp15339 +a(g29 +V +p15340 +tp15341 +a(g189 +V\u000a +p15342 +tp15343 +a(g29 +V +tp15346 +a(g189 +V toLowerCase +p15347 +tp15348 +a(g29 +V +p15349 +tp15350 +a(g189 +V\u000a +p15351 +tp15352 +a(g29 +V +tp15355 +a(g189 +V toSource +p15356 +tp15357 +a(g29 +V +p15358 +tp15359 +a(g189 +V\u000a +p15360 +tp15361 +a(g29 +V +tp15364 +a(g189 +V toString +p15365 +tp15366 +a(g29 +V +p15367 +tp15368 +a(g189 +V\u000a +p15369 +tp15370 +a(g29 +V +tp15373 +a(g189 +V toUpperCase +p15374 +tp15375 +a(g29 +V +p15376 +tp15377 +a(g189 +V\u000a +p15378 +tp15379 +a(g29 +V +tp15382 +a(g189 +V toUTCString +p15383 +tp15384 +a(g29 +V +p15385 +tp15386 +a(g189 +V\u000a +p15387 +tp15388 +a(g29 +V +tp15391 +a(g189 +V unshift +p15392 +tp15393 +a(g29 +V +p15394 +tp15395 +a(g189 +V\u000a +p15396 +tp15397 +a(g29 +V +tp15400 +a(g189 +V unwatch +p15401 +tp15402 +a(g29 +V +p15403 +tp15404 +a(g189 +V\u000a +p15405 +tp15406 +a(g29 +V +tp15409 +a(g189 +V UTC +p15410 +tp15411 +a(g29 +V +p15412 +tp15413 +a(g189 +V\u000a +p15414 +tp15415 +a(g29 +V +tp15418 +a(g189 +V valueOf +p15419 +tp15420 +a(g29 +V +p15421 +tp15422 +a(g189 +V\u000a +p15423 +tp15424 +a(g29 +V +tp15427 +a(g189 +V watch +p15428 +tp15429 +a(g29 +V +p15430 +tp15431 +a(g189 +V\u000a +p15432 +tp15433 +a(g29 +V +tp15436 +a(g189 +V write +p15437 +tp15438 +a(g29 +V +p15439 +tp15440 +a(g189 +V\u000a +p15441 +tp15442 +a(g29 +V +tp15445 +a(g189 +V writeln +p15446 +tp15447 +a(g29 +V +p15448 +tp15449 +a(g189 +V\u000a\u000a +p15450 +tp15451 +a(g29 +V +p15452 +tp15453 +a(g189 +V\u000a\u000a +p15454 +tp15455 +a(g29 +V +tp15463 +a(g189 +V\u000a\u000a +p15464 +tp15465 +a(g29 +V +tp15468 +a(g189 +V break +p15469 +tp15470 +a(g29 +V +p15471 +tp15472 +a(g189 +V\u000a +p15473 +tp15474 +a(g29 +V +tp15477 +a(g189 +V case +p15478 +tp15479 +a(g29 +V +p15480 +tp15481 +a(g189 +V\u000a +p15482 +tp15483 +a(g29 +V +tp15486 +a(g189 +V catch +p15487 +tp15488 +a(g29 +V +p15489 +tp15490 +a(g189 +V\u000a +p15491 +tp15492 +a(g29 +V +tp15495 +a(g189 +V continue +p15496 +tp15497 +a(g29 +V +p15498 +tp15499 +a(g189 +V\u000a +p15500 +tp15501 +a(g29 +V +tp15504 +a(g189 +V default +p15505 +tp15506 +a(g29 +V +p15507 +tp15508 +a(g189 +V\u000a +p15509 +tp15510 +a(g29 +V +tp15513 +a(g189 +V do +p15514 +tp15515 +a(g29 +V +p15516 +tp15517 +a(g189 +V\u000a +p15518 +tp15519 +a(g29 +V +tp15522 +a(g189 +V else +p15523 +tp15524 +a(g29 +V +p15525 +tp15526 +a(g189 +V\u000a +p15527 +tp15528 +a(g29 +V +tp15531 +a(g189 +V for +p15532 +tp15533 +a(g29 +V +p15534 +tp15535 +a(g189 +V\u000a +p15536 +tp15537 +a(g29 +V +tp15540 +a(g189 +V function +p15541 +tp15542 +a(g29 +V +p15543 +tp15544 +a(g189 +V\u000a +p15545 +tp15546 +a(g29 +V +tp15549 +a(g189 +V if +p15550 +tp15551 +a(g29 +V +p15552 +tp15553 +a(g189 +V\u000a +p15554 +tp15555 +a(g29 +V +tp15558 +a(g189 +V in +p15559 +tp15560 +a(g29 +V +p15561 +tp15562 +a(g189 +V\u000a +p15563 +tp15564 +a(g29 +V +tp15567 +a(g189 +V return +p15568 +tp15569 +a(g29 +V +p15570 +tp15571 +a(g189 +V\u000a +p15572 +tp15573 +a(g29 +V +tp15576 +a(g189 +V switch +p15577 +tp15578 +a(g29 +V +p15579 +tp15580 +a(g189 +V\u000a +p15581 +tp15582 +a(g29 +V +tp15585 +a(g189 +V try +p15586 +tp15587 +a(g29 +V +p15588 +tp15589 +a(g189 +V\u000a +p15590 +tp15591 +a(g29 +V +tp15594 +a(g189 +V var +p15595 +tp15596 +a(g29 +V +p15597 +tp15598 +a(g189 +V\u000a +p15599 +tp15600 +a(g29 +V +tp15603 +a(g189 +V while +p15604 +tp15605 +a(g29 +V +p15606 +tp15607 +a(g189 +V\u000a\u000a +p15608 +tp15609 +a(g29 +V +p15610 +tp15611 +a(g189 +V\u000a\u000a +p15612 +tp15613 +a(g29 +V +tp15621 +a(g189 +V\u000a\u000a +p15622 +tp15623 +a(g29 +V +tp15626 +a(g189 +V Abs +p15627 +tp15628 +a(g29 +V +p15629 +tp15630 +a(g189 +V\u000a +p15631 +tp15632 +a(g29 +V +tp15635 +a(g189 +V ACos +p15636 +tp15637 +a(g29 +V +p15638 +tp15639 +a(g189 +V\u000a +p15640 +tp15641 +a(g29 +V +tp15644 +a(g189 +V ArrayAppend +p15645 +tp15646 +a(g29 +V +p15647 +tp15648 +a(g189 +V\u000a +p15649 +tp15650 +a(g29 +V +tp15653 +a(g189 +V ArrayAvg +p15654 +tp15655 +a(g29 +V +p15656 +tp15657 +a(g189 +V\u000a +p15658 +tp15659 +a(g29 +V +tp15662 +a(g189 +V ArrayClear +p15663 +tp15664 +a(g29 +V +p15665 +tp15666 +a(g189 +V\u000a +p15667 +tp15668 +a(g29 +V +tp15671 +a(g189 +V ArrayDeleteAt +p15672 +tp15673 +a(g29 +V +p15674 +tp15675 +a(g189 +V\u000a +p15676 +tp15677 +a(g29 +V +tp15680 +a(g189 +V ArrayInsertAt +p15681 +tp15682 +a(g29 +V +p15683 +tp15684 +a(g189 +V\u000a +p15685 +tp15686 +a(g29 +V +tp15689 +a(g189 +V ArrayIsEmpty +p15690 +tp15691 +a(g29 +V +p15692 +tp15693 +a(g189 +V\u000a +p15694 +tp15695 +a(g29 +V +tp15698 +a(g189 +V ArrayLen +p15699 +tp15700 +a(g29 +V +p15701 +tp15702 +a(g189 +V\u000a +p15703 +tp15704 +a(g29 +V +tp15707 +a(g189 +V ArrayMax +p15708 +tp15709 +a(g29 +V +p15710 +tp15711 +a(g189 +V\u000a +p15712 +tp15713 +a(g29 +V +tp15716 +a(g189 +V ArrayMin +p15717 +tp15718 +a(g29 +V +p15719 +tp15720 +a(g189 +V\u000a +p15721 +tp15722 +a(g29 +V +tp15725 +a(g189 +V ArrayNew +p15726 +tp15727 +a(g29 +V +p15728 +tp15729 +a(g189 +V\u000a +p15730 +tp15731 +a(g29 +V +tp15734 +a(g189 +V ArrayPrepend +p15735 +tp15736 +a(g29 +V +p15737 +tp15738 +a(g189 +V\u000a +p15739 +tp15740 +a(g29 +V +tp15743 +a(g189 +V ArrayResize +p15744 +tp15745 +a(g29 +V +p15746 +tp15747 +a(g189 +V\u000a +p15748 +tp15749 +a(g29 +V +tp15752 +a(g189 +V ArraySet +p15753 +tp15754 +a(g29 +V +p15755 +tp15756 +a(g189 +V\u000a +p15757 +tp15758 +a(g29 +V +tp15761 +a(g189 +V ArraySort +p15762 +tp15763 +a(g29 +V +p15764 +tp15765 +a(g189 +V\u000a +p15766 +tp15767 +a(g29 +V +tp15770 +a(g189 +V ArraySum +p15771 +tp15772 +a(g29 +V +p15773 +tp15774 +a(g189 +V\u000a +p15775 +tp15776 +a(g29 +V +tp15779 +a(g189 +V ArraySwap +p15780 +tp15781 +a(g29 +V +p15782 +tp15783 +a(g189 +V\u000a +p15784 +tp15785 +a(g29 +V +tp15788 +a(g189 +V ArrayToList +p15789 +tp15790 +a(g29 +V +p15791 +tp15792 +a(g189 +V\u000a +p15793 +tp15794 +a(g29 +V +tp15797 +a(g189 +V Asc +p15798 +tp15799 +a(g29 +V +p15800 +tp15801 +a(g189 +V\u000a +p15802 +tp15803 +a(g29 +V +tp15806 +a(g189 +V ASin +p15807 +tp15808 +a(g29 +V +p15809 +tp15810 +a(g189 +V\u000a +p15811 +tp15812 +a(g29 +V +tp15815 +a(g189 +V Atn +p15816 +tp15817 +a(g29 +V +p15818 +tp15819 +a(g189 +V\u000a +p15820 +tp15821 +a(g29 +V +tp15824 +a(g189 +V BitAnd +p15825 +tp15826 +a(g29 +V +p15827 +tp15828 +a(g189 +V\u000a +p15829 +tp15830 +a(g29 +V +tp15833 +a(g189 +V BitMaskClear +p15834 +tp15835 +a(g29 +V +p15836 +tp15837 +a(g189 +V\u000a +p15838 +tp15839 +a(g29 +V +tp15842 +a(g189 +V BitMaskRead +p15843 +tp15844 +a(g29 +V +p15845 +tp15846 +a(g189 +V\u000a +p15847 +tp15848 +a(g29 +V +tp15851 +a(g189 +V BitMaskSet +p15852 +tp15853 +a(g29 +V +p15854 +tp15855 +a(g189 +V\u000a +p15856 +tp15857 +a(g29 +V +tp15860 +a(g189 +V BitNot +p15861 +tp15862 +a(g29 +V +p15863 +tp15864 +a(g189 +V\u000a +p15865 +tp15866 +a(g29 +V +tp15869 +a(g189 +V BitOr +p15870 +tp15871 +a(g29 +V +p15872 +tp15873 +a(g189 +V\u000a +p15874 +tp15875 +a(g29 +V +tp15878 +a(g189 +V BitSHLN +p15879 +tp15880 +a(g29 +V +p15881 +tp15882 +a(g189 +V\u000a +p15883 +tp15884 +a(g29 +V +tp15887 +a(g189 +V BitSHRN +p15888 +tp15889 +a(g29 +V +p15890 +tp15891 +a(g189 +V\u000a +p15892 +tp15893 +a(g29 +V +tp15896 +a(g189 +V BitXor +p15897 +tp15898 +a(g29 +V +p15899 +tp15900 +a(g189 +V\u000a +p15901 +tp15902 +a(g29 +V +tp15905 +a(g189 +V Ceiling +p15906 +tp15907 +a(g29 +V +p15908 +tp15909 +a(g189 +V\u000a +p15910 +tp15911 +a(g29 +V +tp15914 +a(g189 +V Chr +p15915 +tp15916 +a(g29 +V +p15917 +tp15918 +a(g189 +V\u000a +p15919 +tp15920 +a(g29 +V +tp15923 +a(g189 +V CJustify +p15924 +tp15925 +a(g29 +V +p15926 +tp15927 +a(g189 +V\u000a +p15928 +tp15929 +a(g29 +V +tp15932 +a(g189 +V Compare +p15933 +tp15934 +a(g29 +V +p15935 +tp15936 +a(g189 +V\u000a +p15937 +tp15938 +a(g29 +V +tp15941 +a(g189 +V CompareNoCase +p15942 +tp15943 +a(g29 +V +p15944 +tp15945 +a(g189 +V\u000a +p15946 +tp15947 +a(g29 +V +tp15950 +a(g189 +V Cos +p15951 +tp15952 +a(g29 +V +p15953 +tp15954 +a(g189 +V\u000a +p15955 +tp15956 +a(g29 +V +tp15959 +a(g189 +V CreateDate +p15960 +tp15961 +a(g29 +V +p15962 +tp15963 +a(g189 +V\u000a +p15964 +tp15965 +a(g29 +V +tp15968 +a(g189 +V CreateDateTime +p15969 +tp15970 +a(g29 +V +p15971 +tp15972 +a(g189 +V\u000a +p15973 +tp15974 +a(g29 +V +tp15977 +a(g189 +V CreateObject +p15978 +tp15979 +a(g29 +V +p15980 +tp15981 +a(g189 +V\u000a +p15982 +tp15983 +a(g29 +V +tp15986 +a(g189 +V CreateODBCDate +p15987 +tp15988 +a(g29 +V +p15989 +tp15990 +a(g189 +V\u000a +p15991 +tp15992 +a(g29 +V +tp15995 +a(g189 +V CreateODBCDateTime +p15996 +tp15997 +a(g29 +V +p15998 +tp15999 +a(g189 +V\u000a +p16000 +tp16001 +a(g29 +V +tp16004 +a(g189 +V CreateODBCTime +p16005 +tp16006 +a(g29 +V +p16007 +tp16008 +a(g189 +V\u000a +p16009 +tp16010 +a(g29 +V +tp16013 +a(g189 +V CreateTime +p16014 +tp16015 +a(g29 +V +p16016 +tp16017 +a(g189 +V\u000a +p16018 +tp16019 +a(g29 +V +tp16022 +a(g189 +V CreateTimeSpan +p16023 +tp16024 +a(g29 +V +p16025 +tp16026 +a(g189 +V\u000a +p16027 +tp16028 +a(g29 +V +tp16031 +a(g189 +V CreateUUID +p16032 +tp16033 +a(g29 +V +p16034 +tp16035 +a(g189 +V\u000a +p16036 +tp16037 +a(g29 +V +tp16040 +a(g189 +V DateAdd +p16041 +tp16042 +a(g29 +V +p16043 +tp16044 +a(g189 +V\u000a +p16045 +tp16046 +a(g29 +V +tp16049 +a(g189 +V DateCompare +p16050 +tp16051 +a(g29 +V +p16052 +tp16053 +a(g189 +V\u000a +p16054 +tp16055 +a(g29 +V +tp16058 +a(g189 +V DateConvert +p16059 +tp16060 +a(g29 +V +p16061 +tp16062 +a(g189 +V\u000a +p16063 +tp16064 +a(g29 +V +tp16067 +a(g189 +V DateDiff +p16068 +tp16069 +a(g29 +V +p16070 +tp16071 +a(g189 +V\u000a +p16072 +tp16073 +a(g29 +V +tp16076 +a(g189 +V DateFormat +p16077 +tp16078 +a(g29 +V +p16079 +tp16080 +a(g189 +V\u000a +p16081 +tp16082 +a(g29 +V +tp16085 +a(g189 +V DatePart +p16086 +tp16087 +a(g29 +V +p16088 +tp16089 +a(g189 +V\u000a +p16090 +tp16091 +a(g29 +V +tp16094 +a(g189 +V Day +p16095 +tp16096 +a(g29 +V +p16097 +tp16098 +a(g189 +V\u000a +p16099 +tp16100 +a(g29 +V +tp16103 +a(g189 +V DayOfWeek +p16104 +tp16105 +a(g29 +V +p16106 +tp16107 +a(g189 +V\u000a +p16108 +tp16109 +a(g29 +V +tp16112 +a(g189 +V DayOfWeekAsString +p16113 +tp16114 +a(g29 +V +p16115 +tp16116 +a(g189 +V\u000a +p16117 +tp16118 +a(g29 +V +tp16121 +a(g189 +V DayOfYear +p16122 +tp16123 +a(g29 +V +p16124 +tp16125 +a(g189 +V\u000a +p16126 +tp16127 +a(g29 +V +tp16130 +a(g189 +V DaysInMonth +p16131 +tp16132 +a(g29 +V +p16133 +tp16134 +a(g189 +V\u000a +p16135 +tp16136 +a(g29 +V +tp16139 +a(g189 +V DaysInYear +p16140 +tp16141 +a(g29 +V +p16142 +tp16143 +a(g189 +V\u000a +p16144 +tp16145 +a(g29 +V +tp16148 +a(g189 +V DE +p16149 +tp16150 +a(g29 +V +p16151 +tp16152 +a(g189 +V\u000a +p16153 +tp16154 +a(g29 +V +tp16157 +a(g189 +V DecimalFormat +p16158 +tp16159 +a(g29 +V +p16160 +tp16161 +a(g189 +V\u000a +p16162 +tp16163 +a(g29 +V +tp16166 +a(g189 +V DecrementValue +p16167 +tp16168 +a(g29 +V +p16169 +tp16170 +a(g189 +V\u000a +p16171 +tp16172 +a(g29 +V +tp16175 +a(g189 +V Decrypt +p16176 +tp16177 +a(g29 +V +p16178 +tp16179 +a(g189 +V\u000a +p16180 +tp16181 +a(g29 +V +tp16184 +a(g189 +V DeleteClientVariable +p16185 +tp16186 +a(g29 +V +p16187 +tp16188 +a(g189 +V\u000a +p16189 +tp16190 +a(g29 +V +tp16193 +a(g189 +V DirectoryExists +p16194 +tp16195 +a(g29 +V +p16196 +tp16197 +a(g189 +V\u000a +p16198 +tp16199 +a(g29 +V +tp16202 +a(g189 +V DollarFormat +p16203 +tp16204 +a(g29 +V +p16205 +tp16206 +a(g189 +V\u000a +p16207 +tp16208 +a(g29 +V +tp16211 +a(g189 +V Duplicate +p16212 +tp16213 +a(g29 +V +p16214 +tp16215 +a(g189 +V\u000a +p16216 +tp16217 +a(g29 +V +tp16220 +a(g189 +V Encrypt +p16221 +tp16222 +a(g29 +V +p16223 +tp16224 +a(g189 +V\u000a +p16225 +tp16226 +a(g29 +V +tp16229 +a(g189 +V Evaluate +p16230 +tp16231 +a(g29 +V +p16232 +tp16233 +a(g189 +V\u000a +p16234 +tp16235 +a(g29 +V +tp16238 +a(g189 +V Exp +p16239 +tp16240 +a(g29 +V +p16241 +tp16242 +a(g189 +V\u000a +p16243 +tp16244 +a(g29 +V +tp16247 +a(g189 +V ExpandPath +p16248 +tp16249 +a(g29 +V +p16250 +tp16251 +a(g189 +V\u000a +p16252 +tp16253 +a(g29 +V +tp16256 +a(g189 +V FileExists +p16257 +tp16258 +a(g29 +V +p16259 +tp16260 +a(g189 +V\u000a +p16261 +tp16262 +a(g29 +V +tp16265 +a(g189 +V Find +p16266 +tp16267 +a(g29 +V +p16268 +tp16269 +a(g189 +V\u000a +p16270 +tp16271 +a(g29 +V +tp16274 +a(g189 +V FindNoCase +p16275 +tp16276 +a(g29 +V +p16277 +tp16278 +a(g189 +V\u000a +p16279 +tp16280 +a(g29 +V +tp16283 +a(g189 +V FindOneOf +p16284 +tp16285 +a(g29 +V +p16286 +tp16287 +a(g189 +V\u000a +p16288 +tp16289 +a(g29 +V +tp16292 +a(g189 +V FirstDayOfMonth +p16293 +tp16294 +a(g29 +V +p16295 +tp16296 +a(g189 +V\u000a +p16297 +tp16298 +a(g29 +V +tp16301 +a(g189 +V Fix +p16302 +tp16303 +a(g29 +V +p16304 +tp16305 +a(g189 +V\u000a +p16306 +tp16307 +a(g29 +V +tp16310 +a(g189 +V FormatBaseN +p16311 +tp16312 +a(g29 +V +p16313 +tp16314 +a(g189 +V\u000a +p16315 +tp16316 +a(g29 +V +tp16319 +a(g189 +V GetAuthUser +p16320 +tp16321 +a(g29 +V +p16322 +tp16323 +a(g189 +V\u000a +p16324 +tp16325 +a(g29 +V +tp16328 +a(g189 +V GetBaseTagData +p16329 +tp16330 +a(g29 +V +p16331 +tp16332 +a(g189 +V\u000a +p16333 +tp16334 +a(g29 +V +tp16337 +a(g189 +V GetBaseTagList +p16338 +tp16339 +a(g29 +V +p16340 +tp16341 +a(g189 +V\u000a +p16342 +tp16343 +a(g29 +V +tp16346 +a(g189 +V GetBaseTemplatePath +p16347 +tp16348 +a(g29 +V +p16349 +tp16350 +a(g189 +V\u000a +p16351 +tp16352 +a(g29 +V +tp16355 +a(g189 +V GetClientVariablesList +p16356 +tp16357 +a(g29 +V +p16358 +tp16359 +a(g189 +V\u000a +p16360 +tp16361 +a(g29 +V +tp16364 +a(g189 +V GetCurrentTemplatePath +p16365 +tp16366 +a(g29 +V +p16367 +tp16368 +a(g189 +V\u000a +p16369 +tp16370 +a(g29 +V +tp16373 +a(g189 +V GetDirectoryFromPath +p16374 +tp16375 +a(g29 +V +p16376 +tp16377 +a(g189 +V\u000a +p16378 +tp16379 +a(g29 +V +tp16382 +a(g189 +V GetException +p16383 +tp16384 +a(g29 +V +p16385 +tp16386 +a(g189 +V\u000a +p16387 +tp16388 +a(g29 +V +tp16391 +a(g189 +V GetFileFromPath +p16392 +tp16393 +a(g29 +V +p16394 +tp16395 +a(g189 +V\u000a +p16396 +tp16397 +a(g29 +V +tp16400 +a(g189 +V GetFunctionList +p16401 +tp16402 +a(g29 +V +p16403 +tp16404 +a(g189 +V\u000a +p16405 +tp16406 +a(g29 +V +tp16409 +a(g189 +V GetHttpRequestData +p16410 +tp16411 +a(g29 +V +p16412 +tp16413 +a(g189 +V\u000a +p16414 +tp16415 +a(g29 +V +tp16418 +a(g189 +V GetHttpTimeString +p16419 +tp16420 +a(g29 +V +p16421 +tp16422 +a(g189 +V\u000a +p16423 +tp16424 +a(g29 +V +tp16427 +a(g189 +V GetK2ServerDocCount +p16428 +tp16429 +a(g29 +V +p16430 +tp16431 +a(g189 +V\u000a +p16432 +tp16433 +a(g29 +V +tp16436 +a(g189 +V GetK2ServerDocCountLimit +p16437 +tp16438 +a(g29 +V +p16439 +tp16440 +a(g189 +V\u000a +p16441 +tp16442 +a(g29 +V +tp16445 +a(g189 +V GetLocale +p16446 +tp16447 +a(g29 +V +p16448 +tp16449 +a(g189 +V\u000a +p16450 +tp16451 +a(g29 +V +tp16454 +a(g189 +V GetMetaData +p16455 +tp16456 +a(g29 +V +p16457 +tp16458 +a(g189 +V\u000a +p16459 +tp16460 +a(g29 +V +tp16463 +a(g189 +V GetMetricData +p16464 +tp16465 +a(g29 +V +p16466 +tp16467 +a(g189 +V\u000a +p16468 +tp16469 +a(g29 +V +tp16472 +a(g189 +V GetPageContext +p16473 +tp16474 +a(g29 +V +p16475 +tp16476 +a(g189 +V\u000a +p16477 +tp16478 +a(g29 +V +tp16481 +a(g189 +V GetProfileSections +p16482 +tp16483 +a(g29 +V +p16484 +tp16485 +a(g189 +V\u000a +p16486 +tp16487 +a(g29 +V +tp16490 +a(g189 +V GetProfileString +p16491 +tp16492 +a(g29 +V +p16493 +tp16494 +a(g189 +V\u000a +p16495 +tp16496 +a(g29 +V +tp16499 +a(g189 +V GetServiceSettings +p16500 +tp16501 +a(g29 +V +p16502 +tp16503 +a(g189 +V\u000a +p16504 +tp16505 +a(g29 +V +tp16508 +a(g189 +V GetTempDirectory +p16509 +tp16510 +a(g29 +V +p16511 +tp16512 +a(g189 +V\u000a +p16513 +tp16514 +a(g29 +V +tp16517 +a(g189 +V GetTempFile +p16518 +tp16519 +a(g29 +V +p16520 +tp16521 +a(g189 +V\u000a +p16522 +tp16523 +a(g29 +V +tp16526 +a(g189 +V GetTemplatePath +p16527 +tp16528 +a(g29 +V +p16529 +tp16530 +a(g189 +V\u000a +p16531 +tp16532 +a(g29 +V +tp16535 +a(g189 +V GetTickCount +p16536 +tp16537 +a(g29 +V +p16538 +tp16539 +a(g189 +V\u000a +p16540 +tp16541 +a(g29 +V +tp16544 +a(g189 +V GetTimeZoneInfo +p16545 +tp16546 +a(g29 +V +p16547 +tp16548 +a(g189 +V\u000a +p16549 +tp16550 +a(g29 +V +tp16553 +a(g189 +V GetToken +p16554 +tp16555 +a(g29 +V +p16556 +tp16557 +a(g189 +V\u000a +p16558 +tp16559 +a(g29 +V +tp16562 +a(g189 +V Hash +p16563 +tp16564 +a(g29 +V +p16565 +tp16566 +a(g189 +V\u000a +p16567 +tp16568 +a(g29 +V +tp16571 +a(g189 +V Hour +p16572 +tp16573 +a(g29 +V +p16574 +tp16575 +a(g189 +V\u000a +p16576 +tp16577 +a(g29 +V +tp16580 +a(g189 +V HTMLCodeFormat +p16581 +tp16582 +a(g29 +V +p16583 +tp16584 +a(g189 +V\u000a +p16585 +tp16586 +a(g29 +V +tp16589 +a(g189 +V HTMLEditFormat +p16590 +tp16591 +a(g29 +V +p16592 +tp16593 +a(g189 +V\u000a +p16594 +tp16595 +a(g29 +V +tp16598 +a(g189 +V IIf +p16599 +tp16600 +a(g29 +V +p16601 +tp16602 +a(g189 +V\u000a +p16603 +tp16604 +a(g29 +V +tp16607 +a(g189 +V IncrementValue +p16608 +tp16609 +a(g29 +V +p16610 +tp16611 +a(g189 +V\u000a +p16612 +tp16613 +a(g29 +V +tp16616 +a(g189 +V InputBaseN +p16617 +tp16618 +a(g29 +V +p16619 +tp16620 +a(g189 +V\u000a +p16621 +tp16622 +a(g29 +V +tp16625 +a(g189 +V Insert +p16626 +tp16627 +a(g29 +V +p16628 +tp16629 +a(g189 +V\u000a +p16630 +tp16631 +a(g29 +V +tp16634 +a(g189 +V Int +p16635 +tp16636 +a(g29 +V +p16637 +tp16638 +a(g189 +V\u000a +p16639 +tp16640 +a(g29 +V +tp16643 +a(g189 +V IsArray +p16644 +tp16645 +a(g29 +V +p16646 +tp16647 +a(g189 +V\u000a +p16648 +tp16649 +a(g29 +V +tp16652 +a(g189 +V IsBinary +p16653 +tp16654 +a(g29 +V +p16655 +tp16656 +a(g189 +V\u000a +p16657 +tp16658 +a(g29 +V +tp16661 +a(g189 +V IsBoolean +p16662 +tp16663 +a(g29 +V +p16664 +tp16665 +a(g189 +V\u000a +p16666 +tp16667 +a(g29 +V +tp16670 +a(g189 +V IsCustomFunction +p16671 +tp16672 +a(g29 +V +p16673 +tp16674 +a(g189 +V\u000a +p16675 +tp16676 +a(g29 +V +tp16679 +a(g189 +V IsDate +p16680 +tp16681 +a(g29 +V +p16682 +tp16683 +a(g189 +V\u000a +p16684 +tp16685 +a(g29 +V +tp16688 +a(g189 +V IsDebugMode +p16689 +tp16690 +a(g29 +V +p16691 +tp16692 +a(g189 +V\u000a +p16693 +tp16694 +a(g29 +V +tp16697 +a(g189 +V IsDefined +p16698 +tp16699 +a(g29 +V +p16700 +tp16701 +a(g189 +V\u000a +p16702 +tp16703 +a(g29 +V +tp16706 +a(g189 +V IsK2ServerABroker +p16707 +tp16708 +a(g29 +V +p16709 +tp16710 +a(g189 +V\u000a +p16711 +tp16712 +a(g29 +V +tp16715 +a(g189 +V IsK2ServerDocCountExceeded +p16716 +tp16717 +a(g29 +V +p16718 +tp16719 +a(g189 +V\u000a +p16720 +tp16721 +a(g29 +V +tp16724 +a(g189 +V IsK2ServerOnline +p16725 +tp16726 +a(g29 +V +p16727 +tp16728 +a(g189 +V\u000a +p16729 +tp16730 +a(g29 +V +tp16733 +a(g189 +V IsLeapYear +p16734 +tp16735 +a(g29 +V +p16736 +tp16737 +a(g189 +V\u000a +p16738 +tp16739 +a(g29 +V +tp16742 +a(g189 +V IsNumeric +p16743 +tp16744 +a(g29 +V +p16745 +tp16746 +a(g189 +V\u000a +p16747 +tp16748 +a(g29 +V +tp16751 +a(g189 +V IsNumericDate +p16752 +tp16753 +a(g29 +V +p16754 +tp16755 +a(g189 +V\u000a +p16756 +tp16757 +a(g29 +V +tp16760 +a(g189 +V IsObject +p16761 +tp16762 +a(g29 +V +p16763 +tp16764 +a(g189 +V\u000a +p16765 +tp16766 +a(g29 +V +tp16769 +a(g189 +V IsQuery +p16770 +tp16771 +a(g29 +V +p16772 +tp16773 +a(g189 +V\u000a +p16774 +tp16775 +a(g29 +V +tp16778 +a(g189 +V IsSimpleValue +p16779 +tp16780 +a(g29 +V +p16781 +tp16782 +a(g189 +V\u000a +p16783 +tp16784 +a(g29 +V +tp16787 +a(g189 +V IsStruct +p16788 +tp16789 +a(g29 +V +p16790 +tp16791 +a(g189 +V\u000a +p16792 +tp16793 +a(g29 +V +tp16796 +a(g189 +V IsUserInRole +p16797 +tp16798 +a(g29 +V +p16799 +tp16800 +a(g189 +V\u000a +p16801 +tp16802 +a(g29 +V +tp16805 +a(g189 +V IsWDDX +p16806 +tp16807 +a(g29 +V +p16808 +tp16809 +a(g189 +V\u000a +p16810 +tp16811 +a(g29 +V +tp16814 +a(g189 +V IsXmlDoc +p16815 +tp16816 +a(g29 +V +p16817 +tp16818 +a(g189 +V\u000a +p16819 +tp16820 +a(g29 +V +tp16823 +a(g189 +V IsXmlElement +p16824 +tp16825 +a(g29 +V +p16826 +tp16827 +a(g189 +V\u000a +p16828 +tp16829 +a(g29 +V +tp16832 +a(g189 +V IsXmlRoot +p16833 +tp16834 +a(g29 +V +p16835 +tp16836 +a(g189 +V\u000a +p16837 +tp16838 +a(g29 +V +tp16841 +a(g189 +V JavaCast +p16842 +tp16843 +a(g29 +V +p16844 +tp16845 +a(g189 +V\u000a +p16846 +tp16847 +a(g29 +V +tp16850 +a(g189 +V JSStringFormat +p16851 +tp16852 +a(g29 +V +p16853 +tp16854 +a(g189 +V\u000a +p16855 +tp16856 +a(g29 +V +tp16859 +a(g189 +V LCase +p16860 +tp16861 +a(g29 +V +p16862 +tp16863 +a(g189 +V\u000a +p16864 +tp16865 +a(g29 +V +tp16868 +a(g189 +V Left +p16869 +tp16870 +a(g29 +V +p16871 +tp16872 +a(g189 +V\u000a +p16873 +tp16874 +a(g29 +V +tp16877 +a(g189 +V Len +p16878 +tp16879 +a(g29 +V +p16880 +tp16881 +a(g189 +V\u000a +p16882 +tp16883 +a(g29 +V +tp16886 +a(g189 +V ListAppend +p16887 +tp16888 +a(g29 +V +p16889 +tp16890 +a(g189 +V\u000a +p16891 +tp16892 +a(g29 +V +tp16895 +a(g189 +V ListChangeDelims +p16896 +tp16897 +a(g29 +V +p16898 +tp16899 +a(g189 +V\u000a +p16900 +tp16901 +a(g29 +V +tp16904 +a(g189 +V ListContains +p16905 +tp16906 +a(g29 +V +p16907 +tp16908 +a(g189 +V\u000a +p16909 +tp16910 +a(g29 +V +tp16913 +a(g189 +V ListContainsNoCase +p16914 +tp16915 +a(g29 +V +p16916 +tp16917 +a(g189 +V\u000a +p16918 +tp16919 +a(g29 +V +tp16922 +a(g189 +V ListDeleteAt +p16923 +tp16924 +a(g29 +V +p16925 +tp16926 +a(g189 +V\u000a +p16927 +tp16928 +a(g29 +V +tp16931 +a(g189 +V ListFind +p16932 +tp16933 +a(g29 +V +p16934 +tp16935 +a(g189 +V\u000a +p16936 +tp16937 +a(g29 +V +tp16940 +a(g189 +V ListFindNoCase +p16941 +tp16942 +a(g29 +V +p16943 +tp16944 +a(g189 +V\u000a +p16945 +tp16946 +a(g29 +V +tp16949 +a(g189 +V ListFirst +p16950 +tp16951 +a(g29 +V +p16952 +tp16953 +a(g189 +V\u000a +p16954 +tp16955 +a(g29 +V +tp16958 +a(g189 +V ListGetAt +p16959 +tp16960 +a(g29 +V +p16961 +tp16962 +a(g189 +V\u000a +p16963 +tp16964 +a(g29 +V +tp16967 +a(g189 +V ListInsertAt +p16968 +tp16969 +a(g29 +V +p16970 +tp16971 +a(g189 +V\u000a +p16972 +tp16973 +a(g29 +V +tp16976 +a(g189 +V ListLast +p16977 +tp16978 +a(g29 +V +p16979 +tp16980 +a(g189 +V\u000a +p16981 +tp16982 +a(g29 +V +tp16985 +a(g189 +V ListLen +p16986 +tp16987 +a(g29 +V +p16988 +tp16989 +a(g189 +V\u000a +p16990 +tp16991 +a(g29 +V +tp16994 +a(g189 +V ListPrepend +p16995 +tp16996 +a(g29 +V +p16997 +tp16998 +a(g189 +V\u000a +p16999 +tp17000 +a(g29 +V +tp17003 +a(g189 +V ListQualify +p17004 +tp17005 +a(g29 +V +p17006 +tp17007 +a(g189 +V\u000a +p17008 +tp17009 +a(g29 +V +tp17012 +a(g189 +V ListRest +p17013 +tp17014 +a(g29 +V +p17015 +tp17016 +a(g189 +V\u000a +p17017 +tp17018 +a(g29 +V +tp17021 +a(g189 +V ListSetAt +p17022 +tp17023 +a(g29 +V +p17024 +tp17025 +a(g189 +V\u000a +p17026 +tp17027 +a(g29 +V +tp17030 +a(g189 +V ListSort +p17031 +tp17032 +a(g29 +V +p17033 +tp17034 +a(g189 +V\u000a +p17035 +tp17036 +a(g29 +V +tp17039 +a(g189 +V ListToArray +p17040 +tp17041 +a(g29 +V +p17042 +tp17043 +a(g189 +V\u000a +p17044 +tp17045 +a(g29 +V +tp17048 +a(g189 +V ListValueCount +p17049 +tp17050 +a(g29 +V +p17051 +tp17052 +a(g189 +V\u000a +p17053 +tp17054 +a(g29 +V +tp17057 +a(g189 +V ListValueCountNoCase +p17058 +tp17059 +a(g29 +V +p17060 +tp17061 +a(g189 +V\u000a +p17062 +tp17063 +a(g29 +V +tp17066 +a(g189 +V LJustify +p17067 +tp17068 +a(g29 +V +p17069 +tp17070 +a(g189 +V\u000a +p17071 +tp17072 +a(g29 +V +tp17075 +a(g189 +V Log +p17076 +tp17077 +a(g29 +V +p17078 +tp17079 +a(g189 +V\u000a +p17080 +tp17081 +a(g29 +V +tp17084 +a(g189 +V Log10 +p17085 +tp17086 +a(g29 +V +p17087 +tp17088 +a(g189 +V\u000a +p17089 +tp17090 +a(g29 +V +tp17093 +a(g189 +V LSCurrencyFormat +p17094 +tp17095 +a(g29 +V +p17096 +tp17097 +a(g189 +V\u000a +p17098 +tp17099 +a(g29 +V +tp17102 +a(g189 +V LSDateFormat +p17103 +tp17104 +a(g29 +V +p17105 +tp17106 +a(g189 +V\u000a +p17107 +tp17108 +a(g29 +V +tp17111 +a(g189 +V LSEuroCurrencyFormat +p17112 +tp17113 +a(g29 +V +p17114 +tp17115 +a(g189 +V\u000a +p17116 +tp17117 +a(g29 +V +tp17120 +a(g189 +V LSIsCurrency +p17121 +tp17122 +a(g29 +V +p17123 +tp17124 +a(g189 +V\u000a +p17125 +tp17126 +a(g29 +V +tp17129 +a(g189 +V LSIsDate +p17130 +tp17131 +a(g29 +V +p17132 +tp17133 +a(g189 +V\u000a +p17134 +tp17135 +a(g29 +V +tp17138 +a(g189 +V LSIsNumeric +p17139 +tp17140 +a(g29 +V +p17141 +tp17142 +a(g189 +V\u000a +p17143 +tp17144 +a(g29 +V +tp17147 +a(g189 +V LSNumberFormat +p17148 +tp17149 +a(g29 +V +p17150 +tp17151 +a(g189 +V\u000a +p17152 +tp17153 +a(g29 +V +tp17156 +a(g189 +V LSParseCurrency +p17157 +tp17158 +a(g29 +V +p17159 +tp17160 +a(g189 +V\u000a +p17161 +tp17162 +a(g29 +V +tp17165 +a(g189 +V LSParseDateTime +p17166 +tp17167 +a(g29 +V +p17168 +tp17169 +a(g189 +V\u000a +p17170 +tp17171 +a(g29 +V +tp17174 +a(g189 +V LSParseEuroCurrency +p17175 +tp17176 +a(g29 +V +p17177 +tp17178 +a(g189 +V\u000a +p17179 +tp17180 +a(g29 +V +tp17183 +a(g189 +V LSParseNumber +p17184 +tp17185 +a(g29 +V +p17186 +tp17187 +a(g189 +V\u000a +p17188 +tp17189 +a(g29 +V +tp17192 +a(g189 +V LSTimeFormat +p17193 +tp17194 +a(g29 +V +p17195 +tp17196 +a(g189 +V\u000a +p17197 +tp17198 +a(g29 +V +tp17201 +a(g189 +V LTrim +p17202 +tp17203 +a(g29 +V +p17204 +tp17205 +a(g189 +V\u000a +p17206 +tp17207 +a(g29 +V +tp17210 +a(g189 +V Max +p17211 +tp17212 +a(g29 +V +p17213 +tp17214 +a(g189 +V\u000a +p17215 +tp17216 +a(g29 +V +tp17219 +a(g189 +V Mid +p17220 +tp17221 +a(g29 +V +p17222 +tp17223 +a(g189 +V\u000a +p17224 +tp17225 +a(g29 +V +tp17228 +a(g189 +V Min +p17229 +tp17230 +a(g29 +V +p17231 +tp17232 +a(g189 +V\u000a +p17233 +tp17234 +a(g29 +V +tp17237 +a(g189 +V Minute +p17238 +tp17239 +a(g29 +V +p17240 +tp17241 +a(g189 +V\u000a +p17242 +tp17243 +a(g29 +V +tp17246 +a(g189 +V Month +p17247 +tp17248 +a(g29 +V +p17249 +tp17250 +a(g189 +V\u000a +p17251 +tp17252 +a(g29 +V +tp17255 +a(g189 +V MonthAsString +p17256 +tp17257 +a(g29 +V +p17258 +tp17259 +a(g189 +V\u000a +p17260 +tp17261 +a(g29 +V +tp17264 +a(g189 +V Now +p17265 +tp17266 +a(g29 +V +p17267 +tp17268 +a(g189 +V\u000a +p17269 +tp17270 +a(g29 +V +tp17273 +a(g189 +V NumberFormat +p17274 +tp17275 +a(g29 +V +p17276 +tp17277 +a(g189 +V\u000a +p17278 +tp17279 +a(g29 +V +tp17282 +a(g189 +V ParagraphFormat +p17283 +tp17284 +a(g29 +V +p17285 +tp17286 +a(g189 +V\u000a +p17287 +tp17288 +a(g29 +V +tp17291 +a(g189 +V ParameterExists +p17292 +tp17293 +a(g29 +V +p17294 +tp17295 +a(g189 +V\u000a +p17296 +tp17297 +a(g29 +V +tp17300 +a(g189 +V ParseDateTime +p17301 +tp17302 +a(g29 +V +p17303 +tp17304 +a(g189 +V\u000a +p17305 +tp17306 +a(g29 +V +tp17309 +a(g189 +V Pi +p17310 +tp17311 +a(g29 +V +p17312 +tp17313 +a(g189 +V\u000a +p17314 +tp17315 +a(g29 +V +tp17318 +a(g189 +V PreserveSingleQuotes +p17319 +tp17320 +a(g29 +V +p17321 +tp17322 +a(g189 +V\u000a +p17323 +tp17324 +a(g29 +V +tp17327 +a(g189 +V Quarter +p17328 +tp17329 +a(g29 +V +p17330 +tp17331 +a(g189 +V\u000a +p17332 +tp17333 +a(g29 +V +tp17336 +a(g189 +V QueryAddColumn +p17337 +tp17338 +a(g29 +V +p17339 +tp17340 +a(g189 +V\u000a +p17341 +tp17342 +a(g29 +V +tp17345 +a(g189 +V QueryAddRow +p17346 +tp17347 +a(g29 +V +p17348 +tp17349 +a(g189 +V\u000a +p17350 +tp17351 +a(g29 +V +tp17354 +a(g189 +V QueryNew +p17355 +tp17356 +a(g29 +V +p17357 +tp17358 +a(g189 +V\u000a +p17359 +tp17360 +a(g29 +V +tp17363 +a(g189 +V QuerySetCell +p17364 +tp17365 +a(g29 +V +p17366 +tp17367 +a(g189 +V\u000a +p17368 +tp17369 +a(g29 +V +tp17372 +a(g189 +V QuotedValueList +p17373 +tp17374 +a(g29 +V +p17375 +tp17376 +a(g189 +V\u000a +p17377 +tp17378 +a(g29 +V +tp17381 +a(g189 +V Rand +p17382 +tp17383 +a(g29 +V +p17384 +tp17385 +a(g189 +V\u000a +p17386 +tp17387 +a(g29 +V +tp17390 +a(g189 +V Randomize +p17391 +tp17392 +a(g29 +V +p17393 +tp17394 +a(g189 +V\u000a +p17395 +tp17396 +a(g29 +V +tp17399 +a(g189 +V RandRange +p17400 +tp17401 +a(g29 +V +p17402 +tp17403 +a(g189 +V\u000a +p17404 +tp17405 +a(g29 +V +tp17408 +a(g189 +V REFind +p17409 +tp17410 +a(g29 +V +p17411 +tp17412 +a(g189 +V\u000a +p17413 +tp17414 +a(g29 +V +tp17417 +a(g189 +V REFindNoCase +p17418 +tp17419 +a(g29 +V +p17420 +tp17421 +a(g189 +V\u000a +p17422 +tp17423 +a(g29 +V +tp17426 +a(g189 +V RemoveChars +p17427 +tp17428 +a(g29 +V +p17429 +tp17430 +a(g189 +V\u000a +p17431 +tp17432 +a(g29 +V +tp17435 +a(g189 +V RepeatString +p17436 +tp17437 +a(g29 +V +p17438 +tp17439 +a(g189 +V\u000a +p17440 +tp17441 +a(g29 +V +tp17444 +a(g189 +V Replace +p17445 +tp17446 +a(g29 +V +p17447 +tp17448 +a(g189 +V\u000a +p17449 +tp17450 +a(g29 +V +tp17453 +a(g189 +V ReplaceList +p17454 +tp17455 +a(g29 +V +p17456 +tp17457 +a(g189 +V\u000a +p17458 +tp17459 +a(g29 +V +tp17462 +a(g189 +V ReplaceNoCase +p17463 +tp17464 +a(g29 +V +p17465 +tp17466 +a(g189 +V\u000a +p17467 +tp17468 +a(g29 +V +tp17471 +a(g189 +V REReplace +p17472 +tp17473 +a(g29 +V +p17474 +tp17475 +a(g189 +V\u000a +p17476 +tp17477 +a(g29 +V +tp17480 +a(g189 +V REReplaceNoCase +p17481 +tp17482 +a(g29 +V +p17483 +tp17484 +a(g189 +V\u000a +p17485 +tp17486 +a(g29 +V +tp17489 +a(g189 +V Reverse +p17490 +tp17491 +a(g29 +V +p17492 +tp17493 +a(g189 +V\u000a +p17494 +tp17495 +a(g29 +V +tp17498 +a(g189 +V Right +p17499 +tp17500 +a(g29 +V +p17501 +tp17502 +a(g189 +V\u000a +p17503 +tp17504 +a(g29 +V +tp17507 +a(g189 +V RJustify +p17508 +tp17509 +a(g29 +V +p17510 +tp17511 +a(g189 +V\u000a +p17512 +tp17513 +a(g29 +V +tp17516 +a(g189 +V Round +p17517 +tp17518 +a(g29 +V +p17519 +tp17520 +a(g189 +V\u000a +p17521 +tp17522 +a(g29 +V +tp17525 +a(g189 +V RTrim +p17526 +tp17527 +a(g29 +V +p17528 +tp17529 +a(g189 +V\u000a +p17530 +tp17531 +a(g29 +V +tp17534 +a(g189 +V Second +p17535 +tp17536 +a(g29 +V +p17537 +tp17538 +a(g189 +V\u000a +p17539 +tp17540 +a(g29 +V +tp17543 +a(g189 +V SetEncoding +p17544 +tp17545 +a(g29 +V +p17546 +tp17547 +a(g189 +V\u000a +p17548 +tp17549 +a(g29 +V +tp17552 +a(g189 +V SetLocale +p17553 +tp17554 +a(g29 +V +p17555 +tp17556 +a(g189 +V\u000a +p17557 +tp17558 +a(g29 +V +tp17561 +a(g189 +V SetProfileString +p17562 +tp17563 +a(g29 +V +p17564 +tp17565 +a(g189 +V\u000a +p17566 +tp17567 +a(g29 +V +tp17570 +a(g189 +V SetVariable +p17571 +tp17572 +a(g29 +V +p17573 +tp17574 +a(g189 +V\u000a +p17575 +tp17576 +a(g29 +V +tp17579 +a(g189 +V Sgn +p17580 +tp17581 +a(g29 +V +p17582 +tp17583 +a(g189 +V\u000a +p17584 +tp17585 +a(g29 +V +tp17588 +a(g189 +V Sin +p17589 +tp17590 +a(g29 +V +p17591 +tp17592 +a(g189 +V\u000a +p17593 +tp17594 +a(g29 +V +tp17597 +a(g189 +V SpanExcluding +p17598 +tp17599 +a(g29 +V +p17600 +tp17601 +a(g189 +V\u000a +p17602 +tp17603 +a(g29 +V +tp17606 +a(g189 +V SpanIncluding +p17607 +tp17608 +a(g29 +V +p17609 +tp17610 +a(g189 +V\u000a +p17611 +tp17612 +a(g29 +V +tp17615 +a(g189 +V Sqr +p17616 +tp17617 +a(g29 +V +p17618 +tp17619 +a(g189 +V\u000a +p17620 +tp17621 +a(g29 +V +tp17624 +a(g189 +V StripCR +p17625 +tp17626 +a(g29 +V +p17627 +tp17628 +a(g189 +V\u000a +p17629 +tp17630 +a(g29 +V +tp17633 +a(g189 +V StructAppend +p17634 +tp17635 +a(g29 +V +p17636 +tp17637 +a(g189 +V\u000a +p17638 +tp17639 +a(g29 +V +tp17642 +a(g189 +V StructClear +p17643 +tp17644 +a(g29 +V +p17645 +tp17646 +a(g189 +V\u000a +p17647 +tp17648 +a(g29 +V +tp17651 +a(g189 +V StructCopy +p17652 +tp17653 +a(g29 +V +p17654 +tp17655 +a(g189 +V\u000a +p17656 +tp17657 +a(g29 +V +tp17660 +a(g189 +V StructCount +p17661 +tp17662 +a(g29 +V +p17663 +tp17664 +a(g189 +V\u000a +p17665 +tp17666 +a(g29 +V +tp17669 +a(g189 +V StructDelete +p17670 +tp17671 +a(g29 +V +p17672 +tp17673 +a(g189 +V\u000a +p17674 +tp17675 +a(g29 +V +tp17678 +a(g189 +V StructFind +p17679 +tp17680 +a(g29 +V +p17681 +tp17682 +a(g189 +V\u000a +p17683 +tp17684 +a(g29 +V +tp17687 +a(g189 +V StructFindKey +p17688 +tp17689 +a(g29 +V +p17690 +tp17691 +a(g189 +V\u000a +p17692 +tp17693 +a(g29 +V +tp17696 +a(g189 +V StructFindValue +p17697 +tp17698 +a(g29 +V +p17699 +tp17700 +a(g189 +V\u000a +p17701 +tp17702 +a(g29 +V +tp17705 +a(g189 +V StructGet +p17706 +tp17707 +a(g29 +V +p17708 +tp17709 +a(g189 +V\u000a +p17710 +tp17711 +a(g29 +V +tp17714 +a(g189 +V StructInsert +p17715 +tp17716 +a(g29 +V +p17717 +tp17718 +a(g189 +V\u000a +p17719 +tp17720 +a(g29 +V +tp17723 +a(g189 +V StructIsEmpty +p17724 +tp17725 +a(g29 +V +p17726 +tp17727 +a(g189 +V\u000a +p17728 +tp17729 +a(g29 +V +tp17732 +a(g189 +V StructKeyArray +p17733 +tp17734 +a(g29 +V +p17735 +tp17736 +a(g189 +V\u000a +p17737 +tp17738 +a(g29 +V +tp17741 +a(g189 +V StructKeyExists +p17742 +tp17743 +a(g29 +V +p17744 +tp17745 +a(g189 +V\u000a +p17746 +tp17747 +a(g29 +V +tp17750 +a(g189 +V StructKeyList +p17751 +tp17752 +a(g29 +V +p17753 +tp17754 +a(g189 +V\u000a +p17755 +tp17756 +a(g29 +V +tp17759 +a(g189 +V StructNew +p17760 +tp17761 +a(g29 +V +p17762 +tp17763 +a(g189 +V\u000a +p17764 +tp17765 +a(g29 +V +tp17768 +a(g189 +V StructSort +p17769 +tp17770 +a(g29 +V +p17771 +tp17772 +a(g189 +V\u000a +p17773 +tp17774 +a(g29 +V +tp17777 +a(g189 +V StructUpdate +p17778 +tp17779 +a(g29 +V +p17780 +tp17781 +a(g189 +V\u000a +p17782 +tp17783 +a(g29 +V +tp17786 +a(g189 +V Tan +p17787 +tp17788 +a(g29 +V +p17789 +tp17790 +a(g189 +V\u000a +p17791 +tp17792 +a(g29 +V +tp17795 +a(g189 +V TimeFormat +p17796 +tp17797 +a(g29 +V +p17798 +tp17799 +a(g189 +V\u000a +p17800 +tp17801 +a(g29 +V +tp17804 +a(g189 +V ToBase64 +p17805 +tp17806 +a(g29 +V +p17807 +tp17808 +a(g189 +V\u000a +p17809 +tp17810 +a(g29 +V +tp17813 +a(g189 +V ToBinary +p17814 +tp17815 +a(g29 +V +p17816 +tp17817 +a(g189 +V\u000a +p17818 +tp17819 +a(g29 +V +tp17822 +a(g189 +V ToString +p17823 +tp17824 +a(g29 +V +p17825 +tp17826 +a(g189 +V\u000a +p17827 +tp17828 +a(g29 +V +tp17831 +a(g189 +V Trim +p17832 +tp17833 +a(g29 +V +p17834 +tp17835 +a(g189 +V\u000a +p17836 +tp17837 +a(g29 +V +tp17840 +a(g189 +V UCase +p17841 +tp17842 +a(g29 +V +p17843 +tp17844 +a(g189 +V\u000a +p17845 +tp17846 +a(g29 +V +tp17849 +a(g189 +V URLDecode +p17850 +tp17851 +a(g29 +V +p17852 +tp17853 +a(g189 +V\u000a +p17854 +tp17855 +a(g29 +V +tp17858 +a(g189 +V URLEncodedFormat +p17859 +tp17860 +a(g29 +V +p17861 +tp17862 +a(g189 +V\u000a +p17863 +tp17864 +a(g29 +V +tp17867 +a(g189 +V URLSessionFormat +p17868 +tp17869 +a(g29 +V +p17870 +tp17871 +a(g189 +V\u000a +p17872 +tp17873 +a(g29 +V +tp17876 +a(g189 +V Val +p17877 +tp17878 +a(g29 +V +p17879 +tp17880 +a(g189 +V\u000a +p17881 +tp17882 +a(g29 +V +tp17885 +a(g189 +V ValueList +p17886 +tp17887 +a(g29 +V +p17888 +tp17889 +a(g189 +V\u000a +p17890 +tp17891 +a(g29 +V +tp17894 +a(g189 +V Week +p17895 +tp17896 +a(g29 +V +p17897 +tp17898 +a(g189 +V\u000a +p17899 +tp17900 +a(g29 +V +tp17903 +a(g189 +V WriteOutput +p17904 +tp17905 +a(g29 +V +p17906 +tp17907 +a(g189 +V\u000a +p17908 +tp17909 +a(g29 +V +tp17912 +a(g189 +V XmlChildPos +p17913 +tp17914 +a(g29 +V +p17915 +tp17916 +a(g189 +V\u000a +p17917 +tp17918 +a(g29 +V +tp17921 +a(g189 +V XmlElemNew +p17922 +tp17923 +a(g29 +V +p17924 +tp17925 +a(g189 +V\u000a +p17926 +tp17927 +a(g29 +V +tp17930 +a(g189 +V XmlFormat +p17931 +tp17932 +a(g29 +V +p17933 +tp17934 +a(g189 +V\u000a +p17935 +tp17936 +a(g29 +V +tp17939 +a(g189 +V XmlNew +p17940 +tp17941 +a(g29 +V +p17942 +tp17943 +a(g189 +V\u000a +p17944 +tp17945 +a(g29 +V +tp17948 +a(g189 +V XmlParse +p17949 +tp17950 +a(g29 +V +p17951 +tp17952 +a(g189 +V\u000a +p17953 +tp17954 +a(g29 +V +tp17957 +a(g189 +V XmlSearch +p17958 +tp17959 +a(g29 +V +p17960 +tp17961 +a(g189 +V\u000a +p17962 +tp17963 +a(g29 +V +tp17966 +a(g189 +V XmlTransform +p17967 +tp17968 +a(g29 +V +p17969 +tp17970 +a(g189 +V\u000a +p17971 +tp17972 +a(g29 +V +tp17975 +a(g189 +V Year +p17976 +tp17977 +a(g29 +V +p17978 +tp17979 +a(g189 +V\u000a +p17980 +tp17981 +a(g29 +V +tp17984 +a(g189 +V YesNoFormat +p17985 +tp17986 +a(g29 +V +p17987 +tp17988 +a(g189 +V\u000a\u000a +p17989 +tp17990 +a(g29 +V +p17991 +tp17992 +a(g189 +V\u000a\u000a +p17993 +tp17994 +a(g29 +V +tp17997 +a(g189 +V\u000a\u000a +p17998 +tp17999 +a(g29 +V +tp18017 +a(g189 +V\u000a +p18018 +tp18019 +a(g29 +V +p18038 +tp18039 +a(g189 +V\u000a +p18040 +tp18041 +a(g29 +V +p18060 +tp18061 +a(g189 +V\u000a +p18062 +tp18063 +a(g29 +V +p18082 +tp18083 +a(g189 +V\u000a +p18084 +tp18085 +a(g29 +V +p18104 +tp18105 +a(g189 +V\u000a +p18106 +tp18107 +a(g29 +V +p18126 +tp18127 +a(g189 +V\u000a +p18128 +tp18129 +a(g29 +V +p18148 +tp18149 +a(g189 +V\u000a +p18150 +tp18151 +a(g29 +V +p18170 +tp18171 +a(g189 +V\u000a +p18172 +tp18173 +a(g29 +V +p18192 +tp18193 +a(g189 +V\u000a +p18194 +tp18195 +a(g29 +V +p18214 +tp18215 +a(g189 +V\u000a +p18216 +tp18217 +a(g29 +V +p18236 +tp18237 +a(g189 +V\u000a +p18238 +tp18239 +a(g29 +V +p18258 +tp18259 +a(g189 +V\u000a +p18260 +tp18261 +a(g29 +V +p18280 +tp18281 +a(g189 +V\u000a +p18282 +tp18283 +a(g29 +V +p18302 +tp18303 +a(g189 +V\u000a +p18304 +tp18305 +a(g29 +V +p18306 +tp18307 +a(g189 +V\u000a\u000a\u000a\u000a +p18308 +tp18309 +a(g29 +V +tp18327 +a(g189 +V\u000a +p18328 +tp18329 +a(g29 +V +p18348 +tp18349 +a(g189 +V\u000a +p18350 +tp18351 +a(g29 +V +p18392 +tp18393 +a(g189 +V\u000a +p18394 +tp18395 +a(g29 +V +p18414 +tp18415 +a(g189 +V\u000a +p18416 +tp18417 +a(g29 +V +p18418 +tp18419 +a(g189 +V\u000a\u000a +p18420 +tp18421 +a(g29 +V +tp18439 +a(g189 +V\u000a +p18440 +tp18441 +a(g29 +V +p18460 +tp18461 +a(g189 +V\u000a +p18462 +tp18463 +a(g29 +V +p18504 +tp18505 +a(g189 +V\u000a +p18506 +tp18507 +a(g29 +V +p18526 +tp18527 +a(g189 +V\u000a +p18528 +tp18529 +a(g29 +V +p18530 +tp18531 +a(g189 +V\u000a\u000a +p18532 +tp18533 +a(g29 +V +tp18551 +a(g189 +V\u000a +p18552 +tp18553 +a(g29 +V +p18572 +tp18573 +a(g189 +V\u000a +p18574 +tp18575 +a(g29 +V +p18616 +tp18617 +a(g189 +V\u000a +p18618 +tp18619 +a(g29 +V +p18638 +tp18639 +a(g189 +V\u000a +p18640 +tp18641 +a(g29 +V +p18642 +tp18643 +a(g189 +V\u000a\u000a\u000a\u000a +p18644 +tp18645 +a(g29 +V +tp18663 +a(g189 +V\u000a +p18664 +tp18665 +a(g29 +V +p18684 +tp18685 +a(g189 +V\u000a +p18686 +tp18687 +a(g29 +V +p18728 +tp18729 +a(g189 +V\u000a +p18730 +tp18731 +a(g29 +V +p18750 +tp18751 +a(g189 +V\u000a +p18752 +tp18753 +a(g29 +V +p18754 +tp18755 +a(g189 +V\u000a\u000a +p18756 +tp18757 +a(g29 +V +tp18775 +a(g189 +V\u000a +p18776 +tp18777 +a(g29 +V +p18796 +tp18797 +a(g189 +V\u000a +p18798 +tp18799 +a(g29 +V +p18840 +tp18841 +a(g189 +V\u000a +p18842 +tp18843 +a(g29 +V +p18862 +tp18863 +a(g189 +V\u000a +p18864 +tp18865 +a(g29 +V +p18866 +tp18867 +a(g189 +V\u000a\u000a +p18868 +tp18869 +a(g29 +V +tp18887 +a(g189 +V\u000a +p18888 +tp18889 +a(g29 +V +p18908 +tp18909 +a(g189 +V\u000a +p18910 +tp18911 +a(g29 +V +p18952 +tp18953 +a(g189 +V\u000a +p18954 +tp18955 +a(g29 +V +p18974 +tp18975 +a(g189 +V\u000a +p18976 +tp18977 +a(g29 +V +p18978 +tp18979 +a(g189 +V\u000a\u000a +p18980 +tp18981 +a(g29 +V +tp18999 +a(g189 +V\u000a +p19000 +tp19001 +a(g29 +V +p19020 +tp19021 +a(g189 +V\u000a +p19022 +tp19023 +a(g29 +V +p19064 +tp19065 +a(g189 +V\u000a +p19066 +tp19067 +a(g29 +V +p19086 +tp19087 +a(g189 +V\u000a +p19088 +tp19089 +a(g29 +V +p19090 +tp19091 +a(g189 +V\u000a\u000a +p19092 +tp19093 +a(g29 +V +tp19111 +a(g189 +V\u000a +p19112 +tp19113 +a(g29 +V +p19132 +tp19133 +a(g189 +V\u000a +p19134 +tp19135 +a(g29 +V +p19176 +tp19177 +a(g189 +V\u000a +p19178 +tp19179 +a(g29 +V +p19198 +tp19199 +a(g189 +V\u000a +p19200 +tp19201 +a(g29 +V +p19202 +tp19203 +a(g189 +V\u000a\u000a +p19204 +tp19205 +a(g29 +V +tp19223 +a(g189 +V\u000a +p19224 +tp19225 +a(g29 +V +p19244 +tp19245 +a(g189 +V\u000a +p19246 +tp19247 +a(g29 +V +p19288 +tp19289 +a(g189 +V\u000a +p19290 +tp19291 +a(g29 +V +p19310 +tp19311 +a(g189 +V\u000a +p19312 +tp19313 +a(g29 +V +p19314 +tp19315 +a(g189 +V\u000a\u000a +p19316 +tp19317 +a(g29 +V +tp19335 +a(g189 +V\u000a +p19336 +tp19337 +a(g29 +V +p19356 +tp19357 +a(g189 +V\u000a +p19358 +tp19359 +a(g29 +V +p19400 +tp19401 +a(g189 +V\u000a +p19402 +tp19403 +a(g29 +V +p19422 +tp19423 +a(g189 +V\u000a +p19424 +tp19425 +a(g29 +V +p19426 +tp19427 +a(g189 +V\u000a\u000a\u000a\u000a +p19428 +tp19429 +a(g29 +V +tp19447 +a(g189 +V\u000a +p19448 +tp19449 +a(g29 +V +p19468 +tp19469 +a(g189 +V\u000a +p19470 +tp19471 +a(g29 +V +p19490 +tp19491 +a(g189 +V\u000a +p19492 +tp19493 +a(g29 +V +p19494 +tp19495 +a(g189 +V\u000a\u000a +p19496 +tp19497 +a(g29 +V +tp19515 +a(g189 +V\u000a +p19516 +tp19517 +a(g29 +V +p19536 +tp19537 +a(g189 +V\u000a +p19538 +tp19539 +a(g29 +V +p19540 +tp19541 +a(g189 +V\u000a\u000a +p19542 +tp19543 +a(g29 +V +tp19561 +a(g189 +V\u000a +p19562 +tp19563 +a(g29 +V +p19587 +tp19588 +a(g189 +V\u000a +p19589 +tp19590 +a(g29 +V +p19591 +tp19592 +a(g189 +V\u000a\u000a +p19593 +tp19594 +a(g29 +V +p19613 +tp19614 +a(g189 +V\u000a\u000a +p19615 +tp19616 +a(g29 +V +tp19634 +a(g189 +V\u000a +p19635 +tp19636 +a(g29 +V +p19655 +tp19656 +a(g189 +V\u000a +p19657 +tp19658 +a(g29 +V +p19659 +tp19660 +a(g189 +V\u000a\u000a\u000a\u000a +p19661 +tp19662 +a(g29 +V +tp19680 +a(g189 +V\u000a +p19681 +tp19682 +a(g29 +V +p19706 +tp19707 +a(g189 +V\u000a +p19708 +tp19709 +a(g29 +V +p19733 +tp19734 +a(g189 +V\u000a +p19735 +tp19736 +a(g29 +V +p19755 +tp19756 +a(g189 +V\u000a +p19757 +tp19758 +a(g29 +V +p19777 +tp19778 +a(g189 +V\u000a +p19779 +tp19780 +a(g29 +V +p19794 +tp19795 +a(g189 +V\u000a +p19796 +tp19797 +a(g29 +V +p19811 +tp19812 +a(g189 +V\u000a +p19813 +tp19814 +a(g29 +V +p19833 +tp19834 +a(g189 +V\u000a +p19835 +tp19836 +a(g29 +V +p19855 +tp19856 +a(g189 +V\u000a\u000a +p19857 +tp19858 +a(g29 +V +p19877 +tp19878 +a(g189 +V\u000a +p19879 +tp19880 +a(g29 +V +p19899 +tp19900 +a(g189 +V\u000a +p19901 +tp19902 +a(g29 +V +p19921 +tp19922 +a(g189 +V\u000a +p19923 +tp19924 +a(g29 +V +p19925 +tp19926 +a(g189 +V\u000a\u000a\u000a\u000a +p19927 +tp19928 +a(g29 +V +tp19946 +a(g189 +V\u000a +p19947 +tp19948 +a(g29 +V +p19972 +tp19973 +a(g189 +V\u000a +p19974 +tp19975 +a(g29 +V +p19999 +tp20000 +a(g189 +V\u000a +p20001 +tp20002 +a(g29 +V +p20021 +tp20022 +a(g189 +V\u000a +p20023 +tp20024 +a(g29 +V +p20043 +tp20044 +a(g189 +V\u000a +p20045 +tp20046 +a(g29 +V +p20060 +tp20061 +a(g189 +V\u000a +p20062 +tp20063 +a(g29 +V +p20077 +tp20078 +a(g189 +V\u000a +p20079 +tp20080 +a(g29 +V +p20099 +tp20100 +a(g189 +V\u000a +p20101 +tp20102 +a(g29 +V +p20121 +tp20122 +a(g189 +V\u000a\u000a +p20123 +tp20124 +a(g29 +V +p20143 +tp20144 +a(g189 +V\u000a +p20145 +tp20146 +a(g29 +V +p20165 +tp20166 +a(g189 +V\u000a +p20167 +tp20168 +a(g29 +V +p20187 +tp20188 +a(g189 +V\u000a +p20189 +tp20190 +a(g29 +V +p20209 +tp20210 +a(g189 +V\u000a +p20211 +tp20212 +a(g29 +V +p20213 +tp20214 +a(g189 +V\u000a\u000a\u000a\u000a +p20215 +tp20216 +a(g29 +V +tp20234 +a(g189 +V\u000a +p20235 +tp20236 +a(g29 +V +p20260 +tp20261 +a(g189 +V\u000a +p20262 +tp20263 +a(g29 +V +p20282 +tp20283 +a(g189 +V\u000a +p20284 +tp20285 +a(g29 +V +p20304 +tp20305 +a(g189 +V\u000a +p20306 +tp20307 +a(g29 +V +p20308 +tp20309 +a(g189 +V\u000a\u000a +p20310 +tp20311 +a(g29 +V +tp20329 +a(g189 +V\u000a +p20330 +tp20331 +a(g29 +V +p20350 +tp20351 +a(g189 +V\u000a +p20352 +tp20353 +a(g29 +V +p20377 +tp20378 +a(g189 +V\u000a +p20379 +tp20380 +a(g29 +V +p20399 +tp20400 +a(g189 +V\u000a +p20401 +tp20402 +a(g29 +V +p20403 +tp20404 +a(g189 +V\u000a\u000a +p20405 +tp20406 +a(g29 +V +tp20424 +a(g189 +V\u000a +p20425 +tp20426 +a(g29 +V +p20445 +tp20446 +a(g189 +V\u000a +p20447 +tp20448 +a(g29 +V +p20467 +tp20468 +a(g189 +V\u000a +p20469 +tp20470 +a(g29 +V +p20484 +tp20485 +a(g189 +V\u000a +p20486 +tp20487 +a(g29 +V +p20501 +tp20502 +a(g189 +V\u000a +p20503 +tp20504 +a(g29 +V +p20523 +tp20524 +a(g189 +V\u000a +p20525 +tp20526 +a(g29 +V +p20545 +tp20546 +a(g189 +V\u000a +p20547 +tp20548 +a(g29 +V +p20567 +tp20568 +a(g189 +V\u000a +p20569 +tp20570 +a(g29 +V +p20571 +tp20572 +a(g189 +V\u000a\u000a +p20573 +tp20574 +a(g29 +V +p20575 +tp20576 +a(g189 +V\u000a\u000a +p20577 +tp20578 +a(g29 +V +tp20581 +a(g189 +V\u000a\u000a +p20582 +tp20583 +a(g29 +V +p20618 +tp20619 +a(g189 +V\u000a +p20620 +tp20621 +a(g29 +V +p20656 +tp20657 +a(g189 +V\u000a +p20658 +tp20659 +a(g29 +V +p20694 +tp20695 +a(g189 +V\u000a +p20696 +tp20697 +a(g29 +V +p20732 +tp20733 +a(g189 +V\u000a +p20734 +tp20735 +a(g29 +V +p20770 +tp20771 +a(g189 +V\u000a +p20772 +tp20773 +a(g29 +V +p20808 +tp20809 +a(g189 +V\u000a +p20810 +tp20811 +a(g29 +V +p20846 +tp20847 +a(g189 +V\u000a +p20848 +tp20849 +a(g29 +V +p20883 +tp20884 +a(g189 +V\u000a +p20885 +tp20886 +a(g29 +V +p20921 +tp20922 +a(g189 +V\u000a +p20923 +tp20924 +a(g29 +V +p20959 +tp20960 +a(g189 +V\u000a +p20961 +tp20962 +a(g29 +V +p20997 +tp20998 +a(g189 +V\u000a +p20999 +tp21000 +a(g29 +V +p21035 +tp21036 +a(g189 +V\u000a +p21037 +tp21038 +a(g29 +V +p21073 +tp21074 +a(g189 +V\u000a +p21075 +tp21076 +a(g29 +V +p21111 +tp21112 +a(g189 +V\u000a +p21113 +tp21114 +a(g29 +V +p21149 +tp21150 +a(g189 +V\u000a +p21151 +tp21152 +a(g29 +V +p21187 +tp21188 +a(g189 +V\u000a +p21189 +tp21190 +a(g29 +V +p21225 +tp21226 +a(g189 +V\u000a +p21227 +tp21228 +a(g29 +V +p21262 +tp21263 +a(g189 +V\u000a +p21264 +tp21265 +a(g29 +V +p21300 +tp21301 +a(g189 +V\u000a +p21302 +tp21303 +a(g29 +V +p21338 +tp21339 +a(g189 +V\u000a +p21340 +tp21341 +a(g29 +V +p21376 +tp21377 +a(g189 +V\u000a +p21378 +tp21379 +a(g29 +V +p21414 +tp21415 +a(g189 +V\u000a +p21416 +tp21417 +a(g29 +V +p21451 +tp21452 +a(g189 +V\u000a +p21453 +tp21454 +a(g29 +V +p21489 +tp21490 +a(g189 +V\u000a +p21491 +tp21492 +a(g29 +V +p21526 +tp21527 +a(g189 +V\u000a +p21528 +tp21529 +a(g29 +V +p21564 +tp21565 +a(g189 +V\u000a\u000a +p21566 +tp21567 +a(g29 +V +p21568 +tp21569 +a(g189 +V\u000a\u000a +p21570 +tp21571 +a(g29 +V +p21572 +tp21573 +a(g189 +V\u000a\u000a +p21574 +tp21575 +a(g29 +V +tp21578 +a(g189 +V\u000a\u000a +p21579 +tp21580 +a(g29 +V +tp21583 +a(g189 +V\u000a\u000a +p21584 +tp21585 +a(g29 +V +p21604 +tp21605 +a(g189 +V\u000a +p21606 +tp21607 +a(g29 +V +p21626 +tp21627 +a(g189 +V\u000a +p21628 +tp21629 +a(g29 +V +p21643 +tp21644 +a(g189 +V\u000a\u000a +p21645 +tp21646 +a(g29 +V +p21647 +tp21648 +a(g189 +V\u000a\u000a +p21649 +tp21650 +a(g29 +V +p21664 +tp21665 +a(g189 +V\u000a\u000a +p21666 +tp21667 +a(g29 +V +p21668 +tp21669 +a(g189 +V\u000a\u000a +p21670 +tp21671 +a(g29 +V +p21672 +tp21673 +a(g189 +V\u000a +tp21674 +a(g7 +V +p21675 +tp21676 +a(g189 +V\u000a +tp21677 +a(g7 +V +p21678 +tp21679 +a(g189 +V\u000a +tp21680 +a(g13 +V +p21688 +tp21689 +a(g189 +V\u000a +tp21690 +a(g13 +V +p21698 +tp21699 +a(g189 +V\u000a +tp21700 +a(g13 +V +p21708 +tp21709 +a(g189 +V\u000a +tp21710 +a(g13 +V +p21715 +tp21716 +a(g189 +V\u000a +tp21717 +a(g13 +V +p21722 +tp21723 +a(g189 +V\u000a +tp21724 +a(g13 +V +p21729 +tp21730 +a(g189 +V\u000a +tp21731 +a(g13 +V +p21736 +tp21737 +a(g189 +V\u000a +tp21738 +a(g13 +V +p21743 +tp21744 +a(g189 +V\u000a +tp21745 +a(g13 +V +p21750 +tp21751 +a(g189 +V\u000a +tp21752 +a(g13 +V +p21757 +tp21758 +a(g189 +V\u000a +tp21759 +a(g29 +V +tp21804 +a(g189 +V\u000a +p21805 +tp21806 +a(g29 +V +tp21809 +a(g189 +V\u000a +p21810 +tp21811 +a(g29 +V +tp21819 +a(g189 +V\u000a +p21820 +tp21821 +a(g29 +V +tp21824 +a(g189 +V BEGIN +p21825 +tp21826 +a(g29 +V +p21827 +tp21828 +a(g189 +V\u000a +p21829 +tp21830 +a(g29 +V +tp21833 +a(g189 +V BY +p21834 +tp21835 +a(g29 +V +p21836 +tp21837 +a(g189 +V\u000a +p21838 +tp21839 +a(g29 +V +tp21842 +a(g189 +V CASE +p21843 +tp21844 +a(g29 +V +p21845 +tp21846 +a(g189 +V\u000a +p21847 +tp21848 +a(g29 +V +tp21851 +a(g189 +V CLOSE +p21852 +tp21853 +a(g29 +V +p21854 +tp21855 +a(g189 +V\u000a +p21856 +tp21857 +a(g29 +V +tp21860 +a(g189 +V CONST +p21861 +tp21862 +a(g29 +V +p21863 +tp21864 +a(g189 +V\u000a +p21865 +tp21866 +a(g29 +V +tp21869 +a(g189 +V DO +p21870 +tp21871 +a(g29 +V +p21872 +tp21873 +a(g189 +V\u000a +p21874 +tp21875 +a(g29 +V +tp21878 +a(g189 +V ELSE +p21879 +tp21880 +a(g29 +V +p21881 +tp21882 +a(g189 +V\u000a +p21883 +tp21884 +a(g29 +V +tp21887 +a(g189 +V ELSIF +p21888 +tp21889 +a(g29 +V +p21890 +tp21891 +a(g189 +V\u000a +p21892 +tp21893 +a(g29 +V +tp21896 +a(g189 +V END +p21897 +tp21898 +a(g29 +V +p21899 +tp21900 +a(g189 +V\u000a +p21901 +tp21902 +a(g29 +V +tp21905 +a(g189 +V FOR +p21906 +tp21907 +a(g29 +V +p21908 +tp21909 +a(g189 +V\u000a +p21910 +tp21911 +a(g29 +V +tp21914 +a(g189 +V IF +p21915 +tp21916 +a(g29 +V +p21917 +tp21918 +a(g189 +V\u000a +p21919 +tp21920 +a(g29 +V +tp21923 +a(g189 +V IMPORT +p21924 +tp21925 +a(g29 +V +p21926 +tp21927 +a(g189 +V\u000a +p21928 +tp21929 +a(g29 +V +tp21932 +a(g189 +V LOOP +p21933 +tp21934 +a(g29 +V +p21935 +tp21936 +a(g189 +V\u000a +p21937 +tp21938 +a(g29 +V +tp21941 +a(g189 +V MODULE +p21942 +tp21943 +a(g29 +V +p21944 +tp21945 +a(g189 +V\u000a +p21946 +tp21947 +a(g29 +V +tp21950 +a(g189 +V NEW +p21951 +tp21952 +a(g29 +V +p21953 +tp21954 +a(g189 +V\u000a +p21955 +tp21956 +a(g29 +V +tp21959 +a(g189 +V OF +p21960 +tp21961 +a(g29 +V +p21962 +tp21963 +a(g189 +V\u000a +p21964 +tp21965 +a(g29 +V +tp21968 +a(g189 +V OUT +p21969 +tp21970 +a(g29 +V +p21971 +tp21972 +a(g189 +V\u000a +p21973 +tp21974 +a(g29 +V +tp21977 +a(g189 +V PROCEDURE +p21978 +tp21979 +a(g29 +V +p21980 +tp21981 +a(g189 +V\u000a +p21982 +tp21983 +a(g29 +V +tp21986 +a(g189 +V REPEAT +p21987 +tp21988 +a(g29 +V +p21989 +tp21990 +a(g189 +V\u000a +p21991 +tp21992 +a(g29 +V +tp21995 +a(g189 +V THEN +p21996 +tp21997 +a(g29 +V +p21998 +tp21999 +a(g189 +V\u000a +p22000 +tp22001 +a(g29 +V +tp22004 +a(g189 +V TO +p22005 +tp22006 +a(g29 +V +p22007 +tp22008 +a(g189 +V\u000a +p22009 +tp22010 +a(g29 +V +tp22013 +a(g189 +V TYPE +p22014 +tp22015 +a(g29 +V +p22016 +tp22017 +a(g189 +V\u000a +p22018 +tp22019 +a(g29 +V +tp22022 +a(g189 +V UNTIL +p22023 +tp22024 +a(g29 +V +p22025 +tp22026 +a(g189 +V\u000a +p22027 +tp22028 +a(g29 +V +tp22031 +a(g189 +V VAR +p22032 +tp22033 +a(g29 +V +p22034 +tp22035 +a(g189 +V\u000a +p22036 +tp22037 +a(g29 +V +tp22040 +a(g189 +V WHILE +p22041 +tp22042 +a(g29 +V +p22043 +tp22044 +a(g189 +V\u000a +p22045 +tp22046 +a(g29 +V +tp22049 +a(g189 +V WITH +p22050 +tp22051 +a(g29 +V +p22052 +tp22053 +a(g189 +V\u000a +p22054 +tp22055 +a(g29 +V +p22056 +tp22057 +a(g189 +V\u000a +p22058 +tp22059 +a(g29 +V +tp22067 +a(g189 +V\u000a +p22068 +tp22069 +a(g29 +V +tp22072 +a(g189 +V ASSERT +p22073 +tp22074 +a(g29 +V +p22075 +tp22076 +a(g189 +V\u000a +p22077 +tp22078 +a(g29 +V +tp22081 +a(g189 +V EXIT +p22082 +tp22083 +a(g29 +V +p22084 +tp22085 +a(g189 +V\u000a +p22086 +tp22087 +a(g29 +V +tp22090 +a(g189 +V HALT +p22091 +tp22092 +a(g29 +V +p22093 +tp22094 +a(g189 +V\u000a +p22095 +tp22096 +a(g29 +V +tp22099 +a(g189 +V RETURN +p22100 +tp22101 +a(g29 +V +p22102 +tp22103 +a(g189 +V\u000a +p22104 +tp22105 +a(g29 +V +p22106 +tp22107 +a(g189 +V\u000a +p22108 +tp22109 +a(g29 +V +tp22117 +a(g189 +V\u000a +p22118 +tp22119 +a(g29 +V +tp22122 +a(g189 +V ANYPTR +p22123 +tp22124 +a(g29 +V +p22125 +tp22126 +a(g189 +V\u000a +p22127 +tp22128 +a(g29 +V +tp22131 +a(g189 +V ANYREC +p22132 +tp22133 +a(g29 +V +p22134 +tp22135 +a(g189 +V\u000a +p22136 +tp22137 +a(g29 +V +tp22140 +a(g189 +V ARRAY +p22141 +tp22142 +a(g29 +V +p22143 +tp22144 +a(g189 +V\u000a +p22145 +tp22146 +a(g29 +V +tp22149 +a(g189 +V BOOLEAN +p22150 +tp22151 +a(g29 +V +p22152 +tp22153 +a(g189 +V\u000a +p22154 +tp22155 +a(g29 +V +tp22158 +a(g189 +V SHORTCHAR +p22159 +tp22160 +a(g29 +V +p22161 +tp22162 +a(g189 +V\u000a +p22163 +tp22164 +a(g29 +V +tp22167 +a(g189 +V CHAR +p22168 +tp22169 +a(g29 +V +p22170 +tp22171 +a(g189 +V\u000a +p22172 +tp22173 +a(g29 +V +tp22176 +a(g189 +V BYTE +p22177 +tp22178 +a(g29 +V +p22179 +tp22180 +a(g189 +V\u000a +p22181 +tp22182 +a(g29 +V +tp22185 +a(g189 +V SHORTINT +p22186 +tp22187 +a(g29 +V +p22188 +tp22189 +a(g189 +V\u000a +p22190 +tp22191 +a(g29 +V +tp22194 +a(g189 +V INTEGER +p22195 +tp22196 +a(g29 +V +p22197 +tp22198 +a(g189 +V\u000a +p22199 +tp22200 +a(g29 +V +tp22203 +a(g189 +V LONGINT +p22204 +tp22205 +a(g29 +V +p22206 +tp22207 +a(g189 +V\u000a +p22208 +tp22209 +a(g29 +V +tp22212 +a(g189 +V POINTER +p22213 +tp22214 +a(g29 +V +p22215 +tp22216 +a(g189 +V\u000a +p22217 +tp22218 +a(g29 +V +tp22221 +a(g189 +V RECORD +p22222 +tp22223 +a(g29 +V +p22224 +tp22225 +a(g189 +V\u000a +p22226 +tp22227 +a(g29 +V +tp22230 +a(g189 +V SHORTREAL +p22231 +tp22232 +a(g29 +V +p22233 +tp22234 +a(g189 +V\u000a +p22235 +tp22236 +a(g29 +V +tp22239 +a(g189 +V REAL +p22240 +tp22241 +a(g29 +V +p22242 +tp22243 +a(g189 +V\u000a +p22244 +tp22245 +a(g29 +V +tp22248 +a(g189 +V SET +p22249 +tp22250 +a(g29 +V +p22251 +tp22252 +a(g189 +V\u000a +p22253 +tp22254 +a(g29 +V +p22255 +tp22256 +a(g189 +V\u000a +p22257 +tp22258 +a(g29 +V +tp22266 +a(g189 +V\u000a +p22267 +tp22268 +a(g29 +V +tp22271 +a(g189 +V ABSTRACT +p22272 +tp22273 +a(g29 +V +p22274 +tp22275 +a(g189 +V\u000a +p22276 +tp22277 +a(g29 +V +tp22280 +a(g189 +V EMPTY +p22281 +tp22282 +a(g29 +V +p22283 +tp22284 +a(g189 +V\u000a +p22285 +tp22286 +a(g29 +V +tp22289 +a(g189 +V EXTENSIBLE +p22290 +tp22291 +a(g29 +V +p22292 +tp22293 +a(g189 +V\u000a +p22294 +tp22295 +a(g29 +V +tp22298 +a(g189 +V LIMITED +p22299 +tp22300 +a(g29 +V +p22301 +tp22302 +a(g189 +V\u000a +p22303 +tp22304 +a(g29 +V +p22305 +tp22306 +a(g189 +V\u000a +p22307 +tp22308 +a(g29 +V +tp22316 +a(g189 +V\u000a +p22317 +tp22318 +a(g29 +V +tp22321 +a(g189 +V ABS +p22322 +tp22323 +a(g29 +V +p22324 +tp22325 +a(g189 +V\u000a +p22326 +tp22327 +a(g29 +V +tp22330 +a(g189 +V ASH +p22331 +tp22332 +a(g29 +V +p22333 +tp22334 +a(g189 +V\u000a +p22335 +tp22336 +a(g29 +V +tp22339 +a(g189 +V BITS +p22340 +tp22341 +a(g29 +V +p22342 +tp22343 +a(g189 +V\u000a +p22344 +tp22345 +a(g29 +V +tp22348 +a(g189 +V CAP +p22349 +tp22350 +a(g29 +V +p22351 +tp22352 +a(g189 +V\u000a +p22353 +tp22354 +a(g29 +V +tp22357 +a(g189 +V CHR +p22358 +tp22359 +a(g29 +V +p22360 +tp22361 +a(g189 +V\u000a +p22362 +tp22363 +a(g29 +V +tp22366 +a(g189 +V DEC +p22367 +tp22368 +a(g29 +V +p22369 +tp22370 +a(g189 +V\u000a +p22371 +tp22372 +a(g29 +V +tp22375 +a(g189 +V ENTIER +p22376 +tp22377 +a(g29 +V +p22378 +tp22379 +a(g189 +V\u000a +p22380 +tp22381 +a(g29 +V +tp22384 +a(g189 +V EXCL +p22385 +tp22386 +a(g29 +V +p22387 +tp22388 +a(g189 +V\u000a +p22389 +tp22390 +a(g29 +V +tp22393 +a(g189 +V INC +p22394 +tp22395 +a(g29 +V +p22396 +tp22397 +a(g189 +V\u000a +p22398 +tp22399 +a(g29 +V +tp22402 +a(g189 +V INCL +p22403 +tp22404 +a(g29 +V +p22405 +tp22406 +a(g189 +V\u000a +p22407 +tp22408 +a(g29 +V +tp22411 +a(g189 +V LEN +p22412 +tp22413 +a(g29 +V +p22414 +tp22415 +a(g189 +V\u000a +p22416 +tp22417 +a(g29 +V +tp22420 +a(g189 +V LONG +p22421 +tp22422 +a(g29 +V +p22423 +tp22424 +a(g189 +V\u000a +p22425 +tp22426 +a(g29 +V +tp22429 +a(g189 +V MAX +p22430 +tp22431 +a(g29 +V +p22432 +tp22433 +a(g189 +V\u000a +p22434 +tp22435 +a(g29 +V +tp22438 +a(g189 +V MIN +p22439 +tp22440 +a(g29 +V +p22441 +tp22442 +a(g189 +V\u000a +p22443 +tp22444 +a(g29 +V +tp22447 +a(g189 +V ODD +p22448 +tp22449 +a(g29 +V +p22450 +tp22451 +a(g189 +V\u000a +p22452 +tp22453 +a(g29 +V +tp22456 +a(g189 +V ORD +p22457 +tp22458 +a(g29 +V +p22459 +tp22460 +a(g189 +V\u000a +p22461 +tp22462 +a(g29 +V +tp22465 +a(g189 +V SHORT +p22466 +tp22467 +a(g29 +V +p22468 +tp22469 +a(g189 +V\u000a +p22470 +tp22471 +a(g29 +V +tp22474 +a(g189 +V SIZE +p22475 +tp22476 +a(g29 +V +p22477 +tp22478 +a(g189 +V\u000a +p22479 +tp22480 +a(g29 +V +p22481 +tp22482 +a(g189 +V\u000a +p22483 +tp22484 +a(g29 +V +tp22492 +a(g189 +V\u000a +p22493 +tp22494 +a(g29 +V +tp22497 +a(g189 +V FALSE +p22498 +tp22499 +a(g29 +V +p22500 +tp22501 +a(g189 +V\u000a +p22502 +tp22503 +a(g29 +V +tp22506 +a(g189 +V INF +p22507 +tp22508 +a(g29 +V +p22509 +tp22510 +a(g189 +V\u000a +p22511 +tp22512 +a(g29 +V +tp22515 +a(g189 +V NIL +p22516 +tp22517 +a(g29 +V +p22518 +tp22519 +a(g189 +V\u000a +p22520 +tp22521 +a(g29 +V +tp22524 +a(g189 +V TRUE +p22525 +tp22526 +a(g29 +V +p22527 +tp22528 +a(g189 +V\u000a +p22529 +tp22530 +a(g29 +V +p22531 +tp22532 +a(g189 +V\u000a +p22533 +tp22534 +a(g29 +V +tp22537 +a(g189 +V\u000a +p22538 +tp22539 +a(g29 +V +tp22557 +a(g189 +V\u000a +p22558 +tp22559 +a(g29 +V +p22582 +tp22583 +a(g189 +V\u000a +p22584 +tp22585 +a(g29 +V +p22613 +tp22614 +a(g189 +V\u000a +p22615 +tp22616 +a(g29 +V +p22635 +tp22636 +a(g189 +V\u000a +p22637 +tp22638 +a(g29 +V +p22657 +tp22658 +a(g189 +V\u000a +p22659 +tp22660 +a(g29 +V +p22683 +tp22684 +a(g189 +V\u000a +p22685 +tp22686 +a(g29 +V +p22709 +tp22710 +a(g189 +V\u000a +p22711 +tp22712 +a(g29 +V +p22735 +tp22736 +a(g189 +V\u000a +p22737 +tp22738 +a(g29 +V +p22761 +tp22762 +a(g189 +V\u000a +p22763 +tp22764 +a(g29 +V +p22782 +tp22783 +a(g189 +V\u000a +p22784 +tp22785 +a(g29 +V +p22803 +tp22804 +a(g189 +V\u000a +p22805 +tp22806 +a(g29 +V +p22824 +tp22825 +a(g189 +V\u000a +p22826 +tp22827 +a(g29 +V +p22845 +tp22846 +a(g189 +V\u000a +p22847 +tp22848 +a(g29 +V +p22866 +tp22867 +a(g189 +V\u000a +p22868 +tp22869 +a(g29 +V +p22887 +tp22888 +a(g189 +V\u000a +p22889 +tp22890 +a(g29 +V +p22908 +tp22909 +a(g189 +V\u000a +p22910 +tp22911 +a(g29 +V +p22929 +tp22930 +a(g189 +V\u000a +p22931 +tp22932 +a(g29 +V +p22945 +tp22946 +a(g189 +V\u000a +p22947 +tp22948 +a(g29 +V +p22966 +tp22967 +a(g189 +V\u000a +p22968 +tp22969 +a(g29 +V +p22987 +tp22988 +a(g189 +V\u000a +p22989 +tp22990 +a(g29 +V +p23008 +tp23009 +a(g189 +V\u000a +p23010 +tp23011 +a(g29 +V +p23029 +tp23030 +a(g189 +V\u000a +p23031 +tp23032 +a(g29 +V +p23050 +tp23051 +a(g189 +V\u000a +p23052 +tp23053 +a(g29 +V +p23054 +tp23055 +a(g189 +V\u000a +p23056 +tp23057 +a(g29 +V +tp23075 +a(g189 +V\u000a +p23076 +tp23077 +a(g29 +V +p23105 +tp23106 +a(g189 +V\u000a +p23107 +tp23108 +a(g29 +V +p23131 +tp23132 +a(g189 +V\u000a +p23133 +tp23134 +a(g29 +V +p23135 +tp23136 +a(g189 +V\u000a +p23137 +tp23138 +a(g29 +V +tp23156 +a(g189 +V\u000a +p23157 +tp23158 +a(g29 +V +p23186 +tp23187 +a(g189 +V\u000a +p23188 +tp23189 +a(g29 +V +p23212 +tp23213 +a(g189 +V\u000a +p23214 +tp23215 +a(g29 +V +p23216 +tp23217 +a(g189 +V\u000a +p23218 +tp23219 +a(g29 +V +tp23237 +a(g189 +V\u000a +p23238 +tp23239 +a(g29 +V +p23262 +tp23263 +a(g189 +V\u000a +p23264 +tp23265 +a(g29 +V +p23288 +tp23289 +a(g189 +V\u000a +p23290 +tp23291 +a(g29 +V +p23292 +tp23293 +a(g189 +V\u000a +p23294 +tp23295 +a(g29 +V +tp23313 +a(g189 +V\u000a +p23314 +tp23315 +a(g29 +V +p23338 +tp23339 +a(g189 +V\u000a +p23340 +tp23341 +a(g29 +V +p23342 +tp23343 +a(g189 +V\u000a +p23344 +tp23345 +a(g29 +V +tp23363 +a(g189 +V\u000a +p23364 +tp23365 +a(g29 +V +p23383 +tp23384 +a(g189 +V\u000a +p23385 +tp23386 +a(g29 +V +p23387 +tp23388 +a(g189 +V\u000a +p23389 +tp23390 +a(g29 +V +tp23408 +a(g189 +V\u000a +p23409 +tp23410 +a(g29 +V +p23428 +tp23429 +a(g189 +V\u000a +p23430 +tp23431 +a(g29 +V +p23432 +tp23433 +a(g189 +V\u000a +p23434 +tp23435 +a(g29 +V +p23436 +tp23437 +a(g189 +V\u000a +p23438 +tp23439 +a(g29 +V +tp23442 +a(g189 +V\u000a +p23443 +tp23444 +a(g29 +V +p23458 +tp23459 +a(g189 +V\u000a +p23460 +tp23461 +a(g29 +V +p23475 +tp23476 +a(g189 +V\u000a +p23477 +tp23478 +a(g29 +V +p23492 +tp23493 +a(g189 +V\u000a +p23494 +tp23495 +a(g29 +V +p23509 +tp23510 +a(g189 +V\u000a +p23511 +tp23512 +a(g29 +V +p23526 +tp23527 +a(g189 +V\u000a +p23528 +tp23529 +a(g29 +V +p23543 +tp23544 +a(g189 +V\u000a +p23545 +tp23546 +a(g29 +V +p23559 +tp23560 +a(g189 +V\u000a +p23561 +tp23562 +a(g29 +V +p23575 +tp23576 +a(g189 +V\u000a +p23577 +tp23578 +a(g29 +V +p23591 +tp23592 +a(g189 +V\u000a +p23593 +tp23594 +a(g29 +V +p23607 +tp23608 +a(g189 +V\u000a +p23609 +tp23610 +a(g29 +V +p23623 +tp23624 +a(g189 +V\u000a +p23625 +tp23626 +a(g29 +V +p23640 +tp23641 +a(g189 +V\u000a +p23642 +tp23643 +a(g29 +V +p23657 +tp23658 +a(g189 +V\u000a +p23659 +tp23660 +a(g29 +V +p23673 +tp23674 +a(g189 +V\u000a +p23675 +tp23676 +a(g29 +V +p23689 +tp23690 +a(g189 +V\u000a +p23691 +tp23692 +a(g29 +V +p23705 +tp23706 +a(g189 +V\u000a +p23707 +tp23708 +a(g29 +V +p23721 +tp23722 +a(g189 +V\u000a +p23723 +tp23724 +a(g29 +V +p23737 +tp23738 +a(g189 +V\u000a +p23739 +tp23740 +a(g29 +V +p23741 +tp23742 +a(g189 +V\u000a +p23743 +tp23744 +a(g29 +V +p23745 +tp23746 +a(g189 +V\u000a +p23747 +tp23748 +a(g29 +V +tp23751 +a(g189 +V\u000a +p23752 +tp23753 +a(g29 +V +p23762 +tp23763 +a(g189 +V\u000a +p23764 +tp23765 +a(g29 +V +tp23768 +a(g189 +V\u000a +p23769 +tp23770 +a(g29 +V +p23789 +tp23790 +a(g189 +V\u000a +p23791 +tp23792 +a(g29 +V +p23793 +tp23794 +a(g189 +V\u000a +p23795 +tp23796 +a(g29 +V +p23797 +tp23798 +a(g189 +V\u000a +tp23799 +a(g29 +V +p23800 +tp23801 +a(g189 +V\u000a +tp23802 +a. \ No newline at end of file diff --git a/tests/examplefiles/output/zmlrpc.f90 b/tests/examplefiles/output/zmlrpc.f90 new file mode 100644 index 0000000..6116cc0 --- /dev/null +++ b/tests/examplefiles/output/zmlrpc.f90 @@ -0,0 +1,23052 @@ +(lp1 +(ccopy_reg +_reconstructor +p2 +(cpygments.token +_TokenType +p3 +c__builtin__ +tuple +p4 +(S'Comment' +p5 +ttRp6 +(dp7 +S'parent' +p8 +g2 +(g3 +g4 +(ttRp9 +(dp10 +g5 +g6 +sS'Name' +p11 +g2 +(g3 +g4 +(g11 +ttRp12 +(dp13 +S'Function' +p14 +g2 +(g3 +g4 +(g11 +g14 +ttRp15 +(dp16 +S'subtypes' +p17 +c__builtin__ +set +p18 +((ltRp19 +sg8 +g12 +sbsS'Exception' +p20 +g2 +(g3 +g4 +(g11 +g20 +ttRp21 +(dp22 +g17 +g18 +((ltRp23 +sg8 +g12 +sbsS'Tag' +p24 +g2 +(g3 +g4 +(g11 +g24 +ttRp25 +(dp26 +g17 +g18 +((ltRp27 +sg8 +g12 +sbsS'Constant' +p28 +g2 +(g3 +g4 +(g11 +g28 +ttRp29 +(dp30 +g17 +g18 +((ltRp31 +sg8 +g12 +sbsg8 +g9 +sS'Pseudo' +p32 +g2 +(g3 +g4 +(g11 +g32 +ttRp33 +(dp34 +g17 +g18 +((ltRp35 +sg8 +g12 +sbsS'Attribute' +p36 +g2 +(g3 +g4 +(g11 +g36 +ttRp37 +(dp38 +g17 +g18 +((ltRp39 +sg8 +g12 +sbsS'Label' +p40 +g2 +(g3 +g4 +(g11 +g40 +ttRp41 +(dp42 +g17 +g18 +((ltRp43 +sg8 +g12 +sbsS'Blubb' +p44 +g2 +(g3 +g4 +(g11 +g44 +ttRp45 +(dp46 +g17 +g18 +((ltRp47 +sg8 +g12 +sbsS'Entity' +p48 +g2 +(g3 +g4 +(g11 +g48 +ttRp49 +(dp50 +g17 +g18 +((ltRp51 +sg8 +g12 +sbsS'Builtin' +p52 +g2 +(g3 +g4 +(g11 +g52 +ttRp53 +(dp54 +g17 +g18 +((lp55 +g2 +(g3 +g4 +(g11 +g52 +g32 +ttRp56 +(dp57 +g17 +g18 +((ltRp58 +sg8 +g53 +sbatRp59 +sg32 +g56 +sg8 +g12 +sbsS'Other' +p60 +g2 +(g3 +g4 +(g11 +g60 +ttRp61 +(dp62 +g17 +g18 +((ltRp63 +sg8 +g12 +sbsS'Identifier' +p64 +g2 +(g3 +g4 +(g11 +g64 +ttRp65 +(dp66 +g17 +g18 +((ltRp67 +sg8 +g12 +sbsS'Variable' +p68 +g2 +(g3 +g4 +(g11 +g68 +ttRp69 +(dp70 +g8 +g12 +sS'Global' +p71 +g2 +(g3 +g4 +(g11 +g68 +g71 +ttRp72 +(dp73 +g17 +g18 +((ltRp74 +sg8 +g69 +sbsS'Instance' +p75 +g2 +(g3 +g4 +(g11 +g68 +g75 +ttRp76 +(dp77 +g17 +g18 +((ltRp78 +sg8 +g69 +sbsS'Anonymous' +p79 +g2 +(g3 +g4 +(g11 +g68 +g79 +ttRp80 +(dp81 +g17 +g18 +((ltRp82 +sg8 +g69 +sbsg17 +g18 +((lp83 +g80 +ag76 +ag72 +ag2 +(g3 +g4 +(g11 +g68 +S'Class' +p84 +ttRp85 +(dp86 +g17 +g18 +((ltRp87 +sg8 +g69 +sbatRp88 +sg84 +g85 +sbsg17 +g18 +((lp89 +g2 +(g3 +g4 +(g11 +S'Decorator' +p90 +ttRp91 +(dp92 +g17 +g18 +((ltRp93 +sg8 +g12 +sbag37 +ag29 +ag33 +ag2 +(g3 +g4 +(g11 +S'Namespace' +p94 +ttRp95 +(dp96 +g17 +g18 +((ltRp97 +sg8 +g12 +sbag65 +ag53 +ag69 +ag61 +ag45 +ag49 +ag15 +ag2 +(g3 +g4 +(g11 +S'Property' +p98 +ttRp99 +(dp100 +g17 +g18 +((ltRp101 +sg8 +g12 +sbag41 +ag25 +ag21 +ag2 +(g3 +g4 +(g11 +g84 +ttRp102 +(dp103 +g17 +g18 +((ltRp104 +sg8 +g12 +sbatRp105 +sg98 +g99 +sg84 +g102 +sg90 +g91 +sg94 +g95 +sbsS'Keyword' +p106 +g2 +(g3 +g4 +(g106 +ttRp107 +(dp108 +S'Pervasive' +p109 +g2 +(g3 +g4 +(g106 +g109 +ttRp110 +(dp111 +g17 +g18 +((ltRp112 +sg8 +g107 +sbsg28 +g2 +(g3 +g4 +(g106 +g28 +ttRp113 +(dp114 +g17 +g18 +((ltRp115 +sg8 +g107 +sbsg8 +g9 +sg94 +g2 +(g3 +g4 +(g106 +g94 +ttRp116 +(dp117 +g17 +g18 +((ltRp118 +sg8 +g107 +sbsg32 +g2 +(g3 +g4 +(g106 +g32 +ttRp119 +(dp120 +g17 +g18 +((ltRp121 +sg8 +g107 +sbsS'Reserved' +p122 +g2 +(g3 +g4 +(g106 +g122 +ttRp123 +(dp124 +g17 +g18 +((ltRp125 +sg8 +g107 +sbsS'Declaration' +p126 +g2 +(g3 +g4 +(g106 +g126 +ttRp127 +(dp128 +g17 +g18 +((ltRp129 +sg8 +g107 +sbsg68 +g2 +(g3 +g4 +(g106 +g68 +ttRp130 +(dp131 +g17 +g18 +((ltRp132 +sg8 +g107 +sbsg17 +g18 +((lp133 +g113 +ag123 +ag2 +(g3 +g4 +(g106 +S'Type' +p134 +ttRp135 +(dp136 +g17 +g18 +((ltRp137 +sg8 +g107 +sbag110 +ag127 +ag130 +ag116 +ag119 +atRp138 +sg134 +g135 +sbsS'Generic' +p139 +g2 +(g3 +g4 +(g139 +ttRp140 +(dp141 +S'Prompt' +p142 +g2 +(g3 +g4 +(g139 +g142 +ttRp143 +(dp144 +g17 +g18 +((ltRp145 +sg8 +g140 +sbsg8 +g9 +sS'Deleted' +p146 +g2 +(g3 +g4 +(g139 +g146 +ttRp147 +(dp148 +g17 +g18 +((ltRp149 +sg8 +g140 +sbsS'Traceback' +p150 +g2 +(g3 +g4 +(g139 +g150 +ttRp151 +(dp152 +g17 +g18 +((ltRp153 +sg8 +g140 +sbsS'Emph' +p154 +g2 +(g3 +g4 +(g139 +g154 +ttRp155 +(dp156 +g17 +g18 +((ltRp157 +sg8 +g140 +sbsS'Output' +p158 +g2 +(g3 +g4 +(g139 +g158 +ttRp159 +(dp160 +g17 +g18 +((ltRp161 +sg8 +g140 +sbsS'Subheading' +p162 +g2 +(g3 +g4 +(g139 +g162 +ttRp163 +(dp164 +g17 +g18 +((ltRp165 +sg8 +g140 +sbsS'Error' +p166 +g2 +(g3 +g4 +(g139 +g166 +ttRp167 +(dp168 +g17 +g18 +((ltRp169 +sg8 +g140 +sbsg17 +g18 +((lp170 +g159 +ag155 +ag167 +ag163 +ag151 +ag147 +ag2 +(g3 +g4 +(g139 +S'Heading' +p171 +ttRp172 +(dp173 +g17 +g18 +((ltRp174 +sg8 +g140 +sbag2 +(g3 +g4 +(g139 +S'Inserted' +p175 +ttRp176 +(dp177 +g17 +g18 +((ltRp178 +sg8 +g140 +sbag2 +(g3 +g4 +(g139 +S'Strong' +p179 +ttRp180 +(dp181 +g17 +g18 +((ltRp182 +sg8 +g140 +sbag143 +atRp183 +sg179 +g180 +sg175 +g176 +sg171 +g172 +sbsS'Text' +p184 +g2 +(g3 +g4 +(g184 +ttRp185 +(dp186 +g17 +g18 +((lp187 +g2 +(g3 +g4 +(g184 +S'Symbol' +p188 +ttRp189 +(dp190 +g17 +g18 +((ltRp191 +sg8 +g185 +sbag2 +(g3 +g4 +(g184 +S'Whitespace' +p192 +ttRp193 +(dp194 +g17 +g18 +((ltRp195 +sg8 +g185 +sbatRp196 +sg188 +g189 +sg192 +g193 +sg8 +g9 +sbsS'Punctuation' +p197 +g2 +(g3 +g4 +(g197 +ttRp198 +(dp199 +g17 +g18 +((lp200 +g2 +(g3 +g4 +(g197 +S'Indicator' +p201 +ttRp202 +(dp203 +g17 +g18 +((ltRp204 +sg8 +g198 +sbatRp205 +sg201 +g202 +sg8 +g9 +sbsS'Token' +p206 +g9 +sS'Number' +p207 +g2 +(g3 +g4 +(S'Literal' +p208 +g207 +ttRp209 +(dp210 +S'Bin' +p211 +g2 +(g3 +g4 +(g208 +g207 +g211 +ttRp212 +(dp213 +g17 +g18 +((ltRp214 +sg8 +g209 +sbsS'Binary' +p215 +g2 +(g3 +g4 +(g208 +g207 +g215 +ttRp216 +(dp217 +g17 +g18 +((ltRp218 +sg8 +g209 +sbsg8 +g2 +(g3 +g4 +(g208 +ttRp219 +(dp220 +S'String' +p221 +g2 +(g3 +g4 +(g208 +g221 +ttRp222 +(dp223 +S'Regex' +p224 +g2 +(g3 +g4 +(g208 +g221 +g224 +ttRp225 +(dp226 +g17 +g18 +((ltRp227 +sg8 +g222 +sbsS'Interpol' +p228 +g2 +(g3 +g4 +(g208 +g221 +g228 +ttRp229 +(dp230 +g17 +g18 +((ltRp231 +sg8 +g222 +sbsS'Regexp' +p232 +g2 +(g3 +g4 +(g208 +g221 +g232 +ttRp233 +(dp234 +g17 +g18 +((ltRp235 +sg8 +g222 +sbsg8 +g219 +sS'Heredoc' +p236 +g2 +(g3 +g4 +(g208 +g221 +g236 +ttRp237 +(dp238 +g17 +g18 +((ltRp239 +sg8 +g222 +sbsS'Double' +p240 +g2 +(g3 +g4 +(g208 +g221 +g240 +ttRp241 +(dp242 +g17 +g18 +((ltRp243 +sg8 +g222 +sbsg188 +g2 +(g3 +g4 +(g208 +g221 +g188 +ttRp244 +(dp245 +g17 +g18 +((ltRp246 +sg8 +g222 +sbsS'Escape' +p247 +g2 +(g3 +g4 +(g208 +g221 +g247 +ttRp248 +(dp249 +g17 +g18 +((ltRp250 +sg8 +g222 +sbsS'Character' +p251 +g2 +(g3 +g4 +(g208 +g221 +g251 +ttRp252 +(dp253 +g17 +g18 +((ltRp254 +sg8 +g222 +sbsS'Interp' +p255 +g2 +(g3 +g4 +(g208 +g221 +g255 +ttRp256 +(dp257 +g17 +g18 +((ltRp258 +sg8 +g222 +sbsS'Backtick' +p259 +g2 +(g3 +g4 +(g208 +g221 +g259 +ttRp260 +(dp261 +g17 +g18 +((ltRp262 +sg8 +g222 +sbsS'Char' +p263 +g2 +(g3 +g4 +(g208 +g221 +g263 +ttRp264 +(dp265 +g17 +g18 +((ltRp266 +sg8 +g222 +sbsS'Single' +p267 +g2 +(g3 +g4 +(g208 +g221 +g267 +ttRp268 +(dp269 +g17 +g18 +((ltRp270 +sg8 +g222 +sbsg60 +g2 +(g3 +g4 +(g208 +g221 +g60 +ttRp271 +(dp272 +g17 +g18 +((ltRp273 +sg8 +g222 +sbsS'Doc' +p274 +g2 +(g3 +g4 +(g208 +g221 +g274 +ttRp275 +(dp276 +g17 +g18 +((ltRp277 +sg8 +g222 +sbsg17 +g18 +((lp278 +g271 +ag2 +(g3 +g4 +(g208 +g221 +S'Atom' +p279 +ttRp280 +(dp281 +g17 +g18 +((ltRp282 +sg8 +g222 +sbag241 +ag264 +ag256 +ag275 +ag237 +ag260 +ag229 +ag244 +ag233 +ag225 +ag268 +ag252 +ag248 +atRp283 +sg279 +g280 +sbsg8 +g9 +sg207 +g209 +sS'Scalar' +p284 +g2 +(g3 +g4 +(g208 +g284 +ttRp285 +(dp286 +g17 +g18 +((lp287 +g2 +(g3 +g4 +(g208 +g284 +S'Plain' +p288 +ttRp289 +(dp290 +g17 +g18 +((ltRp291 +sg8 +g285 +sbatRp292 +sg8 +g219 +sg288 +g289 +sbsg60 +g2 +(g3 +g4 +(g208 +g60 +ttRp293 +(dp294 +g17 +g18 +((ltRp295 +sg8 +g219 +sbsS'Date' +p296 +g2 +(g3 +g4 +(g208 +g296 +ttRp297 +(dp298 +g17 +g18 +((ltRp299 +sg8 +g219 +sbsg17 +g18 +((lp300 +g297 +ag222 +ag293 +ag209 +ag285 +atRp301 +sbsS'Decimal' +p302 +g2 +(g3 +g4 +(g208 +g207 +g302 +ttRp303 +(dp304 +g17 +g18 +((ltRp305 +sg8 +g209 +sbsS'Float' +p306 +g2 +(g3 +g4 +(g208 +g207 +g306 +ttRp307 +(dp308 +g17 +g18 +((ltRp309 +sg8 +g209 +sbsS'Hex' +p310 +g2 +(g3 +g4 +(g208 +g207 +g310 +ttRp311 +(dp312 +g17 +g18 +((ltRp313 +sg8 +g209 +sbsS'Integer' +p314 +g2 +(g3 +g4 +(g208 +g207 +g314 +ttRp315 +(dp316 +g17 +g18 +((lp317 +g2 +(g3 +g4 +(g208 +g207 +g314 +S'Long' +p318 +ttRp319 +(dp320 +g17 +g18 +((ltRp321 +sg8 +g315 +sbatRp322 +sg318 +g319 +sg8 +g209 +sbsS'Octal' +p323 +g2 +(g3 +g4 +(g208 +g207 +g323 +ttRp324 +(dp325 +g17 +g18 +((ltRp326 +sg8 +g209 +sbsg17 +g18 +((lp327 +g212 +ag216 +ag324 +ag303 +ag2 +(g3 +g4 +(g208 +g207 +S'Oct' +p328 +ttRp329 +(dp330 +g17 +g18 +((ltRp331 +sg8 +g209 +sbag315 +ag307 +ag311 +atRp332 +sg328 +g329 +sbsg208 +g219 +sg60 +g2 +(g3 +g4 +(g60 +ttRp333 +(dp334 +g17 +g18 +((ltRp335 +sg8 +g9 +sbsg166 +g2 +(g3 +g4 +(g166 +ttRp336 +(dp337 +g17 +g18 +((ltRp338 +sg8 +g9 +sbsS'Operator' +p339 +g2 +(g3 +g4 +(g339 +ttRp340 +(dp341 +g17 +g18 +((lp342 +g2 +(g3 +g4 +(g339 +S'Word' +p343 +ttRp344 +(dp345 +g17 +g18 +((ltRp346 +sg8 +g340 +sbatRp347 +sg343 +g344 +sg8 +g9 +sbsg17 +g18 +((lp348 +g6 +ag336 +ag140 +ag185 +ag12 +ag198 +ag107 +ag219 +ag340 +ag333 +atRp349 +sg221 +g222 +sbsS'Preproc' +p350 +g2 +(g3 +g4 +(g5 +g350 +ttRp351 +(dp352 +g17 +g18 +((ltRp353 +sg8 +g6 +sbsg267 +g2 +(g3 +g4 +(g5 +g267 +ttRp354 +(dp355 +g17 +g18 +((ltRp356 +sg8 +g6 +sbsS'Multiline' +p357 +g2 +(g3 +g4 +(g5 +g357 +ttRp358 +(dp359 +g17 +g18 +((ltRp360 +sg8 +g6 +sbsg17 +g18 +((lp361 +g2 +(g3 +g4 +(g5 +S'Special' +p362 +ttRp363 +(dp364 +g17 +g18 +((ltRp365 +sg8 +g6 +sbag351 +ag354 +ag358 +atRp366 +sg362 +g363 +sbV!!$\u000a +p367 +tp368 +a(g6 +V!!$\u000a +p369 +tp370 +a(g6 +V!!$ MD2P4\u000a +p371 +tp372 +a(g6 +V!!$ Multilevel Domain Decomposition Parallel Preconditioner Package for PSBLAS\u000a +p373 +tp374 +a(g6 +V!!$ for\u000a +p375 +tp376 +a(g6 +V!!$ Parallel Sparse BLAS v2.0\u000a +p377 +tp378 +a(g6 +V!!$ (C) Copyright 2006 Salvatore Filippone University of Rome Tor Vergata\u000a +p379 +tp380 +a(g6 +V!!$ Alfredo Buttari University of Rome Tor Vergata\u000a +p381 +tp382 +a(g6 +V!!$ Daniela Di Serafino II University of Naples\u000a +p383 +tp384 +a(g6 +V!!$ Pasqua D'Ambra ICAR-CNR\u000a +p385 +tp386 +a(g6 +V!!$\u000a +p387 +tp388 +a(g6 +V!!$ Redistribution and use in source and binary forms, with or without\u000a +p389 +tp390 +a(g6 +V!!$ modification, are permitted provided that the following conditions\u000a +p391 +tp392 +a(g6 +V!!$ are met:\u000a +p393 +tp394 +a(g6 +V!!$ 1. Redistributions of source code must retain the above copyright\u000a +p395 +tp396 +a(g6 +V!!$ notice, this list of conditions and the following disclaimer.\u000a +p397 +tp398 +a(g6 +V!!$ 2. Redistributions in binary form must reproduce the above copyright\u000a +p399 +tp400 +a(g6 +V!!$ notice, this list of conditions, and the following disclaimer in the\u000a +p401 +tp402 +a(g6 +V!!$ documentation and/or other materials provided with the distribution.\u000a +p403 +tp404 +a(g6 +V!!$ 3. The name of the MD2P4 group or the names of its contributors may\u000a +p405 +tp406 +a(g6 +V!!$ not be used to endorse or promote products derived from this\u000a +p407 +tp408 +a(g6 +V!!$ software without specific written permission.\u000a +p409 +tp410 +a(g6 +V!!$\u000a +p411 +tp412 +a(g6 +V!!$ THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS\u000a +p413 +tp414 +a(g6 +V!!$ ``AS IS'' AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED\u000a +p415 +tp416 +a(g6 +V!!$ TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR\u000a +p417 +tp418 +a(g6 +V!!$ PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE MD2P4 GROUP OR ITS CONTRIBUTORS\u000a +p419 +tp420 +a(g6 +V!!$ BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR\u000a +p421 +tp422 +a(g6 +V!!$ CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF\u000a +p423 +tp424 +a(g6 +V!!$ SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS\u000a +p425 +tp426 +a(g6 +V!!$ INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN\u000a +p427 +tp428 +a(g6 +V!!$ CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)\u000a +p429 +tp430 +a(g6 +V!!$ ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE\u000a +p431 +tp432 +a(g6 +V!!$ POSSIBILITY OF SUCH DAMAGE.\u000a +p433 +tp434 +a(g6 +V!!$\u000a +p435 +tp436 +a(g6 +V!!$\u000a +p437 +tp438 +a(g107 +Vsubroutine +p439 +tp440 +a(g69 +Vpsb_zmlprc_aply +p441 +tp442 +a(g198 +V( +tp443 +a(g69 +Valpha +p444 +tp445 +a(g198 +V, +tp446 +a(g69 +Vbaseprecv +p447 +tp448 +a(g198 +V, +tp449 +a(g69 +Vx +tp450 +a(g198 +V, +tp451 +a(g69 +Vbeta +p452 +tp453 +a(g198 +V, +tp454 +a(g69 +Vy +tp455 +a(g198 +V, +tp456 +a(g69 +Vdesc_data +p457 +tp458 +a(g198 +V, +tp459 +a(g69 +Vtrans +p460 +tp461 +a(g198 +V, +tp462 +a(g69 +Vwork +p463 +tp464 +a(g198 +V, +tp465 +a(g69 +Vinfo +p466 +tp467 +a(g198 +V) +tp468 +a(g185 +V\u000a +p469 +tp470 +a(g6 +V!\u000a +p471 +tp472 +a(g185 +V +p473 +tp474 +a(g6 +V! Compute Y <- beta*Y + alpha*K^-1 X\u000a +p475 +tp476 +a(g185 +V +p477 +tp478 +a(g6 +V! where K is a multilevel preconditioner stored in baseprecv\u000a +p479 +tp480 +a(g185 +V +p481 +tp482 +a(g6 +V!\u000a +p483 +tp484 +a(g185 +V +p485 +tp486 +a(g6 +V! cfr.: Smith, Biorstad & Gropp\u000a +p487 +tp488 +a(g185 +V +p489 +tp490 +a(g6 +V! Domain Decomposition\u000a +p491 +tp492 +a(g185 +V +p493 +tp494 +a(g6 +V! Cambridge Univ. Press\u000a +p495 +tp496 +a(g185 +V +p497 +tp498 +a(g6 +V!\u000a +p499 +tp500 +a(g185 +V +p501 +tp502 +a(g6 +V! To each level I there corresponds a matrix A(I) and a preconditioner K(I)\u000a +p503 +tp504 +a(g185 +V +p505 +tp506 +a(g6 +V!\u000a +p507 +tp508 +a(g185 +V +p509 +tp510 +a(g6 +V! A notational difference: in the DD reference above the preconditioner for\u000a +p511 +tp512 +a(g185 +V +p513 +tp514 +a(g6 +V! a given level K(I) is written out as a sum over the subdomains\u000a +p515 +tp516 +a(g185 +V +p517 +tp518 +a(g6 +V!\u000a +p519 +tp520 +a(g185 +V +p521 +tp522 +a(g6 +V! SUM_k(R_k^T A_k R_k)\u000a +p523 +tp524 +a(g185 +V +p525 +tp526 +a(g6 +V!\u000a +p527 +tp528 +a(g185 +V +p529 +tp530 +a(g6 +V! whereas in this code the sum is implicit in the parallelization,\u000a +p531 +tp532 +a(g185 +V +p533 +tp534 +a(g6 +V! i.e. each process takes care of one subdomain, and for each level we have\u000a +p535 +tp536 +a(g185 +V +p537 +tp538 +a(g6 +V! as many subdomains as there are processes (except for the coarsest level where\u000a +p539 +tp540 +a(g185 +V +p541 +tp542 +a(g6 +V! we might have a replicated index space). Thus the sum apparently disappears\u000a +p543 +tp544 +a(g185 +V +p545 +tp546 +a(g6 +V! from our code, but only apparently, because it is implicit in the call\u000a +p547 +tp548 +a(g185 +V +p549 +tp550 +a(g6 +V! to psb_baseprc_aply.\u000a +p551 +tp552 +a(g185 +V +p553 +tp554 +a(g6 +V!\u000a +p555 +tp556 +a(g185 +V +p557 +tp558 +a(g6 +V! A bit of description of the baseprecv(:) data structure:\u000a +p559 +tp560 +a(g185 +V +p561 +tp562 +a(g6 +V! 1. Number of levels = NLEV = size(baseprecv(:))\u000a +p563 +tp564 +a(g185 +V +p565 +tp566 +a(g6 +V! 2. baseprecv(ilev)%av(:) sparse matrices needed for the current level.\u000a +p567 +tp568 +a(g185 +V +p569 +tp570 +a(g6 +V! Includes:\u000a +p571 +tp572 +a(g185 +V +p573 +tp574 +a(g6 +V! 2.1.: baseprecv(ilev)%av(l_pr_) L factor of ILU preconditioners\u000a +p575 +tp576 +a(g185 +V +p577 +tp578 +a(g6 +V! 2.2.: baseprecv(ilev)%av(u_pr_) U factor of ILU preconditioners\u000a +p579 +tp580 +a(g185 +V +p581 +tp582 +a(g6 +V! 2.3.: baseprecv(ilev)%av(ap_nd_) Off-diagonal part of A for Jacobi sweeps\u000a +p583 +tp584 +a(g185 +V +p585 +tp586 +a(g6 +V! 2.4.: baseprecv(ilev)%av(ac_) Aggregated matrix of level ILEV\u000a +p587 +tp588 +a(g185 +V +p589 +tp590 +a(g6 +V! 2.5.: baseprecv(ilev)%av(sm_pr_t_) Smoother prolongator transpose; maps vectors\u000a +p591 +tp592 +a(g185 +V +p593 +tp594 +a(g6 +V! (ilev-1) ---> (ilev)\u000a +p595 +tp596 +a(g185 +V +p597 +tp598 +a(g6 +V! 2.6.: baseprecv(ilev)%av(sm_pr_) Smoother prolongator; maps vectors\u000a +p599 +tp600 +a(g185 +V +p601 +tp602 +a(g6 +V! (ilev) ---> (ilev-1)\u000a +p603 +tp604 +a(g185 +V +p605 +tp606 +a(g6 +V! Shouldn't we keep just one of them and handle transpose in the sparse BLAS? maybe\u000a +p607 +tp608 +a(g185 +V +p609 +tp610 +a(g6 +V!\u000a +p611 +tp612 +a(g185 +V +p613 +tp614 +a(g6 +V! 3. baseprecv(ilev)%desc_data comm descriptor for level ILEV\u000a +p615 +tp616 +a(g185 +V +p617 +tp618 +a(g6 +V! 4. baseprecv(ilev)%base_a Pointer (really a pointer!) to the base matrix\u000a +p619 +tp620 +a(g185 +V +p621 +tp622 +a(g6 +V! of the current level, i.e.: if ILEV=1 then A\u000a +p623 +tp624 +a(g185 +V +p625 +tp626 +a(g6 +V! else the aggregated matrix av(ac_); so we have\u000a +p627 +tp628 +a(g185 +V +p629 +tp630 +a(g6 +V! a unified treatment of residuals. Need this to\u000a +p631 +tp632 +a(g185 +V +p633 +tp634 +a(g6 +V! avoid passing explicitly matrix A to the\u000a +p635 +tp636 +a(g185 +V +p637 +tp638 +a(g6 +V! outer prec. routine\u000a +p639 +tp640 +a(g185 +V +p641 +tp642 +a(g6 +V! 5. baseprecv(ilev)%mlia The aggregation map from (ilev-1)-->(ilev)\u000a +p643 +tp644 +a(g185 +V +p645 +tp646 +a(g6 +V! if no smoother, it is used instead of sm_pr_\u000a +p647 +tp648 +a(g185 +V +p649 +tp650 +a(g6 +V! 6. baseprecv(ilev)%nlaggr Number of aggregates on the various procs.\u000a +p651 +tp652 +a(g185 +V +p653 +tp654 +a(g6 +V!\u000a +p655 +tp656 +a(g185 +V\u000a +p657 +tp658 +a(g107 +Vuse +p659 +tp660 +a(g69 +Vpsb_serial_mod +p661 +tp662 +a(g185 +V\u000a +p663 +tp664 +a(g107 +Vuse +p665 +tp666 +a(g69 +Vpsb_descriptor_type +p667 +tp668 +a(g185 +V\u000a +p669 +tp670 +a(g107 +Vuse +p671 +tp672 +a(g69 +Vpsb_prec_type +p673 +tp674 +a(g185 +V\u000a +p675 +tp676 +a(g107 +Vuse +p677 +tp678 +a(g69 +Vpsb_psblas_mod +p679 +tp680 +a(g185 +V\u000a +p681 +tp682 +a(g107 +Vuse +p683 +tp684 +a(g69 +Vpsb_penv_mod +p685 +tp686 +a(g185 +V\u000a +p687 +tp688 +a(g107 +Vuse +p689 +tp690 +a(g69 +Vpsb_const_mod +p691 +tp692 +a(g185 +V\u000a +p693 +tp694 +a(g107 +Vuse +p695 +tp696 +a(g69 +Vpsb_error_mod +p697 +tp698 +a(g185 +V\u000a +p699 +tp700 +a(g107 +Vuse +p701 +tp702 +a(g69 +Vpsb_penv_mod +p703 +tp704 +a(g185 +V\u000a +p705 +tp706 +a(g107 +Vimplicit +p707 +tp708 +a(g107 +Vnone\u000a\u000a +p709 +tp710 +a(g107 +Vtype +p711 +tp712 +a(g198 +V( +tp713 +a(g69 +Vpsb_desc_type +p714 +tp715 +a(g198 +V) +tp716 +a(g198 +V, +tp717 +a(g107 +Vintent +p718 +tp719 +a(g198 +V( +tp720 +a(g69 +Vin +p721 +tp722 +a(g198 +V) +tp723 +a(g185 +V +p724 +tp725 +a(g127 +V:: +p726 +tp727 +a(g185 +V +tp728 +a(g69 +Vdesc_data +p729 +tp730 +a(g185 +V\u000a +p731 +tp732 +a(g107 +Vtype +p733 +tp734 +a(g198 +V( +tp735 +a(g69 +Vpsb_zbaseprc_type +p736 +tp737 +a(g198 +V) +tp738 +a(g198 +V, +tp739 +a(g185 +V +tp740 +a(g107 +Vintent +p741 +tp742 +a(g198 +V( +tp743 +a(g69 +Vin +p744 +tp745 +a(g198 +V) +tp746 +a(g185 +V +tp747 +a(g127 +V:: +p748 +tp749 +a(g185 +V +tp750 +a(g69 +Vbaseprecv +p751 +tp752 +a(g198 +V( +tp753 +a(g198 +V: +tp754 +a(g198 +V) +tp755 +a(g185 +V\u000a +p756 +tp757 +a(g135 +Vcomplex +p758 +tp759 +a(g198 +V( +tp760 +a(g53 +Vkind +p761 +tp762 +a(g198 +V( +tp763 +a(g307 +V1. +p764 +tp765 +a(g69 +Vd0 +p766 +tp767 +a(g198 +V) +tp768 +a(g198 +V) +tp769 +a(g198 +V, +tp770 +a(g107 +Vintent +p771 +tp772 +a(g198 +V( +tp773 +a(g69 +Vin +p774 +tp775 +a(g198 +V) +tp776 +a(g185 +V +p777 +tp778 +a(g127 +V:: +p779 +tp780 +a(g185 +V +tp781 +a(g69 +Valpha +p782 +tp783 +a(g198 +V, +tp784 +a(g69 +Vbeta +p785 +tp786 +a(g185 +V\u000a +p787 +tp788 +a(g135 +Vcomplex +p789 +tp790 +a(g198 +V( +tp791 +a(g53 +Vkind +p792 +tp793 +a(g198 +V( +tp794 +a(g307 +V1. +p795 +tp796 +a(g69 +Vd0 +p797 +tp798 +a(g198 +V) +tp799 +a(g198 +V) +tp800 +a(g198 +V, +tp801 +a(g107 +Vintent +p802 +tp803 +a(g198 +V( +tp804 +a(g69 +Vinout +p805 +tp806 +a(g198 +V) +tp807 +a(g185 +V +p808 +tp809 +a(g127 +V:: +p810 +tp811 +a(g185 +V +tp812 +a(g69 +Vx +tp813 +a(g198 +V( +tp814 +a(g198 +V: +tp815 +a(g198 +V) +tp816 +a(g198 +V, +tp817 +a(g185 +V +tp818 +a(g69 +Vy +tp819 +a(g198 +V( +tp820 +a(g198 +V: +tp821 +a(g198 +V) +tp822 +a(g185 +V\u000a +p823 +tp824 +a(g135 +Vcharacter +p825 +tp826 +a(g185 +V +p827 +tp828 +a(g127 +V:: +p829 +tp830 +a(g185 +V +tp831 +a(g69 +Vtrans +p832 +tp833 +a(g185 +V\u000a +p834 +tp835 +a(g135 +Vcomplex +p836 +tp837 +a(g198 +V( +tp838 +a(g53 +Vkind +p839 +tp840 +a(g198 +V( +tp841 +a(g307 +V1. +p842 +tp843 +a(g69 +Vd0 +p844 +tp845 +a(g198 +V) +tp846 +a(g198 +V) +tp847 +a(g198 +V, +tp848 +a(g107 +Vtarget +p849 +tp850 +a(g185 +V +p851 +tp852 +a(g127 +V:: +p853 +tp854 +a(g185 +V +tp855 +a(g69 +Vwork +p856 +tp857 +a(g198 +V( +tp858 +a(g198 +V: +tp859 +a(g198 +V) +tp860 +a(g185 +V\u000a +p861 +tp862 +a(g135 +Vinteger +p863 +tp864 +a(g198 +V, +tp865 +a(g185 +V +tp866 +a(g107 +Vintent +p867 +tp868 +a(g198 +V( +tp869 +a(g69 +Vout +p870 +tp871 +a(g198 +V) +tp872 +a(g185 +V +p873 +tp874 +a(g127 +V:: +p875 +tp876 +a(g185 +V +tp877 +a(g69 +Vinfo +p878 +tp879 +a(g185 +V\u000a\u000a\u000a +p880 +tp881 +a(g6 +V! Local variables\u000a +p882 +tp883 +a(g185 +V +p884 +tp885 +a(g135 +Vinteger +p886 +tp887 +a(g185 +V +tp888 +a(g127 +V:: +p889 +tp890 +a(g185 +V +tp891 +a(g69 +Vn_row +p892 +tp893 +a(g198 +V, +tp894 +a(g69 +Vn_col +p895 +tp896 +a(g185 +V\u000a +p897 +tp898 +a(g135 +Vcomplex +p899 +tp900 +a(g198 +V( +tp901 +a(g53 +Vkind +p902 +tp903 +a(g198 +V( +tp904 +a(g307 +V1. +p905 +tp906 +a(g69 +Vd0 +p907 +tp908 +a(g198 +V) +tp909 +a(g198 +V) +tp910 +a(g198 +V, +tp911 +a(g185 +V +tp912 +a(g107 +Vallocatable +p913 +tp914 +a(g185 +V +tp915 +a(g127 +V:: +p916 +tp917 +a(g185 +V +tp918 +a(g69 +Vtx +p919 +tp920 +a(g198 +V( +tp921 +a(g198 +V: +tp922 +a(g198 +V) +tp923 +a(g198 +V, +tp924 +a(g69 +Vty +p925 +tp926 +a(g198 +V( +tp927 +a(g198 +V: +tp928 +a(g198 +V) +tp929 +a(g198 +V, +tp930 +a(g69 +Vt2l +p931 +tp932 +a(g198 +V( +tp933 +a(g198 +V: +tp934 +a(g198 +V) +tp935 +a(g198 +V, +tp936 +a(g69 +Vw2l +p937 +tp938 +a(g198 +V( +tp939 +a(g198 +V: +tp940 +a(g198 +V) +tp941 +a(g198 +V, +tp942 +a(g198 +V& +tp943 +a(g185 +V\u000a +p944 +tp945 +a(g198 +V& +tp946 +a(g185 +V +p947 +tp948 +a(g69 +Vx2l +p949 +tp950 +a(g198 +V( +tp951 +a(g198 +V: +tp952 +a(g198 +V) +tp953 +a(g198 +V, +tp954 +a(g69 +Vb2l +p955 +tp956 +a(g198 +V( +tp957 +a(g198 +V: +tp958 +a(g198 +V) +tp959 +a(g198 +V, +tp960 +a(g69 +Vtz +p961 +tp962 +a(g198 +V( +tp963 +a(g198 +V: +tp964 +a(g198 +V) +tp965 +a(g198 +V, +tp966 +a(g69 +Vtty +p967 +tp968 +a(g198 +V( +tp969 +a(g198 +V: +tp970 +a(g198 +V) +tp971 +a(g185 +V\u000a +p972 +tp973 +a(g135 +Vcharacter +p974 +tp975 +a(g185 +V +p976 +tp977 +a(g127 +V:: +p978 +tp979 +a(g69 +Vdiagl +p980 +tp981 +a(g198 +V, +tp982 +a(g185 +V +tp983 +a(g69 +Vdiagu +p984 +tp985 +a(g185 +V\u000a +p986 +tp987 +a(g135 +Vinteger +p988 +tp989 +a(g185 +V +tp990 +a(g127 +V:: +p991 +tp992 +a(g185 +V +tp993 +a(g69 +Victxt +p994 +tp995 +a(g198 +V, +tp996 +a(g69 +Vnp +p997 +tp998 +a(g198 +V, +tp999 +a(g69 +Vme +p1000 +tp1001 +a(g198 +V, +tp1002 +a(g69 +Vi +tp1003 +a(g198 +V, +tp1004 +a(g185 +V +tp1005 +a(g69 +Visz +p1006 +tp1007 +a(g198 +V, +tp1008 +a(g185 +V +tp1009 +a(g69 +Vnrg +p1010 +tp1011 +a(g198 +V, +tp1012 +a(g69 +Vnr2l +p1013 +tp1014 +a(g198 +V, +tp1015 +a(g69 +Verr_act +p1016 +tp1017 +a(g198 +V, +tp1018 +a(g185 +V +tp1019 +a(g69 +Viptype +p1020 +tp1021 +a(g198 +V, +tp1022 +a(g185 +V +tp1023 +a(g69 +Vint_err +p1024 +tp1025 +a(g198 +V( +tp1026 +a(g315 +V5 +tp1027 +a(g198 +V) +tp1028 +a(g185 +V\u000a +p1029 +tp1030 +a(g135 +Vreal +p1031 +tp1032 +a(g198 +V( +tp1033 +a(g53 +Vkind +p1034 +tp1035 +a(g198 +V( +tp1036 +a(g307 +V1. +p1037 +tp1038 +a(g69 +Vd0 +p1039 +tp1040 +a(g198 +V) +tp1041 +a(g198 +V) +tp1042 +a(g185 +V +tp1043 +a(g127 +V:: +p1044 +tp1045 +a(g185 +V +tp1046 +a(g69 +Vomega +p1047 +tp1048 +a(g185 +V\u000a +p1049 +tp1050 +a(g135 +Vreal +p1051 +tp1052 +a(g198 +V( +tp1053 +a(g53 +Vkind +p1054 +tp1055 +a(g198 +V( +tp1056 +a(g307 +V1. +p1057 +tp1058 +a(g69 +Vd0 +p1059 +tp1060 +a(g198 +V) +tp1061 +a(g198 +V) +tp1062 +a(g185 +V +tp1063 +a(g127 +V:: +p1064 +tp1065 +a(g185 +V +tp1066 +a(g69 +Vt1 +p1067 +tp1068 +a(g198 +V, +tp1069 +a(g185 +V +tp1070 +a(g69 +Vt2 +p1071 +tp1072 +a(g198 +V, +tp1073 +a(g185 +V +tp1074 +a(g69 +Vt3 +p1075 +tp1076 +a(g198 +V, +tp1077 +a(g185 +V +tp1078 +a(g69 +Vt4 +p1079 +tp1080 +a(g198 +V, +tp1081 +a(g185 +V +tp1082 +a(g69 +Vt5 +p1083 +tp1084 +a(g198 +V, +tp1085 +a(g185 +V +tp1086 +a(g69 +Vt6 +p1087 +tp1088 +a(g198 +V, +tp1089 +a(g185 +V +tp1090 +a(g69 +Vt7 +p1091 +tp1092 +a(g198 +V, +tp1093 +a(g185 +V +tp1094 +a(g69 +Vmpi_wtime +p1095 +tp1096 +a(g185 +V\u000a +p1097 +tp1098 +a(g135 +Vlogical +p1099 +tp1100 +a(g198 +V, +tp1101 +a(g185 +V +tp1102 +a(g107 +Vparameter +p1103 +tp1104 +a(g185 +V +p1105 +tp1106 +a(g127 +V:: +p1107 +tp1108 +a(g185 +V +tp1109 +a(g69 +Vdebug +p1110 +tp1111 +a(g340 +V= +tp1112 +a(g53 +V.false. +p1113 +tp1114 +a(g198 +V, +tp1115 +a(g185 +V +tp1116 +a(g69 +Vdebugprt +p1117 +tp1118 +a(g340 +V= +tp1119 +a(g53 +V.false. +p1120 +tp1121 +a(g185 +V\u000a +p1122 +tp1123 +a(g135 +Vinteger +p1124 +tp1125 +a(g185 +V +p1126 +tp1127 +a(g127 +V:: +p1128 +tp1129 +a(g185 +V +tp1130 +a(g69 +Vismth +p1131 +tp1132 +a(g198 +V, +tp1133 +a(g185 +V +tp1134 +a(g69 +Vnlev +p1135 +tp1136 +a(g198 +V, +tp1137 +a(g185 +V +tp1138 +a(g69 +Vilev +p1139 +tp1140 +a(g185 +V\u000a +p1141 +tp1142 +a(g107 +Vexternal +p1143 +tp1144 +a(g69 +Vmpi_wtime +p1145 +tp1146 +a(g185 +V\u000a +p1147 +tp1148 +a(g135 +Vcharacter +p1149 +tp1150 +a(g198 +V( +tp1151 +a(g53 +Vlen +p1152 +tp1153 +a(g340 +V= +tp1154 +a(g315 +V20 +p1155 +tp1156 +a(g198 +V) +tp1157 +a(g185 +V +p1158 +tp1159 +a(g127 +V:: +p1160 +tp1161 +a(g185 +V +tp1162 +a(g69 +Vname +p1163 +tp1164 +a(g198 +V, +tp1165 +a(g185 +V +tp1166 +a(g69 +Vch_err +p1167 +tp1168 +a(g185 +V\u000a\u000a +p1169 +tp1170 +a(g107 +Vtype +p1171 +tp1172 +a(g69 +Vpsb_mlprec_wrk_type +p1173 +tp1174 +a(g185 +V\u000a +p1175 +tp1176 +a(g135 +Vcomplex +p1177 +tp1178 +a(g198 +V( +tp1179 +a(g53 +Vkind +p1180 +tp1181 +a(g198 +V( +tp1182 +a(g307 +V1. +p1183 +tp1184 +a(g69 +Vd0 +p1185 +tp1186 +a(g198 +V) +tp1187 +a(g198 +V) +tp1188 +a(g198 +V, +tp1189 +a(g185 +V +tp1190 +a(g107 +Vpointer +p1191 +tp1192 +a(g185 +V +tp1193 +a(g127 +V:: +p1194 +tp1195 +a(g185 +V +tp1196 +a(g69 +Vtx +p1197 +tp1198 +a(g198 +V( +tp1199 +a(g198 +V: +tp1200 +a(g198 +V) +tp1201 +a(g340 +V= +tp1202 +a(g340 +V> +tp1203 +a(g69 +Vnull +p1204 +tp1205 +a(g198 +V( +tp1206 +a(g198 +V) +tp1207 +a(g198 +V, +tp1208 +a(g69 +Vty +p1209 +tp1210 +a(g198 +V( +tp1211 +a(g198 +V: +tp1212 +a(g198 +V) +tp1213 +a(g340 +V= +tp1214 +a(g340 +V> +tp1215 +a(g69 +Vnull +p1216 +tp1217 +a(g198 +V( +tp1218 +a(g198 +V) +tp1219 +a(g198 +V, +tp1220 +a(g198 +V& +tp1221 +a(g185 +V\u000a +p1222 +tp1223 +a(g198 +V& +tp1224 +a(g185 +V +tp1225 +a(g69 +Vx2l +p1226 +tp1227 +a(g198 +V( +tp1228 +a(g198 +V: +tp1229 +a(g198 +V) +tp1230 +a(g340 +V= +tp1231 +a(g340 +V> +tp1232 +a(g69 +Vnull +p1233 +tp1234 +a(g198 +V( +tp1235 +a(g198 +V) +tp1236 +a(g198 +V, +tp1237 +a(g69 +Vy2l +p1238 +tp1239 +a(g198 +V( +tp1240 +a(g198 +V: +tp1241 +a(g198 +V) +tp1242 +a(g340 +V= +tp1243 +a(g340 +V> +tp1244 +a(g69 +Vnull +p1245 +tp1246 +a(g198 +V( +tp1247 +a(g198 +V) +tp1248 +a(g198 +V, +tp1249 +a(g198 +V& +tp1250 +a(g185 +V\u000a +p1251 +tp1252 +a(g198 +V& +tp1253 +a(g185 +V +tp1254 +a(g69 +Vb2l +p1255 +tp1256 +a(g198 +V( +tp1257 +a(g198 +V: +tp1258 +a(g198 +V) +tp1259 +a(g340 +V= +tp1260 +a(g340 +V> +tp1261 +a(g69 +Vnull +p1262 +tp1263 +a(g198 +V( +tp1264 +a(g198 +V) +tp1265 +a(g198 +V, +tp1266 +a(g69 +Vtty +p1267 +tp1268 +a(g198 +V( +tp1269 +a(g198 +V: +tp1270 +a(g198 +V) +tp1271 +a(g340 +V= +tp1272 +a(g340 +V> +tp1273 +a(g69 +Vnull +p1274 +tp1275 +a(g198 +V( +tp1276 +a(g198 +V) +tp1277 +a(g185 +V\u000a +p1278 +tp1279 +a(g107 +Vend +p1280 +tp1281 +a(g107 +Vtype +p1282 +tp1283 +a(g69 +Vpsb_mlprec_wrk_type +p1284 +tp1285 +a(g185 +V\u000a +p1286 +tp1287 +a(g107 +Vtype +p1288 +tp1289 +a(g198 +V( +tp1290 +a(g69 +Vpsb_mlprec_wrk_type +p1291 +tp1292 +a(g198 +V) +tp1293 +a(g198 +V, +tp1294 +a(g185 +V +tp1295 +a(g107 +Vpointer +p1296 +tp1297 +a(g185 +V +tp1298 +a(g127 +V:: +p1299 +tp1300 +a(g185 +V +tp1301 +a(g69 +Vmlprec_wrk +p1302 +tp1303 +a(g198 +V( +tp1304 +a(g198 +V: +tp1305 +a(g198 +V) +tp1306 +a(g185 +V\u000a\u000a +p1307 +tp1308 +a(g107 +Vinterface +p1309 +tp1310 +a(g69 +Vpsb_baseprc_aply +p1311 +tp1312 +a(g185 +V\u000a +p1313 +tp1314 +a(g107 +Vsubroutine +p1315 +tp1316 +a(g69 +Vpsb_zbaseprc_aply +p1317 +tp1318 +a(g198 +V( +tp1319 +a(g69 +Valpha +p1320 +tp1321 +a(g198 +V, +tp1322 +a(g69 +Vprec +p1323 +tp1324 +a(g198 +V, +tp1325 +a(g69 +Vx +tp1326 +a(g198 +V, +tp1327 +a(g69 +Vbeta +p1328 +tp1329 +a(g198 +V, +tp1330 +a(g69 +Vy +tp1331 +a(g198 +V, +tp1332 +a(g69 +Vdesc_data +p1333 +tp1334 +a(g198 +V, +tp1335 +a(g69 +Vtrans +p1336 +tp1337 +a(g198 +V, +tp1338 +a(g69 +Vwork +p1339 +tp1340 +a(g198 +V, +tp1341 +a(g69 +Vinfo +p1342 +tp1343 +a(g198 +V) +tp1344 +a(g185 +V\u000a +p1345 +tp1346 +a(g107 +Vuse +p1347 +tp1348 +a(g69 +Vpsb_descriptor_type +p1349 +tp1350 +a(g185 +V\u000a +p1351 +tp1352 +a(g107 +Vuse +p1353 +tp1354 +a(g69 +Vpsb_prec_type +p1355 +tp1356 +a(g185 +V\u000a +p1357 +tp1358 +a(g107 +Vtype +p1359 +tp1360 +a(g198 +V( +tp1361 +a(g69 +Vpsb_desc_type +p1362 +tp1363 +a(g198 +V) +tp1364 +a(g198 +V, +tp1365 +a(g107 +Vintent +p1366 +tp1367 +a(g198 +V( +tp1368 +a(g69 +Vin +p1369 +tp1370 +a(g198 +V) +tp1371 +a(g185 +V +p1372 +tp1373 +a(g127 +V:: +p1374 +tp1375 +a(g185 +V +tp1376 +a(g69 +Vdesc_data +p1377 +tp1378 +a(g185 +V\u000a +p1379 +tp1380 +a(g107 +Vtype +p1381 +tp1382 +a(g198 +V( +tp1383 +a(g69 +Vpsb_zbaseprc_type +p1384 +tp1385 +a(g198 +V) +tp1386 +a(g198 +V, +tp1387 +a(g185 +V +tp1388 +a(g107 +Vintent +p1389 +tp1390 +a(g198 +V( +tp1391 +a(g69 +Vin +p1392 +tp1393 +a(g198 +V) +tp1394 +a(g185 +V +tp1395 +a(g127 +V:: +p1396 +tp1397 +a(g185 +V +tp1398 +a(g69 +Vprec +p1399 +tp1400 +a(g185 +V\u000a +p1401 +tp1402 +a(g135 +Vcomplex +p1403 +tp1404 +a(g198 +V( +tp1405 +a(g53 +Vkind +p1406 +tp1407 +a(g198 +V( +tp1408 +a(g307 +V1. +p1409 +tp1410 +a(g69 +Vd0 +p1411 +tp1412 +a(g198 +V) +tp1413 +a(g198 +V) +tp1414 +a(g198 +V, +tp1415 +a(g107 +Vintent +p1416 +tp1417 +a(g198 +V( +tp1418 +a(g69 +Vinout +p1419 +tp1420 +a(g198 +V) +tp1421 +a(g185 +V +p1422 +tp1423 +a(g127 +V:: +p1424 +tp1425 +a(g185 +V +tp1426 +a(g69 +Vx +tp1427 +a(g198 +V( +tp1428 +a(g198 +V: +tp1429 +a(g198 +V) +tp1430 +a(g198 +V, +tp1431 +a(g185 +V +tp1432 +a(g69 +Vy +tp1433 +a(g198 +V( +tp1434 +a(g198 +V: +tp1435 +a(g198 +V) +tp1436 +a(g185 +V\u000a +p1437 +tp1438 +a(g135 +Vcomplex +p1439 +tp1440 +a(g198 +V( +tp1441 +a(g53 +Vkind +p1442 +tp1443 +a(g198 +V( +tp1444 +a(g307 +V1. +p1445 +tp1446 +a(g69 +Vd0 +p1447 +tp1448 +a(g198 +V) +tp1449 +a(g198 +V) +tp1450 +a(g198 +V, +tp1451 +a(g107 +Vintent +p1452 +tp1453 +a(g198 +V( +tp1454 +a(g69 +Vin +p1455 +tp1456 +a(g198 +V) +tp1457 +a(g185 +V +p1458 +tp1459 +a(g127 +V:: +p1460 +tp1461 +a(g185 +V +tp1462 +a(g69 +Valpha +p1463 +tp1464 +a(g198 +V, +tp1465 +a(g69 +Vbeta +p1466 +tp1467 +a(g185 +V\u000a +p1468 +tp1469 +a(g135 +Vcharacter +p1470 +tp1471 +a(g198 +V( +tp1472 +a(g53 +Vlen +p1473 +tp1474 +a(g340 +V= +tp1475 +a(g315 +V1 +tp1476 +a(g198 +V) +tp1477 +a(g185 +V +p1478 +tp1479 +a(g127 +V:: +p1480 +tp1481 +a(g185 +V +tp1482 +a(g69 +Vtrans +p1483 +tp1484 +a(g185 +V\u000a +p1485 +tp1486 +a(g135 +Vcomplex +p1487 +tp1488 +a(g198 +V( +tp1489 +a(g53 +Vkind +p1490 +tp1491 +a(g198 +V( +tp1492 +a(g307 +V1. +p1493 +tp1494 +a(g69 +Vd0 +p1495 +tp1496 +a(g198 +V) +tp1497 +a(g198 +V) +tp1498 +a(g198 +V, +tp1499 +a(g107 +Vtarget +p1500 +tp1501 +a(g185 +V +p1502 +tp1503 +a(g127 +V:: +p1504 +tp1505 +a(g185 +V +tp1506 +a(g69 +Vwork +p1507 +tp1508 +a(g198 +V( +tp1509 +a(g198 +V: +tp1510 +a(g198 +V) +tp1511 +a(g185 +V\u000a +p1512 +tp1513 +a(g135 +Vinteger +p1514 +tp1515 +a(g198 +V, +tp1516 +a(g185 +V +tp1517 +a(g107 +Vintent +p1518 +tp1519 +a(g198 +V( +tp1520 +a(g69 +Vout +p1521 +tp1522 +a(g198 +V) +tp1523 +a(g185 +V +p1524 +tp1525 +a(g127 +V:: +p1526 +tp1527 +a(g185 +V +tp1528 +a(g69 +Vinfo +p1529 +tp1530 +a(g185 +V\u000a +p1531 +tp1532 +a(g107 +Vend +p1533 +tp1534 +a(g107 +Vsubroutine +p1535 +tp1536 +a(g69 +Vpsb_zbaseprc_aply +p1537 +tp1538 +a(g185 +V\u000a +p1539 +tp1540 +a(g107 +Vend +p1541 +tp1542 +a(g107 +Vinterface\u000a\u000a +p1543 +tp1544 +a(g69 +Vname +p1545 +tp1546 +a(g340 +V= +tp1547 +a(g268 +V'psb_mlprc_aply' +p1548 +tp1549 +a(g185 +V\u000a +p1550 +tp1551 +a(g69 +Vinfo +p1552 +tp1553 +a(g185 +V +tp1554 +a(g340 +V= +tp1555 +a(g185 +V +tp1556 +a(g315 +V0 +tp1557 +a(g185 +V\u000a +p1558 +tp1559 +a(g107 +Vcall +p1560 +tp1561 +a(g69 +Vpsb_erractionsave +p1562 +tp1563 +a(g198 +V( +tp1564 +a(g69 +Verr_act +p1565 +tp1566 +a(g198 +V) +tp1567 +a(g185 +V\u000a\u000a\u000a +p1568 +tp1569 +a(g69 +Victxt +p1570 +tp1571 +a(g340 +V= +tp1572 +a(g69 +Vdesc_data +p1573 +tp1574 +a(g198 +V% +tp1575 +a(g69 +Vmatrix_data +p1576 +tp1577 +a(g198 +V( +tp1578 +a(g69 +Vpsb_ctxt_ +p1579 +tp1580 +a(g198 +V) +tp1581 +a(g185 +V\u000a +p1582 +tp1583 +a(g107 +Vcall +p1584 +tp1585 +a(g69 +Vpsb_info +p1586 +tp1587 +a(g198 +V( +tp1588 +a(g69 +Victxt +p1589 +tp1590 +a(g198 +V, +tp1591 +a(g185 +V +tp1592 +a(g69 +Vme +p1593 +tp1594 +a(g198 +V, +tp1595 +a(g185 +V +tp1596 +a(g69 +Vnp +p1597 +tp1598 +a(g198 +V) +tp1599 +a(g185 +V\u000a\u000a +p1600 +tp1601 +a(g69 +Vnlev +p1602 +tp1603 +a(g185 +V +tp1604 +a(g340 +V= +tp1605 +a(g185 +V +tp1606 +a(g69 +Vsize +p1607 +tp1608 +a(g198 +V( +tp1609 +a(g69 +Vbaseprecv +p1610 +tp1611 +a(g198 +V) +tp1612 +a(g185 +V\u000a +p1613 +tp1614 +a(g107 +Vallocate +p1615 +tp1616 +a(g198 +V( +tp1617 +a(g69 +Vmlprec_wrk +p1618 +tp1619 +a(g198 +V( +tp1620 +a(g69 +Vnlev +p1621 +tp1622 +a(g198 +V) +tp1623 +a(g198 +V, +tp1624 +a(g53 +Vstat +p1625 +tp1626 +a(g340 +V= +tp1627 +a(g69 +Vinfo +p1628 +tp1629 +a(g198 +V) +tp1630 +a(g185 +V\u000a +p1631 +tp1632 +a(g107 +Vif +p1633 +tp1634 +a(g185 +V +tp1635 +a(g198 +V( +tp1636 +a(g69 +Vinfo +p1637 +tp1638 +a(g185 +V +tp1639 +a(g340 +V/ +tp1640 +a(g340 +V= +tp1641 +a(g185 +V +tp1642 +a(g315 +V0 +tp1643 +a(g198 +V) +tp1644 +a(g185 +V +tp1645 +a(g107 +Vthen\u000a +p1646 +tp1647 +a(g107 +Vcall +p1648 +tp1649 +a(g69 +Vpsb_errpush +p1650 +tp1651 +a(g198 +V( +tp1652 +a(g315 +V4010 +p1653 +tp1654 +a(g198 +V, +tp1655 +a(g69 +Vname +p1656 +tp1657 +a(g198 +V, +tp1658 +a(g69 +Va_err +p1659 +tp1660 +a(g340 +V= +tp1661 +a(g268 +V'Allocate' +p1662 +tp1663 +a(g198 +V) +tp1664 +a(g185 +V\u000a +p1665 +tp1666 +a(g107 +Vgoto +p1667 +tp1668 +a(g315 +V9999 +p1669 +tp1670 +a(g185 +V\u000a +p1671 +tp1672 +a(g107 +Vend +p1673 +tp1674 +a(g107 +Vif\u000a\u000a\u000a +p1675 +tp1676 +a(g107 +Vselect +p1677 +tp1678 +a(g107 +Vcase +p1679 +tp1680 +a(g198 +V( +tp1681 +a(g69 +Vbaseprecv +p1682 +tp1683 +a(g198 +V( +tp1684 +a(g315 +V2 +tp1685 +a(g198 +V) +tp1686 +a(g198 +V% +tp1687 +a(g69 +Viprcparm +p1688 +tp1689 +a(g198 +V( +tp1690 +a(g69 +Vml_type_ +p1691 +tp1692 +a(g198 +V) +tp1693 +a(g198 +V) +tp1694 +a(g185 +V\u000a\u000a +p1695 +tp1696 +a(g107 +Vcase +p1697 +tp1698 +a(g198 +V( +tp1699 +a(g69 +Vno_ml_ +p1700 +tp1701 +a(g198 +V) +tp1702 +a(g185 +V\u000a +p1703 +tp1704 +a(g6 +V! Should not really get here.\u000a +p1705 +tp1706 +a(g185 +V +p1707 +tp1708 +a(g107 +Vcall +p1709 +tp1710 +a(g69 +Vpsb_errpush +p1711 +tp1712 +a(g198 +V( +tp1713 +a(g315 +V4010 +p1714 +tp1715 +a(g198 +V, +tp1716 +a(g69 +Vname +p1717 +tp1718 +a(g198 +V, +tp1719 +a(g69 +Va_err +p1720 +tp1721 +a(g340 +V= +tp1722 +a(g268 +V'no_ml_ in mlprc_aply?' +p1723 +tp1724 +a(g198 +V) +tp1725 +a(g185 +V\u000a +p1726 +tp1727 +a(g107 +Vgoto +p1728 +tp1729 +a(g315 +V9999 +p1730 +tp1731 +a(g185 +V\u000a\u000a\u000a +p1732 +tp1733 +a(g107 +Vcase +p1734 +tp1735 +a(g198 +V( +tp1736 +a(g69 +Vadd_ml_prec_ +p1737 +tp1738 +a(g198 +V) +tp1739 +a(g185 +V\u000a\u000a\u000a +p1740 +tp1741 +a(g6 +V!\u000a +p1742 +tp1743 +a(g185 +V +p1744 +tp1745 +a(g6 +V! Additive is very simple.\u000a +p1746 +tp1747 +a(g185 +V +p1748 +tp1749 +a(g6 +V! 1. X(1) = Xext\u000a +p1750 +tp1751 +a(g185 +V +p1752 +tp1753 +a(g6 +V! 2. DO ILEV=2,NLEV\u000a +p1754 +tp1755 +a(g185 +V +p1756 +tp1757 +a(g6 +V! X(ILEV) = AV(PR_SM_T_)*X(ILEV-1)\u000a +p1758 +tp1759 +a(g185 +V +p1760 +tp1761 +a(g6 +V! 3. Y(ILEV) = (K(ILEV)**(-1))*X(ILEV)\u000a +p1762 +tp1763 +a(g185 +V +p1764 +tp1765 +a(g6 +V! 4. DO ILEV=NLEV-1,1,-1\u000a +p1766 +tp1767 +a(g185 +V +p1768 +tp1769 +a(g6 +V! Y(ILEV) = AV(PR_SM_)*Y(ILEV+1)\u000a +p1770 +tp1771 +a(g185 +V +p1772 +tp1773 +a(g6 +V! 5. Yext = beta*Yext + Y(1)\u000a +p1774 +tp1775 +a(g185 +V +p1776 +tp1777 +a(g6 +V!\u000a +p1778 +tp1779 +a(g185 +V +p1780 +tp1781 +a(g6 +V! Note: level numbering reversed wrt ref. DD, i.e.\u000a +p1782 +tp1783 +a(g185 +V +p1784 +tp1785 +a(g6 +V! 1..NLEV <=> (j) <-> 0\u000a +p1786 +tp1787 +a(g185 +V\u000a\u000a +p1788 +tp1789 +a(g107 +Vcall +p1790 +tp1791 +a(g69 +Vpsb_baseprc_aply +p1792 +tp1793 +a(g198 +V( +tp1794 +a(g69 +Valpha +p1795 +tp1796 +a(g198 +V, +tp1797 +a(g69 +Vbaseprecv +p1798 +tp1799 +a(g198 +V( +tp1800 +a(g315 +V1 +tp1801 +a(g198 +V) +tp1802 +a(g198 +V, +tp1803 +a(g69 +Vx +tp1804 +a(g198 +V, +tp1805 +a(g69 +Vbeta +p1806 +tp1807 +a(g198 +V, +tp1808 +a(g69 +Vy +tp1809 +a(g198 +V, +tp1810 +a(g198 +V& +tp1811 +a(g185 +V\u000a +p1812 +tp1813 +a(g198 +V& +tp1814 +a(g185 +V +tp1815 +a(g69 +Vbaseprecv +p1816 +tp1817 +a(g198 +V( +tp1818 +a(g315 +V1 +tp1819 +a(g198 +V) +tp1820 +a(g198 +V% +tp1821 +a(g69 +Vbase_desc +p1822 +tp1823 +a(g198 +V, +tp1824 +a(g69 +Vtrans +p1825 +tp1826 +a(g198 +V, +tp1827 +a(g69 +Vwork +p1828 +tp1829 +a(g198 +V, +tp1830 +a(g69 +Vinfo +p1831 +tp1832 +a(g198 +V) +tp1833 +a(g185 +V\u000a +p1834 +tp1835 +a(g107 +Vif +p1836 +tp1837 +a(g198 +V( +tp1838 +a(g69 +Vinfo +p1839 +tp1840 +a(g185 +V +tp1841 +a(g340 +V/ +tp1842 +a(g340 +V= +tp1843 +a(g315 +V0 +tp1844 +a(g198 +V) +tp1845 +a(g185 +V +tp1846 +a(g107 +Vgoto +p1847 +tp1848 +a(g315 +V9999 +p1849 +tp1850 +a(g185 +V\u000a +p1851 +tp1852 +a(g107 +Vallocate +p1853 +tp1854 +a(g198 +V( +tp1855 +a(g69 +Vmlprec_wrk +p1856 +tp1857 +a(g198 +V( +tp1858 +a(g315 +V1 +tp1859 +a(g198 +V) +tp1860 +a(g198 +V% +tp1861 +a(g69 +Vx2l +p1862 +tp1863 +a(g198 +V( +tp1864 +a(g69 +Vsize +p1865 +tp1866 +a(g198 +V( +tp1867 +a(g69 +Vx +tp1868 +a(g198 +V) +tp1869 +a(g198 +V) +tp1870 +a(g198 +V, +tp1871 +a(g69 +Vmlprec_wrk +p1872 +tp1873 +a(g198 +V( +tp1874 +a(g315 +V1 +tp1875 +a(g198 +V) +tp1876 +a(g198 +V% +tp1877 +a(g69 +Vy2l +p1878 +tp1879 +a(g198 +V( +tp1880 +a(g69 +Vsize +p1881 +tp1882 +a(g198 +V( +tp1883 +a(g69 +Vy +tp1884 +a(g198 +V) +tp1885 +a(g198 +V) +tp1886 +a(g198 +V) +tp1887 +a(g185 +V\u000a +p1888 +tp1889 +a(g69 +Vmlprec_wrk +p1890 +tp1891 +a(g198 +V( +tp1892 +a(g315 +V1 +tp1893 +a(g198 +V) +tp1894 +a(g198 +V% +tp1895 +a(g69 +Vx2l +p1896 +tp1897 +a(g198 +V( +tp1898 +a(g198 +V: +tp1899 +a(g198 +V) +tp1900 +a(g185 +V +tp1901 +a(g340 +V= +tp1902 +a(g185 +V +tp1903 +a(g69 +Vx +tp1904 +a(g198 +V( +tp1905 +a(g198 +V: +tp1906 +a(g198 +V) +tp1907 +a(g185 +V\u000a\u000a\u000a +p1908 +tp1909 +a(g107 +Vdo +p1910 +tp1911 +a(g69 +Vilev +p1912 +tp1913 +a(g185 +V +tp1914 +a(g340 +V= +tp1915 +a(g185 +V +tp1916 +a(g315 +V2 +tp1917 +a(g198 +V, +tp1918 +a(g185 +V +tp1919 +a(g69 +Vnlev +p1920 +tp1921 +a(g185 +V\u000a +p1922 +tp1923 +a(g69 +Vn_row +p1924 +tp1925 +a(g185 +V +tp1926 +a(g340 +V= +tp1927 +a(g185 +V +tp1928 +a(g69 +Vbaseprecv +p1929 +tp1930 +a(g198 +V( +tp1931 +a(g69 +Vilev +p1932 +tp1933 +a(g340 +V- +tp1934 +a(g315 +V1 +tp1935 +a(g198 +V) +tp1936 +a(g198 +V% +tp1937 +a(g69 +Vbase_desc +p1938 +tp1939 +a(g198 +V% +tp1940 +a(g69 +Vmatrix_data +p1941 +tp1942 +a(g198 +V( +tp1943 +a(g69 +Vpsb_n_row_ +p1944 +tp1945 +a(g198 +V) +tp1946 +a(g185 +V\u000a +p1947 +tp1948 +a(g69 +Vn_col +p1949 +tp1950 +a(g185 +V +tp1951 +a(g340 +V= +tp1952 +a(g185 +V +tp1953 +a(g69 +Vbaseprecv +p1954 +tp1955 +a(g198 +V( +tp1956 +a(g69 +Vilev +p1957 +tp1958 +a(g340 +V- +tp1959 +a(g315 +V1 +tp1960 +a(g198 +V) +tp1961 +a(g198 +V% +tp1962 +a(g69 +Vdesc_data +p1963 +tp1964 +a(g198 +V% +tp1965 +a(g69 +Vmatrix_data +p1966 +tp1967 +a(g198 +V( +tp1968 +a(g69 +Vpsb_n_col_ +p1969 +tp1970 +a(g198 +V) +tp1971 +a(g185 +V\u000a +p1972 +tp1973 +a(g69 +Vnr2l +p1974 +tp1975 +a(g185 +V +p1976 +tp1977 +a(g340 +V= +tp1978 +a(g185 +V +tp1979 +a(g69 +Vbaseprecv +p1980 +tp1981 +a(g198 +V( +tp1982 +a(g69 +Vilev +p1983 +tp1984 +a(g198 +V) +tp1985 +a(g198 +V% +tp1986 +a(g69 +Vdesc_data +p1987 +tp1988 +a(g198 +V% +tp1989 +a(g69 +Vmatrix_data +p1990 +tp1991 +a(g198 +V( +tp1992 +a(g69 +Vpsb_n_col_ +p1993 +tp1994 +a(g198 +V) +tp1995 +a(g185 +V\u000a +p1996 +tp1997 +a(g69 +Vnrg +p1998 +tp1999 +a(g185 +V +p2000 +tp2001 +a(g340 +V= +tp2002 +a(g185 +V +tp2003 +a(g69 +Vbaseprecv +p2004 +tp2005 +a(g198 +V( +tp2006 +a(g69 +Vilev +p2007 +tp2008 +a(g198 +V) +tp2009 +a(g198 +V% +tp2010 +a(g69 +Vdesc_data +p2011 +tp2012 +a(g198 +V% +tp2013 +a(g69 +Vmatrix_data +p2014 +tp2015 +a(g198 +V( +tp2016 +a(g69 +Vpsb_n_row_ +p2017 +tp2018 +a(g198 +V) +tp2019 +a(g185 +V\u000a +p2020 +tp2021 +a(g107 +Vallocate +p2022 +tp2023 +a(g198 +V( +tp2024 +a(g69 +Vmlprec_wrk +p2025 +tp2026 +a(g198 +V( +tp2027 +a(g69 +Vilev +p2028 +tp2029 +a(g198 +V) +tp2030 +a(g198 +V% +tp2031 +a(g69 +Vx2l +p2032 +tp2033 +a(g198 +V( +tp2034 +a(g69 +Vnr2l +p2035 +tp2036 +a(g198 +V) +tp2037 +a(g198 +V, +tp2038 +a(g69 +Vmlprec_wrk +p2039 +tp2040 +a(g198 +V( +tp2041 +a(g69 +Vilev +p2042 +tp2043 +a(g198 +V) +tp2044 +a(g198 +V% +tp2045 +a(g69 +Vy2l +p2046 +tp2047 +a(g198 +V( +tp2048 +a(g69 +Vnr2l +p2049 +tp2050 +a(g198 +V) +tp2051 +a(g198 +V, +tp2052 +a(g198 +V& +tp2053 +a(g185 +V\u000a +p2054 +tp2055 +a(g198 +V& +tp2056 +a(g185 +V +tp2057 +a(g69 +Vmlprec_wrk +p2058 +tp2059 +a(g198 +V( +tp2060 +a(g69 +Vilev +p2061 +tp2062 +a(g198 +V) +tp2063 +a(g198 +V% +tp2064 +a(g69 +Vtx +p2065 +tp2066 +a(g198 +V( +tp2067 +a(g53 +Vmax +p2068 +tp2069 +a(g198 +V( +tp2070 +a(g69 +Vn_row +p2071 +tp2072 +a(g198 +V, +tp2073 +a(g69 +Vn_col +p2074 +tp2075 +a(g198 +V) +tp2076 +a(g198 +V) +tp2077 +a(g198 +V, +tp2078 +a(g198 +V& +tp2079 +a(g185 +V\u000a +p2080 +tp2081 +a(g198 +V& +tp2082 +a(g185 +V +tp2083 +a(g69 +Vmlprec_wrk +p2084 +tp2085 +a(g198 +V( +tp2086 +a(g69 +Vilev +p2087 +tp2088 +a(g198 +V) +tp2089 +a(g198 +V% +tp2090 +a(g69 +Vty +p2091 +tp2092 +a(g198 +V( +tp2093 +a(g53 +Vmax +p2094 +tp2095 +a(g198 +V( +tp2096 +a(g69 +Vn_row +p2097 +tp2098 +a(g198 +V, +tp2099 +a(g69 +Vn_col +p2100 +tp2101 +a(g198 +V) +tp2102 +a(g198 +V) +tp2103 +a(g198 +V, +tp2104 +a(g185 +V +tp2105 +a(g53 +Vstat +p2106 +tp2107 +a(g340 +V= +tp2108 +a(g69 +Vinfo +p2109 +tp2110 +a(g198 +V) +tp2111 +a(g185 +V\u000a +p2112 +tp2113 +a(g107 +Vif +p2114 +tp2115 +a(g185 +V +tp2116 +a(g198 +V( +tp2117 +a(g69 +Vinfo +p2118 +tp2119 +a(g185 +V +tp2120 +a(g340 +V/ +tp2121 +a(g340 +V= +tp2122 +a(g185 +V +tp2123 +a(g315 +V0 +tp2124 +a(g198 +V) +tp2125 +a(g185 +V +tp2126 +a(g107 +Vthen\u000a +p2127 +tp2128 +a(g107 +Vcall +p2129 +tp2130 +a(g69 +Vpsb_errpush +p2131 +tp2132 +a(g198 +V( +tp2133 +a(g315 +V4010 +p2134 +tp2135 +a(g198 +V, +tp2136 +a(g69 +Vname +p2137 +tp2138 +a(g198 +V, +tp2139 +a(g69 +Va_err +p2140 +tp2141 +a(g340 +V= +tp2142 +a(g268 +V'Allocate' +p2143 +tp2144 +a(g198 +V) +tp2145 +a(g185 +V\u000a +p2146 +tp2147 +a(g107 +Vgoto +p2148 +tp2149 +a(g315 +V9999 +p2150 +tp2151 +a(g185 +V\u000a +p2152 +tp2153 +a(g107 +Vend +p2154 +tp2155 +a(g107 +Vif\u000a\u000a +p2156 +tp2157 +a(g69 +Vmlprec_wrk +p2158 +tp2159 +a(g198 +V( +tp2160 +a(g69 +Vilev +p2161 +tp2162 +a(g198 +V) +tp2163 +a(g198 +V% +tp2164 +a(g69 +Vx2l +p2165 +tp2166 +a(g198 +V( +tp2167 +a(g198 +V: +tp2168 +a(g198 +V) +tp2169 +a(g185 +V +tp2170 +a(g340 +V= +tp2171 +a(g185 +V +tp2172 +a(g69 +Vzzero +p2173 +tp2174 +a(g185 +V\u000a +p2175 +tp2176 +a(g69 +Vmlprec_wrk +p2177 +tp2178 +a(g198 +V( +tp2179 +a(g69 +Vilev +p2180 +tp2181 +a(g198 +V) +tp2182 +a(g198 +V% +tp2183 +a(g69 +Vy2l +p2184 +tp2185 +a(g198 +V( +tp2186 +a(g198 +V: +tp2187 +a(g198 +V) +tp2188 +a(g185 +V +tp2189 +a(g340 +V= +tp2190 +a(g185 +V +tp2191 +a(g69 +Vzzero +p2192 +tp2193 +a(g185 +V\u000a +p2194 +tp2195 +a(g69 +Vmlprec_wrk +p2196 +tp2197 +a(g198 +V( +tp2198 +a(g69 +Vilev +p2199 +tp2200 +a(g198 +V) +tp2201 +a(g198 +V% +tp2202 +a(g69 +Vtx +p2203 +tp2204 +a(g198 +V( +tp2205 +a(g315 +V1 +tp2206 +a(g198 +V: +tp2207 +a(g69 +Vn_row +p2208 +tp2209 +a(g198 +V) +tp2210 +a(g185 +V +tp2211 +a(g340 +V= +tp2212 +a(g185 +V +tp2213 +a(g69 +Vmlprec_wrk +p2214 +tp2215 +a(g198 +V( +tp2216 +a(g69 +Vilev +p2217 +tp2218 +a(g340 +V- +tp2219 +a(g315 +V1 +tp2220 +a(g198 +V) +tp2221 +a(g198 +V% +tp2222 +a(g69 +Vx2l +p2223 +tp2224 +a(g198 +V( +tp2225 +a(g315 +V1 +tp2226 +a(g198 +V: +tp2227 +a(g69 +Vn_row +p2228 +tp2229 +a(g198 +V) +tp2230 +a(g185 +V\u000a +p2231 +tp2232 +a(g69 +Vmlprec_wrk +p2233 +tp2234 +a(g198 +V( +tp2235 +a(g69 +Vilev +p2236 +tp2237 +a(g198 +V) +tp2238 +a(g198 +V% +tp2239 +a(g69 +Vtx +p2240 +tp2241 +a(g198 +V( +tp2242 +a(g69 +Vn_row +p2243 +tp2244 +a(g340 +V+ +tp2245 +a(g315 +V1 +tp2246 +a(g198 +V: +tp2247 +a(g53 +Vmax +p2248 +tp2249 +a(g198 +V( +tp2250 +a(g69 +Vn_row +p2251 +tp2252 +a(g198 +V, +tp2253 +a(g69 +Vn_col +p2254 +tp2255 +a(g198 +V) +tp2256 +a(g198 +V) +tp2257 +a(g185 +V +tp2258 +a(g340 +V= +tp2259 +a(g185 +V +tp2260 +a(g69 +Vzzero +p2261 +tp2262 +a(g185 +V\u000a +p2263 +tp2264 +a(g69 +Vmlprec_wrk +p2265 +tp2266 +a(g198 +V( +tp2267 +a(g69 +Vilev +p2268 +tp2269 +a(g198 +V) +tp2270 +a(g198 +V% +tp2271 +a(g69 +Vty +p2272 +tp2273 +a(g198 +V( +tp2274 +a(g198 +V: +tp2275 +a(g198 +V) +tp2276 +a(g185 +V +tp2277 +a(g340 +V= +tp2278 +a(g185 +V +tp2279 +a(g69 +Vzzero +p2280 +tp2281 +a(g185 +V\u000a\u000a +p2282 +tp2283 +a(g69 +Vismth +p2284 +tp2285 +a(g340 +V= +tp2286 +a(g69 +Vbaseprecv +p2287 +tp2288 +a(g198 +V( +tp2289 +a(g69 +Vilev +p2290 +tp2291 +a(g198 +V) +tp2292 +a(g198 +V% +tp2293 +a(g69 +Viprcparm +p2294 +tp2295 +a(g198 +V( +tp2296 +a(g69 +Vsmth_kind_ +p2297 +tp2298 +a(g198 +V) +tp2299 +a(g185 +V\u000a\u000a +p2300 +tp2301 +a(g107 +Vif +p2302 +tp2303 +a(g185 +V +tp2304 +a(g198 +V( +tp2305 +a(g69 +Vismth +p2306 +tp2307 +a(g185 +V +p2308 +tp2309 +a(g340 +V/ +tp2310 +a(g340 +V= +tp2311 +a(g185 +V +tp2312 +a(g69 +Vno_smth_ +p2313 +tp2314 +a(g198 +V) +tp2315 +a(g185 +V +tp2316 +a(g107 +Vthen +p2317 +tp2318 +a(g185 +V\u000a +p2319 +tp2320 +a(g6 +V!\u000a +p2321 +tp2322 +a(g185 +V +p2323 +tp2324 +a(g6 +V! Smoothed aggregation\u000a +p2325 +tp2326 +a(g185 +V +p2327 +tp2328 +a(g6 +V!\u000a +p2329 +tp2330 +a(g185 +V\u000a\u000a +p2331 +tp2332 +a(g107 +Vif +p2333 +tp2334 +a(g185 +V +tp2335 +a(g198 +V( +tp2336 +a(g69 +Vbaseprecv +p2337 +tp2338 +a(g198 +V( +tp2339 +a(g69 +Vilev +p2340 +tp2341 +a(g198 +V) +tp2342 +a(g198 +V% +tp2343 +a(g69 +Viprcparm +p2344 +tp2345 +a(g198 +V( +tp2346 +a(g69 +Vglb_smth_ +p2347 +tp2348 +a(g198 +V) +tp2349 +a(g185 +V +tp2350 +a(g340 +V> +tp2351 +a(g315 +V0 +tp2352 +a(g198 +V) +tp2353 +a(g185 +V +tp2354 +a(g107 +Vthen\u000a +p2355 +tp2356 +a(g107 +Vcall +p2357 +tp2358 +a(g69 +Vpsb_halo +p2359 +tp2360 +a(g198 +V( +tp2361 +a(g69 +Vmlprec_wrk +p2362 +tp2363 +a(g198 +V( +tp2364 +a(g69 +Vilev +p2365 +tp2366 +a(g340 +V- +tp2367 +a(g315 +V1 +tp2368 +a(g198 +V) +tp2369 +a(g198 +V% +tp2370 +a(g69 +Vx2l +p2371 +tp2372 +a(g198 +V, +tp2373 +a(g69 +Vbaseprecv +p2374 +tp2375 +a(g198 +V( +tp2376 +a(g69 +Vilev +p2377 +tp2378 +a(g340 +V- +tp2379 +a(g315 +V1 +tp2380 +a(g198 +V) +tp2381 +a(g198 +V% +tp2382 +a(g69 +Vbase_desc +p2383 +tp2384 +a(g198 +V, +tp2385 +a(g198 +V& +tp2386 +a(g185 +V\u000a +p2387 +tp2388 +a(g198 +V& +tp2389 +a(g185 +V +p2390 +tp2391 +a(g69 +Vinfo +p2392 +tp2393 +a(g198 +V, +tp2394 +a(g69 +Vwork +p2395 +tp2396 +a(g340 +V= +tp2397 +a(g69 +Vwork +p2398 +tp2399 +a(g198 +V) +tp2400 +a(g185 +V\u000a +p2401 +tp2402 +a(g107 +Vif +p2403 +tp2404 +a(g198 +V( +tp2405 +a(g69 +Vinfo +p2406 +tp2407 +a(g185 +V +tp2408 +a(g340 +V/ +tp2409 +a(g340 +V= +tp2410 +a(g315 +V0 +tp2411 +a(g198 +V) +tp2412 +a(g185 +V +tp2413 +a(g107 +Vgoto +p2414 +tp2415 +a(g315 +V9999 +p2416 +tp2417 +a(g185 +V\u000a +p2418 +tp2419 +a(g107 +Velse\u000a +p2420 +tp2421 +a(g69 +Vmlprec_wrk +p2422 +tp2423 +a(g198 +V( +tp2424 +a(g69 +Vilev +p2425 +tp2426 +a(g340 +V- +tp2427 +a(g315 +V1 +tp2428 +a(g198 +V) +tp2429 +a(g198 +V% +tp2430 +a(g69 +Vx2l +p2431 +tp2432 +a(g198 +V( +tp2433 +a(g69 +Vn_row +p2434 +tp2435 +a(g340 +V+ +tp2436 +a(g315 +V1 +tp2437 +a(g198 +V: +tp2438 +a(g53 +Vmax +p2439 +tp2440 +a(g198 +V( +tp2441 +a(g69 +Vn_row +p2442 +tp2443 +a(g198 +V, +tp2444 +a(g69 +Vn_col +p2445 +tp2446 +a(g198 +V) +tp2447 +a(g198 +V) +tp2448 +a(g185 +V +tp2449 +a(g340 +V= +tp2450 +a(g185 +V +tp2451 +a(g69 +Vzzero +p2452 +tp2453 +a(g185 +V\u000a +p2454 +tp2455 +a(g107 +Vend +p2456 +tp2457 +a(g107 +Vif\u000a\u000a +p2458 +tp2459 +a(g107 +Vcall +p2460 +tp2461 +a(g69 +Vpsb_csmm +p2462 +tp2463 +a(g198 +V( +tp2464 +a(g69 +Vzone +p2465 +tp2466 +a(g198 +V, +tp2467 +a(g69 +Vbaseprecv +p2468 +tp2469 +a(g198 +V( +tp2470 +a(g69 +Vilev +p2471 +tp2472 +a(g198 +V) +tp2473 +a(g198 +V% +tp2474 +a(g69 +Vav +p2475 +tp2476 +a(g198 +V( +tp2477 +a(g69 +Vsm_pr_t_ +p2478 +tp2479 +a(g198 +V) +tp2480 +a(g198 +V, +tp2481 +a(g69 +Vmlprec_wrk +p2482 +tp2483 +a(g198 +V( +tp2484 +a(g69 +Vilev +p2485 +tp2486 +a(g340 +V- +tp2487 +a(g315 +V1 +tp2488 +a(g198 +V) +tp2489 +a(g198 +V% +tp2490 +a(g69 +Vx2l +p2491 +tp2492 +a(g198 +V, +tp2493 +a(g198 +V& +tp2494 +a(g185 +V\u000a +p2495 +tp2496 +a(g198 +V& +tp2497 +a(g185 +V +tp2498 +a(g69 +Vzzero +p2499 +tp2500 +a(g198 +V, +tp2501 +a(g69 +Vmlprec_wrk +p2502 +tp2503 +a(g198 +V( +tp2504 +a(g69 +Vilev +p2505 +tp2506 +a(g198 +V) +tp2507 +a(g198 +V% +tp2508 +a(g69 +Vx2l +p2509 +tp2510 +a(g198 +V, +tp2511 +a(g69 +Vinfo +p2512 +tp2513 +a(g198 +V) +tp2514 +a(g185 +V\u000a +p2515 +tp2516 +a(g107 +Vif +p2517 +tp2518 +a(g198 +V( +tp2519 +a(g69 +Vinfo +p2520 +tp2521 +a(g185 +V +tp2522 +a(g340 +V/ +tp2523 +a(g340 +V= +tp2524 +a(g315 +V0 +tp2525 +a(g198 +V) +tp2526 +a(g185 +V +tp2527 +a(g107 +Vgoto +p2528 +tp2529 +a(g315 +V9999 +p2530 +tp2531 +a(g185 +V\u000a\u000a +p2532 +tp2533 +a(g107 +Velse +p2534 +tp2535 +a(g185 +V\u000a +p2536 +tp2537 +a(g6 +V!\u000a +p2538 +tp2539 +a(g185 +V +p2540 +tp2541 +a(g6 +V! Raw aggregation, may take shortcut\u000a +p2542 +tp2543 +a(g185 +V +p2544 +tp2545 +a(g6 +V!\u000a +p2546 +tp2547 +a(g185 +V +p2548 +tp2549 +a(g107 +Vdo +p2550 +tp2551 +a(g69 +Vi +tp2552 +a(g340 +V= +tp2553 +a(g315 +V1 +tp2554 +a(g198 +V, +tp2555 +a(g69 +Vn_row +p2556 +tp2557 +a(g185 +V\u000a +p2558 +tp2559 +a(g69 +Vmlprec_wrk +p2560 +tp2561 +a(g198 +V( +tp2562 +a(g69 +Vilev +p2563 +tp2564 +a(g198 +V) +tp2565 +a(g198 +V% +tp2566 +a(g69 +Vx2l +p2567 +tp2568 +a(g198 +V( +tp2569 +a(g69 +Vbaseprecv +p2570 +tp2571 +a(g198 +V( +tp2572 +a(g69 +Vilev +p2573 +tp2574 +a(g198 +V) +tp2575 +a(g198 +V% +tp2576 +a(g69 +Vmlia +p2577 +tp2578 +a(g198 +V( +tp2579 +a(g69 +Vi +tp2580 +a(g198 +V) +tp2581 +a(g198 +V) +tp2582 +a(g185 +V +tp2583 +a(g340 +V= +tp2584 +a(g185 +V +tp2585 +a(g198 +V& +tp2586 +a(g185 +V\u000a +p2587 +tp2588 +a(g198 +V& +tp2589 +a(g185 +V +p2590 +tp2591 +a(g69 +Vmlprec_wrk +p2592 +tp2593 +a(g198 +V( +tp2594 +a(g69 +Vilev +p2595 +tp2596 +a(g198 +V) +tp2597 +a(g198 +V% +tp2598 +a(g69 +Vx2l +p2599 +tp2600 +a(g198 +V( +tp2601 +a(g69 +Vbaseprecv +p2602 +tp2603 +a(g198 +V( +tp2604 +a(g69 +Vilev +p2605 +tp2606 +a(g198 +V) +tp2607 +a(g198 +V% +tp2608 +a(g69 +Vmlia +p2609 +tp2610 +a(g198 +V( +tp2611 +a(g69 +Vi +tp2612 +a(g198 +V) +tp2613 +a(g198 +V) +tp2614 +a(g185 +V +tp2615 +a(g340 +V+ +tp2616 +a(g185 +V +tp2617 +a(g198 +V& +tp2618 +a(g185 +V\u000a +p2619 +tp2620 +a(g198 +V& +tp2621 +a(g185 +V +p2622 +tp2623 +a(g69 +Vmlprec_wrk +p2624 +tp2625 +a(g198 +V( +tp2626 +a(g69 +Vilev +p2627 +tp2628 +a(g340 +V- +tp2629 +a(g315 +V1 +tp2630 +a(g198 +V) +tp2631 +a(g198 +V% +tp2632 +a(g69 +Vx2l +p2633 +tp2634 +a(g198 +V( +tp2635 +a(g69 +Vi +tp2636 +a(g198 +V) +tp2637 +a(g185 +V\u000a +p2638 +tp2639 +a(g107 +Vend +p2640 +tp2641 +a(g107 +Vdo\u000a\u000a +p2642 +tp2643 +a(g107 +Vend +p2644 +tp2645 +a(g107 +Vif\u000a\u000a +p2646 +tp2647 +a(g107 +Vif +p2648 +tp2649 +a(g185 +V +tp2650 +a(g198 +V( +tp2651 +a(g69 +Vbaseprecv +p2652 +tp2653 +a(g198 +V( +tp2654 +a(g69 +Vilev +p2655 +tp2656 +a(g198 +V) +tp2657 +a(g198 +V% +tp2658 +a(g69 +Viprcparm +p2659 +tp2660 +a(g198 +V( +tp2661 +a(g69 +Vcoarse_mat_ +p2662 +tp2663 +a(g198 +V) +tp2664 +a(g340 +V== +p2665 +tp2666 +a(g69 +Vmat_repl_ +p2667 +tp2668 +a(g198 +V) +tp2669 +a(g185 +V +tp2670 +a(g107 +VThen\u000a +p2671 +tp2672 +a(g107 +Vcall +p2673 +tp2674 +a(g69 +Vpsb_sum +p2675 +tp2676 +a(g198 +V( +tp2677 +a(g69 +Victxt +p2678 +tp2679 +a(g198 +V, +tp2680 +a(g69 +Vmlprec_wrk +p2681 +tp2682 +a(g198 +V( +tp2683 +a(g69 +Vilev +p2684 +tp2685 +a(g198 +V) +tp2686 +a(g198 +V% +tp2687 +a(g69 +Vx2l +p2688 +tp2689 +a(g198 +V( +tp2690 +a(g315 +V1 +tp2691 +a(g198 +V: +tp2692 +a(g69 +Vnrg +p2693 +tp2694 +a(g198 +V) +tp2695 +a(g198 +V) +tp2696 +a(g185 +V\u000a +p2697 +tp2698 +a(g107 +Velse +p2699 +tp2700 +a(g107 +Vif +p2701 +tp2702 +a(g185 +V +tp2703 +a(g198 +V( +tp2704 +a(g69 +Vbaseprecv +p2705 +tp2706 +a(g198 +V( +tp2707 +a(g69 +Vilev +p2708 +tp2709 +a(g198 +V) +tp2710 +a(g198 +V% +tp2711 +a(g69 +Viprcparm +p2712 +tp2713 +a(g198 +V( +tp2714 +a(g69 +Vcoarse_mat_ +p2715 +tp2716 +a(g198 +V) +tp2717 +a(g185 +V +tp2718 +a(g340 +V/ +tp2719 +a(g340 +V= +tp2720 +a(g185 +V +tp2721 +a(g69 +Vmat_distr_ +p2722 +tp2723 +a(g198 +V) +tp2724 +a(g185 +V +tp2725 +a(g107 +VThen\u000a +p2726 +tp2727 +a(g107 +Vwrite +p2728 +tp2729 +a(g198 +V( +tp2730 +a(g315 +V0 +tp2731 +a(g198 +V, +tp2732 +a(g340 +V* +tp2733 +a(g198 +V) +tp2734 +a(g185 +V +tp2735 +a(g268 +V'Unknown value for baseprecv(2)%iprcparm(coarse_mat_) ' +p2736 +tp2737 +a(g198 +V, +tp2738 +a(g198 +V& +tp2739 +a(g185 +V\u000a +p2740 +tp2741 +a(g198 +V& +tp2742 +a(g185 +V +tp2743 +a(g69 +Vbaseprecv +p2744 +tp2745 +a(g198 +V( +tp2746 +a(g69 +Vilev +p2747 +tp2748 +a(g198 +V) +tp2749 +a(g198 +V% +tp2750 +a(g69 +Viprcparm +p2751 +tp2752 +a(g198 +V( +tp2753 +a(g69 +Vcoarse_mat_ +p2754 +tp2755 +a(g198 +V) +tp2756 +a(g185 +V\u000a +p2757 +tp2758 +a(g107 +Vendif\u000a\u000a +p2759 +tp2760 +a(g107 +Vcall +p2761 +tp2762 +a(g69 +Vpsb_baseprc_aply +p2763 +tp2764 +a(g198 +V( +tp2765 +a(g69 +Vzone +p2766 +tp2767 +a(g198 +V, +tp2768 +a(g69 +Vbaseprecv +p2769 +tp2770 +a(g198 +V( +tp2771 +a(g69 +Vilev +p2772 +tp2773 +a(g198 +V) +tp2774 +a(g198 +V, +tp2775 +a(g198 +V& +tp2776 +a(g185 +V\u000a +p2777 +tp2778 +a(g198 +V& +tp2779 +a(g185 +V +tp2780 +a(g69 +Vmlprec_wrk +p2781 +tp2782 +a(g198 +V( +tp2783 +a(g69 +Vilev +p2784 +tp2785 +a(g198 +V) +tp2786 +a(g198 +V% +tp2787 +a(g69 +Vx2l +p2788 +tp2789 +a(g198 +V, +tp2790 +a(g69 +Vzzero +p2791 +tp2792 +a(g198 +V, +tp2793 +a(g69 +Vmlprec_wrk +p2794 +tp2795 +a(g198 +V( +tp2796 +a(g69 +Vilev +p2797 +tp2798 +a(g198 +V) +tp2799 +a(g198 +V% +tp2800 +a(g69 +Vy2l +p2801 +tp2802 +a(g198 +V, +tp2803 +a(g198 +V& +tp2804 +a(g185 +V\u000a +p2805 +tp2806 +a(g198 +V& +tp2807 +a(g185 +V +tp2808 +a(g69 +Vbaseprecv +p2809 +tp2810 +a(g198 +V( +tp2811 +a(g69 +Vilev +p2812 +tp2813 +a(g198 +V) +tp2814 +a(g198 +V% +tp2815 +a(g69 +Vdesc_data +p2816 +tp2817 +a(g198 +V, +tp2818 +a(g185 +V +tp2819 +a(g268 +V'N' +p2820 +tp2821 +a(g198 +V, +tp2822 +a(g69 +Vwork +p2823 +tp2824 +a(g198 +V, +tp2825 +a(g69 +Vinfo +p2826 +tp2827 +a(g198 +V) +tp2828 +a(g185 +V\u000a\u000a +p2829 +tp2830 +a(g69 +Venddo +p2831 +tp2832 +a(g185 +V\u000a\u000a +p2833 +tp2834 +a(g107 +Vdo +p2835 +tp2836 +a(g69 +Vilev +p2837 +tp2838 +a(g185 +V +tp2839 +a(g340 +V= +tp2840 +a(g69 +Vnlev +p2841 +tp2842 +a(g198 +V, +tp2843 +a(g315 +V2 +tp2844 +a(g198 +V, +tp2845 +a(g340 +V- +tp2846 +a(g315 +V1 +tp2847 +a(g185 +V\u000a\u000a +p2848 +tp2849 +a(g69 +Vismth +p2850 +tp2851 +a(g340 +V= +tp2852 +a(g69 +Vbaseprecv +p2853 +tp2854 +a(g198 +V( +tp2855 +a(g69 +Vilev +p2856 +tp2857 +a(g198 +V) +tp2858 +a(g198 +V% +tp2859 +a(g69 +Viprcparm +p2860 +tp2861 +a(g198 +V( +tp2862 +a(g69 +Vsmth_kind_ +p2863 +tp2864 +a(g198 +V) +tp2865 +a(g185 +V\u000a +p2866 +tp2867 +a(g69 +Vn_row +p2868 +tp2869 +a(g185 +V +tp2870 +a(g340 +V= +tp2871 +a(g185 +V +tp2872 +a(g69 +Vbaseprecv +p2873 +tp2874 +a(g198 +V( +tp2875 +a(g69 +Vilev +p2876 +tp2877 +a(g340 +V- +tp2878 +a(g315 +V1 +tp2879 +a(g198 +V) +tp2880 +a(g198 +V% +tp2881 +a(g69 +Vbase_desc +p2882 +tp2883 +a(g198 +V% +tp2884 +a(g69 +Vmatrix_data +p2885 +tp2886 +a(g198 +V( +tp2887 +a(g69 +Vpsb_n_row_ +p2888 +tp2889 +a(g198 +V) +tp2890 +a(g185 +V\u000a +p2891 +tp2892 +a(g69 +Vn_col +p2893 +tp2894 +a(g185 +V +tp2895 +a(g340 +V= +tp2896 +a(g185 +V +tp2897 +a(g69 +Vbaseprecv +p2898 +tp2899 +a(g198 +V( +tp2900 +a(g69 +Vilev +p2901 +tp2902 +a(g340 +V- +tp2903 +a(g315 +V1 +tp2904 +a(g198 +V) +tp2905 +a(g198 +V% +tp2906 +a(g69 +Vdesc_data +p2907 +tp2908 +a(g198 +V% +tp2909 +a(g69 +Vmatrix_data +p2910 +tp2911 +a(g198 +V( +tp2912 +a(g69 +Vpsb_n_col_ +p2913 +tp2914 +a(g198 +V) +tp2915 +a(g185 +V\u000a +p2916 +tp2917 +a(g69 +Vnr2l +p2918 +tp2919 +a(g185 +V +p2920 +tp2921 +a(g340 +V= +tp2922 +a(g185 +V +tp2923 +a(g69 +Vbaseprecv +p2924 +tp2925 +a(g198 +V( +tp2926 +a(g69 +Vilev +p2927 +tp2928 +a(g198 +V) +tp2929 +a(g198 +V% +tp2930 +a(g69 +Vdesc_data +p2931 +tp2932 +a(g198 +V% +tp2933 +a(g69 +Vmatrix_data +p2934 +tp2935 +a(g198 +V( +tp2936 +a(g69 +Vpsb_n_col_ +p2937 +tp2938 +a(g198 +V) +tp2939 +a(g185 +V\u000a +p2940 +tp2941 +a(g69 +Vnrg +p2942 +tp2943 +a(g185 +V +p2944 +tp2945 +a(g340 +V= +tp2946 +a(g185 +V +tp2947 +a(g69 +Vbaseprecv +p2948 +tp2949 +a(g198 +V( +tp2950 +a(g69 +Vilev +p2951 +tp2952 +a(g198 +V) +tp2953 +a(g198 +V% +tp2954 +a(g69 +Vdesc_data +p2955 +tp2956 +a(g198 +V% +tp2957 +a(g69 +Vmatrix_data +p2958 +tp2959 +a(g198 +V( +tp2960 +a(g69 +Vpsb_n_row_ +p2961 +tp2962 +a(g198 +V) +tp2963 +a(g185 +V\u000a\u000a +p2964 +tp2965 +a(g107 +Vif +p2966 +tp2967 +a(g185 +V +tp2968 +a(g198 +V( +tp2969 +a(g69 +Vismth +p2970 +tp2971 +a(g185 +V +p2972 +tp2973 +a(g340 +V/ +tp2974 +a(g340 +V= +tp2975 +a(g185 +V +tp2976 +a(g69 +Vno_smth_ +p2977 +tp2978 +a(g198 +V) +tp2979 +a(g185 +V +tp2980 +a(g107 +Vthen\u000a\u000a +p2981 +tp2982 +a(g107 +Vcall +p2983 +tp2984 +a(g69 +Vpsb_csmm +p2985 +tp2986 +a(g198 +V( +tp2987 +a(g69 +Vzone +p2988 +tp2989 +a(g198 +V, +tp2990 +a(g69 +Vbaseprecv +p2991 +tp2992 +a(g198 +V( +tp2993 +a(g69 +Vilev +p2994 +tp2995 +a(g198 +V) +tp2996 +a(g198 +V% +tp2997 +a(g69 +Vav +p2998 +tp2999 +a(g198 +V( +tp3000 +a(g69 +Vsm_pr_ +p3001 +tp3002 +a(g198 +V) +tp3003 +a(g198 +V, +tp3004 +a(g69 +Vmlprec_wrk +p3005 +tp3006 +a(g198 +V( +tp3007 +a(g69 +Vilev +p3008 +tp3009 +a(g198 +V) +tp3010 +a(g198 +V% +tp3011 +a(g69 +Vy2l +p3012 +tp3013 +a(g198 +V, +tp3014 +a(g198 +V& +tp3015 +a(g185 +V\u000a +p3016 +tp3017 +a(g198 +V& +tp3018 +a(g185 +V +tp3019 +a(g69 +Vzone +p3020 +tp3021 +a(g198 +V, +tp3022 +a(g69 +Vmlprec_wrk +p3023 +tp3024 +a(g198 +V( +tp3025 +a(g69 +Vilev +p3026 +tp3027 +a(g340 +V- +tp3028 +a(g315 +V1 +tp3029 +a(g198 +V) +tp3030 +a(g198 +V% +tp3031 +a(g69 +Vy2l +p3032 +tp3033 +a(g198 +V, +tp3034 +a(g69 +Vinfo +p3035 +tp3036 +a(g198 +V) +tp3037 +a(g185 +V\u000a +p3038 +tp3039 +a(g107 +Vif +p3040 +tp3041 +a(g198 +V( +tp3042 +a(g69 +Vinfo +p3043 +tp3044 +a(g185 +V +tp3045 +a(g340 +V/ +tp3046 +a(g340 +V= +tp3047 +a(g315 +V0 +tp3048 +a(g198 +V) +tp3049 +a(g185 +V +tp3050 +a(g107 +Vgoto +p3051 +tp3052 +a(g315 +V9999 +p3053 +tp3054 +a(g185 +V\u000a\u000a +p3055 +tp3056 +a(g107 +Velse\u000a\u000a +p3057 +tp3058 +a(g107 +Vdo +p3059 +tp3060 +a(g69 +Vi +tp3061 +a(g340 +V= +tp3062 +a(g315 +V1 +tp3063 +a(g198 +V, +tp3064 +a(g185 +V +tp3065 +a(g69 +Vn_row +p3066 +tp3067 +a(g185 +V\u000a +p3068 +tp3069 +a(g69 +Vmlprec_wrk +p3070 +tp3071 +a(g198 +V( +tp3072 +a(g69 +Vilev +p3073 +tp3074 +a(g340 +V- +tp3075 +a(g315 +V1 +tp3076 +a(g198 +V) +tp3077 +a(g198 +V% +tp3078 +a(g69 +Vy2l +p3079 +tp3080 +a(g198 +V( +tp3081 +a(g69 +Vi +tp3082 +a(g198 +V) +tp3083 +a(g185 +V +tp3084 +a(g340 +V= +tp3085 +a(g185 +V +tp3086 +a(g69 +Vmlprec_wrk +p3087 +tp3088 +a(g198 +V( +tp3089 +a(g69 +Vilev +p3090 +tp3091 +a(g340 +V- +tp3092 +a(g315 +V1 +tp3093 +a(g198 +V) +tp3094 +a(g198 +V% +tp3095 +a(g69 +Vy2l +p3096 +tp3097 +a(g198 +V( +tp3098 +a(g69 +Vi +tp3099 +a(g198 +V) +tp3100 +a(g185 +V +tp3101 +a(g340 +V+ +tp3102 +a(g185 +V +tp3103 +a(g198 +V& +tp3104 +a(g185 +V\u000a +p3105 +tp3106 +a(g198 +V& +tp3107 +a(g185 +V +p3108 +tp3109 +a(g69 +Vmlprec_wrk +p3110 +tp3111 +a(g198 +V( +tp3112 +a(g69 +Vilev +p3113 +tp3114 +a(g198 +V) +tp3115 +a(g198 +V% +tp3116 +a(g69 +Vy2l +p3117 +tp3118 +a(g198 +V( +tp3119 +a(g69 +Vbaseprecv +p3120 +tp3121 +a(g198 +V( +tp3122 +a(g69 +Vilev +p3123 +tp3124 +a(g198 +V) +tp3125 +a(g198 +V% +tp3126 +a(g69 +Vmlia +p3127 +tp3128 +a(g198 +V( +tp3129 +a(g69 +Vi +tp3130 +a(g198 +V) +tp3131 +a(g198 +V) +tp3132 +a(g185 +V\u000a +p3133 +tp3134 +a(g69 +Venddo +p3135 +tp3136 +a(g185 +V\u000a\u000a +p3137 +tp3138 +a(g107 +Vend +p3139 +tp3140 +a(g107 +Vif\u000a +p3141 +tp3142 +a(g107 +Vend +p3143 +tp3144 +a(g107 +Vdo\u000a\u000a +p3145 +tp3146 +a(g107 +Vcall +p3147 +tp3148 +a(g69 +Vpsb_geaxpby +p3149 +tp3150 +a(g198 +V( +tp3151 +a(g69 +Valpha +p3152 +tp3153 +a(g198 +V, +tp3154 +a(g69 +Vmlprec_wrk +p3155 +tp3156 +a(g198 +V( +tp3157 +a(g315 +V1 +tp3158 +a(g198 +V) +tp3159 +a(g198 +V% +tp3160 +a(g69 +Vy2l +p3161 +tp3162 +a(g198 +V, +tp3163 +a(g69 +Vzone +p3164 +tp3165 +a(g198 +V, +tp3166 +a(g69 +Vy +tp3167 +a(g198 +V, +tp3168 +a(g69 +Vbaseprecv +p3169 +tp3170 +a(g198 +V( +tp3171 +a(g315 +V1 +tp3172 +a(g198 +V) +tp3173 +a(g198 +V% +tp3174 +a(g69 +Vbase_desc +p3175 +tp3176 +a(g198 +V, +tp3177 +a(g69 +Vinfo +p3178 +tp3179 +a(g198 +V) +tp3180 +a(g185 +V\u000a +p3181 +tp3182 +a(g107 +Vif +p3183 +tp3184 +a(g198 +V( +tp3185 +a(g69 +Vinfo +p3186 +tp3187 +a(g185 +V +tp3188 +a(g340 +V/ +tp3189 +a(g340 +V= +tp3190 +a(g315 +V0 +tp3191 +a(g198 +V) +tp3192 +a(g185 +V +tp3193 +a(g107 +Vgoto +p3194 +tp3195 +a(g315 +V9999 +p3196 +tp3197 +a(g185 +V\u000a\u000a\u000a +p3198 +tp3199 +a(g107 +Vcase +p3200 +tp3201 +a(g198 +V( +tp3202 +a(g69 +Vmult_ml_prec_ +p3203 +tp3204 +a(g198 +V) +tp3205 +a(g185 +V\u000a\u000a +p3206 +tp3207 +a(g6 +V!\u000a +p3208 +tp3209 +a(g185 +V +p3210 +tp3211 +a(g6 +V! Multiplicative multilevel\u000a +p3212 +tp3213 +a(g185 +V +p3214 +tp3215 +a(g6 +V! Pre/post smoothing versions.\u000a +p3216 +tp3217 +a(g185 +V +p3218 +tp3219 +a(g6 +V!\u000a +p3220 +tp3221 +a(g185 +V\u000a +p3222 +tp3223 +a(g107 +Vselect +p3224 +tp3225 +a(g107 +Vcase +p3226 +tp3227 +a(g198 +V( +tp3228 +a(g69 +Vbaseprecv +p3229 +tp3230 +a(g198 +V( +tp3231 +a(g315 +V2 +tp3232 +a(g198 +V) +tp3233 +a(g198 +V% +tp3234 +a(g69 +Viprcparm +p3235 +tp3236 +a(g198 +V( +tp3237 +a(g69 +Vsmth_pos_ +p3238 +tp3239 +a(g198 +V) +tp3240 +a(g198 +V) +tp3241 +a(g185 +V\u000a\u000a +p3242 +tp3243 +a(g107 +Vcase +p3244 +tp3245 +a(g198 +V( +tp3246 +a(g69 +Vpost_smooth_ +p3247 +tp3248 +a(g198 +V) +tp3249 +a(g185 +V\u000a\u000a\u000a +p3250 +tp3251 +a(g6 +V!\u000a +p3252 +tp3253 +a(g185 +V +p3254 +tp3255 +a(g6 +V! Post smoothing.\u000a +p3256 +tp3257 +a(g185 +V +p3258 +tp3259 +a(g6 +V! 1. X(1) = Xext\u000a +p3260 +tp3261 +a(g185 +V +p3262 +tp3263 +a(g6 +V! 2. DO ILEV=2, NLEV :: X(ILEV) = AV(PR_SM_T_,ILEV)*X(ILEV-1)\u000a +p3264 +tp3265 +a(g185 +V +p3266 +tp3267 +a(g6 +V! 3. Y(NLEV) = (K(NLEV)**(-1))*X(NLEV)\u000a +p3268 +tp3269 +a(g185 +V +p3270 +tp3271 +a(g6 +V! 4. DO ILEV=NLEV-1,1,-1\u000a +p3272 +tp3273 +a(g185 +V +p3274 +tp3275 +a(g6 +V! Y(ILEV) = AV(PR_SM_,ILEV+1)*Y(ILEV+1)\u000a +p3276 +tp3277 +a(g185 +V +p3278 +tp3279 +a(g6 +V! Y(ILEV) = Y(ILEV) + (K(ILEV)**(-1))*(X(ILEV)-A(ILEV)*Y(ILEV))\u000a +p3280 +tp3281 +a(g185 +V +p3282 +tp3283 +a(g6 +V!\u000a +p3284 +tp3285 +a(g185 +V +p3286 +tp3287 +a(g6 +V! 5. Yext = beta*Yext + Y(1)\u000a +p3288 +tp3289 +a(g185 +V +p3290 +tp3291 +a(g6 +V!\u000a +p3292 +tp3293 +a(g185 +V +p3294 +tp3295 +a(g6 +V! Note: level numbering reversed wrt ref. DD, i.e.\u000a +p3296 +tp3297 +a(g185 +V +p3298 +tp3299 +a(g6 +V! 1..NLEV <=> (j) <-> 0\u000a +p3300 +tp3301 +a(g185 +V +p3302 +tp3303 +a(g6 +V!\u000a +p3304 +tp3305 +a(g185 +V +p3306 +tp3307 +a(g6 +V! Also: post smoothing is not spelled out in detail in DD.\u000a +p3308 +tp3309 +a(g185 +V +p3310 +tp3311 +a(g6 +V!\u000a +p3312 +tp3313 +a(g185 +V +p3314 +tp3315 +a(g6 +V!\u000a +p3316 +tp3317 +a(g185 +V\u000a\u000a +p3318 +tp3319 +a(g69 +Vn_col +p3320 +tp3321 +a(g185 +V +tp3322 +a(g340 +V= +tp3323 +a(g185 +V +tp3324 +a(g69 +Vdesc_data +p3325 +tp3326 +a(g198 +V% +tp3327 +a(g69 +Vmatrix_data +p3328 +tp3329 +a(g198 +V( +tp3330 +a(g69 +Vpsb_n_col_ +p3331 +tp3332 +a(g198 +V) +tp3333 +a(g185 +V\u000a +p3334 +tp3335 +a(g69 +Vnr2l +p3336 +tp3337 +a(g185 +V +p3338 +tp3339 +a(g340 +V= +tp3340 +a(g185 +V +tp3341 +a(g69 +Vbaseprecv +p3342 +tp3343 +a(g198 +V( +tp3344 +a(g315 +V1 +tp3345 +a(g198 +V) +tp3346 +a(g198 +V% +tp3347 +a(g69 +Vdesc_data +p3348 +tp3349 +a(g198 +V% +tp3350 +a(g69 +Vmatrix_data +p3351 +tp3352 +a(g198 +V( +tp3353 +a(g69 +Vpsb_n_col_ +p3354 +tp3355 +a(g198 +V) +tp3356 +a(g185 +V\u000a\u000a +p3357 +tp3358 +a(g107 +Vallocate +p3359 +tp3360 +a(g198 +V( +tp3361 +a(g69 +Vmlprec_wrk +p3362 +tp3363 +a(g198 +V( +tp3364 +a(g315 +V1 +tp3365 +a(g198 +V) +tp3366 +a(g198 +V% +tp3367 +a(g69 +Vx2l +p3368 +tp3369 +a(g198 +V( +tp3370 +a(g69 +Vnr2l +p3371 +tp3372 +a(g198 +V) +tp3373 +a(g198 +V, +tp3374 +a(g69 +Vmlprec_wrk +p3375 +tp3376 +a(g198 +V( +tp3377 +a(g315 +V1 +tp3378 +a(g198 +V) +tp3379 +a(g198 +V% +tp3380 +a(g69 +Vy2l +p3381 +tp3382 +a(g198 +V( +tp3383 +a(g69 +Vnr2l +p3384 +tp3385 +a(g198 +V) +tp3386 +a(g198 +V, +tp3387 +a(g185 +V +tp3388 +a(g198 +V& +tp3389 +a(g185 +V\u000a +p3390 +tp3391 +a(g198 +V& +tp3392 +a(g185 +V +tp3393 +a(g69 +Vmlprec_wrk +p3394 +tp3395 +a(g198 +V( +tp3396 +a(g315 +V1 +tp3397 +a(g198 +V) +tp3398 +a(g198 +V% +tp3399 +a(g69 +Vtx +p3400 +tp3401 +a(g198 +V( +tp3402 +a(g69 +Vnr2l +p3403 +tp3404 +a(g198 +V) +tp3405 +a(g198 +V, +tp3406 +a(g185 +V +tp3407 +a(g53 +Vstat +p3408 +tp3409 +a(g340 +V= +tp3410 +a(g69 +Vinfo +p3411 +tp3412 +a(g198 +V) +tp3413 +a(g185 +V\u000a +p3414 +tp3415 +a(g69 +Vmlprec_wrk +p3416 +tp3417 +a(g198 +V( +tp3418 +a(g315 +V1 +tp3419 +a(g198 +V) +tp3420 +a(g198 +V% +tp3421 +a(g69 +Vx2l +p3422 +tp3423 +a(g198 +V( +tp3424 +a(g198 +V: +tp3425 +a(g198 +V) +tp3426 +a(g185 +V +tp3427 +a(g340 +V= +tp3428 +a(g185 +V +tp3429 +a(g69 +Vzzero +p3430 +tp3431 +a(g185 +V\u000a +p3432 +tp3433 +a(g69 +Vmlprec_wrk +p3434 +tp3435 +a(g198 +V( +tp3436 +a(g315 +V1 +tp3437 +a(g198 +V) +tp3438 +a(g198 +V% +tp3439 +a(g69 +Vy2l +p3440 +tp3441 +a(g198 +V( +tp3442 +a(g198 +V: +tp3443 +a(g198 +V) +tp3444 +a(g185 +V +tp3445 +a(g340 +V= +tp3446 +a(g185 +V +tp3447 +a(g69 +Vzzero +p3448 +tp3449 +a(g185 +V\u000a +p3450 +tp3451 +a(g69 +Vmlprec_wrk +p3452 +tp3453 +a(g198 +V( +tp3454 +a(g315 +V1 +tp3455 +a(g198 +V) +tp3456 +a(g198 +V% +tp3457 +a(g69 +Vtx +p3458 +tp3459 +a(g198 +V( +tp3460 +a(g198 +V: +tp3461 +a(g198 +V) +tp3462 +a(g185 +V +tp3463 +a(g340 +V= +tp3464 +a(g185 +V +tp3465 +a(g69 +Vzzero +p3466 +tp3467 +a(g185 +V\u000a\u000a +p3468 +tp3469 +a(g107 +Vcall +p3470 +tp3471 +a(g69 +Vpsb_geaxpby +p3472 +tp3473 +a(g198 +V( +tp3474 +a(g69 +Vzone +p3475 +tp3476 +a(g198 +V, +tp3477 +a(g69 +Vx +tp3478 +a(g198 +V, +tp3479 +a(g69 +Vzzero +p3480 +tp3481 +a(g198 +V, +tp3482 +a(g69 +Vmlprec_wrk +p3483 +tp3484 +a(g198 +V( +tp3485 +a(g315 +V1 +tp3486 +a(g198 +V) +tp3487 +a(g198 +V% +tp3488 +a(g69 +Vtx +p3489 +tp3490 +a(g198 +V, +tp3491 +a(g198 +V& +tp3492 +a(g185 +V\u000a +p3493 +tp3494 +a(g198 +V& +tp3495 +a(g185 +V +tp3496 +a(g69 +Vbaseprecv +p3497 +tp3498 +a(g198 +V( +tp3499 +a(g315 +V1 +tp3500 +a(g198 +V) +tp3501 +a(g198 +V% +tp3502 +a(g69 +Vbase_desc +p3503 +tp3504 +a(g198 +V, +tp3505 +a(g69 +Vinfo +p3506 +tp3507 +a(g198 +V) +tp3508 +a(g185 +V\u000a +p3509 +tp3510 +a(g107 +Vcall +p3511 +tp3512 +a(g69 +Vpsb_geaxpby +p3513 +tp3514 +a(g198 +V( +tp3515 +a(g69 +Vzone +p3516 +tp3517 +a(g198 +V, +tp3518 +a(g69 +Vx +tp3519 +a(g198 +V, +tp3520 +a(g69 +Vzzero +p3521 +tp3522 +a(g198 +V, +tp3523 +a(g69 +Vmlprec_wrk +p3524 +tp3525 +a(g198 +V( +tp3526 +a(g315 +V1 +tp3527 +a(g198 +V) +tp3528 +a(g198 +V% +tp3529 +a(g69 +Vx2l +p3530 +tp3531 +a(g198 +V, +tp3532 +a(g198 +V& +tp3533 +a(g185 +V\u000a +p3534 +tp3535 +a(g198 +V& +tp3536 +a(g185 +V +tp3537 +a(g69 +Vbaseprecv +p3538 +tp3539 +a(g198 +V( +tp3540 +a(g315 +V1 +tp3541 +a(g198 +V) +tp3542 +a(g198 +V% +tp3543 +a(g69 +Vbase_desc +p3544 +tp3545 +a(g198 +V, +tp3546 +a(g69 +Vinfo +p3547 +tp3548 +a(g198 +V) +tp3549 +a(g185 +V\u000a\u000a +p3550 +tp3551 +a(g107 +Vdo +p3552 +tp3553 +a(g69 +Vilev +p3554 +tp3555 +a(g340 +V= +tp3556 +a(g315 +V2 +tp3557 +a(g198 +V, +tp3558 +a(g185 +V +tp3559 +a(g69 +Vnlev +p3560 +tp3561 +a(g185 +V\u000a +p3562 +tp3563 +a(g69 +Vn_row +p3564 +tp3565 +a(g185 +V +tp3566 +a(g340 +V= +tp3567 +a(g185 +V +tp3568 +a(g69 +Vbaseprecv +p3569 +tp3570 +a(g198 +V( +tp3571 +a(g69 +Vilev +p3572 +tp3573 +a(g340 +V- +tp3574 +a(g315 +V1 +tp3575 +a(g198 +V) +tp3576 +a(g198 +V% +tp3577 +a(g69 +Vbase_desc +p3578 +tp3579 +a(g198 +V% +tp3580 +a(g69 +Vmatrix_data +p3581 +tp3582 +a(g198 +V( +tp3583 +a(g69 +Vpsb_n_row_ +p3584 +tp3585 +a(g198 +V) +tp3586 +a(g185 +V\u000a +p3587 +tp3588 +a(g69 +Vn_col +p3589 +tp3590 +a(g185 +V +tp3591 +a(g340 +V= +tp3592 +a(g185 +V +tp3593 +a(g69 +Vbaseprecv +p3594 +tp3595 +a(g198 +V( +tp3596 +a(g69 +Vilev +p3597 +tp3598 +a(g340 +V- +tp3599 +a(g315 +V1 +tp3600 +a(g198 +V) +tp3601 +a(g198 +V% +tp3602 +a(g69 +Vdesc_data +p3603 +tp3604 +a(g198 +V% +tp3605 +a(g69 +Vmatrix_data +p3606 +tp3607 +a(g198 +V( +tp3608 +a(g69 +Vpsb_n_col_ +p3609 +tp3610 +a(g198 +V) +tp3611 +a(g185 +V\u000a +p3612 +tp3613 +a(g69 +Vnr2l +p3614 +tp3615 +a(g185 +V +p3616 +tp3617 +a(g340 +V= +tp3618 +a(g185 +V +tp3619 +a(g69 +Vbaseprecv +p3620 +tp3621 +a(g198 +V( +tp3622 +a(g69 +Vilev +p3623 +tp3624 +a(g198 +V) +tp3625 +a(g198 +V% +tp3626 +a(g69 +Vdesc_data +p3627 +tp3628 +a(g198 +V% +tp3629 +a(g69 +Vmatrix_data +p3630 +tp3631 +a(g198 +V( +tp3632 +a(g69 +Vpsb_n_col_ +p3633 +tp3634 +a(g198 +V) +tp3635 +a(g185 +V\u000a +p3636 +tp3637 +a(g69 +Vnrg +p3638 +tp3639 +a(g185 +V +p3640 +tp3641 +a(g340 +V= +tp3642 +a(g185 +V +tp3643 +a(g69 +Vbaseprecv +p3644 +tp3645 +a(g198 +V( +tp3646 +a(g69 +Vilev +p3647 +tp3648 +a(g198 +V) +tp3649 +a(g198 +V% +tp3650 +a(g69 +Vdesc_data +p3651 +tp3652 +a(g198 +V% +tp3653 +a(g69 +Vmatrix_data +p3654 +tp3655 +a(g198 +V( +tp3656 +a(g69 +Vpsb_n_row_ +p3657 +tp3658 +a(g198 +V) +tp3659 +a(g185 +V\u000a +p3660 +tp3661 +a(g69 +Vismth +p3662 +tp3663 +a(g185 +V +tp3664 +a(g340 +V= +tp3665 +a(g185 +V +tp3666 +a(g69 +Vbaseprecv +p3667 +tp3668 +a(g198 +V( +tp3669 +a(g69 +Vilev +p3670 +tp3671 +a(g198 +V) +tp3672 +a(g198 +V% +tp3673 +a(g69 +Viprcparm +p3674 +tp3675 +a(g198 +V( +tp3676 +a(g69 +Vsmth_kind_ +p3677 +tp3678 +a(g198 +V) +tp3679 +a(g185 +V\u000a\u000a +p3680 +tp3681 +a(g107 +Vallocate +p3682 +tp3683 +a(g198 +V( +tp3684 +a(g69 +Vmlprec_wrk +p3685 +tp3686 +a(g198 +V( +tp3687 +a(g69 +Vilev +p3688 +tp3689 +a(g198 +V) +tp3690 +a(g198 +V% +tp3691 +a(g69 +Vtx +p3692 +tp3693 +a(g198 +V( +tp3694 +a(g69 +Vnr2l +p3695 +tp3696 +a(g198 +V) +tp3697 +a(g198 +V, +tp3698 +a(g69 +Vmlprec_wrk +p3699 +tp3700 +a(g198 +V( +tp3701 +a(g69 +Vilev +p3702 +tp3703 +a(g198 +V) +tp3704 +a(g198 +V% +tp3705 +a(g69 +Vy2l +p3706 +tp3707 +a(g198 +V( +tp3708 +a(g69 +Vnr2l +p3709 +tp3710 +a(g198 +V) +tp3711 +a(g198 +V, +tp3712 +a(g198 +V& +tp3713 +a(g185 +V\u000a +p3714 +tp3715 +a(g198 +V& +tp3716 +a(g185 +V +p3717 +tp3718 +a(g69 +Vmlprec_wrk +p3719 +tp3720 +a(g198 +V( +tp3721 +a(g69 +Vilev +p3722 +tp3723 +a(g198 +V) +tp3724 +a(g198 +V% +tp3725 +a(g69 +Vx2l +p3726 +tp3727 +a(g198 +V( +tp3728 +a(g69 +Vnr2l +p3729 +tp3730 +a(g198 +V) +tp3731 +a(g198 +V, +tp3732 +a(g185 +V +tp3733 +a(g53 +Vstat +p3734 +tp3735 +a(g340 +V= +tp3736 +a(g69 +Vinfo +p3737 +tp3738 +a(g198 +V) +tp3739 +a(g185 +V\u000a\u000a +p3740 +tp3741 +a(g107 +Vif +p3742 +tp3743 +a(g185 +V +tp3744 +a(g198 +V( +tp3745 +a(g69 +Vinfo +p3746 +tp3747 +a(g185 +V +tp3748 +a(g340 +V/ +tp3749 +a(g340 +V= +tp3750 +a(g185 +V +tp3751 +a(g315 +V0 +tp3752 +a(g198 +V) +tp3753 +a(g185 +V +tp3754 +a(g107 +Vthen\u000a +p3755 +tp3756 +a(g107 +Vcall +p3757 +tp3758 +a(g69 +Vpsb_errpush +p3759 +tp3760 +a(g198 +V( +tp3761 +a(g315 +V4010 +p3762 +tp3763 +a(g198 +V, +tp3764 +a(g69 +Vname +p3765 +tp3766 +a(g198 +V, +tp3767 +a(g69 +Va_err +p3768 +tp3769 +a(g340 +V= +tp3770 +a(g268 +V'Allocate' +p3771 +tp3772 +a(g198 +V) +tp3773 +a(g185 +V\u000a +p3774 +tp3775 +a(g107 +Vgoto +p3776 +tp3777 +a(g315 +V9999 +p3778 +tp3779 +a(g185 +V\u000a +p3780 +tp3781 +a(g107 +Vend +p3782 +tp3783 +a(g107 +Vif\u000a\u000a +p3784 +tp3785 +a(g69 +Vmlprec_wrk +p3786 +tp3787 +a(g198 +V( +tp3788 +a(g69 +Vilev +p3789 +tp3790 +a(g198 +V) +tp3791 +a(g198 +V% +tp3792 +a(g69 +Vx2l +p3793 +tp3794 +a(g198 +V( +tp3795 +a(g198 +V: +tp3796 +a(g198 +V) +tp3797 +a(g185 +V +tp3798 +a(g340 +V= +tp3799 +a(g185 +V +tp3800 +a(g69 +Vzzero +p3801 +tp3802 +a(g185 +V\u000a +p3803 +tp3804 +a(g69 +Vmlprec_wrk +p3805 +tp3806 +a(g198 +V( +tp3807 +a(g69 +Vilev +p3808 +tp3809 +a(g198 +V) +tp3810 +a(g198 +V% +tp3811 +a(g69 +Vy2l +p3812 +tp3813 +a(g198 +V( +tp3814 +a(g198 +V: +tp3815 +a(g198 +V) +tp3816 +a(g185 +V +tp3817 +a(g340 +V= +tp3818 +a(g185 +V +tp3819 +a(g69 +Vzzero +p3820 +tp3821 +a(g185 +V\u000a +p3822 +tp3823 +a(g69 +Vmlprec_wrk +p3824 +tp3825 +a(g198 +V( +tp3826 +a(g69 +Vilev +p3827 +tp3828 +a(g198 +V) +tp3829 +a(g198 +V% +tp3830 +a(g69 +Vtx +p3831 +tp3832 +a(g198 +V( +tp3833 +a(g198 +V: +tp3834 +a(g198 +V) +tp3835 +a(g185 +V +tp3836 +a(g340 +V= +tp3837 +a(g185 +V +tp3838 +a(g69 +Vzzero +p3839 +tp3840 +a(g185 +V\u000a +p3841 +tp3842 +a(g107 +Vif +p3843 +tp3844 +a(g185 +V +tp3845 +a(g198 +V( +tp3846 +a(g69 +Vismth +p3847 +tp3848 +a(g185 +V +p3849 +tp3850 +a(g340 +V/ +tp3851 +a(g340 +V= +tp3852 +a(g185 +V +tp3853 +a(g69 +Vno_smth_ +p3854 +tp3855 +a(g198 +V) +tp3856 +a(g185 +V +tp3857 +a(g107 +Vthen +p3858 +tp3859 +a(g185 +V\u000a +p3860 +tp3861 +a(g6 +V!\u000a +p3862 +tp3863 +a(g185 +V +p3864 +tp3865 +a(g6 +V! Smoothed aggregation\u000a +p3866 +tp3867 +a(g185 +V +p3868 +tp3869 +a(g6 +V!\u000a +p3870 +tp3871 +a(g185 +V +p3872 +tp3873 +a(g107 +Vif +p3874 +tp3875 +a(g185 +V +tp3876 +a(g198 +V( +tp3877 +a(g69 +Vbaseprecv +p3878 +tp3879 +a(g198 +V( +tp3880 +a(g69 +Vilev +p3881 +tp3882 +a(g198 +V) +tp3883 +a(g198 +V% +tp3884 +a(g69 +Viprcparm +p3885 +tp3886 +a(g198 +V( +tp3887 +a(g69 +Vglb_smth_ +p3888 +tp3889 +a(g198 +V) +tp3890 +a(g185 +V +tp3891 +a(g340 +V> +tp3892 +a(g315 +V0 +tp3893 +a(g198 +V) +tp3894 +a(g185 +V +tp3895 +a(g107 +Vthen\u000a +p3896 +tp3897 +a(g107 +Vcall +p3898 +tp3899 +a(g69 +Vpsb_halo +p3900 +tp3901 +a(g198 +V( +tp3902 +a(g69 +Vmlprec_wrk +p3903 +tp3904 +a(g198 +V( +tp3905 +a(g69 +Vilev +p3906 +tp3907 +a(g340 +V- +tp3908 +a(g315 +V1 +tp3909 +a(g198 +V) +tp3910 +a(g198 +V% +tp3911 +a(g69 +Vx2l +p3912 +tp3913 +a(g198 +V, +tp3914 +a(g198 +V& +tp3915 +a(g185 +V\u000a +p3916 +tp3917 +a(g198 +V& +tp3918 +a(g185 +V +p3919 +tp3920 +a(g69 +Vbaseprecv +p3921 +tp3922 +a(g198 +V( +tp3923 +a(g69 +Vilev +p3924 +tp3925 +a(g340 +V- +tp3926 +a(g315 +V1 +tp3927 +a(g198 +V) +tp3928 +a(g198 +V% +tp3929 +a(g69 +Vbase_desc +p3930 +tp3931 +a(g198 +V, +tp3932 +a(g69 +Vinfo +p3933 +tp3934 +a(g198 +V, +tp3935 +a(g69 +Vwork +p3936 +tp3937 +a(g340 +V= +tp3938 +a(g69 +Vwork +p3939 +tp3940 +a(g198 +V) +tp3941 +a(g185 +V\u000a +p3942 +tp3943 +a(g107 +Vif +p3944 +tp3945 +a(g198 +V( +tp3946 +a(g69 +Vinfo +p3947 +tp3948 +a(g185 +V +tp3949 +a(g340 +V/ +tp3950 +a(g340 +V= +tp3951 +a(g315 +V0 +tp3952 +a(g198 +V) +tp3953 +a(g185 +V +tp3954 +a(g107 +Vgoto +p3955 +tp3956 +a(g315 +V9999 +p3957 +tp3958 +a(g185 +V\u000a +p3959 +tp3960 +a(g107 +Velse\u000a +p3961 +tp3962 +a(g69 +Vmlprec_wrk +p3963 +tp3964 +a(g198 +V( +tp3965 +a(g69 +Vilev +p3966 +tp3967 +a(g340 +V- +tp3968 +a(g315 +V1 +tp3969 +a(g198 +V) +tp3970 +a(g198 +V% +tp3971 +a(g69 +Vx2l +p3972 +tp3973 +a(g198 +V( +tp3974 +a(g69 +Vn_row +p3975 +tp3976 +a(g340 +V+ +tp3977 +a(g315 +V1 +tp3978 +a(g198 +V: +tp3979 +a(g53 +Vmax +p3980 +tp3981 +a(g198 +V( +tp3982 +a(g69 +Vn_row +p3983 +tp3984 +a(g198 +V, +tp3985 +a(g69 +Vn_col +p3986 +tp3987 +a(g198 +V) +tp3988 +a(g198 +V) +tp3989 +a(g185 +V +tp3990 +a(g340 +V= +tp3991 +a(g185 +V +tp3992 +a(g69 +Vzzero +p3993 +tp3994 +a(g185 +V\u000a +p3995 +tp3996 +a(g107 +Vend +p3997 +tp3998 +a(g107 +Vif\u000a\u000a +p3999 +tp4000 +a(g107 +Vcall +p4001 +tp4002 +a(g69 +Vpsb_csmm +p4003 +tp4004 +a(g198 +V( +tp4005 +a(g69 +Vzone +p4006 +tp4007 +a(g198 +V, +tp4008 +a(g69 +Vbaseprecv +p4009 +tp4010 +a(g198 +V( +tp4011 +a(g69 +Vilev +p4012 +tp4013 +a(g198 +V) +tp4014 +a(g198 +V% +tp4015 +a(g69 +Vav +p4016 +tp4017 +a(g198 +V( +tp4018 +a(g69 +Vsm_pr_t_ +p4019 +tp4020 +a(g198 +V) +tp4021 +a(g198 +V, +tp4022 +a(g69 +Vmlprec_wrk +p4023 +tp4024 +a(g198 +V( +tp4025 +a(g69 +Vilev +p4026 +tp4027 +a(g340 +V- +tp4028 +a(g315 +V1 +tp4029 +a(g198 +V) +tp4030 +a(g198 +V% +tp4031 +a(g69 +Vx2l +p4032 +tp4033 +a(g198 +V, +tp4034 +a(g185 +V +tp4035 +a(g198 +V& +tp4036 +a(g185 +V\u000a +p4037 +tp4038 +a(g198 +V& +tp4039 +a(g185 +V +tp4040 +a(g69 +Vzzero +p4041 +tp4042 +a(g198 +V, +tp4043 +a(g69 +Vmlprec_wrk +p4044 +tp4045 +a(g198 +V( +tp4046 +a(g69 +Vilev +p4047 +tp4048 +a(g198 +V) +tp4049 +a(g198 +V% +tp4050 +a(g69 +Vx2l +p4051 +tp4052 +a(g198 +V, +tp4053 +a(g69 +Vinfo +p4054 +tp4055 +a(g198 +V) +tp4056 +a(g185 +V\u000a +p4057 +tp4058 +a(g107 +Vif +p4059 +tp4060 +a(g198 +V( +tp4061 +a(g69 +Vinfo +p4062 +tp4063 +a(g185 +V +tp4064 +a(g340 +V/ +tp4065 +a(g340 +V= +tp4066 +a(g315 +V0 +tp4067 +a(g198 +V) +tp4068 +a(g185 +V +tp4069 +a(g107 +Vgoto +p4070 +tp4071 +a(g315 +V9999 +p4072 +tp4073 +a(g185 +V\u000a\u000a +p4074 +tp4075 +a(g107 +Velse +p4076 +tp4077 +a(g185 +V\u000a +p4078 +tp4079 +a(g6 +V!\u000a +p4080 +tp4081 +a(g185 +V +p4082 +tp4083 +a(g6 +V! Raw aggregation, may take shortcut\u000a +p4084 +tp4085 +a(g185 +V +p4086 +tp4087 +a(g6 +V!\u000a +p4088 +tp4089 +a(g185 +V +p4090 +tp4091 +a(g107 +Vdo +p4092 +tp4093 +a(g69 +Vi +tp4094 +a(g340 +V= +tp4095 +a(g315 +V1 +tp4096 +a(g198 +V, +tp4097 +a(g69 +Vn_row +p4098 +tp4099 +a(g185 +V\u000a +p4100 +tp4101 +a(g69 +Vmlprec_wrk +p4102 +tp4103 +a(g198 +V( +tp4104 +a(g69 +Vilev +p4105 +tp4106 +a(g198 +V) +tp4107 +a(g198 +V% +tp4108 +a(g69 +Vx2l +p4109 +tp4110 +a(g198 +V( +tp4111 +a(g69 +Vbaseprecv +p4112 +tp4113 +a(g198 +V( +tp4114 +a(g69 +Vilev +p4115 +tp4116 +a(g198 +V) +tp4117 +a(g198 +V% +tp4118 +a(g69 +Vmlia +p4119 +tp4120 +a(g198 +V( +tp4121 +a(g69 +Vi +tp4122 +a(g198 +V) +tp4123 +a(g198 +V) +tp4124 +a(g185 +V +tp4125 +a(g340 +V= +tp4126 +a(g185 +V +tp4127 +a(g198 +V& +tp4128 +a(g185 +V\u000a +p4129 +tp4130 +a(g198 +V& +tp4131 +a(g185 +V +tp4132 +a(g69 +Vmlprec_wrk +p4133 +tp4134 +a(g198 +V( +tp4135 +a(g69 +Vilev +p4136 +tp4137 +a(g198 +V) +tp4138 +a(g198 +V% +tp4139 +a(g69 +Vx2l +p4140 +tp4141 +a(g198 +V( +tp4142 +a(g69 +Vbaseprecv +p4143 +tp4144 +a(g198 +V( +tp4145 +a(g69 +Vilev +p4146 +tp4147 +a(g198 +V) +tp4148 +a(g198 +V% +tp4149 +a(g69 +Vmlia +p4150 +tp4151 +a(g198 +V( +tp4152 +a(g69 +Vi +tp4153 +a(g198 +V) +tp4154 +a(g198 +V) +tp4155 +a(g185 +V +tp4156 +a(g340 +V+ +tp4157 +a(g185 +V +tp4158 +a(g198 +V& +tp4159 +a(g185 +V\u000a +p4160 +tp4161 +a(g198 +V& +tp4162 +a(g185 +V +tp4163 +a(g69 +Vmlprec_wrk +p4164 +tp4165 +a(g198 +V( +tp4166 +a(g69 +Vilev +p4167 +tp4168 +a(g340 +V- +tp4169 +a(g315 +V1 +tp4170 +a(g198 +V) +tp4171 +a(g198 +V% +tp4172 +a(g69 +Vx2l +p4173 +tp4174 +a(g198 +V( +tp4175 +a(g69 +Vi +tp4176 +a(g198 +V) +tp4177 +a(g185 +V\u000a +p4178 +tp4179 +a(g107 +Vend +p4180 +tp4181 +a(g107 +Vdo\u000a +p4182 +tp4183 +a(g107 +Vend +p4184 +tp4185 +a(g107 +Vif\u000a\u000a +p4186 +tp4187 +a(g107 +Vif +p4188 +tp4189 +a(g185 +V +tp4190 +a(g198 +V( +tp4191 +a(g69 +Vbaseprecv +p4192 +tp4193 +a(g198 +V( +tp4194 +a(g69 +Vilev +p4195 +tp4196 +a(g198 +V) +tp4197 +a(g198 +V% +tp4198 +a(g69 +Viprcparm +p4199 +tp4200 +a(g198 +V( +tp4201 +a(g69 +Vcoarse_mat_ +p4202 +tp4203 +a(g198 +V) +tp4204 +a(g340 +V== +p4205 +tp4206 +a(g69 +Vmat_repl_ +p4207 +tp4208 +a(g198 +V) +tp4209 +a(g185 +V +tp4210 +a(g107 +VThen\u000a +p4211 +tp4212 +a(g107 +Vcall +p4213 +tp4214 +a(g69 +Vpsb_sum +p4215 +tp4216 +a(g198 +V( +tp4217 +a(g69 +Victxt +p4218 +tp4219 +a(g198 +V, +tp4220 +a(g69 +Vmlprec_wrk +p4221 +tp4222 +a(g198 +V( +tp4223 +a(g69 +Vilev +p4224 +tp4225 +a(g198 +V) +tp4226 +a(g198 +V% +tp4227 +a(g69 +Vx2l +p4228 +tp4229 +a(g198 +V( +tp4230 +a(g315 +V1 +tp4231 +a(g198 +V: +tp4232 +a(g69 +Vnrg +p4233 +tp4234 +a(g198 +V) +tp4235 +a(g198 +V) +tp4236 +a(g185 +V\u000a +p4237 +tp4238 +a(g107 +Velse +p4239 +tp4240 +a(g107 +Vif +p4241 +tp4242 +a(g185 +V +tp4243 +a(g198 +V( +tp4244 +a(g69 +Vbaseprecv +p4245 +tp4246 +a(g198 +V( +tp4247 +a(g69 +Vilev +p4248 +tp4249 +a(g198 +V) +tp4250 +a(g198 +V% +tp4251 +a(g69 +Viprcparm +p4252 +tp4253 +a(g198 +V( +tp4254 +a(g69 +Vcoarse_mat_ +p4255 +tp4256 +a(g198 +V) +tp4257 +a(g185 +V +tp4258 +a(g340 +V/ +tp4259 +a(g340 +V= +tp4260 +a(g185 +V +tp4261 +a(g69 +Vmat_distr_ +p4262 +tp4263 +a(g198 +V) +tp4264 +a(g185 +V +tp4265 +a(g107 +VThen\u000a +p4266 +tp4267 +a(g107 +Vwrite +p4268 +tp4269 +a(g198 +V( +tp4270 +a(g315 +V0 +tp4271 +a(g198 +V, +tp4272 +a(g340 +V* +tp4273 +a(g198 +V) +tp4274 +a(g185 +V +tp4275 +a(g268 +V'Unknown value for baseprecv(2)%iprcparm(coarse_mat_) ' +p4276 +tp4277 +a(g198 +V, +tp4278 +a(g198 +V& +tp4279 +a(g185 +V\u000a +p4280 +tp4281 +a(g198 +V& +tp4282 +a(g185 +V +tp4283 +a(g69 +Vbaseprecv +p4284 +tp4285 +a(g198 +V( +tp4286 +a(g69 +Vilev +p4287 +tp4288 +a(g198 +V) +tp4289 +a(g198 +V% +tp4290 +a(g69 +Viprcparm +p4291 +tp4292 +a(g198 +V( +tp4293 +a(g69 +Vcoarse_mat_ +p4294 +tp4295 +a(g198 +V) +tp4296 +a(g185 +V\u000a +p4297 +tp4298 +a(g107 +Vendif\u000a +p4299 +tp4300 +a(g107 +Vcall +p4301 +tp4302 +a(g69 +Vpsb_geaxpby +p4303 +tp4304 +a(g198 +V( +tp4305 +a(g69 +Vzone +p4306 +tp4307 +a(g198 +V, +tp4308 +a(g69 +Vmlprec_wrk +p4309 +tp4310 +a(g198 +V( +tp4311 +a(g69 +Vilev +p4312 +tp4313 +a(g198 +V) +tp4314 +a(g198 +V% +tp4315 +a(g69 +Vx2l +p4316 +tp4317 +a(g198 +V, +tp4318 +a(g69 +Vzzero +p4319 +tp4320 +a(g198 +V, +tp4321 +a(g69 +Vmlprec_wrk +p4322 +tp4323 +a(g198 +V( +tp4324 +a(g69 +Vilev +p4325 +tp4326 +a(g198 +V) +tp4327 +a(g198 +V% +tp4328 +a(g69 +Vtx +p4329 +tp4330 +a(g198 +V, +tp4331 +a(g198 +V& +tp4332 +a(g185 +V\u000a +p4333 +tp4334 +a(g198 +V& +tp4335 +a(g185 +V +tp4336 +a(g69 +Vbaseprecv +p4337 +tp4338 +a(g198 +V( +tp4339 +a(g69 +Vilev +p4340 +tp4341 +a(g198 +V) +tp4342 +a(g198 +V% +tp4343 +a(g69 +Vbase_desc +p4344 +tp4345 +a(g198 +V, +tp4346 +a(g69 +Vinfo +p4347 +tp4348 +a(g198 +V) +tp4349 +a(g185 +V\u000a +p4350 +tp4351 +a(g107 +Vif +p4352 +tp4353 +a(g198 +V( +tp4354 +a(g69 +Vinfo +p4355 +tp4356 +a(g185 +V +tp4357 +a(g340 +V/ +tp4358 +a(g340 +V= +tp4359 +a(g315 +V0 +tp4360 +a(g198 +V) +tp4361 +a(g185 +V +tp4362 +a(g107 +Vgoto +p4363 +tp4364 +a(g315 +V9999 +p4365 +tp4366 +a(g185 +V\u000a\u000a +p4367 +tp4368 +a(g69 +Venddo +p4369 +tp4370 +a(g185 +V\u000a\u000a\u000a +p4371 +tp4372 +a(g107 +Vcall +p4373 +tp4374 +a(g69 +Vpsb_baseprc_aply +p4375 +tp4376 +a(g198 +V( +tp4377 +a(g69 +Vzone +p4378 +tp4379 +a(g198 +V, +tp4380 +a(g69 +Vbaseprecv +p4381 +tp4382 +a(g198 +V( +tp4383 +a(g69 +Vnlev +p4384 +tp4385 +a(g198 +V) +tp4386 +a(g198 +V, +tp4387 +a(g69 +Vmlprec_wrk +p4388 +tp4389 +a(g198 +V( +tp4390 +a(g69 +Vnlev +p4391 +tp4392 +a(g198 +V) +tp4393 +a(g198 +V% +tp4394 +a(g69 +Vx2l +p4395 +tp4396 +a(g198 +V, +tp4397 +a(g185 +V +tp4398 +a(g198 +V& +tp4399 +a(g185 +V\u000a +p4400 +tp4401 +a(g198 +V& +tp4402 +a(g185 +V +tp4403 +a(g69 +Vzzero +p4404 +tp4405 +a(g198 +V, +tp4406 +a(g185 +V +tp4407 +a(g69 +Vmlprec_wrk +p4408 +tp4409 +a(g198 +V( +tp4410 +a(g69 +Vnlev +p4411 +tp4412 +a(g198 +V) +tp4413 +a(g198 +V% +tp4414 +a(g69 +Vy2l +p4415 +tp4416 +a(g198 +V, +tp4417 +a(g69 +Vbaseprecv +p4418 +tp4419 +a(g198 +V( +tp4420 +a(g69 +Vnlev +p4421 +tp4422 +a(g198 +V) +tp4423 +a(g198 +V% +tp4424 +a(g69 +Vdesc_data +p4425 +tp4426 +a(g198 +V, +tp4427 +a(g268 +V'N' +p4428 +tp4429 +a(g198 +V, +tp4430 +a(g69 +Vwork +p4431 +tp4432 +a(g198 +V, +tp4433 +a(g69 +Vinfo +p4434 +tp4435 +a(g198 +V) +tp4436 +a(g185 +V\u000a\u000a +p4437 +tp4438 +a(g107 +Vif +p4439 +tp4440 +a(g198 +V( +tp4441 +a(g69 +Vinfo +p4442 +tp4443 +a(g185 +V +tp4444 +a(g340 +V/ +tp4445 +a(g340 +V= +tp4446 +a(g315 +V0 +tp4447 +a(g198 +V) +tp4448 +a(g185 +V +tp4449 +a(g107 +Vgoto +p4450 +tp4451 +a(g315 +V9999 +p4452 +tp4453 +a(g185 +V\u000a\u000a\u000a +p4454 +tp4455 +a(g107 +Vdo +p4456 +tp4457 +a(g69 +Vilev +p4458 +tp4459 +a(g340 +V= +tp4460 +a(g69 +Vnlev +p4461 +tp4462 +a(g340 +V- +tp4463 +a(g315 +V1 +tp4464 +a(g198 +V, +tp4465 +a(g185 +V +tp4466 +a(g315 +V1 +tp4467 +a(g198 +V, +tp4468 +a(g185 +V +tp4469 +a(g340 +V- +tp4470 +a(g315 +V1 +tp4471 +a(g185 +V\u000a +p4472 +tp4473 +a(g69 +Vismth +p4474 +tp4475 +a(g185 +V +tp4476 +a(g340 +V= +tp4477 +a(g185 +V +tp4478 +a(g69 +Vbaseprecv +p4479 +tp4480 +a(g198 +V( +tp4481 +a(g69 +Vilev +p4482 +tp4483 +a(g340 +V+ +tp4484 +a(g315 +V1 +tp4485 +a(g198 +V) +tp4486 +a(g198 +V% +tp4487 +a(g69 +Viprcparm +p4488 +tp4489 +a(g198 +V( +tp4490 +a(g69 +Vsmth_kind_ +p4491 +tp4492 +a(g198 +V) +tp4493 +a(g185 +V\u000a +p4494 +tp4495 +a(g107 +Vif +p4496 +tp4497 +a(g185 +V +tp4498 +a(g198 +V( +tp4499 +a(g69 +Vismth +p4500 +tp4501 +a(g185 +V +p4502 +tp4503 +a(g340 +V/ +tp4504 +a(g340 +V= +tp4505 +a(g185 +V +tp4506 +a(g69 +Vno_smth_ +p4507 +tp4508 +a(g198 +V) +tp4509 +a(g185 +V +tp4510 +a(g107 +Vthen\u000a +p4511 +tp4512 +a(g107 +Vif +p4513 +tp4514 +a(g185 +V +tp4515 +a(g198 +V( +tp4516 +a(g69 +Vismth +p4517 +tp4518 +a(g185 +V +tp4519 +a(g340 +V== +p4520 +tp4521 +a(g185 +V +tp4522 +a(g69 +Vsmth_omg_ +p4523 +tp4524 +a(g198 +V) +tp4525 +a(g185 +V +tp4526 +a(g198 +V& +tp4527 +a(g185 +V\u000a +p4528 +tp4529 +a(g198 +V& +tp4530 +a(g185 +V +tp4531 +a(g107 +Vcall +p4532 +tp4533 +a(g69 +Vpsb_halo +p4534 +tp4535 +a(g198 +V( +tp4536 +a(g69 +Vmlprec_wrk +p4537 +tp4538 +a(g198 +V( +tp4539 +a(g69 +Vilev +p4540 +tp4541 +a(g340 +V+ +tp4542 +a(g315 +V1 +tp4543 +a(g198 +V) +tp4544 +a(g198 +V% +tp4545 +a(g69 +Vy2l +p4546 +tp4547 +a(g198 +V, +tp4548 +a(g69 +Vbaseprecv +p4549 +tp4550 +a(g198 +V( +tp4551 +a(g69 +Vilev +p4552 +tp4553 +a(g340 +V+ +tp4554 +a(g315 +V1 +tp4555 +a(g198 +V) +tp4556 +a(g198 +V% +tp4557 +a(g69 +Vdesc_data +p4558 +tp4559 +a(g198 +V, +tp4560 +a(g198 +V& +tp4561 +a(g185 +V\u000a +p4562 +tp4563 +a(g198 +V& +tp4564 +a(g185 +V +p4565 +tp4566 +a(g69 +Vinfo +p4567 +tp4568 +a(g198 +V, +tp4569 +a(g69 +Vwork +p4570 +tp4571 +a(g340 +V= +tp4572 +a(g69 +Vwork +p4573 +tp4574 +a(g198 +V) +tp4575 +a(g185 +V\u000a +p4576 +tp4577 +a(g107 +Vcall +p4578 +tp4579 +a(g69 +Vpsb_csmm +p4580 +tp4581 +a(g198 +V( +tp4582 +a(g69 +Vzone +p4583 +tp4584 +a(g198 +V, +tp4585 +a(g69 +Vbaseprecv +p4586 +tp4587 +a(g198 +V( +tp4588 +a(g69 +Vilev +p4589 +tp4590 +a(g340 +V+ +tp4591 +a(g315 +V1 +tp4592 +a(g198 +V) +tp4593 +a(g198 +V% +tp4594 +a(g69 +Vav +p4595 +tp4596 +a(g198 +V( +tp4597 +a(g69 +Vsm_pr_ +p4598 +tp4599 +a(g198 +V) +tp4600 +a(g198 +V, +tp4601 +a(g69 +Vmlprec_wrk +p4602 +tp4603 +a(g198 +V( +tp4604 +a(g69 +Vilev +p4605 +tp4606 +a(g340 +V+ +tp4607 +a(g315 +V1 +tp4608 +a(g198 +V) +tp4609 +a(g198 +V% +tp4610 +a(g69 +Vy2l +p4611 +tp4612 +a(g198 +V, +tp4613 +a(g198 +V& +tp4614 +a(g185 +V\u000a +p4615 +tp4616 +a(g198 +V& +tp4617 +a(g185 +V +p4618 +tp4619 +a(g69 +Vzzero +p4620 +tp4621 +a(g198 +V, +tp4622 +a(g69 +Vmlprec_wrk +p4623 +tp4624 +a(g198 +V( +tp4625 +a(g69 +Vilev +p4626 +tp4627 +a(g198 +V) +tp4628 +a(g198 +V% +tp4629 +a(g69 +Vy2l +p4630 +tp4631 +a(g198 +V, +tp4632 +a(g69 +Vinfo +p4633 +tp4634 +a(g198 +V) +tp4635 +a(g185 +V\u000a +p4636 +tp4637 +a(g107 +Vif +p4638 +tp4639 +a(g198 +V( +tp4640 +a(g69 +Vinfo +p4641 +tp4642 +a(g185 +V +tp4643 +a(g340 +V/ +tp4644 +a(g340 +V= +tp4645 +a(g315 +V0 +tp4646 +a(g198 +V) +tp4647 +a(g185 +V +tp4648 +a(g107 +Vgoto +p4649 +tp4650 +a(g315 +V9999 +p4651 +tp4652 +a(g185 +V\u000a\u000a +p4653 +tp4654 +a(g107 +Velse\u000a +p4655 +tp4656 +a(g69 +Vn_row +p4657 +tp4658 +a(g185 +V +tp4659 +a(g340 +V= +tp4660 +a(g185 +V +tp4661 +a(g69 +Vbaseprecv +p4662 +tp4663 +a(g198 +V( +tp4664 +a(g69 +Vilev +p4665 +tp4666 +a(g198 +V) +tp4667 +a(g198 +V% +tp4668 +a(g69 +Vbase_desc +p4669 +tp4670 +a(g198 +V% +tp4671 +a(g69 +Vmatrix_data +p4672 +tp4673 +a(g198 +V( +tp4674 +a(g69 +Vpsb_n_row_ +p4675 +tp4676 +a(g198 +V) +tp4677 +a(g185 +V\u000a +p4678 +tp4679 +a(g69 +Vmlprec_wrk +p4680 +tp4681 +a(g198 +V( +tp4682 +a(g69 +Vilev +p4683 +tp4684 +a(g198 +V) +tp4685 +a(g198 +V% +tp4686 +a(g69 +Vy2l +p4687 +tp4688 +a(g198 +V( +tp4689 +a(g198 +V: +tp4690 +a(g198 +V) +tp4691 +a(g185 +V +tp4692 +a(g340 +V= +tp4693 +a(g185 +V +tp4694 +a(g69 +Vzzero +p4695 +tp4696 +a(g185 +V\u000a +p4697 +tp4698 +a(g107 +Vdo +p4699 +tp4700 +a(g69 +Vi +tp4701 +a(g340 +V= +tp4702 +a(g315 +V1 +tp4703 +a(g198 +V, +tp4704 +a(g185 +V +tp4705 +a(g69 +Vn_row +p4706 +tp4707 +a(g185 +V\u000a +p4708 +tp4709 +a(g69 +Vmlprec_wrk +p4710 +tp4711 +a(g198 +V( +tp4712 +a(g69 +Vilev +p4713 +tp4714 +a(g198 +V) +tp4715 +a(g198 +V% +tp4716 +a(g69 +Vy2l +p4717 +tp4718 +a(g198 +V( +tp4719 +a(g69 +Vi +tp4720 +a(g198 +V) +tp4721 +a(g185 +V +tp4722 +a(g340 +V= +tp4723 +a(g185 +V +tp4724 +a(g69 +Vmlprec_wrk +p4725 +tp4726 +a(g198 +V( +tp4727 +a(g69 +Vilev +p4728 +tp4729 +a(g198 +V) +tp4730 +a(g198 +V% +tp4731 +a(g69 +Vy2l +p4732 +tp4733 +a(g198 +V( +tp4734 +a(g69 +Vi +tp4735 +a(g198 +V) +tp4736 +a(g185 +V +tp4737 +a(g340 +V+ +tp4738 +a(g185 +V +tp4739 +a(g198 +V& +tp4740 +a(g185 +V\u000a +p4741 +tp4742 +a(g198 +V& +tp4743 +a(g185 +V +tp4744 +a(g69 +Vmlprec_wrk +p4745 +tp4746 +a(g198 +V( +tp4747 +a(g69 +Vilev +p4748 +tp4749 +a(g340 +V+ +tp4750 +a(g315 +V1 +tp4751 +a(g198 +V) +tp4752 +a(g198 +V% +tp4753 +a(g69 +Vy2l +p4754 +tp4755 +a(g198 +V( +tp4756 +a(g69 +Vbaseprecv +p4757 +tp4758 +a(g198 +V( +tp4759 +a(g69 +Vilev +p4760 +tp4761 +a(g340 +V+ +tp4762 +a(g315 +V1 +tp4763 +a(g198 +V) +tp4764 +a(g198 +V% +tp4765 +a(g69 +Vmlia +p4766 +tp4767 +a(g198 +V( +tp4768 +a(g69 +Vi +tp4769 +a(g198 +V) +tp4770 +a(g198 +V) +tp4771 +a(g185 +V\u000a +p4772 +tp4773 +a(g69 +Venddo +p4774 +tp4775 +a(g185 +V\u000a\u000a +p4776 +tp4777 +a(g107 +Vend +p4778 +tp4779 +a(g107 +Vif\u000a\u000a +p4780 +tp4781 +a(g107 +Vcall +p4782 +tp4783 +a(g69 +Vpsb_spmm +p4784 +tp4785 +a(g198 +V( +tp4786 +a(g340 +V- +tp4787 +a(g69 +Vzone +p4788 +tp4789 +a(g198 +V, +tp4790 +a(g69 +Vbaseprecv +p4791 +tp4792 +a(g198 +V( +tp4793 +a(g69 +Vilev +p4794 +tp4795 +a(g198 +V) +tp4796 +a(g198 +V% +tp4797 +a(g69 +Vbase_a +p4798 +tp4799 +a(g198 +V, +tp4800 +a(g69 +Vmlprec_wrk +p4801 +tp4802 +a(g198 +V( +tp4803 +a(g69 +Vilev +p4804 +tp4805 +a(g198 +V) +tp4806 +a(g198 +V% +tp4807 +a(g69 +Vy2l +p4808 +tp4809 +a(g198 +V, +tp4810 +a(g198 +V& +tp4811 +a(g185 +V\u000a +p4812 +tp4813 +a(g198 +V& +tp4814 +a(g185 +V +p4815 +tp4816 +a(g69 +Vzone +p4817 +tp4818 +a(g198 +V, +tp4819 +a(g69 +Vmlprec_wrk +p4820 +tp4821 +a(g198 +V( +tp4822 +a(g69 +Vilev +p4823 +tp4824 +a(g198 +V) +tp4825 +a(g198 +V% +tp4826 +a(g69 +Vtx +p4827 +tp4828 +a(g198 +V, +tp4829 +a(g69 +Vbaseprecv +p4830 +tp4831 +a(g198 +V( +tp4832 +a(g69 +Vilev +p4833 +tp4834 +a(g198 +V) +tp4835 +a(g198 +V% +tp4836 +a(g69 +Vbase_desc +p4837 +tp4838 +a(g198 +V, +tp4839 +a(g69 +Vinfo +p4840 +tp4841 +a(g198 +V, +tp4842 +a(g69 +Vwork +p4843 +tp4844 +a(g340 +V= +tp4845 +a(g69 +Vwork +p4846 +tp4847 +a(g198 +V) +tp4848 +a(g185 +V\u000a\u000a +p4849 +tp4850 +a(g107 +Vif +p4851 +tp4852 +a(g198 +V( +tp4853 +a(g69 +Vinfo +p4854 +tp4855 +a(g185 +V +tp4856 +a(g340 +V/ +tp4857 +a(g340 +V= +tp4858 +a(g315 +V0 +tp4859 +a(g198 +V) +tp4860 +a(g185 +V +tp4861 +a(g107 +Vgoto +p4862 +tp4863 +a(g315 +V9999 +p4864 +tp4865 +a(g185 +V\u000a\u000a +p4866 +tp4867 +a(g107 +Vcall +p4868 +tp4869 +a(g69 +Vpsb_baseprc_aply +p4870 +tp4871 +a(g198 +V( +tp4872 +a(g69 +Vzone +p4873 +tp4874 +a(g198 +V, +tp4875 +a(g69 +Vbaseprecv +p4876 +tp4877 +a(g198 +V( +tp4878 +a(g69 +Vilev +p4879 +tp4880 +a(g198 +V) +tp4881 +a(g198 +V, +tp4882 +a(g69 +Vmlprec_wrk +p4883 +tp4884 +a(g198 +V( +tp4885 +a(g69 +Vilev +p4886 +tp4887 +a(g198 +V) +tp4888 +a(g198 +V% +tp4889 +a(g69 +Vtx +p4890 +tp4891 +a(g198 +V, +tp4892 +a(g198 +V& +tp4893 +a(g185 +V\u000a +p4894 +tp4895 +a(g198 +V& +tp4896 +a(g185 +V +tp4897 +a(g69 +Vzone +p4898 +tp4899 +a(g198 +V, +tp4900 +a(g69 +Vmlprec_wrk +p4901 +tp4902 +a(g198 +V( +tp4903 +a(g69 +Vilev +p4904 +tp4905 +a(g198 +V) +tp4906 +a(g198 +V% +tp4907 +a(g69 +Vy2l +p4908 +tp4909 +a(g198 +V, +tp4910 +a(g69 +Vbaseprecv +p4911 +tp4912 +a(g198 +V( +tp4913 +a(g69 +Vilev +p4914 +tp4915 +a(g198 +V) +tp4916 +a(g198 +V% +tp4917 +a(g69 +Vbase_desc +p4918 +tp4919 +a(g198 +V, +tp4920 +a(g185 +V +tp4921 +a(g69 +Vtrans +p4922 +tp4923 +a(g198 +V, +tp4924 +a(g185 +V +tp4925 +a(g69 +Vwork +p4926 +tp4927 +a(g198 +V, +tp4928 +a(g69 +Vinfo +p4929 +tp4930 +a(g198 +V) +tp4931 +a(g185 +V\u000a\u000a +p4932 +tp4933 +a(g107 +Vif +p4934 +tp4935 +a(g198 +V( +tp4936 +a(g69 +Vinfo +p4937 +tp4938 +a(g185 +V +tp4939 +a(g340 +V/ +tp4940 +a(g340 +V= +tp4941 +a(g315 +V0 +tp4942 +a(g198 +V) +tp4943 +a(g185 +V +tp4944 +a(g107 +Vgoto +p4945 +tp4946 +a(g315 +V9999 +p4947 +tp4948 +a(g185 +V\u000a\u000a +p4949 +tp4950 +a(g69 +Venddo +p4951 +tp4952 +a(g185 +V\u000a\u000a +p4953 +tp4954 +a(g107 +Vcall +p4955 +tp4956 +a(g69 +Vpsb_geaxpby +p4957 +tp4958 +a(g198 +V( +tp4959 +a(g69 +Valpha +p4960 +tp4961 +a(g198 +V, +tp4962 +a(g69 +Vmlprec_wrk +p4963 +tp4964 +a(g198 +V( +tp4965 +a(g315 +V1 +tp4966 +a(g198 +V) +tp4967 +a(g198 +V% +tp4968 +a(g69 +Vy2l +p4969 +tp4970 +a(g198 +V, +tp4971 +a(g69 +Vbeta +p4972 +tp4973 +a(g198 +V, +tp4974 +a(g69 +Vy +tp4975 +a(g198 +V, +tp4976 +a(g69 +Vbaseprecv +p4977 +tp4978 +a(g198 +V( +tp4979 +a(g315 +V1 +tp4980 +a(g198 +V) +tp4981 +a(g198 +V% +tp4982 +a(g69 +Vbase_desc +p4983 +tp4984 +a(g198 +V, +tp4985 +a(g69 +Vinfo +p4986 +tp4987 +a(g198 +V) +tp4988 +a(g185 +V\u000a\u000a +p4989 +tp4990 +a(g107 +Vif +p4991 +tp4992 +a(g198 +V( +tp4993 +a(g69 +Vinfo +p4994 +tp4995 +a(g185 +V +tp4996 +a(g340 +V/ +tp4997 +a(g340 +V= +tp4998 +a(g315 +V0 +tp4999 +a(g198 +V) +tp5000 +a(g185 +V +tp5001 +a(g107 +Vgoto +p5002 +tp5003 +a(g315 +V9999 +p5004 +tp5005 +a(g185 +V\u000a\u000a\u000a +p5006 +tp5007 +a(g107 +Vcase +p5008 +tp5009 +a(g198 +V( +tp5010 +a(g69 +Vpre_smooth_ +p5011 +tp5012 +a(g198 +V) +tp5013 +a(g185 +V\u000a\u000a\u000a +p5014 +tp5015 +a(g6 +V!\u000a +p5016 +tp5017 +a(g185 +V +p5018 +tp5019 +a(g6 +V! Pre smoothing.\u000a +p5020 +tp5021 +a(g185 +V +p5022 +tp5023 +a(g6 +V! 1. X(1) = Xext\u000a +p5024 +tp5025 +a(g185 +V +p5026 +tp5027 +a(g6 +V! 2. Y(1) = (K(1)**(-1))*X(1)\u000a +p5028 +tp5029 +a(g185 +V +p5030 +tp5031 +a(g6 +V! 3. TX(1) = X(1) - A(1)*Y(1)\u000a +p5032 +tp5033 +a(g185 +V +p5034 +tp5035 +a(g6 +V! 4. DO ILEV=2, NLEV\u000a +p5036 +tp5037 +a(g185 +V +p5038 +tp5039 +a(g6 +V! X(ILEV) = AV(PR_SM_T_,ILEV)*TX(ILEV-1)\u000a +p5040 +tp5041 +a(g185 +V +p5042 +tp5043 +a(g6 +V! Y(ILEV) = (K(ILEV)**(-1))*X(ILEV)\u000a +p5044 +tp5045 +a(g185 +V +p5046 +tp5047 +a(g6 +V! TX(ILEV) = (X(ILEV)-A(ILEV)*Y(ILEV))\u000a +p5048 +tp5049 +a(g185 +V +p5050 +tp5051 +a(g6 +V! 5. DO ILEV=NLEV-1,1,-1\u000a +p5052 +tp5053 +a(g185 +V +p5054 +tp5055 +a(g6 +V! Y(ILEV) = Y(ILEV) + AV(PR_SM_,ILEV+1)*Y(ILEV+1)\u000a +p5056 +tp5057 +a(g185 +V +p5058 +tp5059 +a(g6 +V! 6. Yext = beta*Yext + Y(1)\u000a +p5060 +tp5061 +a(g185 +V +p5062 +tp5063 +a(g6 +V!\u000a +p5064 +tp5065 +a(g185 +V +p5066 +tp5067 +a(g6 +V! Note: level numbering reversed wrt ref. DD, i.e.\u000a +p5068 +tp5069 +a(g185 +V +p5070 +tp5071 +a(g6 +V! 1..NLEV <=> (j) <-> 0\u000a +p5072 +tp5073 +a(g185 +V +p5074 +tp5075 +a(g6 +V!\u000a +p5076 +tp5077 +a(g185 +V +p5078 +tp5079 +a(g6 +V!\u000a +p5080 +tp5081 +a(g185 +V\u000a +p5082 +tp5083 +a(g69 +Vn_col +p5084 +tp5085 +a(g185 +V +tp5086 +a(g340 +V= +tp5087 +a(g185 +V +tp5088 +a(g69 +Vdesc_data +p5089 +tp5090 +a(g198 +V% +tp5091 +a(g69 +Vmatrix_data +p5092 +tp5093 +a(g198 +V( +tp5094 +a(g69 +Vpsb_n_col_ +p5095 +tp5096 +a(g198 +V) +tp5097 +a(g185 +V\u000a +p5098 +tp5099 +a(g69 +Vnr2l +p5100 +tp5101 +a(g185 +V +p5102 +tp5103 +a(g340 +V= +tp5104 +a(g185 +V +tp5105 +a(g69 +Vbaseprecv +p5106 +tp5107 +a(g198 +V( +tp5108 +a(g315 +V1 +tp5109 +a(g198 +V) +tp5110 +a(g198 +V% +tp5111 +a(g69 +Vdesc_data +p5112 +tp5113 +a(g198 +V% +tp5114 +a(g69 +Vmatrix_data +p5115 +tp5116 +a(g198 +V( +tp5117 +a(g69 +Vpsb_n_col_ +p5118 +tp5119 +a(g198 +V) +tp5120 +a(g185 +V\u000a\u000a +p5121 +tp5122 +a(g107 +Vallocate +p5123 +tp5124 +a(g198 +V( +tp5125 +a(g69 +Vmlprec_wrk +p5126 +tp5127 +a(g198 +V( +tp5128 +a(g315 +V1 +tp5129 +a(g198 +V) +tp5130 +a(g198 +V% +tp5131 +a(g69 +Vx2l +p5132 +tp5133 +a(g198 +V( +tp5134 +a(g69 +Vnr2l +p5135 +tp5136 +a(g198 +V) +tp5137 +a(g198 +V, +tp5138 +a(g69 +Vmlprec_wrk +p5139 +tp5140 +a(g198 +V( +tp5141 +a(g315 +V1 +tp5142 +a(g198 +V) +tp5143 +a(g198 +V% +tp5144 +a(g69 +Vy2l +p5145 +tp5146 +a(g198 +V( +tp5147 +a(g69 +Vnr2l +p5148 +tp5149 +a(g198 +V) +tp5150 +a(g198 +V, +tp5151 +a(g185 +V +tp5152 +a(g198 +V& +tp5153 +a(g185 +V\u000a +p5154 +tp5155 +a(g198 +V& +tp5156 +a(g185 +V +tp5157 +a(g69 +Vmlprec_wrk +p5158 +tp5159 +a(g198 +V( +tp5160 +a(g315 +V1 +tp5161 +a(g198 +V) +tp5162 +a(g198 +V% +tp5163 +a(g69 +Vtx +p5164 +tp5165 +a(g198 +V( +tp5166 +a(g69 +Vnr2l +p5167 +tp5168 +a(g198 +V) +tp5169 +a(g198 +V, +tp5170 +a(g185 +V +tp5171 +a(g53 +Vstat +p5172 +tp5173 +a(g340 +V= +tp5174 +a(g69 +Vinfo +p5175 +tp5176 +a(g198 +V) +tp5177 +a(g185 +V\u000a +p5178 +tp5179 +a(g107 +Vif +p5180 +tp5181 +a(g185 +V +tp5182 +a(g198 +V( +tp5183 +a(g69 +Vinfo +p5184 +tp5185 +a(g185 +V +tp5186 +a(g340 +V/ +tp5187 +a(g340 +V= +tp5188 +a(g185 +V +tp5189 +a(g315 +V0 +tp5190 +a(g198 +V) +tp5191 +a(g185 +V +tp5192 +a(g107 +Vthen\u000a +p5193 +tp5194 +a(g107 +Vcall +p5195 +tp5196 +a(g69 +Vpsb_errpush +p5197 +tp5198 +a(g198 +V( +tp5199 +a(g315 +V4010 +p5200 +tp5201 +a(g198 +V, +tp5202 +a(g69 +Vname +p5203 +tp5204 +a(g198 +V, +tp5205 +a(g69 +Va_err +p5206 +tp5207 +a(g340 +V= +tp5208 +a(g268 +V'Allocate' +p5209 +tp5210 +a(g198 +V) +tp5211 +a(g185 +V\u000a +p5212 +tp5213 +a(g107 +Vgoto +p5214 +tp5215 +a(g315 +V9999 +p5216 +tp5217 +a(g185 +V\u000a +p5218 +tp5219 +a(g107 +Vend +p5220 +tp5221 +a(g107 +Vif\u000a\u000a +p5222 +tp5223 +a(g69 +Vmlprec_wrk +p5224 +tp5225 +a(g198 +V( +tp5226 +a(g315 +V1 +tp5227 +a(g198 +V) +tp5228 +a(g198 +V% +tp5229 +a(g69 +Vy2l +p5230 +tp5231 +a(g198 +V( +tp5232 +a(g198 +V: +tp5233 +a(g198 +V) +tp5234 +a(g185 +V +tp5235 +a(g340 +V= +tp5236 +a(g185 +V +tp5237 +a(g69 +Vzzero +p5238 +tp5239 +a(g185 +V\u000a\u000a\u000a +p5240 +tp5241 +a(g69 +Vmlprec_wrk +p5242 +tp5243 +a(g198 +V( +tp5244 +a(g315 +V1 +tp5245 +a(g198 +V) +tp5246 +a(g198 +V% +tp5247 +a(g69 +Vx2l +p5248 +tp5249 +a(g198 +V( +tp5250 +a(g198 +V: +tp5251 +a(g198 +V) +tp5252 +a(g185 +V +tp5253 +a(g340 +V= +tp5254 +a(g185 +V +tp5255 +a(g69 +Vx +tp5256 +a(g185 +V\u000a\u000a +p5257 +tp5258 +a(g107 +Vcall +p5259 +tp5260 +a(g69 +Vpsb_baseprc_aply +p5261 +tp5262 +a(g198 +V( +tp5263 +a(g69 +Vzone +p5264 +tp5265 +a(g198 +V, +tp5266 +a(g69 +Vbaseprecv +p5267 +tp5268 +a(g198 +V( +tp5269 +a(g315 +V1 +tp5270 +a(g198 +V) +tp5271 +a(g198 +V, +tp5272 +a(g69 +Vmlprec_wrk +p5273 +tp5274 +a(g198 +V( +tp5275 +a(g315 +V1 +tp5276 +a(g198 +V) +tp5277 +a(g198 +V% +tp5278 +a(g69 +Vx2l +p5279 +tp5280 +a(g198 +V, +tp5281 +a(g198 +V& +tp5282 +a(g185 +V\u000a +p5283 +tp5284 +a(g198 +V& +tp5285 +a(g185 +V +p5286 +tp5287 +a(g69 +Vzzero +p5288 +tp5289 +a(g198 +V, +tp5290 +a(g69 +Vmlprec_wrk +p5291 +tp5292 +a(g198 +V( +tp5293 +a(g315 +V1 +tp5294 +a(g198 +V) +tp5295 +a(g198 +V% +tp5296 +a(g69 +Vy2l +p5297 +tp5298 +a(g198 +V, +tp5299 +a(g198 +V& +tp5300 +a(g185 +V\u000a +p5301 +tp5302 +a(g198 +V& +tp5303 +a(g185 +V +p5304 +tp5305 +a(g69 +Vbaseprecv +p5306 +tp5307 +a(g198 +V( +tp5308 +a(g315 +V1 +tp5309 +a(g198 +V) +tp5310 +a(g198 +V% +tp5311 +a(g69 +Vbase_desc +p5312 +tp5313 +a(g198 +V, +tp5314 +a(g198 +V& +tp5315 +a(g185 +V\u000a +p5316 +tp5317 +a(g198 +V& +tp5318 +a(g185 +V +p5319 +tp5320 +a(g69 +Vtrans +p5321 +tp5322 +a(g198 +V, +tp5323 +a(g69 +Vwork +p5324 +tp5325 +a(g198 +V, +tp5326 +a(g69 +Vinfo +p5327 +tp5328 +a(g198 +V) +tp5329 +a(g185 +V\u000a\u000a +p5330 +tp5331 +a(g107 +Vif +p5332 +tp5333 +a(g198 +V( +tp5334 +a(g69 +Vinfo +p5335 +tp5336 +a(g185 +V +tp5337 +a(g340 +V/ +tp5338 +a(g340 +V= +tp5339 +a(g315 +V0 +tp5340 +a(g198 +V) +tp5341 +a(g185 +V +tp5342 +a(g107 +Vgoto +p5343 +tp5344 +a(g315 +V9999 +p5345 +tp5346 +a(g185 +V\u000a\u000a +p5347 +tp5348 +a(g69 +Vmlprec_wrk +p5349 +tp5350 +a(g198 +V( +tp5351 +a(g315 +V1 +tp5352 +a(g198 +V) +tp5353 +a(g198 +V% +tp5354 +a(g69 +Vtx +p5355 +tp5356 +a(g185 +V +tp5357 +a(g340 +V= +tp5358 +a(g185 +V +tp5359 +a(g69 +Vmlprec_wrk +p5360 +tp5361 +a(g198 +V( +tp5362 +a(g315 +V1 +tp5363 +a(g198 +V) +tp5364 +a(g198 +V% +tp5365 +a(g69 +Vx2l +p5366 +tp5367 +a(g185 +V\u000a\u000a +p5368 +tp5369 +a(g107 +Vcall +p5370 +tp5371 +a(g69 +Vpsb_spmm +p5372 +tp5373 +a(g198 +V( +tp5374 +a(g340 +V- +tp5375 +a(g69 +Vzone +p5376 +tp5377 +a(g198 +V, +tp5378 +a(g69 +Vbaseprecv +p5379 +tp5380 +a(g198 +V( +tp5381 +a(g315 +V1 +tp5382 +a(g198 +V) +tp5383 +a(g198 +V% +tp5384 +a(g69 +Vbase_a +p5385 +tp5386 +a(g198 +V, +tp5387 +a(g69 +Vmlprec_wrk +p5388 +tp5389 +a(g198 +V( +tp5390 +a(g315 +V1 +tp5391 +a(g198 +V) +tp5392 +a(g198 +V% +tp5393 +a(g69 +Vy2l +p5394 +tp5395 +a(g198 +V, +tp5396 +a(g198 +V& +tp5397 +a(g185 +V\u000a +p5398 +tp5399 +a(g198 +V& +tp5400 +a(g185 +V +tp5401 +a(g69 +Vzone +p5402 +tp5403 +a(g198 +V, +tp5404 +a(g69 +Vmlprec_wrk +p5405 +tp5406 +a(g198 +V( +tp5407 +a(g315 +V1 +tp5408 +a(g198 +V) +tp5409 +a(g198 +V% +tp5410 +a(g69 +Vtx +p5411 +tp5412 +a(g198 +V, +tp5413 +a(g69 +Vbaseprecv +p5414 +tp5415 +a(g198 +V( +tp5416 +a(g315 +V1 +tp5417 +a(g198 +V) +tp5418 +a(g198 +V% +tp5419 +a(g69 +Vbase_desc +p5420 +tp5421 +a(g198 +V, +tp5422 +a(g69 +Vinfo +p5423 +tp5424 +a(g198 +V, +tp5425 +a(g69 +Vwork +p5426 +tp5427 +a(g340 +V= +tp5428 +a(g69 +Vwork +p5429 +tp5430 +a(g198 +V) +tp5431 +a(g185 +V\u000a +p5432 +tp5433 +a(g107 +Vif +p5434 +tp5435 +a(g198 +V( +tp5436 +a(g69 +Vinfo +p5437 +tp5438 +a(g185 +V +tp5439 +a(g340 +V/ +tp5440 +a(g340 +V= +tp5441 +a(g315 +V0 +tp5442 +a(g198 +V) +tp5443 +a(g185 +V +tp5444 +a(g107 +Vgoto +p5445 +tp5446 +a(g315 +V9999 +p5447 +tp5448 +a(g185 +V\u000a\u000a +p5449 +tp5450 +a(g107 +Vdo +p5451 +tp5452 +a(g69 +Vilev +p5453 +tp5454 +a(g185 +V +tp5455 +a(g340 +V= +tp5456 +a(g185 +V +tp5457 +a(g315 +V2 +tp5458 +a(g198 +V, +tp5459 +a(g185 +V +tp5460 +a(g69 +Vnlev +p5461 +tp5462 +a(g185 +V\u000a +p5463 +tp5464 +a(g69 +Vn_row +p5465 +tp5466 +a(g185 +V +tp5467 +a(g340 +V= +tp5468 +a(g185 +V +tp5469 +a(g69 +Vbaseprecv +p5470 +tp5471 +a(g198 +V( +tp5472 +a(g69 +Vilev +p5473 +tp5474 +a(g340 +V- +tp5475 +a(g315 +V1 +tp5476 +a(g198 +V) +tp5477 +a(g198 +V% +tp5478 +a(g69 +Vbase_desc +p5479 +tp5480 +a(g198 +V% +tp5481 +a(g69 +Vmatrix_data +p5482 +tp5483 +a(g198 +V( +tp5484 +a(g69 +Vpsb_n_row_ +p5485 +tp5486 +a(g198 +V) +tp5487 +a(g185 +V\u000a +p5488 +tp5489 +a(g69 +Vn_col +p5490 +tp5491 +a(g185 +V +tp5492 +a(g340 +V= +tp5493 +a(g185 +V +tp5494 +a(g69 +Vbaseprecv +p5495 +tp5496 +a(g198 +V( +tp5497 +a(g69 +Vilev +p5498 +tp5499 +a(g340 +V- +tp5500 +a(g315 +V1 +tp5501 +a(g198 +V) +tp5502 +a(g198 +V% +tp5503 +a(g69 +Vdesc_data +p5504 +tp5505 +a(g198 +V% +tp5506 +a(g69 +Vmatrix_data +p5507 +tp5508 +a(g198 +V( +tp5509 +a(g69 +Vpsb_n_col_ +p5510 +tp5511 +a(g198 +V) +tp5512 +a(g185 +V\u000a +p5513 +tp5514 +a(g69 +Vnr2l +p5515 +tp5516 +a(g185 +V +p5517 +tp5518 +a(g340 +V= +tp5519 +a(g185 +V +tp5520 +a(g69 +Vbaseprecv +p5521 +tp5522 +a(g198 +V( +tp5523 +a(g69 +Vilev +p5524 +tp5525 +a(g198 +V) +tp5526 +a(g198 +V% +tp5527 +a(g69 +Vdesc_data +p5528 +tp5529 +a(g198 +V% +tp5530 +a(g69 +Vmatrix_data +p5531 +tp5532 +a(g198 +V( +tp5533 +a(g69 +Vpsb_n_col_ +p5534 +tp5535 +a(g198 +V) +tp5536 +a(g185 +V\u000a +p5537 +tp5538 +a(g69 +Vnrg +p5539 +tp5540 +a(g185 +V +p5541 +tp5542 +a(g340 +V= +tp5543 +a(g185 +V +tp5544 +a(g69 +Vbaseprecv +p5545 +tp5546 +a(g198 +V( +tp5547 +a(g69 +Vilev +p5548 +tp5549 +a(g198 +V) +tp5550 +a(g198 +V% +tp5551 +a(g69 +Vdesc_data +p5552 +tp5553 +a(g198 +V% +tp5554 +a(g69 +Vmatrix_data +p5555 +tp5556 +a(g198 +V( +tp5557 +a(g69 +Vpsb_n_row_ +p5558 +tp5559 +a(g198 +V) +tp5560 +a(g185 +V\u000a +p5561 +tp5562 +a(g69 +Vismth +p5563 +tp5564 +a(g185 +V +tp5565 +a(g340 +V= +tp5566 +a(g185 +V +tp5567 +a(g69 +Vbaseprecv +p5568 +tp5569 +a(g198 +V( +tp5570 +a(g69 +Vilev +p5571 +tp5572 +a(g198 +V) +tp5573 +a(g198 +V% +tp5574 +a(g69 +Viprcparm +p5575 +tp5576 +a(g198 +V( +tp5577 +a(g69 +Vsmth_kind_ +p5578 +tp5579 +a(g198 +V) +tp5580 +a(g185 +V\u000a +p5581 +tp5582 +a(g107 +Vallocate +p5583 +tp5584 +a(g198 +V( +tp5585 +a(g69 +Vmlprec_wrk +p5586 +tp5587 +a(g198 +V( +tp5588 +a(g69 +Vilev +p5589 +tp5590 +a(g198 +V) +tp5591 +a(g198 +V% +tp5592 +a(g69 +Vtx +p5593 +tp5594 +a(g198 +V( +tp5595 +a(g69 +Vnr2l +p5596 +tp5597 +a(g198 +V) +tp5598 +a(g198 +V, +tp5599 +a(g69 +Vmlprec_wrk +p5600 +tp5601 +a(g198 +V( +tp5602 +a(g69 +Vilev +p5603 +tp5604 +a(g198 +V) +tp5605 +a(g198 +V% +tp5606 +a(g69 +Vy2l +p5607 +tp5608 +a(g198 +V( +tp5609 +a(g69 +Vnr2l +p5610 +tp5611 +a(g198 +V) +tp5612 +a(g198 +V, +tp5613 +a(g198 +V& +tp5614 +a(g185 +V\u000a +p5615 +tp5616 +a(g198 +V& +tp5617 +a(g185 +V +p5618 +tp5619 +a(g69 +Vmlprec_wrk +p5620 +tp5621 +a(g198 +V( +tp5622 +a(g69 +Vilev +p5623 +tp5624 +a(g198 +V) +tp5625 +a(g198 +V% +tp5626 +a(g69 +Vx2l +p5627 +tp5628 +a(g198 +V( +tp5629 +a(g69 +Vnr2l +p5630 +tp5631 +a(g198 +V) +tp5632 +a(g198 +V, +tp5633 +a(g185 +V +tp5634 +a(g53 +Vstat +p5635 +tp5636 +a(g340 +V= +tp5637 +a(g69 +Vinfo +p5638 +tp5639 +a(g198 +V) +tp5640 +a(g185 +V\u000a\u000a\u000a +p5641 +tp5642 +a(g107 +Vif +p5643 +tp5644 +a(g185 +V +tp5645 +a(g198 +V( +tp5646 +a(g69 +Vinfo +p5647 +tp5648 +a(g185 +V +tp5649 +a(g340 +V/ +tp5650 +a(g340 +V= +tp5651 +a(g185 +V +tp5652 +a(g315 +V0 +tp5653 +a(g198 +V) +tp5654 +a(g185 +V +tp5655 +a(g107 +Vthen\u000a +p5656 +tp5657 +a(g107 +Vcall +p5658 +tp5659 +a(g69 +Vpsb_errpush +p5660 +tp5661 +a(g198 +V( +tp5662 +a(g315 +V4010 +p5663 +tp5664 +a(g198 +V, +tp5665 +a(g69 +Vname +p5666 +tp5667 +a(g198 +V, +tp5668 +a(g69 +Va_err +p5669 +tp5670 +a(g340 +V= +tp5671 +a(g268 +V'Allocate' +p5672 +tp5673 +a(g198 +V) +tp5674 +a(g185 +V\u000a +p5675 +tp5676 +a(g107 +Vgoto +p5677 +tp5678 +a(g315 +V9999 +p5679 +tp5680 +a(g185 +V\u000a +p5681 +tp5682 +a(g107 +Vend +p5683 +tp5684 +a(g107 +Vif\u000a\u000a +p5685 +tp5686 +a(g69 +Vmlprec_wrk +p5687 +tp5688 +a(g198 +V( +tp5689 +a(g69 +Vilev +p5690 +tp5691 +a(g198 +V) +tp5692 +a(g198 +V% +tp5693 +a(g69 +Vx2l +p5694 +tp5695 +a(g198 +V( +tp5696 +a(g198 +V: +tp5697 +a(g198 +V) +tp5698 +a(g185 +V +tp5699 +a(g340 +V= +tp5700 +a(g185 +V +tp5701 +a(g69 +Vzzero +p5702 +tp5703 +a(g185 +V\u000a +p5704 +tp5705 +a(g69 +Vmlprec_wrk +p5706 +tp5707 +a(g198 +V( +tp5708 +a(g69 +Vilev +p5709 +tp5710 +a(g198 +V) +tp5711 +a(g198 +V% +tp5712 +a(g69 +Vy2l +p5713 +tp5714 +a(g198 +V( +tp5715 +a(g198 +V: +tp5716 +a(g198 +V) +tp5717 +a(g185 +V +tp5718 +a(g340 +V= +tp5719 +a(g185 +V +tp5720 +a(g69 +Vzzero +p5721 +tp5722 +a(g185 +V\u000a +p5723 +tp5724 +a(g69 +Vmlprec_wrk +p5725 +tp5726 +a(g198 +V( +tp5727 +a(g69 +Vilev +p5728 +tp5729 +a(g198 +V) +tp5730 +a(g198 +V% +tp5731 +a(g69 +Vtx +p5732 +tp5733 +a(g198 +V( +tp5734 +a(g198 +V: +tp5735 +a(g198 +V) +tp5736 +a(g185 +V +tp5737 +a(g340 +V= +tp5738 +a(g185 +V +tp5739 +a(g69 +Vzzero +p5740 +tp5741 +a(g185 +V\u000a\u000a\u000a +p5742 +tp5743 +a(g107 +Vif +p5744 +tp5745 +a(g185 +V +tp5746 +a(g198 +V( +tp5747 +a(g69 +Vismth +p5748 +tp5749 +a(g185 +V +p5750 +tp5751 +a(g340 +V/ +tp5752 +a(g340 +V= +tp5753 +a(g185 +V +tp5754 +a(g69 +Vno_smth_ +p5755 +tp5756 +a(g198 +V) +tp5757 +a(g185 +V +tp5758 +a(g107 +Vthen +p5759 +tp5760 +a(g185 +V\u000a +p5761 +tp5762 +a(g6 +V!\u000a +p5763 +tp5764 +a(g185 +V +p5765 +tp5766 +a(g6 +V!Smoothed Aggregation\u000a +p5767 +tp5768 +a(g185 +V +p5769 +tp5770 +a(g6 +V!\u000a +p5771 +tp5772 +a(g185 +V +p5773 +tp5774 +a(g107 +Vif +p5775 +tp5776 +a(g185 +V +tp5777 +a(g198 +V( +tp5778 +a(g69 +Vbaseprecv +p5779 +tp5780 +a(g198 +V( +tp5781 +a(g69 +Vilev +p5782 +tp5783 +a(g198 +V) +tp5784 +a(g198 +V% +tp5785 +a(g69 +Viprcparm +p5786 +tp5787 +a(g198 +V( +tp5788 +a(g69 +Vglb_smth_ +p5789 +tp5790 +a(g198 +V) +tp5791 +a(g185 +V +tp5792 +a(g340 +V> +tp5793 +a(g315 +V0 +tp5794 +a(g198 +V) +tp5795 +a(g185 +V +tp5796 +a(g107 +Vthen\u000a\u000a +p5797 +tp5798 +a(g107 +Vcall +p5799 +tp5800 +a(g69 +Vpsb_halo +p5801 +tp5802 +a(g198 +V( +tp5803 +a(g69 +Vmlprec_wrk +p5804 +tp5805 +a(g198 +V( +tp5806 +a(g69 +Vilev +p5807 +tp5808 +a(g340 +V- +tp5809 +a(g315 +V1 +tp5810 +a(g198 +V) +tp5811 +a(g198 +V% +tp5812 +a(g69 +Vtx +p5813 +tp5814 +a(g198 +V, +tp5815 +a(g69 +Vbaseprecv +p5816 +tp5817 +a(g198 +V( +tp5818 +a(g69 +Vilev +p5819 +tp5820 +a(g340 +V- +tp5821 +a(g315 +V1 +tp5822 +a(g198 +V) +tp5823 +a(g198 +V% +tp5824 +a(g69 +Vbase_desc +p5825 +tp5826 +a(g198 +V, +tp5827 +a(g198 +V& +tp5828 +a(g185 +V\u000a +p5829 +tp5830 +a(g198 +V& +tp5831 +a(g185 +V +tp5832 +a(g69 +Vinfo +p5833 +tp5834 +a(g198 +V, +tp5835 +a(g69 +Vwork +p5836 +tp5837 +a(g340 +V= +tp5838 +a(g69 +Vwork +p5839 +tp5840 +a(g198 +V) +tp5841 +a(g185 +V\u000a +p5842 +tp5843 +a(g107 +Vif +p5844 +tp5845 +a(g198 +V( +tp5846 +a(g69 +Vinfo +p5847 +tp5848 +a(g185 +V +tp5849 +a(g340 +V/ +tp5850 +a(g340 +V= +tp5851 +a(g315 +V0 +tp5852 +a(g198 +V) +tp5853 +a(g185 +V +tp5854 +a(g107 +Vgoto +p5855 +tp5856 +a(g315 +V9999 +p5857 +tp5858 +a(g185 +V\u000a +p5859 +tp5860 +a(g107 +Velse\u000a +p5861 +tp5862 +a(g69 +Vmlprec_wrk +p5863 +tp5864 +a(g198 +V( +tp5865 +a(g69 +Vilev +p5866 +tp5867 +a(g340 +V- +tp5868 +a(g315 +V1 +tp5869 +a(g198 +V) +tp5870 +a(g198 +V% +tp5871 +a(g69 +Vtx +p5872 +tp5873 +a(g198 +V( +tp5874 +a(g69 +Vn_row +p5875 +tp5876 +a(g340 +V+ +tp5877 +a(g315 +V1 +tp5878 +a(g198 +V: +tp5879 +a(g53 +Vmax +p5880 +tp5881 +a(g198 +V( +tp5882 +a(g69 +Vn_row +p5883 +tp5884 +a(g198 +V, +tp5885 +a(g69 +Vn_col +p5886 +tp5887 +a(g198 +V) +tp5888 +a(g198 +V) +tp5889 +a(g185 +V +tp5890 +a(g340 +V= +tp5891 +a(g185 +V +tp5892 +a(g69 +Vzzero +p5893 +tp5894 +a(g185 +V\u000a +p5895 +tp5896 +a(g107 +Vend +p5897 +tp5898 +a(g107 +Vif\u000a\u000a +p5899 +tp5900 +a(g107 +Vcall +p5901 +tp5902 +a(g69 +Vpsb_csmm +p5903 +tp5904 +a(g198 +V( +tp5905 +a(g69 +Vzone +p5906 +tp5907 +a(g198 +V, +tp5908 +a(g69 +Vbaseprecv +p5909 +tp5910 +a(g198 +V( +tp5911 +a(g69 +Vilev +p5912 +tp5913 +a(g198 +V) +tp5914 +a(g198 +V% +tp5915 +a(g69 +Vav +p5916 +tp5917 +a(g198 +V( +tp5918 +a(g69 +Vsm_pr_t_ +p5919 +tp5920 +a(g198 +V) +tp5921 +a(g198 +V, +tp5922 +a(g69 +Vmlprec_wrk +p5923 +tp5924 +a(g198 +V( +tp5925 +a(g69 +Vilev +p5926 +tp5927 +a(g340 +V- +tp5928 +a(g315 +V1 +tp5929 +a(g198 +V) +tp5930 +a(g198 +V% +tp5931 +a(g69 +Vtx +p5932 +tp5933 +a(g198 +V, +tp5934 +a(g69 +Vzzero +p5935 +tp5936 +a(g198 +V, +tp5937 +a(g198 +V& +tp5938 +a(g185 +V\u000a +p5939 +tp5940 +a(g198 +V& +tp5941 +a(g185 +V +tp5942 +a(g69 +Vmlprec_wrk +p5943 +tp5944 +a(g198 +V( +tp5945 +a(g69 +Vilev +p5946 +tp5947 +a(g198 +V) +tp5948 +a(g198 +V% +tp5949 +a(g69 +Vx2l +p5950 +tp5951 +a(g198 +V, +tp5952 +a(g69 +Vinfo +p5953 +tp5954 +a(g198 +V) +tp5955 +a(g185 +V\u000a +p5956 +tp5957 +a(g107 +Vif +p5958 +tp5959 +a(g198 +V( +tp5960 +a(g69 +Vinfo +p5961 +tp5962 +a(g185 +V +tp5963 +a(g340 +V/ +tp5964 +a(g340 +V= +tp5965 +a(g315 +V0 +tp5966 +a(g198 +V) +tp5967 +a(g185 +V +tp5968 +a(g107 +Vgoto +p5969 +tp5970 +a(g315 +V9999 +p5971 +tp5972 +a(g185 +V\u000a\u000a +p5973 +tp5974 +a(g107 +Velse +p5975 +tp5976 +a(g185 +V\u000a +p5977 +tp5978 +a(g6 +V!\u000a +p5979 +tp5980 +a(g185 +V +p5981 +tp5982 +a(g6 +V! Raw aggregation, may take shortcuts\u000a +p5983 +tp5984 +a(g185 +V +p5985 +tp5986 +a(g6 +V!\u000a +p5987 +tp5988 +a(g185 +V +p5989 +tp5990 +a(g69 +Vmlprec_wrk +p5991 +tp5992 +a(g198 +V( +tp5993 +a(g69 +Vilev +p5994 +tp5995 +a(g198 +V) +tp5996 +a(g198 +V% +tp5997 +a(g69 +Vx2l +p5998 +tp5999 +a(g185 +V +tp6000 +a(g340 +V= +tp6001 +a(g185 +V +tp6002 +a(g69 +Vzzero +p6003 +tp6004 +a(g185 +V\u000a +p6005 +tp6006 +a(g107 +Vdo +p6007 +tp6008 +a(g69 +Vi +tp6009 +a(g340 +V= +tp6010 +a(g315 +V1 +tp6011 +a(g198 +V, +tp6012 +a(g69 +Vn_row +p6013 +tp6014 +a(g185 +V\u000a +p6015 +tp6016 +a(g69 +Vmlprec_wrk +p6017 +tp6018 +a(g198 +V( +tp6019 +a(g69 +Vilev +p6020 +tp6021 +a(g198 +V) +tp6022 +a(g198 +V% +tp6023 +a(g69 +Vx2l +p6024 +tp6025 +a(g198 +V( +tp6026 +a(g69 +Vbaseprecv +p6027 +tp6028 +a(g198 +V( +tp6029 +a(g69 +Vilev +p6030 +tp6031 +a(g198 +V) +tp6032 +a(g198 +V% +tp6033 +a(g69 +Vmlia +p6034 +tp6035 +a(g198 +V( +tp6036 +a(g69 +Vi +tp6037 +a(g198 +V) +tp6038 +a(g198 +V) +tp6039 +a(g185 +V +tp6040 +a(g340 +V= +tp6041 +a(g185 +V +tp6042 +a(g198 +V& +tp6043 +a(g185 +V\u000a +p6044 +tp6045 +a(g198 +V& +tp6046 +a(g185 +V +tp6047 +a(g69 +Vmlprec_wrk +p6048 +tp6049 +a(g198 +V( +tp6050 +a(g69 +Vilev +p6051 +tp6052 +a(g198 +V) +tp6053 +a(g198 +V% +tp6054 +a(g69 +Vx2l +p6055 +tp6056 +a(g198 +V( +tp6057 +a(g69 +Vbaseprecv +p6058 +tp6059 +a(g198 +V( +tp6060 +a(g69 +Vilev +p6061 +tp6062 +a(g198 +V) +tp6063 +a(g198 +V% +tp6064 +a(g69 +Vmlia +p6065 +tp6066 +a(g198 +V( +tp6067 +a(g69 +Vi +tp6068 +a(g198 +V) +tp6069 +a(g198 +V) +tp6070 +a(g185 +V +tp6071 +a(g340 +V+ +tp6072 +a(g185 +V +tp6073 +a(g198 +V& +tp6074 +a(g185 +V\u000a +p6075 +tp6076 +a(g198 +V& +tp6077 +a(g185 +V +p6078 +tp6079 +a(g69 +Vmlprec_wrk +p6080 +tp6081 +a(g198 +V( +tp6082 +a(g69 +Vilev +p6083 +tp6084 +a(g340 +V- +tp6085 +a(g315 +V1 +tp6086 +a(g198 +V) +tp6087 +a(g198 +V% +tp6088 +a(g69 +Vtx +p6089 +tp6090 +a(g198 +V( +tp6091 +a(g69 +Vi +tp6092 +a(g198 +V) +tp6093 +a(g185 +V\u000a +p6094 +tp6095 +a(g107 +Vend +p6096 +tp6097 +a(g107 +Vdo\u000a +p6098 +tp6099 +a(g107 +Vend +p6100 +tp6101 +a(g107 +Vif\u000a\u000a +p6102 +tp6103 +a(g107 +Vif +p6104 +tp6105 +a(g185 +V +tp6106 +a(g198 +V( +tp6107 +a(g69 +Vbaseprecv +p6108 +tp6109 +a(g198 +V( +tp6110 +a(g69 +Vilev +p6111 +tp6112 +a(g198 +V) +tp6113 +a(g198 +V% +tp6114 +a(g69 +Viprcparm +p6115 +tp6116 +a(g198 +V( +tp6117 +a(g69 +Vcoarse_mat_ +p6118 +tp6119 +a(g198 +V) +tp6120 +a(g340 +V== +p6121 +tp6122 +a(g69 +Vmat_repl_ +p6123 +tp6124 +a(g198 +V) +tp6125 +a(g185 +V +tp6126 +a(g107 +Vthen\u000a +p6127 +tp6128 +a(g107 +Vcall +p6129 +tp6130 +a(g69 +Vpsb_sum +p6131 +tp6132 +a(g198 +V( +tp6133 +a(g69 +Victxt +p6134 +tp6135 +a(g198 +V, +tp6136 +a(g69 +Vmlprec_wrk +p6137 +tp6138 +a(g198 +V( +tp6139 +a(g69 +Vilev +p6140 +tp6141 +a(g198 +V) +tp6142 +a(g198 +V% +tp6143 +a(g69 +Vx2l +p6144 +tp6145 +a(g198 +V( +tp6146 +a(g315 +V1 +tp6147 +a(g198 +V: +tp6148 +a(g69 +Vnrg +p6149 +tp6150 +a(g198 +V) +tp6151 +a(g198 +V) +tp6152 +a(g185 +V\u000a +p6153 +tp6154 +a(g107 +Velse +p6155 +tp6156 +a(g107 +Vif +p6157 +tp6158 +a(g185 +V +tp6159 +a(g198 +V( +tp6160 +a(g69 +Vbaseprecv +p6161 +tp6162 +a(g198 +V( +tp6163 +a(g69 +Vilev +p6164 +tp6165 +a(g198 +V) +tp6166 +a(g198 +V% +tp6167 +a(g69 +Viprcparm +p6168 +tp6169 +a(g198 +V( +tp6170 +a(g69 +Vcoarse_mat_ +p6171 +tp6172 +a(g198 +V) +tp6173 +a(g185 +V +tp6174 +a(g340 +V/ +tp6175 +a(g340 +V= +tp6176 +a(g185 +V +tp6177 +a(g69 +Vmat_distr_ +p6178 +tp6179 +a(g198 +V) +tp6180 +a(g185 +V +tp6181 +a(g107 +Vthen\u000a +p6182 +tp6183 +a(g107 +Vwrite +p6184 +tp6185 +a(g198 +V( +tp6186 +a(g315 +V0 +tp6187 +a(g198 +V, +tp6188 +a(g340 +V* +tp6189 +a(g198 +V) +tp6190 +a(g185 +V +tp6191 +a(g268 +V'Unknown value for baseprecv(2)%iprcparm(coarse_mat_) ' +p6192 +tp6193 +a(g198 +V, +tp6194 +a(g198 +V& +tp6195 +a(g185 +V\u000a +p6196 +tp6197 +a(g198 +V& +tp6198 +a(g185 +V +tp6199 +a(g69 +Vbaseprecv +p6200 +tp6201 +a(g198 +V( +tp6202 +a(g69 +Vilev +p6203 +tp6204 +a(g198 +V) +tp6205 +a(g198 +V% +tp6206 +a(g69 +Viprcparm +p6207 +tp6208 +a(g198 +V( +tp6209 +a(g69 +Vcoarse_mat_ +p6210 +tp6211 +a(g198 +V) +tp6212 +a(g185 +V\u000a +p6213 +tp6214 +a(g107 +Vendif\u000a\u000a\u000a +p6215 +tp6216 +a(g107 +Vcall +p6217 +tp6218 +a(g69 +Vpsb_baseprc_aply +p6219 +tp6220 +a(g198 +V( +tp6221 +a(g69 +Vzone +p6222 +tp6223 +a(g198 +V, +tp6224 +a(g69 +Vbaseprecv +p6225 +tp6226 +a(g198 +V( +tp6227 +a(g69 +Vilev +p6228 +tp6229 +a(g198 +V) +tp6230 +a(g198 +V, +tp6231 +a(g69 +Vmlprec_wrk +p6232 +tp6233 +a(g198 +V( +tp6234 +a(g69 +Vilev +p6235 +tp6236 +a(g198 +V) +tp6237 +a(g198 +V% +tp6238 +a(g69 +Vx2l +p6239 +tp6240 +a(g198 +V, +tp6241 +a(g198 +V& +tp6242 +a(g185 +V\u000a +p6243 +tp6244 +a(g198 +V& +tp6245 +a(g185 +V +tp6246 +a(g69 +Vzzero +p6247 +tp6248 +a(g198 +V, +tp6249 +a(g69 +Vmlprec_wrk +p6250 +tp6251 +a(g198 +V( +tp6252 +a(g69 +Vilev +p6253 +tp6254 +a(g198 +V) +tp6255 +a(g198 +V% +tp6256 +a(g69 +Vy2l +p6257 +tp6258 +a(g198 +V, +tp6259 +a(g69 +Vbaseprecv +p6260 +tp6261 +a(g198 +V( +tp6262 +a(g69 +Vilev +p6263 +tp6264 +a(g198 +V) +tp6265 +a(g198 +V% +tp6266 +a(g69 +Vdesc_data +p6267 +tp6268 +a(g198 +V, +tp6269 +a(g185 +V +tp6270 +a(g268 +V'N' +p6271 +tp6272 +a(g198 +V, +tp6273 +a(g69 +Vwork +p6274 +tp6275 +a(g198 +V, +tp6276 +a(g69 +Vinfo +p6277 +tp6278 +a(g198 +V) +tp6279 +a(g185 +V\u000a\u000a +p6280 +tp6281 +a(g107 +Vif +p6282 +tp6283 +a(g198 +V( +tp6284 +a(g69 +Vinfo +p6285 +tp6286 +a(g185 +V +tp6287 +a(g340 +V/ +tp6288 +a(g340 +V= +tp6289 +a(g315 +V0 +tp6290 +a(g198 +V) +tp6291 +a(g185 +V +tp6292 +a(g107 +Vgoto +p6293 +tp6294 +a(g315 +V9999 +p6295 +tp6296 +a(g185 +V\u000a\u000a +p6297 +tp6298 +a(g107 +Vif +p6299 +tp6300 +a(g198 +V( +tp6301 +a(g69 +Vilev +p6302 +tp6303 +a(g185 +V +tp6304 +a(g340 +V< +tp6305 +a(g185 +V +tp6306 +a(g69 +Vnlev +p6307 +tp6308 +a(g198 +V) +tp6309 +a(g185 +V +tp6310 +a(g107 +Vthen\u000a +p6311 +tp6312 +a(g69 +Vmlprec_wrk +p6313 +tp6314 +a(g198 +V( +tp6315 +a(g69 +Vilev +p6316 +tp6317 +a(g198 +V) +tp6318 +a(g198 +V% +tp6319 +a(g69 +Vtx +p6320 +tp6321 +a(g185 +V +tp6322 +a(g340 +V= +tp6323 +a(g185 +V +tp6324 +a(g69 +Vmlprec_wrk +p6325 +tp6326 +a(g198 +V( +tp6327 +a(g69 +Vilev +p6328 +tp6329 +a(g198 +V) +tp6330 +a(g198 +V% +tp6331 +a(g69 +Vx2l +p6332 +tp6333 +a(g185 +V\u000a +p6334 +tp6335 +a(g107 +Vcall +p6336 +tp6337 +a(g69 +Vpsb_spmm +p6338 +tp6339 +a(g198 +V( +tp6340 +a(g340 +V- +tp6341 +a(g69 +Vzone +p6342 +tp6343 +a(g198 +V, +tp6344 +a(g69 +Vbaseprecv +p6345 +tp6346 +a(g198 +V( +tp6347 +a(g69 +Vilev +p6348 +tp6349 +a(g198 +V) +tp6350 +a(g198 +V% +tp6351 +a(g69 +Vbase_a +p6352 +tp6353 +a(g198 +V, +tp6354 +a(g69 +Vmlprec_wrk +p6355 +tp6356 +a(g198 +V( +tp6357 +a(g69 +Vilev +p6358 +tp6359 +a(g198 +V) +tp6360 +a(g198 +V% +tp6361 +a(g69 +Vy2l +p6362 +tp6363 +a(g198 +V, +tp6364 +a(g198 +V& +tp6365 +a(g185 +V\u000a +p6366 +tp6367 +a(g198 +V& +tp6368 +a(g185 +V +tp6369 +a(g69 +Vzone +p6370 +tp6371 +a(g198 +V, +tp6372 +a(g69 +Vmlprec_wrk +p6373 +tp6374 +a(g198 +V( +tp6375 +a(g69 +Vilev +p6376 +tp6377 +a(g198 +V) +tp6378 +a(g198 +V% +tp6379 +a(g69 +Vtx +p6380 +tp6381 +a(g198 +V, +tp6382 +a(g69 +Vbaseprecv +p6383 +tp6384 +a(g198 +V( +tp6385 +a(g69 +Vilev +p6386 +tp6387 +a(g198 +V) +tp6388 +a(g198 +V% +tp6389 +a(g69 +Vbase_desc +p6390 +tp6391 +a(g198 +V, +tp6392 +a(g69 +Vinfo +p6393 +tp6394 +a(g198 +V, +tp6395 +a(g69 +Vwork +p6396 +tp6397 +a(g340 +V= +tp6398 +a(g69 +Vwork +p6399 +tp6400 +a(g198 +V) +tp6401 +a(g185 +V\u000a +p6402 +tp6403 +a(g107 +Vif +p6404 +tp6405 +a(g198 +V( +tp6406 +a(g69 +Vinfo +p6407 +tp6408 +a(g185 +V +tp6409 +a(g340 +V/ +tp6410 +a(g340 +V= +tp6411 +a(g315 +V0 +tp6412 +a(g198 +V) +tp6413 +a(g185 +V +tp6414 +a(g107 +Vgoto +p6415 +tp6416 +a(g315 +V9999 +p6417 +tp6418 +a(g185 +V\u000a +p6419 +tp6420 +a(g107 +Vendif\u000a\u000a +p6421 +tp6422 +a(g69 +Venddo +p6423 +tp6424 +a(g185 +V\u000a\u000a +p6425 +tp6426 +a(g107 +Vdo +p6427 +tp6428 +a(g69 +Vilev +p6429 +tp6430 +a(g185 +V +tp6431 +a(g340 +V= +tp6432 +a(g185 +V +tp6433 +a(g69 +Vnlev +p6434 +tp6435 +a(g340 +V- +tp6436 +a(g315 +V1 +tp6437 +a(g198 +V, +tp6438 +a(g185 +V +tp6439 +a(g315 +V1 +tp6440 +a(g198 +V, +tp6441 +a(g185 +V +tp6442 +a(g340 +V- +tp6443 +a(g315 +V1 +tp6444 +a(g185 +V\u000a\u000a +p6445 +tp6446 +a(g69 +Vismth +p6447 +tp6448 +a(g340 +V= +tp6449 +a(g69 +Vbaseprecv +p6450 +tp6451 +a(g198 +V( +tp6452 +a(g69 +Vilev +p6453 +tp6454 +a(g340 +V+ +tp6455 +a(g315 +V1 +tp6456 +a(g198 +V) +tp6457 +a(g198 +V% +tp6458 +a(g69 +Viprcparm +p6459 +tp6460 +a(g198 +V( +tp6461 +a(g69 +Vsmth_kind_ +p6462 +tp6463 +a(g198 +V) +tp6464 +a(g185 +V\u000a\u000a +p6465 +tp6466 +a(g107 +Vif +p6467 +tp6468 +a(g185 +V +tp6469 +a(g198 +V( +tp6470 +a(g69 +Vismth +p6471 +tp6472 +a(g185 +V +p6473 +tp6474 +a(g340 +V/ +tp6475 +a(g340 +V= +tp6476 +a(g185 +V +tp6477 +a(g69 +Vno_smth_ +p6478 +tp6479 +a(g198 +V) +tp6480 +a(g185 +V +tp6481 +a(g107 +Vthen\u000a\u000a +p6482 +tp6483 +a(g107 +Vif +p6484 +tp6485 +a(g185 +V +tp6486 +a(g198 +V( +tp6487 +a(g69 +Vismth +p6488 +tp6489 +a(g185 +V +tp6490 +a(g340 +V== +p6491 +tp6492 +a(g185 +V +tp6493 +a(g69 +Vsmth_omg_ +p6494 +tp6495 +a(g198 +V) +tp6496 +a(g185 +V +tp6497 +a(g198 +V& +tp6498 +a(g185 +V\u000a +p6499 +tp6500 +a(g198 +V& +tp6501 +a(g185 +V +tp6502 +a(g107 +Vcall +p6503 +tp6504 +a(g69 +Vpsb_halo +p6505 +tp6506 +a(g198 +V( +tp6507 +a(g69 +Vmlprec_wrk +p6508 +tp6509 +a(g198 +V( +tp6510 +a(g69 +Vilev +p6511 +tp6512 +a(g340 +V+ +tp6513 +a(g315 +V1 +tp6514 +a(g198 +V) +tp6515 +a(g198 +V% +tp6516 +a(g69 +Vy2l +p6517 +tp6518 +a(g198 +V, +tp6519 +a(g198 +V& +tp6520 +a(g185 +V\u000a +p6521 +tp6522 +a(g198 +V& +tp6523 +a(g185 +V +tp6524 +a(g69 +Vbaseprecv +p6525 +tp6526 +a(g198 +V( +tp6527 +a(g69 +Vilev +p6528 +tp6529 +a(g340 +V+ +tp6530 +a(g315 +V1 +tp6531 +a(g198 +V) +tp6532 +a(g198 +V% +tp6533 +a(g69 +Vdesc_data +p6534 +tp6535 +a(g198 +V, +tp6536 +a(g69 +Vinfo +p6537 +tp6538 +a(g198 +V, +tp6539 +a(g69 +Vwork +p6540 +tp6541 +a(g340 +V= +tp6542 +a(g69 +Vwork +p6543 +tp6544 +a(g198 +V) +tp6545 +a(g185 +V\u000a +p6546 +tp6547 +a(g107 +Vcall +p6548 +tp6549 +a(g69 +Vpsb_csmm +p6550 +tp6551 +a(g198 +V( +tp6552 +a(g69 +Vzone +p6553 +tp6554 +a(g198 +V, +tp6555 +a(g69 +Vbaseprecv +p6556 +tp6557 +a(g198 +V( +tp6558 +a(g69 +Vilev +p6559 +tp6560 +a(g340 +V+ +tp6561 +a(g315 +V1 +tp6562 +a(g198 +V) +tp6563 +a(g198 +V% +tp6564 +a(g69 +Vav +p6565 +tp6566 +a(g198 +V( +tp6567 +a(g69 +Vsm_pr_ +p6568 +tp6569 +a(g198 +V) +tp6570 +a(g198 +V, +tp6571 +a(g69 +Vmlprec_wrk +p6572 +tp6573 +a(g198 +V( +tp6574 +a(g69 +Vilev +p6575 +tp6576 +a(g340 +V+ +tp6577 +a(g315 +V1 +tp6578 +a(g198 +V) +tp6579 +a(g198 +V% +tp6580 +a(g69 +Vy2l +p6581 +tp6582 +a(g198 +V, +tp6583 +a(g198 +V& +tp6584 +a(g185 +V\u000a +p6585 +tp6586 +a(g198 +V& +tp6587 +a(g185 +V +tp6588 +a(g69 +Vzone +p6589 +tp6590 +a(g198 +V, +tp6591 +a(g69 +Vmlprec_wrk +p6592 +tp6593 +a(g198 +V( +tp6594 +a(g69 +Vilev +p6595 +tp6596 +a(g198 +V) +tp6597 +a(g198 +V% +tp6598 +a(g69 +Vy2l +p6599 +tp6600 +a(g198 +V, +tp6601 +a(g69 +Vinfo +p6602 +tp6603 +a(g198 +V) +tp6604 +a(g185 +V\u000a\u000a +p6605 +tp6606 +a(g107 +Vif +p6607 +tp6608 +a(g198 +V( +tp6609 +a(g69 +Vinfo +p6610 +tp6611 +a(g185 +V +tp6612 +a(g340 +V/ +tp6613 +a(g340 +V= +tp6614 +a(g315 +V0 +tp6615 +a(g198 +V) +tp6616 +a(g185 +V +tp6617 +a(g107 +Vgoto +p6618 +tp6619 +a(g315 +V9999 +p6620 +tp6621 +a(g185 +V\u000a\u000a +p6622 +tp6623 +a(g107 +Velse\u000a\u000a +p6624 +tp6625 +a(g69 +Vn_row +p6626 +tp6627 +a(g185 +V +tp6628 +a(g340 +V= +tp6629 +a(g185 +V +tp6630 +a(g69 +Vbaseprecv +p6631 +tp6632 +a(g198 +V( +tp6633 +a(g69 +Vilev +p6634 +tp6635 +a(g340 +V+ +tp6636 +a(g315 +V1 +tp6637 +a(g198 +V) +tp6638 +a(g198 +V% +tp6639 +a(g69 +Vbase_desc +p6640 +tp6641 +a(g198 +V% +tp6642 +a(g69 +Vmatrix_data +p6643 +tp6644 +a(g198 +V( +tp6645 +a(g69 +Vpsb_n_row_ +p6646 +tp6647 +a(g198 +V) +tp6648 +a(g185 +V\u000a +p6649 +tp6650 +a(g107 +Vdo +p6651 +tp6652 +a(g69 +Vi +tp6653 +a(g340 +V= +tp6654 +a(g315 +V1 +tp6655 +a(g198 +V, +tp6656 +a(g185 +V +tp6657 +a(g69 +Vn_row +p6658 +tp6659 +a(g185 +V\u000a +p6660 +tp6661 +a(g69 +Vmlprec_wrk +p6662 +tp6663 +a(g198 +V( +tp6664 +a(g69 +Vilev +p6665 +tp6666 +a(g198 +V) +tp6667 +a(g198 +V% +tp6668 +a(g69 +Vy2l +p6669 +tp6670 +a(g198 +V( +tp6671 +a(g69 +Vi +tp6672 +a(g198 +V) +tp6673 +a(g185 +V +tp6674 +a(g340 +V= +tp6675 +a(g185 +V +tp6676 +a(g69 +Vmlprec_wrk +p6677 +tp6678 +a(g198 +V( +tp6679 +a(g69 +Vilev +p6680 +tp6681 +a(g198 +V) +tp6682 +a(g198 +V% +tp6683 +a(g69 +Vy2l +p6684 +tp6685 +a(g198 +V( +tp6686 +a(g69 +Vi +tp6687 +a(g198 +V) +tp6688 +a(g185 +V +tp6689 +a(g340 +V+ +tp6690 +a(g185 +V +tp6691 +a(g198 +V& +tp6692 +a(g185 +V\u000a +p6693 +tp6694 +a(g198 +V& +tp6695 +a(g185 +V +tp6696 +a(g69 +Vmlprec_wrk +p6697 +tp6698 +a(g198 +V( +tp6699 +a(g69 +Vilev +p6700 +tp6701 +a(g340 +V+ +tp6702 +a(g315 +V1 +tp6703 +a(g198 +V) +tp6704 +a(g198 +V% +tp6705 +a(g69 +Vy2l +p6706 +tp6707 +a(g198 +V( +tp6708 +a(g69 +Vbaseprecv +p6709 +tp6710 +a(g198 +V( +tp6711 +a(g69 +Vilev +p6712 +tp6713 +a(g340 +V+ +tp6714 +a(g315 +V1 +tp6715 +a(g198 +V) +tp6716 +a(g198 +V% +tp6717 +a(g69 +Vmlia +p6718 +tp6719 +a(g198 +V( +tp6720 +a(g69 +Vi +tp6721 +a(g198 +V) +tp6722 +a(g198 +V) +tp6723 +a(g185 +V\u000a +p6724 +tp6725 +a(g69 +Venddo +p6726 +tp6727 +a(g185 +V\u000a\u000a +p6728 +tp6729 +a(g107 +Vend +p6730 +tp6731 +a(g107 +Vif\u000a\u000a +p6732 +tp6733 +a(g69 +Venddo +p6734 +tp6735 +a(g185 +V\u000a\u000a +p6736 +tp6737 +a(g107 +Vcall +p6738 +tp6739 +a(g69 +Vpsb_geaxpby +p6740 +tp6741 +a(g198 +V( +tp6742 +a(g69 +Valpha +p6743 +tp6744 +a(g198 +V, +tp6745 +a(g69 +Vmlprec_wrk +p6746 +tp6747 +a(g198 +V( +tp6748 +a(g315 +V1 +tp6749 +a(g198 +V) +tp6750 +a(g198 +V% +tp6751 +a(g69 +Vy2l +p6752 +tp6753 +a(g198 +V, +tp6754 +a(g69 +Vbeta +p6755 +tp6756 +a(g198 +V, +tp6757 +a(g69 +Vy +tp6758 +a(g198 +V, +tp6759 +a(g198 +V& +tp6760 +a(g185 +V\u000a +p6761 +tp6762 +a(g198 +V& +tp6763 +a(g185 +V +p6764 +tp6765 +a(g69 +Vbaseprecv +p6766 +tp6767 +a(g198 +V( +tp6768 +a(g315 +V1 +tp6769 +a(g198 +V) +tp6770 +a(g198 +V% +tp6771 +a(g69 +Vbase_desc +p6772 +tp6773 +a(g198 +V, +tp6774 +a(g69 +Vinfo +p6775 +tp6776 +a(g198 +V) +tp6777 +a(g185 +V\u000a\u000a +p6778 +tp6779 +a(g107 +Vif +p6780 +tp6781 +a(g198 +V( +tp6782 +a(g69 +Vinfo +p6783 +tp6784 +a(g185 +V +tp6785 +a(g340 +V/ +tp6786 +a(g340 +V= +tp6787 +a(g315 +V0 +tp6788 +a(g198 +V) +tp6789 +a(g185 +V +tp6790 +a(g107 +Vgoto +p6791 +tp6792 +a(g315 +V9999 +p6793 +tp6794 +a(g185 +V\u000a\u000a\u000a\u000a +p6795 +tp6796 +a(g107 +Vcase +p6797 +tp6798 +a(g198 +V( +tp6799 +a(g69 +Vsmooth_both_ +p6800 +tp6801 +a(g198 +V) +tp6802 +a(g185 +V\u000a\u000a +p6803 +tp6804 +a(g6 +V!\u000a +p6805 +tp6806 +a(g185 +V +p6807 +tp6808 +a(g6 +V! Symmetrized smoothing.\u000a +p6809 +tp6810 +a(g185 +V +p6811 +tp6812 +a(g6 +V! 1. X(1) = Xext\u000a +p6813 +tp6814 +a(g185 +V +p6815 +tp6816 +a(g6 +V! 2. Y(1) = (K(1)**(-1))*X(1)\u000a +p6817 +tp6818 +a(g185 +V +p6819 +tp6820 +a(g6 +V! 3. TX(1) = X(1) - A(1)*Y(1)\u000a +p6821 +tp6822 +a(g185 +V +p6823 +tp6824 +a(g6 +V! 4. DO ILEV=2, NLEV\u000a +p6825 +tp6826 +a(g185 +V +p6827 +tp6828 +a(g6 +V! X(ILEV) = AV(PR_SM_T_,ILEV)*TX(ILEV-1)\u000a +p6829 +tp6830 +a(g185 +V +p6831 +tp6832 +a(g6 +V! Y(ILEV) = (K(ILEV)**(-1))*X(ILEV)\u000a +p6833 +tp6834 +a(g185 +V +p6835 +tp6836 +a(g6 +V! TX(ILEV) = (X(ILEV)-A(ILEV)*Y(ILEV))\u000a +p6837 +tp6838 +a(g185 +V +p6839 +tp6840 +a(g6 +V! 5. DO ILEV=NLEV-1,1,-1\u000a +p6841 +tp6842 +a(g185 +V +p6843 +tp6844 +a(g6 +V! Y(ILEV) = Y(ILEV) + AV(PR_SM_,ILEV+1)*Y(ILEV+1)\u000a +p6845 +tp6846 +a(g185 +V +p6847 +tp6848 +a(g6 +V! Y(ILEV) = Y(ILEV) + (K(ILEV)**(-1))*(X(ILEV)-A(ILEV)*Y(ILEV))\u000a +p6849 +tp6850 +a(g185 +V +p6851 +tp6852 +a(g6 +V! 6. Yext = beta*Yext + Y(1)\u000a +p6853 +tp6854 +a(g185 +V +p6855 +tp6856 +a(g6 +V!\u000a +p6857 +tp6858 +a(g185 +V +p6859 +tp6860 +a(g6 +V! Note: level numbering reversed wrt ref. DD, i.e.\u000a +p6861 +tp6862 +a(g185 +V +p6863 +tp6864 +a(g6 +V! 1..NLEV <=> (j) <-> 0\u000a +p6865 +tp6866 +a(g185 +V +p6867 +tp6868 +a(g6 +V!\u000a +p6869 +tp6870 +a(g185 +V +p6871 +tp6872 +a(g6 +V!\u000a +p6873 +tp6874 +a(g185 +V +p6875 +tp6876 +a(g69 +Vn_col +p6877 +tp6878 +a(g185 +V +tp6879 +a(g340 +V= +tp6880 +a(g185 +V +tp6881 +a(g69 +Vdesc_data +p6882 +tp6883 +a(g198 +V% +tp6884 +a(g69 +Vmatrix_data +p6885 +tp6886 +a(g198 +V( +tp6887 +a(g69 +Vpsb_n_col_ +p6888 +tp6889 +a(g198 +V) +tp6890 +a(g185 +V\u000a +p6891 +tp6892 +a(g69 +Vnr2l +p6893 +tp6894 +a(g185 +V +p6895 +tp6896 +a(g340 +V= +tp6897 +a(g185 +V +tp6898 +a(g69 +Vbaseprecv +p6899 +tp6900 +a(g198 +V( +tp6901 +a(g315 +V1 +tp6902 +a(g198 +V) +tp6903 +a(g198 +V% +tp6904 +a(g69 +Vdesc_data +p6905 +tp6906 +a(g198 +V% +tp6907 +a(g69 +Vmatrix_data +p6908 +tp6909 +a(g198 +V( +tp6910 +a(g69 +Vpsb_n_col_ +p6911 +tp6912 +a(g198 +V) +tp6913 +a(g185 +V\u000a\u000a +p6914 +tp6915 +a(g107 +Vallocate +p6916 +tp6917 +a(g198 +V( +tp6918 +a(g69 +Vmlprec_wrk +p6919 +tp6920 +a(g198 +V( +tp6921 +a(g315 +V1 +tp6922 +a(g198 +V) +tp6923 +a(g198 +V% +tp6924 +a(g69 +Vx2l +p6925 +tp6926 +a(g198 +V( +tp6927 +a(g69 +Vnr2l +p6928 +tp6929 +a(g198 +V) +tp6930 +a(g198 +V, +tp6931 +a(g69 +Vmlprec_wrk +p6932 +tp6933 +a(g198 +V( +tp6934 +a(g315 +V1 +tp6935 +a(g198 +V) +tp6936 +a(g198 +V% +tp6937 +a(g69 +Vy2l +p6938 +tp6939 +a(g198 +V( +tp6940 +a(g69 +Vnr2l +p6941 +tp6942 +a(g198 +V) +tp6943 +a(g198 +V, +tp6944 +a(g185 +V +tp6945 +a(g198 +V& +tp6946 +a(g185 +V\u000a +p6947 +tp6948 +a(g198 +V& +tp6949 +a(g185 +V +tp6950 +a(g69 +Vmlprec_wrk +p6951 +tp6952 +a(g198 +V( +tp6953 +a(g315 +V1 +tp6954 +a(g198 +V) +tp6955 +a(g198 +V% +tp6956 +a(g69 +Vty +p6957 +tp6958 +a(g198 +V( +tp6959 +a(g69 +Vnr2l +p6960 +tp6961 +a(g198 +V) +tp6962 +a(g198 +V, +tp6963 +a(g185 +V +tp6964 +a(g69 +Vmlprec_wrk +p6965 +tp6966 +a(g198 +V( +tp6967 +a(g315 +V1 +tp6968 +a(g198 +V) +tp6969 +a(g198 +V% +tp6970 +a(g69 +Vtx +p6971 +tp6972 +a(g198 +V( +tp6973 +a(g69 +Vnr2l +p6974 +tp6975 +a(g198 +V) +tp6976 +a(g198 +V, +tp6977 +a(g185 +V +tp6978 +a(g53 +Vstat +p6979 +tp6980 +a(g340 +V= +tp6981 +a(g69 +Vinfo +p6982 +tp6983 +a(g198 +V) +tp6984 +a(g185 +V\u000a\u000a +p6985 +tp6986 +a(g69 +Vmlprec_wrk +p6987 +tp6988 +a(g198 +V( +tp6989 +a(g315 +V1 +tp6990 +a(g198 +V) +tp6991 +a(g198 +V% +tp6992 +a(g69 +Vx2l +p6993 +tp6994 +a(g198 +V( +tp6995 +a(g198 +V: +tp6996 +a(g198 +V) +tp6997 +a(g185 +V +tp6998 +a(g340 +V= +tp6999 +a(g185 +V +tp7000 +a(g69 +Vzzero +p7001 +tp7002 +a(g185 +V\u000a +p7003 +tp7004 +a(g69 +Vmlprec_wrk +p7005 +tp7006 +a(g198 +V( +tp7007 +a(g315 +V1 +tp7008 +a(g198 +V) +tp7009 +a(g198 +V% +tp7010 +a(g69 +Vy2l +p7011 +tp7012 +a(g198 +V( +tp7013 +a(g198 +V: +tp7014 +a(g198 +V) +tp7015 +a(g185 +V +tp7016 +a(g340 +V= +tp7017 +a(g185 +V +tp7018 +a(g69 +Vzzero +p7019 +tp7020 +a(g185 +V\u000a +p7021 +tp7022 +a(g69 +Vmlprec_wrk +p7023 +tp7024 +a(g198 +V( +tp7025 +a(g315 +V1 +tp7026 +a(g198 +V) +tp7027 +a(g198 +V% +tp7028 +a(g69 +Vtx +p7029 +tp7030 +a(g198 +V( +tp7031 +a(g198 +V: +tp7032 +a(g198 +V) +tp7033 +a(g185 +V +tp7034 +a(g340 +V= +tp7035 +a(g185 +V +tp7036 +a(g69 +Vzzero +p7037 +tp7038 +a(g185 +V\u000a +p7039 +tp7040 +a(g69 +Vmlprec_wrk +p7041 +tp7042 +a(g198 +V( +tp7043 +a(g315 +V1 +tp7044 +a(g198 +V) +tp7045 +a(g198 +V% +tp7046 +a(g69 +Vty +p7047 +tp7048 +a(g198 +V( +tp7049 +a(g198 +V: +tp7050 +a(g198 +V) +tp7051 +a(g185 +V +tp7052 +a(g340 +V= +tp7053 +a(g185 +V +tp7054 +a(g69 +Vzzero +p7055 +tp7056 +a(g185 +V\u000a\u000a\u000a +p7057 +tp7058 +a(g107 +Vif +p7059 +tp7060 +a(g185 +V +tp7061 +a(g198 +V( +tp7062 +a(g69 +Vinfo +p7063 +tp7064 +a(g185 +V +tp7065 +a(g340 +V/ +tp7066 +a(g340 +V= +tp7067 +a(g185 +V +tp7068 +a(g315 +V0 +tp7069 +a(g198 +V) +tp7070 +a(g185 +V +tp7071 +a(g107 +Vthen\u000a +p7072 +tp7073 +a(g107 +Vcall +p7074 +tp7075 +a(g69 +Vpsb_errpush +p7076 +tp7077 +a(g198 +V( +tp7078 +a(g315 +V4010 +p7079 +tp7080 +a(g198 +V, +tp7081 +a(g69 +Vname +p7082 +tp7083 +a(g198 +V, +tp7084 +a(g69 +Va_err +p7085 +tp7086 +a(g340 +V= +tp7087 +a(g268 +V'Allocate' +p7088 +tp7089 +a(g198 +V) +tp7090 +a(g185 +V\u000a +p7091 +tp7092 +a(g107 +Vgoto +p7093 +tp7094 +a(g315 +V9999 +p7095 +tp7096 +a(g185 +V\u000a +p7097 +tp7098 +a(g107 +Vend +p7099 +tp7100 +a(g107 +Vif\u000a\u000a +p7101 +tp7102 +a(g107 +Vcall +p7103 +tp7104 +a(g69 +Vpsb_geaxpby +p7105 +tp7106 +a(g198 +V( +tp7107 +a(g69 +Vzone +p7108 +tp7109 +a(g198 +V, +tp7110 +a(g69 +Vx +tp7111 +a(g198 +V, +tp7112 +a(g69 +Vzzero +p7113 +tp7114 +a(g198 +V, +tp7115 +a(g69 +Vmlprec_wrk +p7116 +tp7117 +a(g198 +V( +tp7118 +a(g315 +V1 +tp7119 +a(g198 +V) +tp7120 +a(g198 +V% +tp7121 +a(g69 +Vx2l +p7122 +tp7123 +a(g198 +V, +tp7124 +a(g198 +V& +tp7125 +a(g185 +V\u000a +p7126 +tp7127 +a(g198 +V& +tp7128 +a(g185 +V +tp7129 +a(g69 +Vbaseprecv +p7130 +tp7131 +a(g198 +V( +tp7132 +a(g315 +V1 +tp7133 +a(g198 +V) +tp7134 +a(g198 +V% +tp7135 +a(g69 +Vbase_desc +p7136 +tp7137 +a(g198 +V, +tp7138 +a(g69 +Vinfo +p7139 +tp7140 +a(g198 +V) +tp7141 +a(g185 +V\u000a +p7142 +tp7143 +a(g107 +Vcall +p7144 +tp7145 +a(g69 +Vpsb_geaxpby +p7146 +tp7147 +a(g198 +V( +tp7148 +a(g69 +Vzone +p7149 +tp7150 +a(g198 +V, +tp7151 +a(g69 +Vx +tp7152 +a(g198 +V, +tp7153 +a(g69 +Vzzero +p7154 +tp7155 +a(g198 +V, +tp7156 +a(g69 +Vmlprec_wrk +p7157 +tp7158 +a(g198 +V( +tp7159 +a(g315 +V1 +tp7160 +a(g198 +V) +tp7161 +a(g198 +V% +tp7162 +a(g69 +Vtx +p7163 +tp7164 +a(g198 +V, +tp7165 +a(g198 +V& +tp7166 +a(g185 +V\u000a +p7167 +tp7168 +a(g198 +V& +tp7169 +a(g185 +V +tp7170 +a(g69 +Vbaseprecv +p7171 +tp7172 +a(g198 +V( +tp7173 +a(g315 +V1 +tp7174 +a(g198 +V) +tp7175 +a(g198 +V% +tp7176 +a(g69 +Vbase_desc +p7177 +tp7178 +a(g198 +V, +tp7179 +a(g69 +Vinfo +p7180 +tp7181 +a(g198 +V) +tp7182 +a(g185 +V\u000a\u000a +p7183 +tp7184 +a(g107 +Vcall +p7185 +tp7186 +a(g69 +Vpsb_baseprc_aply +p7187 +tp7188 +a(g198 +V( +tp7189 +a(g69 +Vzone +p7190 +tp7191 +a(g198 +V, +tp7192 +a(g69 +Vbaseprecv +p7193 +tp7194 +a(g198 +V( +tp7195 +a(g315 +V1 +tp7196 +a(g198 +V) +tp7197 +a(g198 +V, +tp7198 +a(g69 +Vmlprec_wrk +p7199 +tp7200 +a(g198 +V( +tp7201 +a(g315 +V1 +tp7202 +a(g198 +V) +tp7203 +a(g198 +V% +tp7204 +a(g69 +Vx2l +p7205 +tp7206 +a(g198 +V, +tp7207 +a(g198 +V& +tp7208 +a(g185 +V\u000a +p7209 +tp7210 +a(g198 +V& +tp7211 +a(g185 +V +p7212 +tp7213 +a(g69 +Vzzero +p7214 +tp7215 +a(g198 +V, +tp7216 +a(g69 +Vmlprec_wrk +p7217 +tp7218 +a(g198 +V( +tp7219 +a(g315 +V1 +tp7220 +a(g198 +V) +tp7221 +a(g198 +V% +tp7222 +a(g69 +Vy2l +p7223 +tp7224 +a(g198 +V, +tp7225 +a(g198 +V& +tp7226 +a(g185 +V\u000a +p7227 +tp7228 +a(g198 +V& +tp7229 +a(g185 +V +p7230 +tp7231 +a(g69 +Vbaseprecv +p7232 +tp7233 +a(g198 +V( +tp7234 +a(g315 +V1 +tp7235 +a(g198 +V) +tp7236 +a(g198 +V% +tp7237 +a(g69 +Vbase_desc +p7238 +tp7239 +a(g198 +V, +tp7240 +a(g198 +V& +tp7241 +a(g185 +V\u000a +p7242 +tp7243 +a(g198 +V& +tp7244 +a(g185 +V +p7245 +tp7246 +a(g69 +Vtrans +p7247 +tp7248 +a(g198 +V, +tp7249 +a(g69 +Vwork +p7250 +tp7251 +a(g198 +V, +tp7252 +a(g69 +Vinfo +p7253 +tp7254 +a(g198 +V) +tp7255 +a(g185 +V\u000a\u000a +p7256 +tp7257 +a(g107 +Vif +p7258 +tp7259 +a(g198 +V( +tp7260 +a(g69 +Vinfo +p7261 +tp7262 +a(g185 +V +tp7263 +a(g340 +V/ +tp7264 +a(g340 +V= +tp7265 +a(g315 +V0 +tp7266 +a(g198 +V) +tp7267 +a(g185 +V +tp7268 +a(g107 +Vgoto +p7269 +tp7270 +a(g315 +V9999 +p7271 +tp7272 +a(g185 +V\u000a\u000a +p7273 +tp7274 +a(g69 +Vmlprec_wrk +p7275 +tp7276 +a(g198 +V( +tp7277 +a(g315 +V1 +tp7278 +a(g198 +V) +tp7279 +a(g198 +V% +tp7280 +a(g69 +Vty +p7281 +tp7282 +a(g185 +V +tp7283 +a(g340 +V= +tp7284 +a(g185 +V +tp7285 +a(g69 +Vmlprec_wrk +p7286 +tp7287 +a(g198 +V( +tp7288 +a(g315 +V1 +tp7289 +a(g198 +V) +tp7290 +a(g198 +V% +tp7291 +a(g69 +Vx2l +p7292 +tp7293 +a(g185 +V\u000a\u000a +p7294 +tp7295 +a(g107 +Vcall +p7296 +tp7297 +a(g69 +Vpsb_spmm +p7298 +tp7299 +a(g198 +V( +tp7300 +a(g340 +V- +tp7301 +a(g69 +Vzone +p7302 +tp7303 +a(g198 +V, +tp7304 +a(g69 +Vbaseprecv +p7305 +tp7306 +a(g198 +V( +tp7307 +a(g315 +V1 +tp7308 +a(g198 +V) +tp7309 +a(g198 +V% +tp7310 +a(g69 +Vbase_a +p7311 +tp7312 +a(g198 +V, +tp7313 +a(g69 +Vmlprec_wrk +p7314 +tp7315 +a(g198 +V( +tp7316 +a(g315 +V1 +tp7317 +a(g198 +V) +tp7318 +a(g198 +V% +tp7319 +a(g69 +Vy2l +p7320 +tp7321 +a(g198 +V, +tp7322 +a(g198 +V& +tp7323 +a(g185 +V\u000a +p7324 +tp7325 +a(g198 +V& +tp7326 +a(g185 +V +tp7327 +a(g69 +Vzone +p7328 +tp7329 +a(g198 +V, +tp7330 +a(g69 +Vmlprec_wrk +p7331 +tp7332 +a(g198 +V( +tp7333 +a(g315 +V1 +tp7334 +a(g198 +V) +tp7335 +a(g198 +V% +tp7336 +a(g69 +Vty +p7337 +tp7338 +a(g198 +V, +tp7339 +a(g69 +Vbaseprecv +p7340 +tp7341 +a(g198 +V( +tp7342 +a(g315 +V1 +tp7343 +a(g198 +V) +tp7344 +a(g198 +V% +tp7345 +a(g69 +Vbase_desc +p7346 +tp7347 +a(g198 +V, +tp7348 +a(g69 +Vinfo +p7349 +tp7350 +a(g198 +V, +tp7351 +a(g69 +Vwork +p7352 +tp7353 +a(g340 +V= +tp7354 +a(g69 +Vwork +p7355 +tp7356 +a(g198 +V) +tp7357 +a(g185 +V\u000a +p7358 +tp7359 +a(g107 +Vif +p7360 +tp7361 +a(g198 +V( +tp7362 +a(g69 +Vinfo +p7363 +tp7364 +a(g185 +V +tp7365 +a(g340 +V/ +tp7366 +a(g340 +V= +tp7367 +a(g315 +V0 +tp7368 +a(g198 +V) +tp7369 +a(g185 +V +tp7370 +a(g107 +Vgoto +p7371 +tp7372 +a(g315 +V9999 +p7373 +tp7374 +a(g185 +V\u000a\u000a +p7375 +tp7376 +a(g107 +Vdo +p7377 +tp7378 +a(g69 +Vilev +p7379 +tp7380 +a(g185 +V +tp7381 +a(g340 +V= +tp7382 +a(g185 +V +tp7383 +a(g315 +V2 +tp7384 +a(g198 +V, +tp7385 +a(g185 +V +tp7386 +a(g69 +Vnlev +p7387 +tp7388 +a(g185 +V\u000a +p7389 +tp7390 +a(g69 +Vn_row +p7391 +tp7392 +a(g185 +V +tp7393 +a(g340 +V= +tp7394 +a(g185 +V +tp7395 +a(g69 +Vbaseprecv +p7396 +tp7397 +a(g198 +V( +tp7398 +a(g69 +Vilev +p7399 +tp7400 +a(g340 +V- +tp7401 +a(g315 +V1 +tp7402 +a(g198 +V) +tp7403 +a(g198 +V% +tp7404 +a(g69 +Vbase_desc +p7405 +tp7406 +a(g198 +V% +tp7407 +a(g69 +Vmatrix_data +p7408 +tp7409 +a(g198 +V( +tp7410 +a(g69 +Vpsb_n_row_ +p7411 +tp7412 +a(g198 +V) +tp7413 +a(g185 +V\u000a +p7414 +tp7415 +a(g69 +Vn_col +p7416 +tp7417 +a(g185 +V +tp7418 +a(g340 +V= +tp7419 +a(g185 +V +tp7420 +a(g69 +Vbaseprecv +p7421 +tp7422 +a(g198 +V( +tp7423 +a(g69 +Vilev +p7424 +tp7425 +a(g340 +V- +tp7426 +a(g315 +V1 +tp7427 +a(g198 +V) +tp7428 +a(g198 +V% +tp7429 +a(g69 +Vdesc_data +p7430 +tp7431 +a(g198 +V% +tp7432 +a(g69 +Vmatrix_data +p7433 +tp7434 +a(g198 +V( +tp7435 +a(g69 +Vpsb_n_col_ +p7436 +tp7437 +a(g198 +V) +tp7438 +a(g185 +V\u000a +p7439 +tp7440 +a(g69 +Vnr2l +p7441 +tp7442 +a(g185 +V +p7443 +tp7444 +a(g340 +V= +tp7445 +a(g185 +V +tp7446 +a(g69 +Vbaseprecv +p7447 +tp7448 +a(g198 +V( +tp7449 +a(g69 +Vilev +p7450 +tp7451 +a(g198 +V) +tp7452 +a(g198 +V% +tp7453 +a(g69 +Vdesc_data +p7454 +tp7455 +a(g198 +V% +tp7456 +a(g69 +Vmatrix_data +p7457 +tp7458 +a(g198 +V( +tp7459 +a(g69 +Vpsb_n_col_ +p7460 +tp7461 +a(g198 +V) +tp7462 +a(g185 +V\u000a +p7463 +tp7464 +a(g69 +Vnrg +p7465 +tp7466 +a(g185 +V +p7467 +tp7468 +a(g340 +V= +tp7469 +a(g185 +V +tp7470 +a(g69 +Vbaseprecv +p7471 +tp7472 +a(g198 +V( +tp7473 +a(g69 +Vilev +p7474 +tp7475 +a(g198 +V) +tp7476 +a(g198 +V% +tp7477 +a(g69 +Vdesc_data +p7478 +tp7479 +a(g198 +V% +tp7480 +a(g69 +Vmatrix_data +p7481 +tp7482 +a(g198 +V( +tp7483 +a(g69 +Vpsb_n_row_ +p7484 +tp7485 +a(g198 +V) +tp7486 +a(g185 +V\u000a +p7487 +tp7488 +a(g69 +Vismth +p7489 +tp7490 +a(g340 +V= +tp7491 +a(g69 +Vbaseprecv +p7492 +tp7493 +a(g198 +V( +tp7494 +a(g69 +Vilev +p7495 +tp7496 +a(g198 +V) +tp7497 +a(g198 +V% +tp7498 +a(g69 +Viprcparm +p7499 +tp7500 +a(g198 +V( +tp7501 +a(g69 +Vsmth_kind_ +p7502 +tp7503 +a(g198 +V) +tp7504 +a(g185 +V\u000a +p7505 +tp7506 +a(g107 +Vallocate +p7507 +tp7508 +a(g198 +V( +tp7509 +a(g69 +Vmlprec_wrk +p7510 +tp7511 +a(g198 +V( +tp7512 +a(g69 +Vilev +p7513 +tp7514 +a(g198 +V) +tp7515 +a(g198 +V% +tp7516 +a(g69 +Vty +p7517 +tp7518 +a(g198 +V( +tp7519 +a(g69 +Vnr2l +p7520 +tp7521 +a(g198 +V) +tp7522 +a(g198 +V, +tp7523 +a(g69 +Vmlprec_wrk +p7524 +tp7525 +a(g198 +V( +tp7526 +a(g69 +Vilev +p7527 +tp7528 +a(g198 +V) +tp7529 +a(g198 +V% +tp7530 +a(g69 +Vy2l +p7531 +tp7532 +a(g198 +V( +tp7533 +a(g69 +Vnr2l +p7534 +tp7535 +a(g198 +V) +tp7536 +a(g198 +V, +tp7537 +a(g198 +V& +tp7538 +a(g185 +V\u000a +p7539 +tp7540 +a(g198 +V& +tp7541 +a(g185 +V +p7542 +tp7543 +a(g69 +Vmlprec_wrk +p7544 +tp7545 +a(g198 +V( +tp7546 +a(g69 +Vilev +p7547 +tp7548 +a(g198 +V) +tp7549 +a(g198 +V% +tp7550 +a(g69 +Vx2l +p7551 +tp7552 +a(g198 +V( +tp7553 +a(g69 +Vnr2l +p7554 +tp7555 +a(g198 +V) +tp7556 +a(g198 +V, +tp7557 +a(g185 +V +tp7558 +a(g53 +Vstat +p7559 +tp7560 +a(g340 +V= +tp7561 +a(g69 +Vinfo +p7562 +tp7563 +a(g198 +V) +tp7564 +a(g185 +V\u000a\u000a +p7565 +tp7566 +a(g69 +Vmlprec_wrk +p7567 +tp7568 +a(g198 +V( +tp7569 +a(g69 +Vilev +p7570 +tp7571 +a(g198 +V) +tp7572 +a(g198 +V% +tp7573 +a(g69 +Vx2l +p7574 +tp7575 +a(g198 +V( +tp7576 +a(g198 +V: +tp7577 +a(g198 +V) +tp7578 +a(g185 +V +tp7579 +a(g340 +V= +tp7580 +a(g185 +V +tp7581 +a(g69 +Vzzero +p7582 +tp7583 +a(g185 +V\u000a +p7584 +tp7585 +a(g69 +Vmlprec_wrk +p7586 +tp7587 +a(g198 +V( +tp7588 +a(g69 +Vilev +p7589 +tp7590 +a(g198 +V) +tp7591 +a(g198 +V% +tp7592 +a(g69 +Vy2l +p7593 +tp7594 +a(g198 +V( +tp7595 +a(g198 +V: +tp7596 +a(g198 +V) +tp7597 +a(g185 +V +tp7598 +a(g340 +V= +tp7599 +a(g185 +V +tp7600 +a(g69 +Vzzero +p7601 +tp7602 +a(g185 +V\u000a +p7603 +tp7604 +a(g69 +Vmlprec_wrk +p7605 +tp7606 +a(g198 +V( +tp7607 +a(g69 +Vilev +p7608 +tp7609 +a(g198 +V) +tp7610 +a(g198 +V% +tp7611 +a(g69 +Vtx +p7612 +tp7613 +a(g198 +V( +tp7614 +a(g198 +V: +tp7615 +a(g198 +V) +tp7616 +a(g185 +V +tp7617 +a(g340 +V= +tp7618 +a(g185 +V +tp7619 +a(g69 +Vzzero +p7620 +tp7621 +a(g185 +V\u000a +p7622 +tp7623 +a(g69 +Vmlprec_wrk +p7624 +tp7625 +a(g198 +V( +tp7626 +a(g69 +Vilev +p7627 +tp7628 +a(g198 +V) +tp7629 +a(g198 +V% +tp7630 +a(g69 +Vty +p7631 +tp7632 +a(g198 +V( +tp7633 +a(g198 +V: +tp7634 +a(g198 +V) +tp7635 +a(g185 +V +tp7636 +a(g340 +V= +tp7637 +a(g185 +V +tp7638 +a(g69 +Vzzero +p7639 +tp7640 +a(g185 +V\u000a\u000a\u000a +p7641 +tp7642 +a(g107 +Vif +p7643 +tp7644 +a(g185 +V +tp7645 +a(g198 +V( +tp7646 +a(g69 +Vinfo +p7647 +tp7648 +a(g185 +V +tp7649 +a(g340 +V/ +tp7650 +a(g340 +V= +tp7651 +a(g185 +V +tp7652 +a(g315 +V0 +tp7653 +a(g198 +V) +tp7654 +a(g185 +V +tp7655 +a(g107 +Vthen\u000a +p7656 +tp7657 +a(g107 +Vcall +p7658 +tp7659 +a(g69 +Vpsb_errpush +p7660 +tp7661 +a(g198 +V( +tp7662 +a(g315 +V4010 +p7663 +tp7664 +a(g198 +V, +tp7665 +a(g69 +Vname +p7666 +tp7667 +a(g198 +V, +tp7668 +a(g69 +Va_err +p7669 +tp7670 +a(g340 +V= +tp7671 +a(g268 +V'Allocate' +p7672 +tp7673 +a(g198 +V) +tp7674 +a(g185 +V\u000a +p7675 +tp7676 +a(g107 +Vgoto +p7677 +tp7678 +a(g315 +V9999 +p7679 +tp7680 +a(g185 +V\u000a +p7681 +tp7682 +a(g107 +Vend +p7683 +tp7684 +a(g107 +Vif\u000a\u000a\u000a +p7685 +tp7686 +a(g107 +Vif +p7687 +tp7688 +a(g185 +V +tp7689 +a(g198 +V( +tp7690 +a(g69 +Vismth +p7691 +tp7692 +a(g185 +V +p7693 +tp7694 +a(g340 +V/ +tp7695 +a(g340 +V= +tp7696 +a(g185 +V +tp7697 +a(g69 +Vno_smth_ +p7698 +tp7699 +a(g198 +V) +tp7700 +a(g185 +V +tp7701 +a(g107 +Vthen +p7702 +tp7703 +a(g185 +V\u000a +p7704 +tp7705 +a(g6 +V!\u000a +p7706 +tp7707 +a(g185 +V +p7708 +tp7709 +a(g6 +V!Smoothed Aggregation\u000a +p7710 +tp7711 +a(g185 +V +p7712 +tp7713 +a(g6 +V!\u000a +p7714 +tp7715 +a(g185 +V +p7716 +tp7717 +a(g107 +Vif +p7718 +tp7719 +a(g185 +V +tp7720 +a(g198 +V( +tp7721 +a(g69 +Vbaseprecv +p7722 +tp7723 +a(g198 +V( +tp7724 +a(g69 +Vilev +p7725 +tp7726 +a(g198 +V) +tp7727 +a(g198 +V% +tp7728 +a(g69 +Viprcparm +p7729 +tp7730 +a(g198 +V( +tp7731 +a(g69 +Vglb_smth_ +p7732 +tp7733 +a(g198 +V) +tp7734 +a(g185 +V +tp7735 +a(g340 +V> +tp7736 +a(g315 +V0 +tp7737 +a(g198 +V) +tp7738 +a(g185 +V +tp7739 +a(g107 +Vthen\u000a\u000a +p7740 +tp7741 +a(g107 +Vcall +p7742 +tp7743 +a(g69 +Vpsb_halo +p7744 +tp7745 +a(g198 +V( +tp7746 +a(g69 +Vmlprec_wrk +p7747 +tp7748 +a(g198 +V( +tp7749 +a(g69 +Vilev +p7750 +tp7751 +a(g340 +V- +tp7752 +a(g315 +V1 +tp7753 +a(g198 +V) +tp7754 +a(g198 +V% +tp7755 +a(g69 +Vty +p7756 +tp7757 +a(g198 +V, +tp7758 +a(g69 +Vbaseprecv +p7759 +tp7760 +a(g198 +V( +tp7761 +a(g69 +Vilev +p7762 +tp7763 +a(g340 +V- +tp7764 +a(g315 +V1 +tp7765 +a(g198 +V) +tp7766 +a(g198 +V% +tp7767 +a(g69 +Vbase_desc +p7768 +tp7769 +a(g198 +V, +tp7770 +a(g198 +V& +tp7771 +a(g185 +V\u000a +p7772 +tp7773 +a(g198 +V& +tp7774 +a(g185 +V +tp7775 +a(g69 +Vinfo +p7776 +tp7777 +a(g198 +V, +tp7778 +a(g69 +Vwork +p7779 +tp7780 +a(g340 +V= +tp7781 +a(g69 +Vwork +p7782 +tp7783 +a(g198 +V) +tp7784 +a(g185 +V\u000a +p7785 +tp7786 +a(g107 +Vif +p7787 +tp7788 +a(g198 +V( +tp7789 +a(g69 +Vinfo +p7790 +tp7791 +a(g185 +V +tp7792 +a(g340 +V/ +tp7793 +a(g340 +V= +tp7794 +a(g315 +V0 +tp7795 +a(g198 +V) +tp7796 +a(g185 +V +tp7797 +a(g107 +Vgoto +p7798 +tp7799 +a(g315 +V9999 +p7800 +tp7801 +a(g185 +V\u000a +p7802 +tp7803 +a(g107 +Velse\u000a +p7804 +tp7805 +a(g69 +Vmlprec_wrk +p7806 +tp7807 +a(g198 +V( +tp7808 +a(g69 +Vilev +p7809 +tp7810 +a(g340 +V- +tp7811 +a(g315 +V1 +tp7812 +a(g198 +V) +tp7813 +a(g198 +V% +tp7814 +a(g69 +Vty +p7815 +tp7816 +a(g198 +V( +tp7817 +a(g69 +Vn_row +p7818 +tp7819 +a(g340 +V+ +tp7820 +a(g315 +V1 +tp7821 +a(g198 +V: +tp7822 +a(g53 +Vmax +p7823 +tp7824 +a(g198 +V( +tp7825 +a(g69 +Vn_row +p7826 +tp7827 +a(g198 +V, +tp7828 +a(g69 +Vn_col +p7829 +tp7830 +a(g198 +V) +tp7831 +a(g198 +V) +tp7832 +a(g185 +V +tp7833 +a(g340 +V= +tp7834 +a(g185 +V +tp7835 +a(g69 +Vzzero +p7836 +tp7837 +a(g185 +V\u000a +p7838 +tp7839 +a(g107 +Vend +p7840 +tp7841 +a(g107 +Vif\u000a\u000a +p7842 +tp7843 +a(g107 +Vcall +p7844 +tp7845 +a(g69 +Vpsb_csmm +p7846 +tp7847 +a(g198 +V( +tp7848 +a(g69 +Vzone +p7849 +tp7850 +a(g198 +V, +tp7851 +a(g69 +Vbaseprecv +p7852 +tp7853 +a(g198 +V( +tp7854 +a(g69 +Vilev +p7855 +tp7856 +a(g198 +V) +tp7857 +a(g198 +V% +tp7858 +a(g69 +Vav +p7859 +tp7860 +a(g198 +V( +tp7861 +a(g69 +Vsm_pr_t_ +p7862 +tp7863 +a(g198 +V) +tp7864 +a(g198 +V, +tp7865 +a(g69 +Vmlprec_wrk +p7866 +tp7867 +a(g198 +V( +tp7868 +a(g69 +Vilev +p7869 +tp7870 +a(g340 +V- +tp7871 +a(g315 +V1 +tp7872 +a(g198 +V) +tp7873 +a(g198 +V% +tp7874 +a(g69 +Vty +p7875 +tp7876 +a(g198 +V, +tp7877 +a(g69 +Vzzero +p7878 +tp7879 +a(g198 +V, +tp7880 +a(g198 +V& +tp7881 +a(g185 +V\u000a +p7882 +tp7883 +a(g198 +V& +tp7884 +a(g185 +V +tp7885 +a(g69 +Vmlprec_wrk +p7886 +tp7887 +a(g198 +V( +tp7888 +a(g69 +Vilev +p7889 +tp7890 +a(g198 +V) +tp7891 +a(g198 +V% +tp7892 +a(g69 +Vx2l +p7893 +tp7894 +a(g198 +V, +tp7895 +a(g69 +Vinfo +p7896 +tp7897 +a(g198 +V) +tp7898 +a(g185 +V\u000a +p7899 +tp7900 +a(g107 +Vif +p7901 +tp7902 +a(g198 +V( +tp7903 +a(g69 +Vinfo +p7904 +tp7905 +a(g185 +V +tp7906 +a(g340 +V/ +tp7907 +a(g340 +V= +tp7908 +a(g315 +V0 +tp7909 +a(g198 +V) +tp7910 +a(g185 +V +tp7911 +a(g107 +Vgoto +p7912 +tp7913 +a(g315 +V9999 +p7914 +tp7915 +a(g185 +V\u000a\u000a +p7916 +tp7917 +a(g107 +Velse +p7918 +tp7919 +a(g185 +V\u000a +p7920 +tp7921 +a(g6 +V!\u000a +p7922 +tp7923 +a(g185 +V +p7924 +tp7925 +a(g6 +V! Raw aggregation, may take shortcuts\u000a +p7926 +tp7927 +a(g185 +V +p7928 +tp7929 +a(g6 +V!\u000a +p7930 +tp7931 +a(g185 +V +p7932 +tp7933 +a(g69 +Vmlprec_wrk +p7934 +tp7935 +a(g198 +V( +tp7936 +a(g69 +Vilev +p7937 +tp7938 +a(g198 +V) +tp7939 +a(g198 +V% +tp7940 +a(g69 +Vx2l +p7941 +tp7942 +a(g185 +V +tp7943 +a(g340 +V= +tp7944 +a(g185 +V +tp7945 +a(g69 +Vzzero +p7946 +tp7947 +a(g185 +V\u000a +p7948 +tp7949 +a(g107 +Vdo +p7950 +tp7951 +a(g69 +Vi +tp7952 +a(g340 +V= +tp7953 +a(g315 +V1 +tp7954 +a(g198 +V, +tp7955 +a(g69 +Vn_row +p7956 +tp7957 +a(g185 +V\u000a +p7958 +tp7959 +a(g69 +Vmlprec_wrk +p7960 +tp7961 +a(g198 +V( +tp7962 +a(g69 +Vilev +p7963 +tp7964 +a(g198 +V) +tp7965 +a(g198 +V% +tp7966 +a(g69 +Vx2l +p7967 +tp7968 +a(g198 +V( +tp7969 +a(g69 +Vbaseprecv +p7970 +tp7971 +a(g198 +V( +tp7972 +a(g69 +Vilev +p7973 +tp7974 +a(g198 +V) +tp7975 +a(g198 +V% +tp7976 +a(g69 +Vmlia +p7977 +tp7978 +a(g198 +V( +tp7979 +a(g69 +Vi +tp7980 +a(g198 +V) +tp7981 +a(g198 +V) +tp7982 +a(g185 +V +tp7983 +a(g340 +V= +tp7984 +a(g185 +V +tp7985 +a(g198 +V& +tp7986 +a(g185 +V\u000a +p7987 +tp7988 +a(g198 +V& +tp7989 +a(g185 +V +tp7990 +a(g69 +Vmlprec_wrk +p7991 +tp7992 +a(g198 +V( +tp7993 +a(g69 +Vilev +p7994 +tp7995 +a(g198 +V) +tp7996 +a(g198 +V% +tp7997 +a(g69 +Vx2l +p7998 +tp7999 +a(g198 +V( +tp8000 +a(g69 +Vbaseprecv +p8001 +tp8002 +a(g198 +V( +tp8003 +a(g69 +Vilev +p8004 +tp8005 +a(g198 +V) +tp8006 +a(g198 +V% +tp8007 +a(g69 +Vmlia +p8008 +tp8009 +a(g198 +V( +tp8010 +a(g69 +Vi +tp8011 +a(g198 +V) +tp8012 +a(g198 +V) +tp8013 +a(g185 +V +tp8014 +a(g340 +V+ +tp8015 +a(g185 +V +tp8016 +a(g198 +V& +tp8017 +a(g185 +V\u000a +p8018 +tp8019 +a(g198 +V& +tp8020 +a(g185 +V +p8021 +tp8022 +a(g69 +Vmlprec_wrk +p8023 +tp8024 +a(g198 +V( +tp8025 +a(g69 +Vilev +p8026 +tp8027 +a(g340 +V- +tp8028 +a(g315 +V1 +tp8029 +a(g198 +V) +tp8030 +a(g198 +V% +tp8031 +a(g69 +Vty +p8032 +tp8033 +a(g198 +V( +tp8034 +a(g69 +Vi +tp8035 +a(g198 +V) +tp8036 +a(g185 +V\u000a +p8037 +tp8038 +a(g107 +Vend +p8039 +tp8040 +a(g107 +Vdo\u000a +p8041 +tp8042 +a(g107 +Vend +p8043 +tp8044 +a(g107 +Vif\u000a\u000a +p8045 +tp8046 +a(g107 +Vif +p8047 +tp8048 +a(g185 +V +tp8049 +a(g198 +V( +tp8050 +a(g69 +Vbaseprecv +p8051 +tp8052 +a(g198 +V( +tp8053 +a(g69 +Vilev +p8054 +tp8055 +a(g198 +V) +tp8056 +a(g198 +V% +tp8057 +a(g69 +Viprcparm +p8058 +tp8059 +a(g198 +V( +tp8060 +a(g69 +Vcoarse_mat_ +p8061 +tp8062 +a(g198 +V) +tp8063 +a(g340 +V== +p8064 +tp8065 +a(g69 +Vmat_repl_ +p8066 +tp8067 +a(g198 +V) +tp8068 +a(g185 +V +tp8069 +a(g107 +Vthen\u000a +p8070 +tp8071 +a(g107 +Vcall +p8072 +tp8073 +a(g69 +Vpsb_sum +p8074 +tp8075 +a(g198 +V( +tp8076 +a(g69 +Victxt +p8077 +tp8078 +a(g198 +V, +tp8079 +a(g69 +Vmlprec_wrk +p8080 +tp8081 +a(g198 +V( +tp8082 +a(g69 +Vilev +p8083 +tp8084 +a(g198 +V) +tp8085 +a(g198 +V% +tp8086 +a(g69 +Vx2l +p8087 +tp8088 +a(g198 +V( +tp8089 +a(g315 +V1 +tp8090 +a(g198 +V: +tp8091 +a(g69 +Vnrg +p8092 +tp8093 +a(g198 +V) +tp8094 +a(g198 +V) +tp8095 +a(g185 +V\u000a +p8096 +tp8097 +a(g107 +Velse +p8098 +tp8099 +a(g107 +Vif +p8100 +tp8101 +a(g185 +V +tp8102 +a(g198 +V( +tp8103 +a(g69 +Vbaseprecv +p8104 +tp8105 +a(g198 +V( +tp8106 +a(g69 +Vilev +p8107 +tp8108 +a(g198 +V) +tp8109 +a(g198 +V% +tp8110 +a(g69 +Viprcparm +p8111 +tp8112 +a(g198 +V( +tp8113 +a(g69 +Vcoarse_mat_ +p8114 +tp8115 +a(g198 +V) +tp8116 +a(g185 +V +tp8117 +a(g340 +V/ +tp8118 +a(g340 +V= +tp8119 +a(g185 +V +tp8120 +a(g69 +Vmat_distr_ +p8121 +tp8122 +a(g198 +V) +tp8123 +a(g185 +V +tp8124 +a(g107 +Vthen\u000a +p8125 +tp8126 +a(g107 +Vwrite +p8127 +tp8128 +a(g198 +V( +tp8129 +a(g315 +V0 +tp8130 +a(g198 +V, +tp8131 +a(g340 +V* +tp8132 +a(g198 +V) +tp8133 +a(g185 +V +tp8134 +a(g268 +V'Unknown value for baseprecv(2)%iprcparm(coarse_mat_) ' +p8135 +tp8136 +a(g198 +V, +tp8137 +a(g198 +V& +tp8138 +a(g185 +V\u000a +p8139 +tp8140 +a(g198 +V& +tp8141 +a(g185 +V +tp8142 +a(g69 +Vbaseprecv +p8143 +tp8144 +a(g198 +V( +tp8145 +a(g69 +Vilev +p8146 +tp8147 +a(g198 +V) +tp8148 +a(g198 +V% +tp8149 +a(g69 +Viprcparm +p8150 +tp8151 +a(g198 +V( +tp8152 +a(g69 +Vcoarse_mat_ +p8153 +tp8154 +a(g198 +V) +tp8155 +a(g185 +V\u000a +p8156 +tp8157 +a(g107 +Vendif\u000a\u000a +p8158 +tp8159 +a(g107 +Vcall +p8160 +tp8161 +a(g69 +Vpsb_geaxpby +p8162 +tp8163 +a(g198 +V( +tp8164 +a(g69 +Vzone +p8165 +tp8166 +a(g198 +V, +tp8167 +a(g69 +Vmlprec_wrk +p8168 +tp8169 +a(g198 +V( +tp8170 +a(g69 +Vilev +p8171 +tp8172 +a(g198 +V) +tp8173 +a(g198 +V% +tp8174 +a(g69 +Vx2l +p8175 +tp8176 +a(g198 +V, +tp8177 +a(g69 +Vzzero +p8178 +tp8179 +a(g198 +V, +tp8180 +a(g69 +Vmlprec_wrk +p8181 +tp8182 +a(g198 +V( +tp8183 +a(g69 +Vilev +p8184 +tp8185 +a(g198 +V) +tp8186 +a(g198 +V% +tp8187 +a(g69 +Vtx +p8188 +tp8189 +a(g198 +V, +tp8190 +a(g198 +V& +tp8191 +a(g185 +V\u000a +p8192 +tp8193 +a(g198 +V& +tp8194 +a(g185 +V +tp8195 +a(g69 +Vbaseprecv +p8196 +tp8197 +a(g198 +V( +tp8198 +a(g69 +Vilev +p8199 +tp8200 +a(g198 +V) +tp8201 +a(g198 +V% +tp8202 +a(g69 +Vbase_desc +p8203 +tp8204 +a(g198 +V, +tp8205 +a(g69 +Vinfo +p8206 +tp8207 +a(g198 +V) +tp8208 +a(g185 +V\u000a +p8209 +tp8210 +a(g107 +Vif +p8211 +tp8212 +a(g198 +V( +tp8213 +a(g69 +Vinfo +p8214 +tp8215 +a(g185 +V +tp8216 +a(g340 +V/ +tp8217 +a(g340 +V= +tp8218 +a(g315 +V0 +tp8219 +a(g198 +V) +tp8220 +a(g185 +V +tp8221 +a(g107 +Vgoto +p8222 +tp8223 +a(g315 +V9999 +p8224 +tp8225 +a(g185 +V\u000a\u000a +p8226 +tp8227 +a(g107 +Vcall +p8228 +tp8229 +a(g69 +Vpsb_baseprc_aply +p8230 +tp8231 +a(g198 +V( +tp8232 +a(g69 +Vzone +p8233 +tp8234 +a(g198 +V, +tp8235 +a(g69 +Vbaseprecv +p8236 +tp8237 +a(g198 +V( +tp8238 +a(g69 +Vilev +p8239 +tp8240 +a(g198 +V) +tp8241 +a(g198 +V, +tp8242 +a(g69 +Vmlprec_wrk +p8243 +tp8244 +a(g198 +V( +tp8245 +a(g69 +Vilev +p8246 +tp8247 +a(g198 +V) +tp8248 +a(g198 +V% +tp8249 +a(g69 +Vx2l +p8250 +tp8251 +a(g198 +V, +tp8252 +a(g198 +V& +tp8253 +a(g185 +V\u000a +p8254 +tp8255 +a(g198 +V& +tp8256 +a(g185 +V +tp8257 +a(g69 +Vzzero +p8258 +tp8259 +a(g198 +V, +tp8260 +a(g69 +Vmlprec_wrk +p8261 +tp8262 +a(g198 +V( +tp8263 +a(g69 +Vilev +p8264 +tp8265 +a(g198 +V) +tp8266 +a(g198 +V% +tp8267 +a(g69 +Vy2l +p8268 +tp8269 +a(g198 +V, +tp8270 +a(g69 +Vbaseprecv +p8271 +tp8272 +a(g198 +V( +tp8273 +a(g69 +Vilev +p8274 +tp8275 +a(g198 +V) +tp8276 +a(g198 +V% +tp8277 +a(g69 +Vdesc_data +p8278 +tp8279 +a(g198 +V, +tp8280 +a(g185 +V +tp8281 +a(g268 +V'N' +p8282 +tp8283 +a(g198 +V, +tp8284 +a(g69 +Vwork +p8285 +tp8286 +a(g198 +V, +tp8287 +a(g69 +Vinfo +p8288 +tp8289 +a(g198 +V) +tp8290 +a(g185 +V\u000a\u000a +p8291 +tp8292 +a(g107 +Vif +p8293 +tp8294 +a(g198 +V( +tp8295 +a(g69 +Vinfo +p8296 +tp8297 +a(g185 +V +tp8298 +a(g340 +V/ +tp8299 +a(g340 +V= +tp8300 +a(g315 +V0 +tp8301 +a(g198 +V) +tp8302 +a(g185 +V +tp8303 +a(g107 +Vgoto +p8304 +tp8305 +a(g315 +V9999 +p8306 +tp8307 +a(g185 +V\u000a\u000a +p8308 +tp8309 +a(g107 +Vif +p8310 +tp8311 +a(g198 +V( +tp8312 +a(g69 +Vilev +p8313 +tp8314 +a(g185 +V +tp8315 +a(g340 +V< +tp8316 +a(g185 +V +tp8317 +a(g69 +Vnlev +p8318 +tp8319 +a(g198 +V) +tp8320 +a(g185 +V +tp8321 +a(g107 +Vthen\u000a +p8322 +tp8323 +a(g69 +Vmlprec_wrk +p8324 +tp8325 +a(g198 +V( +tp8326 +a(g69 +Vilev +p8327 +tp8328 +a(g198 +V) +tp8329 +a(g198 +V% +tp8330 +a(g69 +Vty +p8331 +tp8332 +a(g185 +V +tp8333 +a(g340 +V= +tp8334 +a(g185 +V +tp8335 +a(g69 +Vmlprec_wrk +p8336 +tp8337 +a(g198 +V( +tp8338 +a(g69 +Vilev +p8339 +tp8340 +a(g198 +V) +tp8341 +a(g198 +V% +tp8342 +a(g69 +Vx2l +p8343 +tp8344 +a(g185 +V\u000a +p8345 +tp8346 +a(g107 +Vcall +p8347 +tp8348 +a(g69 +Vpsb_spmm +p8349 +tp8350 +a(g198 +V( +tp8351 +a(g340 +V- +tp8352 +a(g69 +Vzone +p8353 +tp8354 +a(g198 +V, +tp8355 +a(g69 +Vbaseprecv +p8356 +tp8357 +a(g198 +V( +tp8358 +a(g69 +Vilev +p8359 +tp8360 +a(g198 +V) +tp8361 +a(g198 +V% +tp8362 +a(g69 +Vbase_a +p8363 +tp8364 +a(g198 +V, +tp8365 +a(g69 +Vmlprec_wrk +p8366 +tp8367 +a(g198 +V( +tp8368 +a(g69 +Vilev +p8369 +tp8370 +a(g198 +V) +tp8371 +a(g198 +V% +tp8372 +a(g69 +Vy2l +p8373 +tp8374 +a(g198 +V, +tp8375 +a(g198 +V& +tp8376 +a(g185 +V\u000a +p8377 +tp8378 +a(g198 +V& +tp8379 +a(g185 +V +tp8380 +a(g69 +Vzone +p8381 +tp8382 +a(g198 +V, +tp8383 +a(g69 +Vmlprec_wrk +p8384 +tp8385 +a(g198 +V( +tp8386 +a(g69 +Vilev +p8387 +tp8388 +a(g198 +V) +tp8389 +a(g198 +V% +tp8390 +a(g69 +Vty +p8391 +tp8392 +a(g198 +V, +tp8393 +a(g69 +Vbaseprecv +p8394 +tp8395 +a(g198 +V( +tp8396 +a(g69 +Vilev +p8397 +tp8398 +a(g198 +V) +tp8399 +a(g198 +V% +tp8400 +a(g69 +Vbase_desc +p8401 +tp8402 +a(g198 +V, +tp8403 +a(g69 +Vinfo +p8404 +tp8405 +a(g198 +V, +tp8406 +a(g69 +Vwork +p8407 +tp8408 +a(g340 +V= +tp8409 +a(g69 +Vwork +p8410 +tp8411 +a(g198 +V) +tp8412 +a(g185 +V\u000a +p8413 +tp8414 +a(g107 +Vif +p8415 +tp8416 +a(g198 +V( +tp8417 +a(g69 +Vinfo +p8418 +tp8419 +a(g185 +V +tp8420 +a(g340 +V/ +tp8421 +a(g340 +V= +tp8422 +a(g315 +V0 +tp8423 +a(g198 +V) +tp8424 +a(g185 +V +tp8425 +a(g107 +Vgoto +p8426 +tp8427 +a(g315 +V9999 +p8428 +tp8429 +a(g185 +V\u000a +p8430 +tp8431 +a(g107 +Vendif\u000a\u000a +p8432 +tp8433 +a(g69 +Venddo +p8434 +tp8435 +a(g185 +V\u000a\u000a\u000a +p8436 +tp8437 +a(g107 +Vdo +p8438 +tp8439 +a(g69 +Vilev +p8440 +tp8441 +a(g340 +V= +tp8442 +a(g69 +Vnlev +p8443 +tp8444 +a(g340 +V- +tp8445 +a(g315 +V1 +tp8446 +a(g198 +V, +tp8447 +a(g185 +V +tp8448 +a(g315 +V1 +tp8449 +a(g198 +V, +tp8450 +a(g185 +V +tp8451 +a(g340 +V- +tp8452 +a(g315 +V1 +tp8453 +a(g185 +V\u000a\u000a +p8454 +tp8455 +a(g69 +Vismth +p8456 +tp8457 +a(g340 +V= +tp8458 +a(g69 +Vbaseprecv +p8459 +tp8460 +a(g198 +V( +tp8461 +a(g69 +Vilev +p8462 +tp8463 +a(g340 +V+ +tp8464 +a(g315 +V1 +tp8465 +a(g198 +V) +tp8466 +a(g198 +V% +tp8467 +a(g69 +Viprcparm +p8468 +tp8469 +a(g198 +V( +tp8470 +a(g69 +Vsmth_kind_ +p8471 +tp8472 +a(g198 +V) +tp8473 +a(g185 +V\u000a +p8474 +tp8475 +a(g107 +Vif +p8476 +tp8477 +a(g185 +V +tp8478 +a(g198 +V( +tp8479 +a(g69 +Vismth +p8480 +tp8481 +a(g185 +V +p8482 +tp8483 +a(g340 +V/ +tp8484 +a(g340 +V= +tp8485 +a(g185 +V +tp8486 +a(g69 +Vno_smth_ +p8487 +tp8488 +a(g198 +V) +tp8489 +a(g185 +V +tp8490 +a(g107 +Vthen\u000a +p8491 +tp8492 +a(g107 +Vif +p8493 +tp8494 +a(g185 +V +tp8495 +a(g198 +V( +tp8496 +a(g69 +Vismth +p8497 +tp8498 +a(g185 +V +tp8499 +a(g340 +V== +p8500 +tp8501 +a(g185 +V +tp8502 +a(g69 +Vsmth_omg_ +p8503 +tp8504 +a(g198 +V) +tp8505 +a(g185 +V +tp8506 +a(g198 +V& +tp8507 +a(g185 +V\u000a +p8508 +tp8509 +a(g198 +V& +tp8510 +a(g185 +V +tp8511 +a(g107 +Vcall +p8512 +tp8513 +a(g69 +Vpsb_halo +p8514 +tp8515 +a(g198 +V( +tp8516 +a(g69 +Vmlprec_wrk +p8517 +tp8518 +a(g198 +V( +tp8519 +a(g69 +Vilev +p8520 +tp8521 +a(g340 +V+ +tp8522 +a(g315 +V1 +tp8523 +a(g198 +V) +tp8524 +a(g198 +V% +tp8525 +a(g69 +Vy2l +p8526 +tp8527 +a(g198 +V, +tp8528 +a(g69 +Vbaseprecv +p8529 +tp8530 +a(g198 +V( +tp8531 +a(g69 +Vilev +p8532 +tp8533 +a(g340 +V+ +tp8534 +a(g315 +V1 +tp8535 +a(g198 +V) +tp8536 +a(g198 +V% +tp8537 +a(g69 +Vdesc_data +p8538 +tp8539 +a(g198 +V, +tp8540 +a(g198 +V& +tp8541 +a(g185 +V\u000a +p8542 +tp8543 +a(g198 +V& +tp8544 +a(g185 +V +p8545 +tp8546 +a(g69 +Vinfo +p8547 +tp8548 +a(g198 +V, +tp8549 +a(g69 +Vwork +p8550 +tp8551 +a(g340 +V= +tp8552 +a(g69 +Vwork +p8553 +tp8554 +a(g198 +V) +tp8555 +a(g185 +V\u000a +p8556 +tp8557 +a(g107 +Vcall +p8558 +tp8559 +a(g69 +Vpsb_csmm +p8560 +tp8561 +a(g198 +V( +tp8562 +a(g69 +Vzone +p8563 +tp8564 +a(g198 +V, +tp8565 +a(g69 +Vbaseprecv +p8566 +tp8567 +a(g198 +V( +tp8568 +a(g69 +Vilev +p8569 +tp8570 +a(g340 +V+ +tp8571 +a(g315 +V1 +tp8572 +a(g198 +V) +tp8573 +a(g198 +V% +tp8574 +a(g69 +Vav +p8575 +tp8576 +a(g198 +V( +tp8577 +a(g69 +Vsm_pr_ +p8578 +tp8579 +a(g198 +V) +tp8580 +a(g198 +V, +tp8581 +a(g69 +Vmlprec_wrk +p8582 +tp8583 +a(g198 +V( +tp8584 +a(g69 +Vilev +p8585 +tp8586 +a(g340 +V+ +tp8587 +a(g315 +V1 +tp8588 +a(g198 +V) +tp8589 +a(g198 +V% +tp8590 +a(g69 +Vy2l +p8591 +tp8592 +a(g198 +V, +tp8593 +a(g198 +V& +tp8594 +a(g185 +V\u000a +p8595 +tp8596 +a(g198 +V& +tp8597 +a(g185 +V +p8598 +tp8599 +a(g69 +Vzone +p8600 +tp8601 +a(g198 +V, +tp8602 +a(g69 +Vmlprec_wrk +p8603 +tp8604 +a(g198 +V( +tp8605 +a(g69 +Vilev +p8606 +tp8607 +a(g198 +V) +tp8608 +a(g198 +V% +tp8609 +a(g69 +Vy2l +p8610 +tp8611 +a(g198 +V, +tp8612 +a(g69 +Vinfo +p8613 +tp8614 +a(g198 +V) +tp8615 +a(g185 +V\u000a +p8616 +tp8617 +a(g107 +Vif +p8618 +tp8619 +a(g198 +V( +tp8620 +a(g69 +Vinfo +p8621 +tp8622 +a(g185 +V +tp8623 +a(g340 +V/ +tp8624 +a(g340 +V= +tp8625 +a(g315 +V0 +tp8626 +a(g198 +V) +tp8627 +a(g185 +V +tp8628 +a(g107 +Vgoto +p8629 +tp8630 +a(g315 +V9999 +p8631 +tp8632 +a(g185 +V\u000a\u000a +p8633 +tp8634 +a(g107 +Velse\u000a +p8635 +tp8636 +a(g69 +Vn_row +p8637 +tp8638 +a(g185 +V +tp8639 +a(g340 +V= +tp8640 +a(g185 +V +tp8641 +a(g69 +Vbaseprecv +p8642 +tp8643 +a(g198 +V( +tp8644 +a(g69 +Vilev +p8645 +tp8646 +a(g198 +V) +tp8647 +a(g198 +V% +tp8648 +a(g69 +Vbase_desc +p8649 +tp8650 +a(g198 +V% +tp8651 +a(g69 +Vmatrix_data +p8652 +tp8653 +a(g198 +V( +tp8654 +a(g69 +Vpsb_n_row_ +p8655 +tp8656 +a(g198 +V) +tp8657 +a(g185 +V\u000a +p8658 +tp8659 +a(g107 +Vdo +p8660 +tp8661 +a(g69 +Vi +tp8662 +a(g340 +V= +tp8663 +a(g315 +V1 +tp8664 +a(g198 +V, +tp8665 +a(g185 +V +tp8666 +a(g69 +Vn_row +p8667 +tp8668 +a(g185 +V\u000a +p8669 +tp8670 +a(g69 +Vmlprec_wrk +p8671 +tp8672 +a(g198 +V( +tp8673 +a(g69 +Vilev +p8674 +tp8675 +a(g198 +V) +tp8676 +a(g198 +V% +tp8677 +a(g69 +Vy2l +p8678 +tp8679 +a(g198 +V( +tp8680 +a(g69 +Vi +tp8681 +a(g198 +V) +tp8682 +a(g185 +V +tp8683 +a(g340 +V= +tp8684 +a(g185 +V +tp8685 +a(g69 +Vmlprec_wrk +p8686 +tp8687 +a(g198 +V( +tp8688 +a(g69 +Vilev +p8689 +tp8690 +a(g198 +V) +tp8691 +a(g198 +V% +tp8692 +a(g69 +Vy2l +p8693 +tp8694 +a(g198 +V( +tp8695 +a(g69 +Vi +tp8696 +a(g198 +V) +tp8697 +a(g185 +V +tp8698 +a(g340 +V+ +tp8699 +a(g185 +V +tp8700 +a(g198 +V& +tp8701 +a(g185 +V\u000a +p8702 +tp8703 +a(g198 +V& +tp8704 +a(g185 +V +tp8705 +a(g69 +Vmlprec_wrk +p8706 +tp8707 +a(g198 +V( +tp8708 +a(g69 +Vilev +p8709 +tp8710 +a(g340 +V+ +tp8711 +a(g315 +V1 +tp8712 +a(g198 +V) +tp8713 +a(g198 +V% +tp8714 +a(g69 +Vy2l +p8715 +tp8716 +a(g198 +V( +tp8717 +a(g69 +Vbaseprecv +p8718 +tp8719 +a(g198 +V( +tp8720 +a(g69 +Vilev +p8721 +tp8722 +a(g340 +V+ +tp8723 +a(g315 +V1 +tp8724 +a(g198 +V) +tp8725 +a(g198 +V% +tp8726 +a(g69 +Vmlia +p8727 +tp8728 +a(g198 +V( +tp8729 +a(g69 +Vi +tp8730 +a(g198 +V) +tp8731 +a(g198 +V) +tp8732 +a(g185 +V\u000a +p8733 +tp8734 +a(g69 +Venddo +p8735 +tp8736 +a(g185 +V\u000a\u000a +p8737 +tp8738 +a(g107 +Vend +p8739 +tp8740 +a(g107 +Vif\u000a\u000a +p8741 +tp8742 +a(g107 +Vcall +p8743 +tp8744 +a(g69 +Vpsb_spmm +p8745 +tp8746 +a(g198 +V( +tp8747 +a(g340 +V- +tp8748 +a(g69 +Vzone +p8749 +tp8750 +a(g198 +V, +tp8751 +a(g69 +Vbaseprecv +p8752 +tp8753 +a(g198 +V( +tp8754 +a(g69 +Vilev +p8755 +tp8756 +a(g198 +V) +tp8757 +a(g198 +V% +tp8758 +a(g69 +Vbase_a +p8759 +tp8760 +a(g198 +V, +tp8761 +a(g69 +Vmlprec_wrk +p8762 +tp8763 +a(g198 +V( +tp8764 +a(g69 +Vilev +p8765 +tp8766 +a(g198 +V) +tp8767 +a(g198 +V% +tp8768 +a(g69 +Vy2l +p8769 +tp8770 +a(g198 +V, +tp8771 +a(g198 +V& +tp8772 +a(g185 +V\u000a +p8773 +tp8774 +a(g198 +V& +tp8775 +a(g185 +V +p8776 +tp8777 +a(g69 +Vzone +p8778 +tp8779 +a(g198 +V, +tp8780 +a(g69 +Vmlprec_wrk +p8781 +tp8782 +a(g198 +V( +tp8783 +a(g69 +Vilev +p8784 +tp8785 +a(g198 +V) +tp8786 +a(g198 +V% +tp8787 +a(g69 +Vtx +p8788 +tp8789 +a(g198 +V, +tp8790 +a(g69 +Vbaseprecv +p8791 +tp8792 +a(g198 +V( +tp8793 +a(g69 +Vilev +p8794 +tp8795 +a(g198 +V) +tp8796 +a(g198 +V% +tp8797 +a(g69 +Vbase_desc +p8798 +tp8799 +a(g198 +V, +tp8800 +a(g69 +Vinfo +p8801 +tp8802 +a(g198 +V, +tp8803 +a(g69 +Vwork +p8804 +tp8805 +a(g340 +V= +tp8806 +a(g69 +Vwork +p8807 +tp8808 +a(g198 +V) +tp8809 +a(g185 +V\u000a\u000a +p8810 +tp8811 +a(g107 +Vif +p8812 +tp8813 +a(g198 +V( +tp8814 +a(g69 +Vinfo +p8815 +tp8816 +a(g185 +V +tp8817 +a(g340 +V/ +tp8818 +a(g340 +V= +tp8819 +a(g315 +V0 +tp8820 +a(g198 +V) +tp8821 +a(g185 +V +tp8822 +a(g107 +Vgoto +p8823 +tp8824 +a(g315 +V9999 +p8825 +tp8826 +a(g185 +V\u000a\u000a +p8827 +tp8828 +a(g107 +Vcall +p8829 +tp8830 +a(g69 +Vpsb_baseprc_aply +p8831 +tp8832 +a(g198 +V( +tp8833 +a(g69 +Vzone +p8834 +tp8835 +a(g198 +V, +tp8836 +a(g69 +Vbaseprecv +p8837 +tp8838 +a(g198 +V( +tp8839 +a(g69 +Vilev +p8840 +tp8841 +a(g198 +V) +tp8842 +a(g198 +V, +tp8843 +a(g69 +Vmlprec_wrk +p8844 +tp8845 +a(g198 +V( +tp8846 +a(g69 +Vilev +p8847 +tp8848 +a(g198 +V) +tp8849 +a(g198 +V% +tp8850 +a(g69 +Vtx +p8851 +tp8852 +a(g198 +V, +tp8853 +a(g198 +V& +tp8854 +a(g185 +V\u000a +p8855 +tp8856 +a(g198 +V& +tp8857 +a(g185 +V +tp8858 +a(g69 +Vzone +p8859 +tp8860 +a(g198 +V, +tp8861 +a(g69 +Vmlprec_wrk +p8862 +tp8863 +a(g198 +V( +tp8864 +a(g69 +Vilev +p8865 +tp8866 +a(g198 +V) +tp8867 +a(g198 +V% +tp8868 +a(g69 +Vy2l +p8869 +tp8870 +a(g198 +V, +tp8871 +a(g69 +Vbaseprecv +p8872 +tp8873 +a(g198 +V( +tp8874 +a(g69 +Vilev +p8875 +tp8876 +a(g198 +V) +tp8877 +a(g198 +V% +tp8878 +a(g69 +Vbase_desc +p8879 +tp8880 +a(g198 +V, +tp8881 +a(g185 +V +tp8882 +a(g69 +Vtrans +p8883 +tp8884 +a(g198 +V, +tp8885 +a(g185 +V +tp8886 +a(g69 +Vwork +p8887 +tp8888 +a(g198 +V, +tp8889 +a(g69 +Vinfo +p8890 +tp8891 +a(g198 +V) +tp8892 +a(g185 +V\u000a\u000a +p8893 +tp8894 +a(g107 +Vif +p8895 +tp8896 +a(g198 +V( +tp8897 +a(g69 +Vinfo +p8898 +tp8899 +a(g185 +V +tp8900 +a(g340 +V/ +tp8901 +a(g340 +V= +tp8902 +a(g315 +V0 +tp8903 +a(g198 +V) +tp8904 +a(g185 +V +tp8905 +a(g107 +Vgoto +p8906 +tp8907 +a(g315 +V9999 +p8908 +tp8909 +a(g185 +V\u000a\u000a +p8910 +tp8911 +a(g69 +Venddo +p8912 +tp8913 +a(g185 +V\u000a\u000a +p8914 +tp8915 +a(g107 +Vcall +p8916 +tp8917 +a(g69 +Vpsb_geaxpby +p8918 +tp8919 +a(g198 +V( +tp8920 +a(g69 +Valpha +p8921 +tp8922 +a(g198 +V, +tp8923 +a(g69 +Vmlprec_wrk +p8924 +tp8925 +a(g198 +V( +tp8926 +a(g315 +V1 +tp8927 +a(g198 +V) +tp8928 +a(g198 +V% +tp8929 +a(g69 +Vy2l +p8930 +tp8931 +a(g198 +V, +tp8932 +a(g69 +Vbeta +p8933 +tp8934 +a(g198 +V, +tp8935 +a(g69 +Vy +tp8936 +a(g198 +V, +tp8937 +a(g198 +V& +tp8938 +a(g185 +V\u000a +p8939 +tp8940 +a(g198 +V& +tp8941 +a(g185 +V +p8942 +tp8943 +a(g69 +Vbaseprecv +p8944 +tp8945 +a(g198 +V( +tp8946 +a(g315 +V1 +tp8947 +a(g198 +V) +tp8948 +a(g198 +V% +tp8949 +a(g69 +Vbase_desc +p8950 +tp8951 +a(g198 +V, +tp8952 +a(g69 +Vinfo +p8953 +tp8954 +a(g198 +V) +tp8955 +a(g185 +V\u000a\u000a +p8956 +tp8957 +a(g107 +Vif +p8958 +tp8959 +a(g198 +V( +tp8960 +a(g69 +Vinfo +p8961 +tp8962 +a(g185 +V +tp8963 +a(g340 +V/ +tp8964 +a(g340 +V= +tp8965 +a(g315 +V0 +tp8966 +a(g198 +V) +tp8967 +a(g185 +V +tp8968 +a(g107 +Vgoto +p8969 +tp8970 +a(g315 +V9999 +p8971 +tp8972 +a(g185 +V\u000a\u000a\u000a +p8973 +tp8974 +a(g107 +Vcase +p8975 +tp8976 +a(g69 +Vdefault +p8977 +tp8978 +a(g185 +V\u000a\u000a +p8979 +tp8980 +a(g107 +Vcall +p8981 +tp8982 +a(g69 +Vpsb_errpush +p8983 +tp8984 +a(g198 +V( +tp8985 +a(g315 +V4013 +p8986 +tp8987 +a(g198 +V, +tp8988 +a(g69 +Vname +p8989 +tp8990 +a(g198 +V, +tp8991 +a(g69 +Va_err +p8992 +tp8993 +a(g340 +V= +tp8994 +a(g268 +V'wrong smooth_pos' +p8995 +tp8996 +a(g198 +V, +tp8997 +a(g198 +V& +tp8998 +a(g185 +V\u000a +p8999 +tp9000 +a(g198 +V& +tp9001 +a(g185 +V +p9002 +tp9003 +a(g69 +Vi_Err +p9004 +tp9005 +a(g340 +V= +tp9006 +a(g198 +V( +tp9007 +a(g340 +V/ +tp9008 +a(g69 +Vbaseprecv +p9009 +tp9010 +a(g198 +V( +tp9011 +a(g315 +V2 +tp9012 +a(g198 +V) +tp9013 +a(g198 +V% +tp9014 +a(g69 +Viprcparm +p9015 +tp9016 +a(g198 +V( +tp9017 +a(g69 +Vsmth_pos_ +p9018 +tp9019 +a(g198 +V) +tp9020 +a(g198 +V, +tp9021 +a(g315 +V0 +tp9022 +a(g198 +V, +tp9023 +a(g315 +V0 +tp9024 +a(g198 +V, +tp9025 +a(g315 +V0 +tp9026 +a(g198 +V, +tp9027 +a(g315 +V0 +tp9028 +a(g340 +V/ +tp9029 +a(g198 +V) +tp9030 +a(g198 +V) +tp9031 +a(g185 +V\u000a +p9032 +tp9033 +a(g107 +Vgoto +p9034 +tp9035 +a(g315 +V9999 +p9036 +tp9037 +a(g185 +V\u000a\u000a +p9038 +tp9039 +a(g107 +Vend +p9040 +tp9041 +a(g107 +Vselect\u000a\u000a +p9042 +tp9043 +a(g107 +Vcase +p9044 +tp9045 +a(g69 +Vdefault +p9046 +tp9047 +a(g185 +V\u000a +p9048 +tp9049 +a(g107 +Vcall +p9050 +tp9051 +a(g69 +Vpsb_errpush +p9052 +tp9053 +a(g198 +V( +tp9054 +a(g315 +V4013 +p9055 +tp9056 +a(g198 +V, +tp9057 +a(g69 +Vname +p9058 +tp9059 +a(g198 +V, +tp9060 +a(g69 +Va_err +p9061 +tp9062 +a(g340 +V= +tp9063 +a(g268 +V'wrong mltype' +p9064 +tp9065 +a(g198 +V, +tp9066 +a(g198 +V& +tp9067 +a(g185 +V\u000a +p9068 +tp9069 +a(g198 +V& +tp9070 +a(g185 +V +p9071 +tp9072 +a(g69 +Vi_Err +p9073 +tp9074 +a(g340 +V= +tp9075 +a(g198 +V( +tp9076 +a(g340 +V/ +tp9077 +a(g69 +Vbaseprecv +p9078 +tp9079 +a(g198 +V( +tp9080 +a(g315 +V2 +tp9081 +a(g198 +V) +tp9082 +a(g198 +V% +tp9083 +a(g69 +Viprcparm +p9084 +tp9085 +a(g198 +V( +tp9086 +a(g69 +Vml_type_ +p9087 +tp9088 +a(g198 +V) +tp9089 +a(g198 +V, +tp9090 +a(g315 +V0 +tp9091 +a(g198 +V, +tp9092 +a(g315 +V0 +tp9093 +a(g198 +V, +tp9094 +a(g315 +V0 +tp9095 +a(g198 +V, +tp9096 +a(g315 +V0 +tp9097 +a(g340 +V/ +tp9098 +a(g198 +V) +tp9099 +a(g198 +V) +tp9100 +a(g185 +V\u000a +p9101 +tp9102 +a(g107 +Vgoto +p9103 +tp9104 +a(g315 +V9999 +p9105 +tp9106 +a(g185 +V\u000a\u000a +p9107 +tp9108 +a(g107 +Vend +p9109 +tp9110 +a(g107 +Vselect\u000a\u000a\u000a +p9111 +tp9112 +a(g107 +Vcall +p9113 +tp9114 +a(g69 +Vmlprec_wrk_free +p9115 +tp9116 +a(g198 +V( +tp9117 +a(g69 +Vmlprec_wrk +p9118 +tp9119 +a(g198 +V) +tp9120 +a(g185 +V\u000a +p9121 +tp9122 +a(g107 +Vdeallocate +p9123 +tp9124 +a(g198 +V( +tp9125 +a(g69 +Vmlprec_wrk +p9126 +tp9127 +a(g198 +V) +tp9128 +a(g185 +V\u000a\u000a +p9129 +tp9130 +a(g107 +Vcall +p9131 +tp9132 +a(g69 +Vpsb_erractionrestore +p9133 +tp9134 +a(g198 +V( +tp9135 +a(g69 +Verr_act +p9136 +tp9137 +a(g198 +V) +tp9138 +a(g185 +V\u000a +p9139 +tp9140 +a(g107 +Vreturn\u000a\u000a +p9141 +tp9142 +a(g315 +V9999 +p9143 +tp9144 +a(g185 +V +tp9145 +a(g107 +Vcontinue\u000a +p9146 +tp9147 +a(g107 +Vcall +p9148 +tp9149 +a(g69 +Vpsb_errpush +p9150 +tp9151 +a(g198 +V( +tp9152 +a(g69 +Vinfo +p9153 +tp9154 +a(g198 +V, +tp9155 +a(g69 +Vname +p9156 +tp9157 +a(g198 +V) +tp9158 +a(g185 +V\u000a +p9159 +tp9160 +a(g107 +Vcall +p9161 +tp9162 +a(g69 +Vpsb_erractionrestore +p9163 +tp9164 +a(g198 +V( +tp9165 +a(g69 +Verr_act +p9166 +tp9167 +a(g198 +V) +tp9168 +a(g185 +V\u000a +p9169 +tp9170 +a(g107 +Vif +p9171 +tp9172 +a(g185 +V +tp9173 +a(g198 +V( +tp9174 +a(g69 +Verr_act +p9175 +tp9176 +a(g344 +V.eq. +p9177 +tp9178 +a(g69 +Vact_abort +p9179 +tp9180 +a(g198 +V) +tp9181 +a(g185 +V +tp9182 +a(g107 +Vthen\u000a +p9183 +tp9184 +a(g107 +Vcall +p9185 +tp9186 +a(g69 +Vpsb_error +p9187 +tp9188 +a(g198 +V( +tp9189 +a(g198 +V) +tp9190 +a(g185 +V\u000a +p9191 +tp9192 +a(g107 +Vreturn\u000a +p9193 +tp9194 +a(g107 +Vend +p9195 +tp9196 +a(g107 +Vif\u000a +p9197 +tp9198 +a(g107 +Vreturn\u000a\u000a +p9199 +tp9200 +a(g107 +Vcontains\u000a +p9201 +tp9202 +a(g107 +Vsubroutine +p9203 +tp9204 +a(g69 +Vmlprec_wrk_free +p9205 +tp9206 +a(g198 +V( +tp9207 +a(g69 +Vwrk +p9208 +tp9209 +a(g198 +V) +tp9210 +a(g185 +V\u000a +p9211 +tp9212 +a(g107 +Vtype +p9213 +tp9214 +a(g198 +V( +tp9215 +a(g69 +Vpsb_mlprec_wrk_type +p9216 +tp9217 +a(g198 +V) +tp9218 +a(g185 +V +tp9219 +a(g127 +V:: +p9220 +tp9221 +a(g185 +V +tp9222 +a(g69 +Vwrk +p9223 +tp9224 +a(g198 +V( +tp9225 +a(g198 +V: +tp9226 +a(g198 +V) +tp9227 +a(g185 +V\u000a +p9228 +tp9229 +a(g6 +V! This will not be needed when we have allocatables, as\u000a +p9230 +tp9231 +a(g185 +V +p9232 +tp9233 +a(g6 +V! it is sufficient to deallocate the container, and\u000a +p9234 +tp9235 +a(g185 +V +p9236 +tp9237 +a(g6 +V! the compiler is supposed to recursively deallocate the\u000a +p9238 +tp9239 +a(g185 +V +p9240 +tp9241 +a(g6 +V! various components.\u000a +p9242 +tp9243 +a(g185 +V +p9244 +tp9245 +a(g135 +Vinteger +p9246 +tp9247 +a(g69 +Vi +tp9248 +a(g185 +V\u000a\u000a +p9249 +tp9250 +a(g107 +Vdo +p9251 +tp9252 +a(g69 +Vi +tp9253 +a(g340 +V= +tp9254 +a(g315 +V1 +tp9255 +a(g198 +V, +tp9256 +a(g185 +V +tp9257 +a(g69 +Vsize +p9258 +tp9259 +a(g198 +V( +tp9260 +a(g69 +Vwrk +p9261 +tp9262 +a(g198 +V) +tp9263 +a(g185 +V\u000a +p9264 +tp9265 +a(g107 +Vif +p9266 +tp9267 +a(g185 +V +tp9268 +a(g198 +V( +tp9269 +a(g53 +Vassociated +p9270 +tp9271 +a(g198 +V( +tp9272 +a(g69 +Vwrk +p9273 +tp9274 +a(g198 +V( +tp9275 +a(g69 +Vi +tp9276 +a(g198 +V) +tp9277 +a(g198 +V% +tp9278 +a(g69 +Vtx +p9279 +tp9280 +a(g198 +V) +tp9281 +a(g198 +V) +tp9282 +a(g185 +V +p9283 +tp9284 +a(g107 +Vdeallocate +p9285 +tp9286 +a(g198 +V( +tp9287 +a(g69 +Vwrk +p9288 +tp9289 +a(g198 +V( +tp9290 +a(g69 +Vi +tp9291 +a(g198 +V) +tp9292 +a(g198 +V% +tp9293 +a(g69 +Vtx +p9294 +tp9295 +a(g198 +V) +tp9296 +a(g185 +V\u000a +p9297 +tp9298 +a(g107 +Vif +p9299 +tp9300 +a(g185 +V +tp9301 +a(g198 +V( +tp9302 +a(g53 +Vassociated +p9303 +tp9304 +a(g198 +V( +tp9305 +a(g69 +Vwrk +p9306 +tp9307 +a(g198 +V( +tp9308 +a(g69 +Vi +tp9309 +a(g198 +V) +tp9310 +a(g198 +V% +tp9311 +a(g69 +Vty +p9312 +tp9313 +a(g198 +V) +tp9314 +a(g198 +V) +tp9315 +a(g185 +V +p9316 +tp9317 +a(g107 +Vdeallocate +p9318 +tp9319 +a(g198 +V( +tp9320 +a(g69 +Vwrk +p9321 +tp9322 +a(g198 +V( +tp9323 +a(g69 +Vi +tp9324 +a(g198 +V) +tp9325 +a(g198 +V% +tp9326 +a(g69 +Vty +p9327 +tp9328 +a(g198 +V) +tp9329 +a(g185 +V\u000a +p9330 +tp9331 +a(g107 +Vif +p9332 +tp9333 +a(g185 +V +tp9334 +a(g198 +V( +tp9335 +a(g53 +Vassociated +p9336 +tp9337 +a(g198 +V( +tp9338 +a(g69 +Vwrk +p9339 +tp9340 +a(g198 +V( +tp9341 +a(g69 +Vi +tp9342 +a(g198 +V) +tp9343 +a(g198 +V% +tp9344 +a(g69 +Vx2l +p9345 +tp9346 +a(g198 +V) +tp9347 +a(g198 +V) +tp9348 +a(g185 +V +tp9349 +a(g107 +Vdeallocate +p9350 +tp9351 +a(g198 +V( +tp9352 +a(g69 +Vwrk +p9353 +tp9354 +a(g198 +V( +tp9355 +a(g69 +Vi +tp9356 +a(g198 +V) +tp9357 +a(g198 +V% +tp9358 +a(g69 +Vx2l +p9359 +tp9360 +a(g198 +V) +tp9361 +a(g185 +V\u000a +p9362 +tp9363 +a(g107 +Vif +p9364 +tp9365 +a(g185 +V +tp9366 +a(g198 +V( +tp9367 +a(g53 +Vassociated +p9368 +tp9369 +a(g198 +V( +tp9370 +a(g69 +Vwrk +p9371 +tp9372 +a(g198 +V( +tp9373 +a(g69 +Vi +tp9374 +a(g198 +V) +tp9375 +a(g198 +V% +tp9376 +a(g69 +Vy2l +p9377 +tp9378 +a(g198 +V) +tp9379 +a(g198 +V) +tp9380 +a(g185 +V +tp9381 +a(g107 +Vdeallocate +p9382 +tp9383 +a(g198 +V( +tp9384 +a(g69 +Vwrk +p9385 +tp9386 +a(g198 +V( +tp9387 +a(g69 +Vi +tp9388 +a(g198 +V) +tp9389 +a(g198 +V% +tp9390 +a(g69 +Vy2l +p9391 +tp9392 +a(g198 +V) +tp9393 +a(g185 +V\u000a +p9394 +tp9395 +a(g107 +Vif +p9396 +tp9397 +a(g185 +V +tp9398 +a(g198 +V( +tp9399 +a(g53 +Vassociated +p9400 +tp9401 +a(g198 +V( +tp9402 +a(g69 +Vwrk +p9403 +tp9404 +a(g198 +V( +tp9405 +a(g69 +Vi +tp9406 +a(g198 +V) +tp9407 +a(g198 +V% +tp9408 +a(g69 +Vb2l +p9409 +tp9410 +a(g198 +V) +tp9411 +a(g198 +V) +tp9412 +a(g185 +V +tp9413 +a(g107 +Vdeallocate +p9414 +tp9415 +a(g198 +V( +tp9416 +a(g69 +Vwrk +p9417 +tp9418 +a(g198 +V( +tp9419 +a(g69 +Vi +tp9420 +a(g198 +V) +tp9421 +a(g198 +V% +tp9422 +a(g69 +Vb2l +p9423 +tp9424 +a(g198 +V) +tp9425 +a(g185 +V\u000a +p9426 +tp9427 +a(g107 +Vif +p9428 +tp9429 +a(g185 +V +tp9430 +a(g198 +V( +tp9431 +a(g53 +Vassociated +p9432 +tp9433 +a(g198 +V( +tp9434 +a(g69 +Vwrk +p9435 +tp9436 +a(g198 +V( +tp9437 +a(g69 +Vi +tp9438 +a(g198 +V) +tp9439 +a(g198 +V% +tp9440 +a(g69 +Vtty +p9441 +tp9442 +a(g198 +V) +tp9443 +a(g198 +V) +tp9444 +a(g185 +V +tp9445 +a(g107 +Vdeallocate +p9446 +tp9447 +a(g198 +V( +tp9448 +a(g69 +Vwrk +p9449 +tp9450 +a(g198 +V( +tp9451 +a(g69 +Vi +tp9452 +a(g198 +V) +tp9453 +a(g198 +V% +tp9454 +a(g69 +Vtty +p9455 +tp9456 +a(g198 +V) +tp9457 +a(g185 +V\u000a +p9458 +tp9459 +a(g107 +Vend +p9460 +tp9461 +a(g107 +Vdo\u000a +p9462 +tp9463 +a(g107 +Vend +p9464 +tp9465 +a(g107 +Vsubroutine +p9466 +tp9467 +a(g69 +Vmlprec_wrk_free +p9468 +tp9469 +a(g185 +V\u000a\u000a +p9470 +tp9471 +a(g107 +Vend +p9472 +tp9473 +a(g107 +Vsubroutine +p9474 +tp9475 +a(g69 +Vpsb_zmlprc_aply +p9476 +tp9477 +a(g185 +V\u000a +tp9478 +a. \ No newline at end of file diff --git a/tests/examplefiles/perl_misc b/tests/examplefiles/perl_misc new file mode 100644 index 0000000..e6dbfb2 --- /dev/null +++ b/tests/examplefiles/perl_misc @@ -0,0 +1,62 @@ +#!/usr/bin/perl + +# from http://gist.github.com/485595 +use strict; +use warnings; +use Time::HiRes 'usleep'; + +for (1..5) { + open my $in, '<', '/proc/sys/kernel/random/entropy_avail' or die; + print <$in>; + close $in; + usleep 100_000; +} + +# other miscellaneous tests of numbers separated by _ +#usleep 100_000; +100_000_000; +my $nichts = 0.005_006; +print "$nichts\n"; +my $nichts2 = 0.005_006_007; +print 900_800_700.005_006_007, $/; + +# numbers from `man 1 perlnumber` +my $n; +$n = 1234; # decimal integer +$n = 0b1110011; # binary integer +$n = 01234; # octal integer +$n = 0x1234; # hexadecimal integer +$n = 12.34e-56; # exponential notation +$n = "-12.34e56"; # number specified as a string +$n = "1234"; # number specified as a string + +# other numbers +for ( + -9876, + +8765, + -9876.02, + -9876.02e+10, + +765_432e30, + 2002., + .2002, +) { + print $_, "\n"; +} + +# operators on numbers +for ( + $n + 300, + $n - 300, + $n / 300 + 10, + $n * 250 / 2.0, + $n == 100, + $n != 100, + $n > 100, + $n >= 100, + $n < 100, + $n <= 100, + $n % 2, + abs $n, +) { + print $_, "\n"; +} diff --git a/tests/examplefiles/stripheredoc.sh b/tests/examplefiles/stripheredoc.sh new file mode 100644 index 0000000..33e7ff3 --- /dev/null +++ b/tests/examplefiles/stripheredoc.sh @@ -0,0 +1,3 @@ +cat <<-EOF + Hello world $PATH + EOF diff --git a/tests/examplefiles/test.bmx b/tests/examplefiles/test.bmx new file mode 100644 index 0000000..17b9ada --- /dev/null +++ b/tests/examplefiles/test.bmx @@ -0,0 +1,145 @@ + +Rem +foobar +EndRem + +Rem + foobar! +End Rem + +Rem +End Rem + +SuperStrict + +Framework brl.blitz +Import brl.standardio + +'Import "blah.bmx" +'Import "blah/blah.bmx" +'Include "blurg/blurg.bmx" + +Const ca:Long = $10000000 ' Hex +Const cb:Int = %10101010 ' Binary +Global ga:String = "blargh" +Local a:Int = 124, b$ = "abcdef" +?Not Debug +Print(_name123(ga, a, 100.2)) +? + +Function _name123 : Float (zorp:String, ll:Int = False, blah#, waffles% = 100) + Return 235.7804 ' Single-line comment +End Function +Function TestString:String() +End Function +Function TestByte:Byte() +End Function + +Function hub(blah:String, .. + abc:Int = Pi) +End Function +Function Blar%() + Local aa !, ab @ ,ac @@, ad# ,ae$,af% ' Intentional mangling + Local ba:Double, bb :Byte, bc: Short,bd:Float,be: String,ff:Int = True +End Function + +?Win32 +abc() +?Linux +abc() +? + +Function abc() + Print "abc" ' I cannot find a way to parse these as function calls without messing something up + Print ' Anyhow, they're generally not used in this way + Goto Eww_Goto + #Eww_Goto +End Function + +Type TBlarf Abstract +End Type + +Type TFooBar +End Type + +New MyClass.TestMethod() +New(MyClass).TestMethod() +Local myinst:MyClass = New MyClass +myinst.TestMethod() + +Type MyClass Extends TFooBar + + Field m_foo:MyClass + Field m_bar:MyClass + + Rem + abc + def + End Rem + Method New() + Rem + abcdef + endrem + End Method + + Method TestMethod() ' foobar + m_foo = Self + m_bar = MyClass(m_foo) + m_foo.m_bar.m_foo.m_bar.Yell() + End Method + + Method Yell() + Print("huzzah!") + End Method + + Function Wakka$(foo:String) + Return foo + "bar" + End Function + +End Type + +Extern "c" + Function vesper!(a:Int) = "vesper@4" + Function bubbles@@(a%) +End Extern + +Print("blah " + .. + "blah " + .. + "blah.") + +Try + Throw("blar!") +Catch exception:String + Print("Caught: " + exception) +End Try + +For Local i:Int = 0 To 10 Step 1 + Print("Index: " + i) +Next +Local array:String[] = ["foo", "bar", "11", "22", "33"] +For Local value:String = EachIn array + Print("Value: " + value) +Next + +Local foobar:Int = Not (1 Or (2 And (4 Shl 5 Shr 6)) Sar 7) Mod (8+2) +Local az:Int = 1234567890 +az : + 1 +az: - 2 +az :* 3 +az:/ 4 +az:& 5 +az:| 6 +az: ~ 7 +az : Shl 8 +az: Shr 9 +az :Sar 10 +az:Mod 11 +az = ((10-5+2/4*2)>(((8^2)) < 2)) & 12|2 + +Function flub(fah Ptr, eah:Int Ptr, blu@@ Ptr) +End Function +Function Foob:Int Ptr(blar:Byte Ptr, Saffon@Ptr, blaus#Ptr) +End Function +Function zauus@Ptr() +End Function + diff --git a/tests/examplefiles/test.gdc b/tests/examplefiles/test.gdc new file mode 100644 index 0000000..c7e36b8 --- /dev/null +++ b/tests/examplefiles/test.gdc @@ -0,0 +1,13 @@ +# Execute the date dimension MAQL script +ExecuteMaql(maqlFile="examples/quotes/quote_date.maql"); + +# load the stock quotes data file +# the data file config has been generated +LoadCsv(csvDataFile="examples/quotes/quotes.csv", + header="true", + configFile="examples/quotes/quotes.config.xml"); + +# transfer the stock quotes data +TransferLastSnapshot(); + +LoadGoogleAnalytics(configFile="examples/ga/ga.config.xml",username="example@gmail.com",password="******",profileId="ga:7468896",dimensions="ga:date|ga:browser|ga:browserVersion|ga:country|ga:isMobile",metrics="ga:bounces|ga:newVisits|ga:pageViews|ga:visits",startDate="2008-01-01",endDate="2010-06-15"); diff --git a/tests/examplefiles/test.ini b/tests/examplefiles/test.ini new file mode 100644 index 0000000..a447803 --- /dev/null +++ b/tests/examplefiles/test.ini @@ -0,0 +1,10 @@ +[section] + +foo = bar +continued = foo + baz +conttwo = + foo +; comment +# comment + diff --git a/tests/examplefiles/test.maql b/tests/examplefiles/test.maql new file mode 100644 index 0000000..a44935f --- /dev/null +++ b/tests/examplefiles/test.maql @@ -0,0 +1,45 @@ +# MAQL script +CREATE DATASET {dataset.quotes} VISUAL(TITLE "St\\tock Qu\totes Data"); + +# A comment +CREATE DATASET {dataset.quotes} VISUAL(TITLE "Stock Qu\"otes Data"); + +CREATE DATASET {dataset.quotes} VISUAL(TITLE "Stock Quotes Data"); + +ALTER DATASET {dataset.quotes} ADD {attribute.sector}; + +ALTER DATASET {dataset.quotes} DROP {attribute.symbol}; + +ALTER DATASET {dataset.quotes} VISUAL(TITLE "Internal Quotes Data"); + +CREATE ATTRIBUTE {attr.quotes.symbol} + VISUAL(TITLE "Symbol", FOLDER {folder.quotes.attr}) + AS {d_quotes_symbol.nm_symbol}; + +ALTER ATTRIBUTE {attr.quotes.symbol} + ADD LABELS {attr.quotes.company} VISUAL(TITLE "Company") + AS {d_quotes_symbol.nm_company}; + +CREATE FACT {fact.quotes.open_price} + VISUAL( TITLE "Open Price", FOLDER {folder.quotes.fact}) AS {f_quotes.f_open_price}; + +ALTER FACT {fact.quotes.open_price} ADD {f_quotes2.f_open_price}; + +CREATE FOLDER {folder.quotes.attr} + VISUAL ( TITLE "Stock Quotes Data", + DESCRIPTION "Stock quotes data obtained from John Doe etc." ) + TYPE ATTRIBUTE; + +ALTER DATATYPE {d_quotes_symbol.nm_symbol} VARCHAR(4), + {d_quotes_symbol.nm_symbol} VARCHAR(80), + {f_quotes.f_open_price} DECIMAL(10,2); + +INCLUDE TEMPLATE "URN:GOODDATA:DATE" MODIFY (IDENTIFIER "my-date", TITLE "quote") + +ALTER ATTRIBUTE {attr.quotes.symbol} ADD KEYS {d_quotes_symbol.nm_symbol} PRIMARY; + +ALTER ATTRIBUTE {attr.quotes.symbol} DROP KEYS {d_quotes_symbol.nm_symbol}; + +ALTER FACT {fact.quotes.open_price} ADD {f_quotes2.f_open_price}; + +# Another comment diff --git a/tests/examplefiles/test.scaml b/tests/examplefiles/test.scaml new file mode 100644 index 0000000..8872a83 --- /dev/null +++ b/tests/examplefiles/test.scaml @@ -0,0 +1,8 @@ +-@ import val city:String = "Tampa" +- val name:String = "Hiram" +%html + %body + %p Hello #{name} from #{city} + %ul + - for ( i <- 1 to 10 ) + %li Item #{i} \ No newline at end of file diff --git a/tests/examplefiles/test.ssp b/tests/examplefiles/test.ssp new file mode 100644 index 0000000..96d26d5 --- /dev/null +++ b/tests/examplefiles/test.ssp @@ -0,0 +1,12 @@ +<%@ val someName: String = "someDefaultValue" %> +<% import com.acme.MySnippets._ %> + + +

    Hello ${someName}%

    + +
      +<%= for (person <- people) { %> +
    • ${person.name}
    • +<% } %> +
    + diff --git a/tests/examplefiles/test.vb b/tests/examplefiles/test.vb new file mode 100644 index 0000000..e7252e9 --- /dev/null +++ b/tests/examplefiles/test.vb @@ -0,0 +1,407 @@ +' Copyright (c) 2008 Silken Web - Free BSD License +' All rights reserved. +' +' Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: +' * Redistributions of source code must retain the above copyright notice, this list of conditions and the following disclaimer +' * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the following disclaimer in the documentation and/or other materials provided with the distribution. +' * Neither the name of Silken Web nor the names of its contributors may be used to endorse or promote products derived from this software without specific prior written permission. +' +' THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +' THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT OWNER OR CONTRIBUTORS +' BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE +' GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT +' LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH +' DAMAGE. + +Imports System.Net.Mail +Imports SilkenWeb.Entities +Imports System.Text.RegularExpressions +Imports System.Reflection +Imports SilkenWeb.Validation +Imports System.Globalization +Imports SilkenWeb.Reflection + +Namespace SilkenWeb + + ''' + ''' Represents an Email and what you can do with it. + ''' + ''' + ''' Keith Jackson + ''' 11/04/2008 + ''' + ''' This class is intended to be inherrited for providing all manner of system generated emails, each represented by it's own class. + ''' + Public MustInherit Class EmailBase : Implements IValidatable, IDisposable + +#Region " Constants " + + Public Const LenientRegexPattern As String = "\w+([-+.]\w+)*@\w+([-.]\w+)*\.\w+([-.]\w+)*" + Public Const StrictRegexPattern As String = "^(([^<>()[\]\\.,;:\s@\""]+(\.[^<>()[\]\\.,;:\s@\""]+)*)|(\"".+\""))@((\[[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}\.[0-9]{1,3}\])|(([a-zA-Z\-0-9]+\.)+[a-zA-Z]{2,}))$" + Public Const InvalidEmailAddressError As String = "The Email address provided was invalid" + Public Const InvalidEmailAddressErrorWithAddress As String = "The Email address, {0}, provided was invalid" + Public Const NullEmailAddressError As String = "The Email address was not provided" + +#End Region + +#Region " Fields " + + Private disposedValue As Boolean + + Private _message As MailMessage = New MailMessage() + Private _mailClient As SmtpClient + + Private _useStrictValidation As Boolean + +#End Region + +#Region " Construction " + + ''' + ''' Instantiates a new Email of the derived type. + ''' + ''' The email address of the sender of the message. + ''' The email addresses of the recipients of the message. + ''' The subject of the message. + ''' The body of the message. + Protected Sub New(ByVal sender As String, ByVal subject As String, ByVal body As String, ByVal ParamArray recipients As String()) + _message.From = New MailAddress(sender) + For i As Integer = 0 To recipients.Length - 1 + _message.To.Add(recipients(i)) + Next + _message.Subject = subject + _message.Body = body + End Sub + +#End Region + +#Region " Properties " + + ''' + ''' Gets the Attachments for the message. + ''' + Protected Overridable ReadOnly Property Attachments() As AttachmentCollection + Get + Return _message.Attachments + End Get + End Property + + ''' + ''' The email addresses of the BCC recipients of the message. + ''' + Public Property BccRecipients() As String() + Get + Return _message.Bcc.ToAddressStringArray() + End Get + Set(ByVal value As String()) + _message.Bcc.Clear() + _message.Bcc.Add(value.ToDelimitedString()) + End Set + End Property + + ''' + ''' The body of the message. + ''' + Protected Overridable Property Body() As String + Get + Return _message.Body + End Get + Set(ByVal value As String) + _message.Body = value + End Set + End Property + + ''' + ''' The email addresses of the CC recipients of the message. + ''' + Public Property CCRecipients() As String() + Get + Return _message.CC.ToAddressStringArray() + End Get + Set(ByVal value As String()) + _message.CC.Clear() + _message.CC.Add(value.ToDelimitedString()) + End Set + End Property + + ''' + ''' Gets or Sets a flag to indicate if the body of the message is HTML. + ''' + Public Property IsBodyHtml() As Boolean + Get + Return _message.IsBodyHtml + End Get + Set(ByVal value As Boolean) + _message.IsBodyHtml = value + End Set + End Property + + ''' + ''' Gets the Mail message wrapped by the EmailBase class. + ''' + Protected ReadOnly Property Message() As MailMessage + Get + Return _message + End Get + End Property + + ''' + ''' Gets or Sets the Priority of the message. + ''' + Public Property Priority() As MailPriority + Get + Return _message.Priority + End Get + Set(ByVal value As MailPriority) + _message.Priority = value + End Set + End Property + + ''' + ''' The email addresses of the recipients of the message. + ''' + Public Property Recipients() As String() + Get + Return _message.To.ToAddressStringArray() + End Get + Set(ByVal value As String()) + _message.To.Clear() + _message.To.Add(value.ToDelimitedString()) + End Set + End Property + + ''' + ''' The reply email address of the sender of the message. + ''' + Public Property ReplyTo() As String + Get + If _message.ReplyTo Is Nothing Then + Return String.Empty + Else + Return _message.ReplyTo.Address + End If + End Get + Set(ByVal value As String) + If _message.ReplyTo Is Nothing Then + _message.ReplyTo = New MailAddress(value) + Else + _message.ReplyTo = New MailAddress(value, _message.ReplyTo.DisplayName) + End If + End Set + End Property + + ''' + ''' The reply display name of the sender of the message. + ''' + Public Property ReplyToDisplayName() As String + Get + If _message.ReplyTo Is Nothing Then + Return String.Empty + Else + Return _message.ReplyTo.DisplayName + End If + End Get + Set(ByVal value As String) + If _message.ReplyTo Is Nothing Then + _message.ReplyTo = New MailAddress(_message.From.Address, value) + Else + _message.ReplyTo = New MailAddress(_message.ReplyTo.Address, value) + End If + End Set + End Property + + ''' + ''' The email address of the sender of the message. + ''' + Public Overridable Property Sender() As String + Get + Return _message.From.Address + End Get + Protected Set(ByVal value As String) + _message.From = New MailAddress(value, _message.From.DisplayName) + End Set + End Property + + ''' + ''' The display name of the sender of the message. + ''' + Public Overridable Property SenderDisplayName() As String + Get + Return _message.From.DisplayName + End Get + Protected Set(ByVal value As String) + _message.From = New MailAddress(_message.From.Address, value) + End Set + End Property + + ''' + ''' The subject of the message. + ''' + Public Overridable Property Subject() As String + Get + Return _message.Subject + End Get + Protected Set(ByVal value As String) + _message.Subject = value + End Set + End Property + +#End Region + +#Region " Methods " + +#Region " Send Methods " + + ''' + ''' Sends this email + ''' + ''' The SMTP server to use to send the email. + Public Sub Send(ByVal mailServer As String) + _mailClient = New SmtpClient(mailServer) + _mailClient.Send(_message) + End Sub + + ''' + ''' Sends this email asynchronously. + ''' + ''' The SMTP server to use to send the email. + ''' A user defined token passed to the recieving method on completion of the asynchronous task. + Public Sub SendAsync(ByVal mailServer As String, ByVal userToken As Object) + _mailClient = New SmtpClient(mailServer) + _mailClient.SendAsync(_message, userToken) + End Sub + + ''' + ''' Cancels an attempt to send this email asynchronously. + ''' + Public Sub SendAsyncCancel() + _mailClient.SendAsyncCancel() + End Sub + +#End Region + +#End Region + +#Region " IValidatable Implementation " + + ''' + ''' gets and Sets a flag to indicate whether to use strict validation. + ''' + Public Property UseStrictValidation() As Boolean + Get + Return _useStrictValidation + End Get + Set(ByVal value As Boolean) + _useStrictValidation = value + End Set + End Property + + ''' + ''' Validates this email. + ''' + ''' A ValidationResponse, containing a flag to indicate if validation was passed and a collection of Property Names and validation errors. + Public Function Validate() As ValidationResponse Implements IValidatable.Validate + + Dim retVal As New ValidationResponse() + Dim mailRegEx As String = If(_useStrictValidation, StrictRegexPattern, LenientRegexPattern) + + ValidateAddress("Sender", retVal, mailRegEx, True) + ValidateAddresses("Recipients", retVal, mailRegEx, True) + ValidateAddresses("CcRecipients", retVal, mailRegEx) + ValidateAddresses("BccRecipients", retVal, mailRegEx) + ValidateAddress("ReplyTo", retVal, mailRegEx) + + Return retVal + + End Function + + ''' + ''' Validates a single Email Address property. + ''' + ''' The name of the property to validate. + ''' The validation response object. + ''' The regular expression pattern to use for validation. + Private Overloads Sub ValidateAddress(ByVal propertyName As String, ByRef retVal As ValidationResponse, ByVal mailRegEx As String) + ValidateAddress(propertyName, retVal, mailRegEx, False) + End Sub + + ''' + ''' Validates a single Email Address property. + ''' + ''' The name of the property to validate. + ''' The validation response object. + ''' The regular expression pattern to use for validation. + ''' Indicates if the address is required; False if not specified. + Private Overloads Sub ValidateAddress(ByVal propertyName As String, ByRef retVal As ValidationResponse, ByVal mailRegEx As String, ByVal required As Boolean) + + Dim emailAddress As String = ReflectionHelper.Properties.GetProperty(Of String)(Me, propertyName) + + If emailAddress Is Nothing OrElse emailAddress.Length = 0 Then + If required Then retVal.Add(New KeyValuePair(Of String, String)(propertyName, NullEmailAddressError)) + Else + If (Not Regex.IsMatch(emailAddress, mailRegEx)) Then + retVal.Add(New KeyValuePair(Of String, String)(propertyName, InvalidEmailAddressError)) + End If + End If + + End Sub + + ''' + ''' Validates a string array of Email Address property. + ''' + ''' The name of the property to validate. + ''' The validation response object. + ''' The regular expression pattern to use for validation. + Private Overloads Sub ValidateAddresses(ByVal propertyName As String, ByRef retVal As ValidationResponse, ByVal mailRegEx As String) + ValidateAddresses(propertyName, retVal, mailRegEx, False) + End Sub + + ''' + ''' Validates a string array of Email Address property. + ''' + ''' The name of the property to validate. + ''' The validation response object. + ''' The regular expression pattern to use for validation. + ''' Indicates if the address is required; False if not specified. + Private Overloads Sub ValidateAddresses(ByVal propertyName As String, ByRef retVal As ValidationResponse, ByVal mailRegEx As String, ByVal required As Boolean) + + Dim emailAddresses() As String = ReflectionHelper.Properties.GetProperty(Of String())(Me, propertyName) + + If emailAddresses Is Nothing OrElse emailAddresses.Length = 0 Then + If required Then retVal.Add(New KeyValuePair(Of String, String)(propertyName, String.Format(CultureInfo.CurrentCulture, NullEmailAddressError))) + Else + For i As Integer = 0 To emailAddresses.Length - 1 + If (Not Regex.IsMatch(emailAddresses(i), mailRegEx)) Then + retVal.Add(New KeyValuePair(Of String, String)(propertyName, String.Format(CultureInfo.CurrentCulture, InvalidEmailAddressErrorWithAddress, emailAddresses(i)))) + End If + Next + End If + + End Sub + +#End Region + +#Region " IDisposable Implementation " + + Protected Overridable Sub Dispose(ByVal disposing As Boolean) + If Not Me.disposedValue Then + If disposing Then + _message.Dispose() + End If + _mailClient = Nothing + _message = Nothing + End If + Me.disposedValue = True + End Sub + + Public Sub Dispose() Implements IDisposable.Dispose + ' Do not change this code. Put cleanup code in Dispose(ByVal disposing As Boolean) above. + Dispose(True) + GC.SuppressFinalize(Me) + End Sub + +#End Region + + End Class + +End Namespace diff --git a/tests/examplefiles/test.xqy b/tests/examplefiles/test.xqy new file mode 100644 index 0000000..92f9d5a --- /dev/null +++ b/tests/examplefiles/test.xqy @@ -0,0 +1,136 @@ +(: made up functions, etc just to test xquery parsing (: even embedded comments +on multiple :) +lines +:) +xquery version "1.0"; + +module namespace xqueryexample "http://example.com/namespace"; +import module namespace importedns = "http://example.com/ns/imported" at "no/such/file.xqy"; + +declare namespace sess = "com.example.session"; + +declare variable $amazing := "awesome"; +declare variable $SESSIONS as element(sess:session)* := c:sessions(); + +declare option sess:clear "false"; + +define function whatsit($param as xs:string) as xs:string { + let $var1 := 1 + let $var2 := 2 + return (1 + 2 div ($var1 + $var2)) + + let $let := "test" + return (: some whitespace :) element element { + attribute attribute { 1 }, + element test { 'a' }, + attribute foo { "bar" }, + fn:doc()[ foo/@bar eq $let ], + //x/with/another/xpath/@attr } +}; + +let $bride := "Bride" +let $test := validate lax { html } +let $test := validate strict { html } +let $test := validate { html } + +let $noop := ordered { $test } +let $noop := unordered { $test } + +let $noop := + for $version at $i in $versions/version + let $row := if($i mod 2 eq 0) then "even" else "odd" + order by $version descending + return + +return + +{ + + + The Princess { fn:capitalize($bride) } + +
    + + { + (: placeholder for local sessions :) + element div { + attribute id { "sessions-local" }, + attribute class { "hidden" }, + element h1 { "Local Sessions" }, + element p { + 'These sessions use storage provided by your browser.', + 'You can also ', + element a { + attribute href { 'session-import-local.xqy' }, + 'import' }, + ' sessions from local XML files.' + } + } + } + { + for $i in $sessions + let $id := c:session-id($i) + let $uri := c:session-uri($i) + (: we only care about the lock that expires last :) + let $conflicting := c:conflicting-locks($uri, 1) + let $name as xs:string := ($i/sess:name, "(unnamed)")[1] + return element tr { + element td { $name }, + element td { string($i/sec:user) }, + element td { data($i/sess:created) }, + element td { data($i/sess:last-modified) }, + element td { + if (empty($conflicting)) then () else + text { + "by", $conflicting/lock:owner, + "until", adjust-dateTime-to-timezone( + x:epoch-seconds-to-dateTime( + $conflicting/lock:timestamp + $conflicting/lock:timeout + ) + ) + }, + (: only show resume button if there are no conflicting locks :) + element input { + attribute type { "button" }, + attribute title { + data($i/sess:query-buffers/sess:query[1]) }, + attribute onclick { + concat("list.resumeSession('", $id, "')") }, + attribute value { + "Resume", (' ', $id)[ $d:DEBUG ] } + }[ not($conflicting) ], + $x:NBSP, + (: clone button :) + element input { + attribute type { "button" }, + attribute title { "clone this session" }, + attribute onclick { + concat("list.cloneSession('", $id, "', this)") }, + attribute value { "Clone", (' ', $id)[ $d:DEBUG ] } + }, + $x:NBSP, + (: export button :) + element input { + attribute type { "button" }, + attribute title { "export this session" }, + attribute onclick { + concat("list.exportServerSession('", $id, "', this)") }, + attribute value { "Export", (' ', $id)[ $d:DEBUG ] } + }, + $x:NBSP, + (: only show delete button if there are no conflicting locks :) + element input { + attribute type { "button" }, + attribute title { "permanently delete this session" }, + attribute onclick { + concat("list.deleteSession('", $id, "', this)") }, + attribute value { "Delete", (' ', $id)[ $d:DEBUG ] } + }[ not($conflicting) ] + } + } + } +
    +
    +} +   + diff --git a/tests/examplefiles/unicodedoc.py b/tests/examplefiles/unicodedoc.py new file mode 100644 index 0000000..9d3db0c --- /dev/null +++ b/tests/examplefiles/unicodedoc.py @@ -0,0 +1,11 @@ +def foo(): + ur"""unicode-raw""" + +def bar(): + u"""unicode""" + +def baz(): + r'raw' + +def zap(): + """docstring""" diff --git a/tests/examplefiles/webkit-transition.css b/tests/examplefiles/webkit-transition.css new file mode 100644 index 0000000..a20b711 --- /dev/null +++ b/tests/examplefiles/webkit-transition.css @@ -0,0 +1,3 @@ +p { + -webkit-transition: opacity 1s linear; +} diff --git a/tests/examplefiles/wiki.factor b/tests/examplefiles/wiki.factor new file mode 100644 index 0000000..d046e91 --- /dev/null +++ b/tests/examplefiles/wiki.factor @@ -0,0 +1,384 @@ +! Copyright (C) 2008 Slava Pestov +! See http://factorcode.org/license.txt for BSD license. +USING: accessors kernel hashtables calendar random assocs +namespaces make splitting sequences sorting math.order present +io.files io.directories io.encodings.ascii +syndication farkup +html.components html.forms +http.server +http.server.dispatchers +furnace.actions +furnace.utilities +furnace.redirection +furnace.auth +furnace.auth.login +furnace.boilerplate +furnace.syndication +validators +db.types db.tuples lcs urls ; +IN: webapps.wiki + +: wiki-url ( rest path -- url ) + [ "$wiki/" % % "/" % present % ] "" make + swap >>path ; + +: view-url ( title -- url ) "view" wiki-url ; + +: edit-url ( title -- url ) "edit" wiki-url ; + +: revisions-url ( title -- url ) "revisions" wiki-url ; + +: revision-url ( id -- url ) "revision" wiki-url ; + +: user-edits-url ( author -- url ) "user-edits" wiki-url ; + +TUPLE: wiki < dispatcher ; + +SYMBOL: can-delete-wiki-articles? + +can-delete-wiki-articles? define-capability + +TUPLE: article title revision ; + +article "ARTICLES" { + { "title" "TITLE" { VARCHAR 256 } +not-null+ +user-assigned-id+ } + { "revision" "REVISION" INTEGER +not-null+ } ! revision id +} define-persistent + +:
    ( title -- article ) article new swap >>title ; + +TUPLE: revision id title author date content description ; + +revision "REVISIONS" { + { "id" "ID" INTEGER +db-assigned-id+ } + { "title" "TITLE" { VARCHAR 256 } +not-null+ } ! article id + { "author" "AUTHOR" { VARCHAR 256 } +not-null+ } ! uid + { "date" "DATE" TIMESTAMP +not-null+ } + { "content" "CONTENT" TEXT +not-null+ } + { "description" "DESCRIPTION" TEXT } +} define-persistent + +M: revision feed-entry-title + [ title>> ] [ drop " by " ] [ author>> ] tri 3append ; + +M: revision feed-entry-date date>> ; + +M: revision feed-entry-url id>> revision-url ; + +: reverse-chronological-order ( seq -- sorted ) + [ date>> ] inv-sort-with ; + +: ( id -- revision ) + revision new swap >>id ; + +: validate-title ( -- ) + { { "title" [ v-one-line ] } } validate-params ; + +: validate-author ( -- ) + { { "author" [ v-username ] } } validate-params ; + +: ( responder -- responder' ) + + { wiki "page-common" } >>template ; + +: ( -- action ) + + [ "Front Page" view-url ] >>display ; + +: latest-revision ( title -- revision/f ) +
    select-tuple + dup [ revision>> select-tuple ] when ; + +: ( -- action ) + + + "title" >>rest + + [ validate-title ] >>init + + [ + "title" value dup latest-revision [ + from-object + { wiki "view" } + ] [ + edit-url + ] ?if + ] >>display + + ; + +: ( -- action ) + + + "id" >>rest + + [ + validate-integer-id + "id" value + select-tuple from-object + ] >>init + + { wiki "view" } >>template + + ; + +: ( -- action ) + + [ + article new select-tuples random + [ title>> ] [ "Front Page" ] if* + view-url + ] >>display ; + +: amend-article ( revision article -- ) + swap id>> >>revision update-tuple ; + +: add-article ( revision -- ) + [ title>> ] [ id>> ] bi article boa insert-tuple ; + +: add-revision ( revision -- ) + [ insert-tuple ] + [ + dup title>>
    select-tuple + [ amend-article ] [ add-article ] if* + ] + bi ; + +: ( -- action ) + + + "title" >>rest + + [ + validate-title + + "title" value
    select-tuple + [ revision>> select-tuple ] + [ f "title" value >>title ] + if* + + [ title>> "title" set-value ] + [ content>> "content" set-value ] + bi + ] >>init + + { wiki "edit" } >>template + + ; + +: ( -- action ) + + [ + validate-title + + { + { "content" [ v-required ] } + { "description" [ [ v-one-line ] v-optional ] } + } validate-params + + f + "title" value >>title + now >>date + username >>author + "content" value >>content + "description" value >>description + [ add-revision ] [ title>> view-url ] bi + ] >>submit + + + "edit wiki articles" >>description ; + +: ( responder -- responder ) + + { wiki "revisions-common" } >>template ; + +: list-revisions ( -- seq ) + f "title" value >>title select-tuples + reverse-chronological-order ; + +: ( -- action ) + + + "title" >>rest + + [ + validate-title + list-revisions "revisions" set-value + ] >>init + + { wiki "revisions" } >>template + + + ; + +: ( -- action ) + + + "title" >>rest + + [ validate-title ] >>init + + [ "Revisions of " "title" value append ] >>title + + [ "title" value revisions-url ] >>url + + [ list-revisions ] >>entries ; + +: rollback-description ( description -- description' ) + [ "Rollback of '" "'" surround ] [ "Rollback" ] if* ; + +: ( -- action ) + + + [ validate-integer-id ] >>validate + + [ + "id" value select-tuple + f >>id + now >>date + username >>author + [ rollback-description ] change-description + [ add-revision ] + [ title>> revisions-url ] bi + ] >>submit + + + "rollback wiki articles" >>description ; + +: list-changes ( -- seq ) + f select-tuples + reverse-chronological-order ; + +: ( -- action ) + + [ list-changes "revisions" set-value ] >>init + { wiki "changes" } >>template + + ; + +: ( -- action ) + + [ URL" $wiki/changes" ] >>url + [ "All changes" ] >>title + [ list-changes ] >>entries ; + +: ( -- action ) + + + [ validate-title ] >>validate + + [ + "title" value
    delete-tuples + f "title" value >>title delete-tuples + URL" $wiki" + ] >>submit + + + "delete wiki articles" >>description + { can-delete-wiki-articles? } >>capabilities ; + +: ( -- action ) + + + [ + { + { "old-id" [ v-integer ] } + { "new-id" [ v-integer ] } + } validate-params + + "old-id" "new-id" + [ value select-tuple ] bi@ + [ + over title>> "title" set-value + [ "old" [ from-object ] nest-form ] + [ "new" [ from-object ] nest-form ] + bi* + ] + [ [ content>> string-lines ] bi@ diff "diff" set-value ] + 2bi + ] >>init + + { wiki "diff" } >>template + + ; + +: ( -- action ) + + + [ + f
    select-tuples + [ title>> ] sort-with + "articles" set-value + ] >>init + + { wiki "articles" } >>template ; + +: list-user-edits ( -- seq ) + f "author" value >>author select-tuples + reverse-chronological-order ; + +: ( -- action ) + + + "author" >>rest + + [ + validate-author + list-user-edits "revisions" set-value + ] >>init + + { wiki "user-edits" } >>template + + ; + +: ( -- action ) + + "author" >>rest + [ validate-author ] >>init + [ "Edits by " "author" value append ] >>title + [ "author" value user-edits-url ] >>url + [ list-user-edits ] >>entries ; + +: init-sidebars ( -- ) + "Contents" latest-revision [ "contents" [ from-object ] nest-form ] when* + "Footer" latest-revision [ "footer" [ from-object ] nest-form ] when* ; + +: init-relative-link-prefix ( -- ) + URL" $wiki/view/" adjust-url present relative-link-prefix set ; + +: ( -- dispatcher ) + wiki new-dispatcher + "" add-responder + "view" add-responder + "revision" add-responder + "random" add-responder + "revisions" add-responder + "revisions.atom" add-responder + "diff" add-responder + "edit" add-responder + "submit" add-responder + "rollback" add-responder + "user-edits" add-responder + "articles" add-responder + "changes" add-responder + "user-edits.atom" add-responder + "changes.atom" add-responder + "delete" add-responder + + [ init-sidebars init-relative-link-prefix ] >>init + { wiki "wiki-common" } >>template ; + +: init-wiki ( -- ) + "resource:extra/webapps/wiki/initial-content" [ + [ + dup ".txt" ?tail [ + swap ascii file-contents + f + swap >>content + swap >>title + "slava" >>author + now >>date + add-revision + ] [ 2drop ] if + ] each + ] with-directory-files ; \ No newline at end of file diff --git a/tests/test_basic_api.py b/tests/test_basic_api.py index b51d9b5..e8b9cf9 100644 --- a/tests/test_basic_api.py +++ b/tests/test_basic_api.py @@ -191,7 +191,7 @@ def test_formatter_unicode_handling(): inst = formatter() out = format(tokens, inst) assert type(out) is bytes, '%s: %r' % (formatter, out) - + for formatter, info in formatters.FORMATTERS.iteritems(): yield verify, formatter @@ -259,7 +259,7 @@ class FiltersTest(unittest.TestCase): self.assertEquals('BUG', tokens[1][1]) def test_codetag_boundary(self): - # http://dev.pocoo.org/projects/pygments/ticket/368 + # ticket #368 lx = lexers.PythonLexer() lx.add_filter('codetagify') text = u'# DEBUG: text' diff --git a/tests/test_examplefiles.py b/tests/test_examplefiles.py index 691ae92..877cbec 100644 --- a/tests/test_examplefiles.py +++ b/tests/test_examplefiles.py @@ -8,19 +8,30 @@ """ import os +import pprint +import difflib +import cPickle as pickle from pygments.lexers import get_lexer_for_filename, get_lexer_by_name from pygments.token import Error from pygments.util import ClassNotFound, b +STORE_OUTPUT = False # generate methods def test_example_files(): testdir = os.path.dirname(__file__) + outdir = os.path.join(testdir, 'examplefiles', 'output') + if STORE_OUTPUT and not os.path.isdir(outdir): + os.makedirs(outdir) for fn in os.listdir(os.path.join(testdir, 'examplefiles')): + if fn.startswith('.') or fn.endswith('#'): + continue + absfn = os.path.join(testdir, 'examplefiles', fn) if not os.path.isfile(absfn): continue + outfn = os.path.join(outdir, fn) try: lx = get_lexer_for_filename(absfn) @@ -35,9 +46,9 @@ def test_example_files(): lx = get_lexer_by_name(name) except ClassNotFound: raise AssertionError('no lexer found for file %r' % fn) - yield check_lexer, lx, absfn + yield check_lexer, lx, absfn, outfn -def check_lexer(lx, absfn): +def check_lexer(lx, absfn, outfn): text = open(absfn, 'rb').read() text = text.replace(b('\r\n'), b('\n')) text = text.strip(b('\n')) + b('\n') @@ -46,9 +57,34 @@ def check_lexer(lx, absfn): except UnicodeError: text = text.decode('latin1') ntext = [] + tokens = [] for type, val in lx.get_tokens(text): ntext.append(val) assert type != Error, 'lexer %s generated error token for %s' % \ (lx, absfn) + tokens.append((type, val)) if u''.join(ntext) != text: raise AssertionError('round trip failed for ' + absfn) + + # check output against previous run if enabled + if STORE_OUTPUT: + # no previous output -- store it + if not os.path.isfile(outfn): + fp = open(outfn, 'wb') + try: + pickle.dump(tokens, fp) + finally: + fp.close() + return + # otherwise load it and compare + fp = open(outfn, 'rb') + try: + stored_tokens = pickle.load(fp) + finally: + fp.close() + if stored_tokens != tokens: + f1 = pprint.pformat(stored_tokens) + f2 = pprint.pformat(tokens) + print '\n'.join(difflib.unified_diff(f1.splitlines(), + f2.splitlines())) + assert False, absfn -- 2.34.1